diff --git a/tools/hitmap/.shed.yml b/tools/hitmap/.shed.yml
new file mode 100644
index 000000000..59a50ecc8
--- /dev/null
+++ b/tools/hitmap/.shed.yml
@@ -0,0 +1,11 @@
+categories:
+- Proteomics
+description: 'High-resolution MALDI imaging Proteomics Analysis'
+homepage_url: https://github.com/MASHUOA/HiTMaP
+long_description: |
+ Hitmap uses peptide mass fingerprint analysis and a dual scoring system to computationally assign peptide and protein annotations to high mass resolution MALDI-MSI datasets and generate customisable spatial distribution maps.
+
+ Note: consumes large amounts of memory during runtime. Run on a node with at least 64Gb memory.
+name: hitmap
+owner: galaxyp
+remote_repository_url: https://github.com/galaxyproject/tools-iuc/tree/master/tools/hitmap/
diff --git a/tools/hitmap/hitmap.xml b/tools/hitmap/hitmap.xml
new file mode 100644
index 000000000..3f3a7a803
--- /dev/null
+++ b/tools/hitmap/hitmap.xml
@@ -0,0 +1,297 @@
+
+
+ High-resolution Maldi-imaging Proteomics Analysis
+
+
+ macros.xml
+
+
+
+ topic_0121
+
+
+
+ operation_3630
+
+
+
+ mashuoa/hitmap@sha256:97f2f853362b56be6c2b0fb1507e0288667e7fb6ad0eda3ff1d761dd72dfaad0
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+ 10.1038/s41467-021-23461-w
+
+
+
\ No newline at end of file
diff --git a/tools/hitmap/hitmap_gen_html.py b/tools/hitmap/hitmap_gen_html.py
new file mode 100644
index 000000000..c9875f5b0
--- /dev/null
+++ b/tools/hitmap/hitmap_gen_html.py
@@ -0,0 +1,9613 @@
+
+import os
+import subprocess
+import sys
+
+
+class Segment:
+ def __init__(self, seg_num, details_folder, sample_name):
+ self.id = seg_num
+ self.imgpaths = {}
+ self.set_img_paths(details_folder, sample_name)
+
+ def set_img_paths(self, details_folder, sample_name):
+ imgs = self.imgpaths
+ imgs['spectrum_PMF'] = f'{details_folder}/{sample_name} {self.id} PMF spectrum match.png'
+ imgs['peptide_FDR'] = f'{details_folder}/{self.id}/FDR.png'
+ imgs['protein_FDR'] = f'{details_folder}/{self.id}/Protein_FDR.png'
+ imgs['target_decoy_matched_scores'] = f'{details_folder}/{self.id}/Matching_Score_vs_mz_target-decoy.png'
+ imgs['target_decoy_histogram'] = f'{details_folder}/{self.id}/Peptide_Score_histogram_target-decoy.png'
+ imgs['protein_score_cutoff'] = f'{details_folder}/{self.id}/PROTEIN_Score_histogram.png'
+ imgs['unique_peptide_ranks'] = f'{details_folder}/{self.id}/unique_peptide_ranking_vs_mz_feature.png'
+ imgs['unique_peptide_ranks_2'] = f'{details_folder}/{self.id}/unique_peptide_ranking_vs_mz_feature_2nd.png'
+
+
+class ClusterIonImages:
+ def __init__(self, summary_folder):
+ self.dirpath = ''
+ self.imgpaths = []
+ self.set_img_directory(summary_folder)
+ self.set_images()
+
+ def set_img_directory(self, dirpath):
+ # is this bad? what if author changes folder structure?? Dont like it
+ self.dirpath = f'{dirpath}/cluster Ion images/unique'
+
+ def set_images(self):
+ if os.path.exists(self.dirpath):
+ folder_contents = os.listdir(self.dirpath)
+ images = [item for item in folder_contents if item.rsplit('.', 1)[1] == 'png']
+ image_paths = [f'{self.dirpath}/{img}' for img in images]
+ self.imgpaths = image_paths
+
+
+class GeneralFiles:
+ def __init__(self, summary_folder, details_folder):
+ self.summary_folder = summary_folder
+ self.details_folder = details_folder
+ self.imgpaths = {}
+ self.set_images()
+
+ def set_images(self):
+ self.set_segment_imgs()
+
+ imgs = self.imgpaths
+ imgs['scree'] = f'{self.details_folder}/PCA_plot.png'
+ imgs['PCA'] = f'{self.details_folder}/PCA_image.png'
+ imgs['score_outliers'] = f'{self.summary_folder}/Peptide_score_outlier.png'
+
+ def set_segment_imgs(self):
+ imgs = self.imgpaths
+ files = os.listdir(self.details_folder)
+ for filename in files:
+ if '_image_plot_' in filename:
+ if '_spec' in filename:
+ imgs['segment_spectra'] = f'{self.details_folder}/{filename}'
+ else:
+ if '_append' not in filename:
+ imgs['segment_pixelart'] = f'{self.details_folder}/{filename}'
+
+
+class HTMLRenderer:
+ def __init__(self, general_files, ci_images, segment_list):
+ self.gfs = general_files
+ self.ci_images = ci_images
+ self.segment_list = segment_list
+
+ def render(self, page_header, left_nav_static):
+ nav = self.generate_nav_segments()
+ visualisations = self.gen_visualisations_section()
+
+ nav_container = '
+"""
+
+
+left_nav_static = """
+
+
+
+
+
+
Principle Component Analysis
+
+
+
+
+
+
+
+
+
+
Peptide Score Outliers
+
+"""
+
+# end html snippets #
+
+
+def main(argv):
+ rootdir = argv[0]
+ sample_name, details_folder, summary_folder = get_key_folder_names(rootdir)
+
+ # set cluster ion image paths
+ ci_images = ClusterIonImages(summary_folder)
+
+ # set general data/image paths
+ general_files = GeneralFiles(summary_folder, details_folder)
+
+ # set segment data/image paths
+ num_segments = get_num_segments(details_folder)
+
+ segment_list = []
+ for i in range(num_segments):
+ new_segment = Segment(i + 1, details_folder, sample_name)
+ segment_list.append(new_segment)
+
+ html_renderer = HTMLRenderer(general_files, ci_images, segment_list)
+ html_renderer.render(page_header, left_nav_static)
+
+
+def get_segment_files(details_folder, num_segments):
+ seg_files = {}
+ for i in range(num_segments):
+ seg_files
+
+
+def get_num_segments(details_folder):
+ subfolders = get_direct_subfolders(details_folder)
+ return len(subfolders)
+
+
+def get_key_folder_names(rootdir):
+ subfolders = get_direct_subfolders(rootdir)
+ for foldername in subfolders:
+ if 'summary' in foldername.lower():
+ summary_folder = f'{rootdir}/{foldername}'
+ else:
+ sample_name = foldername.split(' ', 1)[0]
+ details_folder = f'{rootdir}/{foldername}'
+
+ return sample_name, details_folder, summary_folder
+
+
+def get_direct_subfolders(dirpath):
+ folder_contents = os.listdir(dirpath)
+ subfolders = [item for item in folder_contents if os.path.isdir(f'{dirpath}/{item}')] # kinda shit
+ return subfolders
+
+
+if __name__ == '__main__':
+ main(sys.argv[1:])
diff --git a/tools/hitmap/macros.xml b/tools/hitmap/macros.xml
new file mode 100644
index 000000000..7cc397998
--- /dev/null
+++ b/tools/hitmap/macros.xml
@@ -0,0 +1,183 @@
+
+
+ 1.02
+ 0
+
+
+
+
+
+
diff --git a/tools/hitmap/test-data/Bovin_lens.ibd b/tools/hitmap/test-data/Bovin_lens.ibd
new file mode 100644
index 000000000..4a15a8ea6
Binary files /dev/null and b/tools/hitmap/test-data/Bovin_lens.ibd differ
diff --git a/tools/hitmap/test-data/Bovin_lens.imzML b/tools/hitmap/test-data/Bovin_lens.imzML
new file mode 100644
index 000000000..5fd7988ba
--- /dev/null
+++ b/tools/hitmap/test-data/Bovin_lens.imzML
@@ -0,0 +1,78630 @@
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
\ No newline at end of file
diff --git a/tools/hitmap/test-data/uniprot-bovin.fasta b/tools/hitmap/test-data/uniprot-bovin.fasta
new file mode 100644
index 000000000..6284b7f16
--- /dev/null
+++ b/tools/hitmap/test-data/uniprot-bovin.fasta
@@ -0,0 +1,434456 @@
+>sp|A6QQW8|BABA2_BOVIN BRISC and BRCA1-A complex member 2 OS=Bos taurus OX=9913 GN=BABAM2 PE=2 SV=1
+MSPEVALNRISPMLSPFISSVVRNGKVGLDATNCLRITDLKSGCTSLTPGPNCDRFKLHI
+PYAGETLKWDIIFNAQYPELPPDFIFGEDAEFLPDPSALHNLASWNPSNPECLLLVVKEL
+VQQYHQFQCSRLRESSRLMFEYQTLLEEPQYGENMEIYAGKKNNWTGEFSARFLLKLPVD
+FSNIPTYLLKDVNEDPGEDVALLSVSFEDTEATQVYPKLYLSPRIEHALGGSSALHIPAF
+PGGGCLIDYVPQVCHLLTNKVQYVIQGYHKRREYIAAFLSHFGTGVVEYDAEGFTKLTLL
+LMWKDFCFLVHIDLPLFFPRDQPTLTFQSVYHFTNSGQLYSQAQKNYPYSPRWDGNEMAK
+RAKAYFKTFVPQFQEAAFANGKL
+>sp|Q0VC36|1433S_BOVIN 14-3-3 protein sigma OS=Bos taurus OX=9913 GN=SFN PE=2 SV=1
+MERASLIQKAKLAEQAERYEDMAAFMKSAVEKGEELSCEERNLLSVAYKNVVGGQRAAWR
+VLSSIEQKSNEESSEEKGPEVQEYREKVETELRGVCDTVLGLLDTHLIKEAGDAESRVFY
+LKMKGDYYRYLAEVATGDDKKRIIDSARSAYQEAMDISKKEMPPTNPIRLGLALNFSVFH
+YEIANSPEEAISLAKTTFDEAMADLHTLSEDSYKDSTLIMQLLRDNLTLWTADNAGEEGG
+EAPEEPQS
+>sp|Q2HJ91|CCD71_BOVIN Coiled-coil domain-containing protein 71 OS=Bos taurus OX=9913 GN=CCDC71 PE=2 SV=1
+MSVVVQHVEEKAVHSWSRISTAGKKALEEALLVFNPMSQDLSATEAQLVAFLQGLRDDGF
+QPTILRSGDVYGYSSCTANPPSQTKLQARAPTPAATSPPASAPQTAVRLPAGRATLLPMP
+LSGRLAKASTPGLAKHATTNLLLSSLKQSSAGRAQGAAVGFPTHLYPGVYPAMRLSVVLE
+ALVPIKTPVACLGAKPKAQSLQLSLGDSPLKVRKGPGKRLGNAQLKAPRKATSKGSKCLA
+QRGPRSGPRQGAGLQSKTCKVTGSLGGPRVKDGGALGTKAAQAKAACAQAKVAQTQATAT
+QARAKAKAVRARAKAKAARIKAREVRARAKAKAVQAKAKVARTQPRGRGRPKGSIQGRTA
+RRSRKSRPETVGQKRKRTEEAKDLSPRKRTRLGPRSPKVQLGPGTARLLKFRAIKVDRLA
+SDDEVRQQAQRILRVNLSPVIRLQPLPPHSAP
+>sp|Q2KIG1|ASF1A_BOVIN Histone chaperone ASF1A OS=Bos taurus OX=9913 GN=ASF1A PE=2 SV=1
+MAKVQVNNVVVLDNPSPFYNPFQFEITFECIEDLSEDLEWKIIYVGSAESEEYDQVLDSV
+LVGPVPAGRHMFVFQADAPNPGLIPDADAVGVTVVLITCTYRGQEFIRVGYYVNNEYTET
+ELRENPPVKPDFSKLQRNILASNPRVTRFHINWEDNTEKLEDAESSNPNLPSLLSTDALP
+SASKGWSTSENSLNVMLESHMDCM
+>sp|Q0V882|BI1_BOVIN Bax inhibitor 1 OS=Bos taurus OX=9913 GN=TMBIM6 PE=2 SV=1
+MNIFDRKINFDALFKFSHITPSTQQHLKKVYASFALCMFVAAAGAYIHVVTHFIQAGLLS
+ALGSLGLMIWLMATPHSHETEQKRLGLLAGFAFLTGVGLGPALDLCIAINPSILPTAFMG
+TAMIFTCFTLSALYARRRSYLFLGGILMSAMSLMLLSSLGNLFFGSVWLFQANLYMGLVV
+MCGFVLFDTQLIIEKAENGDKDYIWHCVDLFLDFVTLFRKLMMILAMNEKDKKKKK
+>sp|Q1JQ97|BBS4_BOVIN Bardet-Biedl syndrome 4 protein homolog OS=Bos taurus OX=9913 GN=BBS4 PE=2 SV=1
+MAEEKLSARTQLPVSAESQKPVLKKAPEFPILEKQNWLIHLYYIQKDYEACKAVIKEQLQ
+ETHGLCEYAIYVQALIFRLEGNIQESLRLFQMCAFLSPQCADNLKQVARSLFLLGKHKAA
+IEVYNEAAKLNQKDWEICHNLGVCYIYLKQFDKAQDQLHNALHLNRHDLTYIMLGKIFLL
+KGDLDKAIEIYKKAVEFSPENTELLTTLGLLYLQLGIYQKAFEHLGNTLTYDPTNYKAIL
+AAGSMMQTHGDFDVALTKYKVVACAVIESPPLWNNIGMCFFGKKKYVAAISCLKRANYLA
+PLDWKILYNLGLVHLTMQQYASAFHFLSAAINFQPKMGELYMLLAVALTNLEDSENAKRA
+YEEAVRLDKCNPLVNLNYAVLLYNQGEKRDALAQYQEMEKKVNLLKYSSSLEFDPEMVEV
+AQKLGAALQVGEALVWTKPVKDPKSKHQTASTSKAAGFQQPLGSNQALGQAMSSAATCRK
+LSSGAGGTSQLTKPPSLPLEPEPTVEAQPTEASAQTREK
+>sp|Q5EA92|AL14E_BOVIN ARL14 effector protein OS=Bos taurus OX=9913 GN=ARL14EP PE=2 SV=1
+MMDPCSVGVQLRTTNECHKTYYTRHTGFKTLQELSSNDMLLLQLRTGMTLSGNNTICFHH
+AKVYIDRFEDLQKSCCDPFNIHKKLAKKNLHVIDLDDATFLSAKFGRQLVPGWKLCPKCT
+QIINGSVDVDSEDRQKRKPDSDGRTAKALRSLQFANPGKQTEFAPETGKREKRRLTKNAT
+AGSDRQVIPAKSKVYDSQGLLIFSGMDLCDCLDEDCLGCFYACPACGSTKCGAECRCDRK
+WLYEQIEIEGGEIIHNKHAG
+>sp|Q5EA64|COCH_BOVIN Cochlin OS=Bos taurus OX=9913 GN=COCH PE=2 SV=1
+MWASWIPVLCLGVCLLLPPEPVGSEGAVPIPITCSTRGLDIRKEKADVLCPGGCPLEEFS
+VFGHIVYASVSSICGAAVHRGVIGHSGGPVRIYSLPGRENYSSVVANGIQSQMLSRWSAS
+FTVTKGKSGTQEATGQAVSTAHPATGKRLKKTPEKKTGNKDCKADIAFLIDGSFNIGQRR
+FNLQKNFVGKVALMLGIGTEGPHVGLVQASEHPKIEFYLKNFTSAKDVLFAIKEVAFRGG
+NSNTGKALKHTAQKFFTADTGARKGIPKVVVVFIDGWPSDDIEEAGIVAREFGVNVFIVS
+VAKPIPEELGMVQDVAFVDKAVCRNNGFFSYHMPNWFGTTKYVKPLVQKLCTHEQMMCSK
+TCYNSVNIAFLIDGSSSVGESNFRLMLKFVSNIAKTFEISDIGAKIAAVQFTYDQRTEFS
+FTDYSTKENVLAVIRNISYMSGGTATGDAISFTVRNVFGPVRDSPNKNFLVIVTDGQSYD
+DVRGPAAAAHDAGITIFSVGVAWAPLDDLKDMASKPKESHAFFTREFTGLEPIVSDVIRG
+ICRDFLESQQ
+>sp|A2VE10|CASC4_BOVIN Protein CASC4 OS=Bos taurus OX=9913 GN=CASC4 PE=2 SV=1
+MVGFGANRRAGRLPSLVLAVLLVVIAVLAFNYWSISSRHVLLQEEVAELQGQVQRTEVAR
+GRLEKRNSDLLLLVDSHKKQIDQKEADYGRLSSRLQAREGLGKRCEDDKVKLQNNISYQM
+ADIHHLKEQLAELRQEFLRQEDQLQDYRKNNTYLVKRLEYESFQCGQQIKELRAQHEENI
+KKLADQFLQEQKQEAHKFESKGGNELDTDNHAVPKNIPEVAENGAGKNEEPSSHHIPHGK
+EQIKRGGDAGMPGIEENDLAKAEDVPVALKKPPVSFSQYESHQVISHLPTGQPLSPNMVP
+DSHINHNGNSRTSKQNPSNPLQRLIPGPNLENEPRIQAEVLKQATKDRAGDFHKLKQNDE
+ERELQMDPADYGKQRFNDAL
+>sp|A5D7D1|ACTN4_BOVIN Alpha-actinin-4 OS=Bos taurus OX=9913 GN=ACTN4 PE=2 SV=1
+MVDYHAANQSYQYGPSSGSNGAGGGGTMGDYMAQEDDWDRDLLLDPAWEKQQRKTFTAWC
+NSHLRKAGTQIENIDEDFRDGLKLMLLLEVISGERLPKPERGKMRVHKINNVNKALDFIA
+SKGVKLVSIGAEEIVDGNAKMTLGMIWTIILRFAIQDISVEETSAKEGLLLWCQRKTAPY
+KNVNVQNFHISWKDGLAFNALIHRHRPELIEYDKLRKDDPVTNLNNAFEVAEKYLDIPKM
+LDAEDIVNTARPDEKAIMTYVSSFYHAFSGAQKAETAANRICKVLAVNQENEHLMEDYER
+LASDLLEWIRRTIPWLEDRVPQKTIQEMQQKLEDFRDYRRVHKPPKVQEKCQLEINFNTL
+QTKLRLSNRPAFMPSEGKMVSDINNGWQHLEQAEKGYEEWLLNEIRRLERLDHLAEKFRQ
+KASIHEAWTDGKEAMLKHRDYETATLSDIKALIRKHEAFESDLAAHQDRVEQIAAIAQEL
+NELDYYDSHNVNTRCQKICDQWDALGSLTHSRREALEKTEKQLETIDQLHLEYAKRAAPF
+NNWMESAMEDLQDMFIVHTIEEIEGLISAHDQFKSTLPDADREREAILAIHKEAQRIAES
+NHIKLSGSNPYTTVTPQIINSKWEKVQQLVPKRDHALLEEQSKQQSNEHLRRQFASQANI
+VGPWIQTKMEEIGRISIEMNGTLEDQLSHLKQYERSIVDYKPNLDLLEQQHQLIQEALIF
+DNKHTNYTMEHIRVGWEQLLTTIARTINEVENQILTRDAKGISQEQMQEFRASFNHFDKD
+HGGALGPEEFKACLISLGYDVENDRQGDAEFNRIMSVVDPNHSGLVTFQAFIDFMSRETT
+DTDTADQVIASFKVLAGDKNFITAEELRRELPPDQAEYCIARMAPYQGPDAVPGALDYKS
+FSTALYGESDL
+>sp|O97827|AGRL3_BOVIN Adhesion G protein-coupled receptor L3 OS=Bos taurus OX=9913 GN=ADGRL3 PE=2 SV=1
+MWPSQLLVFMMLLAPIIHGGKHSERHPALASPLRHAERGPGGALPPRHLLQQPAAERATA
+HRGPGPRGATRGVRGPGAHGAQISAQAFSRAPIPMAVVRRELSCESYPIELRCPGTDVIM
+IESANYGRTDDKICDSDPAQMENIRCYLPDAYKIMSQRCNNRTQCAVVAGPDVFPDPCPG
+TYKYLEVQYECVPYKVEQKVFLCPGLLKGVYQSEHLFESDHQSGAWCKDPLQASDKIYYM
+PWTPYRTDTLTEYSSKDDFIAGRPTTTYKLPHRVDGTGFVVYDGALFFNKERTRNIVKFD
+LRTRIKSGEAIIANANYHDTSPYRWGGKSDIDLAVDENGLWVIYATEQNNGKIVISQLNP
+YTLRIEGTWDTAYDKRSASNAFMICGILYVVKSVYEDDDNEATGNKIDYIYNTDQSKDSL
+VDVPFPNSYQYIAAVDYNPRDNLLYVWNNYHVVKYSLDFGPLDSRSGQAHHGQVSYISPP
+IHLDSDLERPPVREISTTGPLGTGSTTTSTTLRTTTWSPGRSTTPSVSGRRNRSTSTPSP
+AIEVLNDITTHVPSASPQIPALEESCEAVEAREIMWFKTRQGQMAKQPCPAGTIGVSTYL
+CLAPDGIWDPQGPDLSNCSSPWVNHITQKLKSGETAANIARELAEQTRNHLNAGDITYSV
+RAMDQLVGLLDVQLRNLTPGGKDSAARSLNKLQKRERSCRAYVQAMVETVNNLLQPQALN
+AWRDLTTSDQLRAATMLLDTVEESAFVLADNLLKTDIVRENTDNIQLEVARLSTEGNLED
+LKFPENTGHGSTIQLSANTLKQNGRNGEIRVAFVLYNNLGPYLSTENASMKLGTEAMSTN
+HSVIVNSPVITAAINKEFSNKVYLADPVVFTVKHIKQSEENFNPNCSFWSYSKRTMTGYW
+STQGCRLLTTNKTHTTCSCNHLTNFAVLMAHVEVKHSDAVHDLLLDVITWVGILLSLVCL
+LICIFTFCFFRGLQSDRNTIHKNLCISLFVAELLFLIGINRTDQPIACAVFAALLHFFFL
+AAFTWMFLEGVQLYIMLVEVFESEHSRRKYFYLVGYGMPALIVAVSAAVDYRSYGTDKVC
+WLRLDTYFIWSFIGPATLIIMLNVIFLGIALYKMFHHTAILKPESGCLDNINYEDNRPFI
+KSWVIGAIALLCLLGLTWAFGLMYINESTVIMAYLFTIFNSLQGMFIFIFHCVLQKKVRK
+EYGKCLRTHCCSGRSTESSIGSGKTSGSRTPGRYSTGSQSRIRRMWNDTVRKQSESSFIT
+GDINSSASLNRGAMANHLISNALLRPHGTNNPYNTLLGEPAVCNNPSVSMYNAQEGLLNN
+ARDTSVMDTLPLNGNHGNSYSIASGEYLSNCVQIIDRGYNHNETALEKKILKELTSNYIP
+SYLNNHERSSEQNRNLMNKLVNNLGSGSEDDAIVLDDATSFNHEESLGLELIHEESDAPL
+LPPRVYSTENHQLHHYTRRRIPQDHSESFFPLLTNEHTEDLQSPHRDSLYTSMPALAGVP
+TAESVTTSTQTEPPPAKCGDAEDVYYKSMPNLGSRNHVHQLHTYYQLGRGSSDGFIVPPN
+KDGTPPEGSSKGPAHLVTSL
+>sp|A5PJI5|ASNA_BOVIN ATPase ASNA1 OS=Bos taurus OX=9913 GN=ASNA1 PE=2 SV=1
+MAAGVAGWGVEAEEFEDAPDVEPLEPTLSNIIEQRSLKWIFVGGKGGVGKTTCSCSLAVQ
+LSKGRESVLIISTDPAHNISDAFDQKFSKVPTKVKGYDNLFAMEIDPSLGVAELPDEFFE
+EDNMLSMGKKMMQEAMSAFPGIDEAMSYAEVMRLVKGMNFSVVVFDTAPTGHTLRLLNFP
+TIVERGLGRLMQIKNQISPFISQMCNMLGLGDMNADQLASKLEETLPVIRSVSEQFKDPE
+QTTFICVCIAEFLSLYETERLIQELAKCKIDTHNIIVNQLVFPDPEKPCKMCEARHKIQA
+KYLDQMEDLYEDFHIVKLPLLPHEVRGADKVNTFSALLLEPYKPPSAQ
+>sp|Q5EAC6|CDC37_BOVIN Hsp90 co-chaperone Cdc37 OS=Bos taurus OX=9913 GN=CDC37 PE=2 SV=1
+MVDYSVWDHIEVSDDEDETHPNIDTASLFRWRHQARVERMEQFQKEKEELDRGCRECKRK
+VAECQRKLKELEVAEGEGGKAELERLQAEAQQLRKEERSWEQKLEEMRKKEKSMPWNVDT
+LSKDGFSKSMVNTKPEQAEEESEEVREQKHKTFVEKYEKQIKHFGMLRRWDDSQKYLSDN
+VHLVCEETANYLVIWCIDLEVEEKCALMEQVAHQTIVMQFILELAKSLKVDPRACFRQFF
+TKIKTADRQYMEGFNDELEAFKDRVRGRAKLRIEKAMKEYEEEERKKRLGPGGLDPVEVY
+ESLPEELQKCFDAKDVQMLQDAISKMDPTDAKYHMQRCIDSGLWVPNSKSSEAKDGEEAG
+TGDPLLEATSKSGDEKDVSV
+>sp|Q2KJC1|CDC5L_BOVIN Cell division cycle 5-like protein OS=Bos taurus OX=9913 GN=CDC5L PE=2 SV=1
+MPRIMIKGGVWRNTEDEILKAAVMKYGKNQWSRIASLLHRKSAKQCKARWYEWLDPSIKK
+TEWSREEEEKLLHLAKLMPTQWRTIAPIIGRTAAQCLEHYEFLLDKAAQRDNEEETTDDP
+RKLKPGEIDPNPETKPARPDPIDMDEDELEMLSEARARLANTQGKKAKRKAREKQLEEAR
+RLAALQKRRELRAAGIEIQKKRKKKRGVDYNAEIPFEKKPALGFYDTSEENYQTLDADFR
+KLRQQDLDGELRSEKEGRDRKKDKQHLKRKKESDLPSAILQTSGVSEFTKKRSKLVLPAP
+QISDAELQEVVKVGQASEIARQTAEESGITNSASSTLLSEYNVTNNSIALRTPRTPASQD
+RILQEAQNLMALTNVDTPLKGGLNTPLHESDFSGVTPQRQVVQTPNTVLSTPFRTPSHGS
+EGLTPRSGTTPKPVINSTPGRTPLRDKLNINPEDGMADYSDPSYVKQMERESREHLRLGL
+LGLPAPKNDFEIVLPENAEKELEEREIDDTYIEDAADVDARKQAIRDAERVKEMKRMHKA
+VQKDLPRPSEVNETILRPLNVEPPLTDLQKSEELIKKEMITMLHYDLLHHPYEPSGNKKG
+KTVGFGTNNAEHIAYLEHNPYEKFSKEELKKAQDVLVQEMEVVKQGMSHGELSSEAYNQV
+WEECYSQVLYLPGQSRYTRANLASKKDRIESLEKRLEINRGHMTTEAKRAAKMEKKMKIL
+LGGYQSRAMGLMKQLNDLWDQIEQAYLELRTFEELKKHEDSAIPRRLECLKEDVQRQQER
+EKELQHRYADLLLEKETLKAKF
+>sp|Q29RY8|AP4M1_BOVIN AP-4 complex subunit mu-1 OS=Bos taurus OX=9913 GN=AP4M1 PE=2 SV=1
+MISQFFILSSKGDPLIYKDFRGDSGGRDVAELFYRKLTGLPGDESPVVMHHDDRHFIHIR
+HSGLYLVATTSENISPFSLLELLSRLATLLGDYCGSLGEATISRNVALVYELLDEVLDYG
+YVQTTSTEVLRNFIQTEAVVSKPFSLFDLSSVGLFGAETQQSKVAPSSAASRPVLSSRSD
+QSQKNEVFLDVVERLSVLIASNGSLLKVDVQGEIRLKSFLPSGSEMRIGLTEEFCVGKSE
+LRGYGPGIRVDEVSFHSSVYLDEFESHRILRLQPPQGELTVMRYQLSDDLPSPLPFRLFP
+SVQWDRGSGRLQVYLKLRCDLPPKSQALNVRLHLPLPRGVVSLSQELSSPEQKAELGEGA
+LRWDLPRVQGGSQLSGLFQMDVPGLPGPPGQGPSASAPLGLGPASLSFELPRHTCSGLQV
+RFLRLAFRPCGNANPHKWVRHLSHSDAYVIRI
+>sp|Q29S07|BRF2_BOVIN Transcription factor IIIB 50 kDa subunit OS=Bos taurus OX=9913 GN=BRF2 PE=2 SV=1
+MPGRGRCPDCGSAELVEDSHYSQNQLVCSDCGCVVTEGVLTTTFSDEGNLREVTYSRSTG
+ENEQVSRSQQRGLRRVRDLCRVLQLPPTFEDTAVAYYQQAHQLAGIRTARLQKKEVLAGC
+CVLITCRQRNWPLTMGTICTLLYADLDVFSGTYMQIVKLLGLDVPSLCLVDLVKTYCSSF
+KLFEASPSVPAKYVEDKEKMLSRTLQLVELADETWLVTGRHPLPVITAATFLAWQSLRPS
+DRLTCSLARFCKLANVDLPYPASSRLQELLAVLLRMAEQLAWLQVLKLDKRSVVKHIGDL
+LQHRHMLVRKAFRDGTAEMDAGEKELQGQGQGQGLGDEDVGSSSLELPAGKRPSSPALLL
+PPCMLKPPKRVCPAPPVSMVTGDEDISDSEIEQYLRTPQEVRDFQKAQAARQAAQGTPNP
+P
+>sp|Q0P5D2|CPSF6_BOVIN Cleavage and polyadenylation specificity factor subunit 6 OS=Bos taurus OX=9913 GN=CPSF6 PE=2 SV=1
+MADGVDHIDIYADVGEEFNQEAEYGGHDQIDLYDDVISPSANNGDAPEDRDYMDTLPPTV
+GDDVGKGAAPNVVYTYTGKRIALYIGNLTWWTTDEDLTEAVHSLGVNDILEIKFFENRAN
+GQSKGFALVGVGSEASSKKLMDLLPKRELHGQNPVVTPCNKQFLSQFEMQSRKTTQSGQM
+SGEGKAGPPGGSSRAAFPQGGRGRGRFPGAVPGGDRFPGPAGPGGPPPPFPAGQTPPRPP
+LCPPGPPGPPGPPPPGQVLPPPLAGPPNRGDRPPPPVLFPGQPFGQPPLGPLPPGPPPPV
+PGYGPPPGPPPPQQGPPPPPGPFPPRPPGPLGPPLTLAPPPHLPGPPPGAPPPAPHVNPA
+FFPPPTNSGMPTSDSRGPPPTDPYGRPPPYDRGDYGPPGREMDTARTPLSEAEFEEIMNR
+NRAISSSAISRAVSDASAGDYGSAIETLVTAISLIKQSKVSADDRCKVLISSLQDCLHGI
+ESKSYGSGSRRERSRERDHSRSREKSRRHKSRSRDRHDDYYRERSRERERHRDRDRDRDR
+ERDREREYRHR
+>sp|P27214|ANX11_BOVIN Annexin A11 OS=Bos taurus OX=9913 GN=ANXA11 PE=1 SV=1
+MSYPGYPPPAGGYPPGAPGGGAWGGAGYPPPTMPPIGLDNVANYAGQFNQDYLSGVAANM
+SGTFGGANVPNLYPGAPGGGYPPVPPGGFGQPPPAQQPVPSYGMYPPPGGNPTSGMPSYP
+PYPGAPVPGQPMLPPGQQPPGVYPGQPPMTYPGQSPVPPPGQQPVPSYPGYSGSGTVTPA
+VSPAQFGNRGTITDASGFDPLRDAEVLRKAMKGFGTDEQAIIDCLGSRSNKQRQQILLSF
+KTAYGKDLIKDLKSELSGNFEKTILALMKTPVLFDAYEIKEAIKGAGTDEACLIEILASR
+SNEHIRELNRVYKTEFKKTLEEAIRSDTSGHFQRLLISLSQGNRDESTNVDMTLVQRDVQ
+ELYAAGENRLGTDESKFNAILCSRSRAHLVAVFNEYQRMTGRDIEKSICREMSGDLEQGM
+LAVVKCLKNTPAFFAERLNKAMRGAGTKDRTLIRIMVSRSEIDLLDIRAEYKRLYGKSLY
+HDITGDTSGDYRKILLKICGGND
+>sp|Q5EAC7|CPIN1_BOVIN Anamorsin OS=Bos taurus OX=9913 GN=CIAPIN1 PE=2 SV=1
+MADFGISAGQFVAVIWDKSSPVEALKDLVDKLQALTGDEGRVSVENINQLLQSAHKESSF
+DIVLSGIIPGSTTLHSADILAEMARILRPGGCLFLKEPVETAVVNNSKVKTASKLCSALT
+LSGLVEVKELQRESLSPEEIQSVREHLGYHSDSLLSLQITGKKPNFEVGSSSQLKLSIAK
+KSSGKPAVDPAAAKLWTLSANDMEDESVDLIDSDELLDAEDLKKPDPASLRAPSCGEGKK
+RKACKNCTCGLAEELEKEKSRDQISSQPKSACGNCYLGDAFRCASCPYLGMPAFKPGEKV
+LLSDSNLHDA
+>sp|Q95M17|CHIA_BOVIN Acidic mammalian chitinase OS=Bos taurus OX=9913 GN=CHIA PE=1 SV=1
+MAKLIFLTGLAFLLNAQLGSAYQLVCYFSNWAQYRPGLGSFKPDNIDPCLCTHLIYAFAG
+MSNSEITTIEWNDVALYSSFNDLKKKNSQLKILLAIGGWNFGTAPFTAMVATPENRKTFI
+SSVIKFLHQYGFDGLDFDWEYPGFRGSPSQDKHLFTVLVQETREAFEQEAKQTNKPRLLV
+TAAVAAGISNIQAGYEIPQLSQYLDFIHVMTYDFHGSWEGYTGENSPLYKYPTDTGSNTY
+LNVEYAMNYWKKNGAPAEKLIIGFPAYGHNFILRDASNNGIGAPTSGAGPAGPYTREAGF
+WAYYEICAFLKDGATEAWDDSQNVPYAYKGTEWVGYDNVNSFRIKAQWLKENNFGGAMVW
+AIDLDDFTGTFCNQGKFPLINTLKDALGLKSATCNASTQSSEPNSSPGNESGSGNKSSSS
+EGRGYCAGKADGLYPVADNRNAFWNCVNGITYKQNCLTGLVFDTSCHCCNWA
+>sp|Q2EMW0|ADIG_BOVIN Adipogenin OS=Bos taurus OX=9913 GN=ADIG PE=2 SV=1
+MKYPLVPLVNELTFSFLVFWLCLPVALLLFLLIIWLRFLLSQDSEENDSDVCLDWEPWSK
+NPDEFCQEEMLHSQEEERPCC
+>sp|A8E657|AASS_BOVIN Alpha-aminoadipic semialdehyde synthase, mitochondrial OS=Bos taurus OX=9913 GN=AASS PE=2 SV=1
+MLRVSRTKLGRLSPSLSRGLHHKAVMALRREDVNAWERRAPLAPRHVKGITNLGYKVLIQ
+PSNRRAIHDKEYVKAGGILQEDISEACLILGVKRPPEEKLMPKKTYAFFSHTIKAQEANM
+GLLDEILKQEIRLIDYEKMVDHRGIRVVAFGQWAGVAGIINILHGMGLRLLALGHHTPFM
+HIGMAHNYRNSGQAVQAVRDAGYEISLGLMPKSIGPLTFVFTGTGNVSKGAQEIFNELPC
+EYVEPHELKEVSQNGDLRKVYGTVLSRHHHLVRKTDGVYDPVEYDKYPERYISRFNTDIA
+PYTTCLINGIYWEQNTPRLLTRQDAQSLLAPGKSPVAGVEGCPALPHKLVAICDISADTG
+GSIEFMTECTTIERPFCMYDADQHIIHDSVEGSGILMCSIDNLPAQLPIESTEYFGDMLY
+PYVEEMILSDATQPLESQNFSPVVRDAVIASNGMLSNKYKYIQKLRENREHAQSLSMGTK
+KKVLVLGSGYVSEPVLEYLLRDDSIEITVGSDMKNQIEQLGKKYNINPVSLHVGKQEEKL
+SSLVATQDLVISLLPYVLHPLVAKACIASKVNMITASYITPALKELEKSVEDAGITVIGE
+LGLDPGLDHMLAMETIDKAKEVGATIESYVSYCGGLPAPECSDNPLRYKFSWSPVGVLMN
+IMQPATYLLNGKVVNAVGGVSFLDSVTPMDYFPGLNLEGYPNRDSTKYAEIYGIPSAHTL
+LRGTLRYKGYAKALSGFVKLGLINRDAFPALQPDANPLTWKELLCDLVGISSSSKCDVLK
+EAVFKKLGGDTTQLEALEWLGLLGDEQVPQAESLVDALSKHLAVKLSYGPGEKDMIVMRD
+SFGIRHPSGHLENKTIDLVVYGDVNGFSAMAKTVGLPTAMAAKMLLDGEIQAKGLMGPFS
+KEIYGPILERIKAEGIMYTTQSTIKL
+>sp|P19159|CSH2_BOVIN Chorionic somatomammotropin hormone 2 OS=Bos taurus OX=9913 GN=CSH2 PE=2 SV=1
+MAPAPSFRGHQWTYNPVRGSCLLLLLVVSNLLLCQGISCPSCGPDMFVSLQKSLIDVFIN
+AASLSHDFHNLSTIMFNEFDEKYAQGKLYYINATKSCHTNSFHTPEERDKAQQMNNEDLS
+KWTLVLLYSWNNPLYYLLLELRNMKNLSEAVISSAMEIENMSEKLQAFIESQFRKIIVPV
+LKMIHEVSDTWSRFSSMTFSDEDRSISEYYNLFYCLRRDSRKVDMYIKILTCRTRKTC
+>sp|P02459|CO2A1_BOVIN Collagen alpha-1(II) chain OS=Bos taurus OX=9913 GN=COL2A1 PE=1 SV=4
+MIRLGAPQTLVLLTLLVAAVLRCHGQDVQKAGSCVQDGQRYNDKDVWKPEPCRICVCDTG
+TVLCDDIICEDMKDCLSPETPFGECCPICSADLPTASGQPGPKGQKGEPGDIKDIVGPKG
+PPGPQGPAGEQGPRGDRGDKGEKGAPGPRGRDGEPGTPGNPGPPGPPGPPGPPGLGGNFA
+AQMAGGFDEKAGGAQMGVMQGPMGPMGPRGPPGPAGAPGPQGFQGNPGEPGEPGVSGPMG
+PRGPPGPPGKPGDDGEAGKPGKSGERGPPGPQGARGFPGTPGLPGVKGHRGYPGLDGAKG
+EAGAPGVKGESGSPGENGSPGPMGPRGLPGERGRTGPAGAAGARGNDGQPGPAGPPGPVG
+PAGGPGFPGAPGAKGEAGPTGARGPEGAQGPRGEPGTPGSPGPAGAAGNPGTDGIPGAKG
+SAGAPGIAGAPGFPGPRGPPGPQGATGPLGPKGQTGEPGIAGFKGEQGPKGEPGPAGPQG
+APGPAGEEGKRGARGEPGGAGPAGPPGERGAPGNRGFPGQDGLAGPKGAPGERGPSGLAG
+PKGANGDPGRPGEPGLPGARGLTGRPGDAGPQGKVGPSGAPGEDGRPGPPGPQGARGQPG
+VMGFPGPKGANGEPGKAGEKGLPGAPGLRGLPGKDGETGAAGPPGPAGPAGERGEQGAPG
+PSGFQGLPGPPGPPGEGGKPGDQGVPGEAGAPGLVGPRGERGFPGERGSPGSQGLQGARG
+LPGTPGTDGPKGAAGPAGPPGAQGPPGLQGMPGERGAAGIAGPKGDRGDVGEKGPEGAPG
+KDGGRGLTGPIGPPGPAGANGEKGEVGPPGPAGTAGARGAPGERGETGPPGPAGFAGPPG
+ADGQPGAKGEQGEAGQKGDAGAPGPQGPSGAPGPQGPTGVTGPKGARGAQGPPGATGFPG
+AAGRVGPPGSNGNPGPPGPPGPSGKDGPKGARGDSGPPGRAGDPGLQGPAGPPGEKGEPG
+DDGPSGPDGPPGPQGLAGQRGIVGLPGQRGERGFPGLPGPSGEPGKQGAPGASGDRGPPG
+PVGPPGLTGPAGEPGREGSPGADGPPGRDGAAGVKGDRGETGAVGAPGAPGPPGSPGPAG
+PIGKQGDRGEAGAQGPMGPAGPAGARGMPGPQGPRGDKGETGEAGERGLKGHRGFTGLQG
+LPGPPGPSGDQGASGPAGPSGPRGPPGPVGPSGKDGANGIPGPIGPPGPRGRSGETGPAG
+PPGNPGPPGPPGPPGPGIDMSAFAGLGQREKGPDPLQYMRADEAAGNLRQHDAEVDATLK
+SLNNQIESLRSPEGSRKNPARTCRDLKLCHPEWKSGDYWIDPNQGCTLDAMKVFCNMETG
+ETCVYPNPASVPKKNWWSSKSKDKKHIWFGETINGGFHFSYGDDNLAPNTANVQMTFLRL
+LSTEGSQNITYHCKNSIAYLDEAAGNLKKALLIQGSNDVEIRAEGNSRFTYTVLKDGCTK
+HTGKWGKTMIEYRSQKTSRLPIIDIAPMDIGGPEQEFGVDIGPVCFL
+>sp|P23206|COAA1_BOVIN Collagen alpha-1(X) chain OS=Bos taurus OX=9913 GN=COL10A1 PE=2 SV=1
+MLPQTALLLLMSLNLVHGVFYTERYQTPTGIKGPPSNTKTQFFIPYAIKGKGVSLRGEQG
+IPGPPGPAGPRGHPGPSGPPGKPGTGSPGPQGQPGLPGPPGPSATGKPGLPGLPGKQGER
+GLNGPKGDIGPAGLPGPRGPPGPPGIPGPAGISVPGKPGPQGPTGEPGPRGFPGEKGTSG
+VPGLNGQKGEMGHCTPCRPGERGLPGPQGPTGPPGPPGVGKRGENGLPGQPGLKGDQGVP
+GERGAAGPSGPQGPPGEQGPEGIGKPGAPGIPGQPGIPGMKGQPGAPGTAGLPGAPGFGK
+PGLPGLKGQRGPVGLPGSPGAKGEQGPAGHPGEAGLPGPSGNMGPQGPKGIPGNPGLPGP
+KGEMGPVGPAGNPGAKGERGSSGLDGKPGYPGEPGLNGPKGNPGLPGPKGDPGIAGSPGL
+PGPVGPAGAKGVPGHNGEAGPRGVPGIPGTRGPIGPPGIPGFPGSKGDVGTPGPPGPAGI
+AVKGLNGPTGPPGPPGPRGNAGEPGLPGPPGPPGPPGQVALPEDFVKAGQRPFVSANQGV
+TGMPVSAFTVILSKAYPAIGTPIPFDKILYNKQQHYDPRTGIFTCKIPGIYYFSYHIHVK
+GTHAWVGLYKNGTPVMYTYDEYIKGYLDQASGSAVIDLTENDQVWLQLPNAGSNGLYSPE
+YVHSSFSGFLVAPM
+>sp|Q5BIN6|CNIH1_BOVIN Protein cornichon homolog 1 OS=Bos taurus OX=9913 GN=CNIH1 PE=2 SV=1
+MAFTFAAFCYMLALLLTAALIFFAIWHIIAFDELKTDYKNPIDQCNTLNPLVLPEYLIHA
+FFCVMFLCAAEWLTLGLNMPLLAYHIWRYMSRPVMSGPGLYDPTTIMNADILAYCQKEGW
+CKLAFYLLAFFYYLYGMIYVLVSS
+>sp|Q2NL21|DJC11_BOVIN DnaJ homolog subfamily C member 11 OS=Bos taurus OX=9913 GN=DNAJC11 PE=2 SV=1
+MATALSEEELDNEDYYSLLNVRREASCEELKAAYRRLCMLYHPDKHRDPELKSQAERLFN
+LVHQAYEVLSDPQTRAIYDIYGKRGLEMEGWEVVERRRTPAEIREEFERLQREREERRLQ
+QRTNPKGTISVGIDATDLFDRYEEEYEDVSGSGFPQIEINKMHISQSIEAPLTASDTAIL
+SGSLSTQNGNGGGSINFALRRVTSAKGWGELEFGAGDLQGPLFGLKLFRNLTPRCFVTTH
+CALQFSSRGIRPGLTTVLARNLDKNTVGYLQWRWGVQSAMNTSIVRDTKTSHFTVALQLG
+IPHSFALISYQHKFQDDDQTRVKGSLKAGFFGTVVEYGAERKISRHSVLGAAVSIGVPQG
+VSLKIKLNRASQTYFFPIHLTDQLLPSAVFYATAGPLVLYFALHRLVIRPYLRAQKEKEL
+EKQRESTATDILQKKQEAEAAVRLMQESVRRIIEAEESRMGLIIVNAWYGKFVNDKSKKS
+EKVKVIDVTVPLQCLVKDSKLILTEASKAGLPGFYDPCVGEEKNLKVLYQFRGVLHQVMA
+LDSEALRIPKQSHRIDTDG
+>sp|Q95J56|DJC14_BOVIN DnaJ homolog subfamily C member 14 OS=Bos taurus OX=9913 GN=DNAJC14 PE=1 SV=1
+MAQKHPGEGGLCGAHHSGGASLRTLGPSVDPDILSFSGLRDSAGSAPNGTRCLTEHSSPK
+YTQPPNPAHWSDPSHGPPRGPGPPLAEEDPDQSEASSEESGVDQELSRENETGYQDDGNS
+SFLSIPSTCNCQGTPGIPEGPYSEGRDSSSSNFCHHCTSPALGEDEELEGEYDEEEPLKF
+PSDVSRVPSEKKPAPRRQRHRVPAKEDTREGGRRDPRSPGRHRLGRKRSQADKRRGLGLW
+GAEELCQLGQAGFWWLIELLVLVGEYVETCGHLIYACRQLKGSDLDLLRVWVGVWAGRLR
+GWAQVMFQFLSQGFCYGAGLFTRFLRLVGALLLLALALLLGCLQLGWRFLVGLSDRLGWR
+DKATWIFSWLASPTWQRCLILLRESRPWQQLVRIVQWGWLELPWVKQRTNRQANAPVAGG
+RYCQPEEEVARLLTMAGVPEDELNPFHVLGVEATASDVELKKAYRQLAVMVHPDKNHHPR
+AEEAFKVLRAAWDIVSNPERRKEYEMKRMAENELSRSVNEFLSKLQEAMNTMMCSRCQGK
+HRRFEMDREPKSARYCAECNRLHPAEEGDFWAESSMLGLKITYFALMDGKVYDITEWAGC
+QRVGISPDTHRVPYHISFGSRMPGTSGRQRATPDAPPADLQDFLSRIFQVPPGQMSNGNF
+FAAPQPGPGATAASKPNSTVPKGEAKPKRRKKVRRPFQR
+>sp|Q17R00|EMX2_BOVIN Homeobox protein EMX2 OS=Bos taurus OX=9913 GN=EMX2 PE=2 SV=1
+MFQPAPKRCFTIESLVAKDSPLPASRSEDPIRPAALSYANSSPINPFLNGFHSAAAAAAA
+GRGVYSNPDLVFAEAVSHPPNPAVPVHPVPPPHALAAHPLPSSHSPHPLFASQQRDPSTF
+YPWLIHRYRYLGHRFQGNDASPESFLLHNALARKPKRIRTAFSPSQLLRLEHAFEKNHYV
+VGAERKQLAHSLSLTETQVKVWFQNRRTKFKRQKLEEEGSDSQQKKKGTHHINRWRIATK
+QASPEEIDVTSDD
+>sp|Q1LZC9|DPH3_BOVIN DPH3 homolog OS=Bos taurus OX=9913 GN=DPH3 PE=3 SV=1
+MAVFHDEVEIEDFQYDEDSETYFYPCPCGDNFCITKEDLENGEDVATCPSCSLIIKVIYD
+KDQFTCGETVPAPSTNKELVKC
+>sp|A9QWP9|CXCL9_BOVIN C-X-C motif chemokine 9 OS=Bos taurus OX=9913 GN=CXCL9 PE=2 SV=1
+MKKSAPLFLGIIFLTLTGVQGVPAIRNGRCSCINTSQGMIHPKSLKDLKQFAPSPSCEKT
+EIIATMKNGNEACLNPDLPEVKELIKEWEKQVNQKKKQRKGKKYKKTKKVPKVKRSQRPS
+QKKTT
+>sp|P31081|CH60_BOVIN 60 kDa heat shock protein, mitochondrial OS=Bos taurus OX=9913 GN=HSPD1 PE=1 SV=2
+MLRLPAVLRQMRPVSRALALHLTRAYAKDVKFGADARALMLQGVDLLADAVAVTMGPKGR
+TVIIEQSWGSPRVTKDGVTVAKSIDLKDKYKNIGAKLVQDVANNTNEEAGDGTTTATVLA
+RSIAKEGFEKISKGANPVEIRRGVMLAVDAVIVELKKQSKPVTTPEEIAQVATISANGDK
+EIGNIISDAMKKVGRKGVITVKDGKTLNDELEIIEGMKFDRGYISPYFINTSKGQKCEFQ
+DAYVLLSEKKISSVQSIVPALEIANAHRKPLVIIAEDVDGEALSTLVLNRLKVGLQVVAV
+KAPGFGDNRKNQLKDMAIATGGAVFGEEGLNLNLEDVQPHDLGKVGEVIVTKDDAMLLKG
+KGDKAQIEKRIQEIIEQLDITTSEYEKEKLNERLAKLSDGVAVLKVGGTSDVEVNEKKDR
+VTDALNATRAAVEEGIVLGGDCALLRCIPALESITPANEDQKTGIEIIKKTLKIPAMTIA
+KNAGVEGSLIVEKIMQSSSEVGYDAMLGDFVNMVEKGIIDPTKVVRTALLDAAGVASLLT
+TAEVVVTEIPKEEKDPGMGGMGGMGGGMGGGMF
+>sp|Q3SZT7|CDC26_BOVIN Anaphase-promoting complex subunit CDC26 OS=Bos taurus OX=9913 GN=CDC26 PE=3 SV=1
+MLRRKPTRLELKLDDIEEFESIRKDLETRKKQKEDVDIVGGSDGEGAIGLSSDPKSREQM
+INDRIGYKPQPKPNNRSSQFGNFEF
+>sp|Q2TBW6|ARL3_BOVIN ADP-ribosylation factor-like protein 3 OS=Bos taurus OX=9913 GN=ARL3 PE=2 SV=1
+MGLLSILRKLKSAPDQEVRILLLGLDNAGKTTLLKQLASEDISHITPTQGFNIKSVQSQG
+FKLNVWDIGGQRKIRPYWRNYFENTDILIYVIDSADRKRFEETGQELAELLEEEKLSCVP
+VLIFANKQDLLTAAPASEIAEGLNLHTIRDRFWQIQSCSALTGEGVQDGMNWVCKNVSAK
+KK
+>sp|A0JNH6|C102A_BOVIN Coiled-coil domain-containing protein 102A OS=Bos taurus OX=9913 GN=CCDC102A PE=2 SV=1
+MSHGPSPRLAESPQLSKGSLLTILGSPSPERMGPADSLPPTPPSGTPSPGPPPALPLPPT
+PALLADGDWESREELRLRELEEARARAAQMEKTMRWWSDCTANWREKWSKVRAERNRARE
+EVRQLRQRLDALTKELAGARRERQEAQGESEARGRELARLRGARGGVDRTPDGPETEPER
+EQEPVPVRDVGSGCERPQGSQELELMESLLKNRPEEPEGCWEVRSAGAGAPRGSSGRQER
+GRLPWEDTTVEEDASKLTALRLRLDESQKVLLKEREDKMALSRNIEKLEGELSQWKIKYE
+ELSKTKQEMLKQLSILKEAHQDELGRMSEDLEDELGARSSMDRKMAELRGEMERLQAENA
+AEWGRRERLETEKLGLERENKKLRAQVGDLEEALARRRRQTASALDCDLRASQAALFEKN
+KELADLKHVHGKLKKQFQEKVAELAHANRRVEQHEAEVKKLRLRVEELKKELAQAEDELD
+EAHNQARKLQRSLDEQTEQSENLQVQLEHVQSRLRRQQQNAPLFGKIRSARFGAEEAGDG
+ASDLDEDEDLQIQVA
+>sp|Q29S20|CC014_BOVIN Uncharacterized protein C3orf14 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MTSLFTQEIRLSKRHEKIVSQRLMLLQQMENKPEDQNKGRASQTQAAKAALQRNVSLLKD
+IEAAEKSLQTRMYPALPPEVAALETLYWASVEEYIPKWEQFLLGRAPYPACSENGNEAED
+TIPKRAQQ
+>sp|A1A4R9|AP2A_BOVIN Transcription factor AP-2-alpha OS=Bos taurus OX=9913 GN=TFAP2A PE=2 SV=1
+MLWKLTDNIKYEDCEDRHDGASNGTARLPQLGTVGQSPYTSAPPLSHTPNADFQPPYFPP
+PYQPIYPQSQDPYSHVNDPYSLNPLHAQPQPQHPGWPGQRQSQESGLLHTHRGLPHQLSG
+LDPRRDYRRHEDLLHGPHGLGSGLGDLPIHSLPHAIEDVPHVEDPGINIPDQTVIKKGPV
+SLSKSNSNAVSAIPINKDNLFGGVVNPNEVFCSVPGRLSLLSSTSKYKVTVAEVQRRLSP
+PECLNASLLGGVLRRAKSKNGGRSLREKLDKIGLNLPAGRRKAANVTLLTSLVEGEAVHL
+ARDFGYVCETEFPAKAVAEFLNRQHSDPNEQVTRKNMLLATKQICKEFTDLLAQDRSPLG
+NSRPNPILEPGIQSCLTHFNLISHGFGSPAVCAAVTALQNYLTEALKAMDKMYLSNNPNS
+HTDNNAKSSDKEEKHRK
+>sp|Q3SX00|ANR46_BOVIN Ankyrin repeat domain-containing protein 46 OS=Bos taurus OX=9913 GN=ANKRD46 PE=2 SV=1
+MSYVFVNDSSQTNVPLLQACIDGDFNYSKRLLESGFDPNIRDSRGRTGLHLAAARGNVDI
+CQLLHKFGADLLATDYQGNTALHLCGHVDTIQFLVSNGLKIDICNHQGATPLVLAKRRGV
+NKDVIRLLESLEEQEVKGFNRGTHSKLETMQTAESESAMESHSLLNPNLQQGEGVLSSFR
+TTWQEFVEDLGFWRVLLLIFVIALLSLGIAYYVSGVLPFVENQPELVH
+>sp|Q7SIB3|CO4A2_BOVIN Collagen alpha-2(IV) chain (Fragment) OS=Bos taurus OX=9913 GN=COL4A2 PE=1 SV=1
+ISIGYLLVKHSQTDQEPMCPVGMNKLWSGYSLLYFEGQEKAHNQDLGLAGSCLARFSTMP
+FLYCNPGDVCYYASRNDKSYWLSTTAPLPMMPVAEEDIRPYISRCSVCEAPAVAIAVHSQ
+DVSIPHCPAGWRSLWIGYSFLMHTAAGDEGGGQSLVSPGSCLEDFRATPFIECNGARGTC
+HYYANKYSFWLTTIPEQSFQGTPSADTLKAGLIRTHISRCQVCMKNL
+>sp|E1BHJ4|CP26B_BOVIN Cytochrome P450 26B1 OS=Bos taurus OX=9913 GN=CYP26B1 PE=3 SV=1
+MLFEGLELVSALATLAACLVSVTLLLAVSQQLWQLRWAATRDKSCKLPIPKGSMGFPLIG
+ETGHWLLQGSGFQSSRREKYGNVFKTHLLGRPLIRVTGAENVRKILMGEHHLVSTEWPRS
+TRMLLGPNTVSNSIGDIHRNKRKVFSKIFSHEALESYLPKIQLVIQDTLRAWSSHPEAIN
+VYQEAQKLTFRMAIRVLLGFSIPEEDLGHLFEVYQQFVENVFSLPVDLPFSGYRRGIQAR
+QTLQKGLEKAIREKLQCTQGKDYSDALDILIESSKEHGKEMTMQELKDGTLELIFAAYAT
+TASASTSLIMQLLKHPAVLEKLREELRAKGLLHSGGCPCEGTLRLDTLSGLHYLDCVIKE
+VMRLFTPVSGGYRTVLQTFELDGFQIPKGWSVMYSIRDTHDTAPVFKDVNVFDPDRFGQA
+RSEDKDGRFHYLPFGGGVRTCLGKHLAKLFLKVLAVELASTSRFELATRTFPRITLVPVL
+HPVDGLSVKFFGLDSNQNKILPETEAMLSATV
+>sp|P04467|CALB1_BOVIN Calbindin OS=Bos taurus OX=9913 GN=CALB1 PE=1 SV=3
+MAESHLQSSLITASQFFEIWLHFDADGSGYLEGKELQNLIQELQQARKKAGLELSPEMKT
+FVDQYGQRDDGKIGIVELAHVLPTEENFLLLFRCQQLKSCEEFMKTWRKYDTDHSGFIET
+EELKNFLKDLLEKANKTVDDTKLAEYTDLMLKLFDSNNDGKLELTEMARLLPVQENFLLK
+FQGVKMCGKEFNKAFELYDQDGNGYIDENELDALLKDLCEKNKQDLDINNIPTYKKSIMA
+LSDGGKLYRTDLALILSAGDN
+>sp|Q3SYU6|CNN2_BOVIN Calponin-2 OS=Bos taurus OX=9913 GN=CNN2 PE=2 SV=3
+MSSTQFNKGPSYGLSAEVKNRLQSKYDPQKEAELRSWIEGLTGLSVGPDFQKGLKDGIIL
+CTLMNKLQPGSVPKINRSMQNWHQLENLSNFIKAMVSYGMNPVDLFEANDLFESGNLTQV
+QVSLLALAGKAKTKGLQSGVDIGVKYSEKQERNFDDATMKAGQCVIGLQMGTNKCASQSG
+MTAYGTRRHLYDPKNHILPPMDHSTISLQMGTNKCASQVGMTAPGTRRHIYDTKLGTDKC
+DNSSMSLQMGYTQGANQSGQVFGLGRQIYDPKYCPQGPAADGAPAAAGDGPGPGEPSECP
+PYYQEEAGY
+>sp|Q3ZC84|CNDP2_BOVIN Cytosolic non-specific dipeptidase OS=Bos taurus OX=9913 GN=CNDP2 PE=2 SV=1
+MSALTTLFKYVDENQDRYVKKLAEWVAIQSVSAWPEKRGEIRRMMEVAAADIKQLGGSVQ
+LVDIGTQKLPDGSEIPLPPILLGKLGSDPQKKTVCIYGHLDVQPAALEDGWDSEPFTLVE
+RDGKLFGRGATDDKGPVAGWINALEAFQKTKQEVPVNVRFCLEGMEESGSEGLDALIFAQ
+KDAFFKDVDYVCISDNYWLGKNKPCITYGLRGICYFFIEVECSDKDLHSGVYGGSVHEAM
+TDLIMLMGCLMDKKGKILIPGISEAVAPVTEEELELYDKIDFDLEEYARDVGAGTLLHGC
+KKDILMHRWRYPSLSLHGIEGAFSGSGAKTVIPRKVVGKFSIRLVPNMTPEVVSEQVTSY
+LTKKFAELHSPNKFKVYMGHGGKPWVSDFNHPHYLAGRRALKTVFGVEPDLTREGGSIPV
+TLTFQEATGKNVMLLPVGSADDGAHSQNEKLNRRNYIEGTKMLAAYLYEVSQLKD
+>sp|D3K0R6|AT2B4_BOVIN Plasma membrane calcium-transporting ATPase 4 OS=Bos taurus OX=9913 GN=ATP2B4 PE=1 SV=2
+MTNPTEHTLPSNSILESREGEFGCTVMDLRKLMELRSSDAIDQINVHYGGVMNLCSRLKT
+NPVEGLSGNPADLEKRKQVFGQNLIPPKKPKTFLELVWEALQDVTLIILEIAAIISLVLS
+FYRPPGGENEQCGLAVTSPEDEGEAEAGWIEGAAILFSVIIVVLVTAFNDWSKEKQFRGL
+QNRIEKEQKFSVIRNGHIIQLPVAEIVVGDIAQIKYGDLLPADGILIQGNDLKIDESSLT
+GESDHVKKSLERDPMLLSGTHVMEGSGRMVVTAVGINSQTGIIFTLLGASEGEEEEKKKK
+GKKQGVPENRNKAKTQDGVALEIQPLNSQEGIDSEEKEKKAAKLPKKEKSVLQGKLTRLA
+VQIGKAGLIMSAITVLILILYFVIDNFVIQRRPWLAECTPIYVQYFVKFFIIGVTVLVVA
+VPEGLPLAVTISLAYSVKKMMKDNNLVRHLDACETMGNATAICSDKTGTLTMNRMSVVQA
+YIGDTRYHQIPSPDDLVPKVLDLIVNGISINSAYTSKILPPEKEGGLPRQVGNKTECALL
+GFVSDLKQDYHAVRSEVPEEKLYKVYTFNSVRKSMSTVIEKPGGGYRMYSKGASEIILRK
+CNRILDKKGEAVPFKNKDRDEMVRTVIEPMACEGLRTLCIAYRDFNDGEPPWDNESEILT
+ELTCIAVVGIEDPVRPEVPEAIAKCKRAGITVRMVTGDNINTARAIATKCGIVTPGDDFL
+CLEGKEFNRLIRNEKGEVEQEKLDKIWPKLRVLARSSPTDKHTLVKGIIDSTVGDQRQVV
+AVTGDGTNDGPALKKADVGFAMGIAGTDVAKEASDIILTDDNFTSIVKAVMWGRNVYDSI
+SKFLQFQLTVNVVAVIVAFTGACITQDSPLKAVQMLWVNLIMDTFASLALATEPPTDSLL
+KRRPYGRNKPLISRTMMKNILGHAVYQLTVIFFLVFAGEKFFDIDSGRRAPLHSPPSQHY
+TIIFNTFVLMQLFNEINSRKIHGERNVFSGIFRNLIFCSVVLGTFISQIIIVEFGGKPFS
+CTKLTLSQWFWCLFIGIGELLWGQVISTIPTQSLKFLKEAGHGTTKEEITKDAEGLDEID
+HAEMELRRGQILWFRGLNRIQTQIKVVKAFHSSLHESIQKPKNQNSIHNFMTHPEFTIDE
+EGPRTPLLDEQEEEIFEKVSKPGTKTSSLDGEVTPQTNKNNNTVDCCQVQIVASHSDSPL
+HSLETSV
+>sp|P20072|ANXA7_BOVIN Annexin A7 OS=Bos taurus OX=9913 GN=ANXA7 PE=1 SV=2
+MSYPGYPPTGYPPFPGYPPTGQESSFPPPGQYPYPSGFPPMGGGAYPPAPSSGYPGAGGY
+PAPGGYPAPGGYPGAPQPGGAPSYPGGQGFGAPPGGAGFPGYPQPPTQSYGGGPAQVPLP
+GGFPGGAMPSQYPGGQSPYPSQPAPMTQGTHGTIRPAANFDAMRDAEVLRKAMKGFGTDE
+QAIIDVVANRSNDQRQKIKAAFKTMYGKDLIKDLKSELSGNMEELILALFMPSTYYDAWS
+LRNAMKGAGTQERVLIEILCTRTNQEIREIVRCYQSEFGRDLEKDIRSDTSGHFERLLVS
+MCQGNRDENQNVNHQLAQEDAQRLYQAGEGRLGTDESCFNMILATRSFPQLKATMEAYSR
+MANRDLLNSVSREFSGNVESGLKTILQCALNRPAFFAERLYYSMKGAGTDDSTLVRIVVT
+RSEIDLVQIKQMFSQMYQKTLGTMIASDTSGDYRKLLLAIVGQ
+>sp|Q32P68|CK088_BOVIN UPF0722 protein C11orf88 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+METRPSSSLSSPEESCEAFPQGLLVFTGSSDRDANLAKQFWIGASMYPHNESQLVLSRGS
+SQRLPVARPSRSSAPEKTYFQPFFLEESKSRDVTAETLKIQESEEKKKYLQKAKKRDEII
+QLLRKQREERILKELISLPHKPKGKVHKAKKVISESDKEDQEEVKALD
+>sp|A5PK62|CK094_BOVIN Uncharacterized protein C11orf94 homolog OS=Bos taurus OX=9913 PE=3 SV=1
+MVLAMLGALHPRAGLSLFALYLVLAAALLRPQPLRPQRAVPEEFSAPLELSAPLSGLVDD
+YGVRPKHPWPRGPRPLLSRAQQRKRDGPDMAEYYYDSRL
+>sp|Q29449|AT8A1_BOVIN Probable phospholipid-transporting ATPase IA OS=Bos taurus OX=9913 GN=ATP8A1 PE=1 SV=2
+MPTMRRTVSEIRSRAEGYEKTDDVSEKTSLADQEEIRTIFINQPQLTKFCNNHVSTAKYN
+IITFLPRFLYSQFRRAANSFFLFIALLQQIPDVSPTGRYTTLVPLLFILAVAAIKEIIED
+IKRHKADNAVNKKQTQVLRNGAWEIVHWEKVNVGDIVIIKGKEYIPADTVLLSSSEPQAM
+CYIETSNLDGETNLKIRQGLPATSDIKDIDSLMRLSGRIECESPNRHLYDFVGNIRLDGR
+STVPLGADQILLRGAQLRNTQWVHGIVVYTGHDTKLMQNSTSPPLKLSNVERITNVQILI
+LFCILIAMSLVCSVGSAIWNRRHSGRDWYLNLNYGGANNFGLNFLTFIILFNNLIPISLL
+VTLEVVKFTQAYFINWDLDMHYEPTDTAAMARTSNLNVELGQVKYIFSDKTGTLTCNVMQ
+FKKCTIAGVAYGQNSQFGDEKTFSDSSLLENLQNNHPTAPIICEFLTMMAVCHTAVPERE
+GDKIIYQAASPDEGALVRAAKQLNFVFTGRTPDSVIIDSLGQEERYELLNVLEFTSARKR
+MSVIVRTPSGKLRLYCKGADTVIYDRLAETSKYKEITLKHLEQFATEGLRTLCFAVAEIS
+ESDFQEWRAVYHRASTSVQNRLLKLEESYELIEKNLQLLGATAIEDKLQDQVPETIETLM
+KADIKIWILTGDKQETAINIGHSCKLRRKNMGMIVINEGSLDGTRETLSRHCTTLGDALR
+KENDFALIIDGKTLKYALTFGVRQYFLDLALSCKAVICCRVSPLQKSEVVEMVKKQVKVI
+TLAIGDGANDVSMIQTAHVGVGISGNEGLQAANSSDYSIAQFKYLKNLLMVHGAWNYNRG
+SKCILYCFYKNIVLYIIEIWFAFVNGFSGQILFERWCIGLYNVMFTAMPPLTLGIFERSC
+RKEYMLKYPELYKTSQNALDFNTKVFWVHCLNGLFHSVILFWFPLKALQYGTVFENGRTS
+DYLLLGNFVYTFVVITVCLKAGLETSYWTWFSHIAIWGSIALWVVFFGIYSSLWPAVPMA
+PDMSGEAAMLFSSGVFWMGLLFIPVASLLLDVVYKVIKRTAFKTLVDEVQELEAKSQDPG
+AVVLGKSLTERAQLLKNVFKKNHVNLYRSESLQQNLLHGYAFSQDENGIVSQSEVIRAYD
+TTKQRPDEW
+>sp|P68399|CSK21_BOVIN Casein kinase II subunit alpha OS=Bos taurus OX=9913 GN=CSNK2A1 PE=1 SV=1
+MSGPVPSRARVYTDVNTHRPREYWDYESHVVEWGNQDDYQLVRKLGRGKYSEVFEAINIT
+NNEKVVVKILKPVKKKKIKREIKILENLRGGPNIITLADIVKDPVSRTPALVFEHVNNTD
+FKQLYQTLTDYDIRFYMYEILKALDYCHSMGIMHRDVKPHNVMIDHEHRKLRLIDWGLAE
+FYHPGQEYNVRVASRYFKGPELLVDYQMYDYSLDMWSLGCMLASMIFRKEPFFHGHDNYD
+QLVRIAKVLGTEDLYDYIDKYNIELDPRFNDILGRHSRKRWERFVHSENQHLVSPEALDF
+LDKLLRYDHQSRLTAREAMEHPYFYTVVKDQARMGSSSMPGGSTPVSSANMMSGISSVPT
+PSPLGPLAGSPVIAAANPLGMPVPAAAGAQQ
+>sp|A7YWC4|ATAD3_BOVIN ATPase family AAA domain-containing protein 3 OS=Bos taurus OX=9913 GN=ATAD3 PE=2 SV=1
+MSWLFGIKGSKGEGTGPPLPLPPVQPGGEGSGDGGAGDRPGPKDKWSNFDPTGLERAAKA
+ARELEHSRHAKEALSLAQMQEQTLQLEHQAKLKEYEAAVEQLKGDQIRVQAEERRKTLSE
+ETRQHQARAQYQDKLARQRYEDQLKQQQLLNEENLRKQEESVQKQEALRRATVEREMELR
+HKNEMLRVEAEARARAKAERENADIIREQIRLKAAEHRQTILESIRTAGTLFGEGFRAFV
+TDWDKVTATVAGLTLLAVGIYSAKNATSVAGRYIEARLGKPSLVRETSRITVLEALRHPI
+QVSRRLLSKPQDALEGVVLSPSLEARVRDIAIATRNTKKNKSLYRNVLMYGPPGTGKTLF
+AKKLALHSGMDYAIMTGGDVAPMGRDGVTAMHKVFDWASTSRRGLLLFVDEADAFLRKRA
+TEKISEDLRATLNAFLHRTGQHSSKFMLVLASNQPEQFDWAINDRIDEMVSFELPQREER
+ERLVRMYFDKYVLKPATEGKQRLKLAQFDYGKKCSEIAQLTEGMSGREISQLAVAWQAMA
+YASEDGVLTEAMMDARVQDAIQQHRQKMQWLKAEGSQPPTLRTQAE
+>sp|Q58DC0|CPPED_BOVIN Serine/threonine-protein phosphatase CPPED1 OS=Bos taurus OX=9913 GN=CPPED1 PE=2 SV=1
+MSTAEAGGVFHRARGRTLDAFSSEKEREWKGPFYFIQGADPQFGLMKAWATGDCDNGGDE
+WEQEIRLAEQAVQAINKLNPKPKFFVLCGDLVHAMPGRPWRKEQTEDLQRVLRTVDSDIP
+LVLVSGNHDVGNVPTPETIAEFQRTWGDDYFSFWVGGVLFLVLNSQFLYDASRCPALKQE
+HDHWLDQQLRIAGQRACRHAVVFQHIPLFLQSIGEDDDYFNLTKSVRKEMADKFVEAGVK
+AVFSGHYHRNAGGTYRNLDMVVSSAIGCQLGTDTHGLRVVVVTAEKITHRYYSLDELSEK
+GIEDDLMDLLKEN
+>sp|P25930|CXCR4_BOVIN C-X-C chemokine receptor type 4 OS=Bos taurus OX=9913 GN=CXCR4 PE=2 SV=1
+MEGIRIFTSDNYTEDDLGSGDYDSMKEPCFREENAHFNRIFLPTVYSIIFLTGIVGNGLV
+ILVMGYQKKLRSMTDKYRLHLSVADLLFVLTLPFWAVDAVANWYFGKFLCKAVHVIYTVN
+LYSSVLILAFISLDRYLAIVHATNSQKPRKLLAEKVVYVGVWLPAVLLTIPDLIFADIKE
+VDERYICDRFYPSDLWLVVFQFQHIVVGLLLPGIVILSCYCIIISKLSHSKGYQKRKALK
+TTVILILTFFACWLPYYIGISIDSFILLEIIQQGCEFESTVHKWISITEALAFFHCCLNP
+ILYAFLGAKFKTSAQHALTSVSRGSSLKILSKGKRGGHSSVSTESESSSFHSS
+>sp|Q3SX05|ECSIT_BOVIN Evolutionarily conserved signaling intermediate in Toll pathway, mitochondrial OS=Bos taurus OX=9913 GN=ECSIT PE=2 SV=1
+MSWAQAILLARGASRGWGGICSTALTGAPFSQVPPQAPRGLRCSAAAHNPDSSLVPHPPE
+PPRRPVKALAVHEELFRPALDGARNKANFVRAVQNFTEYNVHKRGHVDFIYLALRKMREY
+GVERDLSVYNLLLDIFPKEVFRPRNIFHSIFLHYPRQQECGIAVLEQMENHGVMPNKETE
+FLLLQIFGRKSYPMLKLVRMKLWFTRFKNINPFPVPRDLPQDPVDLASLALRHMEPDLSA
+RVTTYQMPLLKDSPNAMDPTETHIVGIQSPEQQSALARHDPARPIFVEGPFSLWLRDKCV
+YYHILRADLLPPEEREVEEIPEEWNLYYPMQLDLAYGRSSWDDYEFNIDEVEEGPVFAIC
+VAGAHDQATLAKWIQGLQETNPALARIPVVFRLSGSSGELLPSSSELEEPPPPPPEGQEE
+EEDSQQRQQQGQS
+>sp|Q0IIK5|DDX1_BOVIN ATP-dependent RNA helicase DDX1 OS=Bos taurus OX=9913 GN=DDX1 PE=2 SV=1
+MAAFSEMGVMPEIAQAVEEMDWLLPTDIQAESIPLILGGGDVLMAAETGSGKTGAFSIPV
+IQIVYETLKDQQEGKKGKATIKTGASVLNKWQMNPYDRGSAFAIGSDGLCCQSREVKEWH
+GCRATKGLTKGKHYYEVSCHDQGLCRVGWSSMQASLDLGTDKFGFGFGGTGKKSHNKQFD
+NYGEEFTMHDTIGCYLDIDKGHVKFSKNGKDLGLAFEIPPHMKNQALFPACVLKNAELKF
+NFGEEEFKFPPKDGFVALSKAPESFVVKSQHTGSAQVAQTKFLPNAPKALIVEPSRELAE
+QTLNNVKQFKKYIDNPKLRELLIIGGVAARDQLSVLDNGVDIVVGTPGRLDDLVSTGKLN
+LSQVRFLVLDEADGLLSQGYSDFINRIHNQIPQITSDGKRLQVIVCSATLHSFDVKKLSE
+KIMHFPTWVDLKGEDSVPDTVHHVVVPVNPKTDRLWERLGKSHIRTDEVHAKDNTRPGAN
+SPEMWSEAIKILKGEYAVRAIKEHKMDQAIIFCRTKIDCDNLEQYFMQQGGGPDKKGHQF
+SCVCLHGDRKPHERKQNLERFKKGDVRFLICTDVAARGIDIHGVPYVINVTLPDEKQNYV
+HRIGRVGRAERMGLAISLVATEKEKVWYHVCSSRGKGCYNTRLKEDGGCTIWYNEMQLLS
+EIEEHLNCTISQVEPDIKVPVDEFDGKVTYGQKRTLGGGNYKGHVDVLAPTVQELAALEK
+EAQTSFLHLGYLPNQLFRTF
+>sp|Q32LN6|F205C_BOVIN Protein FAM205C OS=Bos taurus OX=9913 GN=FAM205C PE=2 SV=1
+MLSPTFILWDVGYPFYTYGSIIIIALIIWQVKKNHRGLKLGPNRNCCRRHQKVKQRAKEK
+TPRARRHSRKEADKPLELLSIMRSQGWLPQEGSVRRLLCADPCCQICNSVALEIQQLISG
+ETTLTTPTSSGPSQGSSCLEVLSMSSLSFDQSQESLPFKQLSLPSATRTVSQLTNQKSVT
+QSAAKSATKPATKSVSAISIRQYWAGHQQLRQECRGPELPLDAGALSSSSVEEPRIPVNQ
+HVKKKSNSEGILKKQEAVEADLGNKLKHFTQWINPDMKGQGRKECILRCKDEKVAKTKTK
+KAEKSPPSTKRPMKGAKLEKEEGFFDALQCLDSEFQRQSMESVRSSQSCFLPLSSGSSKR
+SPLLTCATQPENPSHVSVSTSAEGTCLPQESTQSRKKELRGSQTSASS
+>sp|Q1RMI1|GP183_BOVIN G-protein coupled receptor 183 OS=Bos taurus OX=9913 GN=GPR183 PE=2 SV=1
+MDIKMDNFTTPSAASLESDCDLYAHHHTARILMPLHYSIVFIIGLVGNLLALIVIIQNRK
+KINSTTLYSTNLVISDILFTTALPTRIAYYALGFDWRIGDALCRITALVFYINTYAGVNF
+MTCLSIDRFFAVVHPLRYNKIKRIEHAKCICIFVWILVFGQTLPLLINPMSKQEAERTTC
+MEYPNFEETKSLPWILLGACFIGYVLPLVIILICYSQICCKLFKTAKQNPLTEKSGVNKK
+ALNTIIFIIVVFVVCFTPYHVAIIQHMIKKLRLPGLLECSQRHSFQISLHFTVCLMNFNC
+CMDPFIYFFACKGYKRKVMKMLKRQVSVSISSAVRSAPEENSREMTETQMMIHSKSLNGK
+>sp|Q0VCR8|EX3L1_BOVIN Exocyst complex component 3-like protein OS=Bos taurus OX=9913 GN=EXOC3L1 PE=2 SV=1
+MDSAARDKTQPALPTGLEWPEQERAEQLARGAALKWASGIFYRPEQLARLGQYRNREVQR
+TCSLEARIKSVVQSYLEGVKTGVWQLAQALEAVQGAREALGQARGLLRDMAEAAQTLEPL
+REQVVEHKQLQALSQLLPRLRAVPAAVAHTQTLIDAQRLLEAYVSLRELEQLQEETCVPL
+GGLELPVFEGLGPLAEALGQAVEAAAGAAGQLARENPALLVAAVRVAEVDAGCTTSLEQA
+PRDWRQRCLRALQQGLERVHFGTSLQPGPGELAKWLEALRVALPAELAMAEALVAPCCPP
+HYKVVQLWAHTLHGGLRRCLQQLLEGPELEEADTFTLLHWVLHVYQGPEMMGSLELGPEA
+DVSDLEPLLTLENIEQLEATFVAKVQAKVAQWLQKALDGEVVEWGREQEPDTDLSGFYHS
+PLPAIVLQILEENIRVTRIVSVSLEQRVHGMALSELSAFLRSFTDALIRFSRDHLRGEAV
+VPHYVPYLLATLNHQLALSSSVSVLQPKWVVPGVLAPVEAELDKLQKRICRLVLEALLAE
+LQPLFAALPSRRWLSSPELLDDVCKRTARFCQNFQHVRNPAVQLLLVEAERTVVLQYLSA
+LMQGRLVCRGADERTQAAERMQHDAAQLQELFLGLGLEESVQCVPVLLALKELLNLRDPT
+LLGLVVAGLRQQFPDVSEDHVSALLDLRGDVSREQRLAALSSLRAGPQPSPQAGRRALFS
+LVPTPAPSLASCFPSGSCA
+>sp|Q5EA89|FA76A_BOVIN Protein FAM76A OS=Bos taurus OX=9913 GN=FAM76A PE=2 SV=1
+MAALYACTKCHQRFPFEALSQGQQLCKECRIAHPVVKCTYCRTEYQQESKTNTICKKCAQ
+NVQLYGTPKPCQYCNIIAAFIGNKCQRCTNSEKKYGPPYSCEQCKQQCAFDRKDDRKKVD
+GKLLCWLCTLSYKRVLQKTKEQRKHLSSSSRASHHEKEQYSRLSGGSHYNSQKTLSTSSI
+QNEIPKKKSKFESITTNGDSFSPDLALDSPGTDHFVIIAQLKEEVATLKKMLHQKDQMIL
+EKEKKITELKADFQYQESQMRAKMNQMEKTHKEVTEQLQAKNRELLKQAAALSKSKKSEK
+SGAITSP
+>sp|Q28092|CYLC2_BOVIN Cylicin-2 OS=Bos taurus OX=9913 GN=CYLC2 PE=1 SV=1
+MSVPRFQKINFGAYDNYVPVSELSKKSWNQQHFALVFPKPPRPGKRRRSKPSLLQENTSP
+KYDAEKLRGDRKQPLWMHRSLMRISERPSVYLAARSRHPQKETPPSQEDAKQAAKPSSPK
+VKKSKEDKDKSDSEAESIVSKEKPRKLSKAKEEKPDEKKDLKKERKDSKKGKESATESED
+EKAGAEKGAKKDRKGSKKGKETPSDSGSEKGDAKKDSKKSKKDSKGKESATESEGEKGDA
+KKDDKKGKKGSKKGKESATESEGEKGDAKKDDKKGKKGSKKGKESATESEGEKGDAKKDD
+KKGKKGSKKGKESATESEGEKGDAKKDDKKGKKGSKKGKESATESEGEKGDAKKDDKKGK
+KGSKKGKESDSKAEGDKGDAKKDDKKDKKGSKKGKESATESEGEKKDSKKDKAGKKDPTK
+AGEKGDESKDKKDAKKKDSKKEKKDEKKPGEAESEPKDSAKKDAKKDAKKDAKKDAKKDA
+KKDAKKGK
+>sp|E1BFE9|BI2L2_BOVIN Brain-specific angiogenesis inhibitor 1-associated protein 2-like protein 2 OS=Bos taurus OX=9913 GN=BAIAP2L2 PE=3 SV=1
+MAPEMDQFYRSTMAIYKSILEQFNPALENLVYLGNNYLRAFHALSEAAEVYFNAIQKIGE
+QALQSSTSQILGEILVQMSDTQRHLNSDLEVVVQTFHGDLLQHMEKNTKLDMQFIKDSRQ
+HYEMEYRHRAANLEKSMSQLWRMERKRDKNAREMKESVNRLHAQMQAFVSESQRAAELEE
+KRRYRFLAEKHLLLSNTFLQFFGRARGMLQNRVLLWKEQSEASRSPSRAHSPGLLGPVLG
+PPYPSGRLTPTRLDMPQRALGEFGSPRSRHGSGSYGPEPAEARSASQLEPDHRRSLPRTP
+SASSLYSSSTQRSRSNSFGERPGGGGGGGGARRVRALVSHSEGANHTLLRFSAGDVVEVL
+VPEAQNGWLYGKLEGSSSSGWFPEAYVKPLDELPVNPMNPLNPVTSMNPRSPVNELPSRL
+RSYPLRGSHSLDDLLDRPGNSTASSDYWDGQSRSRTPSHIPSRTPSPAPTPLPSSRRSSM
+GSMGVASDVKKLASWEQQPPELFPRGTNPFATVKLRPTVTNDRSAPLIR
+>sp|A7MBJ5|CAND1_BOVIN Cullin-associated NEDD8-dissociated protein 1 OS=Bos taurus OX=9913 GN=CAND1 PE=2 SV=1
+MASASYHISNLLEKMTSSDKDFRFMATNDLMTELQKDSIKLDDDSERKVVKMILKLLEDK
+NGEVQNLAVKCLGPLVSKVKEYQVETIVDTLCTNMLSDKEQLRDISSIGLKTVIGELPPA
+SSGSALAANVCKKITGRLTSAIAKQEDVSVQLEALDIMADMLSRQGGLLVNFHPSILTCL
+LPQLTSPRLAVRKRTIIALGHLVMSCGNIVFVDLIEHLLSELSKNDSMSTTRTYIQCIAA
+ISRQAGHRIGEYLEKIIPLVVKFCNVDDDELREYCIQAFESFVRRCPKEVYPHVSTIINI
+CLKYLTYDPNYNYDDEDEDENAMDADGGDDDDQGSDDEYSDDDDMSWKVRRAAAKCLDAV
+VSTRHEMLPEFYKTVSPALISRFKEREENVKADVFHAYLSLLKQTRPVQSWLCDPDAMEQ
+GETPLTMLQSQVPNIVKALHKQMKEKSVKTRQCCFNMLTELVNVLPGALTQHIPVLVPGI
+IFSLNDKSSSSNLKIDALSCLYVILCNHSPQVFHPHVQALVPPVVACVGDPFYKITSEAL
+LVTQQLVKVIRPLDQPSSFDATPYIKDLFTCTIKRLKAADIDQEVKERAISCMGQIICNL
+GDNLGSDLPNTLQIFLERLKNEITRLTTVKALTLIAGSPLKIDLRPVLGEGVPILASFLR
+KNQRALKLGTLSALDILIKNYSDSLTAAMIDAVLDELPPLISESDMHVSQMAISFLTTLA
+KVYPSSLSKISGSILNELIGLVRSPLLQGGALSAMLDFFQALVVTGTNNLGYMDLLRMLT
+GPVYSQSTALTHKQSYYSIAKCVAALTRACPKEGPAVVGQFIQDVKNSRSTDSIRLLALL
+SLGEVGHHIDLSGQLELKSVILEAFSSPSEEVKSAASYALGSISVGNLPEYLPFVLQEIT
+SQPKRQYLLLHSLKEIISSASVVGLKPYVENIWALLLKHCECAEEGTRNVVAECLGKLTL
+IDPETLLPRLKGYLISGSSYARSSVVTAVKFTISDHPQPIDPLLKNCIGDFLKTLEDPDL
+NVRRVALVTFNSAAHNKPSLIRDLLDTVLPHLYNETKVRKELIREVEMGPFKHTVDDGLD
+IRKAAFECMYTLLDSCLDRLDIFEFLNHVEDGLKDHYDIKMLTFLMLVRLSTLCPSAVLQ
+RLDRLVEPLRATCTTKVKANSVKQEFEKQDELKRSAMRAVAALLTIPEAEKSPLMSEFQS
+QISSNPELAAIFESIQKDSSSTNLESMDTS
+>sp|Q32KL9|BAP29_BOVIN B-cell receptor-associated protein 29 OS=Bos taurus OX=9913 GN=BCAP29 PE=2 SV=1
+MTLQWTAVATFLYAEIGLILIFCLPFIPPQRWQKIFSFSVWGKIASFWNKAFLTIIILLI
+VLFLDAVREVRKYSSTHTIEKSSASRPAAYEHTQMKLFRSQRNLYISGFSLFFWLVLRRL
+VTLITQLAKELSHKGVLKHQAENINQAAKKFMEENERLKRLLKNYGKEEEHILEAENKKL
+EEDKEKLKTELKKASDALSKAQNDVMIMKMQSERLSKEYDRLLREHSELQDRAGKDKKCL
+>sp|P49449|CENPA_BOVIN Histone H3-like centromeric protein A OS=Bos taurus OX=9913 GN=CENPA PE=1 SV=3
+MGPRRQKRKPETPRRRPASPAPAAPRPTPSLGTSSRPLARRRHTVLKEIRTLQKTTHLLL
+RKSPFCRLAREICVQFTRGVDFNWQAQALLALQEAAEAFLVHLFEDAYLLSLHAGRVTLF
+PKDVQLARRIRGIQEGLG
+>sp|Q3ZBZ1|CAB45_BOVIN 45 kDa calcium-binding protein OS=Bos taurus OX=9913 GN=SDF4 PE=2 SV=1
+MASRQAPLCGLAPCCLWLLGVVLLMNASARPANHSSARERAGNREENEILPPDHLNGVKL
+EMDGHLNKDFHQEVFLGKDMDDFEEDAEPRKSRRKLMVIFSKVDLNTDRRISAKEMQKWI
+MQKTAEHFQEAVAESRAHFRAVDPDGDGHVSWDEYKVKFLATKGHNEREVAEKIKNKWDL
+NIDEETQEVLENLKDRWYQADNPPPDLLLTESEFLSFLHPEHSRGMLQFMVKEIIRDLDQ
+DGDKKLSLSEFISLPVGTVENQQGQDVDDSWVRDRKREFEELIDANHDGIVTMAELEDYM
+DPMNEFSALNEAKQMIAIADENQNHYLEPEEVLKYSEFFTGSKLVDYARSVHEEF
+>sp|P53348|BTG1_BOVIN Protein BTG1 OS=Bos taurus OX=9913 GN=BTG1 PE=2 SV=2
+MHPFYSRAATMIGEIAAAVSFISKFLRTKGLTSERQLQTFSQSLQELLAEHYKHHWFPEK
+PCKGSGYRCIRINHKMDPLIGQAAQRIGLSSQELFRLLPSELTLWVDPYEVSYRIGEDGS
+ICVLYEASPAGGSTQNSTNVQMVDSRISCKEELLLGRTSPSKNYNMMTVSG
+>sp|Q2HJ17|CCR5_BOVIN C-C chemokine receptor type 5 OS=Bos taurus OX=9913 GN=CCR5 PE=2 SV=1
+MDYQTSTPLYDIDYGMSEPCQKLNVRQIAARLLPPLYSLVFIFGFVGNMLVVLILINCKK
+LKSMTDIYLLNLAISDLLFIITIPFWAHYAADQWVFGNTMCQLFTGFYFIGYFGGIFFII
+LLTIDRYLAIVHAVFALKARTVTFGAATSVVTWVVAVFASLPGIIFTKSQKEGSRHTCSP
+HFPSSQYHFWKNFQTLKIVILGLVLPLLVMIVCYSGIIKTLLRCRNEKKKHKAVRLIFVI
+MIVYFLFWAPYNIVLLLSTFQEFFGLNNCSGSNRLDQAMQVTETLGMTHCCINPIIYAFV
+GEKFRNYLLRFFRKYFASRFCKGCPVFQGEAPERVSSVYTRSTGEQEISVGL
+>sp|Q5E9I1|CCNG1_BOVIN Cyclin-G1 OS=Bos taurus OX=9913 GN=CCNG1 PE=2 SV=1
+MIEVLTTTDSQKLLHQLNALLEQELRCQPKVCGLRLIESAHDNGLRMTARLRDFEVKDLL
+SLTQFFGFDTETFSLAVNLLDRFLSKMKVQPKHLGCVGLSCFYLAVKSTEEERNVPLATD
+LIRISQYRFTVSDLMRMEKIVLEKVCWKVKATTAFQFLQLYYSLLQENVPHERKSSLNFE
+RLEAQLKACYCRIIFSKAKPSVLALSIIALEIQAQKYIELTEGVERLQKHSKISGRDLTF
+WQELVSKCLAEYSSNKCAKPNVQKLKWIVSGRTARQLRHSYYRITHLPTIPETVP
+>sp|P00428|COX5B_BOVIN Cytochrome c oxidase subunit 5B, mitochondrial OS=Bos taurus OX=9913 GN=COX5B PE=1 SV=2
+MASRLLRGVGALASQALRARGPNGVSVVRSMASGGGVPTDEEQATGLEREVMLAARKGQD
+PYNILAPKATSGTKEDPNLVPSITNKRIVGCICEEDNSTVIWFWLHKGEAQRCPSCGTHY
+KLVPHQLAH
+>sp|Q08DG6|COX15_BOVIN Cytochrome c oxidase assembly protein COX15 homolog OS=Bos taurus OX=9913 GN=COX15 PE=2 SV=1
+MQRLLFTPLTAFLGSPCLRLLVPRVAPRTQCGCSCGIRRPLRPGQYSTISDVALQPGRST
+VSLPSKAAERVVGRWLLVCSGTVAGAVILGGVTRLTESGLSMVDWHLIKEMKPPTSKEEW
+EAEFQKYQQFPEFKILNHDMTLAEFKFIWYMEYSHRMWGRLVGLAYILPAAYFWKKGWLT
+RGLKGRVLALCGLVCFQGLLGWYMVKSGLEEKPDSHDIPRVSQYRLAAHLGSALVLYCAS
+LWTSLSLLLPQHKLTETRQLLRLRRFAHGTAGLVFLTALSGAFVAGLDAGLVYNSFPKMG
+ESWIPEDLFTFSPLLRNVFENPTMVQFDHRVLGIASVTAVTVLYFLSRRIPLPRRTKIAA
+TTLLALAYTQVGLGISTLLMYVPTPLAATHQSGSLALLSVALWLMNELRRVPK
+>sp|P02527|CRGA_BOVIN Gamma-crystallin A OS=Bos taurus OX=9913 GN=CRYGA PE=1 SV=2
+MGKITFYEDRGFQGHCYQCSSNNCLQQPYFSRCNSIRVDVHSWFVYQRPDYRGHQYMLQR
+GNYPQYGQWMGFDDSIRSCRLIPQHTGTFRMRIYERDDFRGQMSEITDDCPSLQDRFHLT
+EVNSVRVLEGSWVIYEMPSYRGRQYLLRPGEYRRYLDWGAMNAKVGSLRRVMDFY
+>sp|Q58CQ5|ANKZ1_BOVIN Ankyrin repeat and zinc finger domain-containing protein 1 OS=Bos taurus OX=9913 GN=ANKZF1 PE=2 SV=2
+MSPAPAATQAPVSVSLFDLSTDAPVLQGLRLVSHFPEEALAQSLQTSCPGSEEQISPERR
+PFQGALDISEKLFCSTCDQVFQNHQEQREHYKLDWHRFNLKQRLKDKPLLSALDFEKQSS
+TGDLSSISGSEDSDSDSEEDLQILDEERADLEKPTRPQGFHPHRVLFQNAQGQFLYAYRC
+VLGPRHASASTYCVVPLEESELLLQNLQTGGPRDCVVLMAAAGHFAGAIFQGREVLTHKT
+FHRYTVRAKRGTAQGLRDARGAAAHSAGASLRRYNEAALYKEVRDLLAGPAWAKALEEAG
+TILLRAPRSGRSLFFGGREAPLRRGDPRLWDIPLATRRPTFQELQRVVHKLTTLHIHGED
+PRETSRLDLPQTHRKRVRERKVIEEESKVPSDENEALGQNKEAPTQGSESEGGDGSQVEL
+ELVEVTLGTLDLREFDVFPKQRRRKRNKRERKQDLESGAQMTLSQQPKEDEALSGSAPLR
+PPLDEATSPCQSELWDVLLAACRAGDVGMLKDRLTASPLHPGVLPLLSAPLGSGGFTLLH
+AAAAAGRGSVVRLLLEAGADPTVQDSRARPPYTVAADRSTRNEFRRFMEKNPDAYDYSKA
+QVPGPLTAEMEARQATRRREQKAARRHREEQQRKQQEQEKQEQEEQQRFAALSDREKRAL
+AAERRLAAQLGALNPQTPDPAITVSNIPRCWSCGMSLQGLVPFHYLDFSFCSTRCLRDHR
+CQAGKPSS
+>sp|Q8WN91|CDHR1_BOVIN Cadherin-related family member 1 OS=Bos taurus OX=9913 GN=CDHR1 PE=2 SV=1
+MGRGPPAVLAPWMLFLSLAQANFAPHFFDNGAGSTNGNMALFSLPEDTPVGSHVYTLNGT
+DPEGDPVSYHISFNPSARSVFSVDPNLGNITLIEELDREREDEIEAIISISDGLNLVAEK
+VTILVTDANDEAPRFIQEPYVVQVPEDTPSGSSIARVRAVDRDTGSAGSVTYFLKNPHPT
+EFSVDRHSGVLRLRAGAILDFEKARAHFVTVVAKDGGGKLRGADVVLSATTVVTVNVEDV
+QDMGPVFVGTPYYGYVYEDTLPGSEVLMVVAMDGDRGKPNRVLYSLVNGSDGAFEINETS
+GAISVMQSPSQLRREVYELHVQVTEVSSAGTPAAQAMVPVTIRIVDLNNHPPTFYGESGP
+QNRFELSMYEHPPQGEILRGLKITVNDSDQGANAKFNLRLVGPGGIFRVVPQTVLNEAQV
+TIIVENSAAIDFEKSKVLTFKLLAIEVNTPEKFSSTADVVIQLLDTNDNVPKFTSHYYVA
+RIPENAPGGSNVLAVTAVDPDSGPWGEVKYSIYGSGADLFLIHPSSGIIYTQPWASLDAE
+ATARYNFYVKAEDMEGRYSLAEVFITLLDVNDHYPQFGKSVQEKTMVLGTPVKIEATDQD
+AEEPNNLVDYSITHAEPANVFDINAHTGEIWLKNSIRSLDALHNITPSGDRTWSLEVQAK
+DRGSPSFSTTALLKIDIVDTEMLSRSPMAAFLMQTKDNPMKAVGVLAGIMAIIVAITVLI
+STATFWRNKKSNKVQPVRRVLRKRPSPAPRSVRIEWLKFRRTKAADKFVLREAPPNENCN
+NNSRGSTPAPQAPAPPPPPSPAPSVGQAPWTVPTVSGSLAPQQPQQPSPKPRAVAKRKAV
+GSPVQSALVSELRQKFEKKNLHSKAYF
+>sp|Q17QA1|CCL4_BOVIN C-C motif chemokine 4 OS=Bos taurus OX=9913 GN=CCL4 PE=3 SV=1
+MKLCVTVLSLLVLMAAFCSPALSAPMGSDPPTACCFSYTLRKIPRNFVNDYFETSSLCSQ
+PAVVFQTKKGRQVCANPSEPWVQEYVDDLELN
+>sp|Q0VCU1|ACOC_BOVIN Cytoplasmic aconitate hydratase OS=Bos taurus OX=9913 GN=ACO1 PE=2 SV=1
+MSNPFAHLVEPLDPAQPGKKFFNLNKLEDSRYGSLPFSIRVLLEAAIRNCDQFLVKKNDV
+ENILNWKVMQHKNIEVPFKPARVILQDFTGVPAVVDFAAMRDAVKKLGGNPEKINPICPA
+DLVIDHSIQVDFNRRADSLKKNQDLEFERNKERFEFLKWGSQAFHNMRIIPPGSGIIHQV
+NLEYLARVVFDQDGYYYPDSLVGTDSHTTMIDGLGVLGWGVGGIEAEAVMLGQPISMVLP
+QVIGYRLVGNPHPLVTSTDIVLTITKHLRQVGVVGKFVEFFGPGVAQLSIADRATIANMC
+PEYGATAAFFPVDEVSIKYLVQTGRDKEKVKHIKQYLQAVGMFRDFSDSSQDPDFAQVVE
+LDLKTVVPCCSGPKRPQDKVAVSDMKKDFESCLGAKQGFKGFQVAPDHHNDHKTFIYNNS
+KFTLAHGSVVIAAITSCTNTSNPSVMLGAGLLAKKAVDAGLSVKPYIKTSLSPGSGVVTY
+YLRESGVMPYLSQLGFDVVGYGCMTCIGNSGPLPEAVVEAIVQGDLVAVGVLSGNRNFEG
+RVHPNTRANYLASPPLVIAYAIAGTIRIDFEKEPLGVNAKGQQVFLKDIWPTRDEIQAVE
+RQYVIPGMFKEVYQKIETVNESWNALAAPSDKLYCWNPKSTYIKSPPFFEDLTLDLQPPK
+SIVDAYVLLNLGDSVTTDHISPAGNIARNSPAARYLTNRGLTPREFNSYGSRRGNDAIMA
+RGTFANIRLLNKFLNKQAPQTIHLPSGEILDVFDAAERYQQAGLPLIVLAGKEYGSGSSR
+DWAAKGPFLLGIRAVLAESYERIHRSNLVGMGVIPLEYLPGENADTLGLTGRERYTISIP
+ETLKPRMKVQIKLDTGKTFQAVMRFDTDVELTYFHNGGILNYMIRKMTK
+>sp|P23196|APEX1_BOVIN DNA-(apurinic or apyrimidinic site) lyase OS=Bos taurus OX=9913 GN=APEX1 PE=1 SV=2
+MPKRGKKGAVVEDAEEPKTEPEAKKSKAGAKKNEKEAVGEGAVLYEDPPDQKTSPSGKSA
+TLKICSWNVDGLRAWIKKKGLDWVKEEAPDILCLQETKCSENKLPVELQELSGLSHQYWS
+APSDKEGYSGVGLLSRQCPLKVSYGIGEEEHDQEGRVIVAEYDAFVLVTAYVPNAGRGLV
+RLEYRQRWDEAFRKFLKGLASRKPLVLCGDLNVAHEEIDLRNPKGNKKNAGFTPQERQGF
+GELLQAVPLTDSFRHLYPNTAYAYTFWTYMMNARSKNVGWRLDYFLLSQSVLPALCDSKI
+RSKALGSDHCPITLYLAL
+>sp|A1A4J6|ATP9B_BOVIN Probable phospholipid-transporting ATPase IIB OS=Bos taurus OX=9913 GN=ATP9B PE=2 SV=1
+MADQIPLYPVRSAAAVAAANRKRAAYFSSAGPGPGADRPSRYQLEDESAHLDEMPLMMSE
+EGFENDESDYHTLPRARITRRKRGLEWFVCGGWKFLCTSCCDWLINICQRKRELKARTVW
+LGCPEKCEEKHPRNSIKNQKYNIFTFIPGVLYEQFKFFLNLYFLIVSCSQFVPALKIGYL
+YTYWAPLGFVLAVTIMREAVDEFRRFQRDKEVNSQLYSKLTVRGKVQVKSSDIQVGDLII
+VEKNQRIPSDMVFLRTSEKAGSCFIRTDQLDGETDWKLKVAVSCTQRLPALGDLFSINAY
+VYAQKPQLDIHSFEGTFTREDSDPPVHESLSIENTLWASTVVASGTVIGVVIYTGKETRS
+VMNTSNPKNKVGLLDLELNQLTKALFLALVALSVVMVTLQGFAGPWYRSLFRFLLLFSYI
+IPISLRVNLDMGKAAYGWMIMRDEHIPGTVVRTSTIPEELGRLVYLLTDKTGTLTQNEMV
+FKRLHLGTVSYGTDTMDEIQNHLVNAYTQTQCQAGGSSAASTPPRKAPSSAPKVRRSVSS
+RVHEAVKAVALCHNVTPVYEARGAAGETEVAEADQDFSDDNRTYQASSPDEVALVQWTES
+VGLTLVSRDLTSMQLRTPGGQILTYCILQTFPFTSESKRMGVIVRDESTAEITFYMKGAD
+VAMASIVQYNDWLEEECGNMAREGLRTLVVAKRALTEEQYQDFESRYNQAKLSLHDRTLK
+VAAVVESLEREMELLCLTGVEDQLQADVRPTLEMLRNAGIKIWMLTGDKLETATCIAKSS
+HLVSRTQDTHVFRPVTSRGEAHLELNAFRRKHDCALVISGDSLEVCLKYYEHEFVELACQ
+CPAVVCCRCSPTQKAHIVKLLQQHTGRRTCAIGDGGNDVSMIQAADCGIGIEGKEGRQAS
+LAADFSITRFKHVGRLLMVHGRSSYKRSAALGQFVMHRGLIISTMQAVFSSVFYFASVPL
+YQGFLMVGYATVYTMFPVFSLVLDQDVKPEMAMLYPELYKDLTKGRSLSFKTFLVWVLIS
+IYQGGILMFGALVLFESEFVHVVAISFTALVLTELLMVALTVRTWHWLMVVAQLLSLGCY
+VASLAFLNEYFDVAFITTVTFVWKVSAITVVSCLPLYVLKYLKRKLSPPSYSKLSS
+>sp|Q5W5U4|DDX4_BOVIN Probable ATP-dependent RNA helicase DDX4 OS=Bos taurus OX=9913 GN=DDX4 PE=2 SV=1
+MGDEDWEAEIIKPHISSYVPVFEKDRYSSGANGDTFNRTPASSSEMGDGSSRRDHFMRSG
+FASGRSLGNRDPGESNKRENTSTVGGFGVGKSFGNRGFSNNKFEEGDSSGFWRESSIDCE
+DNQTRNRGFSKRGGYQDGNDSEALGSSRRGGRGSFRGCRGGFGRGSPNSDYEQDEGTQRS
+GGIFGSRRSALSGAGNGDTFQSRSGGGSGRGGYKGLNEEVITGSGKNSWKSEAEGGESGD
+TQGPKVTYIPPPPPEDEDSIFAHYQTGINFDKYDTILVEVSGHDPPPAILTFEEANLCQT
+LNNNIAKAGYTKLTPVQKYSIPIIQGGRDLMACAQTGSGKTAAFLLPILAHMMRDGITAS
+RFKELQEPECIIVAPTRELINQIYLEARKFSFGTCVRAVVIYGGTQLGHSIRQIVQGCNI
+LCATPGRLMDVIGKEKIGLRQVKYLVLDEADRMLDMGFGPEMKKLISCPGMPSKEQRQTL
+MFSATFPEEIQRLAGEFLKSNYLFVAVGQVGGACRDVQQTILQVGQYSKREKLVEILRNI
+GDERTMVFVETKKKADFIATFLCQEKISTTSIHGDREQREREQALGDFRCGKCPVLVATS
+VAARGLDIENVQHVINFDLPSTIDEYVHRIGRTGRCGNTGRAISFFDLESDSQLAQPLVK
+VLSDAQQDVPAWLEEIAFSTYGPGFSGNARGNVFASVDTRKNYPGKSSLNTAGFSSTQAP
+NPVDDESWD
+>sp|P22226|CTHL1_BOVIN Cathelicidin-1 OS=Bos taurus OX=9913 GN=CATHL1 PE=1 SV=2
+METPRASLSLGRWSLWLLLLGLALPSASAQALSYREAVLRAVDQLNEQSSEPNIYRLLEL
+DQPPQDDEDPDSPKRVSFRVKETVCSRTTQQPPEQCDFKENGLLKRCEGTVTLDQVRGNF
+DITCNNHQSIRITKQPWAPPQAARLCRIVVIRVCR
+>sp|Q01361|CP2DE_BOVIN Cytochrome P450 2D14 OS=Bos taurus OX=9913 GN=CYP2D14 PE=2 SV=2
+MGLLSGDTLGPLAVALLIFLLLLDLMHRRSRWAPRYPPGPTPLPVLGNLLQVDFEDPRPS
+FNQLRRRFGNVFSLQQVWTPVVVLNGLAAVREALVYRSQDTADRPPPAVYEHLGYGPRAE
+GVILARYGDAWREQRRFSLTTLRNFGLGKKSLEQWVTEEASCLCAAFADQAGRPFSPMDL
+LNKAVSNVIASLTFGCRFEYNDPRIIKLLDLTEDGLKEEFNLVRKVVEAVPVLLSIPGLA
+ARVFPAQKAFMALIDELIAEQKMTRDPTQPPRHLTDAFLDEVKEAKGNPESSFNDENLRL
+VVADLFSAGMVTTSTTLAWALLLMILHPDVQRRVQQEIDEVIGQVRRPEMGDQALMPFTV
+AVVHEVQRFADIVPLGLPHMTSRDIEVQGFHIPKGTTLITNLSSVLKDETVWEKPFRFHP
+EHFLDAQGRFVKQEAFIPFSAGRRACLGEPLARMELFLFFTSLLQHFSFSVPAGQPRPSE
+HGVFAFLVTPAPYQLCAVPR
+>sp|P02526|CRGB_BOVIN Gamma-crystallin B OS=Bos taurus OX=9913 GN=CRYGB PE=1 SV=2
+MGKITFYEDRGFQGHCYECSSDCPNLQPYFSRCNSIRVDSGCWMLYERPNYQGHQYFLRR
+GDYPDYQQWMGFNDSIRSCRLIPQHTGTFRMRIYERDDFRGQMSEITDDCPSLQDRFHLT
+EVHSLNVLEGSWVLYEMPSYRGRQYLLRPGEYRRYLDWGAMNAKVGSLRRVMDFY
+>sp|P09611|CSH1_BOVIN Chorionic somatomammotropin hormone 1 OS=Bos taurus OX=9913 GN=CSH1 PE=1 SV=2
+MAPASSHRGHQWICDLVRGSCLLLLLVVSNLLLCQGVEDYAPYCKNQPGNCRIPLQSLFE
+RATLVASNNYRLAREMFNEFNKQFGEGKNFTSKVINSCHTEFMTTPNNKEAAANTEDEAL
+LRLVISLLHSWDEPLHQAVTELLHRNGASPDILARAKEIEDKTKVLLEGVEMIQKRVHPG
+EKKNEPYPVWSEKSSLTADDEDVRQTAFYRMFHCLHRDSSKISTYINLLKCRFTPC
+>sp|Q8HXM1|CSTF2_BOVIN Cleavage stimulation factor subunit 2 OS=Bos taurus OX=9913 GN=CSTF2 PE=2 SV=1
+MAGLTVRDPAVDRSLRSVFVGNIPYEATEEQLKDIFSEVGPVVSFRLVYDRETGKPKGYG
+FCEYQDQETALSAMRNLNGREFSGRALRVDNAASEKNKEELKSLGTGAPVIESPYGETIS
+PEDAPESISKAVASLPPEQMFELMKQMKLCVQNSPQEARNMLLQNPQLAYALLQAQVVMR
+IVDPEIALKILHRQTNIPTLIAGNPQTVHSAGPGSGSSVSMNQQNPQTPQAQTLSGMHVN
+GAPPLMQASLQAGVAAPGQIPATVTGPGPGSLAPAGGMQAQVGMPGSGPVSMERGQVPMQ
+DPRAAMQRGPLPANVPTPRGLLGDAPNDPRGGTLLSVTGEVEPRGYLGPPHQGPPMHHVP
+GHDSRGPPPHEMRGGPLTEPRPLMAEPRGPMIDQRGPPLDGRGGRDPRGIDARAMEARGL
+DARGLEARAMEARAMEARAMEARAMEARAMEVRGMEARSMDTRGPVPGPRGPMPSGIQGP
+SPINMGAVGPQGSRQVPVMQGAGMQGASIQGGGQPGGFSPGQNQVTPQDHEKAALIMQVL
+QLTADQIAMLPPEQRQSILILKEQIQKSTGAP
+>sp|O62827|ADML_BOVIN ADM OS=Bos taurus OX=9913 GN=ADM PE=2 SV=2
+MKLVPVALLYLGSLAFLGVDTARLDVAAEFRKKWNKWALSRGKRELRESSSYPTGLADVK
+AGPVQTLIRPQDVKGASRSPQASSPDAARIRVKRYRQSLNNFQGLRSFGCRFGTCTVQKL
+AHQIYHFTDKDKDGSAPRSKISPQGYGRRRRRSLPEAGLGRTLLQPPEPKLRGAPDSRVH
+QVLATLRI
+>sp|F1MIW6|FA243_BOVIN Protein FAM243 OS=Bos taurus OX=9913 GN=FAM243 PE=2 SV=1
+MPHFAKPLLRNIITRNLFNSIKKKQCLQYLQTLRTLQYDGFTTVYFGETDIPESLVTGED
+FSDGYYMPTPTWCVVHAGGSQGWVPWKYRMFLRDELCIKQEDNLFFEFCDVVKKAYGKCA
+IVVKERRRQDATRPKEGKETEAQAHVPSVVNLTSVTCCPEVAKSYGHKLLTLPSPYNYLN
+PLDSAWSSLKWFIINNRKEFCLQSVDGVYSYQYILFSDLISKGIERINLSKWRTITTKVR
+RWENYYLGKLS
+>sp|Q6B858|FANK1_BOVIN Fibronectin type 3 and ankyrin repeat domains protein 1 OS=Bos taurus OX=9913 GN=FANK1 PE=2 SV=2
+MELQKIASSSKPHPPVVGKVTHHSIELYWDLEKKAKRQGPQEQWFRFSIEEEDPKLHTYG
+IIYTGYATKHVVEGLEPRTLYRFRLKVTSPSGEYAYSPVVSVSTTREPISSEHLHRAVNV
+NDEDLLVRILQGGNVKVDVPNKFGFTALMVAAQRGYTRLVKILISHGTDVNLQNGSGKDS
+LMLACYAGHLDVVKYLRRHGASWDTRDLGGCTALHWAADGGHCNVIEWMIGDGCEVDAVD
+AGSRWTPLMRVSAVSGNQEVASLLIDAGADVNVKDKDGKTPLMVAVLNNHEELVQLLLDR
+GADASVKNEFGKGVLEMARVFDRQNVLSLLEERKRKQMRKKSSVR
+>sp|O46676|GROA_BOVIN Growth-regulated protein homolog alpha OS=Bos taurus OX=9913 PE=3 SV=1
+MAPAATAAAPRLLRAAMLFLLLVAAGRRAAGAPVVNELRCQCLQTLQGIHLKNIQSVKVT
+TPGPHCDQTEVIASLKTGQEVCLNPTAPMVKKIIDKMLNKASAN
+>sp|Q2T9W3|CCD63_BOVIN Coiled-coil domain-containing protein 63 OS=Bos taurus OX=9913 GN=CCDC63 PE=2 SV=1
+MPTKKHRRKDPESPQEPSEKTKEQLVEGELRKLRQQFRKMVDSRKSFNFRSQQKITNQRK
+EIKTLQEEQDEITLLLNLIKSSRNLDLNEKNYLELRFLLQTKEDYEALIKSMKLLLAELD
+EKIVQMEKKIINQRQIFTKIQEANNPRKLQKQIHILETRLNLVTVHFDQMLTTNAKLRKE
+IEDLRHEKAAYDNVYQHLRRRLLTKKKTMNVAIEQSAQAYEQRLEAMARMAAMKDRQQKD
+ISQYNLEIRELERVYDHETKLKSFLLIKLNDRLEFEEQSKKEEALKAKKYGKKSKGASFE
+SYEVAHLRLLKLTKTGNLNQLIEEFLAKEEKNFARFTYVTELNNDMEMMHKKIERIQNEI
+LRLRSQQKSSHDDSYSILRELEEKLKKTTEEADMYENDYREITKTLEYLKNSVENLFKKI
+NCDATKILVQLGETGKVTDINLPQYFAIIEKKTNDLLVLESYKRLMEMEVAEAEVQPSFL
+NPFWGGSALLKPAEPIKVIPPVLGADPLSDKLDEVEQPLDHSSLRQMVLSHYATRESRNR
+DSMPEKGDELKSKKKVTV
+>sp|Q865R3|BASI_BOVIN Basigin (Fragment) OS=Bos taurus OX=9913 GN=BSG PE=2 SV=1
+MAAALFVLLGFALLGTHGASGAAGTVFTTVEDLGSKILLTCSLNDSATEVTGHRWLKGGV
+VLKEDALPGQKTEFKVDSDDQWGEYSCVFLPEPMGTANIQLHGPPRVKAVKSSEHINEGE
+TAMLVCKSESVPPVTDWAWYKITDSEDKALMNGSESRFFVSSSQGRSELHIENLNMEADP
+GQYRCNGTSSKGSDQAIITLRVRSH
+>sp|A6QQM8|CCD42_BOVIN Coiled-coil domain-containing protein 42 OS=Bos taurus OX=9913 GN=CCDC42 PE=2 SV=1
+MSLGIMEEEDLAEYFRLQYGERLLQLLQKFPSIEDQSDSPSVRLLEKKKEAKIMHHAMEQ
+KKETFQRRMETLNLRWEELGIKEAQLKAHIQKFEQFIQENDQKRIRALKKANKERELKRQ
+RMRELAKAKQEMAVLRLEHQRLSAKLQEYSIFNKYLEKVVENSEFEEIHEVIARYKTLVS
+MHHDLMQSAQEGQEKIERAKARLARYKEEKDDEILQHNNELARLQMRFDRARSDVIIWES
+RWAHIQNTAAKKTLLLGTIKMATLNLFQIVSKQLKEATFVSLEDTHKQLDMIQQFIQDLS
+DIWAEVKKKELQQIRV
+>sp|A1A4P9|CC167_BOVIN Coiled-coil domain-containing protein 167 OS=Bos taurus OX=9913 GN=CCDC167 PE=4 SV=1
+MTKKKRENLGVALEIDGLEKKLSQCRRDLEVVNSRLCGVELSSEARRSLEKEKSSLMNKA
+SNYEKELKLLRQENRKNMLLSVAIFLLLTVIYAYWAL
+>sp|Q28153|CELA1_BOVIN Chymotrypsin-like elastase family member 1 OS=Bos taurus OX=9913 GN=CELA1 PE=2 SV=1
+MLRLLVFTSLVLYGHSTQDFPETNARVVGGTAVSKNSWPSQISLQYKSGSSWYHTCGGTL
+IKQKWVMTAAHCVDSQMTFRVVLGDHNLSQNDGTEQYISVQKIVVHPSWNSNNVAAGYDI
+AVLRLAQSATLNSYVQLGVLPQSGTILANNTPCYITGWGRTKTNGQLAQTLQQAYLPSVD
+YATCSSSSYWGSTVKTTMVCAGGDGVRAGCQGDSGGPLHCLVNGQYAVHGVTSFVSSLGC
+NVSKKPTVFTRVSAYISWINNAIASN
+>sp|Q3SYW5|AZI2_BOVIN 5-azacytidine-induced protein 2 OS=Bos taurus OX=9913 GN=AZI2 PE=2 SV=1
+MDALVEDDICILNHEKAHRRDPVTPVSIYSGDESVASHFALVTAYEDIKKRLKDSEKENS
+FLKKRIRILEEKLIGARKDEETSSVGREQVNKAYHAYREVCIDRDNLKSKLDKMNKDNSE
+SLKVLNEQLQSKEVELLQLRTEVETQQVIRNLNPPSSNWEVEKLSCDLKIHGLEQELELL
+RKECRDLRIELQKAKQTDPSLDDNLNCRDLQRLSVSSDNMQSAYWELKREMSNLHLVTQV
+QAELLRKLKTPAAIKKACAPAGCMEDLGKDSTKLHLSNFTAAYKRHAPLSPNGKTLCHAT
+PSPLPGDAKVLSEKAALQSWTDHERSIPHDGTNFQEHNSYSRNSLEDNSWVFPSPPKSSE
+TTFGEMKSKPLPLPNLPPLHYLDQHNQNCHYKH
+>sp|Q17QJ1|ACSF2_BOVIN Medium-chain acyl-CoA ligase ACSF2, mitochondrial OS=Bos taurus OX=9913 GN=ACSF2 PE=2 SV=1
+MAVYVGMLRVARLCARSPRVLGARVGLSRVWQEARLWGVRPLSSGELDHTVPLPVGGFSY
+VQGHVGLHLSNKTVGRCLDATAQRVPDQEALVVHHENIRLTFAQLKEEVDKAASGLLSIG
+LRKGDRLGMWGPNSYAWVLMQLATAQAGIILVSVNPAYQAMELEYALKKVGCKALVFPKQ
+FKTQQYYNILKQICPEVEKAQPGALKSQRLPDLTTVISVDAHLPGTLLLDEVVAAGSQEQ
+NLTRLRHTQQFLSCHDPINIQFTSGTTGSPKGATLSHYNIVNNANMIGQRLRLHQKTPEE
+SRVVLPSPLYHCLGSVGGTMVSLMHGVTLILCSPVFEGKKTLEAISRERGCFLYGTPTMF
+VDVLNQPDFSSYDISTMRGGVIAGSPAPPELIRAIINKLNMKELVVAYGTTENSPVTFMN
+FTEDTVEQKAESVGRVMPHTEAQIVNTETGTLTELNTPGELCIRGYCVMLGYWGEPQKTE
+EAIGQDKWYRTGDIAMMDEQGFCKIVGRSKDMIIRGGENIYPAELEDFFHTHPQVQEVQV
+VGVKDDRMGEEICACIRLKEGEKTTAEEIKAFCKGKISHFKIPRYIVFVTNYPLTVSGKI
+QKFKLREQMEQHLNL
+>sp|E1BB50|CDK12_BOVIN Cyclin-dependent kinase 12 OS=Bos taurus OX=9913 GN=CDK12 PE=3 SV=1
+MPNPERHGGKKDGSGGASGTLQPSSGGGSSNSRERHRLGSKHKRHKSKHSKDMGLVTPEA
+APLGTVIKPLVEYDDISSDSDTFSDDLAFKVDRRENDERRGTDRSDRLHKHRHHQHRRTR
+DLLKTKQTEKEKNLEASSKSGSTKDRISGSSKRSNEENDDHGKAQISKSSNKESRSSKLH
+KEKTRKERELKSGHKDRSKSHRKRETPKSYKTVDSPKRRSRSPHRKWSDSPKQDDSPSGA
+SYGQDYDLSPPRSHTSSNYDSYKKSPGSTSRRQSISPPYKEPSAYQSSTRSPSPYSRRQR
+SVSPYSRRRSSSYERSGSYSGRSPSPYGRRRSSSPFLSKRSLSRSPLPSRKSMKSRSRSP
+AYSRHSSSHSKKKRSGSRSRHSSISPVRLPLNSSLGAELSRKKKERAAAAAAAKMDGKES
+KGSPIFLPKKENSSVEAKDSGLEPKKLPRGVKLEKSAPDTELVNVTHLNTEVKNSLDTGK
+VKLDENSEKHPVLKDTKVQGTKDSKPVALKEEIVTPKETETSEKETLPPLPTVTSPPPLP
+TTTPPPQTPPLPPLPPLPAIPQQPPLPPPQPTFSQVLSSSTSTLPPSVHPRTSTLSSQAN
+SQPSVQVSVKTQVSVTAAIPHLKTSTLPPLPLPPLLLGDDDMDSPKETLPSKPVKKEKEQ
+RPRHLLTDLPLPPELPGGDPSPPDSPEPKAITPPQQPYKKRPKICCPRYGERRQTESDWG
+KRCVDKFDIIGIIGEGTYGQVYKAKDKDTGELVALKKVRLDNEKEGFPITAIREIKILRQ
+LIHRSVVNMKEIVTDKQDALDFKKDKGAFYLVFEYMDHDLMGLLESGLVHFSEDHIKSFM
+KQLMEGLDYCHKKNFLHRDIKCSNILLNNSGQIKLADFGLARLYNSEESRPYTNKVITLW
+YRPPELLLGEERYTPAIDVWSCGCILGELFTKKPIFQANLELAQLELISRLCGSPCPAVW
+PDVIKLPYFNTMKPKKQYRRRLREEFSFFFLPWGALDLLDHMLTLDPSKRCTAEQTLQSD
+FLKDVELSKMDPPDLPHWQDCHELWSKKRRRQRQSGVLVEEPPPPKASRKETISGTSAEP
+VKNSSPAPPQPAPGKVEPGAGDAIGLGDITQQLNQSELAVLLNLLQSQTDLSIPQMAQLL
+NIHSNPEMQQQLEALNQSISALTAATSQQQDSEPTAPEESLKEIAPAPAVQPSAEQTTPE
+ASSTPADMQNMLAVLLSQLMKTQEPAGNLEENNSDKNSGPQGPRRTPTMPQEEAAGKQTG
+HESH
+>sp|A3KMX8|ARMT1_BOVIN Damage-control phosphatase ARMT1 OS=Bos taurus OX=9913 GN=ARMT1 PE=2 SV=1
+MAGPPASLSARDVGSFAYLSVKDRSPQILTKAIDTLHRHKSEFFEKHGEKGLEAEKKAIS
+LLSKLRNELQTDKPIVPLVEKFVDTDIWNQYLEYQQSLLNESDGKPRWFLSPWLFVECYM
+YRRIHEAIIQSPPIDDFDIFKEFKDQNFFESQESIIALCTHLQELRKTIEDLDENQLKNE
+FFKVLQISLWGNKCDLSLSGGEHISQKTNIMNSLEDLKPFILVNDMDRLWSLLSNCKKTR
+EKESVTRVDIVLDNSGFELITDLVLADFLLSSKLATKIHFYGKTIPWFVSDTTLHDFNWI
+IKQLKHSNNKWVSQCGVDWEDHVKTGRWVYLDHIFWTLPHEFSAMSQVAPDLHAALQKAH
+LIFFKGDLNYRKLTGDRRWEFTVPFHEALSGFHPAPLCSIRTLKAEVQVGLQPGQGEQLT
+ASEPNWLTAGKYGVFQFDGPL
+>sp|P62157|CALM_BOVIN Calmodulin OS=Bos taurus OX=9913 GN=CALM PE=1 SV=2
+MADQLTEEQIAEFKEAFSLFDKDGDGTITTKELGTVMRSLGQNPTEAELQDMINEVDADG
+NGTIDFPEFLTMMARKMKDTDSEEEIREAFRVFDKDGNGYISAAELRHVMTNLGEKLTDE
+EVDEMIREADIDGDGQVNYEEFVQMMTAK
+>sp|A0JN39|COPB_BOVIN Coatomer subunit beta OS=Bos taurus OX=9913 GN=COPB1 PE=1 SV=1
+MTAAENVCYTLINVPMDSEPPSEISLKNDLEKGDVKSKTEALKKVIIMILNGEKLPGLLM
+TIIRFVLPLQDHTIKKLLLVFWEIVPKTTPDGRLLHEMILVCDAYRKDLQHPNEFIRGST
+LRFLCKLKEAELLEPLMPAIRACLEHRHSYVRRNAVLAIYTIYRNFEHLIPDAPELIHDF
+LVNEKDASCKRNAFMMLIHADQDRALDYLSTCIDQVQTFGDILQLVIVELIYKVCHANPS
+ERARFIRCIYNLLQSSSPAVKYEAAGTLVTLSSAPTAIKAAAQCYIDLIIKESDNNVKLI
+VLDRLIELKEHPAHERVLQDLVMDILRVLSTPDLEVRKKTLQLALDLVSSRNVEELVIVL
+KKEVIKTNNVSEHEDTDKYRQLLVRTLHSCSVRFPDMAANVIPVLMEFLSDSNEAAAADV
+LEFVREAIQRFDNLRMLIVEKMLEVFHAIKSVKIYRGALWILGEYCSTKDDIQSVMTEVR
+RSLGEIPIVESEIKKEAGELKPEEEITVGPVQKLVTEMGTYATQSALSSSRPTKKEEERP
+PLRGFLLDGDFFVAASLATTLTKIALRYVALVQEKKKQNSFVAEAMLLMATILHLGKSSL
+PKKPITDDDVDRISLCLKVLSECSPLMNDIFNKECRQSLSHMLSAKLEEEKLSQKKESEK
+RNVTVQPDDPISFMQLTAKNEMNCKEDQFQLSLLAAMGNTQRKEAADPLASKLNKVTQLT
+GFSDPVYAEAYVHVNQYDIVLDVLVVNQTSDTLQNCTLELATLGDLKLVEKPSPLTLAPH
+DFANIKANVKVASTENGIIFGNIVYDVSGAASDRNCVVLSDIHIDIMDYIQPATCTDAEF
+RQMWAEFEWENKVTVNTNIVDLNDYLQHILKSTNMKCLTPEKALSGYCGFMAANLYARSI
+FGEDALANVSIEKPIQQGPEAPVTGHIRIRAKSQGMALSLGDKINLSQKKNSI
+>sp|Q29S16|ABITM_BOVIN Protein Abitram OS=Bos taurus OX=9913 GN=ABITRAM PE=2 SV=1
+MTTEPKDPVADLAPEPAVPSLVDRYFTRWYKADVKGKPCEDHCILQHSNRICVITLAGSH
+PVLQSGKTIKSISYQISTNCSRLQNKVSGKFKRGAQFLTELAPLCKIYCSDGEEYTISSC
+VRGRLMEVNENILHKPSILQEKPSTEGYIAVVLPKFEESKSITEGLLTQKEYEEVVVKRL
+RATTAAS
+>sp|Q32L37|CK071_BOVIN Uncharacterized protein C11orf71 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MAQNSVSLSAGDRRSRVAYLSSSHGDLSSSALALAMVSGDSFLVTRPEAILPEPVPRSSV
+RLNFRTESRRAPGGGRSPTRFNEGREMEARSRSRQARFSPYPGPAVKLDLLRSVLQQRLV
+ALGGVIVARLSA
+>sp|Q6L708|CLD1_BOVIN Claudin-1 OS=Bos taurus OX=9913 GN=CLDN1 PE=2 SV=1
+MANAGLQLLGFILAFLGWIGSIVSTALPQWKVYSYASDNIVTAQAIYEGLWMSCVSQSTG
+QIQCKVFDSLLNLNSTLQATRALMVIGILLGLIAIFVATVGMKCMKCMEDDEAQKMRMAV
+FGGVIFLISGLAILVATAWYGNRIVQEFYDPMTPVNARYEFGQALFIGWAAASLCLLGGA
+LLCCSCPRKTTSYPTPRPYPKPAPSSGKDYV
+>sp|Q2NKZ5|CST15_BOVIN Probable cystatin-15 OS=Bos taurus OX=9913 PE=2 SV=1
+MFWKLPLLLGLLALGPHVCSWMFEDIGKTGQEFSMCVEFAIHHFNEHQPDENAYKLLWVR
+RSQHKKFSLTYLMDLHLGRTICKKHDEDIDNCPLQEGPEGKKVNCTFIVDSRPLYTKFTL
+LNSTCQQI
+>sp|Q58CS7|CHMP3_BOVIN Charged multivesicular body protein 3 OS=Bos taurus OX=9913 GN=CHMP3 PE=2 SV=4
+MGLFGKTQEKPPKELVNEWSLKIRKEMRVVDRQIRDIQREEEKVKRSVKDAAKKGQKDVC
+VVLAKEMIRSRKAVSKLYASKAHMNSVLMGMKNQLAVLRVAGSLQKSTEVMKAMQSLVKI
+PEIQATMRELSKEMMKAGIIEEMLEDTFESMDDQEEMEEAAEMEIDRILFEITAGALGKA
+PSKVTDALPEPEPLGAMAASEDEEEEEEALEAMQSRLATLRS
+>sp|Q5E9S4|AT2L1_BOVIN Ethanolamine-phosphate phospho-lyase OS=Bos taurus OX=9913 GN=ETNPPL PE=2 SV=1
+MCELYSKQETLALRRKHIGPSCKVFFAADPIKIVRAQRQYMFDEKGDQYLDCINNVAHVG
+HCHPEVVKAAQKQMELLNTNSRFLHDNIVEYAKRLSATLPDRLSVCYFTNSGSEANDLAL
+RLARQFRGHQDVITLDHAYHGHLSSLIEISPYKFQKGKDVKKEFVHVAPAPDTYRGKYRE
+DHVDPASAYADEVKKIIDEAHNSGRKIAAFIAESMQSCGGQIIPPAGYFQKVAEYVRGAG
+GVFIADEVQVGFGRVGKHFWSFQMFGEDFVPDIVTMGKPMGNGHPMACVVTTKEIAEAFS
+ASGMEYFNTYGGNPVSSAVGLAVLDVIKNEDLQGNATRVGNYLTELLNKQKTKHTLIGDI
+RGVGLFIGIDLVKDHQQRTPATAEAQHIIYKMKEKRVLLSADGPHRNVLKIKPPMCFTEE
+DAKFMVEQLDGILTGLEEATGAETESGISKNTPCRTKMPKEAQSELLRDSSLESRENPSQ
+KRNGLCTDSLLSKRLRT
+>sp|A6QR56|A16A1_BOVIN Aldehyde dehydrogenase family 16 member A1 OS=Bos taurus OX=9913 GN=ALDH16A1 PE=2 SV=1
+MAATRTASRACEIFTTLEYGPAPESHACALAWLDTQDRHLGHYVNGQWLKPEHRSSVPCQ
+DPITGENLASCLQAQSEDVAAAVEAARASLENWSTQPGAIRAQHLTRLAKVIQKHQRLLW
+TLESLVTGRAVREVRDRDVPLAQQLLQYHAVQAHTQEEALAGWEPMGVIGLILSPTFPFL
+DMMWRICPALAVGCTVVVLVPPASPTPLLLAQLAGELGPFPGILNVISGPASLGPVLAAQ
+PGVQKVAFCGAIEEGRALRRTLAGWVPELGLALGAESLLLLTEVADVDSAVEGIVDAAWS
+DRSPGGLRLLIQEAVWDETMRRLQERMGRLRCGHGLDGAVDMGARGAAARDLAQRYVSEA
+QSQGAQVFQAGSEPSDSPFFPPTLVSDLPPASPCTQAEVPWPLVVASPFRTAKEALAVAN
+GTPRGGSASVWSERLGQALELAYGLQVGTVWINAHGLRDPAVPTGGCKESGSSWHGGQDG
+LYEYLRPSGTPAWIPYLSKTLNYDAFGLALPSTLPAGPETGPAPPYGLFVGGRFQAPGAR
+SSRPIQDSQGSLQGYVAEGGAKDIRGAVEAAHQAAPGWMSQSPAARAALLWALAAALQRR
+EPNLVSRLERHGVELKVAKAEVELSVKRLRAWGARVQAQGCALQVAELRGPVLRLREPLG
+VLAIVCPDEWPLLAFVSLLAPALAHGNTVVLVPSGACPIPALEVCQEMATLLPAGLVNVV
+TGDRDHLTRCLALHQDIQALWYFGSAQGSQFVEWASAGNLKPVWVNRGCPRAWDQEAEGA
+GPELGRRAARTKALWLPMGD
+>sp|P07501|ANF_BOVIN Natriuretic peptides A OS=Bos taurus OX=9913 GN=NPPA PE=1 SV=2
+MGSSAITVSFLLFLAFQLPGQTGANPVYGSVSNADLMDFKNLLDRLEDKMPLEDEAVPSQ
+VLSEQNEEAGAPLSPLSEMPPWMGEVNPAQREGGVLGRGPWESSDRSALLKSKLRALLTA
+PRSLRRSSCFGGRMDRIGAQSGLGCNSFRYRR
+>sp|Q148L1|GP108_BOVIN Protein GPR108 OS=Bos taurus OX=9913 GN=GPR108 PE=2 SV=1
+MAVSERRGLGRGSPAEWGPWLLLLLLLGGSSGRIHRLTLTGEKRADIQLNSFGFYTNGSL
+EVNLSLLRLGRQDTEEKAPLVGFSLTRVRSGSIRSYSNRDSHECPLRKNSSSLLVLFLIN
+TKDLEVQVRKYGEQKKLFISAGLLPESPSKPGLPKSEHMVTPKVDHAGTTAAPDKAKSKP
+TGLQGDRQGVSGKDQELVLGLGHLNNSYNFSFHVVIGSRAEEGQYNLNFHNCDNSVPGRE
+QPFDITVMIREKNPEGYLSAAEIPLFKLYMVMSACFLGAGIFWVSILCKNTYNVFKIHWL
+MAALTFTKSVSLLFHSINYYFINSQGHPIEGLAVMHYITHLLKGALLFITIALIGSGWAF
+VKYVLSDKEKKIFGIVIPLQVLANVAYIVMESREEGASDYGIWKEILFLVDLICCGTILF
+PVVWSIRHLQDASGTDGKVAVNLAKLKLFRHYYVMVICYIYFTRIIAILLRAVVPFQWQW
+LYQLLVEGSTLAFFVLTGYKFQPARDNPYLQLPQEDEEGMQIEQVMTDSGFREGLSKVNK
+TASGRELL
+>sp|Q0IID9|GLPK_BOVIN Glycerol kinase OS=Bos taurus OX=9913 GN=GK PE=2 SV=1
+MASAKKAVLGPLVGAVDQGTSSTRFLVFNSKTAELLSHHQVEIKQEFPREGWVEQDPKEI
+LQSVYECIEKTCEKLGQLNIDISNIKAIGVSNQRETTVVWDKLTGEPLYNAVVWLDLRTQ
+STVESLSKRIPGNNNFVKSKTGLPLSTYFSAVKLRWLLDNVRKVQKAVEEDRALFGTIDS
+WLIWSLTGGASGGVHCTDVTNASRTMLFNIHSLEWDKELCEFFEIPMKILPNVRSSSEIY
+GLMKISHSPKAGALEGVPISGCLGDQSAALVGQMCFQDGQAKNTYGTGCFLLCNTGRKCV
+FSEHGLLTTVAYKLGRDKPVYYALEGSVAIAGAVIRWLRDNLGIIKSSEEIEKLAKEVGT
+SYGCYFVPAFSGLYAPYWEPSARGIICGLTQFTNKCHIAFAALEAVCFQTREILDAMNRD
+CGIPLSHLQVDGGMTNNKILMQLQADILYIPVVKPSMPETTALGAAMAAGAAEGVGVWSL
+EPEDLSAVTMERFEPQINAEESEIRYSTWKKAVMKSMGWVTTQSSESGDPSIFCSLPLGF
+FIVSSVVMLIGARYLSGMP
+>sp|Q04173|GDS1_BOVIN Rap1 GTPase-GDP dissociation stimulator 1 OS=Bos taurus OX=9913 GN=RAP1GDS1 PE=1 SV=1
+MDNLSDTLKKLKITAVDRTDDSLEGCLDCLLQALTQNNMETSEKIQGSGILQVFASLLIP
+QSSCTAKVANVIAEIAKNEFMRIPCVDAGLISPLVQLLNSKDQEVLLQTGRALGNICYDS
+HSLQAQLINMGVIPTLVKLLGIHCQKAALTEMCLVAFGNLAELESSKEQFASTNIAEELV
+KLFKKQIEHDKREMVFEVLAPLAENDAIKLQLVESGLVECLLEIVQQKVDSDKEEDIAEL
+KTASDLMVLLLLGDESMQKLFEGGKGNVFQRVLSWIPSNNHQLQLAGALAIANFARNDGN
+CIHMVDNGIVEKLMDLLDRHVEDGNVTVQHAALSCLRNLAIPVVNKAKMLSAGVTEAVLK
+FLKSEMPPVQFKLLGTLRMLIDAQAEAAEQLGKNVKLVERLVEWCEAKDHAGVMGESNRL
+LSALIRHSKSKDVIKTIVQSGGIKHLVTMATSEHVIMQNEALVALALIAALELGTAEKDL
+ESAQLVQILHRLLADERSAPEIKYNSMVLICALMGSESLHKEVQDLAFLDVVSKLRSHEN
+KSVAQQASLTEQRLAVES
+>sp|Q5NTB3|FA11_BOVIN Coagulation factor XI OS=Bos taurus OX=9913 GN=F11 PE=1 SV=1
+MTLLYQMVHFALFASVAGECVTTLFQDACFKGGDITVAFAPNAKHCQIICTHHPRCLLFT
+FMTESSSEDPTKWYTCILKDSVTETLPMVNMTGAISGYSSKQCLHHISACSKDMYVDLNM
+KGMNYNSSLAQSARECQQRCTDDTHCHFFTFATRHFPSIKDRNTCLLKNTQTGTPTSITK
+LHEVVSGFSLKSCGLSNLACIRDIFPRTAFVDITIDTVMAPDPFVCRSICTHHPSCLFFT
+FLSEEWPTASERNLCLLKTSSSGLPSARFRKNRAFSGFSLQHCQHSVPVFCHSSFYRNTD
+FLGEELDIVDADSHEACQKTCTNSIRCQFFTYSPSQESCNGGKGKCYLKLSANGSPTKIL
+HGTGSISGYTLRLCKMDNVCTTKIKTRIVGGTQSVHGEWPWQITLHVTSPTQRHLCGGAI
+IGNQWILTAAHCFNEVKSPNVLRVYSGILNQSEIKEDTSFFGVQEIIIHDQYEKAESGYD
+IALLKLETAMNYTDSQWPICLPSKGDRNVMYTECWVTGWGYRKLRDKIQNTLQKAKVPLM
+TNEECQAGYREHRITSKMVCAGYREGGKDACKGDSGGPLSCKHNEVWHLVGITSWGEGCG
+QRERPGVYSNVVEYVDWILEKTQGP
+>sp|Q32L67|GLRX2_BOVIN Glutaredoxin-2, mitochondrial OS=Bos taurus OX=9913 GN=GLRX2 PE=2 SV=1
+MYWRRAALVGTRLIPVRSSSAGRLEGPAGISGSGMGNSTSSSLGNAATAPVNQIQETISN
+NCVVIFSKTSCSYCTMAKNLFHDMNVNYKVVELDMLEYGSQFQDALHKMTGERTVPRIFV
+NGTFIGGATDTHRLHKEGKLLPLVHQCHLKNSKREEL
+>sp|Q1RMK1|FA92B_BOVIN Protein FAM92B OS=Bos taurus OX=9913 GN=FAM92B PE=2 SV=1
+MNIILSRDSQVRVMEDTVTNAEKSFGQFCSLLAAYTRKTARLRDKADQLVKQLIDFANTE
+NPEMRATLRNFAEDLAKVQDYRQAEVERLETKVINPLKLYGVQIKQTRAEIKKFKSVRNN
+EIKQLEKLEKLRQKSPSDRQTISQAETSVQRASVDASRTSHQLEETVDTFQKQKLKDIQK
+IFSDFVTIEMVFHAKAVEVYSSAFQTLESYDLEKDLEDFRAKMHGVYGRYDARPPLMDTT
+LSPALPWSLAQSAQSTIRSQRKEAVSEDDSAEEDPVEDLRGQAQRLNQ
+>sp|P26884|FKBP3_BOVIN Peptidyl-prolyl cis-trans isomerase FKBP3 OS=Bos taurus OX=9913 GN=FKBP3 PE=1 SV=2
+MAAAVPQRAWTVEQLRSEQLPKKDIIKFLQDHGSDSFLAEHKLLGNIKNVAKTANKDHLV
+TAYNHLFESKRFKGTESISKVSEQVKNVKLNEDKPKETKSEETLDEGPPKYTKSVLKKGD
+KTNFPKKGDVVHCWYTGTLQDGTVFDTNIQTSSKKKKNAKPLSFKVGIGKVIRGWDEALL
+TMSKGEKARLEIEPEWAYGKKGQPDAKIPPNAKLIFEVELVDID
+>sp|A4FV08|GNPI1_BOVIN Glucosamine-6-phosphate isomerase 1 OS=Bos taurus OX=9913 GN=GNPDA1 PE=2 SV=1
+MKLIILDHYSQASEWAAKYIRNRIIQFNPGPDKYFTLGLPTGSTPLGCYKKLIEYYKNGD
+LSFKYVKTFNMDEYVGLPRDHPESYHSFMWNNFFKHIDIHPENTHILDGNAADLQAECDA
+FEEKIKAAGGIELFVGGIGPDGHIAFNEPGSSLVSRTRVKTLAMDTILANARFFDGDLAK
+VPTMALTVGVGTVMDAREVMILITGAHKAFALYKAIEEGVNHMWTVSAFQQHPRTVFVCD
+EDATLELKVKTVKYFKGLMLVHNKLVDPLYSIKEKEIEKSQSSKKPYSD
+>sp|Q3SZ57|FETA_BOVIN Alpha-fetoprotein OS=Bos taurus OX=9913 GN=AFP PE=2 SV=1
+MKWVVSFFLLFLLNFSDSRTMHKNAYGIDSILDSSPCSSGTNLVGLATIFFAQSVQGATY
+EEVSQMVKDVLTIIEKPTGSKQPAGCLENQVSAFLEEICREKEIPEKYGLSDCCSRTGEE
+RHDCFLAHKKAAPASIPPFPVLEPVTSCKSYKENRELFINRYIYEIARRHPVLYAPTILS
+VANQYNKIIPHCCKAENATECFETKVTSITKELRESSLLNQHICAVMGKFGPRTFRAITV
+TKVSQKFPKANFTEIQKLVMDVAHIHEECCKGNVLECLQDGERVMSYICSQQDILSRQIA
+ECCKLPTTLELGHCIIHAENDDKPEGLSPNVNRFLGDRDFNQLSSRDKDLSMARFTYEYS
+RRHTKLAVPIILRVAKGYQELLEKCSQSENPSECQDKGEEELEKYIQESQALAKRSCGLF
+QKLGEYYLQNAFLVAYTKKAPQLTSPELMALTRKMANAGAICCHLSEDKQLACGEGVADL
+IIGHLCIRHEENPINPGVDQCCTSSYSNRRPCFSSLVVDETYVPPPFSDDKFIFHKDLCQ
+VQGVPLQTMKQQFLINLVKQKPQITEEQLETVVADFSGLLEKCCQSQEQEVCFTEEGPAL
+ISKTRAALGV
+>sp|Q32KX9|CB076_BOVIN UPF0538 protein C2orf76 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MASEDVTITVRLIRSFEHRNFRPVVYHGVHLDQTVKDFIVFLKQDIPLRASLPPPFRNYK
+YDKLKIVHQAHKSKTNELVLSLEDDDRLLLKEDSTLKAAGIASETEIAFFCEEDYKNYKA
+NPISSW
+>sp|Q8HZJ4|CABP4_BOVIN Calcium-binding protein 4 OS=Bos taurus OX=9913 GN=CABP4 PE=2 SV=1
+MAEEQGRGRHGPDPAPRPQKPPVEVLASSSGAEGPPLMRKRSSKREKGLRGSRKGPSSSG
+EQTPMQGPEAPGSSKNPSRTREGQEGPIPSASGLAPRRQSHRHRPGPQHDAAQRMYGPLL
+NRIFGKDRELGPEELDELQAAFEEFDTDHDGYIGYRDLGECMRTLGYMPTEMELIEVSQH
+VKMRMGGRVDFEEFVEMMGPKLREETAHMLGLRELRIAFREFDRDRDGRITVAELREAAP
+ALLGEPLVGPELEEMLQEVDLNGDGTVDFNEFVMMLSRH
+>sp|P01017|ANGT_BOVIN Angiotensinogen (Fragment) OS=Bos taurus OX=9913 GN=AGT PE=1 SV=1
+DRVYVHPFHL
+>sp|A2VDR8|COG7_BOVIN Conserved oligomeric Golgi complex subunit 7 OS=Bos taurus OX=9913 GN=COG7 PE=2 SV=1
+MDFSKFLAEDFDVKEWINAAFRAGPKEAAAGKADSHAATLVMKLQLFIQEVNHAVEETSH
+QALQNMPKVLRDVEALKQEASFLKEQMILVKEDIKKFEQDTSQSMQVLVEIDQVKSRMQL
+AAESLQEADKWSTLSADIEETFKTQDIAVISAKLTGMQNSLMMLVDTPDYSEKCVHLEAL
+KNRLEALASPQIVAAFTSQSIDQSKMFVKVFSEIDRMPQLLAYYYKCHKVQLLAAWQELC
+QTDLPLDRQLTGLYDALLGAWHAQIQWASQVFKNPHDVVTVLLIQTLGALVPSLPVCLSS
+GVERAGPELELVKLLEFYDATAHFAKGLEMALLPHAYEQNLVKVMELVDAVYGPYKPYQL
+KYGDMEEKYLLIQFSEVPLEHGEVIDCVQELSHSVNKLFGLSSAAVDRCIRFTSGLGTCG
+LLTALKSLFAKYVSDFTSTLHSIRKKYRLDDIPLNSLFQEDWTAFQNSIRIIATCGELLR
+QCGDFEQQLANRILSTAGKYLSDSFSPRSLTGFQDSILTDKKSSAKNPWQEYNYLQKDSP
+AEYGSLMEILYTLKEKGSSNHHLLSASRSALTRLNQQAHQLAFDSVFLRIKQQLLLIPKM
+DSWNTAGIGETLTDDLPTFSLTPLEYISNIGQYIMSLPLNLEPFVTQEDSALELALHAGK
+LPFPPEQGDELPELDNMADNWLGSIARATMQTYCDAILQIPELTPHSTKQLATDIDYLIN
+VMDALGLQPSRTLQNIVMLLKAKPEDYRQVSKGLPRRLATTVATMRSVDY
+>sp|A7Z061|CDC27_BOVIN Cell division cycle protein 27 homolog OS=Bos taurus OX=9913 GN=CDC27 PE=2 SV=1
+MTVLQEPVQAAIWQALNHYAYRDAVFLAERLYAEVHSEEALFLLATCYYRSGKAYKAYRL
+LKGHSCTTPQCKYLLAKCCVDLSKLAEGEQILSGGVFNKQKSHDDIVTEFGDSACFTLSL
+LGHVYCKTDRLAKGSECYQKSLSLNPFLWSPFESLCEIGEKPDPDQTFKLTSLQNFSSCL
+PNSCTTLVSNHSLSHRQPETVLTETPQDTIELNRLNLESSNSKYSLNTDSSVSYIDSAVI
+SPDTVPLGTGTSILSKQVQNKPKTGRSLLGGPAALSPLTPSFGILPLETPSPGDGSYLQN
+YTNTSSVIDVPPTGAPSKKSVARIGQTGTKSVFSQSGNSREVTPILVAQTQSSGPQTSTT
+PQVLSPTITSPPNALPRRSSRLFTSDSSTTKENSKKLKMKFPPKIPNRKTKSKTNKGGIT
+QPNINDSLEITKLDSSIISEGKISTITPQIQAFNLQKAAAEGLMSLLREMGKGYLALCSY
+NCKEAINILSHLPSHHYNTGWVLCQIGRAYFELSEYMQAERIFSEVRRIENYRVEGMEIY
+STTLWHLQKDVALSVLSKDLTDMDKNSPEAWCAAGNCFSLQREHDIAIKFFQRAIQVDPN
+YAYAYTLLGHEFVLTEELDKALACFRNAIRVNPRHYNAWYGLGMIYYKQEKFSLAEMHFQ
+KALDINPQSSVLLCHIGVVQHALKKSEKALDTLNKAIVIDPKNPLCKFHRASVLFANEKY
+KSALQELEELKQIVPKESLVYFLIGKVYKKLGQTHLALMNFSWAMDLDPKGANNQIKEAI
+DKRYLPDDEEPITQEEQIMGTDESQESSMTDADDTQLHAAESDEF
+>sp|Q0VC93|ANR37_BOVIN Ankyrin repeat domain-containing protein 37 OS=Bos taurus OX=9913 GN=ANKRD37 PE=2 SV=1
+MLLLDCNPEVDSLKHLLETGASVNAPPDPCEQSPVHLAAGGGLACFLLWQLQTGADLNQQ
+DVFGEAPLHKAARVGSMECLSLLVASDAQIDLCNKNGQTAEDLAWSCGFPECAKFLTTIK
+CMQTIKSREQPNKDHCVQVLRLKRSFGSEEYTSGKRKC
+>sp|Q3SZM6|COXM1_BOVIN COX assembly mitochondrial protein homolog OS=Bos taurus OX=9913 GN=CMC1 PE=3 SV=1
+MALDPSEQHLRHVEKDVLIPKIMREKARERCSEQVQDFTKCCKDSGVLMVVKCRKENSAL
+KDCLTSYYKDPAFYEECKMEYLKEREEFRRTGIPTKKRLQKLPTSM
+>sp|Q3SZE4|ASB11_BOVIN Ankyrin repeat and SOCS box protein 11 OS=Bos taurus OX=9913 GN=ASB11 PE=2 SV=1
+MEDGSVSYGFKNIFITMFATFFFFKLLIKVFLALLTHFYIVKGNRKEAARIAEEIFGEIS
+DCWADRSPLHEAAAQGRLLALKTLIAQGVNVNLVTINRVSSLHEACLGGHVACAKALLEN
+GAHVNGVTVHGATPLFNACCSGSAACVNVLLEFGAKAQLEVHLASPIHEAVKRGNRECME
+ILLANNVNIDQEVPHLGTPLYAACTYQRLDCVKKLLELGANVNHGQWLDTPLHAAAKQNS
+VEIIHLLIDYGANLKCKNAQGQSALDLAAPKSSVEQALLLREGPPALSQLCRLCVRKCLG
+RNCHKTIHKLYLPDPLEKFLLYQ
+>sp|Q32KP7|CQ064_BOVIN Uncharacterized protein C17orf64 homolog OS=Bos taurus OX=9913 PE=2 SV=2
+MEASDGQADEREEPLEQGTNARSLERRSSTTPAKDSLVRHAKGLDRDTFKICKEYLRPLK
+KFLRKLHLPKDLPQKKKLKYMKQSLVVLGDHINTFLQHYCRAWEIKHWKKMLWRFVSLFS
+ELEAKQLRKLYKYTKNNQTTKFLMAFCPLDAPESSLLADQEDSLPKLCTAWGLRSHLNGM
+KERLSKLQAPGHPARLLKELRARGPRRRGSKLPQEPKLKRRRIKEAPDTPETCL
+>sp|Q32KX7|BMI1_BOVIN Polycomb complex protein BMI-1 OS=Bos taurus OX=9913 GN=BMI1 PE=2 SV=1
+MHRTTRIKITELNPHLMCVLCGGYFIDATTIIECLHSFCKTCIVRYLETSKYCPICDVQV
+HKTRPLLNIRSDKTLQDIVYKLVPGLFKNEMKRRRDFYAAHPSADAANGSNEDRGEVADE
+DKRIITDDEIISLSIEFFDQNRLDRKINKDKEKSKEEVNDKRYLRCPAAMTVMHLRKFLR
+SKMDIPNTFQIDVMYEEEPLKDYYTLMDIAYIYTWRRNGPLPLKYRVRPTCKRMKISHQR
+DGLTNTGELESDSGSDKANSPAGGIPSTSSCLPSPSTPVQSPHPQFPHISSTMNGTSSSP
+SGNHQSSFANRPRKSSVNGSSATSSG
+>sp|P63009|AP2B1_BOVIN AP-2 complex subunit beta OS=Bos taurus OX=9913 GN=AP2B1 PE=1 SV=2
+MTDSKYFTTNKKGEIFELKAELNNEKKEKRKEAVKKVIAAMTVGKDVSSLFPDVVNCMQT
+DNLELKKLVYLYLMNYAKSQPDMAIMAVNSFVKDCEDPNPLIRALAVRTMGCIRVDKITE
+YLCEPLRKCLKDEDPYVRKTAAVCVAKLHDINAQMVEDQGFLDSLRDLIADSNPMVVANA
+VAALSEISESHPNSNLLDLNPQNINKLLTALNECTEWGQIFILDCLSNYNPKDDREAQSI
+CERVTPRLSHANSAVVLSAVKVLMKFLELLPKESDYYNMLLKKLAPPLVTLLSGEPEVQY
+VALRNINLIVQKRPEILKQEIKVFFVKYNDPIYVKLEKLDIMIRLASQANIAQVLAELKE
+YATEVDVDFVRKAVRAIGRCAIKVEQSAERCVSTLLDLIQTKVNYVVQEAIVVIRDIFRK
+YPNKYESIIATLCENLDSLDEPDARAAMIWIVGEYAERIDNADELLESFLEGFHDESTQV
+QLTLLTAIVKLFLKKPSETQELVQQVLSLATQDSDNPDLRDRGYIYWRLLSTDPVTAKEV
+VLSEKPLISEETDLIEPTLLDELICHIGSLASVYHKPPNAFVEGSHGIHRKHLPIHHGST
+DAGDSPVGTTTATNLEQPQVIPSQGDLLGDLLNLDLGPPVNVPQVSSMQMGAVDLLGGGL
+DSLVGQSFIPSSVPATFAPSPTPAVVSSGLNDLFELSTGIGMAPGGYVAPKAVWLPAVKA
+KGLEISGTFTHRQGHIYMEMNFTNKALQHMTDFAIQFNKNSFGVIPSTPLAIHTPLMPNQ
+SIDVSLPLNTLGPVMKMEPLNNLQVAVKNNIDVFYFSCLIPLNVLFVEDGKMERQVFLAT
+WKDIPNENELQFQIKECHLNADTVSSKLQNNNVYTIAKRNVEGQDMLYQSLKLTNGIWIL
+AELRIQPGNPNYTLSLKCRAPEVSQYIYQVYDSILKN
+>sp|Q08DW9|ABD12_BOVIN Lysophosphatidylserine lipase ABHD12 OS=Bos taurus OX=9913 GN=ABHD12 PE=2 SV=1
+MRKRTEPVALEHERRTASGSPSAGPAAAALDADCRLKQNLCLAGPGPAEPRCAADAGMKR
+ALGRRKGLCFRLRKILFFVLGLYVAIPFLIKLCPGIQAKLIFLNFVRVPYFIDLKRPQDQ
+GLNHTCNYYLQPEEDVTIGVWHTVPTVWWKNAQGKDQMWYEDALSSSHPIILYLHGNAGT
+RGGDHRVELYKVLSSLGYHVVTFDYRGWGDSVGTPSERGMTYDALHVFDWIKVRSGDNPV
+YIWGHSLGTGVATNLVRRLCERETPPDALILESPFTNIREEAKSHPFSVIYRYFPGFDWF
+FLDPITSSGIQFANDENVKHISCSLLILHAEDDPVVPFQLGRKLYNIAAPSRSFRDFKVQ
+FIPFHSDLGYRHKYIYKSPELPRILREFLGKSEPGRQH
+>sp|P07106|ACBD5_BOVIN Acyl-CoA-binding domain-containing protein 5 OS=Bos taurus OX=9913 GN=ACBD5 PE=2 SV=2
+MFQFHAGSWESWCCCCCLIPGDRPWDRGRRWRLEMADTRSVHETRFEAAVKVIQSLPKNG
+SFQPTNEMMLKFYSFYKQATEGPCKLSKPGFWDPVGRYKWDAWSSLGDMTKEEAMIAYVE
+EMKKILETMPMTEKVEELLHVIGPFYEIVEDKKSGRSSDLTSVRLEKISKCLEDLGNVLA
+STPNAKTVNGKAESSDSGAESEEEAAQEDPKRPEPRDSDKKMMKKSADHKNLEIIVTNGY
+DKDSFVQGVQNSIHTSPSLNGRCTEEVKSVDENLEQTGKTVVFVHQDVNSDHVEDISGIQ
+HLTSDSDSEVYCDSMEQFGQEESLDGFISNNGPFSYYLGGNPSQPLESSGFPEAVQGLPG
+NGSPEDMQGAVVEGKGEVKRGGEDGGSNSGAPHREKRAGESEEFSNIRRGRGHRMQHLSE
+GSKGRQVGSGGDGERWGSDRGSRGSLNEQIALVLMRLQEDMQNVLQRLHKLEMLAASQAK
+SSALQTSNQPTSPRPSWWPFEMSPGALTFAIIWPFIAQWLVHLYYQRRRRKLN
+>sp|Q6PX77|BMP15_BOVIN Bone morphogenetic protein 15 OS=Bos taurus OX=9913 GN=BMP15 PE=2 SV=1
+MVLLSILRILLLWGLVLFMEHRVQMTQVGQPSIAHLPEAPTLPLIQELLEEAPGKQQRKP
+RILGHPLRYMLELYQRSADASGHPRENRTIGATMVRLVRPLASVARPLRGSWHIQTLDFP
+LRPNRVAYQLVRATVVYRHQLHLTHSHLSCHVEPWVQKSPTNHFPSSGRGSSKPSLLPKA
+WTEMDIMEHVGQKLWNHKGRRVLRLRFVCQQPRGSEVREFWWHGTSSLDTVFLLLYFNDT
+QSVQKTKPLPKGLKEFTEKDPSLLLRRARQAGSIASEVPGPSREHDGPESNLCSLHPFQV
+SFQQLGWDHWIIAPHLYTPNYCKGVCPRVLHYGLNSPNHAIIQNLVNELVDQSVPQPSCV
+PYKYVPISILLIEANGSILYKEYEGMIAQSCTCR
+>sp|Q3ZBH3|CD151_BOVIN CD151 antigen OS=Bos taurus OX=9913 GN=CD151 PE=2 SV=1
+MGEFGEKSTTCGTVCLKYLLFTFNCCFWLAGLAVMAVGIWTLALKSDYISLLASGTYLAT
+AYILVVAGIVVMVTGALGCCATFKERRNLLRLYFGLLLIIFLLEIIAGALAYIYYQQLNA
+ELKENLKDTMTRRYHQPGHEGVTSAVDKLQQEFHCCGSNNSRDWQDSEWIHSGEAGGRVV
+PDSCCKTVVPGCGRRDHASNIYKVEGGCITKLETFIQEHLRIIGAVGLGIACVQVFGMLF
+TCCLYKSLKLEHY
+>sp|P53619|COPD_BOVIN Coatomer subunit delta OS=Bos taurus OX=9913 GN=ARCN1 PE=1 SV=1
+MVLLAAAVCTKAGKAIVSRQFVEMTRTRIEGLLAAFPKLMNTGKQHTFVETESVRYVYQP
+MEKLYMVLITTKNSNILEDLETLRLFSRVIPEYCRALEENEISEHCFDLIFAFDEIVALG
+YRENVNLAQIRTFTEMDSHEEKVFRAVRETQEREAKAEMRRKAKELQQARRDAERQGKKA
+PGFGGFGSSTVSGGSTTSMITETIIETDKPKVAPAPARPSGPSKALKLGAKGKEVDNFVD
+KLKSEGENIISSNMGKRTSEATKVHAPPINMESVHMKIEEKITLTCGRDGGLQNMELHGM
+IMLRISDDKFGRIRLHVENEDKKGVQLQTHPNVDKKLFTAESLIGLKNPEKSFPVNSDVG
+VLKWRLQTTEESFIPLTINCWPSESGNGCDVNIEYELQEDNLELNDVVITIPLPSGVGAP
+VIGEIDGEYRHDSRRNTLEWCLPVIDAKNKSGSLEFSIAGQPNDFFPVQVSFISKKNYCN
+IQVTKVTQVDGNSPVRFSTETTFLVDKYEIL
+>sp|Q2T9X5|CG061_BOVIN Uncharacterized protein C7orf61 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MAVVIKFFRWIWQKISRWVFFWKHKAKSVIMDHTDSKKNELKAEKAFKVSETFKLVEPPK
+EAKVSKMDVSPKVVDPCLLAKTTMDGAAVEAGRRRRSLLKLPQAAVKSVSMLMASALQSG
+WQMCSWKSSVSSTSVASQMKTRSPLESREAAMLREVYLVLWAIRKQLRQVARRQERRRRH
+HLRAHMGPQPDPAQGLKQDARSPL
+>sp|Q2KHU4|CLPP_BOVIN ATP-dependent Clp protease proteolytic subunit, mitochondrial OS=Bos taurus OX=9913 GN=CLPP PE=2 SV=1
+MWPKILLRGGRVAAGLCPALGPRLAARFPPQRTPENRLAPQRNLHATAARALPLIPIVVE
+QTGRGERAYDIYSRLLRERIVCVMGPIDDSVASLVIAQLLFLQSESNKKPIHMYINSPGG
+VVTSGLAIYDTMQYILNPICTWCVGQAASMGSLLLAAGTPGMRHSLPNSRIMIHQPSGGA
+RGQATDIAIQAEEIMKLKKQLYSIYAKHTKQSLQVIESAMERDRYMSPMEAQEFGILDKV
+LVHPPQDGEDEPELVQKEPGEPTAVEPAPASA
+>sp|Q08DJ5|CAD18_BOVIN Cadherin-18 OS=Bos taurus OX=9913 GN=CDH18 PE=2 SV=1
+MKITSTSCICPVLVCLCFVQRCYGTTHHGSIRGTRNQTKHIEGETEVHHRPKRGWVWNQF
+FVLEEHMGPDPQYVGKLHSNSDKGDGSVKYILTGEGAGTIFIIDDTTGDIHSTKSLDREQ
+KTHYVLHAQAIDRRTNKPLEPESEFIIKVQDINDNAPKFTDGPYIVTVPEMSDMGTSVLQ
+VTATDADDPTYGNSARVVYSILQGQPYFSVDPKTGVIRTALHNMDREAREHYSVVIQAKD
+MAGQVGGLSGSTTVNITLTDVNDNPPRFPQKHYQLYVPESAQVGSAVGKIKANDADTGSN
+ADMTYSIINGDGVGIFSISTDKETREGILSLKKPLNYEKKKSYTLNIEGANTHLDFRFSH
+LGPFKDATMLKIIVGDVDEPPLFSMPSYVMEVYENAKIGTVVGTVLAQDPDSANSLVRYF
+IDYNAEDDRFFNIDANTGTIKTTKVLDREETPWYNITVAASENDNPSLLSHVTVGIRVLD
+VNDNPPELAREYDIVVCENSKPGQVIHTISATDKDDFANGPRFNFFLDEHLSINPNFTLK
+DNEDNTASILTRRRRFSRTIQDVYYLPIMISDGGIPSLSSSSTLTIRVCACERDGRVRTC
+HAEAFLSSAGLSTGALIAILLCVVILLAIVVLFITLRRSKKEPLIISEEDVRENVVTYDD
+EGGGEEDTEAFDITALRNPSAAEELKYRRDIRPEVKLTPRHQTLSTLESIDVQEFIKQRL
+AEADLDPSVPPYDSLQTYAYEGQRSEAGSISSLDSATTQSDQDYQYLGDWGPEFKTLAEL
+YGEIESERTT
+>sp|Q05B52|COQ4_BOVIN Ubiquinone biosynthesis protein COQ4 homolog, mitochondrial OS=Bos taurus OX=9913 GN=COQ4 PE=2 SV=1
+MATLLRGVLRPLCAFRGGPGPPAGVPFRAVSHGTGLLYPEHIPTSVLQKVLLAAGSAGMA
+LYDPYRHDMVAVLGETTGRRTLKVLRDQMKRDPEGAQILQERPRISLSTLDMGKLRSLPE
+GSFGCAYLHFLDVNVASGRGMTVCTEDFIQC
+>sp|P84291|BPAP_BOVIN Pregnancy-associated protein bPAP (Fragments) OS=Bos taurus OX=9913 PE=1 SV=1
+DSELAGPRGARGPHGLSGPHGLSGLXGPXGYTGPIGMXGLTGLRREESEKVWLESKDGQE
+LELVSSGSAQEELELVSSGSAQVSFASYLGASQPLPSELW
+>sp|A1L515|ASPH1_BOVIN Aspartate beta-hydroxylase domain-containing protein 1 OS=Bos taurus OX=9913 GN=ASPHD1 PE=2 SV=1
+MWRGSSAGGSQGAAMEGTGGELGGQGNWGLEDAPGLLARASLPIMPAWPLPLASSALTLL
+LGALTSLFLWYCYRLGSQDMQALGTGSRAGAVGGRPGGCSEAGRPSPGRSGESGEGPRTE
+GLMSRRLRAYARRYSWAGMGRVRRAAQGGPSPGGGPGVLGIQRPGLLFLPDLPSAPFVPR
+EAQRHDVELLESSFPAILRDFGAVSWDFSGTTPPPRGWSPPLAPGCYQLLLYQAGRCQPS
+NCRRCPGAYRALRGLRSFMSANTFGNAGFSVLLPGARLEGRCGPTNARVRCHLGLKIPPG
+CELVVGGEPQCWAEGHCLLVDDSFLYTVAHNGSPEDGPRVVFIVDLWHPNVAGAERQALD
+FVFAPDP
+>sp|A0JNI5|CLASR_BOVIN CLK4-associating serine/arginine rich protein OS=Bos taurus OX=9913 GN=CLASRP PE=2 SV=1
+MWHEARKHERKLRGMMVDYKKRAERRREYYEKIKKDPAQFLQVHGRACKVHLDSAVALAA
+ESPVNMMPWQGDTNNMIDRFDVRAHLDHIPDYTPPLLTTISPEQESDERKCNYERYRGLV
+QNDFAGISEEQCLYQIYIDELYGGLQRPSEDEKKKLAEKKASIGYTYEDSTVAEVEKVTE
+KPEEEESPAEEESNSDEDEVIPDIDVEVDVDELNQEQVADLNKQATTYGMADGDFVRMLR
+KDKEEAEAIKHAKALEEEKAMYSGRRSRRQRREFREKRLRGRKISPPSYARRDSPTYDPY
+KRSPSESSSESRSRSRSPTPGREEKITFITSFGGSDEEAAAAAAAAAASGAATGKPPAPP
+QPGGPAPGRNASARRRSSTSSSSSSASRTSSSRSRSSSSSRSRRGGGYYRSGRHARSRSR
+SWSRSRSRSRRYSRSRSRGRRHSGGGSRDGHRYSRSPARRGGYGPRRRSRSRSRSGDRYR
+RGGRGPRHHSSSRSSWSLSPSRSRSLTRSRSPSLSRSRSLSRSRSQSHSPSPPREKLSRP
+AASPAVGEKLKKTEPAAGKETGAAKPKLTPQEKLKLRMQKALNRQFKADKKAAQEKMIQQ
+EHERQEREDELRAMARKIRMKERERREKEREEWERQYSRQSRSPSPRYSREYSSSRRRSR
+SRSRSPHYRH
+>sp|Q0II78|CCRL2_BOVIN Chemokine C-C motif receptor-like 2 OS=Bos taurus OX=9913 GN=CCRL2 PE=2 SV=1
+MANYTPAPEDDYDVFIEDDLSDDEIEPCTPYDPKILSAQLVPYLYTTVFMVGLLDNILVV
+FILVKYKGLRQAENMSFLNLALSNLGFLLTLPFWAYAASHGEGFDDPLCKILLLLYSIGL
+YSEAFFNVLLTVQRYKEFFHVRRRFSACRTVAGSIFISVLVWVTATLVTLPELVSYKPQM
+QSQKYKCFFTGLHFLPADETFWKHFLTLKMNILGFLLPLFAFVYCYVRMRKTLQFRERNY
+GLFKLVFTIMAVFLLMWGPYNIVLFLSAFNEHFSLHGCGSSYNLNKSVQITRIIAATHCC
+VNPLLYVFLDKAFRKHLCHLFYLCSDTAPQPTEEPAQGASGEEYHLSS
+>sp|Q32PA8|AAMDC_BOVIN Mth938 domain-containing protein OS=Bos taurus OX=9913 GN=AAMDC PE=2 SV=1
+MSSPEIASLSWGQMKVQGSTKIYKDCKVWPGGSRDWDWRETGTEHSPGVQPADVEEVVEK
+GVQILVIGRGMSEALKVPPSTVEYLKKKGIDVRVLQTEQAVKEYNALATQGIRVGGVFHS
+TC
+>sp|P33046|CTHL4_BOVIN Cathelicidin-4 OS=Bos taurus OX=9913 GN=CATHL4 PE=1 SV=1
+MQTQRASLSLGRWSLWLLLLGLVVPSASAQALSYREAVLRAVDQLNELSSEANLYRLLEL
+DPPPKDNEDLGTRKPVSFTVKETVCPRTIQQPAEQCDFKEKGRVKQCVGTVTLDPSNDQF
+DLNCNELQSVILPWKWPWWPWRRG
+>sp|A6QNQ6|CTIP_BOVIN DNA endonuclease RBBP8 OS=Bos taurus OX=9913 GN=RBBP8 PE=2 SV=2
+MNLSGSSCGSPSSADVSNDFKDLWTKLKEYHDKETQGLQVKVTKLKKERILDAQRLEEFF
+TKNQQLREQQKVLHETIKVLEDRLRAGLCDRCAVTEEHMRKKQQEFENIRQQNLKLITEL
+MNEKNTLQEENKKLSEQLQQKIENDQPHKATDLESEEDVIPDSPITTFSFSGTNRLRRKE
+NLRVRYIEQTHAKLEHSGCAHELRTVPKSSAHPQHKPKESEILVADTCDQSQAPVAKPHG
+KSSYTPDNLATVVAETLGLCVQEESESRGPQSPLGDELYHCLEGDHKKQAFEECRRNSED
+NLRFSDSKTPFQEELTTRVSSPVFGAPSNVKSSLGLNTSLSPSLLETGKKTHLKTVPLSN
+TSAPGPEKPRSKSEDGTLITHHHLGTEVNKIPSQSSSNKQMLINKNTSEPISEQGNIGHS
+KDTDRDKHVVPLKSLGGRTKRKKIEEESEDEVICPQASFDKENAFPFPLDSHSSMNGDYV
+MDKPLDLSDRFSAIQRQEKSQGCENSKIRFRQVTLYEALKPIPRDSSSSRKALSGSCGLT
+KDSPEEPCLQESLFQSLSKSPDNKTLLQIKEENPVFKIPLRPRESFETENLFDDTKGAGS
+HEPIKIKTRSVRGACEVASVLQLNPCRIAKTKSLQNNQDVSFENIQWSIDPGADLSQYKM
+GVTVDDTKDGSQSRLAGETVDMDCTLVSETMLLKLKKQEQKGEESPNGERKMNDSLEDMF
+DRTTHEEYESCLAESFPQVADEEKELSTTTKKPNISW
+>sp|Q7YRA3|EXOS4_BOVIN Exosome complex component RRP41 OS=Bos taurus OX=9913 GN=EXOSC4 PE=2 SV=3
+MAGLELLSDQGYRVDGRRAGELRKIQARMGVFAQADGSAYIEQGNTKALAVVYGPHEIRG
+SRARALPDRALVNCQYSSATFSTGERKRRPHGDRKSCEMGLQLRQTFEAAILTQLHPRSQ
+IDIYVQVLQADGGTYAACVNAATLAVLDAGIPMRDFVCACSAGFVDGTALADLSHVEEAA
+GGPQLALALLPASGQIALLEMDARLHEDHLEQVLEAAARASRDVHTVLDRVVRQHVQEAS
+VLLGD
+>sp|A4IFH5|ALAT1_BOVIN Alanine aminotransferase 1 OS=Bos taurus OX=9913 GN=GPT PE=2 SV=1
+MALRAGEHSQEAANGLKEKVLTLDSMNPYVRRVEYAVRGPIVQRALELEQELRQGVKKPF
+TEVIRANIGDAQAMGQIPITFPRQVLALCVHPDLLNSPDFPDDAKRRAERILQACGGHSL
+GAYSISAGVQMIREDVARYIERRDGGIPADPNNIFLSTGASDAIVTVLKLLVTGEGRTRT
+GVLIPIPQYPLYSAALAEFNAVQVDYYLDEERAWALDVAELRRALRQARDHCRPRALCVI
+NPGNPTGQVQTRECIEDVIRFAYEEKLFLLADEVYQDNVYAESSQFHSFKKVLTEMGPPY
+AAQQELASFHSISKGYMGECGFRGGYVEVVNMDAAVKQQMQKLRSVRLCPPTPGQVLLDV
+AVSPPAPSDPSFPRFQAERRAVLAELAAKAKLTEQVFNEAPGIRCNPVQGAMYSFPRVQL
+PPRAVQRAQELGLAPDMFFCLRLLEETGICVVPGSGFGQREGTYHFRMTILPPMEKLRPL
+LEKLSQFHAKFTREYS
+>sp|P00396|COX1_BOVIN Cytochrome c oxidase subunit 1 OS=Bos taurus OX=9913 GN=MT-CO1 PE=1 SV=1
+MFINRWLFSTNHKDIGTLYLLFGAWAGMVGTALSLLIRAELGQPGTLLGDDQIYNVVVTA
+HAFVMIFFMVMPIMIGGFGNWLVPLMIGAPDMAFPRMNNMSFWLLPPSFLLLLASSMVEA
+GAGTGWTVYPPLAGNLAHAGASVDLTIFSLHLAGVSSILGAINFITTIINMKPPAMSQYQ
+TPLFVWSVMITAVLLLLSLPVLAAGITMLLTDRNLNTTFFDPAGGGDPILYQHLFWFFGH
+PEVYILILPGFGMISHIVTYYSGKKEPFGYMGMVWAMMSIGFLGFIVWAHHMFTVGMDVD
+TRAYFTSATMIIAIPTGVKVFSWLATLHGGNIKWSPAMMWALGFIFLFTVGGLTGIVLAN
+SSLDIVLHDTYYVVAHFHYVLSMGAVFAIMGGFVHWFPLFSGYTLNDTWAKIHFAIMFVG
+VNMTFFPQHFLGLSGMPRRYSDYPDAYTMWNTISSMGSFISLTAVMLMVFIIWEAFASKR
+EVLTVDLTTTNLEWLNGCPPPYHTFEEPTYVNLK
+>sp|Q2HJ40|BACE1_BOVIN Beta-secretase 1 OS=Bos taurus OX=9913 GN=BACE1 PE=2 SV=1
+MAQALPWLLLWMGSGVLPAHGSQPGIRLPLRSGLGGAPLGLRLPRETDEESEEPGRRGSF
+VEMVDNLRGKSGQGYYVEMTLGSPPQTLNILVDTGSSNFAVGAAPHPFLHRYYQRQLSST
+YRDLRKGVYVPYTQGKWEGELGTDLVSIPHGPNVTVRANIAAITESDKFFINGSNWEGIL
+GLAYAEIARPDDSLEPFFDSLVKQTHVPNLFSLQLCGAGFPLNQSEALASVGGSMIIGGI
+DHSLYMGSLWYTPIRREWYYEVIIVRVEINGQDLKMDCKEYNYDKSIVDSGTTNLRLPKK
+VFEAAVKSIKAASSTEKFPDGFWLGEQLVCWQAGTTPWNIFPVISLYLMGEVTNQSFRIT
+ILPQQYLRPVEDVATSQDDCYKFAISQSSTGTVMGAVIMEGFYVVFDRARKRIGFAVSAC
+HVHDEFRTAAVEGPFVTPDMEDCGYNIPQTDESTLMTIAYVMAAICALFMLPLCLMVCQW
+RCLRCLRHQHDDFADDISLLK
+>sp|Q0VC81|AA3R_BOVIN Adenosine receptor A3 OS=Bos taurus OX=9913 GN=ADORA3 PE=2 SV=1
+MPVNSTAVSLASVTYISVEILIGLCAIVGNVLVIWVVKLNPSLQTTTFYFIVSLALADIA
+VGVLVMPLAIVISLGVTIHFYSCLLMTCLLMIFTHASIMSLLAIAVDRYLRVKLTVRYRR
+VTTQRRIWLALGLCWLVSFLVGLTPMFGWNMKLSSADKNLTFLPCQFRSVMRMDYMVYFS
+FFTWILIPLVVMCAIYFDIFYVIRNRLSQNFSGSKETGAFYGREFKTAKSLSLVLFLFAL
+SWLPLSIINCIIYFNGEVPQIVLYLGILLSHANSMMNPIVYAYKIKKFKETYLLILKACV
+ICQPSKSMDPSIEQTSE
+>sp|Q29RS0|CCD89_BOVIN Coiled-coil domain-containing protein 89 OS=Bos taurus OX=9913 GN=CCDC89 PE=2 SV=2
+MPQEESAPRMDTPSSEEPLDKQNRKLEDQEEEMGFKELDGLREALANLRGLSEEDKSERA
+MLCSRIQEQSQLICILKRRSDEALERCQTLELLNTELEEKRLLEAEELKAKNQQAQKLEE
+RFMTLASNHELMIRFKDEHKRQNAKLREENEKLRLENDQLFSPALKAQEAKVVQLTAQSE
+ALAKELETLQRKYAHDVCQAQAREQELLELQNRQACAHTEETEQLRMQLQSLQQQQQEAL
+QQTAKAEQAHSQQNQELRARLQTVTREKEELLLLSMERGRVLQNKQAEIRQLEEKLKMAD
+VARRHALERFEQEAVAVNSNLRVRELQRRVDGIQAAYDELRLQSEAFKKHSLDLLSKERE
+LNAKLRHLFP
+>sp|A7MBI7|COMT_BOVIN Catechol O-methyltransferase OS=Bos taurus OX=9913 GN=COMT PE=2 SV=1
+MLEAPPLLLVAGGVGLALLALRWLATTDLQFFGRAFIVWNEFIMKPIRNLLMGSSKEQRI
+LQHVLQHAVAGDPQSVVAAIDSYSLEKEWAMHVGEKKGQIVDRVLREQQPSVLLELGAYC
+GYSAVRMARLLLPGARLLTIEFNPDYAAITQRMVEFAGLQDKVTVVLGASQDIIPQLKKK
+YDVDTLDMVFLDHWKDRYLPDMLLLEECGLLREGTVLLADNVIYPGAPDFLEYVRGNSRF
+ECSHFSSYLEYSKVVDGLEKVVYKGLSGPARP
+>sp|Q32LL9|CENPN_BOVIN Centromere protein N OS=Bos taurus OX=9913 GN=CENPN PE=2 SV=1
+MDETLAEFFRRTILKIPMTEMMTILKTWNFMSENQLQTVNFRQRKESIVQDLVLLCEENH
+ASLNDAAHLDIIYTQFHRHQKIWDVFQMSKAPGDDIDLFDMEQFKSSFKKILQRALKNVT
+VSFRDAEENSVWIRIAWGTQYKKPNQYKPAYVVYYSQTPYAFTSSSRLKSNLPLLGQALT
+VASKHHQIVKMDLRSRYLDSLKAIVFKQYNQSFETHNCTTSLQEGSLGLDINMDSRIIHE
+NKVEKERVQRVTQEIFGDYPQPRLEFAQYKLETKFKSDLNGGILAEREEPLRCLVKFSSP
+HLLEALKSLAPAGIADAPLSPLLTCIPNKGKNYFKIRDK
+>sp|P35350|ACKR4_BOVIN Atypical chemokine receptor 4 OS=Bos taurus OX=9913 GN=ACKR4 PE=2 SV=1
+MAVEYNQSTDYYYEENEMNDTHDYSQYEVICIKEEVRKFAKVFLPAFFTIAFIIGLAGNS
+TVVAIYAYYKKRRTKTDVYILNLAVADLFLLFTLPFWAVNAVHGWVLGKIMCKVTSALYT
+VNFVSGMQFLACISTDRYWAVTKAPSQSGVGKPCWVICFCVWVAAILLSIPQLVFYTVNH
+KARCVPIFPYHLGTSMKASIQILEICIGFIIPFLIMAVCYFITAKTLIKMPNIKKSQPLK
+VLFTVVIVFIVTQLPYNIVKFCQAIDIIYSLITDCDMSKRMDVAIQITESIALFHSCLNP
+VLYVFMGTSFKNYIMKVAKKYGSWRRQRQNVEEIPFESEDATEPTSTFSI
+>sp|Q2KIY7|BT3L4_BOVIN Transcription factor BTF3 homolog 4 OS=Bos taurus OX=9913 GN=BTF3L4 PE=2 SV=1
+MNQEKLAKLQAQVRIGGKGTARRKKKVVHRTATADDKKLQSSLKKLAVNNIAGIEEVNMI
+KDDGTVIHFNNPKVQASLSANTFAITGHAEAKPITEMLPGILSQLGADSLTSLRKLAEQF
+PRQVLDSKTPKPEDIDEEEDDVPDLVENFDEASKNEAN
+>sp|P00191|CP21A_BOVIN Steroid 21-hydroxylase OS=Bos taurus OX=9913 GN=CYP21 PE=1 SV=2
+MVLAGLLLLLTLLAGAHLLWGRWKLRNLHLPPLVPGFLHLLQPNLPIHLLSLTQKLGPVY
+RLRLGLQEVVVLNSKRTIEEAMIRKWVDFAGRPQIPSYKLVSQRCQDISLGDYSLLWKAH
+KKLTRSALLLGTRSSMEPWVDQLTQEFCERMRVQAGAPVTIQKEFSLLTCSIICYLTFGN
+KEDTLVHAFHDCVQDLMKTWDHWSIQILDMVPFLRFFPNPGLWRLKQAIENRDHMVEKQL
+TRHKESMVAGQWRDMTDYMLQGVGRQRVEEGPGQLLEGHVHMSVVDLFIGGTETTASTLS
+WAVAFLLHHPEIQRRLQEELDRELGPGASCSRVTYKDRARLPLLNATIAEVLRLRPVVPL
+ALPHRTTRPSSIFGYDIPEGMVVIPNLQGAHLDETVWEQPHEFRPDRFLEPGANPSALAF
+GCGARVCLGESLARLELFVVLLRLLQAFTLLPPPVGALPSLQPDPYCGVNLKVQPFQVRL
+QPRGVEAGAWESASAQ
+>sp|A0JNN8|CD048_BOVIN Neuropeptide-like protein C4orf48 homolog OS=Bos taurus OX=9913 PE=3 SV=2
+MAPLPPCGPPRSPPPRLLLLLLLLSATLLGAPARAEPAAGSAVPAQSRPCVDCHAFEFMQ
+RALQDLRKTAYSLDARTESLLLQAERRALCACWPAGH
+>sp|Q3MHK4|CLD11_BOVIN Claudin-11 OS=Bos taurus OX=9913 GN=CLDN11 PE=2 SV=1
+MVATCLQVVGFVTSFVGWIGIIVTTSTNDWVVTCGYTIPTCRKLDELGSKGLWADCVMAT
+GLYHCKPLVDILILPGYVQACRALMIAASVLGLPAILLLLTVLPCIRMGHEPGVAKYRRA
+QLAGVMLVLVALCAMVATIWFPVCAHRETTIVSFGYSLYAGWIGAVLCLVGGCVIVCCAG
+DAQAFGENRFYYSSGSSSPTHAKSAHV
+>sp|Q32LE9|CSRP2_BOVIN Cysteine and glycine-rich protein 2 OS=Bos taurus OX=9913 GN=CSRP2 PE=2 SV=3
+MPVWGGGNKCGACGRTVYHAEEVQCDGRSFHRCCFLCMVCRKNLDSTTVAIHDEEIYCKS
+CYGKKYGPKGYGYGQGAGTLNMDRGERLGIKPESVQPHRPTTNPNTSKFAQKYGGAEKCS
+RCGDSVYAAEKIIGAGKPWHKNCFRCAKCGKSLESTTLTEKEGEIYCKGCYAKNFGPKGF
+GYGQGAGALVHAQ
+>sp|Q0VCX1|C1S_BOVIN Complement C1s subcomponent OS=Bos taurus OX=9913 GN=C1S PE=2 SV=2
+MWCIVLFSLVAWVYAEPTMYGEILSPNYPQVYPNEVEKSWDIEVPAGYGIHLYFTHLDIE
+LSENCSYDSVQIMSGGHEEGKLCGRRTNKNSNSPVVKEFHIPYSKLQVIFRSDFSNEERF
+TGFAAYYVAEDIDECTAFADAPCSHFCNNFLGGYFCSCPPEYFLHEDKKNCGVNCSGNVF
+TTMTGEVESPNYPSPYPESSRCDYQIQLEEGFRVVVTMRREDFDVEPADSEGHCPDSLLF
+VAGDQHFGPYCGNGFPGPLTIETQSSALNIIFQTDGSEQRKGWKFRYHGDPIPCPKEVTA
+NSFWEPERAKYVFRDVVKITCVDGFEVVQGSVGSPSFYSTCQSNGKWSNSKLRCQPVDCG
+APEPIQHGRVEDPESTLFGSITRYSCEMPYYSMECEGSEVYHCSGNGSWVNKVLGIEPPK
+CIAVCGTPSEPFRSTQRIFGGSIAKIENFPWQVFFSNPWAGGALIDEYWVLTAAHVVEGN
+DIPVMYVGSSSVVTSQLSNAQMLTAERVFIHPGWEVLDPSITRKNFDNDIALVRLRDPVK
+MGPKVAPICLPGTSSEYDPPENVLGLISGWGRTNVKSHVIKLRGAKLPVAPLSKCREMKG
+VNPGIDISSFVFTENMICAGNDKGVDSCDGDSGGAFAVQDPKENKPKFYVAGLVSWGPQC
+GTYGIYTRVKNYVDWIRKTMQEYSAPSVD
+>sp|Q05B71|CISD2_BOVIN CDGSH iron-sulfur domain-containing protein 2 OS=Bos taurus OX=9913 GN=CISD2 PE=2 SV=1
+MVLESVARIVKVQLPAYLKRLPVPESITGFARLTVSEWLRLLPFLGVLALLGYLAVRPFL
+PKKKQQKDSLINLKIQKENPKVVNEINIEDLCLTKAAYCRCWRSKTFPACDGSHNKHNEL
+TGDNVGPLILKKKEV
+>sp|Q2KJ81|AP1M1_BOVIN AP-1 complex subunit mu-1 OS=Bos taurus OX=9913 GN=AP1M1 PE=1 SV=3
+MSASAVYVLDLKGKVLICRNYRGDVDMSEVEHFMPILMEKEEEGMLSPILAHGGVRFMWI
+KHNNLYLVATSKKNACVSLVFSFLYKVVQVFSEYFKELEEESIRDNFVIIYELLDELMDF
+GYPQTTDSKILQEYITQEGHKLETGAPRPPATVTNAVSWRSEGIKYRKNEVFLDVIESVN
+LLVSANGNVLRSEIVGSIKMRVFLSGMPELRLGLNDKVLFDNTGRGKSKSVELEDVKFHQ
+CVRLSRFENDRTISFIPPDGEFELMSYRLNTHVKPLIWIESVIEKHSHSRIEYMIKAKSQ
+FKRRSTANNVEIHIPVPNDADSPKFKTTVGSVKWVPENSEIVWSIKSFPGGKEYLMRAHF
+GLPSVEAEDKEGKPPISVKFEIPYFTTSGIQVRYLKIIEKSGYQALPWVRYITQNGDYQL
+RTQ
+>sp|A0JNN6|CRTP1_BOVIN Cysteine-rich tail protein 1 OS=Bos taurus OX=9913 GN=CYSRT1 PE=2 SV=1
+MDPHETLVKNPYAHISIPRAHLRPELGQQRKAGPSSAESRPLPVRSCTLEPLEEAPGPKG
+TKGRPASQQPCKPCGSGQRPAGLAYAGPPPAQRGDDIAHHCWCCPCCSCCHCPRFCRCHS
+CCCVVS
+>sp|Q8SPU7|ACHA5_BOVIN Neuronal acetylcholine receptor subunit alpha-5 OS=Bos taurus OX=9913 GN=CHRNA5 PE=2 SV=1
+MAAPGWGRWVLGLGPLLLQVFLPFQLVAGRWGPEGAGGGVRRGLAEPSVVAKHEDSLFKD
+LFQDYERWVRPVEHLNDRIKIKFGLAISQLVDVDEKNQLMTTNVWLKQEWIDVKLRWNPD
+DYGGIKLIRVPSDSLWTPDIVLFDNADGRFEGASTKTVVRYDGTVTWTPPANYKSSCTID
+VTFFPFDLQNCSMKFGSWTYDGSQVDIILEDQDVDKRDFFDNGEWEIVSATGSKGNRTDS
+CCWYPYITYSFVIKRLPLFYTLFLIIPCIGLSFLTVLVFYLPSNEGEKICLCTSVLVSLT
+VFLLVIEEIIPSSSKVIPLIGEYLVFTMIFVTLSIMVTVFAINIHHRSSSTHDAMAPWVR
+KIFLHKLPKLLCMRSHVDRYFSQKEEARSSRGPRSSRNALEAALDSVRYITRHVMKETDV
+REVVEDWKFIAQVLDRMFLWTFLLVSVVGSLGLFVPVIYKWANIIVPIHIGNENK
+>sp|Q1JQB5|FBLI1_BOVIN Filamin-binding LIM protein 1 OS=Bos taurus OX=9913 GN=FBLIM1 PE=2 SV=1
+MASKPEKRVASSVFITLVPPRRDEAVVEEVRRAACEAWPGRPWESAPTKAPGAGSVGKLR
+SWMPPGRAAAPGPAVPPQLSNGGCSLPPPPLDVDDALPDLDLLPPPPPPPAADLPPPDEE
+PHSAMGASLISDLEQLHLPPPPPPPQALVEGPPLQPRPSHLKPAEEELPPPPEEPVSFPE
+REASTDICAFCHKTVSPRELAVEAMKRQYHAQCFTCRVCRRQLAGQSFYQKDGRPLCEPC
+YQDTLEKCGKCGEVVREHIIRALGQAFHPSCFTCVTCARRIGDESFALDSQNEVYCLDDF
+YRKFAPVCSICENPIIPRDGKDAFKIECMGRNFHENCYRCEDCRVLLSVEPTDQGCYPLN
+NRLFCKPCHVKRSAAGCC
+>sp|P46166|DEFB8_BOVIN Beta-defensin 8 OS=Bos taurus OX=9913 GN=DEFB8 PE=1 SV=1
+VRNFVTCRINRGFCVPIRCPGHRRQIGTCLGPQIKCCR
+>sp|Q5E9H8|GP173_BOVIN Probable G-protein coupled receptor 173 OS=Bos taurus OX=9913 GN=GPR173 PE=2 SV=1
+MANTTGEPEEVSGALSPPSAVAYVKLVLLGLIMCVSLAGNAILSLLVLKDRALHKAPYYF
+LLDLCLADGIRSAVCFPFVLASVRHGSSWTFSALSCKIVAFMAVLFCFHAAFMLFCISVT
+RYMAIAHHRFYAKRMTLWTCAAVICMAWTLSVAMAFPPVFDVGTYKFIREEDQCIFEHRY
+FKANDTLGFMLMLAVLMAATHAVYGKLLLFEYRHRKMKPVQMVPAISQNWTFHGPGATGQ
+AAANWIAGFGRGPMPPTLLGIRQNGHAASRRLLGMDEVKGEKQLGRMFYAITLLFLLLWS
+PYIVACYWRVFVKACAVPHRYLATAVWMSFAQAAVNPIVCFLLNKDLKKCLRTHAPCWGT
+GGAPAPREPYCVM
+>sp|P01272|GLUC_BOVIN Glucagon OS=Bos taurus OX=9913 GN=GCG PE=1 SV=1
+MKSLYFVAGLFVMLVQGSWQRSLQNTEEKSSSFPAPQTDPLGDPDQINEDKRHSQGTFTS
+DYSKYLDSRRAQDFVQWLMNTKRNKNNIAKRHDEFERHAEGTFTSDVSSYLEGQAAKEFI
+AWLVKGRGRRDFPEEVNIVEELRRRHADGSFSDEMNTVLDSLATRDFINWLLQTKITDRK
+>sp|Q5E943|CA043_BOVIN Uncharacterized protein C1orf43 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MASGSNWLSGVNVVLVMAYGSLVFVLLFIFVKRQIMRFAMKSRRGPHVPVGHNAPKDLKE
+EIDIRLSKVQDIKYEPQLLADDDARLLQLETQGNHNCYNYLYRMKALDAIRASEIPFHAE
+GRHPHSLMGKNFRSYLLDLRNTSTPFKGVRKALIDTLLDGYETARYGTGVFGLSEYLRYQ
+EALSELATVVKARSGSSQRQHQSAAKDLTQSPEVSPTTIQVTYLPSSQKSKRAKHFLELK
+SFKDNYNTLESTL
+>sp|Q2T9W0|BACD1_BOVIN BTB/POZ domain-containing adapter for CUL3-mediated RhoA degradation protein 1 OS=Bos taurus OX=9913 GN=KCTD13 PE=2 SV=1
+MSAEASGPAAAEAPSLEVAKPSELEPGSAAYGLKPLTTNSKYVKLNVGGSLHYTTLRTLT
+GQDTRLKAMFSGRAEVLTDAGGWVLIDRSGRHFGTILNYLRDGSVPLPESTRELGELLGE
+ARHYLVQGLIEDCQLALQQKRENVSPLCLIPTVTSPREEQQLLASTSKPVVKLLHNRSNN
+KYSYTSTSDDNLLKNIELFDKLALRFHGRLLFLKDVLGDEICCWSFYGQGRKIAEVCCTS
+IVYATEKKQTKVEFPEARIFEETLNILIYETPRGPDPALLEATGGAAGGGGASRGEDEDN
+REHRVRRIHVRRHITHDERPHGQQIVFKD
+>sp|Q32LF5|CA052_BOVIN UPF0690 protein C1orf52 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MAAEEKDPLSYFAAYGSSSSGSSDEEDNSEPEETSRKGQDTAKSAGGYGNKAEKRLPGPD
+ELFRSVTRPAFLYNPLNKQIDWERHVVKAPEEPPKEFKIWKSHYVPPPETYSTEKKPPPP
+ELDMAIKWSNIYEDNGDDAPQNAKKARLLPEGEETVESDDEKDEHTSKKRKIELGEPTKK
+KK
+>sp|P01190|COLI_BOVIN Pro-opiomelanocortin OS=Bos taurus OX=9913 GN=POMC PE=1 SV=1
+MPRLCSSRSGALLLALLLQASMEVRGWCLESSQCQDLTTESNLLACIRACKPDLSAETPV
+FPGNGDEQPLTENPRKYVMGHFRWDRFGRRNGSSSSGVGGAAQKREEEVAVGEGPGPRGD
+DAETGPREDKRSYSMEHFRWGKPVGKKRRPVKVYPNGAEDESAQAFPLEFKRELTGERLE
+QARGPEAQAESAAARAELEYGLVAEAEAEAAEKKDSGPYKMEHFRWGSPPKDKRYGGFMT
+SEKSQTPLVTLFKNAIIKNAHKKGQ
+>sp|Q5EA18|CENPL_BOVIN Centromere protein L OS=Bos taurus OX=9913 GN=CENPL PE=2 SV=1
+MDSYDAPELTPRQDASSRLKDFFVGATPLQKRLESVRKQTSFIPTPPRRKIPQGSQLQED
+VDPQKFAFLLHKQWTLYSLTPLYKFSYTNFKEYSKLLNAFIAAEKQKGLAVEVGDDFNIK
+VVFSTLMGVKGTQRDPEAFLVQILSKSQLPSEHKEGKVLWTGWFCCVFGDSLLETVSEDF
+TCLPLFLVNGAETNTAIIGTWFQKTFDCYFRPLAINAFNLSWMAAMWTACKMDHYMATTE
+FLWSVPCSPHSLDISYAIHPEDAKALWDSVHKTPGEVTQEEVDLFMDCLYSHFHRHFKIH
+LSATRLVRVSTSVASAHTDGKIKILCPKYLIGVLAYLTELAVFQIE
+>sp|A4IFI1|CC157_BOVIN Coiled-coil domain-containing protein 157 OS=Bos taurus OX=9913 GN=CCDC157 PE=2 SV=2
+MAHLLGSPACMDSLRKDLTDLQGAIVDVFSRAGPVRFPSWKFPDRAACDLDMVALLEHYD
+HVPGDPEFTQLAHAVLLELVIDRLLLLLQSCASYLENLTLEQIVPPARAAGPCMSVGLTV
+RRFWNSLLRLGMLSQQAALQKRANQGETLTSKPTAKGEPAGSRELGTAQLVKPPSPVPGL
+PQACPERDSLPVSVSLRRPGGTAENTRSVHSQTIETALVPCDACTSVQSSLREVGKVVIN
+LCQSQNLPSSLGRFQQLVRDSMGHRPLPAATVGLWAAEQSKDLACLGKLVGLLRAQLEEA
+EGQKDRLRMQVGELEQALQEEQAARQRQAQEAEQHRAQWERERQQLLAETSDLKTKVATL
+EGELKQQRESTQAVESKAQQLQAEAEHRLEAERQVQHLEQQVELLAGRLDGASQQIRWAS
+TELDKEKARVDSMVRHQESLQAKQRALLQQLDSLDQEREELRGSLDEAEAQRAHVEEQLQ
+SVQGEREQATTDLRLTISELERELVELRERERLLVAFPDLHRPAEAQIQSSGNVTDDMER
+QVQANDIRIRVLQEENGRLRSMLSKIREVAQQGGLKLIPEDQLWALRSKGIQGAEPPLQT
+ARTSPGAPGRRHLPGSRPASAGRTLPGQPQASPPLRPHRRPGESSPEDATYLTNCAQSPI
+RALARLRRRLSPSSGRASPAHQPQERPT
+>sp|P18130|ADA1A_BOVIN Alpha-1A adrenergic receptor OS=Bos taurus OX=9913 GN=ADRA1A PE=2 SV=1
+MVFLSGNASDSSNCTHPPPPVNISKAILLGVILGGLILFGVLGNILVILSVACHRHLHSV
+THYYIVNLAVADLLLTSTVLPFSAIFEILGYWAFGRVFCNVWAAVDVLCCTASIMGLCII
+SIDRYIGVSYPLRYPTIVTQKRGLMALLCVWALSLVISIGPLFGWRQPAPEDETICQINE
+EPGYVLFSALGSFYVPLTIILVMYCRVYVVAKRESRGLKSGLKTDKSDSEQVTLRIHRKN
+AQVGGSGVTSAKNKTHFSVRLLKFSREKKAAKTLGIVVGCFVLCWLPFFLVMPIGSFFPD
+FRPSETVFKIAFWLGYLNSCINPIIYPCSSQEFKKAFQNVLRIQCLRRKQSSKHTLGYTL
+HAPSHVLEGQHKDLVRIPVGSAETFYKISKTDGVCEWKIFSSLPRGSARMAVARDPSACT
+TARVRSKSFLQVCCCLGPSTPSHGENHQIPTIKIHTISLSENGEEV
+>sp|P52476|AL1B1_BOVIN Aldehyde dehydrogenase X, mitochondrial (Fragment) OS=Bos taurus OX=9913 GN=ALDH1B1 PE=2 SV=2
+PRLFALHHSATQYFSAAALPSPIPNPDIPDNQLFISNKWHDAVSKKTFPTVSPATGEVIG
+HVAEGDWADVDLAAKAARAAFRLGSPWRWMDALKRGWLLNHLADLVERDCVYLASLESLD
+NGKPFQESYVLDLDEVIKVYRYFAGWADKWHGKTIPMDGEHFCFTRHEPVGVCCQIIPWN
+FPLVMQSWKLALALAMGNTVVTKVAEQTPFSALYLASLIKEVGLPPGLVNIVTGYGPTAG
+AAIAHHMDIGKVAFTGSTKVGHLIQKAAGNSSLKRVTLELGGKSLSIVLADADMDHAVEQ
+RQEALFFNMGQCCCPGSWTFIEESIYDEFLERTVEKAKQRRVGNPFDLDTQQGPQVDRER
+FERILGYIQLGQKEGAKLLCGGEHFRQQCFFIKPTVFGGVQDDMRIAREEIFGPVQPLFK
+FKKIEEVIERADNTRYGLAAAVFTQDLDKAMYFTQALQTGTVWVNTYNVVTCHTPLGGFK
+EPGNGRELGEDGLKAYTEVKTVTIKVPQKNS
+>sp|Q148F3|ARSK_BOVIN Arylsulfatase K OS=Bos taurus OX=9913 GN=ARSK PE=2 SV=1
+MLLLWVSVVAASALAAPAPGADGQRRGAIQAWPDAPNVLLVVSDSFDGRLTFYPGSQVVK
+LPFINFMKAHGTSFLNAYTNSPICCPSRAAMWSGLFTHLTESWNNFKGLDPNYTTWMDVM
+EKHGYRTQKFGKLDYTSGHHSISNRVEAWTRDVAFLLRQEGRPMVNLAPKKTKVRVMQVD
+WKNTDRAVNWLRKEASNSTQPFVLYLGLNLPHPYPSPSSGENFGSSTFHTSRYWLKKVSY
+DAIKIPKWSPLSEMHPVDYYSSYTKNCTGKFTEKEIKNIRAFYYAMCAETDAMLGEIILA
+LRQLGLLQKTIVIYTSDHGELAMEHRQFYKMSMYEASSHVPLLIMGPGIQANLQVSSVVS
+LVDIYPTMLDIAGIPLPQNLSGYSLLPSSSEMFKNEQKFKNLHPPWILSEFHGCNVNAST
+YMLRTNQWKYIAYSDGASVLPQLFDLSSDPDELTNIAAKFPEVTSSLDQKLRSIINYPKV
+SASVHQYNKEQFIKWKQSIGQNYSNVIANLRWHQDWLKKPKKYENAIDQWLKSHSDAKTI
+>sp|Q3SX22|BSDC1_BOVIN BSD domain-containing protein 1 OS=Bos taurus OX=9913 GN=BSDC1 PE=2 SV=1
+MEPGGDGAEDDVGAGIGPPAPGWCLRGRCRVGSQREDVGWWRSWLQQSYQAVKEKSSEAL
+EFMKRDLTEFTQVVQRDTACTIAATASVVKEKLTTEGSSGATEKMKKGLSDFLGVISDTF
+APSPDKTIDCDVITLMGTPSGTAEPYDGTKARLYSLQSDPATYCNEPDGPPELFDAWLSQ
+FCLEEKKGEISELLVGSPSIRALYTKMVPAAVSHSEFWHRYFYKVHQLEQEQARRDALKQ
+RAEQSISEEPGWEEEEEELAGVSPTSLKEAKVPVARPSTSPEGGPGPQSPCEENLVTPVE
+PPTEVTPSESSESVSLVTQIAKPPPASEAPALPKDLSQKLLEASLEEQDLAVDTGETGPP
+PRAQSKPHTPACRPSGPEPRPPARVETLREEILTDLRVFELNSDSGKSTPSNNGKKGSST
+DISEDWEKDFDLDMTEEEVQMALSKVDASGELEDVEWEDWD
+>sp|Q3SYR3|ABEC2_BOVIN Probable C->U-editing enzyme APOBEC-2 OS=Bos taurus OX=9913 GN=APOBEC2 PE=2 SV=1
+MAQKEEAAAAAEPASQNGEEVENLEDPEKLKELIELPPFEIVTGERLPAHYFKFQFRNVE
+YSSGRNKTFLCYVVEAQSKGGQVQASRGYLEDEHATNHAEEAFFNSIMPTFDPALRYMVT
+WYVSSSPCAACADRIVKTLNKTKNLRLLILVGRLFMWEEPEIQAALRKLKEAGCRLRIMK
+PQDFEYIWQNFVEQEEGESKAFEPWEDIQENFLYYEEKLADILK
+>sp|P81287|ANXA5_BOVIN Annexin A5 OS=Bos taurus OX=9913 GN=ANXA5 PE=1 SV=3
+MAQVLRGTVADFPGFDERADAETLRKAMKGLGTDEETILTLLTSRSNAQRQEIAVAFKTL
+FGRDLLDDLKSELTGKFEKLIVALMKPSRLYDAYELKHALKGAGTDEKVLTEIIASRTPE
+ELRAIEQVYEEEYGSSLEDDVVGDTSGYYQRMLVVLLQANRDPDARIDEAQVEQDAQALF
+QAGELKWGTDEEKFITIFGTRSVSHLRRVFDKYMTISGFQIEETIDRETSGNLEQLLLAV
+VKSIRSIPAYLAETLYYAMKGAGTDDHTLIRVVVSRSEIDLYNIRKEFRKNFGTSLYSMI
+KGDTSGDYKKALLLLCGGEDD
+>sp|Q5EAD4|ACDSB_BOVIN Short/branched chain specific acyl-CoA dehydrogenase, mitochondrial OS=Bos taurus OX=9913 GN=ACADSB PE=2 SV=1
+MERATVRLLRGGALLRRNFPSCLSSWKTPPHALNSSQSEAQLKATSNGPPLAPLQTFTDE
+EMMIKSAVKKFAQEQVAPFVSKMDEDSKMEKSVIQGLFQQGLMGIEIDTKYGGTGASFFS
+SVLVIEELAKVDASVALVCDIQNTLINRMIGKYGTEEQKATYLPKLATEKASSICISETG
+AGSDSFAMKTRADKKGDYYIINGSKMWISSAEIAGLFVVMANADFSAGYKGITCFLVDGD
+TEGLHVGKPENKLGIRASSTCPVTFENVKVPKTNILGQVGHGYKYAIGSLNEGRIGIAAQ
+MLGVAQGCFDYTIPYIKERKQFGRRVFDFQGLQHQVAHMATQLEAARLLTYNAARLLEAG
+RPMIKEASMAKYHASELAGLITSKCIEWMGGVGYTKSYPVEKYFRDAKIGTIYEGTSNIQ
+LNTIAKCISAEY
+>sp|Q00194|CNGA1_BOVIN cGMP-gated cation channel alpha-1 OS=Bos taurus OX=9913 GN=CNGA1 PE=1 SV=1
+MKKVIINTWHSFVNIPNVVGPDVEKEITRMENGACSSFSGDDDDSASMFEESETENPHAR
+DSFRSNTHGSGQPSQREQYLPGAIALFNVNNSSNKEQEPKEKKKKKKEKKSKPDDKNENK
+KDPEKKKKKEKDKDKKKKEEKGKDKKEEEKKEVVVIDPSGNTYYNWLFCITLPVMYNWTM
+IIARACFDELQSDYLEYWLAFDYLSDVVYLLDMFVRTRTGYLEQGLLVKEERKLIDKYKS
+TFQFKLDVLSVIPTDLLYIKFGWNYPEIRLNRLLRISRMFEFFQRTETRTNYPNIFRISN
+LVMYIIIIIHWNACVYFSISKAIGFGNDTWVYPDVNDPDFGRLARKYVYSLYWSTLTLTT
+IGETPPPVRDSEYFFVVADFLIGVLIFATIVGNIGSMISNMNAARAEFQARIDAIKQYMH
+FRNVSKDMEKRVIKWFDYLWTNKKTVDEREVLKYLPDKLRAEIAINVHLDTLKKVRIFAD
+CEAGLLVELVLKLQPQVYSPGDYICKKGDIGREMYIIKEGKLAVVADDGITQFVVLSDGS
+YFGEISILNIKGSKAGNRRTANIKSIGYSDLFCLSKDDLMEALTEYPDAKGMLEEKGKQI
+LMKDGLLDINIANAGSDPKDLEEKVTRMESSVDLLQTRFARILAEYESMQQKLKQRLTKV
+EKFLKPLIDTEFSAIEGSGTESGPTDSTQD
+>sp|Q3MQ24|ATG5_BOVIN Autophagy protein 5 OS=Bos taurus OX=9913 GN=ATG5 PE=2 SV=2
+MTDDKDVLRDVWFGRIPTCFTLYQDEITEREAEPYYLLLPRVSYLTLVTDKVKKHFQKVM
+RQEDISEIWFEYEGTPLKWHYPIGLLFDLLASSSALPWNITVHFKSFPEKDLLHCPSKDV
+IEAHFMSCVKEADALKHKSQVINEMQKKDHKQLWMGLQNDRFDQFWAINRKLMEYPAEEN
+GFRYIPFRIYQTTTERPFIQKLFRPVSTDGQLHTLGDLLKEVCPSAVAPEDGEKKNQVMI
+HGIEPMLETPLQWLSEHLSYPDNFLHISIIPQPTD
+>sp|P21398|AOFA_BOVIN Amine oxidase [flavin-containing] A OS=Bos taurus OX=9913 GN=MAOA PE=2 SV=2
+MESLQKTSDAGQMFDVVVIGGGISGLSAAKLLAEHEVNVLVLEARERVGGRTYTVRNEHV
+DYVDVGGAYVGPTQNRILRLSKQLGLETYKVNVNERLVHYVKGKTYPFRGAFPPVWNPIA
+YLDYNNLWRTMDNMGKEIPADAPWEAPHAVEWDKMTMKDLIEKICWTKTARQFASLFVNI
+NVTSEPHEVSALWFLWYVKQCGGTTRIFSITNGGQERKFVGGSGQVSERIMQLLGDRVKL
+RSPVTYVDQSSENITVETLNRELYECRYVISAIPPTLTAKIHFRPELPSERNQLIQRLPM
+GAVIKCMMYYKEAFWKKKDYCGCMIIEDEEAPISITLDDTKPDGSLPAIMGFILARKADR
+LAKVHKDIRKRKICELYAKVLGSQEALHPVHYEEKNWCQEQYSGGCYTAYFPPGIMTQYG
+RVIRQPVGRIYFAGTETATQWSGYMEGAVEAGERAAREVLNALGKLSAKDIWIQEPEAED
+VPAVEITPSFWERNLPSVSGLLKIVGFSTSITALWFVMYRFRLLSRS
+>sp|P22027|ATP5S_BOVIN ATP synthase subunit s, mitochondrial OS=Bos taurus OX=9913 GN=DMAC2L PE=1 SV=2
+MMLFGKISQQLCGLKKLPWSRDSRYFWGWLNAVFNKVDHDRIRDVGPDRAASEWLLRCGA
+MVRYHGQQRWQKDYNHLPTGPLDKYKIQAIDATDSCIMSIGFDHMEGLQYVEKIRLCKCH
+YIEDGCLERLSQLENLQKSMLEMEIISCGNVTDKGIIALHHFRNLKYLFLSDLPGVKEKE
+KIVQAFKTSLPSLELKLDLK
+>sp|Q1LZH9|GNS_BOVIN N-acetylglucosamine-6-sulfatase OS=Bos taurus OX=9913 GN=GNS PE=2 SV=1
+MRLLSLAPDRPRRGGPRHLTSGSPALPPPPPLLLLLLLLGGCLGVSGAAKSSRRPNVVLL
+LADDQDEVLGGMTPLKKTKALIGEMGMTFSSAYVPSALCCPSRASILTGKYPHNLHVVNN
+TLEGNCSSKSWQKIQEPNTFPAILRSMCGYQTFFAGKYLNEYGAPDAGGLGHVPLGWSYW
+YALEKNSKYYNYTLSINGKARKHGENYSVDYLTDVLANVSLDFLDYKSNSEPFFMMISTP
+APHSPWTAAPQYQNAFQNVFAPRNKNFNIHGTNKHWLIRQAKTPMTNSSIQFLDNAFRKR
+WQTLLSVDDLVEKLVKRLEFNGELNNTYIFYTSDNGYHTGQFSLPIDKRQLYEFDIKVPL
+LVRGPGIKPNQTSKMLVANIDLGPTILDIAGYSLNKTQMDGMSFLPILKGASNLTWRSDV
+LVEYQGEGRNVTDPTCPSLSPGVSQCFPDCVCEDAYNNTYACVRTMSERWNLQYCEFDDQ
+EVFVEVYNLTADPHQLNNIAKSIDPELLGKMNYRLMMLQSCSGPTCRTPGVFDPGYRFDP
+RLMFSNHGSVRTRRFSKHLL
+>sp|A2VDT9|DDT4L_BOVIN DNA damage-inducible transcript 4-like protein OS=Bos taurus OX=9913 GN=DDIT4L PE=2 SV=1
+MVATGSLSSKNPASISELLDHGFYPGSLLNDFDYWDYVVPEPNLNEVVFEETTCQSLVKM
+LENCLSKSKHTKLGCSRVLVPEKLTQRIAQDVLRLSSTEPCGLRGCVMHVNLEIENVCKK
+LDRIVCDSSVVPTFELTLVFKQENCSWTSFRDFFFSRGRFSSGLRRTLILSSGFRLVKKK
+LYSLIGTTVIEEC
+>sp|Q2KJC8|FKBP9_BOVIN Peptidyl-prolyl cis-trans isomerase FKBP9 OS=Bos taurus OX=9913 GN=FKBP9 PE=2 SV=1
+MAIRARSWRPPPPPLLLLLLWVTGQAAPVAGLGLGSDSELQIERRFVPEECPRTVRSGDF
+VRYHYVGTFPDGQKFDSSYDRDSTFNVFVGKGQLIAGMDQALVGMCVNERRFVKIPPKLA
+YGSDGVSGVIPPDSVLHFDVLLMDIWNSEDQVQIHTYFKPPSCPRTIQVSDFVRYHYNGT
+FLDGTLFDSSHNRMKTYDTYVGIGWLIPGMDKGLLGMCVGEKRIITIPPFLAYGEDGDGK
+DIPGQASLVFDVALLDLHNPKDGISIENKVVPENCERRSQSGDFLRYHYNGTLLDGTFFD
+SSYSRNRTFDTYIGQGYVIPGIDEGLLGVCIGEKRRIVVPPHLGYGEEGRGNIPGSAVLV
+FDIHVIDFHNPSDSISITSHYKPPDCSVLSKKGDYLKYHYNASLLDGTLLDSTWNLGKTY
+NIVLGFGQVVLGMDMGLREMCVGEKRTVIIPPHLGYGEAGVDGEVPGSAVLVFDIELLEL
+VAGLPEGYMFVWNGEVSANLFEEIDKDGDGEVLLEEFSEYIHAQVASGKGKLAPGFDAEM
+IVKNMFTNQDRNGDGKVTAEEFKLKDQETKHDEL
+>sp|P55052|FABP5_BOVIN Fatty acid-binding protein 5 OS=Bos taurus OX=9913 GN=FABP5 PE=1 SV=4
+MATVQQLVGRWRLVESKGFDEYMKEVGVGMALRKVGAMAKPDCIITSDGKNLSIKTESTL
+KTTQFSCKLGEKFEETTADGRKTQTVCNFTDGALVQHQEWDGKESTITRKLEDGKLVVVC
+VMNNVTCTRVYEKVE
+>sp|P62894|CYC_BOVIN Cytochrome c OS=Bos taurus OX=9913 GN=CYCS PE=1 SV=2
+MGDVEKGKKIFVQKCAQCHTVEKGGKHKTGPNLHGLFGRKTGQAPGFSYTDANKNKGITW
+GEETLMEYLENPKKYIPGTKMIFAGIKKKGEREDLIAYLKKATNE
+>sp|Q32KZ5|FACOS_BOVIN FANCD2 opposite strand protein OS=Bos taurus OX=9913 GN=FANCD2OS PE=2 SV=1
+MAGYQLWSPWTPLDESFQWLRHTTPTPSSKHPFRASPCFPHTPSDLEVQLCFQEVTLVLD
+SPFLEPGVSPKLPYHTSELRTMNNKKGLVRKPQPVRLSGVDSVFGRVITAQPPKWTGTFR
+VSEKSAFCKIISREHQWPTGLKEPQIQMTVTMCKQMLRSILLLYATYKKCTFALQHSK
+>sp|P0C8B3|GON7_BOVIN EKC/KEOPS complex subunit GON7 OS=Bos taurus OX=9913 GN=GON7 PE=3 SV=1
+MELLGEYIGLEGRRQQLRVPCEAPGVTDPFQSLLSGVAQMRELVTELFGSQVQQEAQDRV
+TAAPDEALDGDDEDDSEDENNTDNRTNSDGPSAKRQKTPS
+>sp|Q3MHH9|ECM2_BOVIN Extracellular matrix protein 2 OS=Bos taurus OX=9913 GN=ECM2 PE=2 SV=1
+MKFSSLYCFLLLLIFQTDFGQNEETSRRQRRKMYHRRLRKSSLSTHRSVRQPGIQQMKTV
+TPAAKLPIINLDYSIEENFESFLSVPGVESSYNVLPGKKGHCLANGMIMYNKAVWSPEPC
+TTCLCLNGKVLCDETKCHPQMCPQTIIPEGECCPVCSNTEQREPTNLPHKQQSPPWEEMN
+RALRKEELQLEEDEEEVKQDENREQKKKTFRPGDWGRPINEGQSREGKAQRPEEEGRQAH
+QHRNPARENEEDDDEEEEDDDDEEEDDDDEDETIRGDTFRMPPRLPIPATPRGIPSLPSM
+CSLSYKTISCISADLTQIPPLTAPEITSLELIDNSITSIPDEAFNGLPNLERLDLSKNNI
+TSSGIGPKAFKFLKNLMRLNMDGNNLVTIPSELPSTLEELKINENKLQVIDEESLSDLNQ
+LVTLELEGNNLSETNVNSLAFKPLKSLSYLRLGRNKFRIIPQGLPASIEELYLENNQIEE
+ITEISFNHTRKINVIGLRYNKIEENRIAPLAWINQENLESIDLSYNKLYHVPSYLPKSLV
+HLVLIGNQIERIPGYVFGHMEPGLEYLYLSFNKLVDDGIDRVSFYGAYHSLRELFLDHNE
+LKSIPPGVQEMKALHFLRLNNNKIRNILPEQICNAEEDDDSNLQHLHLENNYIKTREIPS
+YAFSCIRSYSSIVLKPQNIK
+>sp|F1N5S9|FUND1_BOVIN FUN14 domain-containing protein 1 OS=Bos taurus OX=9913 GN=FUNDC1 PE=2 SV=1
+MATRNPPPQEYESDDDSYEVLDLTEYARRHHWWNRVFGHSSGPMVEKYSVATQIVMGGVS
+GWCAGFLFQKVGKLAATAVGGGFLLLQIASHSGYVQIDWKRVEKDVNKAKRQIKKRANKA
+APEINNIIEEATEFVKQNIVISSGFVGGFLLGLAS
+>sp|A6QNK1|G3ST1_BOVIN Galactosylceramide sulfotransferase OS=Bos taurus OX=9913 GN=GAL3ST1 PE=2 SV=1
+MPLPQKKRWESMAKGLVLGALFTSFLLLLYSYAVPPLYTGLASTTPEGAAPCSPAPREPE
+APTSANGSAGGCQPRRDIVFMKTHKTASSTLLNILFRFGQKHGLKFAFPNGRNDFDYPAF
+FARSLVQDYRPGACFNIICNHMRFHYDEVRGLVAPNATFITVLRDPARLFESSFHYFGSV
+VPFTWKLSGRDKLAEFLQDPDRYYDARGYNAHYLRNLLFFDLGYDSDLDPSSPQVQEHIL
+EVERHFHLVLLQEYFDESLVLLKDLLCWELEDVLYFKLNARRASAVPRLSGELYRRATAW
+NVLDARLYRHFNASFWRKVEAFGRERMAREVAALRRANERMRRICIDGGRAVDAAAIEDS
+AMQPWQPLGAKSILGYNLKKSIGQRHAQLCRRMLTPEIQYLMDLGANLWITKLWKFIRDF
+LRW
+>sp|P80724|BASP1_BOVIN Brain acid soluble protein 1 OS=Bos taurus OX=9913 GN=BASP1 PE=1 SV=3
+MGGKLSKKKKGYNVNDEKAKDKDKKAEGAGTEEEGTPKENEAQAAAETPEVKEGKEEKPE
+KDAQDTTKPEDKEGEKDAEAAKEDAPKAEPEPTEGAEGKPEPPKDAEQEPAAASGPSTGG
+DAPKASEAEAAEPAAPTKDDKSKEGGDATKTEAPAAPAAQETKSDGAPASDSKPSSTEAA
+PSSKETPAATEAPSSTPKAQAPAAPADEVKPAETPAANSDQTVAVKE
+>sp|P27925|CREB1_BOVIN Cyclic AMP-responsive element-binding protein 1 OS=Bos taurus OX=9913 GN=CREB1 PE=1 SV=2
+MESGAENQQSGDAAVTEAESQQMTVQAQPQIATLAQVSMPAAHATSSAPTVTLVQLPNGQ
+TVQVHGVIQAAQPSVIQSPQVQTVQISTIAESEDSQESVDSVTDSQKRREILSRRPSYRK
+ILNDLSSDAPGVPRIEEEKSEEETSAPAITTVTVPTPIYQTSSGQYIAITQGGAIQLANN
+GTDGVQGLQTLTMTNAAATQPGTTILQYAQTTDGQQILVPSNQVVVQAASGDVQTYQIRT
+APTSTIAPGVVMASSPALPTQPAEEAARKREVRLMKNREAARECRRKKKEYVKCLENRVA
+VLENQNKTLIEELKALKDLYCHKSD
+>sp|Q2TBH1|CENPM_BOVIN Centromere protein M OS=Bos taurus OX=9913 GN=CENPM PE=2 SV=1
+MSALRPLDKLPGLNTATILLVGTEDALLQQLADSMLKADCTSELKVHLARSLPLPCSVNR
+PRIDLIVFVVNLHSKLSLQSVEESLCHLDAAFFLGKVAFLATGAGRDSHCSIHRNTVVKL
+AHTYRSPLLFCDLEIEDFRAAMAQRLVRLLQICAGHVPGVSALNLLSLMRGSENPSLEDL
+>sp|Q3MHP8|BET1L_BOVIN BET1-like protein OS=Bos taurus OX=9913 GN=BET1L PE=3 SV=1
+MADWARAQSPGAVEEILDRENKRMADSLASKVTRLKSLALDIDRDAEDQNRYLDGMDSDF
+TSMTGLLTGSVKRFSTMARSGRDNRKLLCGVAVGLIVAFFILSYLLSRART
+>sp|Q1JPA6|ARY1_BOVIN Arylamine N-acetyltransferase 1 OS=Bos taurus OX=9913 GN=NAT1 PE=2 SV=1
+MDIDAYFERIGYKNSRDKLDLETLTDILQHQIRAIPFENLNIHCGEAMELDLEVIFDQIV
+RRKRGGWCLQVNHLLYWALTMIGFETTILGGYVYNTFNDKYSSAMIHLLLKVTIDGRDYI
+ADAGFGRSYQMWQPLELISGKYQPQTPCIFRLTEDRGTWYLDQIRREQYIPNQDFLDSDL
+LEKNEYRKIYSFTLEPRTIKDFESVNTYLQESPASVFTSKSFCSLQTPEGVHCLVGFTLT
+YRRFNYKDNTDLVEFKTLNEKEIEENLKNIFNISLEKKLTPKHGDKFFTI
+>sp|Q32L91|ACL7B_BOVIN Actin-like protein 7B OS=Bos taurus OX=9913 GN=ACTL7B PE=2 SV=1
+MATRNSSSPKPMGTAQGDPGEAGTLPGPDAGIRDTSSATQLKMKPKKVRKIKALITDLGS
+QYCKCGYAGEPRPTYFISSTVGKRYSEAADSGDTRKETYVGHELLNMEAPLKLINPLKYG
+IVVDWDCIQSIWEYIFHTAMKILPEEHAVLVSDPPLSPTSNREKYAELLFETFGIPAMHV
+TSQSLLSIYSYGKTSGLVVESGHGVSHVVPISEGDVLPGLTSRADYAGGDLTNYLLQLLN
+EAGHKFTDDHLHIIEHIKKKCCYSALKPEEELSLCLEDLRVDYELPDGKLISIGQERFQC
+AEMLFKPTLVGSNQPGLPELTAACLNRCQEAGFKEEMAANVLLCGGCTMLDGFPERFQRE
+LSLLCPGDSPAVAAAPERKTSVWTGGSILASLQAFQQLWVSKEEFEERGSAAIYSKC
+>sp|P05632|ATP5E_BOVIN ATP synthase subunit epsilon, mitochondrial OS=Bos taurus OX=9913 GN=ATP5F1E PE=1 SV=4
+MVAYWRQAGLSYIRYSQICAKAVRDALKTEFKANAMKTSGSTIKIVKVKKE
+>sp|Q08E26|CRTC2_BOVIN CREB-regulated transcription coactivator 2 OS=Bos taurus OX=9913 GN=CRTC2 PE=2 SV=1
+MATSGANGPGSATASASNPRKFSEKIALQKQRQAEETAAFEEVMMDIGSTRLQAQKLRLA
+YTRSSHYGGSLPNVNQIGCGLAEFQSPLHSPLDSSRSTRHHGLVERVQRDPRRMVSPLRR
+YARHIDSSPYSPAYLSPPPESSWRRTMPWGSFPAEKGQLFRLPSALNRTSSDSALHTSVM
+NPSPQDTYPSPAAPSVLPSRRGGCLDGETDSKVPAIEENLLDDKHLLKPWDAKKLSSSSS
+RPRSCEVPGINIFPSPDQPATVPVLPPAMNTGGSLPDLTNLHFPPPLPTPLDPEETAYPS
+LSGGSSTSNLTHTMTHLGISGGLALGPGYDAPGLHSPLSHPSFQSSLSNPNLQASLSSPQ
+PQLQGSHSHPSLPASSLARHALPTTSLGHPSLSAPALSSSSSSSSASSPVLGAPAYPASA
+PGASPRHRRVPLSPLSLPAGPADARRSQQQLPKQFSPTMSPTLSSITQGVALDTSKLPTD
+QRLPPYPYSPPSLVLPTQQPTPKPLQQPGLPSQACSVQPSGGQPPGRQLQYGTLYPPGPS
+GHGQQSYHRSMSDFSLGNLEQFNMENPSTSLALDPPGFSEGPGFLGGEGPVSGPQDPHAL
+NHQNVTHCSRHGSGPNVILTGDASPGFSKEIAAALAGVPGFEVSAAGLGLGLGLEEELRM
+EPLGLEGLSMLSDPCALLPDPAVEDSFRSDRLQ
+>sp|P12820|ACE_BOVIN Angiotensin-converting enzyme (Fragment) OS=Bos taurus OX=9913 GN=ACE PE=1 SV=2
+MGAASGRRSPPLLLPLLLLLLPPPPVILELDPALQPGNFPADEAGAQIFAASFNSSAEQV
+LFQSTAASWAHDTNITEENARLQEEAALLSQEFSEAWGQK
+>sp|A7MB71|AT1B4_BOVIN Protein ATP1B4 OS=Bos taurus OX=9913 GN=ATP1B4 PE=2 SV=1
+MRRQLRSRRAPALPYGYRYRLDDQDEVNQNYLADEEEEAEEEARVMVVPDLEEEEEEEEE
+KEEEEKEEEDSHSQETDSAWWRKLQIVNEYLWDPEKRTSLARTGQSWSLILVIYFFFYAS
+LAAVITLCMYTLFLTISPYMPTFTERVKPPGVMIRPFAHSLNFNFNVSEPDTWQHYVISL
+NGFLQGYNDSLQEEMNVDCPPGQYFIQDGDEDEDKKACQFKRSFLKNCSGLEDPTFGYST
+GQPCILLKMNRIVGFRPERGDPVKVSCKVQRGDENDIRSINYYPESASFDLRYYPYYGKL
+THVNYTSPLVAMHFTDVVKNQAVPVQCQLKGKGIINDVINDRFVGRVIFTLNIET
+>sp|P46194|CP19A_BOVIN Aromatase OS=Bos taurus OX=9913 GN=CYP19A1 PE=2 SV=3
+MLLEVLNPRHYNVTSMVSEVVPIASIAILLLTGFLLLVWNYEDTSSIPGPSYFLGIGPLI
+SHCRFLWMGIGSACNYYNKMYGEFMRVWVCGEETLIISKSSSMFHVMKHSHYISRFGSKL
+GLQFIGMHEKGIIFNNNPALWKAVRPFFTKALSGPGLVRMVTICADSITKHLDRLEEVCN
+DLGYVDVLTLMRRIMLDTSNMLFLGIPLDESAIVVKIQGYFDAWQALLLKPDIFFKISWL
+CRKYEKSVKDLKDAMEILIEEKRHRISTAEKLEDSIDFATELIFAEKRGELTRENVNQCI
+LEMLIAAPDTMSVSVFFMLFLIAKHPQVEEAIIREIQTVVGERDIRIDDMQKLKVVENFI
+NESMRYQPVVDLVMRKALEDDVIDGYPVKKGTNIILNLGRMHRLEFFPKPNEFTLENFAK
+NVPYRYFQPFGFGPRACAGKYITMVMMKVVLVTLLRRFHVQTLQGRCVEKMQKKNDLSLH
+PDETRDRLEMIFTPRNSDKCLER
+>sp|Q08DJ7|AAR2_BOVIN Protein AAR2 homolog OS=Bos taurus OX=9913 GN=AAR2 PE=2 SV=1
+MAAMQMDPELARRLFFEGATVVILNMPKGTEFGIDYNSWEVGPKFRGVKMIPPGIHFLHY
+SSVDKANPREVGPRMGFFLNLQQRGLKVLRWDAAREEVDLSPAPEAEVEAMRANLQELDQ
+FLGPYPYTTLKKWISLTNFISEATVEKLQPESRQICAFSEVLPVLSMRHTKDRVGQNLPR
+CGAECKSYQEGLARLPEMKPRAGTEIRFSELPTQMFPAGATPAEITRHSMDLSYALETVL
+SKQFPCSPQDVLGELQFAFVCFLLGNVYEAFEHWKRLLNLLCRSEEAMVKHHSLYVNLIS
+ILYHQLGEIPADFFVDIVSQDNFLTSTLQVFFSSARSVAVDATLRQKAERFQAHLTKKFR
+WDFEAEPEDCAPVVVELPDGVGTG
+>sp|P13204|ANFB_BOVIN Natriuretic peptides B OS=Bos taurus OX=9913 GN=NPPB PE=1 SV=3
+MDPQTALSRALLLLLFLHLSLLGCRSHPVGGPGPVSELPGLQELLDRLRDRVSELQAEQL
+RVEPLQQGQGLEETWDSPAAAPAGFLGPHHSILRALRGPKMMRDSGCFGRRLDRIGSLSG
+LGCNVLRRY
+>sp|Q3ZC66|CRIPT_BOVIN Cysteine-rich PDZ-binding protein OS=Bos taurus OX=9913 GN=CRIPT PE=1 SV=1
+MVCEKCEKKLGTVITPDTWKDGARNTTESGGRKLNENKALTSKKARFDPYGKNKFSTCRI
+CKSSVHQPGSHYCQGCAYKKGICAMCGKKVLDTKNYKQTSV
+>sp|Q2TBJ0|CL045_BOVIN Uncharacterized protein C12orf45 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MEVSGESHSGPSCSSSSRDGSGVSVSKELLMAGSGGRGGIWDRLFINSKPNSRKNSTLQT
+VRIERSPLLDQVRTFLPQMAQANEKLRKEMAAAPPGHFNIENTDETLGQVIQMDVALFEM
+NQSHSKEEDSSEENSQDSSEESSESEDEDDSTSSEGEVTIDNIKLPHSEDGKGKIEVLDS
+PASENKEKQENK
+>sp|Q9TTK6|AOC3_BOVIN Membrane primary amine oxidase OS=Bos taurus OX=9913 GN=AOC3 PE=2 SV=3
+MNQKTTLVLLALAVITIFALVCVLIAGRGGDGGEASQPHYCPSGTPSVQPWTHPGQNQLF
+ADLSREELTAVMSFLTQKLGPDLVDAAQARPSDNCIFSVELQLPPKAAALAHLDRRSPPP
+AREALAIVFFGGQPQPNVTELVVGPLPQPSYMRDVTVERHGGPLPYYRRPVLLREYLDID
+QMIFNRELPQAAGVLHHCCSYKQGGGNLVTMTTAPRGLQSGDRATWFGLYYNISGAGYYL
+HPVGLELLVDHKALDPAQWTIQKVFFQGRYYESLAQLEEQFEAGRVNVVVIPNNGTGGSW
+SLKSQVPPGPTPPLQFHPQGTRFSVQGSRVTSSLWTFSFGLGAFSGPRIFDIRFQGERLA
+YEISLQEAVAIYGGNTPAAMLTRYMDGCFGMGKFATPLTRGVDCPYLATYVDWHFLLESQ
+APRTLHDAFCVFEQNKGLPLRRHHSDFISQYFGGVVETVLVFRSVSTLLNYDYVWDMVFH
+PNGAIEVKFHATGYISSAFFFGTAQKYGNQVRENTLGTVHTHSAHYKVDLDVGGLENWVW
+AEDMAFVPTTVPWSPEHQIQRLQVTRKQLETEEQAAFPLGGASPRYLYLASKQSNKWGHP
+RGYRIQTVSFAGRPLPQNSSTERAISWGRYQLAVTQRKETEPSSSSVFNQNDPWTPTVDF
+ADFINNETIAGKDLVAWVTAGFLHIPHAEDIPNTVTVGNGVGFFLRPYNFFDEDPSINSA
+DSIYFQKHQDAGSCEVNSLACLPKDPACAPDLPAFSHGGFFTN
+>sp|P46626|ADRB3_BOVIN Beta-3 adrenergic receptor OS=Bos taurus OX=9913 GN=ADRB3 PE=2 SV=1
+MAPWPPGNSSLTPWPDIPTLAPNTANASGLPGVPWAVALAGALLALAVLATVGGNLLVIV
+AIARTPRLQTMTNVFVTSLATADLVVGLLVVPPGATLALTGHWPLGVTGCELWTSVDVLC
+VTASIETLCALAVDRYLAVTNPLRYGALVTKRRALAAVVLVWVVSAAVSFAPIMSKWWRI
+GADAEAQRCHSNPRCCTFASNMPYALLSSSVSFYLPLLVMLFVYARVFVVATRQLRLLRR
+ELGRFPPEESPPAPSRSGSPGLAGPCASPAGVPSYGRRPARLLPLREHRALRTLGLIMGT
+FTLCWLPFFVVNVVRALGGPSLVSGPTFLALNWLGYANSAFNPLIYCRSPDFRSAFRRLL
+CRCRPEEHLAAASPPRAPSGAPTALTSPAGPMQPPELDGASCGLS
+>sp|A6QQD2|CS054_BOVIN UPF0692 protein C19orf54 homolog OS=Bos taurus OX=9913 PE=2 SV=3
+MISPCSPPLEPPVPPPETPASQALSIPLPPLPLNLPELAFPPSSFQASVPPPPPLPPPPR
+TTGFAPPHVFGLEKSQLLKEALERAGPAPGSREDVKRLLKLHKDRFRSDLQWILFCADLP
+SLIQEGPQCGLVALWMAGTLLAPPGGTPLERLVQVAMERGYTAQGEMFSVADMGRLAQEA
+LGCQAEVLCGGLGGPNRDHVLQHIVAGHPLLIPYDEDFNHEPCQRRGHKAHWAVSAGVLL
+GVQHVPSLGYSEDPELPGLFHPVPGTPHQPPSLPEEGSPGAVYLLAKQGKSWHHQLWDYD
+QVRDSNLQLTDFSPSRAADGREYVVPAGGVRAGLCGQALLLRPQDSSH
+>sp|Q2TBW0|ATX10_BOVIN Ataxin-10 OS=Bos taurus OX=9913 GN=ATXN10 PE=2 SV=1
+MAAPRPPPGRLSGVMMPAPIQDLEALRALTALFKEQRNRDTAPRTIFQRVLDILKKSSHA
+VELACRDPSQVEHLASSLQLITECFRCLRNACIECSVNQNSIRNLGTIGVAVDLILLFRE
+LRVEQDSLLTAFRCGLQFLGNIASRNEDSQSVVWMHAFPELFLSCLNHPDRKIVAYSSMI
+LFTSLNSERMKELEENLNIAIDVVEAHQKQPESEWPFLIITDHFLKSPELVKAMYAKMSN
+QERVTLLDLMIAKIVGDEPLTKDDAPVFLSHAELIASTFVDQCKIVLKLTSEQHTDDEEA
+LATIRLLDVLCEKTANTDLLGYLQVFPGLLERVIDLLRLIHVAGNDSTNIFSACASIKAD
+GDVSSVAEGFKSHLIRLIGNLCYKNKDNQDKVNELDGIPLILDSCGLDDSNPFLTQWVVY
+AIRNLTEDNSQNQDLIAKMEEQGLADASLLKKMGFEVEKRGDKLILKSTSDTPQL
+>sp|Q5MD61|CXCR3_BOVIN C-X-C chemokine receptor type 3 OS=Bos taurus OX=9913 GN=CXCR3 PE=2 SV=1
+MVPEMSERQEFQASDFAYLLENSSYDYGENETYFCCTSPPCPQDFSLNFDRTFLPVLYSL
+LFVLGLLGNGIVAVVLLSQRAALSSTDTFLLHLAVADALLVLTLPLWAVDAAIQWVFGSG
+LCKVAGALFNINFYAGALLLACISFDRYLSIVHATQLYRRGPPTRVALTCVAVWGLCLLF
+ALPDFIFLSSHHDNRLNATHCQYNFPQEGHTALRILQLVAGFLLPLLVMAYCYARILAVL
+LVSRGQRRLRAMRLVVVVVVAFALCWTPYHLVVLVDTLMDLGALARNCGRESSVDIAKSV
+TSGMGYMHCCLNPLLYAFVGVKFRERMWVLLVRLGCPDQRCHQRQPSASRRESSWSETTE
+ASYSGL
+>sp|Q3SZ40|DDX56_BOVIN Probable ATP-dependent RNA helicase DDX56 OS=Bos taurus OX=9913 GN=DDX56 PE=2 SV=1
+MEDPESLGFEHMGLDHRLLQAVTDLGWSRPTLIQEKAIPLALEGKDLLARARTGSGKTAA
+YAIPMLQLLLHRKATGPVVEQAVRALVLVPTKELARQAQSMIQQLAAYCARDIRVANVSA
+AEDSASQRAVLMEKPDVVVGTPSRILNHLQQDNLKLRDSMELLVVDEADLLFSFGFEEEL
+KSLLCHLPRIYQAFLMSATFNEDVQALKELVLHNPVTLKLQESQLPGPDQLQQFQVVCET
+EEDKFLLLYALLKLSLIRGKSLLFVNTLERSYRLRLFLEQFSIPACVLNGELPLRSRCHI
+ISQFNQGFYDCVIATDAEVLGPPVKGKHRGKGPKRDKASDPEAGVARGIDFHHVCAVLNF
+DLPPTPEAYIHRAGRTARANNPGIVLTFVLPTEQSQLGKIEELLSGDSGAPVLLPYQFHM
+EEIEGFRYRCRDAMRSVTKQAIREARLKEIKEELLHSEKLKTYFEDNPRDLQLLRHDLPL
+HPAVVKPHLGNVPDYLVPPALRGLVHPHKKRKKPLASKKAKKAKTQNPLRSFKHRGEKCR
+PTAAPS
+>sp|P63097|GNAI1_BOVIN Guanine nucleotide-binding protein G(i) subunit alpha-1 OS=Bos taurus OX=9913 GN=GNAI1 PE=1 SV=2
+MGCTLSAEDKAAVERSKMIDRNLREDGEKAAREVKLLLLGAGESGKSTIVKQMKIIHEAG
+YSEEECKQYKAVVYSNTIQSIIAIIRAMGRLKIDFGDSARADDARQLFVLAGAAEEGFMT
+AELAGVIKRLWKDSGVQACFNRSREYQLNDSAAYYLNDLDRIAQPNYIPTQQDVLRTRVK
+TTGIVETHFTFKDLHFKMFDVGGQRSERKKWIHCFEGVTAIIFCVALSDYDLVLAEDEEM
+NRMHESMKLFDSICNNKWFTDTSIILFLNKKDLFEEKIKKSPLTICYPEYAGSNTYEEAA
+AYIQCQFEDLNKRKDTKEIYTHFTCATDTKNVQFVFDAVTDVIIKNNLKDCGLF
+>sp|A6QPN6|GILT_BOVIN Gamma-interferon-inducible lysosomal thiol reductase OS=Bos taurus OX=9913 GN=IFI30 PE=2 SV=1
+MASSPLLFVLLLLLPLEVPAATRWSLLEALPEGAAPCQVGELCLQASPQKPDVPLVNVSL
+YYEALCPGCREFLIRELFPTWLMVLEILNVTLVPYGNAQERNVSGKWEFTCQHGERECLL
+NKVEACLLDQLEQKIAFLTIVCLEEMDDMEQNLKPCLQIYAPKVSADSIMECATGNRGMQ
+LLHINAQLTDALRPPHKYVPWVVVNGEHMKDAEHLLHLVCRLYQGQKPDVCQLTAELSKE
+VHFK
+>sp|Q32PF7|CA174_BOVIN UPF0688 protein C1orf174 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MRSRKLAGGVRSSARLRARSCSAASASAQDTHVTTSAQTACQTPSSHKATDRRTSKKFKY
+DKGHIVKSELQKHRSDSAATPSETPGTHEPLASAEDGASLLGKEAGGSTPQGTAGPLPGR
+CGTESDASPAETENEPLPPRHGAPVGGESNGGCPARDGAALDLEQGPGAPLLMDGSALLD
+DDSNQPMPVSRFFGNVELMQDLPPVSSSCPSMSRREFRKMHFRAKDDEEDDADGAET
+>sp|A6QNP9|CCD69_BOVIN Coiled-coil domain-containing protein 69 OS=Bos taurus OX=9913 GN=CCDC69 PE=2 SV=2
+MGCGHSRLSCCKPPKKRRQRPDQPPKPEPQELGPLNGDTATTDHVCASEEAEQHQKAITR
+ILQQHEEDKKKWAQQVEKERELELGEKLNEQRKVLEGEHVEALRVLQASYEQDKEALTHS
+FQEAKAALQETIDRLTAQIEAFQAKMKRAEESILSRDYKKHIQEHGSLSQFWEQELESLH
+FVIEMKNERIHELDKRLILMETVKEKNLLLEEKITTLQQENEDLHARGRNQMVVSRQLSE
+DLLLAREALEKESQSRRQLQQEKEELLYRVLGADAAPAFPLASVTPTEVSFLAT
+>sp|Q17QR4|CC137_BOVIN Coiled-coil domain-containing protein 137 OS=Bos taurus OX=9913 GN=CCDC137 PE=2 SV=1
+MAGLRRGAAAVAPAGTAGFGRPGRPQGRRQQELGKQRAAPRPGPRSKEKKKVNCKPKNQD
+EQEIPFRLREIMRSRQEMKNPISNKKRKKEAQAAFSKTLEKEAKGVEPDIAIPKFKQRKW
+ESDRAYVRRMEQEAQHVLFLSKNQANRQPEVQAAPKKEKSERKKAFQKRRLDKARQRREE
+KAAERLEQELLQDTVKFGEVVLQPPELTAKPRMSVSRDQPGKKSLMLKKLLSPGSVSQPL
+TTSLARQRIVAEERERAVNAYRALKRLQQQRQETQSPQPPHLPPGKKPEMQL
+>sp|P34974|ACTHR_BOVIN Adrenocorticotropic hormone receptor OS=Bos taurus OX=9913 GN=MC2R PE=2 SV=1
+MKHILNLYENINSTARNNSDCPAVILPEEIFFTVSIVGVLENLMVLLAVAKNKSLQSPMY
+FFICSLAISDMLGSLYKILENVLIMFKNMGYLEPRGSFESTADDVVDSLFILSLLGSICS
+LSVIAADRYITIFHALQYHRIMTPHRALVILTVLWAGCTGSGITIVTFSHHVPTVIAFTA
+LFPLMLAFILCLYVHMFLLARSHTRRTPSLPKANMRGAVTLTVLLGVFIFCWAPFVLHVL
+LMTFCPADPYCACYMSLFQVNGVLIMCNAIIDPFIYAFRSPELRVAFKKMVICNCYQ
+>sp|Q5E965|C56D2_BOVIN Cytochrome b561 domain-containing protein 2 OS=Bos taurus OX=9913 GN=CYB561D2 PE=2 SV=1
+MALSVETESHIYRALRTVSGAAAHLVALGFTIFVAVLARPGSSLFSWHPVLMSLAFSFLM
+TEALLVFSPESSLLRSLSRKGRARCHWVLQLLALLCALLGLGLVILHKEQLGKAHLATWH
+GRAGLLAVLWAGLQCSGGVGLLYPKLLPRWPLAKLKLYHATSGLVGYLLGGASLLLGMCS
+LWFTATVTGGVWYLAVLCPVITSLVIMNQVSNAYLYRKRIQP
+>sp|Q2KHW5|ACBG1_BOVIN Long-chain-fatty-acid--CoA ligase ACSBG1 OS=Bos taurus OX=9913 GN=ACSBG1 PE=2 SV=1
+MPDSRAAPQESLLDASLGTTQENVGTSSLTDGQTLSKEPLSHALKLSTPEKVKDAQLNPP
+EEALWTTRADGRVRLRIDPICSQTPRTVHQMFSTTLDKYGDLSAMGFKRQGTWEHISYTQ
+YYLLARKAAKGFLKLGLERAHSVAILAFNSPEWFFSAVGAVFGGGIITGIYTTSSPEACQ
+YIAYDCRANIIVVDTQKQLEKILKIWKHLPHLKAVVIYREAPPMRMPSVYTMEELMELGN
+EVPEEALDVIINAQKPNQCCALVYTSGTTGNPKGVMLSQDNITWTARYGSQAGDIQPAEI
+QQEVVVSYLPLSHIAAQIYDLWTGIQWGAQVCFAEPDALKGSLVNTLREVEPTSHMGVPR
+VWEKIMEQIQEVAAQSGFIWRKMLLWAMSVTLEQNLTCPSSDLKPFTTRLADYLVLAKVR
+QALGFAKCQKNFYGAAPMTAETQHFFLGLNIRLYAGYGLSETSGPHFMSSPYNYRLYSSG
+KVVPGCQVKLVNEDAEGIGEICLWGRTIFMGYLNMEDKTCEAIDAEGWLHTGDTGRLDAD
+GFLYITGRLKELIITAGGENVPPVPIEEAVKTELPIIRNAMLIGDQRKFLSMLLTLKCTL
+DPDTFEPTDNLTEQAVEFCQRVGSKATTVSEVVGKKDEAVYQAIEEGIQRVNMNAAARPY
+HIQKWAILEKDFSISGGELGPTMKLKRLAVLEKYKDVIDSFYQEQKSKQGSSLPGFSLRW
+QTGASS
+>sp|P25326|CATS_BOVIN Cathepsin S OS=Bos taurus OX=9913 GN=CTSS PE=1 SV=2
+MNWLVWALLLCSSAMAHVHRDPTLDHHWDLWKKTYGKQYKEKNEEVARRLIWEKNLKTVT
+LHNLEHSMGMHSYELGMNHLGDMTSEEVISLMSSLRVPSQWPRNVTYKSDPNQKLPDSMD
+WREKGCVTEVKYQGACGSCWAFSAVGALEAQVKLKTGKLVSLSAQNLVDCSTAKYGNKGC
+NGGFMTEAFQYIIDNNGIDSEASYPYKAMDGKCQYDVKNRAATCSRYIELPFGSEEALKE
+AVANKGPVSVGIDASHSSFFLYKTGVYYDPSCTQNVNHGVLVVGYGNLDGKDYWLVKNSW
+GLHFGDQGYIRMARNSGNHCGIANYPSYPEI
+>sp|Q2KJA2|ABCF2_BOVIN ATP-binding cassette sub-family F member 2 OS=Bos taurus OX=9913 GN=ABCF2 PE=2 SV=1
+MPSDLAKKKAAKKKEAAKARQRPRKGHEENGDAITEPQVAEERNEEANGRETTEVDLLTK
+ELEDFEMKKAAARAVTGVLASHPNSTDAHIINLSLTFHGQELLSDTKLELNSGRRYGLIG
+LNGIGKSMLLSAIGKREVPIPEHIDIYHLTREMPPSDKTPLQCVMEVDTERAMLEREAER
+LAHEDAECEKLLELYERLEELDADKAEMRASRILHGLGFTPAMQRKKLKDFSGGWRMRVA
+LARALFIRPFMLLLDEPTNHLDLDACVWLEEELKTFKRILVLVSHSQDFLNGVCTNIIHM
+HNKKLKYYTGNYDQYVKTRLELEENQMKRFHWEQDQIAHMKNYIARFGHGSAKLARQAQS
+KEKTLQKMMASGLTERVVSDKTLSFYFPPCGKIPPPVIMVQNVSFKYTKDGPCIYNNLEF
+GIDLDTRVALVGPNGAGKSTLLKLLTGELLPTDGMIRKHSHVKIGRYHQHLQEQLDLDLS
+PLEYMMKCYPEIKEKEEMRKIIGRYGLTGKQQVSPIRNLSDGQKCRVCLAWLAWQNPHML
+FLDEPTNHLDIETIDALADAINDFEGGMMLVSHDFRLIQQVAQEIWVCEKQTITKWPGDI
+LAYKEHLKSKLVGEEPQPTRRTHNV
+>sp|Q5EA33|ANR49_BOVIN Ankyrin repeat domain-containing protein 49 OS=Bos taurus OX=9913 GN=ANKRD49 PE=2 SV=1
+MEKEKVNDEKPDPENSLDFSEHFNQLELLETHGHLIPTGTQSLWIGNSDDDEEQDEKTEE
+WYQLQEKKMEKDPSKLLLWAAEKNRLTTVQRLLSERATHVNTRDEDKYTPLHRAAYNGHL
+DVVRELIAHGADVHAVTVDGWTPLHSACKWNNARVASFLLQHDADVNAQTKGLLTPLHLA
+AGNRDSKDTLELLLMNRYIKPGLKNSLEETAFDIARRTGIYHYLFEIVEGCTNCSPQS
+>sp|A6H7F9|CQ078_BOVIN Uncharacterized protein C17orf78 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MDTILVFSLIITSYNVTKKELRDSSCQVEPLPDLFPKDVRSIRAELIREAQAEAKRPMFI
+QNQTVAILQCLGSGSKVKVNLVHSEKRQKVKHILKNLRVMTVPCRNSTAPPSCHLTPASK
+VQAGFLVTGKAFLPGVSQCKVYPVMGASSETYPSTTTSVTPGKKGEKTTKVDGFSSPLNQ
+DTDENLEKRKKWSIVVKVLIAVTLFVSGIAITVFVIFEVPCPSRCQQVRELCQCQRLRRR
+PRKEDQQPGTAESQSDTQPKKVGQEAPNSSSPKKAVEITVVHQTYF
+>sp|Q2KIV9|C1QB_BOVIN Complement C1q subcomponent subunit B OS=Bos taurus OX=9913 GN=C1QB PE=1 SV=1
+MKTPRGSVLVLLLLNLLRVSWAQSNCIRPSIPGIPGIPGKPGSDGKPGTPGTKGEKGLPG
+LVSHLNENGEKGDPGFPGMPGKVGPKGPIGPKGVPGPPGVRGPKGESGDYKATQKIAFSA
+SRTINHHQRQGQPIRFDHVITNANENYQARSSKFTCKVPGLYFFTYHASSRGQLCVDLMR
+GRAEPQKVVTFCDYVQNTFQVTTGSIVLKLEKDETVFLQATEKNALVGIEGANSIFSGFM
+LFPDTEA
+>sp|Q0P564|CRBN_BOVIN Protein cereblon OS=Bos taurus OX=9913 GN=CRBN PE=2 SV=1
+MAGEGDPEDAAHNMGNHLPLLPAEEEEEDEIEMEVEDQDNKEPKKPNIINFDTSLPTSHT
+YLGSDMEEFHGRTLHDDDSCPVIPVLPQVVMTLIPGQTLPLQLFSPQEVSMVRNLIQKDR
+TFAVLAYSNVQEREAQFGTTAEIYAYREEQDFGIEVVKVKAIGRQRFKVLEIRTQSDGIQ
+QAKVQILPECVLPSTMSAVQLESLNKCRIFPSKPVSWEDQCSYKWWQKYQKRKFHCANLT
+SWPRWLYSLYDAETLMDRIKKQLREWDENLKEDSLPSNPIDFSYRVAACLPIDDVLRIQL
+LKIGSAIQRLRCELDIMNKCTSLCCKQCQETEITTKNEIFSLSLCGPMAAYVNPHGYVHE
+TLTVYKASNLNLIGRPSTDHSWFPGYAWTIAQCRICASHIGWKFTATKKDMSPQKFWGLT
+RSALLPTIPDTEDDISPDKVILCL
+>sp|Q32KQ7|CK049_BOVIN UPF0705 protein C11orf49 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MLSPERLALPDYEYLAQRHVLTYVEDAVCQLLENKEDISQYGIARFFTEYFNSVCQGTHI
+LFREFSFIQATPHNRASFLRAFWRCFRTVGKNGDLLTMREYHCLLQLLCPDFPLELTQKA
+ARIVLMDDAMDCLMSFSDFLFAFQIQFYYSEFLESVAAIYQDLLSGKNPNTVIVPTSSSG
+QHRHRPSTGEAGTPEGVEASLFYQCLENLCDRHKYSCPPPALVKEVLSNVQRLTFYGFLV
+ALSKHHGINQALGALPDKGDLMHDPAMDEELERLVLRWRPHRSSRQHRAKEPGAFGYQRR
+DKLEALQTVPPASAPTPCLYQWEPIE
+>sp|Q0IIF9|CP2U1_BOVIN Cytochrome P450 2U1 OS=Bos taurus OX=9913 GN=CYP2U1 PE=2 SV=1
+MASPGLPQPPTEDAAWPLRLLHAPPGLLRLDPTGGALLLLVLAALLGWSWLWRLPERGIP
+PGPAPWPVVGNFGFVLLPRFLRRKSWPYRRARNGGMNASGQGVQLLLADLGRVYGNIFSF
+LIGHYLVVVLNDFHSVREALVQQAEVFSDRPRVPLTSIMTKGKGIVFAHYGPVWRQQRKF
+SHSTLRHFGLGKLSLEPKIIEEFRYVKEEMQKHGDAPFNPFPIVNNAVSNIICSLCFGRR
+FDYTNSEFKQMLNFMSRALEVCLNTQLLLVNICSWLYYLPFGPFKELRQIEKDLTLFLKK
+IIKDHRESLDVENPQDFIDMYLLHVEEEKKNNSNSGFDEDYLFYIIGDLFIAGTDTTTNS
+LLWCLLYMSLHPNIQEKIHEEIARVIGADRAPSLTDKAQMPYTEATIMEVQRLSTVVPLS
+IPHMTSEKTVLQGFTIPKGTIILPNLWSVHRDPAIWEKPNDFYPDRFLDDQGQLIKKETF
+IPFGIGKRVCMGEQLAKMELFLMFVSLMQSFTFVLPKDSKPILTGKYGLTLAPHPFNIII
+SKR
+>sp|Q0VCR7|C1TM_BOVIN Monofunctional C1-tetrahydrofolate synthase, mitochondrial OS=Bos taurus OX=9913 GN=MTHFD1L PE=2 SV=2
+MSARLPFVLRRLARPQHPGSPRRLPSLCRASSGRGSGCGGGEGLLGQQRLRDTQAGSSRG
+PGSPAPPARDSIVREVIQNSKEVLSLLQEKTPTFKPVLAIIQAGDDNLMQEVNQNLAEEA
+GLNITHICLPAESGEDEIIDEILKINEDSRVHGLALQIAETSFSNKILNALKPEKDVDGL
+TDVNLGKLVRGDAHECFISPVARAVIELLEKSGVSLDGKKILVIGAHGSLEATLQCLFQR
+KGSMTMSSQWKTPQLQGKLQEADIVVLGSPKPEEIPLSWIQPGTTVFNCSHDFLSGKAAC
+ISSGVHGISPIAEDVSLLAAALRIQNMVSSGRRWLREQQHRRWRLHCLKLQPLSPVPSDI
+EISRAQTPKAVEILAKEIGLLADEIEIYGKSKAKVRLSLLERLKDQADGKYVLVAGITPT
+PLGEGKSTVTIGLVQALTAHLNVNSFACLRQPSQGPTFGVKGGAAGGGYAQVIPMEEFNL
+HLTGDIHAITAANNLLAAAIDARILHENTQTDKALYNRLVPSVNGVREFSKIQLARLKRL
+GINKTDPSALTEEEMRKFARLDIDPSTITWQRVVDTNDRFLRKITIGQANTEKGCSRQAQ
+FDIAVASEIMAVLALTDSLSDMKERLGRMVVASDRNGQPVTADDLGVTGALTVLMKDAIK
+PNLMQTLEGTPVFVHAGPFANIAHGNSSVLADKIALKLVGEGGFVVTEAGFGADIGMEKF
+FNIKCRASGLVPSVVVLVATVRALKMHGGGPSVTAGVPLRKEYTEENLQLVADGCCNLEK
+QIQIAQLFGVPVVVALNVFKTDTRAEIDLVCELAKRAGAFNAVPCYHWSIGGKGSVDLAW
+AVREAASKESRFQFLYDVQLPIVEKIRTIAQSVYGAKDIELSPEAQSKIDRYTEQGFGNL
+PICMAKTHLSLSHQPDKKGVPKGFILPISDVRASIGAGFIYPLVGTMSTMPGLPTRPCFY
+DIDLDTETEQVKGLF
+>sp|Q32LK1|AASD1_BOVIN Alanyl-tRNA editing protein Aarsd1 OS=Bos taurus OX=9913 GN=AARSD1 PE=2 SV=1
+MAFRCQRDSYAREFTTTVVSCRPAELHTEESNGKKEVLSGFQVVLEDTLLFPEGGGQPDD
+RGTINDISVLRVTRRGTQADHFTQTPLTPGTEVQVRVDWERRFDHMQQHSGQHLITAVAD
+DLFGLKTTSWELGRLRSVIELDSPTVTAEQVAAIERSVNEKIRDRLPVNVRELSLDDPEV
+EQVRGRGLPDDHAGPIRVVTIESVDSNMCCGTHVSNLSDLQVIKILGTEKGKKNKTNLIF
+LAGNRVLKWMERSHGIEKALTALLKCGAEDHVEAVKKLQNSSKLLQKNNLNLLRDLAVHI
+AHSLRNSPDWGGVITLHRKGGGEASWRSWAACNFPAPFAAWSQVCALCFRKDGDSEFMNI
+IANEIGSEETLLFLTVGDEKGAGLFLLAGPAEAVETLGPRVSEVLEGKGAGKKGRFQGKA
+TKMSRRAEVQALLQDYISTQSAEE
+>sp|Q3SYT6|CLGN_BOVIN Calmegin OS=Bos taurus OX=9913 GN=CLGN PE=2 SV=1
+MRFQGFWLCLGLLFISVNAEFMDDSVEMEDFDENSEETDELSSEIKYKTPQPVGEVYFTE
+TFDSGRLAGWVLSKAKKDDIDAEISIYDGRWEIEELKENRIPGDRGLVLKSRAKHHAISA
+VLAKPFIFADKPLVVQYEVNFQDGIDCGGAYIKLLADTDGLNLENFYDKTSYTIMFGPDK
+CGEDYKLHFIFRHKHPKTGVFEEKHAKPPDVDLKRFFTDRKTHLYTLVMNPDDTFEVLID
+QIVVNKGSLLEDVVPPINPPKEIEDPTDEKPDDWDERAKIPDASAVKPEDWDESEPPQIV
+DSSAVKPDGWLDNEPEFIPDPNAEKPFDWNEDMDGEWEAPHISNPACRIGCGEWSPPMID
+NPKYKGIWRPPMIDNPNYQGIWSPRKIPNPDYFEDNHPFLLTSFRALGLELWSMTSDIYF
+DNFIICSEKEVADRWAADGWGMKILIENANEPSIFKQLMSATEQRPWLWFIYLLTAALPI
+ALIGSFCWPRKVKKKYEDVAFEKLDICKPQTKGALEQEVKEEKAALEKPVDLEEEKKQSD
+GEIVEKEEEGEPEEKSEEEIEIIEGQEEGNKSNKSGSEDEMKEADESTGSGDGPIKSVRK
+RRVRKE
+>sp|Q765N9|CLD3_BOVIN Claudin-3 OS=Bos taurus OX=9913 GN=CLDN3 PE=2 SV=1
+MSMGLEIAGTSLAVLGWLCTIVCCALPMWRVTAFIGSSIITAQITWEGLWMNCVVQSTGQ
+MQCKVYDSLLALPQDLQAARALIVIAILLAVFGLLVALVGAQCTNCVQDDTAKAKITIVA
+GVLFLLAALLTLVPVSWSANTIIRDFYNPLVPEAQKREMGAALYVGWAASALQLLGGALL
+CCSCPPRDNYARTKIVYSAPRSTGPVTSTGTAYDRKDYV
+>sp|A2VE01|CLP1_BOVIN Polyribonucleotide 5'-hydroxyl-kinase Clp1 OS=Bos taurus OX=9913 GN=CLP1 PE=2 SV=1
+MGEEANDDKKPTTKFELERETELRFEVEASQSVQLELLAGMAEIFGTELTRNKKFTFDAG
+AKVAVFTWHGCSLQLSGRTEVAYVSKDTPMLLYLNTHTALEQMRRQAEKEEERGPRVMVV
+GPTDVGKSTVCRLLLNYAVRLGRRPTYVELDVGQGSVSIPGTMGALYIERPADVEEGFSI
+QAPLVYHFGSTTPGTNIKLYNKITSRLADVFNQRCEVNRRASVSGCVINTCGWVKGSGYQ
+ALVHAASAFEVDVVVVLDQERLYNELKRDLPHFVRTVLLPKSGGVVERSKDFRRECRDER
+IREYFYGFRGCFYPHAFNVKFSDVKIYKVGAPTIPDSCLPLGMSQEDNQLKLVPVTPGRD
+MVHHLLSVSTAEGTEENLSETSVAGFIVVTSVDLEHQVFTVLSPAPRPLPKNFLLIMDIR
+FMDLK
+>sp|Q08DP2|BORC5_BOVIN BLOC-1-related complex subunit 5 OS=Bos taurus OX=9913 GN=BORCS5 PE=2 SV=1
+MGSEQSSEAESRPNDLNSSVTPSPAKHRAKMDDIVVVAQGSQASRNVSNDPDVIKLQEIP
+TFQPLLKGLLSGQTSPTNAKLEKLDSQQVLQLCLRYQDHLHQCAEAVAFDQNALVKRIKE
+MDLSVETLFSFMQERQKRYAKYAEQIQKVNEMSAILRRIQMGIDQTVPLLERLNSLLPEA
+ERLEPFSVKPDRELRL
+>sp|A7E3C4|F187A_BOVIN Ig-like V-type domain-containing protein FAM187A OS=Bos taurus OX=9913 GN=FAM187A PE=2 SV=2
+MNLAHTTVLLWAWGSLQAFEIVEKENIFQRTPCPAFLMFDNAAYLTDMSFELPCPCKPEE
+VSAVVWYYQKHLGSSHTKVLTDFDGRVLTEAAQVRVGSDMLVRFSIRMFSLLVFRAQPED
+SGLYFCGTRKGDYFYAYDVDIQSSEGMVATFKDQGQEPLEDEYHGSLRVFTTFWEWTPCD
+RCGVRGEQWRIGLCYLQSPDLSPRYRKILPNVVSCGSRAVPRQLRAKASDHNPELLVRSC
+LMPCEKKKKVQEGVMAIFNYVSKVGSRPWLPQVPIQFHQQRLGHGLIISCPGARPEHAVA
+WDKDHQYLYRTQYLKGVNGSMRVFIDHGNHLHIRFTQLEDRGIYYCWRQGERIAGFRLGV
+TSPGRYPVSFSDPETRAALGLILIGYMLITVIFISIHLCRCCCYLFRFCPNFSPRLSRPQ
+L
+>sp|Q0V8C2|EXOC3_BOVIN Exocyst complex component 3 OS=Bos taurus OX=9913 GN=EXOC3 PE=2 SV=1
+MKETDREAVATAVQRVAGMLQRPDQLDKVEQYRRREARKKASVEARLKAAIQSQLDGVRT
+GLSQLHNALNDVKDIQQSLADVSKDWRQSINTIESLKDVKDAVVRHSQLAAAVENLKNIF
+SVPEIVRETQDLIEHGELLQAHRKLMDLECSRDGLMYEQYRMDSGNTRDMTLIHSYFGST
+QGLSDELAKQLWMVLQRSLVTVRRDPTLLVSVVRIIEREEKIDRRILDRKKQTGFVPPGR
+PKNWKEKMFTILDRTVTTRIEGTQADTRESDRMWLVRHLEIIRKYVLDDLIVAKNLLAQC
+FPPHYEIFRSLLRTYHQALSARMQDLAAEDLEANEIVSLLTWVLNTYTSVEMMGNAELAP
+EVDVALLEPLLSADVVSALLDTYMSTLTSNIIAWLRKALETDKKDWMKETEPEADQDGYY
+QTTLPAIVFQMFEQNLQVAAQISEDLKTKVLVLCLQQMNSFLSRYKEEAQLYRDEHLRDR
+QHPHCYVQYMVAVVNNCQTFKESIVSLKRKYLKHEAEEGVSLSQPSMDGVLDAIAKEGCG
+SLLEEVFLDLEQHLNELMTKKWLLGSNAVDIICVTVEDYFNDFAKIKKPYRKRMIAEAHR
+RAVQEYLRAVMQKRISFRSAEERKDGAERMVREAEQLRFLFRKLASGFGEEMDSYCDTIV
+AVAEVIKLTDPSLLYLEVSTLVSKYPDIRDDHIGALLAMRGDASRDMKQTIIETLEQGPA
+QASPDYVPIFKDIVVPSLNVAKLLK
+>sp|Q3SZJ0|ARLY_BOVIN Argininosuccinate lyase OS=Bos taurus OX=9913 GN=ASL PE=2 SV=1
+MASESGKLWGGRFVGTVDPIMEKFNSSITYDRHLWEADVQGSKAYSRGLEKAGLLTKAEM
+DQILHGLDKVAEEWAQGTFKLNPNDEDIHTANERRLKELIGETAGKLHTGRSRNDQVVTD
+LRLWMRQNCSMLSALLCELIRTMVDRAEAERDVLFPGYTHLQRAQPIRWSHWILSHAVAL
+TRDSERLLEVRKRINVLPLGSGAIAGNPLGVDRELLRAELDFGAITLNSMDATSERDFVA
+EFLFWASLCMTHLSRMAEDLILYGTKEFSFVQLSDAYSTGSSLMPQKKNPDSLELIRSKA
+GRVFGRCAGLLMTLKGLPSTYNKDLQEDKEAVFEVSDTMSAVLQVATGVISTLQIHRENM
+GRALSPDMLATDLAYYLVRKGMPFRQAHEASGKAVFMAETKGVALNQLSLQELQTISPLF
+SGDVSHVWDYGHSVEQYEALGGTARSSVDWQIGQLRALLRAQQTESPPHASPK
+>sp|Q9TTC5|BTC_BOVIN Probetacellulin OS=Bos taurus OX=9913 GN=BTC PE=2 SV=1
+MARAAPGSGASPLPLLPALALGLVILHCVVADGNSTRSPEDDGLLCGDHAENCPATTTQP
+KRRGHFSRCPKQYKHYCIKGRCRFVVAEQTPSCVCDEGYAGARCERVDLFYLRGDRGQIL
+VICLIAVMVIFIILVVSICTCCHPLRKRRKRRKKEEEMETLGKDITPINDDIQETSIA
+>sp|A6QQL5|CB073_BOVIN Uncharacterized protein C2orf73 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MEGKEDKQQQHKIEDAGILYVTEKEEFKHEKKPGKSIQHSKPCVGRGRVYYAKFINTNVR
+TCNEPVPYIDVKKEPENQGDWWPHGKGLENPFQPPYDTKSTQRSDFKKPTCPLVSPVKHS
+KLQKPSYGIVPLVSPDASAELQRNFKEHISFIHQYDARKTPNEPIRGKRHGVFVQTEIKP
+GSRPTVPERTEVLLNASGSCSSEQSKKTEKGNSAESKMISPGLCRQNSQELLETKTHLSE
+TDIRVAANASLRRPEKREKTAKVFQIAVVNALLTRHDPLSPPIKSQDKSG
+>sp|O46382|BIG1_BOVIN Brefeldin A-inhibited guanine nucleotide-exchange protein 1 OS=Bos taurus OX=9913 GN=ARFGEF1 PE=1 SV=1
+MYEGKKTKNMFLTRALEKILADKEVKKAHHSQLRKACEVALEEIKAETEKQSPPHGEAKA
+GSSTLPPVKSKTNFIEADKYFLPFELACQSKCPRIVSTSLDCLQKLIAYGHLTGNAPDST
+TPGKKLIDRIIETICGCFQGPQTDEGVQLQIIKALLTAVTSQHIEIHEGTVLQAVRTCYN
+IYLASKNLINQTTAKATLTQMLNVIFARMENQALQEAKQMEKERHRQHHHLLQSPVSHHE
+PESPQLRYLPPQTVDHIPQEHEGDLDPQTNDVDKSLQDDTEPENGSDISSAENEQTEADQ
+ATAAETLSKNDILYDGENHDCEEKPQDIVQSIVEEMVNIVVGDTGERTTINVSADGNNGT
+IEDGSDSENIQANGIPGTPISVAYTPSLPDDRLSVSSNDTQESGNSSGPSPGAKFSHILQ
+KDAFLVFRSLCKLSMKPLSDGPPDPKSHELRSKILSLQLLLSILQNAGPIFGTNEMFINA
+IKQYLCVALSKNGVSSVPEVFELSLSIFLTLLSNFKTHLKMQIEVFFKEIFLYILETSTS
+SFDHKWMVIQTLTRICADAQSVVDIYVNYDCDLNAANIFERLVNDLSKIAQGRGSQELGM
+SNVQELSLRKKGLECLVSILKCMVEWSKDQYVNPNSQTTLGQEKPSEQETSEMKHPETIN
+RYGSLNSLESTSSSGIGSYSTQMSGTDNPEQFEVLKQQKEIIEQGIDLFTKKPKRGIQYL
+QEQGMLGTTPEDIAQFLHQEERLDSTQVGEFLGDNDKFNKEVMYAYVDQHDFSGKDFVSA
+LRMFLEGFRLPGEAQKIDRLMEKFAARYLECNQGQTLFASADTAYVLAYSIIMLTTDLHS
+PQVKNKMTKEQYIKMNRGINDSKDLPEEYLSAIYNEIAGKKISMKETKELTIPAKSSKQN
+VASEKQRRLLYNLEMEQMAKTAKALMEAVSHVQAPFTSATHLEHVRPMFKLAWTPFLAAF
+SVGLQDCDDTEVASLCLEGIRCAIRIACIFSIQLERDAYVQALARFTLLTVSSGITEMKQ
+KNIDTIKTLITVAHTDGNYLGNSWHEILKCISQLELAQLIGTGVKPRYISGTVRGREGSL
+TGAKDQAPDEFVGLGLVGGNVDWKQIASIQESIGETSSQSVVVAVDRIFTGSTRLDGNAI
+VDFVRWLCAVSMDELLSTTHPRMFSLQKIVEISYYNMGRIRLQWSRIWEVIGDHFNKVGC
+NPNEDVAIFAVDSLRQLSMKFLEKGELANFRFQKDFLRPFEHIMKRNRSPTIRDMVVRCI
+AQMVNSQAANIRSGWKNIFSVFHLAASDQDESIVELAFQTTGHIVTLVFEKHFPATIDSF
+QDAVKCLSEFACNAAFPDTSMEAIRLIRHCAKYVSDRPQAFKEYTSDDMNVAPEDRVWVR
+GWFPILFELSCIINRCKLDVRTRGLTVMFEIMKTYGYTYEKHWWQDLFRIVFRIFDNMKL
+PEQQTEKAEWMTTTCNHALYAICDVFTQYLEVLSDVLLDDIFAQLYWCVQQDNEQLARSG
+TNCLENVVILNGEKFTLEIWDKTCNCTLDIFKTTIPHALLTWRPISGETAPPTPSPVSEN
+QLDTISQKSVDIHDSIQPRSADNRQQAPLASVSTVNEEISKIKPTAKFPEQKLFAALLIK
+CVVQLELIQTIDNIVFFPATSRKEDAENLAAAQRDAVDFDVRVDTQDQGMYRFLTSQQLF
+KLLDCLLESHRFAKAFNSNNEQRTALWKAGFKGKSKPNLLKQETSSLACGLRILFRMYTD
+ESRASAWEEVQQRLLNVCSEALSYFLTLTSESHREAWTNLLLLFLTKVLKISDNRFKAHA
+SFYYPLLCEIMQFDLIPELRAVLRRFFLRIGVVFQISQPPEQELGINKQ
+>sp|A5PJP6|BRCC3_BOVIN Lys-63-specific deubiquitinase BRCC36 OS=Bos taurus OX=9913 GN=BRCC3 PE=2 SV=1
+MAVQVVQAVQAVHLESDAFLVCLNHALSTEKEEVMGLCIGELNDDLRNDPKFTYTGTEMR
+TVAEKVDTVRIVHIHSVIILRRSDKRKDRVEISPEQLSAASTEAERLAELTGRPMRVVGW
+YHSHPHITVWPSHVDVRTQAMYQMMDQGFVGLIFSCFIEDKNTKTGRVLYTCFQSIQAQK
+SSESPRGPRDFWSSSQHISIEGQKEEERYERIEIPIHIVPHVTIGKVCLESAVELPKILC
+QEEQDAYRRIHSLTHLDSVTKIHNGSVFTKNLCSQMSAVSGPLLQWLEDRLEQNQQHVQE
+LQQEKEELLQELSSLE
+>sp|A7MBF6|ARMD1_BOVIN Armadillo-like helical domain containing protein 1 OS=Bos taurus OX=9913 GN=ARMH1 PE=2 SV=1
+MTSIKEQAAISRLLSFLQDWDNAGKVARSNILNNFIEANQGKTAPELEQEFSQGASLFLV
+RLTTWLRLTYMTGSPLDKLLRSIGVFLSAVSSNRYLIEFLEVGGVLTLLEILALNKIKEE
+DKKESIKLLQVIANSGRKYKELICESYGVRSIAEFLAKSKSEETQEEVQVLLDSLIHGNP
+KYQNQVYKGLIALLPCASPKAQQLALQTLRTAQSIIGTTHPSIVDCVLKVLCTMHLEVQY
+EAIELIKDLVNYDVCPALLKGLVALLIPSFKETSKLQSQIVSDSSVLELTAHLPLFLQQA
+AAAKAIGVLARHNTTLAEEMLHLRVIHSLMAAMGNTDHSNSQRQASLTLEYFVQLFPVVE
+EHVRKTMGEELYKLFLSNAENLYMNIDSIQADILAANKVNVTRVLHLSGLSYSNMSFYFG
+HPNEDQVAYVTHFRKEDVEEKE
+>sp|P08168|ARRS_BOVIN S-arrestin OS=Bos taurus OX=9913 GN=SAG PE=1 SV=1
+MKANKPAPNHVIFKKISRDKSVTIYLGKRDYIDHVERVEPVDGVVLVDPELVKGKRVYVS
+LTCAFRYGQEDIDVMGLSFRRDLYFSQVQVFPPVGASGATTRLQESLIKKLGANTYPFLL
+TFPDYLPCSVMLQPAPQDVGKSCGVDFEIKAFATHSTDVEEDKIPKKSSVRLLIRKVQHA
+PRDMGPQPRAEASWQFFMSDKPLRLAVSLSKEIYYHGEPIPVTVAVTNSTEKTVKKIKVL
+VEQVTNVVLYSSDYYIKTVAAEEAQEKVPPNSSLTKTLTLVPLLANNRERRGIALDGKIK
+HEDTNLASSTIIKEGIDKTVMGILVSYQIKVKLTVSGLLGELTSSEVATEVPFRLMHPQP
+EDPDTAKESFQDENFVFEEFARQNLKDAGEYKEEKTDQEAAMDE
+>sp|A2VDP1|BRE1A_BOVIN E3 ubiquitin-protein ligase BRE1A OS=Bos taurus OX=9913 GN=RNF20 PE=2 SV=1
+MSGIGSKRAAGEPGTSVPPEKKTAVEDSGTTVETIKLGGVSSTEELDIRTLQTKNRKLAE
+MLDQRQAIEDELREHIEKLERRQATDDASLLIVNRYWSQFDENIRIILKRYDLEQGLGDL
+LTERKALVVPEPEPDSDSNQERKDDRERGEGQEPAFSFLATLASSSSEEMESQLQERVES
+SRRAVSQIVTVYDKLQEKVELLSRKLNSGDSLMVEEAVQELNSFLAQENTRLQELTDLLQ
+EKHCTMSQEFSKLQSKVETAESRVSVLESMIDDLQWDIDKIRKREQRLNRHLAEVLERVN
+SKGYKVYGAGSSLYGGTITINARKFEEMNAELEENKELAQNRHCELEKLRQDFEEVTSQN
+EKLKVELRSAVEEVVKETPEYRCMQSQFSVLYNESLQLKAHLDEARTLLHGTRGTHQRQV
+ELIERDEVSLHKKLRTEVIQLEDTLAQVRKEYEMLRIEFEQTLAANEQAGPINREMRHLI
+SSLQNHNHQLKGEVLRYKRKLREAQSDLNKTRLRSGSALLQSQSSTEDPKDEPAELKQDS
+EDLATQSAASKASQEEVNEIKSKRDEEERERERREKEREREREREKEKEREREKQKLKES
+EKERESAKDKEKGKHDDGRKKEAEIIKQLKIELKKAQESQKEMKLLLDMYRSAPKEQRDK
+VQLMAAEKKSKAELEDLRQRLKDLEDKEKKENKKMADEDALRKIRAVEEQIEYLQKKLAM
+AKQEEEALLSEMDVTGQAFEDMQEQNIRLMQQLREKDDANFKLMSERIKSNQIHKLLKEE
+KEELADQVLTLKTQVDAQLQVVRKLEEKEHLLQSNIGTGEKELGLRTQALEMNKRKAMEA
+AQLADDLKAQLEMAQKKLHDFQDEIVENSVTKEKDMFNFKRAQEDISRLRRKLETTKKPD
+NVPKCDEILMEEIKDYKARLTCPCCNMRKKDAVLTKCFHVFCFECVKTRYDTRQRKCPKC
+NAAFGANDFHRIYIG
+>sp|Q5EA66|ANGL7_BOVIN Angiopoietin-related protein 7 OS=Bos taurus OX=9913 GN=ANGPTL7 PE=2 SV=1
+MLKKTLSAVAWLCIFLVAFVSHPVWPQKPPKRKTPAELTAATCCEEAKALQAQIANLSSL
+LSDLGKKQERDWVSVVMQVMELESSAKSMETRLTEAESKYSEMNNQIGIMQLQAAQTVTQ
+TSADAIYDCSSLYQKNYRISGVYKLPPDDFLGSPELEVFCDMETSGGGWTIIQRRKSGLV
+SFYRDWKQYKQGFGSIRGDFWLGNDHIHRLSRRPTRLRVEMQDWEGNMRYAEYSHFVLGN
+ELNSYRLFLGNYSGDVGNDALIYHNNTAFSTKDKDNDNCLDKCAQLRKGGYWYNCCTDSN
+LNGVYYRLGEHNKHLDGITWYGWHGSSYSLKRVEMKIRPEDFQP
+>sp|P14568|ASSY_BOVIN Argininosuccinate synthase OS=Bos taurus OX=9913 GN=ASS1 PE=2 SV=1
+MSGKGSVVLAYSGGLDTSCILVWLKEQGYDVIAYLANIGQKEDFEEARKKALKLGAKKVF
+IEDISKEFVEEFIWPAIQSSALYEDRYLLGTSLARPCIARKQVEIAQREGAKYVSHGATG
+KGNDQIRFELTCYSLAPQIKVIAPWRMPEFYNRFQGRNDLMEYAKQHGIPVPVTPKNPWS
+MDENLMHISYEAGILENPKNQAPPGLYTKTQDPAKAPNSPDMLEIEFKKGVPVKVTNVGD
+GTTHSTALELFLYLNEVAGKHGVGRIDIVENRFIGMKSRGIYETPAGTILYHAHLDIEAF
+TMDREVRKIKQGLGLKFAELVYTGFWHSPECEFVRHCIAKSQERVEGKVQVSVFKGQVYI
+LGRESPLSLYNEELVSMNVQGDYEPVDATGFININSLRLKEYHRLQNKVTAK
+>sp|Q08E31|CREST_BOVIN Calcium-responsive transactivator OS=Bos taurus OX=9913 GN=SS18L1 PE=2 SV=1
+MSVAFASARPRGKGEVTQQTIQKMLDENHHLIQCILDYQSKGKTAECTQYQQILHRNLVY
+LATIADSNQNMQSLLPAPPTQSMTLGPGGLSQSGSAQGLHSQGSLSDAIGAGLPPSSLMQ
+AQIGNGPNHVSLQQTAQSTLPTTSMSMSGSGHGSGPGYSHSGPASQSVPLQSQGAISNYV
+SRANINMQSNPVSMMHQQAASSHYSAAQGGSQHYQGQSMAMMGQSGQGGGVMGQRPMAPY
+RPSQQGSSQQYLGQEEYYGGEQYGHGQAASEPMSQQYYPDGHGDYAYQPASYTDQSYDRS
+FEDSTQHYYEGGNSQYSQQQTGYQQGTAQQQTYSQQQYPNQQSYPGQQQGYGPAQGAPSQ
+YSSYQQGQGQQYGSYRASQTGPSTQQQRPYGYEQGQYGNYQQ
+>sp|Q5E9E7|GL8D1_BOVIN Glycosyltransferase 8 domain-containing protein 1 OS=Bos taurus OX=9913 GN=GLT8D1 PE=2 SV=1
+MSFRKVNIVILVLAVALFLLVLHHNFLGLSSLLRNEVSDSGIVGLQPVDFIPNAPQRVVD
+GREEEIPVVIAASEDRLGGAIAAINSIQHNTRSNVIFYIVTLNGTADHLRSWLSSSNLKR
+IRYKIVNFDTKLLEGKVKEDPDQGESIKPLTFARFYLPILVPRAKKAIYMDDDVIVQGDI
+LALYNTPLKPGHAAAFSEDCDSTSAKVVIRGAGNQYNYIGYLDYKKERIRELSMKASTCS
+FNPGVFVANLTEWRRQNITNQLEKWMKLNVEEGLYSRTLAGSITTPPLLIVFYQQHSTID
+PMWNVRHLGSSAGKRYSPQFVKAAKLLHWNGHFKPWGRTASYTDVWEKWYIPDPTGKFSL
+IRRHVEISNTK
+>sp|P79134|ANXA6_BOVIN Annexin A6 OS=Bos taurus OX=9913 GN=ANXA6 PE=1 SV=2
+MAKPAQGAKYRGSIRDFPDFNPSQDAETLYNAMKGFGSDKEAILELITSRSNRQRQEICQ
+NYKSLYGKDLIADLKYELTGKFERLIVGLMRPPAYADAKEIKDAISGIGTDEKCLIEILA
+SRTNEQIHQLVAAYKDAYERDLEADITGDTSGHFRKMLVVLLQGTREEDDVVSEDLVQQD
+VQDLYEAGELKWGTDEAQFIYILGNRSKQHLRLVFDEYLKTTGKPIEASIRGELSGDFEK
+LMLAVVKCIRSTAEYFAERLFKAMKGLGTRDNTLIRIMVSRSELDMLDIREIFRTKYEKS
+LYSMIKNDTSGEYKKTLLKLCGGDDDAAGQFFPEAAQVAYQMWELSAVARVELKGTVRPA
+GDFNPDADAKALRKAMKGLGTDEDTIIDIIAHRSNAQRQQIRQTFKSHFGRDLMADLKSE
+LSGDLARLILGLMMPPAHYDAKQLKKAMEGAGTDEKALIEILATRTNAEIQAINKAYKED
+YHKSLEDALSSDTSGHFKRILISLATGNREEGGEDRERAREDAQVAAEILEIADTTSGDK
+SSLETRFMMILCTRSYPHLRRVFQEFIKMTNYDVEHTIKKEMSGDVRDVFVAIVQSVKNK
+PLFFADKLYKSMKGAGTDEKTLTRIMVSRSEIDLLNIRREFIEKYDKSLHQAIEGDTSGH
+FLKALLAICGGED
+>sp|A5PK40|CTL3_BOVIN Choline transporter-like protein 3 OS=Bos taurus OX=9913 GN=SLC44A3 PE=2 SV=1
+MQCLGAEHLDSAERIPTQRQWRPQIYRKCTDTAWLFLFFLFWTGLVFIMGYSVAAGATGR
+LLFGYDSFGNVCGKKNSPVEGAPLSGQDMTQKKHVFFMNSCNLEVKDVRLSSTVLCVSSC
+PEEQLDTLEEVQLFANTSGSFLCVYNLNSFNYTQIPNADLLCPRLPVPPSKSFPLFNRCI
+PQTPECYSLFASVLINDVDSLHRILSGIMSGRDTVLGLCILAFALSLAMMFTFRFITTLL
+VHIFIALIVLGLLFVCSVLWWLYYDYTNDLSIELDTERENMKCLLGFAIVSTVITAVLLI
+LIYVLRKRIKLTVELLQVTNKAISSSPFLLFQPLWTFAILIFFWVLWVAVLLSLGTAGAA
+QVVEGGQVEYKPLSGIRYMWWYHLIGLIWTSEFILACQQMAVAGTVVTCYFNRNKSDPPD
+RPILSSLSILFCYHQGTVVKGSFLITVVRIPRAVLMYVYNTLKEKDGALSRCVSQCCCCC
+FWCLDKCLRHLNQNAYTTTAINGTDFCTSAKDALKLLSKNSSHFTSVNCFGDFIIFLGKV
+LVVCFTVFGGLMAFNYHRVLQVWAVPLLLVAFFAYLVAHSFLSVFETVLDALFLCFAVDL
+ETNDGSSEKPYFMDQEFLNFVKRINKLNTRAQRNKNSLTSEEGTELRPL
+>sp|Q08DS7|AP1B1_BOVIN AP-1 complex subunit beta-1 OS=Bos taurus OX=9913 GN=AP2B1 PE=2 SV=1
+MTDSKYFTTNKKGEIFELKAELNNEKKEKRKEAVKKVIAAMTVGKDVSSLFPDVVNCMQT
+DNLELKKLVYLYLMNYAKSQPDMAIMAVNSFVKDCEDPNPLIRALAVRTMGCIRVDKITE
+YLCEPLRKCLKDEDPYVRKTAAVCVAKLHDINAQMVEDQGFLDSLRDLIADSNPMVVANA
+VAALSEISESHPNSNLLDLNPQNINKLLTALNECTEWGQIFILDCLSNYNPKDDREAQSI
+CERVTPRLSHANSAVVLSAVKVLMKFLELLPKESDYYNMLLKKLAPPLVTLLSGEPEVQY
+VALRNINLIVQKRPEILKQEIKVFFVKYNDPIYVKLEKLDIMIRLASQANIAQVLAELKE
+YATEVDVDFVRKAVRAIGRCAIKVEQSAERCVSTLLDLIQTKVNYVVQEAIVVIRDIFRK
+YPNKYESIIATLCENLDSLDEPDARAAMIWIVGEYAERIDNADELLESFLEGFHDESTQV
+QLTLLTAIVKLFLKKPSETQELVQQVLSLATQDSDNPDLRDRGYIYWRLLSTDPVTAKEV
+VLSEKPLISEETDLIEPTLLDELICHIGSLASVYHKPPNAFVEGSHGIHRKHLPIHHGST
+DAGDSPVGTTTATNLEQPQVIPSQGDLLGDLLNLDLGPPVNVPQVSSMQMGAVDLLGGGL
+DSLLGSDLGGGIGGSPAVGQSFIPSSVPATFAPSPTPAVVSSGLNDLFELSTGIGMAPGG
+YVAPKAVWLPAVKAKGLEISGTFTHRQGHIYMEMNFTNKALQHMTDFAIQFNKNSFGVIP
+STPLAIHTPLMPNQSIDVSLPLNTLGPVMKMEPLNNLQVAVKNNIDVFYFSCLIPLNVLF
+VEDGKMERQVFLATWKDIPNENELQFQIKECHLNADTVSSKLQNNNVYTIAKRNVEGQDM
+LYQSLKLTNGIWILAELRIQPGNPNYTLSLKCRAPEVSQYIYQVYDSILKN
+>sp|Q32KQ9|CST16_BOVIN Probable cystatin-16 OS=Bos taurus OX=9913 PE=2 SV=1
+MFLKATLLLGLAVLGMHVWAIQMEFVDISKDLDYFVVSVEFAVAWFNSDNTEEQAYKLLE
+VRRAQQKSWTMIYLMELDLGRTICKKHDEDIDNCPLQESPGERKVNCTFIVDSRPWFTQF
+TLLNST
+>sp|Q8WMX8|ASZ1_BOVIN Ankyrin repeat, SAM and basic leucine zipper domain-containing protein 1 OS=Bos taurus OX=9913 GN=ASZ1 PE=2 SV=1
+MAAGPLRGLAVAGGGESSDSEDDGWEIGYLDRKSQKLKGPLPVEERQETFKKALTTGNIS
+LVEELLDSGISVDTSFQYGWTSLMYAASVSNVELVRVLLDRGANASFDKDKQTVLITACS
+ARGSEEKILKCIELLLSRNADPNVACRRLMTPIMYAARDGHPQVVALLVAHGAEVNTQDE
+NGYTALTWAARQGHKNVVLKLLELGANKMIQTKDGKTPSEIAKRNKHLEIFNFLSLTLNP
+LEGKLHQLTKEESICKLLRTDSDKEKDHIFSSYTAFGDLEIFLHGLGLEHMTDLLKEREI
+TLRHLLTMRKDELAKNGITSRDQQKIMAALKELEVEEIKFGELPEVAKLEISGDEFLNFL
+LKLNKQCGHLITAVQNIITELPVNSHKIVLEWASPRNFTSVCEELVSNVEDLSEEVCKLK
+DLIQKLQNERENDPTHIPLMEEVSTWNSRILKRTAITVCGFGFLLFICKLAFQRK
+>sp|P07926|AT5G2_BOVIN ATP synthase F(0) complex subunit C2, mitochondrial OS=Bos taurus OX=9913 GN=ATP5MC2 PE=1 SV=1
+MYTCAKFVSTPSLIRRTSTVLSRSLSAVVVRRPETLTDESHSSLAVVPRPLTTSLTPSRS
+FQTSAISRDIDTAAKFIGAGAATVGVAGSGAGIGTVFGSLIIGYARNPSLKQQLFSYAIL
+GFALSEAMGLFCLMVAFLILFAM
+>sp|P49951|CLH1_BOVIN Clathrin heavy chain 1 OS=Bos taurus OX=9913 GN=CLTC PE=1 SV=1
+MAQILPIRFQEHLQLQNLGINPANIGFSTLTMESDKFICIREKVGEQAQVVIIDMNDPSN
+PIRRPISADSAIMNPASKVIALKAGKTLQIFNIEMKSKMKAHTMTDDVTFWKWISLNTVA
+LVTDNAVYHWSMEGESQPVKMFDRHSSLAGCQIINYRTDAKQKWLLLTGISAQQNRVVGA
+MQLYSVDRKVSQPIEGHAASFAQFKMEGNAEESTLFCFAVRGQAGGKLHIIEVGTPPTGN
+QPFPKKAVDVFFPPEAQNDFPVAMQISEKHDVVFLITKYGYIHLYDLETGTCIYMNRISG
+ETIFVTAPHEATAGIIGVNRKGQVLSVCVEEENIIPYITNVLQNPDLALRMAVRNNLAGA
+EELFARKFNALFAQGNYSEAAKVAANAPKGILRTPDTIRRFQSVPAQPGQTSPLLQYFGI
+LLDQGQLNKYESLELCRPVLQQGRKQLLEKWLKEDKLECSEELGDLVKSVDPTLALSVYL
+RANVPNKVIQCFAETGQVQKIVLYAKKVGYTPDWIFLLRNVMRISPDQGQQFAQMLVQDE
+EPLADITQIVDVFMEYNLIQQCTAFLLDALKNNRPSEGPLQTRLLEMNLMHAPQVADAIL
+GNQMFTHYDRAHIAQLCEKAGLLQRALEHFTDLYDIKRAVVHTHLLNPEWLVNYFGSLSV
+EDSLECLRAMLSANIRQNLQICVQVASKYHEQLSTQSLIELFESFKSFEGLFYFLGSIVN
+FSQDPDVHFKYIQAACKTGQIKEVERICRESNCYDPERVKNFLKEAKLTDQLPLIIVCDR
+FDFVHDLVLYLYRNNLQKYIEIYVQKVNPSRLPVVIGGLLDVDCSEDVIKNLILVVRGQF
+STDELVAEVEKRNRLKLLLPWLEARIHEGCEEPATHNALAKIYIDSNNNPERFLRENPYY
+DSRVVGKYCEKRDPHLACVAYERGQCDLELINVCNENSLFKSLSRYLVRRKDPELWGSVL
+LESNPYRRPLIDQVVQTALSETQDPEEVSVTVKAFMTADLPNELIELLEKIVLDNSVFSE
+HRNLQNLLILTAIKADRTRVMEYINRLDNYDAPDIANIAISNELFEEAFAIFRKFDVNTS
+AVQVLIEHIGNLDRAYEFAERCNEPAVWSQLAKAQLQKGMVKEAIDSYIKADDPSSYMEV
+VQAANTSGNWEELVKYLQMARKKARESYVETELIFALAKTNRLAELEEFINGPNNAHIQQ
+VGDRCYDEKMYDAAKLLYNNVSNFGRLASTLVHLGEYQAAVDGARKANSTRTWKEVCFAC
+VDGKEFRLAQMCGLHIVVHADELEELINYYQDRGYFEELITMLEAALGLERAHMGMFTEL
+AILYSKFKPQKMREHLELFWSRVNIPKVLRAAEQAHLWAELVFLYDKYEEYDNAIITMMN
+HPTDAWKEGQFKDIITKVANVELYYRAIQFYLEFKPLLLNDLLMVLSPRLDHTRAVNYFS
+KVKQLPLVKPYLRSVQNHNNKSVNESLNNLFITEEDYQALRTSIDAYDNFDNISLAQRLE
+KHELIEFRRIAAYLFKGNNRWKQSVELCKKDSLYKDAMQYASESKDTELAEELLQWFLQE
+EKRECFGACLFTCYDLLRPDVVLETAWRHNIMDFAMPYFIQVMKEYLTKVDKLDASESLR
+KEEEQATETQPIVYGQPQLMLTAGPSVAVPPQAPFGYGYTAPAYGQPQPGFGYSM
+>sp|P02817|AMELX_BOVIN Amelogenin, X isoform OS=Bos taurus OX=9913 GN=AMELX PE=1 SV=2
+MGTWILFACLLGAAFSMPLPPHPGHPGYINFSYEVLTPLKWYQSMIRHPYPSYGYEPMGG
+WLHHQIIPVVSQQTPQNHALQPHHHIPMVPAQQPVVPQQPMMPVPGQHSMTPTQHHQPNL
+PLPAQQPFQPQSIQPQPHQPLQPHQPLQPMQPMQPLQPLQPLQPQPPVHPIQPLPPQPPL
+PPIFPMQPLPPMLPDLPLEAWPATDKTKREEVD
+>sp|Q17QN0|CHIN_BOVIN N-chimaerin OS=Bos taurus OX=9913 GN=CHN1 PE=2 SV=1
+MPSKESWSGRKTNRATVHKSKQEGRQQDLLIAALGMKLGSQKSSVTIWQPLKLFAYSQLT
+SLVRRATLKENEQIPKYEKVHNFKVHTFRGPHWCEYCANFMWGLIAQGVKCADCGLNVHK
+QCSKMVPNDCKPDLKHVKKVYSCDLTTLVKARTTKRPMVVDMCIREIEARGLNSEGLYRV
+SGFSDLIEDVKMAFDRDGEKADISVNMYEDINIITGALKLYFRDLPIPLITYDAYPKFIE
+SAKIMDPDEQLETLHEALKLLPPAHCETLRYLMAHLKRVTLHEKENLMNAENLGIVFGPT
+LMRSPELDAMAALNDIRYQRLVVELLIKNEDILF
+>sp|A5PK65|DOPD_BOVIN D-dopachrome decarboxylase OS=Bos taurus OX=9913 GN=DDT PE=3 SV=1
+MPFVELDTSLPAGRVPAGLEKRLCAATAAILSKPEDRVNVTVRSGLAMVVNGSAEPSAQL
+LVSSIGVVGTAEENRGHSARFFEFLTKELDLAEDRIMIRFFPLERWQIGKKGTVMTFL
+>sp|Q0P5A1|DCTN3_BOVIN Dynactin subunit 3 OS=Bos taurus OX=9913 GN=DCTN3 PE=2 SV=1
+MAAVTDVQRLQARVEELERWVYGPGGSRGSRKVADGLVKVQVALGNIASKRERVKVLYKK
+IEDLIKYLDPEYIDRIALPDASKLQFILAEEQFILSQVALLEQVEALVPMLDSTHIKAVP
+EHAARLQRLAQIHIQQQDQCVEITEESKALLEEYNKTTMLLSKQFVQWDELLCQLEAAKQ
+VKPVEE
+>sp|P49872|F261_BOVIN 6-phosphofructo-2-kinase/fructose-2,6-bisphosphatase 1 OS=Bos taurus OX=9913 GN=PFKFB1 PE=2 SV=1
+MSQEMGELTQTRLQKIWIPHNNGNSRLQRRRGSSIPQFTNSPTMVIMVGLPARGKTYIST
+KLTRYLNWIGTPTKVFNLGQYRREAVSYKNYEFFLPDNMEALLIRKQCALAALKDVHSYL
+SHEEGRVAVFDATNTTRERRSLILQFAKEHGYKVFFIESICNDPDVIAENIRQVKLGSPD
+YIDCDREKVLEDFLKRIECYEVNYQPLDDELDSHLSYIKIFDVGTRYMVNRVQDHIQSRT
+VYYLMNIHVTPRSIYLCRHGESELNLRGRIGGDSGLSARGKQYAYALANFIQSQGISSLK
+VGTSHMKRTIQTAEALGLPYEQWKALNEIDAGVCEEMTYEEIQEHYPEEFALRDQDKYRY
+RYPKGESYEDLVQRLEPVIMELERQENVLVICHQAVMRCLLAYFLDKSSDELPYLKCPLH
+TVLKLTPVAYGCKVESIYLNVEAVNTHREKPENVDITREPEEALDTVPAHY
+>sp|A7MB34|F181B_BOVIN Protein FAM181B OS=Bos taurus OX=9913 GN=FAM181B PE=2 SV=1
+MAVQAALLSTHPFVPFGFGGSPDGLGGAFGALEKGCCFEDEETGTPAGALLAGAESGDAR
+EATRDLLSFIDSASSNIKLALDKPGKSKRKVNHRKYLQKQIKRCSGLMGAAPPGPSSPGA
+ADTPAKRPLAGAQTVPVPVPAHGKAAPRREASQAAAAASLQSRSLAALFDSLRHVPGGAD
+PAGVAEAVPAAGLVRGDAAGSAGGPAVPGARKVPLRARNLPPSFFTEPSRAGGCVCGPSG
+PGVSLGDLEKGSEAAEFFELLGPDYGAGTEAGALLAAEPLDVFPAGAAVLRGPPELEPGL
+FDPQPAMVGSLLYPEPWSAPGGPATKKPPLPAPGGGLTLNEPLRSVYPAAADSPGGDDGP
+GLLASFTPFFSDCALPPAPPPQQVSYDYSAGYSRTAFAGLWRPDGAWEGAPGEEGAPRD
+>sp|Q24K21|DPCD_BOVIN Protein DPCD OS=Bos taurus OX=9913 GN=DPCD PE=2 SV=1
+MAVTGWLESLRAAEKTALLQDGRRKVHYLFPNGKEMAEEYDEKTNELLVRKWRVKSALGA
+LGQWQIEVGEPALPGAGSLGPELITESNANPIFVRKDTKMSFQWRIRNLPYPKDVYNVFV
+DQKERCVVVRTTNKKYYKKFSIPDLERYQLPLDESLLSFAHANSTLIISYQKPKEVLVAE
+SELQKELKKVKTAHSSDGDCKTQ
+>sp|Q5E9K1|COMD5_BOVIN COMM domain-containing protein 5 OS=Bos taurus OX=9913 GN=COMMD5 PE=2 SV=1
+MSAVGPAAAHLHRPGDSHSDCVSFLGAQLPPEVAAMPQLLGDLDRATFRKLLKLVVSSLQ
+GEDCREAVQHLRAGANLPEEQLGALIAGTHTLLQQALRLPPASLKPDTFKNQLQELCIPQ
+DLVVDLASVVFGSQRPLLDSVARQQGAWLPHIADFRWRVDVAISTSALARSLQPSVLMHL
+KLSDGSALRFEVPTAKFQELRFAVALVLKEMADLEKRCERKLQD
+>sp|Q3SYV4|CAP1_BOVIN Adenylyl cyclase-associated protein 1 OS=Bos taurus OX=9913 GN=CAP1 PE=2 SV=3
+MADMRNLVERLERVVGRLEAVSHASDTHCGYGDSAAKAGTTPYVQAFDSLLAGPVAEYLK
+ISKEIGGDVQKHAEMVHTGLKLERALLVTASQCQQPAGNKLSDLLAPISEQIQEVVTFRE
+KNRGSKLFNHLSAVSESIQALGWVAMAPKPGPYVKEMNDAAMFYTNRVLKEYKDVDKKHV
+DWVKAYLSIWTELQAYIKEFHTTGLAWSRTGPVAKELSGLPSGPSAGSGPPPPPPGPPPP
+PVPTSSGSDDSASRSALFAQINQGESITHALKHVSDDMKTHKNPALKAQSGLIRSGPKPF
+SASKPDPPKPVAKKEPALLELEGKKWRVENQENVSNLMIEDTELKQVAYIFKCVNSTLQI
+KGKINSITVDNCKKLGLVFDDVVGIVEIINSKDVKVQVMGKVPTISINKTDGCHVYLSKN
+SLDCEIVSAKSSEMNVLIPTEGGDFNEFPVPEQFKTLWNGQKLVTTVTEIAG
+>sp|P00921|CAH2_BOVIN Carbonic anhydrase 2 OS=Bos taurus OX=9913 GN=CA2 PE=1 SV=3
+MSHHWGYGKHNGPEHWHKDFPIANGERQSPVDIDTKAVVQDPALKPLALVYGEATSRRMV
+NNGHSFNVEYDDSQDKAVLKDGPLTGTYRLVQFHFHWGSSDDQGSEHTVDRKKYAAELHL
+VHWNTKYGDFGTAAQQPDGLAVVGVFLKVGDANPALQKVLDALDSIKTKGKSTDFPNFDP
+GSLLPNVLDYWTYPGSLTTPPLLESVTWIVLKEPISVSSQQMLKFRTLNFNAEGEPELLM
+LANWRPAQPLKNRQVRGFPK
+>sp|Q2TBX7|CCD86_BOVIN Coiled-coil domain-containing protein 86 OS=Bos taurus OX=9913 GN=CCDC86 PE=2 SV=1
+MDTPLRRSRRLEGLKPESPENPTSVLRVRRVLVEFESNPKETGEPRSPPGLGSPSRQPET
+SPGSPSLPNGPALGSPRKQPELDSGSPEGHRDPGLNFPQNQPESSPESHLLQPKPSEESP
+KFSQNQGEADSELPKSKEEPTPGCPRHQLQQDSGSLEPFPGQKAPGPEPSKPLQELTPRS
+PGSPRDQHEPSKPPAAGEPAREGPAPKKREGSSAQAPASKKPKEEIPVIPKGKPKSGRVW
+KDRSKKRFSQMVQDKPLRTSWQRKMKDRQERKLAKDFARHLEEEKERRRQEKKKRRAENL
+RRRLENERKAEIVQVIRNPAKLKRAKKKQLRSIEKRDTLAQLQKQPPQRPATKV
+>sp|Q0VCH3|CDPF1_BOVIN Cysteine-rich DPF motif domain-containing protein 1 OS=Bos taurus OX=9913 GN=CDPF1 PE=2 SV=1
+MACETERTPLGVFKCQLCALTAPYSYQGRQPPDSQSVVLLEESYVMRDPFTPDKGRFLVV
+GSRCSMCGRLVCVGPECSLFYSKRFCLPCVQDNVDAFPQEIQQDLEKRKVPFTRPASQRS
+S
+>sp|Q3MHH5|CCND3_BOVIN G1/S-specific cyclin-D3 OS=Bos taurus OX=9913 GN=CCND3 PE=2 SV=1
+MELLCCEGTRHAPRAGPDPRLLGDQRVLQSLLRLEERYVPRASYFQCVQREIKPHMRKML
+AYWMLEVCEEQRCEEEVFPLAMNYLDRYLSCVPTRKAQLQLLGAVCMLLASKLRETTPLT
+IEKLCIYTDHSVSPRQLRDWEVLVLGKLKWDLAAVIAHDFLALILHRLSLPRDRQALVKK
+HAQTFLALCATDYTFAMYPPSMIATGSIGAAVQGLGACSTSGDELTELLAGIAGTEVDCL
+RACQEQIEAALRESLREAAQTSPSPAPKAPRGSSSQGPSQTSTPTDVTAIHL
+>sp|Q2YDE7|ARCH_BOVIN Protein archease OS=Bos taurus OX=9913 GN=ZBTB8OS PE=2 SV=2
+MALEGEDVRDYNLTEEQKAIKAKYPPVSRKYEYLDHTADVQLHAWGDTLEEAFEQCAMAM
+FGYMTDTGTVEPLQTIEVETQGDDLQSLLFHFLDEWLYKFSADEFFIPREVKVLNIDQRN
+FKIRSIGWGEEFSLSKHPQGTEVKAITYSAMQVYNEEKPEVFVIIDI
+>sp|Q3T0M9|ARL4A_BOVIN ADP-ribosylation factor-like protein 4A OS=Bos taurus OX=9913 GN=ARL4A PE=2 SV=1
+MGNGLSDQTSILSSLPSFQSFHIVILGLDCAGKTTVLYRLQFNEFVNTVPTKGFNTEKIK
+VTLGNSKTVTFHFWDVGGQEKLRPLWKSYTRCTDGIVFVVDSVDVERMEEAKTELHKITR
+ISENQGVPVLIVANKQDLRNSLSLSEIEKLLAMGELSSSTPWHLQPTCAIIGDGLKEGLE
+KLHDMIIKRRKMLRQQKKKR
+>sp|P60712|ACTB_BOVIN Actin, cytoplasmic 1 OS=Bos taurus OX=9913 GN=ACTB PE=1 SV=1
+MDDDIAALVVDNGSGMCKAGFAGDDAPRAVFPSIVGRPRHQGVMVGMGQKDSYVGDEAQS
+KRGILTLKYPIEHGIVTNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMT
+QIMFETFNTPAMYVAIQAVLSLYASGRTTGIVMDSGDGVTHTVPIYEGYALPHAILRLDL
+AGRDLTDYLMKILTERGYSFTTTAEREIVRDIKEKLCYVALDFEQEMATAASSSSLEKSY
+ELPDGQVITIGNERFRCPEALFQPSFLGMESCGIHETTFNSIMKCDVDIRKDLYANTVLS
+GGTTMYPGIADRMQKEITALAPSTMKIKIIAPPERKYSVWIGGSILASLSTFQQMWISKQ
+EYDESGPSIVHRKCF
+>sp|Q3T0E0|ATOX1_BOVIN Copper transport protein ATOX1 OS=Bos taurus OX=9913 GN=ATOX1 PE=3 SV=1
+MPKHEFSVDMTCEGCSNAVTRVLNKLGGVQFDIDLPNKKVCINSEHSVDTLLETLGKTGK
+AVSYLGPK
+>sp|Q56JY9|B9D2_BOVIN B9 domain-containing protein 2 OS=Bos taurus OX=9913 GN=B9D2 PE=2 SV=1
+MAEVHVIGQIMGATGFSESSLFCKWGVHTGAAWKLLSGVREGQTQVDTPQIGDMAYWSHP
+IDLHFATKGLQGWPRLHLQVWSQDSFGRCQLAGYGFCHVPSSPGTHQLDCPTWRPLGSWR
+EQLARAFVGGGPQLLHGDAIYSGADRYRLHTTSGGTVHLELSLLLRHFDRYGVEC
+>sp|Q0VCS9|ANKY2_BOVIN Ankyrin repeat and MYND domain-containing protein 2 OS=Bos taurus OX=9913 GN=ANKMY2 PE=2 SV=1
+MVHIKKGELTQEEKELLEVIGKGTVQEAGTLLGSKNVRVNCLDENGMTPLMHAAYKGKLD
+MCKLLLRHGADVNCHQHEHGYTALMFAALSGNKDITWVMLEAGAETDVVNSVGRTAAQMA
+AFVGQHDCVTIINNFFPREKLDYYTKPQGLDKEPKLPPKLAGPLHKIITTTNLHPVKIVM
+LINENPLLAEEAALNKCYKVMDLICEKCMKQRDMNEVLAMKMHYISCIFQKCINFLKDRE
+NKLDTLIKSLLKGRASDGFPVYQEKIIRESIRKFPYCEATLLQQLVRSIAPVEIGSDPTA
+FSVLTQAITGQVGFVDVEFCTTCGEKGASKRCSVCKMVIYCDQTCQKTHWFAHKKICKNL
+KDIYEKQQLEAAKAKSEEENNSKLDVNSNCVGEERLEEAETRICQKNDNPKDSEEGEKES
+LQSDAGLEGLQEAAVGPQVSEE
+>sp|Q1RMX3|B2CL2_BOVIN Bcl-2-like protein 2 OS=Bos taurus OX=9913 GN=BCL2L2 PE=1 SV=1
+MATPASAPDTRALVADFVGYKLRQKGYVCGAGPGEGPAADPLHQAMRAAGDEFETRFRRT
+FSDLAAQLHVTPGSAQQRFTQVSDELFQGGPNWGRLVAFFVFGAALCAESVNKEMEPLVG
+QVQEWMVAYLETRLADWIHSSGGWAEFTALYGDGALEEARRLREGNWASVRTVLTGAVAL
+GALVTVGAFFASK
+>sp|P41985|ACM2_BOVIN Muscarinic acetylcholine receptor M2 OS=Bos taurus OX=9913 GN=CHRM2 PE=2 SV=2
+MNNSTNSSNNVALTSPYKTFEVVFIVLVAGSLSLVTIIGNILVMVSIKVNRHLQTVNNYF
+LFSLACADLIIGVFSMNLYTLYTVIGYWPLGPVVCDLWLALDYVVSNASVMNLLIISFDR
+YFCVTKPLTYPVKRTTKMAGMMIAAAWVLSFILWAPAILFWQFIVGVRTVEDGECYIQFF
+SNAAVTFGTAIAAFYLPVIIMTVLYWHISRASKSRIKKDKKEPVANQDPVSPSLVQGRIV
+KPNNNNMPGSDDGLEHNKIQNGKTPRDAVTENCVQGEEKESSNDSTSVSAVASNMRDDEI
+TQDENTVSTSVGHSKDENSKQTCIKIVTKTPKGDQCTPTNTTVELVGSSGQNGDEKQNIV
+ARKIVKMTKQPAKKKPPPSREKKVTRTILAILLAFIITWAPYNVMVLINTFCAPCIPNTV
+WTIGYWLCYINSTINPACYALCNATFKKTFKHLLMCHYKNIGATR
+>sp|A6QQ21|CSN6_BOVIN COP9 signalosome complex subunit 6 OS=Bos taurus OX=9913 GN=COPS6 PE=2 SV=1
+MAATAAAANGTGGSSGMEVDAAVVPSVMASGVTGSVSVALHPLVILNISDHWIRMRSQEG
+RPMQVIGALIGKQEGRNIEVMNSFELLSHTVEEKIIIDKEYYYTKEEQFKQVFKELDFLG
+WYTTGGPPDPSDIHVHKQVCEIIESPLFLKLNPMTKHTDLPVSVFESVIDIINGEATMLF
+AELTYTLATEEAERIGVDHVARMTATGSGENSTVAEHLIAQHSAIKMLHSRVKLILEYVK
+ASEAGEVPFNHEILREAYALCHCLPVLSTDKFKTDFYDQCNDVGLMAYLGTITKTCNTMN
+QFVNKFNVLYDRQGIGRRMRGLFF
+>sp|P41984|ACM3_BOVIN Muscarinic acetylcholine receptor M3 OS=Bos taurus OX=9913 GN=CHRM3 PE=2 SV=1
+MTLHNNNTTSPLFPNISSSWIHGPSDTGLPPGTVTHFGSYNISRAAGNLSSPNGTTSDPL
+GGHTIWQVVFIAFLTGVLALVTIIGNILVIVAFKVNKQLKTVNNYFLLSLACADLIIGVI
+SMNLFTTYIIMNRWALGNLACDLWLSIDYVASNASVMNLLVISFDRYFSITRPLTYRAKR
+TTKRAGVMIGLAWVISFILWAPAILFWQYFVGKRTVPPGECFIQFLSEPTITFGTAIAAF
+YMPVTIMTILYWRIYKETEKRTKELAGLQASGTEAEAENFVHPTGSSRSCSSYELQQQSM
+KRSARRKYGRCHFWFTTKSWKPSAEQMDQDHSSSDSWNNNDAAASLENSASSDEEDIGSE
+TRAIYSIVLKLPGHSTILNSTKLPSSDNLQVPEEELGSVGLERKPSKLQTQQSMDDGGSF
+QKSFSKLPIQLESAVDTAKASDVNSSVGKTTATLPLSFKEATLAKRFALKTRSQITKRKR
+MSLIKEKKAAQTLSAILLAFIITWTPYNIMVLVNTFCDSCIPKTYWNLGYWLCYINSTVN
+PVCYALCNKTFRNTFKMLLLCQCDKRKRRKQQYQQRQSVIFHKRVPEQAL
+>sp|Q28074|CD3G_BOVIN T-cell surface glycoprotein CD3 gamma chain OS=Bos taurus OX=9913 GN=CD3G PE=2 SV=2
+MEQGKHLAGLILAVFLLQGTMAHVKEVKVDDNREDGSVILICVTNDTTITWLKDVEQIGS
+GDTKKNTWNLGSSTKDPRGIYKCEGSNNQSKSLQIYYRMCQNCIELNPSTVAGFIFTEIV
+SIFLLAVGVYFIAGQEGVRQSRASDKQTLLNNDQLYQPLKEREDDQYSHLRKN
+>sp|P21146|ARBK1_BOVIN Beta-adrenergic receptor kinase 1 OS=Bos taurus OX=9913 GN=GRK2 PE=1 SV=1
+MADLEAVLADVSYLMAMEKSKATPAARASKKILLPEPSIRSVMQKYLEDRGEVTFEKIFS
+QKLGYLLFRDFCLKHLEEAKPLVEFYEEIKKYEKLETEEERLVCSREIFDTYIMKELLAC
+SHPFSKSAIEHVQGHLVKKQVPPDLFQPYIEEICQNLRGDVFQKFIESDKFTRFCQWKNV
+ELNIHLTMNDFSVHRIIGRGGFGEVYGCRKADTGKMYAMKCLDKKRIKMKQGETLALNER
+IMLSLVSTGDCPFIVCMSYAFHTPDKLSFILDLMNGGDLHYHLSQHGVFSEADMRFYAAE
+IILGLEHMHNRFVVYRDLKPANILLDEHGHVRISDLGLACDFSKKKPHASVGTHGYMAPE
+VLQKGVAYDSSADWFSLGCMLFKLLRGHSPFRQHKTKDKHEIDRMTLTMAVELPDSFSPE
+LRSLLEGLLQRDVNRRLGCLGRGAQEVKESPFFRSLDWQMVFLQKYPPPLIPPRGEVNAA
+DAFDIGSFDEEDTKGIKLLDSDQELYRNFPLTISERWQQEVAETVFDTINAETDRLEARK
+KTKNKQLGHEEDYALGKDCIMHGYMSKMGNPFLTQWQRRYFYLFPNRLEWRGEGEAPQSL
+LTMEEIQSVEETQIKERKCLLLKIRGGKQFVLQCDSDPELVQWKKELRDAYREAQQLVQR
+VPKMKNKPRSPVVELSKVPLIQRGSANGL
+>sp|Q1LZC5|ANR54_BOVIN Ankyrin repeat domain-containing protein 54 OS=Bos taurus OX=9913 GN=ANKRD54 PE=2 SV=1
+MAAAAGGADDESRSGRSSSDGECAVAPEPLTGPEGLFSFADFGSALGGGAGLPGRASGGA
+QSPLRYLHVLWQQDAEPRDELRCKIPAGRLRRAARPHRRLGPTGKEVHALKRLRDSANAN
+DVETVQQLLEEGTDPCAADDKGRTALHFASCNGNDQIVQLLLDHGADPNQRDGLGNTPLH
+LAACTNHAPVITTLLRGGARVDALDRAGRTPLHLAKSKLNILQEGHSQCLEAVRLEVKQI
+IQMLREYLERLGRHEQRERLDDLCTRLQKTSTREQVDEVTDLLASFTSLSLQMQNMEKR
+>sp|Q3SZR3|A1AG_BOVIN Alpha-1-acid glycoprotein OS=Bos taurus OX=9913 GN=ORM1 PE=2 SV=1
+MALLWALAVLSHLPLLDAQSPECANLMTVAPITNATMDLLSGKWFYIGSAFRNPEYNKSA
+RAIQAAFFYLEPRHAEDKLITREYQTIEDKCVYNCSFIKIYRQNGTLSKVESDREHFVDL
+LLSKHFRTFMLAASWNGTKNVGVSFYADKPEVTQEQKKEFLDVIKCIGIQESEIIYTDEK
+KDACGPLEKQHEEERKKETEAS
+>sp|Q09141|CCL8_BOVIN C-C motif chemokine 8 OS=Bos taurus OX=9913 GN=CCL8 PE=3 SV=1
+MKVSAGILCLLLVAATFGTQVLAQPDSVSTPITCCFSVINGKIPFKKLDSYTRITNSQCP
+QEAVIFKTKADRDVCADPKQKWVQTSIRLLDQKSRTPKP
+>sp|P51749|CD40L_BOVIN CD40 ligand OS=Bos taurus OX=9913 GN=CD40LG PE=2 SV=1
+MIETYSQPSPRSVATGPPVSMKIFMYLLTVFLITQMIGSALFAVYLHRRLDKIEDERNLH
+EDFVFMKTIQRCNKGEGSLSLLNCEEIRSRFEDLVKDIMQNKEVKKKEKNFEMHKGDQEP
+QIAAHVISEASSKTTSVLQWAPKGYYTLSNNLVTLENGKQLAVKRQGFYYIYTQVTFCSN
+RETLSQAPFIASLCLKSPSGSERILLRAANTHSSSKPCGQQSIHLGGVFELQSGASVFVN
+VTDPSQVSHGTGFTSFGLLKL
+>sp|Q32KM8|AUGN_BOVIN Augurin OS=Bos taurus OX=9913 GN=ECRG4 PE=2 SV=1
+MANSSARPAFLVMTALALLLLLCVGPGGISGNKLKLLLRKREAPAPTMTPVAVQESRAKE
+FLSSLRRPKRQLWDRSRPEVQQWYQHFLYLGFDEAKFEDDISYWLNRNRNGHDYYDYYQR
+HYDEDSAIGPRSAHSFRHGASVNYDDY
+>sp|P08165|ADRO_BOVIN NADPH:adrenodoxin oxidoreductase, mitochondrial OS=Bos taurus OX=9913 GN=FDXR PE=1 SV=3
+MAPRCWRWWPWSSWTRTRLPPSRSIQNFGQHFSTQEQTPQICVVGSGPAGFYTAQHLLKH
+HSRAHVDIYEKQLVPFGLVRFGVAPDHPEVKNVINTFTQTARSDRCAFYGNVEVGRDVTV
+QELQDAYHAVVLSYGAEDHQALDIPGEELPGVFSARAFVGWYNGLPENRELAPDLSCDTA
+VILGQGNVALDVARILLTPPDHLEKTDITEAALGALRQSRVKTVWIVGRRGPLQVAFTIK
+ELREMIQLPGTRPMLDPADFLGLQDRIKEAARPRKRLMELLLRTATEKPGVEEAARRASA
+SRAWGLRFFRSPQQVLPSPDGRRAAGIRLAVTRLEGIGEATRAVPTGDVEDLPCGLVLSS
+IGYKSRPIDPSVPFDPKLGVVPNMEGRVVDVPGLYCSGWVKRGPTGVITTTMTDSFLTGQ
+ILLQDLKAGHLPSGPRPGSAFIKALLDSRGVWPVSFSDWEKLDAEEVSRGQASGKPREKL
+LDPQEMLRLLGH
+>sp|Q29423|CD44_BOVIN CD44 antigen OS=Bos taurus OX=9913 GN=CD44 PE=2 SV=1
+MDTFWWRAAWGLCLVQLSLAQIDLNITCRYAGVFHVEKNGRYSISKTEAADLCKAFNSTL
+PTMAQMEAARNIGFETCRYGFIEGHVVIPRIHPNSICAANNTGVYILTSNTSQYDTICFN
+ASAPPGEDCTSVTDLPNAFEGPITITIVNRDGTRYTKKGEYRTNPEDINPSVVSPSSPPD
+DEMSSGSPSERSTSGGYSIFHTHLPTVHPSRPRRPWSQRAENTSDTRDYGSSHDPSGRSY
+TTHASESAGHSSGSEEHGANTTSGPMRKPQIPEWLIILASLLALALILAVCIAVNSRRRC
+GQKKKLVINNGNGTMEERKPSGLNGEASKSQEMVHLVNKGSSETPDQFMTADETRNLQNV
+DMKIGV
+>sp|Q92176|COR1A_BOVIN Coronin-1A OS=Bos taurus OX=9913 GN=CORO1A PE=1 SV=3
+MSRQVVRSSKFRHVFGQPAKADQCYEDVRVSQNTWDSGFCAVNPKFVALICEASGGGAFL
+VLPLGKTGRVDKNVPMVCGHTAPVLDIAWCPHNDNVIASGSEDCSVMVWEIPDGGLTLPL
+REPVVTLEGHTKRVGIVAWHPTAQNVLLSAGCDNVILVWDVGTGVAVLTLGSDVHPDTIY
+SVDWSRDGALICTSCRDKRVRIIEPRKGTIVAEKDRPHEGTRPVRAVFVSDGKILTTGFS
+RMSERQVALWDTKHLEEPLSLQELDTSSGVLLPFFDPDTNIVYLCGKGDSSIRYFEITSE
+APFLHYLSMFSSKESQRGMGYMPKRGLEVNKCEIARFYKLHERKCEPIAMTVPRKSDLFQ
+EDLYPPTAGPDAALTAEEWLGGRDAGPLLISLKDGYVPPKSRELRVNRGLDTGRKRTTPE
+ASGAPSSDAISRLEEEMRKLQATVQELQKRLDRLEETVQAK
+>sp|P00415|COX3_BOVIN Cytochrome c oxidase subunit 3 OS=Bos taurus OX=9913 GN=MT-CO3 PE=1 SV=2
+MTHQTHAYHMVNPSPWPLTGALSALLMTSGLTMWFHFNSMTLLMIGLTTNMLTMYQWWRD
+VIRESTFQGHHTPAVQKGLRYGMILFIISEVLFFTGFFWAFYHSSLAPTPELGGCWPPTG
+IHPLNPLEVPLLNTSVLLASGVSITWAHHSLMEGDRKHMLQALFITITLGVYFTLLQASE
+YYEAPFTISDGVYGSTFFVATGFHGLHVIIGSTFLIVCFFRQLKFHFTSNHHFGFEAAAW
+YWHFVDVVWLFLYVSIYWWGS
+>sp|Q9BDK2|AIF1_BOVIN Allograft inflammatory factor 1 OS=Bos taurus OX=9913 GN=AIF1 PE=2 SV=1
+MSETRDLQGGKAFGLRKAQQEERINEINQQFLDDPKYSSDEDLPSKLEAFKKKYMEFDLN
+EDGGIDIMSLKRMMEKLGVPKTHLELKKLIMEVSSGPGETFSYSDFLKMMLGKRSAILKM
+ILMYEEKAREQEKPTGLPAKKAISELP
+>sp|A4IFU8|AUNIP_BOVIN Aurora kinase A and ninein-interacting protein OS=Bos taurus OX=9913 GN=AUNIP PE=2 SV=1
+MRRRGPEKEEEACGVWLDAAALKRRKTQTQLIKSSTKMLTLLPGERKAKISFTQRSCPSA
+GTRQTSIASFLTSQQGKTNGADQRSVSSHTESQTNKESKEDATQLEHLTQGLRADFMAPP
+LATSTPADIQEARLSPQSLKASCQHGIGTPYLTVPCLFRPDTSVCAGASKASLACSFAHD
+LESSCLLDQKEGEDSSCEREWLQGSKKNNYQSVERHSKTTGHKGHQLLDKTNLENVSAKR
+SRQAPVLQTYKDSRRGANMKAVKQSSCPIPGFSWDSERNDKDSWSQLFTEDSQGQRVIAH
+NSRAPFRDVTNDQNQGYGRVPNSLWAQCQDRTTQFNLQPDSLFTQDSEGNQVIRHQA
+>sp|A7YY53|ADPRM_BOVIN Manganese-dependent ADP-ribose/CDP-alcohol diphosphatase OS=Bos taurus OX=9913 GN=ADPRM PE=2 SV=2
+MDYKPEPELHSESSERLFSFGVIADIQYADLEDGYNFQGNRRRYYRHSLLHLQGAIEHWN
+QERSPPRCVLQLGDIIDGYNAQYKASEKSLERVMNTFQMLRVPVHHTWGNHEFYNFSRDY
+LTNSKLNTKFLEDQIAHHPETVPSEDYYAYHFVPFPKFRFILLDAYDMSVLGVDQSSPKY
+QQCLKILREHNPNTELNSPQGLREPQFVQFNGGFSPEQLNWLNAVLTFSDRNQEKVVIVS
+HLPIYPEASDSVCLAWNYRDALAVIWSHKCVVCFFAGHTHDGGYSEDPYGVHHVNIEGVI
+ETAPDSQAFGTVHVYPDKMMLEGRGRVPHRIMNYRKE
+>sp|Q9N179|41_BOVIN Protein 4.1 OS=Bos taurus OX=9913 GN=EPB41 PE=2 SV=1
+MHCKVSLLDDTVYECVVEKHAKGQDLLKRVCEHLNLLEEDYFGLAIWDNATSKTWLDSAK
+EIKKQVRGVPWNFTFNVKFYPPDPAQLTEDITRYYLCLQLRQDIVSGRLPCSFATLALLG
+SYTIQSELGDYDPELHGADYVSDFKLAPNQTKELEEKVMELHKSYRSMTPAQADLEFLEN
+AKKLSMYGVDLHKAKDLEGVDIILGVCSSGLLVYKEKLRINRFPWPKVLKISYKRSSFFI
+KIRPGEQEQYESTIGFKLPSYRAAKKLWKVCVEHHTFFRLTSTDTIPKSKFLALGSKFRY
+SGRTQAQTRQASALIDRPAPHFERTASKRASRSLDGAAAVEPADRTPRPTSAPAIAPSPA
+AEGGVPGAPVKKAQKETVQVEVKQEEAPPEDAEPEPSEAWKKKRERLDGENIYIRHSNLM
+LEDLDKSQEEIKKHHASISELKKNFMESVPEPRPSEWDKRLSTHSPFRTLNINGQIPTGE
+GPPLVKTQTVTISDTANAVKSEIPTKDVPIVHTETKTITYEAAQTDDSNGDLDPGVLLTA
+QTITSETTSSTTTTQITKTVKGGISETRIEKRIVITGDADIDHDQVLVQAIKEAKEQHPD
+MSVTKVVVHQETEISEE
+>sp|Q05B49|CR021_BOVIN UPF0711 protein C18orf21 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MRQKHYLEAAAWKLQDSCPGQARYLLWAYSSSHDDKSTFEGTCPYCCQLLVQDKSRVRLK
+PKPKLTPKIQKLLNREARNYTLSFKEAKILKKYKDSKSVLLITCKTCNRTVKHHGKSRSF
+LSALKSNPTTPTSKLSLKTPERKTPSSANLNHTSGSKGKSPALIFRTPTSGQSTSICSSK
+NASKTKKHFSQLKMLLSQSESKKNPKMDFRNFLSSL
+>sp|P15497|APOA1_BOVIN Apolipoprotein A-I OS=Bos taurus OX=9913 GN=APOA1 PE=1 SV=3
+MKAVVLTLAVLFLTGSQARHFWQQDDPQSSWDRVKDFATVYVEAIKDSGRDYVAQFEASA
+LGKQLNLKLLDNWDTLASTLSKVREQLGPVTQEFWDNLEKETASLRQEMHKDLEEVKQKV
+QPYLDEFQKKWHEEVEIYRQKVAPLGEEFREGARQKVQELQDKLSPLAQELRDRARAHVE
+TLRQQLAPYSDDLRQRLTARLEALKEGGGSLAEYHAKASEQLKALGEKAKPVLEDLRQGL
+LPVLESLKVSILAAIDEASKKLNAQ
+>sp|P01030|CO4_BOVIN Complement C4 (Fragments) OS=Bos taurus OX=9913 GN=C4 PE=1 SV=2
+NVNFQKAIHEKLGQYTSPVAKRCCQDGLTRLPMARTCEQRAARVQQPACREPFLSCCQFA
+ESLRKKARTRGQVGLARVGFSVVPIAAAAVSLKVVARGSFDFPVGDAISKILQVEREGAL
+HREEMVYELNPLDPLGRTLEIPGNSDPNIIPEGDFKSFVRVTASDPLEALGSEGALSPGG
+LASLLRLPQGCAEQTMTLLAPTLAASRYLDKTEQWSMLPPETKDRAVDLIQKGYTRIQEF
+RKRDGSYGAWLHRDSSTWLTAFVLKILSLAQDQVXGSAEKLQETATWLLSQQRDDGPFHD
+PCPVIHREMQGGLVGSDETVALTAFVVIALHHGLAVLPDKNSRVENSISRANTFLGAKAT
+SGLLGSHASAITAYALSLTEAPEDLRRVAHNNLMAMAKDIGDKLYWGSVTTSPSNVLSPT
+PAPRSPADPIPQAPAMSIETTAYGLLHLLLWEGKAELADQAASWLTRQGSFQGGFRSTQD
+TVVALDALSAYWIASYTAEEKGLNVTLSSLGRSGLKSHVLQLTNHQVHRLEEELQFSLGS
+KINVEVRGNSKGTLKVLRSYNVMDMTNTTCQDLQIEVTVMGHVEYTMEAEEDYEDYEYED
+LLAGDDPEAHSRXVTPLQLFDGRRNRRRREAPKAAEERESRVQYTVCIWRTGKVGLSGMA
+IADITLLSGFHALRADLEKLTSLSDRYVSHFETEGPHVLLYFDSVPTSRECVGFGAVQEV
+PVGLVQPASAILYDYYNPEHKCSVFYGAPRKSKLLSTLCSADVCQCAEGKCPRQRRALER
+GQQDLEGYRMKFACYSPRVDYGFQVKVLREDSRAAFRLFETRITQVLHFTKDAGATADQT
+RNFLVRASCRLQLEPGKEYLIMGLDGATYDLKGDPQYLLDSNSWIEEMPSERMCQSTRHR
+TPCAQLNSFLQEYGTQXCQV
+>sp|Q4PJW3|CP51A_BOVIN Lanosterol 14-alpha demethylase OS=Bos taurus OX=9913 GN=CYP51A1 PE=2 SV=1
+MLDLLQAGGSVLGQAMEQVTGGNLASMLLIACAFTLSLVYLFRLAVGHLAPPLPTGAKSP
+PYIVSPIPFLGHAIAFGKSPIEFLEDAYEKYGPVFSFTMVGKTFTYLLGSEAAALLFNSK
+NEDLNAEEVYSRLTTPVFGKGVAYDVPNTVFLEQKKMLKSGLNIAHFRQHVSIIEKETKE
+YFKSWGESGEKNLFEALSELIILTASHCLHGKEIRSQLNEKVAQLYADLDGGFSHAAWLL
+PGWLPLPSFRRRDRAHREIKNIFYKAIQKRRESGEKIDDILQTLLESTYKDGRPLTDDEV
+AGMLIGLLLAGQHTSSTTSAWMGFFLARDKTLQEKCFLEQKTVCGENLPPLTYDQLKDLN
+LLDRCIKETLRLRPPIMTMMRLAKTPLTVAGYTIPPGHQVCVSPTVNQRLKDSWVERLDF
+NPDRYLEDSPASGEKFAYVPFGAGRHRCIGENFAYVQIKTIWSTMLRLYEFDLIDGYFPT
+VNYTTMIHTPEKPIIRYKRRSK
+>sp|P30274|CCNA2_BOVIN Cyclin-A2 OS=Bos taurus OX=9913 GN=CCNA2 PE=1 SV=2
+MLGSSAHGPAAREAGSAVTLQQTAFQEDQENVNPEKAAPAQQPRTRAGLAVLRAGNSRGP
+APQRPKTRRVAPLKDLPINDEYVPVPPWKANNKQPAFTIHVDEAEEEIQKRPTESKKSES
+EDVLAFNSAVTLPGPRKPLAPLDYPMDGSFESPHTMEMSVVLEDEKPVSVNEVPDYHEDI
+HTYLREMEVKCKPKVGYMKKQPDITNSMRAILVDWLVEVGEEYKLQNETLHLAVNYIDRF
+LSSMSVLRGKLQLVGTAAMLLASKFEEIYPPEVAEFVYITDDTYTKKQVLRMEHLVLKVL
+AFDLAAPTINQFLTQYFLHQQPANCKVESLAMFLGELSLIDADPYLKYLPSVIAAAAFHL
+ALYTVTGQSWPESLVQKTGYTLETLKPCLLDLHQTYLRAPQHAQQSIREKYKNSKYHGVS
+LLNPPETLNV
+>sp|Q28046|ADSV_BOVIN Adseverin OS=Bos taurus OX=9913 GN=SCIN PE=1 SV=1
+MAQGLYHEEFARAGKRAGLQVWRIEKLELVPVPESAYGNFYVGDAYLVLHTTQASRGFTY
+RLHFWLGKECTQDESTAAAIFTVQMDDYLGGKPVQNRELQGYESTDFVGYFKGGLKYKAG
+GVASGLNHVLTNDLTAQRLLHVKGRRVVRATEVPLSWDSFNKGDCFIIDLGTEIYQWCGS
+SCNKYERLKASQVAIGIRDNERKGRAQLIVVEEGSEPSELTKVLGEKPKLRDGEDDDDIK
+ADITNRKMAKLYMVSDASGSMKVSLVAEENPFSMAMLLSEECFILDHGAAKQIFVWKGKD
+ANPQERKAAMKTAEEFLQQMNYSTNTQIQVLPEGGETPIFKQFFKDWRDRDQSDGFGKVY
+VTEKVAHVKQIPFDASKLHSSPQMAAQHHVVDDGSGKVQIWRVENNGRVEIDRNSYGEFY
+GGDCYIILYTYPRGQIIYTWQGANATRDELTTSAFLTVQLDRSLGGQAVQIRVSQGKEPA
+HLLSLFKDKPLIIYKNGTSKKEGQAPAPPIRLFQVRRNLASITRIMEVDVDANSLNSNDV
+FVLKLRQNNGYIWIGKGSTQEEEKGAEYVASVLKCKTSTIQEGKEPEEFWNSLGGKKDYQ
+TSPLLESQAEDHPPRLYGCSNKTGRFIIEEVPGEFTQDDLAEDDVMLLDAWEQIFIWIGK
+DANEVEKSESLKSAKIYLETDPSGRDKRTPIVIIKQGHEPPTFTGWFLGWDSSRW
+>sp|Q3ZC78|CR032_BOVIN UPF0729 protein C18orf32 homolog OS=Bos taurus OX=9913 PE=3 SV=1
+MVCIPCIVIPVLLWVYKKFLEPYIYPLISPFVSRMWPRKAIRETNDKNKGKVDYKGADIN
+GLPTRGPTEMCDKKKD
+>sp|A5PJM4|AIFM2_BOVIN Apoptosis-inducing factor 2 OS=Bos taurus OX=9913 GN=AIFM2 PE=2 SV=1
+MGSQVSMDAGAVHVVIVGGGFGGIAAASQLQALNIPFVLVDMKDSFHHNVAALRASVESG
+FAKKTFISYSVTFKENFRQGLVVEIDLKNQTVLLEDGQALPFSHLILATGSTGLFPGKFN
+QVSSQQMAIQAYEDMVTQVQRSQSIVVVGGGSAGVEMAAEIKTEYPEKEVTLIHSKMALA
+DTELLPCVRQEVKEILLRKGVQLLLSERVSNLEALPVNERRECIKVQTDKGTEVDANLVI
+VCNGIKINSAAYRSAFGDRLASNGALRVNEYLQVEGYSHIYAIGDCADVREPKMAYHASL
+HANVAVANIVNSMKQRPLKTYKPGSLTFLLAMGRNDGVGQISGFYVGRLMVRLAKSRDLL
+VSTSWKTMKQSPP
+>sp|Q1LZ86|ABHD6_BOVIN Monoacylglycerol lipase ABHD6 OS=Bos taurus OX=9913 GN=ABHD6 PE=2 SV=1
+MDLDVVNMFVIAGGTLALPILAFVASFLLWPSALIRIYYWYWRRTLGMQVRYVRHEDYQF
+CYSFRGRPGHKPSILMLHGFSAHKDMWLSMVKFLPKNLHLVCVDMPGHEGTTRSSLDDLS
+IDGQVKRIHQFVECLKLNKKPFHLVGTSMGGHVAGVYAAHYPSDVCSLSLVCPAGLQYST
+DNKFVQRLKELQESAAVEKIPLIPTTPKEMSEMLQLCSYVRFKVPQQILQGLVDVRIPHN
+TFYRKLFLEIVSEKSRYSLHQNMDKIKVPTQIIWGKQDQVLDVSGADMLAKSIANSQVEL
+LENCGHSVVMERPRKTAKLLVDFLASVHSTDNSKKLD
+>sp|A2VDY3|CHM4A_BOVIN Charged multivesicular body protein 4a OS=Bos taurus OX=9913 GN=CHMP4A PE=2 SV=1
+MSGLGRLFGRGKKEKGPTPEEAIQKLKETEKILIKKQEFLEQKIEQELQAAKKHGTKNKR
+AALQALRRKKRLEQQLAQTDGTLSTLEFQREAIENATTNAEVLRTMELAAQGLKKAYQDM
+DIDKVDELMADITEQQEVAQQISDAISRPVGFGDDVDEDELLEELEELEQEELARELLHV
+GDEEEEPPVALPSAPSTHLPAEPAPKADEDEAELKQLAEWVS
+>sp|A5D7B2|CLM9_BOVIN CMRF35-like molecule 9 OS=Bos taurus OX=9913 GN=CD300LG PE=2 SV=2
+MRPLLMLWGCLVLPGYGSVVNPKEISGFEGDTVSLQCTYEEELKKHQKYWCREAGLFISR
+CTGTVFSGEYGQEGRVSVHDNPRENRFTVILRNLTLKDRGKYLCGVKRLGFDKTMSVSLL
+VFPGTSLHPATSPPAGISQPATQLDSTSTEDTSFVPSSSSKSRVSIPLIRILAPVLVLLA
+LLLATGLAALGNYMFQRREKAQLASETQKKDKVHLSHLTSEDDEASWRDPKGDMTPASTL
+PMSGDEPGFSKFSSV
+>sp|Q2HJE0|ATGA1_BOVIN Autophagy-related protein 101 OS=Bos taurus OX=9913 GN=ATG101 PE=2 SV=1
+MNCRSEVLEVSVEGRQVEEAMLAVLHTVLLHRSTGKFHYKKEGTYSIGTVGTQDVDCDFI
+DFTYVRVSSEELDRALRKVVGEFRDALRNSGGDGLGQMSLEFYQKKKSRWPFSDECIPWE
+VWTVKVHVVALATEQERQICREKVGEKLCEKIINIVEVMNRHEYLPKMPTQSEVDNVFDT
+GLRDVQPYLYKISFQITDALGSSVTTTMRRLIKDTLAL
+>sp|A6QQV6|ANM7_BOVIN Protein arginine N-methyltransferase 7 OS=Bos taurus OX=9913 GN=PRMT7 PE=2 SV=1
+MKVFCGRANPTTGSVEWLEEDEHYDYHQEIARSSYADMLHDKDRNMKYYQGIRAAVSRVK
+DRGQKALVLDIGTGTGLLSMMAVTAGADFCYAIEVFKPMADAAVKIVEKNGFSDKIKVIN
+KHSTEVTVGPDGDMPCRANILITELFDTELIGEGALPSYEHAHRHLVQANCEAVPHRATV
+YAQLVESRRMWSWNKLFPIRVQTSRGERVIIPPLELERCPGAPSVCDIQLNQVSPADFTI
+LSDVLPMFSVDFSKQVSSSAACHSRQFEPLVSGRAQVVLSWWDIEMDPEGKIKCTMAPSW
+AHSDPEELQWRDHWMQCVYFLPQEEPVVQGLALCLVAYHDDYCVWYSLQKTSPEKNGRVH
+PVRPVCDCQAHLLWNRPRFGEINDRNRTDQYIQALRTVLKPDSVCLCVSDGSLLSMLAYH
+LGVEQVFTIENSAVSHRLMKKIFKANHLEDKINIIEKRPELLTPADLEGKKVSLLLGEPF
+FTTSLLPWHNLYFWYVRTAVDQHLGPGAVVMPQAASLHVVVVEFRDLWRIRSPCGDCEGF
+DVHIMDDMIKRALDFRESKEAEPHPLWEYPCSSLSEPQQILTFDFRQPVPLQPIHAEGTI
+ELRRCGRSHGAVLWMEYHLTADSTVSTGLLKSAEDEGDCCWNPHCKQAVYFFNTTLDPRA
+PPGSSQTVTYTVEFHPHTGDITMDFTLSDALDSGC
+>sp|Q2LL38|AAKG3_BOVIN 5'-AMP-activated protein kinase subunit gamma-3 OS=Bos taurus OX=9913 GN=PRKAG3 PE=2 SV=2
+MEPAELEHALCGSLFSTQTPSWSSFGGPEHQEMSFLEQGDSTSWPSPAMTTSAEISLGEQ
+RTKVSRWKSQEDVEERELPGLEGGPQSRAAAESTGLEATFPKATPLAQATPLSAVGTPTT
+ERDSLPADCTASASSSSTDDLDQGIEFSAPAAWGDELGLVEERPAQCPSPQVPVLRLGWD
+DELRKPGAQVYMHFMQEHTCYDAMATSSKLVIFDTMLQIKKAFFALVANGVRAAPLWDSK
+KQSFVGMLTITDFILVLHRYYRSPLVQIYEIEEHKIETWREIYLQGCFKPLVSISPSDSL
+FEAVYTLIKNRIHRLPVLDPVSGAVLHILTHKRLLKFLHIFQRTLLPRPSFLYRTIQDLG
+IGTFRDLAVVLETAPILTALDIFVDRRVSALPVINEAGQVVGLYSRFDVIHLAAQQTYNH
+LDISVGEALRRRTLCLEGVLSCQPHETLGEVIDRIAREQVHRLVLVDETQHLLGVVSLSD
+ILQALVLSPAGIDALGA
+>sp|Q5MD62|CCR7_BOVIN C-C chemokine receptor type 7 OS=Bos taurus OX=9913 GN=CCR7 PE=2 SV=1
+MDLGKPMKNVLVVALLVIFQVCLCQDEVTDNYIGDNTTVDYTLYESVCFKKDVRNFKAWF
+LPIMYSIICFVGLLGNGLVMLTYIYFKRLKTMTDTYLLNLALADILFLLTLPFWAYSAAK
+SWVFGVHVCKLIFGIYKISFFSGMLLLLCISIDRYVAIVQAVSAHRHRARVLLISKLSCL
+GIWMLAIVLSTPEVMYSGIQKSSSEQALRCSLVTEHVEALITIQVAQMVVGFLIPLMAMS
+FCYLVIIRTLLQARNFERNKAIKVIIAVVVVFVAFQLPYNGVVLAHTVANFNITSGTSCE
+LSKQLNIAYDVTYSLACVRCCVNPFLYAFIGVKFRSDLFKLFKDLGCLSQEQLRQWSFCR
+HTRRSSMSVEAETTTTFSP
+>sp|A7YY28|ABHEB_BOVIN Protein ABHD14B OS=Bos taurus OX=9913 GN=ABHD14B PE=2 SV=1
+MAGVEQREGAIQVQGQSLFFREALPGGGQAARFSVLLLHGIRFSSETWQNLGTLHRLAQA
+GYRAVAIDLPGLGRSKEAKAPAPIGELVPSSFLAAVVDALDLGPPVVISPSLSGMYSLPF
+LTAPGSQLRGYVPVAPICTDKINAADYARVKASVLIVYGDQDPMGQTSFEHLKQLPNHRV
+LVMEGAGHPCYLDKPEEWHTGLLDFLQGLA
+>sp|P81644|APOA2_BOVIN Apolipoprotein A-II OS=Bos taurus OX=9913 GN=APOA2 PE=1 SV=2
+MKLLALTVLLLTICGLEGALVRRQAEESNLQSLVSQYFQTVADYGKDLVEKAKGSELQTQ
+AKAYFEKTQEELTPFFKKAGTDLLNFLSSFIDPKKQPATR
+>sp|O46411|5NTC_BOVIN Cytosolic purine 5'-nucleotidase OS=Bos taurus OX=9913 GN=NT5C2 PE=1 SV=1
+MTTSWSDRLQNAADMPANMDKHALKKYRREAYHRVFVNRSLAMEKIKCFGFDMDYTLAVY
+KSPEYESLGFELTVERLVSIGYPQELLSFAYDSTFPTRGLVFDTLYGNLLKVDAYGNLLV
+CAHGFNFIRGPETREQYPNKFIQRDDTERFYILNTLFNLPETYLLACLVDFFTNCPRYTS
+CETGFKDGDLFMSYRSMFQDVRDAVDWVHYKGSLKEKTVENLEKYVVKDGKLPLLLSRMK
+EVGKVFLATNSDYKYTDKIMTYLFDFPHGPKPGSSHRPWQSYFDLILVDARKPLFFGEGT
+VLRQVDTKTGKLKIGTYTGPLQHGIVYSGGSSDTVCDLLGAKGKDILYIGDHIFGDILKS
+KKRQGWRTFLVIPELAQELHVWTDKSSLFEELQSLDIFLAELYKHLDSSSNERPDISSIQ
+RRIKKVTHDMDMCYGMMGSLFRSGSRQTLFASQVMRYADLYAASFINLLYYPFSYLFRAA
+HVLMPHESTVEHTHVDINEMESPLATRNRTSVDFKDTDYKRHQLTRSISEIKPPNLFPLA
+PQEITHCHDEDDDEEEEEEE
+>sp|P04695|GNAT1_BOVIN Guanine nucleotide-binding protein G(t) subunit alpha-1 OS=Bos taurus OX=9913 GN=GNAT1 PE=1 SV=3
+MGAGASAEEKHSRELEKKLKEDAEKDARTVKLLLLGAGESGKSTIVKQMKIIHQDGYSLE
+ECLEFIAIIYGNTLQSILAIVRAMTTLNIQYGDSARQDDARKLMHMADTIEEGTMPKEMS
+DIIQRLWKDSGIQACFDRASEYQLNDSAGYYLSDLERLVTPGYVPTEQDVLRSRVKTTGI
+IETQFSFKDLNFRMFDVGGQRSERKKWIHCFEGVTCIIFIAALSAYDMVLVEDDEVNRMH
+ESLHLFNSICNHRYFATTSIVLFLNKKDVFSEKIKKAHLSICFPDYNGPNTYEDAGNYIK
+VQFLELNMRRDVKEIYSHMTCATDTQNVKFVFDAVTDIIIKENLKDCGLF
+>sp|P19534|CADH2_BOVIN Cadherin-2 OS=Bos taurus OX=9913 GN=CDH2 PE=2 SV=2
+MCRIVGAPRTLLPLLAALLQASVDASGEISLCKTGFPEDVYSAVLSRDVLEGQPLLNVKF
+SNCNGKRKVQYESSEPADFKVDEDGMVYAVRSFPLSSEHSKFLIYAQDKETQEKWQVAVK
+LSLKPALPEDSVKESREIEEIVFPRQVTKHNGYLQRQKRDWVIPPINLPENSRGPFPQEL
+VRIRSDRDKNLSLRYSVTGPGADQPPTGIFIINPISGQLSVTKPLDRELIARFHLRAHAV
+DINGNQVENPIDIVINVIDMNDNRPEFLHQVWNGTVPEGSKPGTYVMTVTAIDADDPNAL
+NGMLRYRILSQAPSTPSPNMFTINNETGDIITVAAGLDREKVQQYTLIIQATDMEGNPTY
+GLSNTATAVITVTDVNDNPPEFTAMTFYGEVPENRVDVIVANLTVTDKDQPHTPAWNAIY
+RISGGDPAGRFAIQTDPNSNDGLVTVVKPIDFETNRMYVLTVAAENQVPLAKGIQHPPQS
+TATVSVTVIDVNENPYFAPNPKIIRQEEGLHAGTVLTTFTAQDPDRYMQQNIRYTKLSDP
+ANWLKIDSVNGQITTIAVLDRESPNVKANIYNATFLASDNGIPPMSGTGTLQIYLLDIND
+NAPQVLPQEAEICETPDPNSINITALDYDIDPNAGPFAFDLPLSPVTIKRNWTITRLNGD
+FAQLNLKIKFLEAGIYEVPIIITDSGNPPKSNISILRVKVCQCDSNGDCTDVDRIVGAGL
+GTGAIIAILLCIIILLILVLMFVVWMKRRDKERQAKQLLIDPEDDVRDNILKYDEEGGGE
+EDQDYDLSQLQQPDTVEPDAIKPVGIRRLDERPIHAEPQYPVRSAAPHPGDIGDFINEGL
+KAADNDPTAPPYDSLLVFDYEGSGSTAGSLSSLNSSSSGGEQDYDYLNDWGPRFKKLADM
+YGGGDD
+>sp|P35833|CSF3_BOVIN Granulocyte colony-stimulating factor OS=Bos taurus OX=9913 GN=CSF3 PE=1 SV=2
+MKLMVLQLLLWHSALWTVHEATPLGPARSLPQSFLLKCLEQVRKIQADGAELQERLCAAH
+KLCHPEELMLLRHSLGIPQAPLSSCSSQSLQLTSCLNQLHGGLFLYQGLLQALAGISPEL
+APTLDTLQLDVTDFATNIWLQMEDLGAAPAVQPTQGAMPTFTSAFQRRAGGVLVASQLHR
+FLELAYRGLRYLAEP
+>sp|Q3ZBY3|CALB2_BOVIN Calretinin OS=Bos taurus OX=9913 GN=CALB2 PE=2 SV=1
+MAGPQQQPPYLHLAELTATQFLEIWKHFDADGNGYIEGKELENFFQELEKARKGSGMVSK
+SDNLGEKMKEFMQKYDKNSDGKIEMAELAQILPTEENFLLCFRQHVGSSTEFMEAWRKYD
+TDRSGYIEANELKGFLSDLLKKANRPYDEPKLQEYTQTILRMFDLNGDGKLGLSEMSRLL
+PVQENFLLKFQGMKLTSEEFNAIFTFYDKDGSGYIDENELDALLKDLYEKNKKEMNIQQL
+TSYRKSVMSLAEAEKLYRKDLEIVLCSEPPL
+>sp|Q3SZL8|CNDH2_BOVIN Condensin-2 complex subunit H2 OS=Bos taurus OX=9913 GN=NCAPH2 PE=2 SV=1
+MEDVEARFAHLLLPIRDLTRNWEVDVAAQLGEYLEELDQICISFDKGKTTMNFIEAALLI
+QGSACVYSKKVEYLYSLVYQALDFISGKKQAKQLSSTPEDGTIGDASSRAPQEAEQKFRA
+LDDLSDSCANVDLRDDQVVSGTLIPLLPNALVAPDEMEKNSNPLYSCQGEVLASRKDFRV
+NTCTPHPRGTFLLEPLGVSLMEALQPWNPKEPGRAEEQPMEVSVCGSPGPALSTSQEPGS
+SPEGPVPRGGGVGEDEEDAEGAAEPPEASAPEVPMEPPEPRSPEQSVAQPRRYTLRERKE
+APEPASRLKDTPDPWQGLDPFDSPDSKPFRKGRPYSVPPRVEEAPGQKRKRKGAVKLQDF
+HQWYLAAYADHTDSRRSRRKGPSFADMEVLYWKHVKEQLETLRKMQRREAAERWLPRAEQ
+GLWPVEEDRLEDSVEDLGAADDFLEPEEYAEPEGAEPGEDADMEAEAMPASLRYEELVQR
+NVELFVTASKQDVFVTTSRQELVQETELKQHIRGWEDAIQSLLQEQEEHVPFDIHTYGDQ
+VVSRFSQLNQWCPFAKLVAGQPAFEVCRSMLASLQLANDYTVEITQQPGLEAAVDTMSLR
+LLTHQRARQRFQTYAAPSTVQP
+>sp|Q2KIY2|CLD15_BOVIN Claudin-15 OS=Bos taurus OX=9913 GN=CLDN15 PE=2 SV=1
+MLVAVEIFGFFLTAVGLLMLGVTLAHSSWRVSTVHGNVITTNTIFENLWYSCATDSMGVH
+NCWEFPSMLALSGYIQACRALMITAILLGFLGLFLGMVGLRCTNIGGLELSRKTKLAATA
+GALHILAGICGMVAVSWYAFNITRDFFNPLYAGTKYELGPALYLGWSACLLAILGGICLF
+SNCCCSRDRDPATGVQLPYKAPVIPAASLAARLPAAASDEEGDSSFGKYGKNAYV
+>sp|Q2KIL8|CK086_BOVIN Uncharacterized protein C11orf86 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MGTGLRSQSLRGPRPSYGKLQEPWGRPTEGRLRRALSLRQGREKSRSSDGGPERLDTLGQ
+EWLPGSLGDTEQLIQAEQEGSQRWLRQYQQKIRRRWESFVTSFPNVTLSRSASPQPPLGT
+TS
+>sp|Q0VBW2|CNFN_BOVIN Cornifelin OS=Bos taurus OX=9913 GN=CNFN PE=3 SV=1
+MSYPVTSQPQSASTCYQTQLSDWHTGLTDCCNDMPVCLCGTFAPLCLACRISDDFGECCC
+TPYLPGGLHSLRTGMRERYRIQGSIGKDWAALTFCLPCALCQMARELKIRE
+>sp|Q2YDG2|CF299_BOVIN Cilia- and flagella-associated protein 299 OS=Bos taurus OX=9913 GN=CFAP299 PE=2 SV=1
+MDQDEALTTVDNIVTQFNTYEDFLDSQITTLDLYYLEDEGLARQLVELGYRGTGEVVKRE
+DFEARKAAIEIARLAERTQKKTLTSAGKDLHDNFLKALAVREEDNRSGKSVIFIRDKNSH
+GQEVSGYIDYAHRLKTEDFEVYFSGKKRLLPRPTDMSFYNWDSHIAIWNSTPNYQVIADN
+PEGLLFKYKRDRKILNVDPKAQPGDNSTRSPILTELYTQVVIFDHVSRRKT
+>sp|Q2KI39|SPIN2_BOVIN Spindlin-2 OS=Bos taurus OX=9913 GN=SPIN2 PE=2 SV=1
+MKTPHKKATARQQTREIVDDHTLSASMRKKKISQKKQRGRPSSQTRRNIVGCRISHGWKE
+GDEPITQWKGTVLDQVPINPSLYLVKYDGIDCVYGLELHRDKRILKLKILPDKVPFSRVR
+DVHLANTIIGKAVEHMFEGEHGSKDGWRGMVLAQAPIMKAWFYITYEKDPVLYMYQLLDD
+YKEGDLHIMPESSKSSPKEREPEGVIDGLIGKHVEYTKEDGSKRTGKVIHQVKAKPSVYF
+IKFDDDFHIYVYDLVKKS
+>sp|Q32LJ5|SPEM1_BOVIN Spermatid maturation protein 1 OS=Bos taurus OX=9913 GN=SPEM1 PE=2 SV=2
+MAMAERPRPGWASYHNPNTNSCQDLGNSILLLLGLIICINIGINMVTLLWRRLRGFLHQV
+FRVICEKEASKLRSPGKQTQPSKHSSPAVHLRCTMDAVKMTVTPPPTRRRHRRGSSSRRA
+RRPVAWAPDTDDDDDEKPPHQHTAACSHNWDYPEDWEGLQTAQRFWTPWAQDTLEPPTQT
+IRFQQTIEGRPLKREMQSDLGLEAYVYPVNPPLPSPQILSHKNSGGGAGAGAQAEQEQCA
+PAEPPILGPANVPDIPRRRSSGRVTYDARDVRRRLRELTREVEALSHCYPLASGSSTAEG
+TRKDWVYRSMTER
+>sp|Q3T127|U2AF4_BOVIN Splicing factor U2AF 26 kDa subunit OS=Bos taurus OX=9913 GN=U2AF1L4 PE=2 SV=1
+MAEYLASIFGTEKDKVNCSFYFKIGACRHGDRCSRLHNKPTFSQTIVLLNLYRNPQNTAQ
+TADGSHCHVSDVEVQEHYDNFFEEVFTELQEKYGEIEEMNVCDNLGDHLVGNVYVKFRRE
+EDAERAVVELNNRWFNGQAVHAELSPVTDFRESCCRQYEMGECTRGGFCNFMHLRPISRD
+LRRQLYGRGPRRRSPPRSHTGHRPRERNRRRSPDHRHGRF
+>sp|Q32KS7|ZN821_BOVIN Zinc finger protein 821 OS=Bos taurus OX=9913 GN=ZNF821 PE=2 SV=1
+MSRRKQTNPNKVHWDQVFAGLEEQARQAMMKTDFPGDLGSQRQAIQQLRDQDSSSSDSEG
+DEEETTQDEVSSHTSEEDGGVVKVEKELENAEQPVGGKKVVEHEVTENLHSDPLLGLCQC
+PLCQLDCGSREQLIAHVYQHTAAVVSAKSYMCPVCGRALSSPGSLGRHLLIHSEDQRSNC
+AVCGARFTSHATFNSEKLPEVLNVESLPPAHSEGPSSAEGKDIAFTPPVYPAGILLVCNN
+CAAYRKLLEAQTPSVRKWALRRQNEPLEVRLQRLERERTAKKSRRDNETPEEREVRRMRD
+REAKRLQRMQETDEQRARRLQRDREAMRLKRANETPEKRQARLIREREAKRLKRRLEKMD
+MMLRAQFGQDPSAMAALAAEMNFFQLPVSGVELDSQLLGKMAFEEQNSSSLH
+>sp|Q9XS49|VEGFB_BOVIN Vascular endothelial growth factor B OS=Bos taurus OX=9913 GN=VEGFB PE=2 SV=2
+MSPLLRRLLLAVLLQLAPAQAPVSQPDAPGHQKKVVSWIDVYARATCQPREVVVPLNMEL
+MGTVAKQLVPSCVTVQRCGGCCPDDGLECVPTGQHQVRMQILMIQYPSSQLGEMSLEEHS
+QCECRPKKRESAVKPDRASTPHHRPQPRSVPGWDPAPGAPSPADITHPTPAPGPSAHAAP
+SAASALTPGPATAAADAAASSVVKGGA
+>sp|Q3SYV5|TSN33_BOVIN Tetraspanin-33 OS=Bos taurus OX=9913 GN=TSPAN33 PE=1 SV=1
+MARRPGAPAAYGEDFSFVSPLVKYLLFFFNMLFWVISMVMVAVGVYARLMKHEEAALACL
+AVDPAILLIVVGILMFLLTFCGCIGSLRENICLLQTFSLCLTVVFLLQLAAGVLGFVFSD
+KVRGKVSEIINNAIVHYRDDLDLQNLIDFGQKEFSCCGGISYKDWSLNMYFNCSEDNPSR
+ERCSVPYSCCLPTPNQAVINTMCGQGMQALDYLEASKVIYTNGCIDRLVNWIHSNLFVLG
+GVALGLAIPQLVGIMLSMILVSQIKDQIKLQLYNQQHRADPWY
+>sp|Q5E9U6|WNT16_BOVIN Protein Wnt-16 OS=Bos taurus OX=9913 GN=WNT16 PE=2 SV=1
+MDRAALLGLSRLCALWAAVLALFPCGAQGNWMWLGIASFGVPEKLGCANLPLNSRQKELC
+KRKPYLLPSIREGARLGIQECRSQFRHERWNCLVAAASAPGTSPLFGYELSSGTKETAFI
+YAVMAAGLVHSVTRSCSAGNMTECSCDTTLQNGGSSSEGWHWGGCSDDVQYGMWFSRKFL
+DFPIKNTTAKESKVLLAMNLHNNEAGRQAVAKLMSLDCRCHGVSGSCAVKTCWKTMSSFE
+KIGHLLKDKYENSVQISDKIKRKMHRREKDQRKIPIRKDDLLYVNKSPNYCVEDKKLGIP
+GTQGRECNRTSEGADGCNLLCCGRGYNTHVVRHVERCECKFIWCCYVRCRRCESMTDVHT
+CK
+>sp|P98167|SSPO_BOVIN SCO-spondin OS=Bos taurus OX=9913 GN=SSPO PE=2 SV=2
+MLLPALLFGAAWALANGRWCEQTETVLVEEEVTPHQEDLVPCASLQHYQRRGWRLDLTWS
+GRAGLCAIYKPPETRPAAWNRTVRACCPGWGGTHCTLALAEASPEGHCFATWLCNLGAGS
+VNASAGSLEECCAQPWGHSWRDGRSQTCHSCSNHSRLGSTPSPAILQPLAGAVAQLWSQR
+QRPSATCATWSGFHYRTFDGRHFHFLGRCTYLLAGAADATWAVHLQPMGHCPQPGHCQLA
+RVMMGPEEVLIRGENVTVNGRLVPEGASQLLPGLSLQWQGDWLVLSGGLGVVVRLDRSSS
+VSISVDQELQGQTQGLCGVYNGQPEDDFLEPGRGLAALAATFGNSWRLPDSELGCLDAVE
+AAQGCEDPLRGTETGTEAGQLRAEAQDVCHQLLEGPFRECHTQVPPAEYHEACLFAYCAG
+APAGSGRAERLEAVCATLASYAQDCAARRIAVRWRKPGFCERLCPGGQLYSDCASACPPS
+CSAVGEGSEWSCGEECVSGCECPPGLFWDGALCVPAARCPCYRRRRRYEPGDTVRQLCNP
+CECRDGRWLCAQAPCAAECAVGGDGHYVTFDGRSFSFRGRAGCRFILVQDFAKRQLLIVL
+EHGDCDAGSCLHAISVSLGDTLVQLRDSGVVLVDGQDVALPWSAAGGLSVSRASSSFLLL
+RWPGARILWGVSDPAAYITLDPHHAHQVQGLCGTFTRNQQDDFLTPAGDVETSITAFASK
+FQVAGGGTCSLEACTPLSPCSTHTERQVFAEVACAILHGPTFQECHGLVDREAFHLRCLA
+AVCGCTPGRDCLCPVLAAYARRCAQEGALPSWRNQTFCPVLCPGGQEYQECAPACDRNCG
+EPEDCGELDNCVAGCNCPLGLLWDPEGQCVPPNLCPCQLGAHRYAPGSATMKDCNHCVCQ
+ERGLWNCTAHHCAPPRTFCPRELVYVPGACLLTCDSLDADRTCPPGSPGGCVCPPGTVLL
+EERCVPPELCPCRHGGQWYLPNAAIQEDCNLCVCQGQQWHCTGQRCDGRCRASGAPHYVT
+FDGLALTFPGACEYLLVREASGQFMVSAQNLPCGASGLTCTKALTVRLQGTVVHMLRGRA
+VMVNGVSVTPPKVYSGPGLSLHTAGLFLLLSTRLGLTLLWDGGTRVPVQLSPQLRGRVAG
+LCGDFDGDASNDLRSRQGVLEPTAELAAHSWRLGPLCPEPGDLPHPCAVNAHRAGWARAR
+CGVVLQPLFARCHVEVPPQQHYEQCVYDACGCDSGGDCECLCSAIATYADECARHGIHVR
+WRSQELCPLQCERGQVYEACGPTCPATCHDHRPEPGWPCRAVACVEGCFCPEGTLLHGGV
+CLEPAACPCEWGGSFFPPGTVLQKDCGNNCTCRESQWLCGDDGGRCVEPGPGCAEGETPC
+RESGHCVPHGWLCDNQDDCGDGSDEEGCATRVCGEGQVSCCSGRCLPLVLLCDGQDDCGD
+GMDEQGCPCPQDSLTCADGHCLPPARLCDGHPDCPDGADEESCLGQVDCAPGEVSCVDGT
+CLGAIQLCDGVWDCLDGGDEGPGHCPLPSLPTPPAGTLPGPSAVSWKLHLPPWPVSALRL
+PCGPLDFACGSGECAPRGWRCDGEEDCADGSDESGCDRPCAPHHAPCARGSHCVAAEQLC
+DGVPHCPDGSDEDPGACERLQAPGGPNRTGLPCPEYSCPDGLCIGFQQVCDGQPDCELAG
+TAGPSPEEQGCGAWGPWSPWELCSRTCGPGVQGWSRRCSPPSLPVLWHCPGPERQTRACF
+AAACPEDGVWTSWSRWSPCSEPCGGVTARHRECHPPQNGGRTCATLPGGPPSTRETRPCP
+QDGCPNVTCSGELVFHACVPCPLTCDDISGQATCPPDRPCGGPGCWCPAGQVLGAQGRCV
+WPRQCPCLVDGSRYWPGQRVKTDCQLCVCQDGRPRRCQPSLDCAVNCGWSAWSPWAECLG
+PCGSRSVQWSFRSPNNPRPAGRGHQCRGLHRKARRCQTEPCEGCEQDGRVHRVGERWRAG
+PCRVCQCLHDGSARCSPYCPLGSCPQDWVLVEGVGESCCHCVPPGENQTVHPMATPVPAP
+TPSPQIGAPLITYLLPPPGDPCYSPLGLARLPEGSLPASSQQLEHPAWAAILRPAPGAPG
+WSPVEHADTQGHTPPPYLQLDLLQPRNLTGIIVQGAGSSDWLQVSSDGLHWHSYRDIQHG
+TQPAPQLFPKNWNGPSTVWMFARMVQARHVRVWPSDGHHQAAPSSDANLDGPLRVELLGC
+EPAPLCLGVGHRCVSGECAPRGAPCDGVEDCKDGSDEEGCVTPPAGAGRIESTAWSSAPS
+SAQPGQLPPQPSEGLAEAEADHWHPGRGSPVPPTGKGPASLGSEPHPSPGGSVQTVTPTS
+QPEAQALRPEMAAVTVLPPHPMVTPEVPAGRSTTPGPFPHVQCSPGQVPCEVLGCVELEQ
+LCDGREDCLDGSDERPCAWAAGTVPFTVPTTTLPGLPASRDLCSPSQLTCGSGECLPVER
+RCDLQLDCQDGSDENGCVDCGLAPWSGWSSCSRSCGLGLAFQRRELLRPPLPGGSCPPDR
+LRSQPCFVQACPVAGAWAEWEAWGPCSVSCGGGHRSRRRSCMDPPPKNGGAPCPGPPQER
+APCGLQPCAGGTDCGQGRVHVSAELCRKGLVPPCPPSCLDPEANRSCSGLCLEGCRCPPG
+LLLQDAGCLPLSECPCLVGEELQQPGVPFLLDNCSRCVCEKGALLCEPGGCPVPCGWSAW
+SSWGPCDRSCGSGLRARFRSPSNPPAASGGAPCEGQRQELQACYSACGAEVPGWTPWAPW
+SACSQSCLVPGGGPALRSRSRLCPGPGDTSCIGEATEEEPCSPPVCLGLGVWGQWAAWSA
+CSAPCNGGVQTRGRRCSASAPGDPGCQGPHSQTRDCNTQPCTAQCPGDMVFRSAEQCRWE
+GGPCPGLCLARGPGVECTGVCTAGCACPTGLFLHNSSCLPPSQCPCQLRGQLYAPGAVAR
+LDSCSNCTCISGEMVCASEPCPVACGWSPWTPWSLCSRSCNVGVRRRFRAGTAPPAAFGG
+AACQGPNMEAEFCSLRPCGGPAGEWGPWSPCSVPCGGGYRNRTRGSSGPSPVDFSTCGLQ
+PCAGPAPGVCPPGKRWLDCAQGPASCAELSAPRGADQPCHPGCYCPSGMLLLNNACVPTQ
+DCPCTHGGRLHPPGSAVLRPCENCSCVSGLITNCTSWPCKEGQPTWSPWTPWSECSASCG
+PARRHKHRFCTRPPGGAPSSMAPPLLLSSVPPLCPGPEAEEEPCLLPECDRAGGWGPWGP
+WSSCSRSCGGGLRSRSRACDQPPPQGLGDYCEGPRAQGAACQALPCPVTNCTAIEGAEYS
+ACGPPCPRSCDDLVHCVWHCQPGCYCPPGQVLSADGTVHVQPGHCSCLDLLTGERHRPGA
+QLAKPDGCNYCTCSEGQLTCTDLPCPVPGAWCPWSEWTACSQPCQGQTRTRSRACSCPAP
+QHGGAPCPGEAGEAGAQHQRETCASTPECPVDGAWSPWGPWSPCEVCLGRSHRSRECSWP
+PTSEGGRPCPGGHRQSRPCQGNSTQCTDCAGGQDLLPCGQPCPRSCEDLSPGVECQPDSM
+GCQQPRCGCPPGQLSQDGLCVTPSQCRCQYQPGAMGIPENQSRSAGSGLSSWESLEPGEV
+VTGPCDNCTCVAGILQCQEVPACSGLGLWGSWGPWEDCSVSCGGGEQLRFRRCPRPPCPG
+PARQSRTCRTQVCREAGCPAGRLYRECQPSEGCPFSCAHVTGQVGCFSAGCEEGCHCPEG
+TFLHRSACVQECPCVLTALWLQGLGAAGADPGAHLSVLGENGQPLGPGDELGSGQSLRTG
+CHNCSCAHGKLSCSVEACSKAAGGFSPWGPWGPCSRSCGGLGTRTRSRQCVRPMPAPGGQ
+GCHGPHWDLEYCPSPECPGAAGSTAEPATGLPGGWGLWSPWSPCSGTCTDPAHPAWRSRS
+RLCLANCTGGAASQERPCNLPSCTELPLCPGPGCEAGNCSWTAWAPWEPCSRSCGVGQQR
+RLRAYHPPGPGGHWCPDVLTAYQERRFCNLRACPVPGGWSRWSPWSWCDRSCGGGRSLRS
+RSCSSPPPKNGGAPCVGERHHARLCNPTPCEEGCPAGMEVVSCANRCPRRCSDLQEGIVC
+QEDQACQQGCRCPEGSLEQDGGCVPLGHCECTDAQGHSWAPGSQHQEACNNCTCRAGQLS
+CTAQPCPPPAHCAWSRWSAWSPCSRSCGPAGQQSRFRSSTSGSWAPECREEQSQSQPCPQ
+SPCPPLCLQGTRPRSLGDSWLQDGCQQCSCTPEGIICEDAECAGLGAWTPWSPWSDCPVS
+CGGGNQVRTRVCVASAPPRGGSPCLGPDVQSQRCGLWPCPALPDTCSWGPWGPCSRSCGP
+GLASRSASCPCLLAEAEPACNSTSPRLDTQACYAGPCLEECVWSSWSSWTRCSCEVLVQQ
+RYRHQRPAPGGAGAGPPCTRLDGHFRPCLTGNCSEDSCAPPFEFQACGSPCTGLCATYLS
+PWLCQDLPPCQPGCYCPEGLLEQAGGCVPPEQCNCQHVSGEGAGVTLAPGDRLQLGCKEC
+ECQRGELQCTSQGCQGLLPLSGWSEWSPCGPCLPLGLLAPASRAALEERWPQDTAGLSPT
+SAPTLASEQHRHRLCLDPETGRPWAGDPDLCTVPLSQQRLCPDPGACQDLCQWGPWGAWS
+PCQVPCSGGFRLRWREAGIPPGGGCRGPWAQTESCNMGPCPGESCEAQDTVPTPDCANQC
+PRSCVDLWDRVECLQGPCRPGCRCPPGQLVQDGHCVPVSSCRCGLPSPNASWALAPAEVV
+RLDCRNCTCVNGSLACSSHECPTLGPWSAWSNCSAPCGGGTTKRHRSCKEGPGVTPCQAQ
+DMEQQQDCNLQPCPECPPGQVLSACAVSCPRLCSHLQPGTPCMQEPCQLGCDCPRGQLLH
+NGTCVPPAECPCTQLSLPWGLTLTLEEQHRELPPGTLLTQNCTHCICQGGAFSCSLTDCQ
+ECPPGETWQQVAPGELGPCEQTCREPNATETQGNCSGRQAPGCVCQRGHFRSQEGPCVPV
+DLCECWHHGRPHPPGSEWQKACESCRCVSGESICTQHCPPLTCAQGETAVQEPGGCCPTC
+RQEAPEEQPVSCRHLTELRNLTKGACYLEQVEVNYCSGHCPSSTNVLPEEPYLQSQCDCC
+SYRLDPENPVRILNLRCPGGRTELVVLPVIHSCQCSACQGGDFSER
+>sp|Q3T090|TSR2_BOVIN Pre-rRNA-processing protein TSR2 homolog OS=Bos taurus OX=9913 GN=TSR2 PE=2 SV=1
+MADAAEDSRALFGAAVRAALEAWPALQIAVENGFGGVYSQEKAEWLGGAVEEYFFRNADL
+ELDEVEDFLGELMMNEFDTVVEDGSLPQVSQQLQTMFHHFQKGDRAALKEMASLITQRKC
+KVRATALPTAGETDEDDDANSVEEMEVAATNDGAATDGVCPQPEPSGPDSQTIKEEDIVE
+DGWTIVRRKK
+>sp|A7MBG0|PURA2_BOVIN Adenylosuccinate synthetase isozyme 2 OS=Bos taurus OX=9913 GN=ADSS PE=2 SV=2
+MAFAETNPATSSLPNGDCGRPRTRPGGNRVTVVLGAQWGDEGKGKVVDLLAQDADIVCRC
+QGGNNAGHTVVVDSVEYDFHLLPSGIINPNVTAFIGNGVVIHLPGLFEEAEKNVQKGKGL
+EGWEKRLIISDRAHIVFDFHQAADGIQEQQRQEQAGKNLGTTKKGIGPVYSSKAARSGLR
+MCDLVSDFGGFSERFKVLANQYKSIYPTLEIDIEGELQKLKGYMERIKPMVRDGVYFLYE
+ALHGPPKKILVEGANAALLDIDFGTYPFVTSSNCTVGGVCTGLGMPPQNVGEVYGVVKAY
+TTRVGIGAFPTEQDNEIGELLQTRGREFGVTTGRKRRCGWLDLVLLKYAHMINGFTALAL
+TKLDILDMFTEIKVGVAYKLDGEIIPHFPANQEVLNKVEVQYKTLPGWNTDISNARTFKE
+LPINAQNYVRFIEDELQIPVKWIGVGKSRESMIQLF
+>sp|A6QP84|SOAT_BOVIN Solute carrier family 10 member 6 OS=Bos taurus OX=9913 GN=SLC10A6 PE=2 SV=2
+MRANCSSGLACPANSSEEELPEGLKAFGNLDLVFTVVSALMIGLLMFSLGCSVEVQKLWG
+HIRRPWGIAVGMLCQFGLMPLIAYLLIISFSLKPLQAIAVLIMGCCPGGTVSNIFTFWVD
+GDMDLSISMTTCSTMAALGMMPLCLYLYTLSWNLEQNLTIPYQNIGITLVCLIIPVAFGI
+YVNYRWPKQSKIILKIGAIAGGLLFLVVTGAGMVLMKEFWSSDIILLMISFIFPLIGHAT
+GFLLALLTHQSWQRCRTISLETGTQNVQMCFTMLQLSFTAEQLVQIFGFVLAYGLFQMLN
+GFFMVAAYKMYKRRLKNKHGNEKPSCQEARHRKKSTSPKETTAFLEVNEEATLSPGPSGP
+VDPHGAPTPTGDIARAK
+>sp|P51143|SC6A2_BOVIN Sodium-dependent noradrenaline transporter OS=Bos taurus OX=9913 GN=SLC6A2 PE=2 SV=1
+MLLARMNPQVQPENGGAGPGSEQPPRKRKEVLVVKERNGVQCLLASRDGDEQPRETWGKK
+IDFLLSVVGFAVDLANVWRFPYLCYKNGGGAFLIPYTLFLIIAGMPLFYMELALGQYNRE
+GAATVWKICPFFKGVGYAVILIALYVGFYYNVIIAWSLYYLFSSFTPTLPWTDCGHAWNS
+PNCTDPKLLNSSVLGNHTKYSKYKFTPAAEFYERGVLHLHESSGIHDIGLPQWQLLLCLI
+IVVIVLFFSLWKGVKTSGKVVWITATLPYLVLFVLLVHGITLPGASNGINAYLHIDFYRL
+KEATVWIDAATQIFFSLGAGFGVLIAFASYNKFDNNCYRDALLTSTINCVTSFISGFAIF
+SILGYMAHEHKVNIEDVATEGAGLVFILYPEAISTLSGSTFWAIVFFIMLLALGIDSSMG
+GMEAVITGLADDFQVLKRHRKLFTFAVSFGTFLLALFCITKGGIYVLTLLDTFAAGTSIL
+FAVLMEAIGVSWFYGVDRFSNDIQQMMGFKPGLYWRLCWKFVSPAFLLFVVIVSIINFKP
+LTYDDYIFPLWANWVGWGIAGSSMVLVPAYIVYKFFSTRGSIRERLAYGITPASEHHLVA
+QRDIRQFQLQHWLAI
+>sp|Q58DU7|SH3L1_BOVIN SH3 domain-binding glutamic acid-rich-like protein OS=Bos taurus OX=9913 GN=SH3BGRL PE=3 SV=1
+MVIRVYIASSSGSMAIKKKQQDVLGFLEANKIGFEEKDIAANEENRKWMRENVPENSRPA
+TGYPLPPQIFNESQYRGDYDAFFEARENNAVYAFLGLTAPPGSKEAEAQAKQQA
+>sp|Q8MII5|S35B1_BOVIN Solute carrier family 35 member B1 OS=Bos taurus OX=9913 GN=SLC35B1 PE=2 SV=2
+MAASSSLVPDRLRLPLCFLGVFVCYFYYGILQEKITRGKYGEGAKQETFTFALTLVFIQC
+VVNAVFAKILIQFFDTARVDRTRSWLYAACSVSYLGAMVSSNSALQFVNYPTQVLGKSCK
+PIPVMLLGVTLLKKKYPMAKYLCVLLIVAGVALFMYKPKKVVGIEEHTIGYGELLLLLSL
+TLDGLTGVSQDHMRAHYQTGSNHMMLNINLWSTLLLGAGILFTGELWEFLSFAERYPTIV
+YNILLFGLTSALGQSFIFMTVVYFGPLTCSIITTTRKFFTILASVILFANPISPMQWVGT
+VLVFLGLGLDAKFGKGAKKTSH
+>sp|Q32LP0|URP2_BOVIN Fermitin family homolog 3 OS=Bos taurus OX=9913 GN=FERMT3 PE=2 SV=1
+MAGMKTATGDYIDSSWELRVFIGEEDPEAESLTLRVTGESHIGGVLLKIVEEIKRKQDWS
+DHAIWWEQKRQWLLQTHWTLDKYGILADARLFFGPQHRPVILRLPNRRALRLRASFSQPL
+FQAMVAICRLLSIRHPEEMSLLRAPEKEKKKKKEKEPEEEVYDLTKVVLVGGVAPASFRG
+MPAHFSDSAQTEACYHMLSRPQPPPDPLLLQRLPRPSSLLDKTQLHSRWLDSSRCLMQQG
+IKAGDTLWLRFKYYSFFDLDPKTDPVRLTQLYEQARWDLLLEEIDCTEEEMMVFAALQYH
+INKLSQSGEVDEPAGTDSGLDDLDLALSNLEVKLEGSAPTDMLDSLTTIPELKDHLRIFR
+PRKLTLKGYRQHWVVFKETTLSYYKSQDEAPGEPIQQLNLKGCEVVPDVNVSGQKFCIKL
+LVPSPEGMSEIYLRCQDEQQYARWMAGCRLASKGRTMADSSYSSEVQAILAFLSLQRTGG
+GGGGSGNHPQGPDASAEGLNPYGLVAPRFQRKFKAKQLTPRILEAHQNVAQLSLSEAQLR
+FIQAWQSLPDFGISYVVVRFKGSRKDEILGIANNRLIRIDLSVGDVVKTWRFSNMRQWNV
+NWDIRQVAIEFDEHINVAFSCVSASCRIVHEYIGGYIFLSTRERARGEELDEDLFLQLTG
+GHEAF
+>sp|Q2TA49|VASP_BOVIN Vasodilator-stimulated phosphoprotein OS=Bos taurus OX=9913 GN=VASP PE=2 SV=3
+MSETVVCTSRATVMLYDDSNKRWLPAGTGPQAFSRVQIYHNPTANSFRVVGRKMQPDQQV
+VINCAIVRGVKYNQATPNFHQWRDARQVWGLNFGSKEDATQFANGMASALEALEGGGPLP
+PPPPTAPPTWSAQNGPSPEEMEQQKRQQQSELMERERRASNAGGPPAASAGAPPPPPGPP
+PPPGPPPPPGLSSSGVSAATQGAGGGPPPAPPLPTAQGPSGGGTGAPSLASAIAGAKLRK
+VSKQEEASAGPVAPKAESSRSTGGGLMEEMNAMLARRRKATQVGEKPAKDESANQEESDA
+RVPAHSESVRRPWEKNSTTLPRMKSSSSVTTSEAHPATPSSSDESDLERVKQELLEEVRK
+ELQKVKEEIIEAFVQELRKRGAP
+>sp|A0JN92|URGCP_BOVIN Up-regulator of cell proliferation OS=Bos taurus OX=9913 GN=URGCP PE=2 SV=2
+MASSGHSDLGEVTSEIKASERRTAVAIADLEWREMEGDDCEFHYGEGPNEAQDNDFPIEE
+RSRLQEMLFLLGLETYQTQKLSLQDALQISSDSMKNWAPQTPKDLPWNFLRKLQALNAEA
+RNTTMVLDLPLDTRPVEKESQMEEEIIYWDTAEDISADIYSFSELPTPDTPVNPLDLLCA
+LLLSSDSFLQQEIVSKMSLCQFALPLILPDPENHYHTFLLWAMRGTVRTWGSQPPRVMGS
+FREDSMVLSRAPAFAFVRMEVSSNSKSQLLNDVLSPGHRQQDCFWHRDLNLGTNPREIAD
+GLVEISWFLPSGREDLDIFPEPMAFLNLRGDIGSHWLQFKLLTEISSAIFILTDNISKKE
+YKLLSSMKGSATKYYFILSPYRGKRNTNLRFLNRLIPVLKMDHSHVLVKVSSTDSVGFVR
+RVRAIITHVTRSPCRRVSVEDMANAARKLGLKVDEDCEECQRAKDRMEQITRKIKDLDAY
+RRDELRLQGETWRKVAQVEKELCQIQWASDPPEKYRAELRHRLLELRMQQNDHDPSWGVQ
+EFISGISSPSLGEKQYFLKWMEWGLARVAQPRPRPSPEMIFTLRPKHCGAVDFSEPFWPE
+PLGVEHFLREMGQFYEAESCLVEAGKLPAGQRRFAHFPGLALELLLKGLPLELIDGNTLS
+PALRWVTGLLKELHVRLERRSRLVVLSALGVPGTGKSTLLNTMFGLRFVTGRGRGPRGAF
+MQLIKVAESFSQDLGCDHILVIDSGGLIAGVRTEAGERFEREASLATLIMGLSNVTVVSL
+AETRNIPPAILHAFLRLEKTGHMPNYQFVHQNLHDVSALGSKPRDRRQLLDQPSDVGRAT
+VQMEKQGDGIQTLADLAFWDPEKQHIWHIPGLWHGVPPMAAVNLAYSEAIFELKRCLLEN
+IRNGLSNQNKNIQQLIELVRRL
+>sp|A6QQJ8|ZC12A_BOVIN Ribonuclease ZC3H12A OS=Bos taurus OX=9913 GN=ZC3H12A PE=2 SV=1
+MSLWELEDRRSCQGTPRPAQEPTAEEATTAELQMKVDFFRKLGYSSAEIHSVLQKLGIQA
+DTNTVLGELVKHGSAAERERQASPDPCPQLPLVPRGGGTPKAPTVETYPPEEDKEGSDLR
+PIVIDGSNVAMSHGNKDVFSCRGILLAVNWFLERGHTDITVFVPSWRKEQPRPDVPITDQ
+HILRDLEKKKILVFTPSRRVGGKRVVCYDDRFIVKLAFESDGIVVSNDTYRDLQGERQEW
+KRFIEERLLMYSFVNDKFMPPDDPLGRHGPSLDNFLRKKPLTSEHKKQPCPYGRKCTYGI
+KCRFLHPERPSRPQRSVADELRANALLPPSRAASKDKNSRRPSPSSQPGSLPTEHEQCSP
+DRKKLGAQASPGTPREGLMQTFAPTGRSLPPSGSSGGSFGPSEWFPQTLDSLPYASQDCL
+DSGIGSLESQMSELWGVRGGGPGEPGPPRGPYAGYCTYGAELPATPAFSAFSRALGAGHF
+SVPADYAPPPAAFPPREYWSEPYQLPPPTQRLQEPQAPGPGADRGPWGGAGRLAKERASV
+YTKLCGVFPPHLVEAVMSRFPQLLDPQQLAAEILSYKSQHLSE
+>sp|Q32KN7|ZC21B_BOVIN Zinc finger C2HC domain-containing protein 1B OS=Bos taurus OX=9913 GN=ZC2HC1B PE=2 SV=1
+MAGAQPFLADGNQELFPCEVCGRRFAADVLERHGPICRKLFNKKRKPFNSLKQRLRGTDI
+PTVGKAPQSKPQPVRKSNWRQHHEDFINAIQSAKQCTLAIKEGRPLPPPPPPTVNPDYIQ
+CPYCKRRFNETAASRHINFCKDQESRRVFDPAQTAARLASRAQGRAQMSPKKELTVTSAV
+GALLQNRALEASAAPTRPAVDPASGAKLRQGFAKSSKKD
+>sp|Q2KHY7|TTC23_BOVIN Tetratricopeptide repeat protein 23 OS=Bos taurus OX=9913 GN=TTC23 PE=2 SV=1
+MQESQETHISNHLDEIVAAVSITPRKKLLNQLLQRALFQPPREKLRLSEEKAKSYASSHE
+YKKALHELVHCMALTRICYGDSHWKLAEAHVNLAKGYLQLKGMSLRAKQHAEKAKEILTS
+SVAPPYSDNTDVFKCSVELFHTLGQALLSLQKFKEASKNLTKAEILSKEMLQCGKIIKEE
+WMEIQARIKLSFAQVYQGQKKSKEALPHYQEALEYTEISRGEKSLECVPILRELAAAEQA
+LGFHDASINNLIQAHLIVLRGSPSREEAATSAHSVACAAIASGRPEHHDVAEQYFQDSMA
+NLKDAEGKETAKFLSIQDDYCHFLQVTGQDEKATSIFRESLEAKVAVFGDFSPEVAETYR
+LLGVADLAQGNQTGAHKKLKKCLQIQTLLYGPQDKRTLATQQTMDILSKAPEVPARPRPS
+PGAKAAFCAGGRPYSVPGRTRPSAAD
+>sp|Q29RH7|TSN12_BOVIN Tetraspanin-12 OS=Bos taurus OX=9913 GN=TSPAN12 PE=2 SV=1
+MAREDSVKCLRCLLYALNLLFWLMSISVLAVSAWMRDYLNNVLTLTAETRVEEAVILTYF
+PVVHPVMIAVCCFLIIVGMLGYCGTVKRNLLLLAWYFGSLLVIFCVELACGVWTYEQEIM
+VPVQWSDMVTLKARMTNYGLPRYRWLTHAWNFFQREFKCCGVVYFTDWLEMTEMDWPPDS
+CCVREFPGCSKQAHQEDLSDLYQEGCGKKMYSFLRGTKQLQVLRFLGISIGVTQILAMIL
+TITLLWALYYDRREPGTDQMMALKNDTTQHLPCHSVELLKPSLSRIFEHTSMANSFNTHF
+EMEEL
+>sp|P80457|XDH_BOVIN Xanthine dehydrogenase/oxidase OS=Bos taurus OX=9913 GN=XDH PE=1 SV=4
+MTADELVFFVNGKKVVEKNADPETTLLAYLRRKLGLRGTKLGCGEGGCGACTVMLSKYDR
+LQDKIIHFSANACLAPICTLHHVAVTTVEGIGSTKTRLHPVQERIAKSHGSQCGFCTPGI
+VMSMYTLLRNQPEPTVEEIEDAFQGNLCRCTGYRPILQGFRTFAKNGGCCGGNGNNPNCC
+MNQKKDHTVTLSPSLFNPEEFMPLDPTQEPIFPPELLRLKDVPPKQLRFEGERVTWIQAS
+TLKELLDLKAQHPEAKLVVGNTEIGIEMKFKNQLFPMIICPAWIPELNAVEHGPEGISFG
+AACALSSVEKTLLEAVAKLPTQKTEVFRGVLEQLRWFAGKQVKSVASLGGNIITASPISD
+LNPVFMASGTKLTIVSRGTRRTVPMDHTFFPSYRKTLLGPEEILLSIEIPYSREDEFFSA
+FKQASRREDDIAKVTCGMRVLFQPGSMQVKELALCYGGMADRTISALKTTQKQLSKFWNE
+KLLQDVCAGLAEELSLSPDAPGGMIEFRRTLTLSFFFKFYLTVLKKLGKDSKDKCGKLDP
+TYTSATLLFQKDPPANIQLFQEVPNGQSKEDTVGRPLPHLAAAMQASGEAVYCDDIPRYE
+NELFLRLVTSTRAHAKIKSIDVSEAQKVPGFVCFLSADDIPGSNETGLFNDETVFAKDTV
+TCVGHIIGAVVADTPEHAERAAHVVKVTYEDLPAIITIEDAIKNNSFYGSELKIEKGDLK
+KGFSEADNVVSGELYIGGQDHFYLETHCTIAIPKGEEGEMELFVSTQNAMKTQSFVAKML
+GVPVNRILVRVKRMGGGFGGKETRSTLVSVAVALAAYKTGHPVRCMLDRNEDMLITGGRH
+PFLARYKVGFMKTGTIVALEVDHYSNAGNSRDLSHSIMERALFHMDNCYKIPNIRGTGRL
+CKTNLSSNTAFRGFGGPQALFIAENWMSEVAVTCGLPAEEVRWKNMYKEGDLTHFNQRLE
+GFSVPRCWDECLKSSQYYARKSEVDKFNKENCWKKRGLCIIPTKFGISFTVPFLNQAGAL
+IHVYTDGSVLVSHGGTEMGQGLHTKMVQVASKALKIPISKIYISETSTNTVPNSSPTAAS
+VSTDIYGQAVYEACQTILKRLEPFKKKNPDGSWEDWVMAAYQDRVSLSTTGFYRTPNLGY
+SFETNSGNAFHYFTYGVACSEVEIDCLTGDHKNLRTDIVMDVGSSLNPAIDIGQVEGAFV
+QGLGLFTLEELHYSPEGSLHTRGPSTYKIPAFGSIPTEFRVSLLRDCPNKKAIYASKAVG
+EPPLFLGASVFFAIKDAIRAARAQHTNNNTKELFRLDSPATPEKIRNACVDKFTTLCVTG
+APGNCKPWSLRV
+>sp|Q3SZP7|VILI_BOVIN Villin-1 OS=Bos taurus OX=9913 GN=VIL1 PE=2 SV=3
+MTKLSAQVKGSLNITTPGVQIWRIEAMQMVPVPSNSFGSFFDGDCYVIQAIHKTGSNLSY
+DIHYWIGQASSQDEQGAAAIYTTQMDDFLKGRAVQHREVQGNESDTFRGYFKKGIVIRKG
+GVASGMKQVETNSYDIQRLLHVKGKRNVVAGEVEMSWKSFNRGDVFLLDLGKLIIQWNGP
+ESNHMERLRGMNLAKEIRDQERGGRTYVGVVDGEDEKASPQLMEIMNHVLGQRKELKAAV
+ADTVVEPALKAALKLYHVSDSEGKVVVREIATQPLTQDLLSHEDCYILDQGGLKIYVWKG
+KNANAQEKKEAMNQALNFIKAKQYPPSTQVELQNDGAESAVFQQLFQKWTVPNRTTGLGK
+THTVGSVAKVEQVKFDAMSMHVQPQVAAQQKMVDDGSGEVQMWRIENLELVPVNTKWLGH
+FFGGDCYLLLYTYFINEKPHYLLYIWQGSQASQDEITASAYQAVILDQEYNNEPVQIRVP
+MGKEPPHLMSIFKGCMVVYQGGTSRANSVEPVPSTRLFQVRGTSANNTKAFEVSPRAASL
+NSNDVFILKTQSCCYLWCGKGCSGDEREMAKMVADTVSRTEKQVVVEGQEPANFWLALGG
+KAPYASTKRLQEENLVITPRLFECSNQTGRFLATEIPDFNQDDLEEDDVFLLDVWDQVFF
+WIGKNANEDEKKAAATTVQEYLKTHPGGRDLETPIIVVKQGHEPPTFTGWFLAWDPFKWN
+NSKSYEDLKAELGNSGDWSQITAELTSSKPEAFNANSNLSSGPLPIFPLEQLVNKPTEEL
+PEGVDPSRREEHLSIEDFTRALGMTPSAFWALPRWKQQNLKKEKGLF
+>sp|P53781|TTP_BOVIN mRNA decay activator protein ZFP36 OS=Bos taurus OX=9913 GN=ZFP36 PE=2 SV=1
+MDLAAIYKSLLSLSPELPSDLGETESSTSWASSGPWSLSSSDSSLPEVAARLPGRSTSLV
+EGRSCGWVPPPPGFAPLAPRPSSDWSPSPTSPTATPTTSSRYKTELCRTFSESGRCRYGA
+KCQFAHGLGELRQASRHPKYKTELCHKFYLQGRCPYGSRCHFIHNPSEDLAAPGHPHVLR
+QSISFSGLPSGRRTSPPPASLAGPSVSSWSFSPSSSPPPPPGDLLLSPSAFSAAPGHLCR
+RDPTPACCPSCRRATPNSVWGPVGGLARSPSAHSLGSDPDEYASSGTSLGGSDSPVFEAG
+VFGPPQPPAAPRRLPIFNRISVSE
+>sp|Q32P99|UBE2B_BOVIN Ubiquitin-conjugating enzyme E2 B OS=Bos taurus OX=9913 GN=UBE2B PE=2 SV=1
+MSTPARRRLMRDFKRLQEDPPVGVSGAPSENNIMQWNAVIFGPEGTPFEDGTFKLVIEFS
+EEYPNKPPTVRFLSKMFHPNVYADGSICLDILQNRWSPTYDVSSILTSIQSLLDEPNPNS
+PANSQAAQLYQENKREYEKRVSAIVEQSWNDS
+>sp|Q1RML1|UBE2S_BOVIN Ubiquitin-conjugating enzyme E2 S OS=Bos taurus OX=9913 GN=UBE2S PE=2 SV=2
+MNSNVENLPPHIIRLVYKEVTTLTADPPDGIKVFPNEEDLTDLQVTIEGPEGTPYAGGLF
+RMKLLLGKDFPASPPKGYFLTKIFHPNVGANGEICVNVLKRDWTAELGIRHVLLTIKCLL
+IHPNPESALNEEAGRLLLENYEEYAARARLLTEIHGGAGGPSGGRPEPGRATASGAAAST
+ADPTAPGGPAGAEGPMAKKHAGERDKKLAAKKKTDKKRALRRL
+>sp|Q58DA4|TX261_BOVIN Protein TEX261 OS=Bos taurus OX=9913 GN=TEX261 PE=2 SV=1
+MVGVTLANVLPVCLALLPPPAAGLYYLAELIEEYTVATSRIIKYMIWFSTAVLIGLYVFE
+RFPTYMIGVGLFTNLVYFGLLQTFPFIMLTSPNFILSCGLVVVNHYLAFQFFAEEYYPFS
+EVLAYFTFCLWIIPFAFFVSLSAGENVLPSTMQPGDDVVSNYFTKGKRGKRLGILVVFSF
+IKEAILPSRQKIY
+>sp|P45879|VDAC1_BOVIN Voltage-dependent anion-selective channel protein 1 OS=Bos taurus OX=9913 GN=VDAC1 PE=1 SV=3
+MAVPPTYADLGKSARDVFTKGYGFGLIKLDLKTKSENGLEFTSSGSANTETTKVTGSLET
+KYRWTEYGLTFTEKWNTDNTLGTEITVEDQLARGLKLTFDSSFSPNTGKKNAKIKTGYKR
+EHINLGCDVDFDIAGPSIRGALVLGYEGWLAGYQMNFETAKSRVTQSNFAVGYKTDEFQL
+HTNVNDGTEFGGSIYQKVNKKLETAVNLAWTAGNSNTRFGIAAKYQIDPDACFSAKVNNS
+SLIGLGYTQTLKPGIKLTLSALLDGKNVNAGGHKLGLGLEFQA
+>sp|O18824|SCRB1_BOVIN Scavenger receptor class B member 1 OS=Bos taurus OX=9913 GN=SCARB1 PE=2 SV=1
+MGNLSRARRVTAALGFIGLLFAVLGIIMIVMVPSIIKQQVLKNVRIDPNSLSFNMWKEIP
+VPFYLSVYFFNIVNPEGIIQGQKPQVQEHGPYVYREFRHKSNITFNNNDTVSFLEYRSYQ
+FQPDKSRGQESDYIVMPNILVLSASMMMENRPGLLKLMMTLAFSTLGQRAFMNRTVGEIM
+WGYDDPLIHLINQYFPNSLPFKGKFGLFAELNNSDSGLFTVFTGVKNFSRIHLVDKWNGV
+SKVNYWHSDQCNMINGTSGQMWAPFMTPESSLEFYSPEACRSMKLVYKEQGVFGGIPTFR
+FVAPSTLFANGSVYPPNEGFCPCRESGIQNVSTCRFNAPLFLSHPHFYNADPVLAEAVSG
+LHPNPKEHSLFLDIHPVTGIPMNCSVKLQLSLFVKSVKGIGQTGNIQPVVLPLMWFEESG
+AMEGETLETFYIQLVLMPKVLHYAQYVLLALGCVLLLIPIIYQIRSQEKCYLFWISFKKG
+SKDKEAVQAYSEFLMTSAPKGTVLQEARL
+>sp|Q8MJJ1|SPG21_BOVIN Maspardin OS=Bos taurus OX=9913 GN=SPG21 PE=2 SV=1
+MGEIKVSPDYNWFRSTVPLKKIIVDDDDSKIWSLYDAGPRNIRCPLIFLPPVSGTADVFF
+RQILALTGWGYRVIALQYPVYWDHLEFCDGFRKLLDHLQLDKVHLFGASLGGFLAQKFAE
+YTHKSPRVHSLILCNSFSDTSIFNQTWTASSFWLMPSFMLKKIVLGNFSSGPVDPMMADA
+IDFMVDRLESLGQSELASRLTLNCQNSYVEPHKIRDIPVTIMDVFDQSALSTEAKEEMYK
+LYPNARRAHLKTGGNFPYLCRSAEVNLYVQIHLLQFHGTKYAAIDPSMVSAEELEVQKGS
+LGISQEEQ
+>sp|Q32KU6|TSN6_BOVIN Tetraspanin-6 OS=Bos taurus OX=9913 GN=TSPAN6 PE=2 SV=1
+MASPSRRLQTKPVITCFKSVLLIYTFIFWITGVILLAVGIWGKVSLENYFSLLNEKATNV
+PFVLIGTGTVIILLGTFGCFATCRASAWMLKLYAMFLTLIFLVELVAAIIGFVFRHEIKN
+SLKNNYEKALKQYNATGDYRSDAVDKIQSMLHCCGVTNYRDWKDTNYYSEKGFPESCCKL
+EDCSPQRDADKVNNEGCFIMVMTIIESEMGVVAGISFGVACFQLIGIFLAYCLSRAITNN
+QYEIV
+>sp|A2VE45|TT30A_BOVIN Tetratricopeptide repeat protein 30A OS=Bos taurus OX=9913 GN=TTC30A PE=2 SV=1
+MAGLGGEPIPDGEFTAVVYRLIRDARYAEAVQLLGGELQRSPRSRAGLSLLGYCYYRLQE
+FALAAECYEQLRQLHPELEQYRLYQAQALYKACLYPEATRVSFLLLDNPTYHNRVLRLQA
+AIKYSEGDLPGARSLVEQLLSEGGEDSGGENELDGQVNLGCLLYKEGHYEAACSKFSAAL
+QASGYRPDLSYNLALAYFSSRQYASALKHIVEIIEHGIRQHPELGVGMTTEGIDVRSVGN
+TLVLHQTALVEAFNLKAAIEYQLRNYEAAQETLTDMPPRAEEELDPVTLHNQALMNMDAR
+PTEGFEKLQFLLQQIPFPPETFGNLLLLYCKYEYFDLAADVLAENAHLTYKFLTPYLYDF
+LDAMITCQTAPEEAFVKLDGLAGMLTEQLRRLTKQVQEARHNKDDEAIKKAENEYDDTLE
+KYIPVLMAQAKIYWNLENYPMVEKIFRKSVEFCNDHDVWKLNVAHVLFMQENKYKEAIGF
+YEPIVKKHYDNILKVSAIVLANLCVSYIMTSQNEEAEELMRKIEKEEEQLSYDDPDKKIY
+HFCIVNLVIGTLYCAKGNYDFGISRVIKSLEPYNKKLGTDTWYYAKRCFLSLLENMSKHM
+IVLRDSVIQECVQFLEHCELYGRNIPAVIEQPLEEERMHTGKNTVTYESRELKALIYEII
+DWNM
+>sp|Q3MHG7|URIC_BOVIN Uricase OS=Bos taurus OX=9913 GN=UOX PE=2 SV=3
+MAHYHNDYQKNDEVEFVRTGYGKDMVKVLHIQRDGKYHSIKEVATSVQLTLNSRREYLHG
+DNSDIIPTDTIKNTVQVLAKFKGIKSIETFAMNICEHFLSSFNHVIRVQVYVEEVPWKRF
+EKNGVKHVHAFIHTPTGTHFCEVEQLRSGPPVIHSGIKDLKVLKTTQSGFEGFLKDQFTT
+LPEVKDRCFATQVYCKWRYHQGRDVDFEATWEAVRGIVLKKFAGPYDKGEYSPSVQKTLY
+DIQVLSLSQLPEIEDMEISLPNIHYFNIDMSKMGLINKEEVLLPLDNPYGRITGTVKRKL
+TSRL
+>sp|Q0VCB0|ZN350_BOVIN Zinc finger protein 350 OS=Bos taurus OX=9913 GN=ZNF350 PE=2 SV=1
+MIQAQETLTFDDVAVDFTWEEWQLLAPAQKALYRDVMLENYSNLVSAGFQASTPEVLSKL
+DQGEPWMMDDEIHCRTHSEVWKVDGHLLEHLQKKRVEKRLEQWLEQNPLDNSGHQSRALF
+RHNHDVFDLHGRSVTSNSSLLSESLNCEIKRAAEPPADGKSCPYADREPFHPELLSTKSQ
+LMEHQHTKQMKKSHVCSECGKAFVKKSWLADHQNLHTGEKPHRCNLCGKAFFRKFQLTEH
+QRMHMGDKPYECTDCGKTFLKKSGLNVHQRTHTGEKPFICSECGKGFIQKGNLVVHLRIH
+TGEKPYTCTECGKGFTQKTCLMAHQRIHTGTSPFVCGECGKTLSQKMGLIKHQRTHTGEK
+PFECSHCGKGFIEKPQLVIHQRIHTGEKPYRCSKCGKSFRGKSVLNKHLKTHSVKKLPPS
+VKSPQSSVVLQEKNLNTVTMHLPPLAPQLPVGISGLLANRSTVLVGQPVTRWLPTGDNRG
+LAQERTLMNSVNVVVPSVVNYILFYVTGN
+>sp|Q2KJA1|SH3G1_BOVIN Endophilin-A2 OS=Bos taurus OX=9913 GN=SH3GL1 PE=2 SV=1
+MSVAGLKKQFYKASQLVSEKVGGAEGTKLDDDFKEMEKKVDVTSKAVTEVLARTIEYLQP
+NPASRAKLTMLNTVSKIRGQVKNPGYPQSEGLLGECMIRHGKELGGESNFGDALLDAGES
+MKRLAEVKDSLDIEVKQNFIDPLQNLCDKDLKEIQHHLKKLEGRRLDFDYKKKRQGKIPD
+EELRQAMEKFEESKEVAETSMHHLLETDIEQVSQLSALVDAQLDYHRQAVQILDELADKL
+KRRMREASSRPKREYKPKPRELLDLGEPEQSNGGFPCAAAPKITASSSFRSSDKPVRTPS
+RSMPPLDQPSCKALYDFEPENDGELGFHEGDIITLTNQIDENWYEGMLDGQSGFFPLSYV
+EVLVPLPQ
+>sp|Q3T0R7|THIM_BOVIN 3-ketoacyl-CoA thiolase, mitochondrial OS=Bos taurus OX=9913 GN=ACAA2 PE=2 SV=1
+MALLRGVFIVAAKRTPFGAYGGLLKDFTPTDMAEFAARAALSAGRVSPETVDSVVVGNVM
+QSSSDAIYLARHVGLRVGIPKETPAITINRLCGSGFQSIVSGCQEICSRDSEVVLCGGTE
+SMSQAPYCVRNIRFGTKLGSELKLEDTLWTGLTDTHVQMPMAITAENLAVKHQISREDCD
+RYALQSQQRWKTANDAGYFDNEMAPVEVKTRKGKQTMQVDEHPRPQTTMEQLNKLPPVFK
+KEGTVTAGNASGVSDGAGAVIIASEDAVKKHNFTPLARIVGYFVSGCDPTIMGIGPVPAI
+SGALKKTGLSLKDMDLVEVNEAFAPQYLAVEKSLNLDPSKTNVNGGAIALGHPLAGSGSR
+ITAHLVHELRRRGGKYAVGSACIGGGQGIAVIIENTA
+>sp|A6QNT8|SC24A_BOVIN Protein transport protein Sec24A OS=Bos taurus OX=9913 GN=SEC24A PE=2 SV=1
+MSQPGIPASGGSSTGLQAQNGAASASGSPYTNGPVQNALMSSQVSVSQGYNSQLPGSYPH
+LIPAKTLNPVSGQSNSGGSQTVSPLSNYQGPGQALYGPPVASHPVTPSLHSGPSPQMPLP
+TSQNPAATPMPSGSFLPGASVSSPSNWQYNYLSQTNHCPRASSQPTMTGNTNLMSPQYVS
+SGDSSLQNNIIKSGSALPLVNPPLPTTFQPGAPLGPPPTGGPPPVRALTPQKLTPRTMPQ
+PSFNSTSNQEGIISNTNNGSMVVHNNYDEIEGGGFLATSQPTTKNPTMSRSVGYSYPSLP
+PGYQNTAPPSTTGAGLPPSSLNYPSGPQAFTQTPLGANHLTSSMSGLSLHPEGLRVINLL
+QERNMLPSTPLQPPVPNLHEDIQKLNCNPELFRCTLTSIPQTQALLNKAKLPLGLLLHPF
+KDLVQLPVVTSSTIVRCRSCRTYINPFVSFLDQRRWKCNLCYRVNDVPEEFMYNPLTRVY
+GEPHRRPEVQNATIEFMAPSEYMLRPPQPPVYLFVFDVSHNAIETGYLNSVCQSLLDNLD
+LLPGNTRTKIGFITFDSTIHFYSLQEGLSQPQMLIVSDIEDVFIPMPENLLVNLNESKEL
+VQDLLKTLPQMFTKTLETQSALGPALQAAFKLMSPTGGRMSVFQTQLPTLGVGALKPREE
+PNQRSSAKEIHLTPSTDFYKKLALDCSGQQVAVDLFLLSGQYSDLASLGCISRYSAGSVY
+YYPSYHHQHNPIQVQKLEKELQRYLTRKIGFEAVMRIRCTKGLSIHTFHGNFFVRSTDLL
+SLPNVNPDAGYAVQMSVEESLTDTQLVSFQSALLYTSSKGERRIRVHTLCLPVVSTLNEV
+FLGADVQAISGLLANMAVDRSVTASLSDARDALVNAVIDSLSAYRSSALSNQQPGLMVPF
+SLRLFPLFVLALLKQKSFQTGTNARLDERIFAMCQVKNQPLVYLMLTTHPSLYRVDNLSD
+EGAISINDRTIPQPPILQLSVEKLSRDGAFLMDAGSVLMLWVGRNCGQNFLSQVLGVENY
+ALIPQTMTDLPELDTPESARTIAFISWLREQRPFYPILYVIRDESPMKANFLQNMVEDRT
+ESALSYYEFLLHIQQQVNK
+>sp|Q3SZ01|SH3Y1_BOVIN SH3 domain-containing YSC84-like protein 1 OS=Bos taurus OX=9913 GN=SH3YL1 PE=2 SV=1
+MNNPIPSNLKSEAKKAAKILREFTEITSRNGPDKIIPAHVIAKAKGLAILSVIKAGFLVT
+ARGGSGIVLARLPDGKWSAPSAIGIAGLGGGFELGIEVSDLVIILNYDRAVEAFAKGGNL
+TLGGNLTVAVGPLGRNLEGNVSLRSSAAVFTYCKSRGLFAGISLEGSCLIERKETNRKFY
+CQDIRAYDILFGDITRPAQAEDLYEVLDSFTEKYEIEGQRVNARRAAREQKKASAKLPPK
+PSSRPEQSSAQVQLSSGSQSSRNEYNLYPELSSYRERVGNSNQPIEVTALYSFEGQQPGD
+LNFQAGDRITVISKTDSHFDWWEGKLRGRTGIFPANYVTMN
+>sp|P0C8Z3|UBP22_BOVIN Ubiquitin carboxyl-terminal hydrolase 22 OS=Bos taurus OX=9913 GN=USP22 PE=2 SV=1
+MDAELVVTPPGCAHLGSFKVDNWKQNLRAIYQCFVWSGSAEARKRKAKSCVCHVCGLHLN
+RLHSCLHCVFFGCFTKKHIHEHAKSKRHNLAIELMYGGIYCFLCQDYIYDKDIEIIAKEE
+QRKAWKMQGVGEKFSTWEPTKRELELLKHNPKRRKITSNCTIGLRGLINLGNTCFMNCIV
+QALTHTPLLRDFFLSDRHRCEMQSPSSCLVCEMSSLFQEFYSGHRSPHIPYKLLHLVWTH
+ARHLAGYEQQDAHEFLIAALDVLHRHCKGDDNGKKANNPNHCNCIIDQIFTGGLQSDVTC
+QVCHGVSTTIDPFWDISLDLPGSSTPFWPLSPGSESSVVNGESHVSGTTTLTDCLRRFTR
+PEHLGSSAKIKCSGCHSYQESTKQLTMKKLPIVACFHLKRFEHSAKLRRKITTYVSFPLE
+LDMTPFMASSKESRMNGQYQQPTDSLNNDNKYSLFAVVNHQGTLESGHYTSFIRQHKDQW
+FKCDDAIITKASIADVLDSEGYLLFYHKQFLEYE
+>sp|Q0II44|S2541_BOVIN Solute carrier family 25 member 41 OS=Bos taurus OX=9913 GN=SLC25A41 PE=2 SV=1
+MGAQPEQTQKPSSRVQTLFKRVKAFFTKTGPPPPPPAPSRNLGCTHVYGYVFGHLGEREP
+EHSPSQQVLDTGEQLMVPVDVLEVDNEGALWKFLLSGAMAGAVSRTGTAPLDRAKVYMQV
+YSSKKNFMNLLGGLRSLIQEGGIRSLWRGNGINVLKIAPEYAIKFSVFEQCKNYFCGVHE
+SPPFQERLLAGSLAVATSQTLINPMEVLKTRLTLRRTGQYKGLLDCARQILEQEGTRALY
+RGYLPNMLGIIPYACTDLAVYEMLNCLWLKSGRDMKDPSGLVSLSSVTLSTTCGQMASYP
+LTLVRTRMQAQGQLGPFSNLAYHDPLPLLSELSHDPPKHTQTDSQTLHI
+>sp|Q2KHV7|UBP2_BOVIN Ubiquitin carboxyl-terminal hydrolase 2 OS=Bos taurus OX=9913 GN=USP2 PE=2 SV=1
+MSQLSSTLKRYTESARFTDAPFTKSSYGTYTPSSYGTNLAASFLEKEKFGFKPSPPTSYL
+TRPRTYGPPSILDYDRGRPLLRPDVIGGGKRAESQTRGTERPSGSGLSGGSGFSYGVTTS
+SVSYLPVSARDQGVTLTQKKSNSQSDLARDFSSLQTSDSYRLDSGNLGRSPMLARTRKEL
+CALQGLYQAASRSEYLADYLENYGRKASAPQVPTPTPPSRAPEVLSPTYRPSGRYSLWEK
+GKGQALVSSRSSSPGRDTMNSKSAQGLAGLRNLGNTCFMNSILQCLSNTRELRDYCLQRL
+YLRDLSHSSRAHTALMEEFAKLIQTIWTSSPNDVVSPSEFKTQIQRYAPRFVGYNQQDAQ
+EFLRFLLDGLHNEVNRVIARPKSNTENLDHLPDDEKGRQMWRKYLEREDSRIGDLFVGQL
+KSSLTCTDCGYCSTVFDPFWDLSLPITKRGYPEVTLMDCMRLFTKEDVLDGDEKPTCCRC
+RARKRCIKKFSIQRFPKILVLHLKRFSESRIRTSKLTAFVNFPLRDLDLREFASENTNHA
+VYNLYAVSNHSGTTMGGHYTAYCRSPVTGEWHTFNDSSVSPMSSSQVRTSDAYLLFYELA
+SPPSRM
+>sp|F1N5V1|UBP37_BOVIN Ubiquitin carboxyl-terminal hydrolase 37 OS=Bos taurus OX=9913 GN=USP37 PE=3 SV=1
+MSPLKIHGPIRIRSMQTGITKWKEGSFEVVEKENKVSLVVHYNTGGIPRIFQLSHNIKNV
+VLRPSGAKQSRLMLTLQDNSFLSIDKVPSKDAEEMRLFLDAVHQNRLNAAMKPSQGSGSF
+GAILGSRTSQKETNRQLSYSDNQVSSKRGSLETKDDTPFRKVLGNPSRGSIKAAAGNGVT
+PARTIPSLTSTSTPLRSGLLENRTEKRKRMLSSGSELNEDYPKENDSSSNNKAMTDPSRK
+YLTSSREKQLSLKQSEENRTSGLLPLQSSSFYGSRTAAKDYSPGSTNLDRTNISSQTPSA
+KRSLGFLPQPAPLSVKKLRCNQDYTGWNKPRVPLSSHQQQQLQGFSNLGNTCYMNAILQS
+LFSLQSFANDLLKQGIPWKKIPLNALIRRFAHLLVKKDICNSETKKDLLKKVKNAISATA
+ERFSGYMQNDAHEFLSQCLDQLKEDMEKLNKTWKIEPVPGEENSPDISATRVYTCPVITN
+LEFEVQHSIICKVCGEIIPKREQFNDLSIDLPRRKKPLPPRSIQDSLDLFFRAEELEYSC
+EKCGGKCALVRHKFNRLPRILILHLKRYSFNVALSLNNKIGQQVIIPRYLTLSSHCTENT
+KPPFNLGWSAQMAVSRPLKASQMVNSCITSPSTPSKNFTFKSKTSLALSLDSDSEDELKR
+SVALSHRLCEMSGSEQQQEDLEKDSKSCRIEPDKSELENSGFDGMSEEELLAAVLEISKR
+EASPSLSHEDDDKPTSSPDTGFAEDDIQEMPENPDSVETEKPKTITEPDPASFTEITKDC
+DENKENKTPEGSQGEVDWLQQYDMEREREEQELQQALAQSLQEQEAWEQKEDDDLKRATE
+LSLQEFNNSFVDSLGSDEDSGNEDVLDMEYTEAEAEELKRNAETGNLPHSYRLISVVSHI
+GSTSSSGHYISDVYDIKKQAWFTYNDLEVSKIQEASVQSDRDRSGYIFFYMHKEIFDELL
+ETEKNSQALNLEVGKTTRQVS
+>sp|Q32LN7|WDR61_BOVIN WD repeat-containing protein 61 OS=Bos taurus OX=9913 GN=WDR61 PE=2 SV=1
+MTNQYSILFKQEQAHDDAIWSVAWGSNKKENSETVVTGSLDDLVKVWKWRDEKLDLQWSL
+EGHQLGVVSVDISHTLPIAASSSLDAHIRLWDLENGKQIKSIDAGPVDAWTLAFSPDSQY
+LATGTHVGKVNIFGVESGKKEYSLDTRGKFILSIAYSPDGKYLASGAIDGIINIFDIATG
+KLLHTLEGHAMPIRSLTFSPDSQLLVTASDDGYIKIYDVQHANLAGTLSGHASWVLNVAF
+CPDDTHFVSSSSDKSVKVWDVGTRTCVHTFFDHQDQVWGVKYNGNGSKIVSVGDDQEIHI
+YDCPI
+>sp|Q2TA24|VATO_BOVIN V-type proton ATPase 21 kDa proteolipid subunit OS=Bos taurus OX=9913 GN=ATP6V0B PE=2 SV=1
+MTGLVLLYSGVFVAFWACLLVVGICYTIFDLGFRFDVAWFLTETSPFMWSNLGIGLAISL
+SVVGAAWGIYITGSSIIGGGVKAPRIKTKNLVSIIFCEAVAIYGIIMAIVISNMAEPFSA
+TDPKAIGHRNYHAGYSMFGAGLTVGLSNLFCGVCVGIVGSGAALADAQNPSLFVKILIVE
+IFGSAIGLFGVIVAILQTSRVKMGD
+>sp|Q3T000|YKT6_BOVIN Synaptobrevin homolog YKT6 OS=Bos taurus OX=9913 GN=YKT6 PE=2 SV=1
+MKLYSLSVLYKGESKTVLLKAAYDVSSFSFFQRSSVQEFMTFTSQLIVERSAKGSRASVK
+EQEYLCHVYVRNDSLAGVVIADSEYPSRVAFTLLEKVLDEFSKQVDRIDWPTGSPDTIRY
+SGLDSQLSRYQNPREADPMTKVQAELDETKIILHNTMESLLERGEKLDDLVSKSEVLGIQ
+SKAFYKTARKQNSCCAIM
+>sp|P0C2B7|RM52_BOVIN 39S ribosomal protein L52, mitochondrial OS=Bos taurus OX=9913 GN=MRPL52 PE=1 SV=1
+MAALGMLLSTGVRRLHCGSAARAGSQWRLRQGLAANPSGYGPLTELPDWSYADGRPAPPM
+KGQLRRKAQREKFARRVVLLSQEMDAGLQAWQLRQQEKLQEEKRKQQNALKPKGVLLQNP
+GPSQ
+>sp|P48427|TBCA_BOVIN Tubulin-specific chaperone A OS=Bos taurus OX=9913 GN=TBCA PE=1 SV=3
+MADPRVRQIKIKTGVVKRLVKEKMMYEKEAKQQEEKIEKMKAEDGENYAIKKQAEILQES
+RMMIPDCQRRLEAAHTDLLQLLESEKDLEEAEEYKEARLVLDSVKLEA
+>sp|Q5GLH2|TRIB2_BOVIN Tribbles homolog 2 OS=Bos taurus OX=9913 GN=TRIB2 PE=2 SV=1
+MNIHRSTPITIARYGRSRNKTQDFEELSSIRSAEPSQSFSPNLGSPSPPETPNLSHCVSC
+IGKYLLLEPLEGDHVFRAVHLHSGEELVCKVFDISCYQESLAPCFCLSAHSNINQITEII
+LGETKAYVFFERSYGDMHSFVRTCKKLREEEAARLFYQIASAVAHCHDGGLVLRDLKLRK
+FIFKDEERTRVKLESLEDAYILRGDDDSLSDKHGCPAYVSPEILNTNGSYSGKAADVWSL
+GVMLYTMLVGRYPFHDIEPSSLFSKIRRGQFNIPETLSPKAKCLIRSILRREPSERLTSQ
+EILDHPWFSTDFSVSNSGYGAKEVSDQLVPDVNMEETLDPFFN
+>sp|Q95117|SFRP3_BOVIN Secreted frizzled-related protein 3 OS=Bos taurus OX=9913 GN=FRZB PE=1 SV=1
+MVCGSRGGMLLLPAGLLALAALCLLRVPGARAAACEPVRIPLCKSLPWNMTKMPNHLHHS
+TQANAILAIEQFEGLLGTHCSPDLLFFLCAMYAPICTIDFQHEPIKPCKSVCERARQGCE
+PILIKYRHSWPESLACEELPVYDRGVCISPEAIVTADGADFPMDSSNGNCRGASSERCKC
+KPVRATQKTYFRNNYNYVIRAKVKEIKTKCHDVTAVVEVKEILKASLVNIPRETVNLYTS
+SGCLCPPLNVNEEYLIMGYEDEERSRLLLVEGSIAEKWKDRLGKKVKRWDMKLRHLGLNT
+SDSSHSDSTQSQKPGRNSNSRQARN
+>sp|Q3SZ93|TIM8B_BOVIN Mitochondrial import inner membrane translocase subunit Tim8 B OS=Bos taurus OX=9913 GN=TIMM8B PE=3 SV=1
+MAELGEADEAELQRLVAAEQQKAQFTAQVHHFMELCWDKCVEKPGNRLDSRTENCLSSCV
+DRFIDTTLAITSRFAQIVQRGGQ
+>sp|Q7YS61|TRDMT_BOVIN tRNA (cytosine-5-)-methyltransferase OS=Bos taurus OX=9913 GN=TRDMT1 PE=2 SV=1
+MEPLRALELYSGIGGMHQALRESCIPAQVVAAVDVNTVANEVYKYNFPHTQLLAKTIEGI
+TLEEFDRLSFNMILMSPPCQPFTRIGLQGDVTDPRTNSFLHILDILPRLQKLPKYILLEN
+VKGFEMSSTRDLLIQTIENCGFQYQEFLLSPTSLGIPNSRLRYFLIAKLQPEPFPFQAPG
+QVLMEFPKTESEHPPKYAINAEKKTEEKKTGPKICFDSSTQCSGKEAILFKLETAGEIDR
+KHQQDSDLSVRMLKDFLEDDIDKHSFFLPPKSLLRYALLLDIVKPTSRRSMCFTKGYGRY
+IEGTGSVLQTTEDVQIENIYKSLTSLSQEEKIMRLSMLQLRFFTPKEIANLLGFPPEFGF
+PEMTTVKQRYRLLGNSLNVHVVAKLIKILCD
+>sp|Q2KHX4|S61A2_BOVIN Protein transport protein Sec61 subunit alpha isoform 2 OS=Bos taurus OX=9913 GN=SEC61A2 PE=2 SV=3
+MGIKFLEVIKPFCAVLPEIQKPERKIQFREKVLWTAITLFIFLVCCQIPLFGIMSSDSAD
+PFYWMRVILASNRGTLMELGISPIVTSGLIMQLLAGAKIIEVGDTPKDRALFNGAQKLFG
+MIITIGQAIVYVMTGMYGDPAEMGAGICLLIIIQLFVAGLIVLLLDELLQKGYGLGSGIS
+LFIATNICETIVWKAFSPTTINTGRGTEFEGAVIALFHLLATRTDKVRALREAFYRQNLP
+NLMNLIATVFVFAVVIYFQGFRVDLPIKSARYRGQYSSYPIKLFYTSNIPIILQSALVSN
+LYVISQMLSVRFSGNFLVNLLGQWADVSGGGPARSYPVGGLCYYLSPPESMGAIFEDPVH
+VVVYIIFMLGSCAFFSKTWIEVSGSSAKDVAKQLKEQQMVMRGHRDTSMVHELNRYIPTA
+AAFGGLCIGALSVLADFLGAIGSGTGILLAVTIIYQYFEIFVKEQAEVGGMGALFF
+>sp|A6QP55|SFXN3_BOVIN Sideroflexin-3 OS=Bos taurus OX=9913 GN=SFXN3 PE=2 SV=1
+MGELPLDINIQEPRWDQRTFLGRARHFFTVTDPRNLLLSGAQLEASRNIVQNYRAGIVTP
+GLTEDQLWRAKYVYDSAFHPDTGEKVVLIGRMSAQVPMNMTITGCMLIFYRKTPTVVFWQ
+WLNQSFNAVVNYSNRSGDAPITVRQLGMAYVSATTGAVATALGLKSLTKHLPPLVGRFVP
+FAAVAAANCINIPLMRQRELQVGIPVTNEQGQRLGHSVAAAKKGIFQVVISRICMAIPAM
+AIPPVIMDTLEKKDFLKRRPWLGAPLQMGLVGFCLVFATPLCCALFPQRSSIHVSRLEPE
+LRAQIQEQNPSIEVVYYNKGL
+>sp|Q5EA48|TM268_BOVIN Transmembrane protein 268 OS=Bos taurus OX=9913 GN=TMEM268 PE=2 SV=1
+MACEPQMDPGGAAGPLPTSSPGWSPLPGGSPPGWGQELRSGQVLTVLRIDNTCAPISFDL
+GAAEEQLQTWGIQVPADQYRSLAESALLEPQVRRYIIYNSRPMRLAFAVVFYVVVWANIY
+STSQMFALGNHWAGVLLVTLAATSLTLTLVVIFERHQRKANTNTDLRLTAANGALLRHRV
+LLGVTDTVEGCQSVIQLWFVYFDLETCAQFLSDHIREMKMSQESLLRSRLSQLCVVMETG
+VSPTANEGPENLLEETPLLPDRPGSTEKPLMQTELRQLVPEAEPEEMAQQLLAVFGGYYT
+RLLVTSQLPQALGTRHMDSPRIPCPCQLIEAYILGTECCPFLTR
+>sp|Q1LZB5|TOM40_BOVIN Mitochondrial import receptor subunit TOM40 homolog OS=Bos taurus OX=9913 GN=TOMM40 PE=2 SV=1
+MGNVLAASSPPAGPPPPPAPPLVGLPPPPPSPPGFTLPPLGGGLGAGAGTGRGSERTPGT
+AAASAGGTADDGACGCLPNPGTFEECHRKCKELFPIQMEGVKLTVNKGLSNHFQVNHTVA
+LSTIGESNYHFGVTYVGTKQLSPTEAFPVLVGDMDNSGSLNAQVIHQLGPGLRSKMAIQT
+QQSKFVNWQVDGEYRGSDFTAAVTLGNPDVLVGSGILVAHYLQSITPCLALGGELVYHRR
+PGEEGAVMSLAGKYTLNNWLATVTLGQAGMHATYYHKASDQLQVGVEFEASTRMQDTSVS
+FGYQLDLPKANLLFKGSVDSNWIVGATLEKKLPPLPLTLALGAFLNHRKNKFQCGFGLTI
+G
+>sp|Q09YL6|SAMD5_BOVIN Sterile alpha motif domain-containing protein 5 OS=Bos taurus OX=9913 GN=SAMD5 PE=3 SV=1
+MCTNIVYEWLKALQLPQYAESFVDNGYDDLEVCKQIGDPDLDAIGVLAPAHRRRILEAVR
+RLREQDAAAAGLYFTLEPQPPPAPPGPSPLAVPTRRLGESCGGPSQGPRGDPRGQTTAPR
+GRELVSYPKLKLKIMIRDKLVRDGIHLSKPPYSRKVPMAGILEFLMNWPKSSQNR
+>sp|Q28132|SCF_BOVIN Kit ligand OS=Bos taurus OX=9913 GN=KITLG PE=2 SV=1
+MKKTQTWIITCIYLQLLLFNPLVHTQGICSNRVTDDVKDVTKLVANLPKDYMITLKYVPG
+MDVLPSHCWISEMVEQLSVSLTDLLDKFSNISEGLSNYCIIDKLVKIVDDLVECMEEHSS
+ENVKKSSKSPEPRQFTPEKFFGIFNKSIDAFKDLEIVASKMSECVISSTSSPEKDSRVSV
+TKPFMLPPVAASSLRNDSSSSNRKASNSIEDSSLQWAAVALPAFFSLVIGFAFGAFYWKK
+KQPNLTRTVENRQINEEDNEISMLQEKEREFQEV
+>sp|Q2T9W1|SNX20_BOVIN Sorting nexin-20 OS=Bos taurus OX=9913 GN=SNX20 PE=2 SV=1
+MASHKHPGSPGWTGPICQDMAGTTPKASAPRPDLPRPGPEDHLEAQGSPSSNSSMTTREL
+QEYWRAQKCCWKHVKLLFEIASARIEERKVSKFVMYQIVVIQTGSFDSNKAVLERRYSDF
+ETLQKKLLKTFREEIEDVVFPKKHLIGNFTEEMISERKLALKEYLSVLYAIRCVRRSREF
+IDFLTRPELKEAFGCLRAGQYTKALDILMRVVPLQEKLTAHCPVLLVPALCAMLVCHRDL
+DRPAEAFAVGERALQCLQAREGHRYYAPLLDAMARLAYLLGKDFVSLQKRLEESQLRKPA
+LRGFTLKELTVQEYLS
+>sp|Q0Z7W6|TMX1_BOVIN Thioredoxin-related transmembrane protein 1 OS=Bos taurus OX=9913 GN=TMX1 PE=2 SV=1
+MAPSGSLRIPVAVLLLLLWGAPWAHGKRSDVRIITDENWRELLEGEWMIEFYAPWCPACQ
+NLQPEWESFAEWGEDLEVNVAKVDVTEQPGLSGRFIITALPTIYHCKDGEFRRYQGPRTK
+KDFINFISDKEWKSIEPVSSWFGPGSILMSSMSALFQLSMWIRTCHNYFIEDLGLPIWGS
+YTVFALATLLSGLLLGLFMIFVADCLCPSKRRRPQPYPSRKLLPESSQPLKKVEEEQEAD
+VEDVSEEESESKEGANKDFAQNAVRQRSVGPSLATDKS
+>sp|Q5E958|RS8_BOVIN 40S ribosomal protein S8 OS=Bos taurus OX=9913 GN=RPS8 PE=2 SV=3
+MGISRDNWHKRRKTGGKRKPYHKKRKYELGRPAANTKIGPRRIHTVRVRGGNKKYRALRL
+DVGNFSWGSECCTRKTRIIDVVYNASNNELVRTKTLVKNCIVLIDSTPYRQWYESHYALP
+LGRKKGAKLTPEEEEILNKKRSKKIQKKYDERKKNAKISSLLEEQFQQGKLLACIASRPG
+QCGRADGYVLEGKELEFYLRKIKARKGK
+>sp|Q05B92|TFE3_BOVIN Transcription factor E3 OS=Bos taurus OX=9913 GN=TFE3 PE=2 SV=1
+MSHAAEPAQDGVEASAEGPRAVFLLLEDRRPADSAQLLSLNSLLPESGIVADIELENVLD
+PDSFYELKSPPLSLRSSLPISLQATPATPATLSASSSAEGSRTPAMSSSSSSRVLLRQQL
+MRAQAQEQERRERREQASSFPSPAPASPAISVVGVSAGGHTLGRPPPAQVPREVLKVQTH
+LENPTRYHLQQARRQQVKQYLSTTLGPKLASQALTPPPGGASVQPLPTPEAAHAPGPTSS
+APNSPMALLTIGSSSEKEIDDVIDEIISLESSYNDEMLSYLPGGNTGLQLPSTLPVSGNL
+LDVYNNQGVATPAITVSNSCPAELPNIKREISETEAKALLKERQKKDNHNLIERRRRFNI
+NDRIKELGTLIPKSSDPEMRWNKGTILKASVDYIRKLQKEQQRSKDLESRQRSLEQANRS
+LQLRIQELELQAQIHGLPVPPTPGLLSLAATSASDSLKPEQLDVEEEGRPGTAIFHATGG
+LAQSAPHQQPPPPPSDALLDLHFPSDHLGDLGDPFHLGLEDILMEEEEGVVGGLSGGTLS
+PLRAASDPLLSSVSPAVSKASSRRSSFSMEEES
+>sp|P13213|SPRC_BOVIN SPARC OS=Bos taurus OX=9913 GN=SPARC PE=1 SV=2
+MRAWIFFLLCLAGRALAAPQQEALPDETEVVEETVAEVAEVPVGANPVQVEVGEFDDGAE
+ETEEEVVAENPCQNHHCKHGKVCELDENNTPMCVCQDPTSCPAPIGEFEKVCSNDNKTFD
+SSCHFFATKCTLEGTKKGHKLHLDYIGPCKYIPPCLDSELTEFPLRMRDWLKNVLVTLYE
+RDEDNNLLTEKQKLRVKKIHENEKRLEAGDHPVELLARDFEKNYNMYIFPVHWQFGQLDQ
+HPIDGYLSHTELAPLRAPLIPMEHCTTRFFETCDLDNDKYIALDEWAGCFGIKEKDIDKD
+LVI
+>sp|P21214|TGFB2_BOVIN Transforming growth factor beta-2 proprotein OS=Bos taurus OX=9913 GN=TGFB2 PE=1 SV=3
+MHYCVLSAFLLLHLVTVALSLSTCSTLDMDQFMRKRIEAIRGQILSKLKLTSPPEDYPEP
+EEVPPEVISIYNSTRDLLQEKASRRAAACERERSDEEYYAKEVYKIDMPSFLPSENAIPP
+TFYRPYFRIVRFDVSSMEKNASNLVKAEFRVFRLQNPKARVPEQRIELYQILKSKDLTSP
+TQRYIDSKVVKTRAEGEWLSFDVTDAVHEWLHHKDRNLGFKISLHCPCCTFVPSNNYIIP
+NKSEELEARFAGIDGTSTYTSGDQKTIKSTRKKNSGKSPHLLLMLLPSYRLESQQSNRRK
+KRALDAAYCFRNVQDNCCLRPLYIDFKRDLGWKWIHEPKGYNANFCAGACPYLWSSDTQH
+SRVLSLYNTINPEASASPCCVSQDLEPLTILYYIGKTPKIEQLSNMIVKSCKCS
+>sp|A5PK39|TPP2_BOVIN Tripeptidyl-peptidase 2 OS=Bos taurus OX=9913 GN=TPP2 PE=2 SV=1
+MATAATEEPFPFHGLLPKKETGAAAFLCRYPEYDGRGVLIAVLDTGVDPGAPGMQVTTDG
+KPKIIDIIDTTGSGDVNTATVVEPKDGEIVGLSGRVLKIPVTWTNPSGRYHIGIKNGYDF
+YPKALKERIQKERKEKIWDPVHRAALAEACRKQEEFDVANNCPSQANKLIKEELHSQVEL
+LNSFEKKYSDPGPVYDCLVWFDGETWRACIDSSEDGDLSKSTVLRNYKEAQEYGSFGAAE
+MLNYSVNIYDDGNLLSIVTSGGAHGTHVASIAAGHFPEEPERNGVAPGAQILSIKIGDTR
+LSTMETGTGLIRAMIEVINHKCDLVNYSYGEATHWPNSGRICEVINEAVWKHNIIYVSSA
+GNNGPCLSTVGCPGGTTSSVIGVGAYVSPDMMVAEYSLREKLPANQYTWSSRGPSADGAL
+GVSVSAPGGAIASVPNWTLRGTQLMNGTSMSSPNACGGIALVLSGLKANDVNYTVHSVRR
+ALENTAVKADNIEVFAQGHGIIQVDKAYDYLVQNTSFANKLGFTVTVGTNRGIYLRDPVQ
+VAAPSDHGVGIEPVFPENTENSEKISLQLHLALTSNSSWVQCPSHLELMNQCRHVNIRVD
+PRGLREGLHYTEVCGYDIASPNAGPLFRVPITAVIAAKVNESTHYDLALTDVHFKPGQIR
+RHFIEVPEGATWAEVTVCSCSSEVSAKFVLHAVQLVKQRAYRSHEFYKFCSLPEKGTLTE
+AFPVLGGKAIEFCIARWWASLSDVNIDYTVSFHGIVCTAPQLNIHSSEGINRFDVQSSLK
+YEDLAPCITLKSWVQTLRPLSAKTKPLGSRDVLPNNRQLYEMILTYNFHQPKSGEVTPSC
+PLLCELLYESEFDSQLWIIFDQNKRQMGSGDAYPHQYSLKLEKGDYTIRLQIRHEQISDL
+ERLKDLPFIVSHRLSNTLSLDIHENHSLALLGKKKSSNLTLPPKYNQPFFVTSLPDDKIP
+KGAGPGCYLTGSLTLSKTELGKKADVIPVHYYLISPPTKTKNGSKDKEKDSEKEKDLKEE
+FTEALRDLKIQWMTKLDSSDIYNELKETYPNYLPLYVARLHQLDAEKERMKRLNEIVEAA
+NAVISHIDQTALAVYIAMKTDPRPDAAIIKNDMDKQKSTLVDALCRKGCALADHLLQAQD
+QDGAVSSDSEGREEEGESTLDSLTETFWETTKWTDLFDNKVLTFAYKHALVNKMYGRGLK
+FATKLVEEKPTKENWKNCIQLMKLLGWTHCASFTENWLPIMYPPDYCVF
+>sp|O19110|TSPY1_BOVIN Testis-specific Y-encoded protein 1 OS=Bos taurus OX=9913 GN=TSPY1 PE=2 SV=2
+MSRPFASAPARGHRQGQEERERRSEEGGSVPGPRTFQVVSPVVTPGQEATLFRVEAVEEG
+EARHEGDVAGIGREFQLLAEDIVEEVEVVADEEQEQRPSQELEEKTVEEQGQERPGGPCE
+RQELDALQALAALQVELSSEREQNRRAYVQFMRKNHQRRKRHLARRSTIIQGIPGFWAKA
+IMSHPQVSVLISDQDQDFLGYMMDLKVQVRSHPPSRCKLIFSFRDNPYFLNSVIIKEYYL
+DITGYRARRSTPVHWFWDFERGAPSRRRDTRSLNFLNWLSGHNGPESNRIAEIISEDVWD
+DPLKYYLREEGSSVRDN
+>sp|Q3SYU9|MVP_BOVIN Major vault protein OS=Bos taurus OX=9913 GN=MVP PE=2 SV=1
+MSMEESIIRIPPYHYIHVLDQNSNVSRVEVGPKTYIRQDNERILFAPVRMLTVPPRHYCM
+VANPVARDAQGTVLFDVTGQVRLRHADLEIRLAQDPFPLFPGEVLEKDITPLQVVLPNTA
+LHLKALLDFEDKNGQKVVAGDEWLFEGPGTYIPQKEVEVIEIIQATVIKQNQALRLRARK
+ECLDRDGKERVTGEEWLVRSVGAYLPAVFEEVLDVVDAVILTEKTALHLRARQNFRDVRG
+VTRRTGEEWLVTVQDTEAHVPDVYEEVMGVVSVTTLGPHNYCVILDPVGPDGKNQLGQKL
+VFKGEQSFFLQPGEKLERGIQNVYVLSEQQGLLLRALQPLEEGEGKEKVSHQAGDHWLIR
+GPLEYVPPAKVEVVEERQAIPLDENEGIYVQDVKTGRVRAVIGSTYMLTQDEVLWEKELP
+PGVEELLNKGQDPLADRGEKETSKTPKLSTPRNKTRVVSYRVPHNAAVQVYDYREKKARV
+VFGPELVLLGPEEQFTVLSLSAGRPKRPHARRTLCLLLGPDFFTDVITIETADHARLQLQ
+LAYNWHFELSDRKDPQETAKLFSVPDFVGDACKAIASRVRGAVASVTFDDFHKNSARIIR
+TAVFGFETQETKGPDTMALPQPRDRAVFPQNGLVVSSVDVQSVEPVDQRTRDALQRSVQL
+AIEIATNSQEAAAKHEAQRLEQEARGRLERQKILDQSEAEKARRELLELEALSTAVESTG
+TAKAEAESRAEAARIEGEGAVLQAKLKAEALAIETEAELERVKKVRELELLYARAQLELE
+VSKAQQLAEVEVKKFKQMTEALGPSTIRDMAVAGPEMQVKLLQSLGLKSTLITDGSTPIN
+LFNTALGLLGLGAEAQPPAKKPTGGPSVQEGLLPISTAAPLTLGNNQVVP
+>sp|Q3T0W9|RL19_BOVIN 60S ribosomal protein L19 OS=Bos taurus OX=9913 GN=RPL19 PE=2 SV=1
+MSMLRLQKRLASSVLRCGKKKVWLDPNETNEIANANSRQQIRKLIKDGLIIRKPVTVHSR
+ARCRKNTLARRKGRHMGIGKRKGTANARMPEKVTWMRRMRILRRLLRRYRESKKIDRHMY
+HSLYLKVKGNVFKNKRILMEHIHKLKADKARKKLLADQAEARRSKTKEARKRREERLQAK
+KEEIIKTLSKEEETKK
+>sp|P18902|RET4_BOVIN Retinol-binding protein 4 OS=Bos taurus OX=9913 GN=RBP4 PE=1 SV=1
+ERDCRVSSFRVKENFDKARFAGTWYAMAKKDPEGLFLQDNIVAEFSVDENGHMSATAKGR
+VRLLNNWDVCADMVGTFTDTEDPAKFKMKYWGVASFLQKGNDDHWIIDTDYETFAVQYSC
+RLLNLDGTCADSYSFVFARDPSGFSPEVQKIVRQRQEELCLARQYRLIPHNGYCDGKSER
+NIL
+>sp|Q08DK9|PWP3A_BOVIN PWWP domain-containing DNA repair factor 3A OS=Bos taurus OX=9913 GN=PWWP3A PE=2 SV=1
+MTDAKYVLCRWKKRLWPAKVLAGTEKSAKNKRKKGYFLNVQILSLDKKIKVKSAEAKVLR
+KVHIEDIASSLASQDGTPAAPLEELTYRRSLRVALDVLNERQGSSSREGTTPRPPRVKPM
+EPASWPPKPSLSPSFLEDTAGSPGPTRRERMSQRLSGLPAGEEDLEYGVDHKEGLKRSGG
+LDAPAMCSASGGAQDREASRKQQADWMTPLGKQGRNPAQGPHSGQRVAPSSEGAGQEDGE
+TGAGPAALCSPPGGRDLSPLDGCPAEHLGLDSGQRPRAHMSPHSPAQLGPAEEAADTRPL
+EEARPLSEEFMEPSAVNSLLEEDEDDEEPPRILLYHEPRSFEVGMLVWHKYQKYPFWPAV
+VKSVRRRDKKASVLFIEGNMNPRGRGISVLLRRLKHFDCKEKQALLDEAKEDFAQAIGWC
+VSLITDYRVRLGCGSFAGSFLEYYAADISSPVRKSIQQDVQGTRFPQLSGGDPEEPVAGS
+PQGRRPAYRKVLPDRSRAARDRANQKLVEYIVKARGAESHLRAILRNRKPSRWLKTFLSS
+GQYMTCVETYLEDEEQLDLVVKYLQGVYKQAGCQLLARGHGDGIRFILDVLLPEAIICAI
+SAVDAVDYKTAEEKYIRGPALSSREKEIFDNQLLEERNRRC
+>sp|P79244|RL37_BOVIN 60S ribosomal protein L37 OS=Bos taurus OX=9913 GN=RPL37 PE=3 SV=4
+MTKGTSSFGKRRNKTHTLCRRCGSKAYHLQKSTCGKCGYPAKRKRKYNWSAKAKRRNTTG
+TGRMRHLKIVYRRFRHGFREGTTPKPKRAAVAASSSS
+>sp|Q27979|RDH5_BOVIN Retinol dehydrogenase 5 OS=Bos taurus OX=9913 GN=RDH5 PE=1 SV=1
+MWLPLLLGVLLWAALWLLRDRQCLPASDAFIFITGCDSGFGRLLALRLDQRGFRVLASCL
+TPSGAEDLQRVASSRLHTTLLDVTDPQSIRQAVKWVETHVGEAGLFGLVNNAGVAGIIGP
+TPWQTREDFQRVLNVNTLGPIGVTLALLPLLLQARGRVINITSVLGRLAANGGGYCVSKF
+GLEAFSDSLRRDVAPFGVRVSIVEPGFFRTPVTNLETLEDTLQACWARLPPATQALYGEA
+FLTKYLRVQQRIMNMICDPDLAKVSRCLEHALTARHPRTRYSPGWDAKLLWLPASYLPAR
+LVDAVLAWVLPKPAQTVY
+>sp|A5PK74|RIOX1_BOVIN Ribosomal oxygenase 1 OS=Bos taurus OX=9913 GN=RIOX1 PE=2 SV=1
+MDGLRASAGLLRRGRLRRRRQQQPHSGSVLALPLRPRKIRRQLRRSVSSRMAALRAQTLQ
+SEDSEDSRVESTVGEPGDPLAGGTAALSDATGREPHGQLGPVELLEASPASRSLQTPRAL
+VEAQTPAARLVEAQTPAARLVEAHTPAARLVEAHTPPARLVEASALPARLVETSALLCST
+QHLAAVPPSVAPAMLSGPQGESTGEELPWDSPLQRILAELNRIPSSRRRAARLFEWLISP
+MPPDHFYRRLWEREAVLVRRQDHSYYQGLFSTAVLDSILRNEEVQFGQHLDAARYINGRR
+ETLNPPGRALPAAAWSLYRAGCSLRLLCPQAFSTTVWQFLAVLQEQFGSMAGSNVYLTPP
+NSQGFAPHYDDIEAFVLQLEGRKLWRVYRPRVPTEELALTSSPNFSQDDLGEPVLQTVLE
+PGDLLYFPRGFIHQAECQDGVHSLHLTLSTFQRNTWGDFLEAVLPLAVQAAMEENVEFRR
+GLPRDFMDYMGAQHSDSKDPRRTAFMEKVRVLVARLGHFAPVDAVADQRAKDFIHDSLPP
+VLTDRERALSVYGLPIRWEAGEPVNVGAQLTTETEVHMLQDGIARLVGEGGHLFLYYTVE
+NSRVYHLEEPKCLEIYPQQADAMELLLRSYPEFVRVGDLPCDTVEDQLSLATMLYDKGLL
+LTKMPLT
+>sp|Q3SZX5|RM22_BOVIN 39S ribosomal protein L22, mitochondrial OS=Bos taurus OX=9913 GN=MRPL22 PE=1 SV=1
+MAAVILERLGALWVQNLRGKLALGILPQSHIHTSASLEISRKWEKKNKIVYPPQLPGEPR
+RPAEIYHCRRQIKYSKDKMWYLAKLIRGMSIDQALAQLEFSDKKGAQIIKEVLLEAQDMA
+VRDHNVEFRSNLYIAESTSGRGQYLKRIRYHGRGRFGIMEKVFCHYFVKLVEGPPPPPEA
+PKTAVTHAKEYIQELRNRTIIHAL
+>sp|A7MB75|TM231_BOVIN Transmembrane protein 231 OS=Bos taurus OX=9913 GN=TMEM231 PE=2 SV=1
+MALYELFAHPVERGYRAGLCSKAALFLLLATALTYIPPLLVAFRSHGFWLKRSSYEEQPT
+VRFQHQVLLVALLGSEPGGFLAWSTFPAFNRLQEGHLRVPLVSAREEDRNQDGKMDMLHF
+KLELPLQSTEQVLGVQLILTFSYQLHRMSTFVMQSMAFLQSSFALPGSQLYVNGDLRLQQ
+KQPLGYGGLDVRYNVSVINGTSPFASDYDLTRIVAAYQERNVTTILTDPSPIWLVGRAAE
+APFVVNAVIRYPVEVISYLPGFWEMIKFAWIQYVSILLIFLWAFERIKRFVFQNQVVTTI
+PVTAMPQGELYKEHLS
+>sp|A6QLK4|TMM8B_BOVIN Transmembrane protein 8B OS=Bos taurus OX=9913 GN=TMEM8B PE=2 SV=1
+MNMPQSLGNQPLPPEPPSLRTPAEGPGATSPPEHCWPVRPTLRNELDTFSVHFYIFFGPS
+VALPPERPAVFALRLLPVLDSGGVLSLELQLNVSSLRQENVTVFGCLTHEVPLSLGDAAV
+TCSKESLAGFLLTVSAASRVARLRIPFPQTGTWFLTLRSLCGVGPRFVRCRNATAEVRLR
+TFLSPCVDDCGPYGQCKLLRTHNYLYAACECKAGWRGWGCTDSADALTYGFQLLSTLLLC
+LSNLMFLPPVVLAIRSRYVLEAAVYTFTMFFSTFYHACDQPGIVVFCIMDYDVLQFCDFL
+GSLMSVWVTVIAMARLQPVVKQVLYLLGAMLLSMALQLDRHGLWNLLGPSLFALGILATA
+WTVRSVRRRHCYPPTWRRWLFCLCPGSLIAGSAILLYAFVETRDNYFYIHSIWHMLIAGS
+VGFLLPPRAKTDRRVPSGARARGCGYQLCINEQEELGLVGPGGATVSSICAS
+>sp|Q0VCM2|TM187_BOVIN Transmembrane protein 187 OS=Bos taurus OX=9913 GN=TMEM187 PE=2 SV=1
+MKPESGQALFHVALASCLCVATVHTGIFEHVSVQVGYEYYAEAPVTSLPAFLAMPFNSLV
+NMAYVFLGVYWLRSQARAPGGPAERRRARYLKDVFAGMALVYGPVQWLRIGMQTQPTAVL
+DQWLTLPIFAWPVAWCLCLDRGWKPWLFLAVEGLSLCSYSLALLHPHGFELALGLHIAAA
+VGQALRIQGRHGNISSGTYLALGVLSCLGFVVLKLCDHELAQWHLFQQLTGHFWSKVCDV
+LQFHFAFLFLTSLHTC
+>sp|A7YWI9|TYDP2_BOVIN Tyrosyl-DNA phosphodiesterase 2 OS=Bos taurus OX=9913 GN=TDP2 PE=2 SV=1
+MERNSGPEAGPEAELEEGEPEVKKRKLMCVEFASVASCDAAVAQCYLAENDWEMERALNS
+YFEPAVEESASESRPESLSEPGSCVDLTKEETNDSISSKTSTSEDKSVQQEDGSVFSFIT
+WNIDGLDMNNLLERARGVCSYLTLYSPDVIFLQEVIPPYYAYLKKKASSYKIITGREEGY
+FTAIMLKKSRVKFKSQEIIPFPNTQMMRNLLCVHVSVSGNELCLMTSHLESTRGHAKERM
+NQFKMVLEKMQEAPGSATVIFAGDTNLRDQEVTKCGGLPNNILDVWEFLGKPKHCQYTWD
+TQMNSNLGIAANCKLRFDRIFFRAAAEGGHIIPQSLDLLGLEKLDCGRFPSDHWGLLCTL
+DVIL
+>sp|Q05588|UPAR_BOVIN Urokinase plasminogen activator surface receptor OS=Bos taurus OX=9913 GN=PLAUR PE=2 SV=1
+MGQPLLLLLLVYTYIPGSWGLRCLQCENTTSCSVEECTPGQDLCRTTVLSVWEGGNEMNV
+VRKGCTHPDKTNRSMSYRAADQIITLSETVCRSDLCNKPNPGRDATVSRNRYLECASCSS
+TDLSCERGWDQTMQCLKSRDQCVDVITHRSLKENPGDERHIRGCGILPGCPGPTGFHNNH
+TFHFLRCCNTTKCNAGSVLELQNLPPNGLQCYSCEGNGAHRCSSEETFLIDCRGPMNQCL
+EATGTKGLRNPSYTIRGCAAPSWCQSLHVAEAFDLTHVNVSCCTGSGCNHPARDDQPGKG
+GAPKTSPAHLSFFVSLLLTARLWGATLLCT
+>sp|Q5E9G4|TRI10_BOVIN Tripartite motif-containing protein 10 OS=Bos taurus OX=9913 GN=TRIM10 PE=2 SV=1
+MASAASVTSLADEVNCPVCQGTLREPVTIDCGHNFCRVCLTRYLEITSPDPEEPPTCPLC
+KEPFRPGNFRPNWQLANVVENIERLKLVSQMDLDEEDVCPEHGEKVYFFCEDDEMQLCVV
+CREAWEHRAHTVRFLEDAAGPYREQIQKCLECLRKEREEIQEIQSRENRRIQVLLTQVAT
+KKQKVISEFAHLSQFLEEQQNILLDQLEKLDEDILKHRDEFDVLVTGEIGRFNTLIEELE
+EKKERPARELLTDIRSTLIRCETRRCRKPVAISPELGQRIRDFPQQAFPLQREMKMFLEK
+LSFELDYEPAHISLDPRTSHPKLLLSEDYQQARFSYKWQKSPDNPQRFDRATCVLAHGGF
+TGGRHTWVVSVDLAHGGSCTLGVVSKDIRRKGELRMRPEEGVWAVRLAWGFVSALSSFPT
+RLTLEEQPQQVRVSIDYEVGWVTFANAVTQEPIYTFTASFTQKVFPFFGLWGRGSKFSLS
+SQEGAATLS
+>sp|A0JN74|TRI11_BOVIN E3 ubiquitin-protein ligase TRIM11 OS=Bos taurus OX=9913 GN=TRIM11 PE=2 SV=1
+MAAPDLSTNLQEEATCAICLDYFTDPVMTDCGHNFCRECIRRCWGQPEGPYACPECRELF
+PQRNLRPNRPLAKMAEMARRLHPPSPVPQGVCAAHREPLAAFCGDELRLLCAACERSGEH
+WAHRVRPLQDAAEDLKSKLEKSLEHLRKQMEDALLFQAQAEETCSLWQKMVETQRQNVLT
+EFERLRRLLVEEEQLLLQRLEEEELEVLPPLRESAARLGQQSAQLAELITELEGRCQLPA
+LGLLQDIRDTLRRVQDVKLQPPEVVPMEMRTVCRVPGLVEALRRFRGDMTLDPDTANPEL
+VLSEDRRSVRRGDLRQALPDSPERFDPGPCVLGREPLTSGRHYWEVEVGERASWALGVCR
+ENANRKEKGELFAGNGFWILVFLGSYYNSSERAFAPLRDPPRRVGIFLDYEAGHLSFYSA
+NDGSLLYTFPETPFSGTLRALFSPLSSSPTPMTICRLKGGPGDGLAPQ
+>sp|Q2NL30|SUV91_BOVIN Histone-lysine N-methyltransferase SUV39H1 OS=Bos taurus OX=9913 GN=SUV39H1 PE=2 SV=1
+MAESLKGCSVCCKSSWNQLQDLCRLAKLSCPALGISKRNLYDFEVEYLCDYKKIREQEYY
+LVKWRGYPDSESTWEPRQNLKCVRILKQFHKDLERELLRRHHRSKPPRHLDPSLANYLVQ
+KAKQRRALRRWEQELNAKRSHLGRITVENEVDLDGPPRAFVYINEYRVGEGITLNQVAVG
+CECQDCLWAPAGGCCPGASLHKFAYNDQGQVRLRAGLPIYECNSRCRCGYDCPNRVVQKG
+IRYDLCIFRTDDGRGWGVRTLEKIRKNSFVMEYVGEIITSEEAERRGQIYDRQGATYLFD
+LDYVEDVYTVDAAYYGNISHFVNHSCDPNLQVYNVFIDNLDERLPRIAFFATRTIRAGEE
+LTFDYNMQVDPVDMESTRMDSNFGLAGLPGSPKKRVRIECKCGTESCRKYLF
+>sp|Q2KIV2|TIM9_BOVIN Mitochondrial import inner membrane translocase subunit Tim9 OS=Bos taurus OX=9913 GN=TIMM9 PE=3 SV=1
+MAAQIPESDQIKQFKEFLGTYNKLTETCFLDCVKDFTTREVKPEETTCSEHCLQKYLKMT
+QRISMRFQEYHIQQNEALAAKAGLLGQPR
+>sp|A0JNA2|SEZ6_BOVIN Seizure protein 6 homolog OS=Bos taurus OX=9913 GN=SEZ6 PE=2 SV=1
+MRPAALLLLPSLLALLVHGLSLEAPTEGEGQAPGLEEMDGELTAAPTPEQPEPGVHFVTT
+APTLKLLNHHPLLEEFLQEGQEKDELRPDPPTASPLPRLANQDSRPVFTSPTPVMVAAPT
+QPQSREGPWSLESEPPALRITVALPPGPGMAVPTPGPGERPNTPPPSGAWTPTPEGPGDI
+GRPWAPGVMSQTTGLGMEGTVATSTASGDDEETTSTSTIITTAVTTVQPPGPCSWNFSGP
+EGSLDSPTASNSPPDVGLDCFYYISVYPGYGVEIKVQNISLREGETVTVEGLGGPDPLPL
+ANQSFLLRGQVIRSPTHQAALRFQSLPPPAGPGTFHFHYQAYLLSCHFPRRPAYGAVTVT
+SLHPGGSARFRCATGYQLKGARLLTCLNATQPFWDSQEPVCIAACGGVIRNATTGRIVSP
+GFPGNYSNNLTCHWLLEAPEGQRLHLHFEKVSLAEDDDRLIIRNGDNVEAPPVYDSYEVE
+YLPIEGLLSSSRHFFVELSTDSSGVAAGMALRYEAFQQGHCYEPFVKYGNFSSSAPSYPV
+GTTVEFSCDPGYTLEQGSIIIECVDPHDPQWNETEPACRAVCSGETTDSAGVVLSPNWPE
+PYGRGQDCIWGVHVEEDKRIMLDVRVLRIGTGDVLTFYDGDDLTARVLGQYSGPRGHFKL
+FTSMADVTIQFQSDPGASVLGYQQGFVIHFFEVPRNDTCPELPEIPNGWKSPSQPELVHG
+TVVTYQCYPGYQVVGSSVLMCQWDLTWSEDLPSCQRVTSCLDPGDVEHSRRLISSPKFPV
+GATVQYICDQGFVLTGSALLTCHDRQASSPKWSDRTPKCLLEQLKPCHGLSAPENGARSP
+EKRLHPAGATVHFSCAPGYVLKGQASIKCVPGHPSHWSDPPPICRAASLDGFYSGRSLDV
+AKVPAASSTLDAAHLAAAIFLPLVAMALLVGGVYLYFCRLQGNSPLQLPRTRPRPYDRIT
+VESAFDNPTYETGSLSFAGDERI
+>sp|A0JNI9|S7A14_BOVIN Probable cationic amino acid transporter OS=Bos taurus OX=9913 GN=SLC7A14 PE=2 SV=1
+MSGFLTSLDPRRVQWGAAWYAMHSRILRTKPVESMLEGTGATTAHGTKLAQVLTTMDLIS
+LGVGSCVGTGMYVVSGLVAKEMAGPGVIVSFIIAAVASILSGVCYAEFGVRVPKTTGSAY
+TYSYVTVGEFVAFFIGWNLILEYLIGTAAGASALSSMFDSLANHTISRWMVDSVGTLNGL
+GKGEQSYPDLLALVIAIIVTIIVALGVKNSVGFNNVLNVLNLAVWVFIMIAGFFFINGKY
+WAEGQFLPYGWSGVLQGAATCFYAFIGFDIIATTGEEAKNPNTSIPYAITASLVICLTAY
+VSVSMILTLMVPYDTIDTESPLMEMFVARGFYAAKFVVAIGSVAGLTVSLLGSLFPMPRV
+IYAMAGDGLLFRFLAHVSSYTETPVVACIVSGFLAALLSLLVSLRDLIEMMSIGTLLAYT
+LVSVCVLLLRYQPESDIDGFVKFLSEEHTKKKEGILADCEKEVCSPVSEGEEFSGPATNT
+CGAKNLPSLGDNEMLIGKSDKSTYNVNHPNYGTVDMTTGIEADESENIYLIKLKKLIGPR
+YYTMRIQLGLPGKMDRPTAATGHTVTICVLLLFILMFVFCSFIIFGSDYISEQSWWAILL
+VVLMVLLISALVFVILQQPENPKKLPYMAPCLPFVPAFAMLVNIYLMLKLSTITWIRFAV
+WCFVGMLIYFGYGIWNSTLEISAREEALHQSTYQRYDVDDPFSVEEGFSYATEGESQENW
+GGPAEDKGFYYQQMSDTQPNTRTSSKAKSKSKHKQNSEALIANDELDYSPE
+>sp|O19131|TNR1A_BOVIN Tumor necrosis factor receptor superfamily member 1A OS=Bos taurus OX=9913 GN=TNFRSF1A PE=2 SV=1
+MGLPTVPGLLLPLVLPALLADVYPAGVQGLVPHPGDLEKRESPCPQGKYNHPQNSTICCT
+KCHKGTYLYNDCPGPGRDTDCRVCAPGTYTALENHLRRCLSCSRCRDEMFQVEISPCVVD
+RDTVCGCRKNQYREYWGETGFRCLNCSLCPNGTVNIPCQERQDTICHCHMGFFLKGAKCI
+SCHDCKNKECEKLCPTRPSTGKDSQDPGTTVLLPLVIVFGLCLASFASVVLACRYQRWKP
+KLYSIICGQSTLVKEGEPELLVPAPGFNPTTTICFSSTPSSSPVSIPPYISCDRSNFGAV
+ASPSSETAPPHLKAGPILPGPPASTHLCTPGPPASTHLCTPGPPASTHLCTPVQKWEASA
+PSAPDQLADADPATLYAVVDGVPPSRWKELVRRLGLSEHEIERLELENGRHLREAQYSML
+AAWRRRTPRREATLELLGRVLRDMDLLGCLENIEEALGGAARLASEPRLLW
+>sp|Q32L76|SAA4_BOVIN Serum amyloid A-4 protein OS=Bos taurus OX=9913 GN=SAA4 PE=2 SV=1
+MKLLIGILFCTLIMGVTGDSWYSFFKEAVQGASDLWRAYWDMRDANVQNSGRYFRARGNY
+EAAQRGPGGVWAAKIISNVGEYLQGFLYQIYLGDSYGLEDQVSNRRAEEWGRSGQDPDHF
+RPAGLPKKY
+>sp|Q0VCA5|SAMH1_BOVIN Deoxynucleoside triphosphate triphosphohydrolase SAMHD1 OS=Bos taurus OX=9913 GN=SAMHD1 PE=2 SV=1
+MQSADSQNTPKRPRRDGSPRTPPDSPLADAETSPSHDLDPDYRTWGPEQVWSFLRRCGFS
+DSELLKRCREKRMSGSLLPFPEDLGISSHGKKMKLLNCIQDTMKVINDPIHGHIEFHPLL
+MRIIDTPQFQRLRYIKQLGGGYYVFPGASHNRFEHSLGVGYLAGRLVRELSEKQPELQIS
+ERDILCVQIAGLCHDLGHGPFSHMFDGRFIPLARPEIKWTHEQGSVMMFEHLINSNGLQD
+VMKYYGLIPEEDILFIKEQITGPPESPIKDASKWLYKGRPKEKSFLYEIVANKRNGIDVD
+KWDYFARDCHHLGIQNSFDYKRFLKFARVCEVDNMKHICTREKEVGNLYDMFHTRNCLHR
+RAYQHKVGNIIDTMITDAFLKADDHIEITGSAGRKYHISTAIDDMEAFTKLTDNIFLEIL
+YSTDPNLNDARMILKKIESRNLYKFVGETQPMIQRIKKENYEHLPNEVASAKPSDVELEA
+ELKAEDLIVDVINMDYGMEDKNPIDHVRFYCKSDLSKAVMITRNQVSQFLPETFAEQLIR
+VYCKKTDEKTLFAARQHFVHWCLINDFTKPQIKKLPLRKLKKELTTATG
+>sp|A4IFU7|TFEC_BOVIN Transcription factor EC OS=Bos taurus OX=9913 GN=TFEC PE=2 SV=1
+MTLDHQILNQSFKRSHPPTPSSELLVQHGHPSPESDTGLTGNPLTRLLTLGKEDDNTEWH
+VSGSILDVYSGEQEISPVNMGLTSASCPSSLPMKREITETDTRALAKERQKKDNHNLIER
+RRRYNINYRIKELGTLIPKSNDPDMRWNKGTILKASVEYIKWLQKEQQRAQELEHRQKKL
+EQANRRLLLRIQELEIQARAHGLPTLASLVTVDLGAHITKQTHLEQNSGDYCQQLVLSQG
+TSPELCDQAMAFSDPLSHFTDLSFSAALKEEQRLDNMLLDDTVSPFGTDPLLSAISPAVS
+KESSRRSSFSSEDGDEL
+>sp|A6QLG5|RS9_BOVIN 40S ribosomal protein S9 OS=Bos taurus OX=9913 GN=RPS9 PE=2 SV=1
+MPVARSWVCRKTYVTPRRPFEKSRLDQELKLIGEYGLRNKREVWRVKFTLAKIRKAAREL
+LTLDEKDPRRLFEGNALLRRLVRIGVLDEGKMKLDYILGLKIEDFLERRLQTQVFKLGLA
+KSIHHARVLIRQRHIRVRKQVVNIPSFIVRLDSQKHIDFSLRSPYGGGRPGRVKRKNAKK
+GQGGAGAGDDEEED
+>sp|A5PJK1|TMPPE_BOVIN Transmembrane protein with metallophosphoesterase domain OS=Bos taurus OX=9913 GN=TMPPE PE=2 SV=1
+MAIFRQLSLGAKAALAAGTVFVSMIVSRSYLAESLEFRAWRCLFRLQLALFVNSLMLLGS
+IYIWRSTVSNLRHSPAAESACFQLWKMVVAAFLALAHSSFFTMIFLVAEEPYLFSLVAYT
+CLGAYVIMLCFLCVLSGMEQAYQLLAWRAGRAVGSLDKTRKLALRPALAVMVTTVLSVVG
+LLNAAQPPAVTTVEVPVHRLPPSMNSLKIVLLSDIHLGPTVGRTKMDMFVRMVNTLEPDV
+TVIVGDLCDSEASVLRTAVAPLGQLRSRLGTYFVTGNHEYYTSDVSNWFALLMSLNVQPL
+HNENVRISATGAHREDDDWICLAGVDDIEANILHYTGHGMDLEKALEGCSPDHPTILLAH
+QPLAAKRALQARPDINLILSGHTHAGQIFPLNVAAYLLNPFFAGLYQVAETTFVYVSPGT
+AYYGIPMRLGSRAEITQLILQAAP
+>sp|O46680|TGFR1_BOVIN TGF-beta receptor type-1 OS=Bos taurus OX=9913 GN=TGFBR1 PE=2 SV=1
+MEAAAATPRPRLFLLMLAAAATLVPEATPLQCFCHLCTKDNFTCVTDGLCFVSVTETTDK
+VIHNSMCIAEIDLIPRDRPFVCAPSSKTGSITTTYCCNQDHCNKIELPTVGKPSSGLGPV
+ELAAVIAGPVCFVCISLMLMVYICHNRTVIHHRVPNEEDPSLDRPFISEGTTLKDLIYDM
+TTSGSGSGLPLLVQRTIARTIVLQESIGKGRFGEVWRGKWRGEEVAVKIFSSREERSWFR
+EAEIYQTVMLRHENILGFIAADNKDNGTWTQLWLVSDYHEHGSLFDYLNRYTVTVEGMIK
+LALSTASGLAHLHMEIVGTQGKPAIAHRDLKSKNILVKKNGTCCIADLGLAVRHDSATDT
+IDIAPNHRVGTKRYMAPEVLDDSINMKHFESFKRADIYAMGLVFWEVARRCSIGGIHEDY
+QLPYYDLVPSDPSVEEMRKVVCEQKLRPNIPNRWQSCEALRVMAKIMRECWYANGAARLT
+ALRIKKTLSQLSQQEGIKM
+>sp|Q1JPJ8|THOP1_BOVIN Thimet oligopeptidase OS=Bos taurus OX=9913 GN=THOP1 PE=2 SV=3
+MKPPAACTGDALDVVAPCSAVNHLRWDLSAQQIAELTTELIEQTKRVYDCVGAQEPQDVS
+YENTLKALADVEVSYTVQRNILDFPQHVSPSKDIRTASTEADKKLSEFDVEMSMRQDVYQ
+RIVWLQEKVQKDSLRPEASRYLERLIKLGRRNGLHLPEETQEKIKSIKKKLSLLCIDFNK
+NLNEDTTFLPFTREELGGLPEDFLNSLEKTEDEKLKVTLKYPHYFPLLKKCHVPETRRKV
+EEAFNCRCKEENCAILRELVRLRAQKSRLLGFSTHADYVLEMNMAKTSQVVATFLDELAQ
+KLKPLGEQERAVILELKRAECEQRGLAFDGRINAWDMRYYMNQVEETRYRVDQNLLKEYF
+PMQVVTRGLLGIYQELLGLSFQLEEGAAVWHEDVALYAVRDAASGKLIGKFYLDLYPREG
+KYGHAACFGLQPGCLRKDGSRQIAIAAMVANFTKPTPDAPSLLQHDEVETYFHEFGHVMH
+QLCSQAEFAMFSGTHVERDFVEAPSQMLENWVWEAEPLLRMSQHYRTGSSIPQELLDKLI
+KSRQANTGLFNLRQIVLAKVDQALHTQTAADPAKEYARLCQEILGVPATPGTNMPATFGH
+LAGGYDAQYYGYLWSEVYSADMFHTRFKQEGVLSGKVGMDYRSCILRPGGSEDASVMLKL
+FLGRDPKQDAFLLSKGLQVEGCEPPAC
+>sp|P12378|UGDH_BOVIN UDP-glucose 6-dehydrogenase OS=Bos taurus OX=9913 GN=UGDH PE=1 SV=2
+MFEIKKICCIGAGYVGGPTCSVIAHMCPEIRVTVVDINESRINAWNSPTLPIYEPGLKEV
+VESCRGKNLFFSTNIDDAIKEADLVFISVNTPTKTYGMGKGRAADLKYIEACARRIVQNS
+HGYKIVTEKSTVPVRAAESIRRIFDANTKPNLNLQVLSNPEFLAEGTAIKDLKNPDRVLI
+GGDETPEGQRAVQALCAVYEHWVPREKILTTNTWSSELSKLTANAFLAQRISSINSISAL
+CEATGADVEEVATAIGMDQRIGNKFLKASVGFGGSCFQKDVLNLVYLCEALNLPEVARYW
+QQVIDMNDYQRRRFASRIIDSLFNTVTDKKIAILGFAFKKDTGDTRESSSIYISKYLMDE
+GAHLHIYDPKVPREQIVVDLSHPGVSKDDQVARLVTISKDPYEACDGAHAVVICTEWDMF
+KELDYERIHKKMLKPAFIFDGRRVLDGLHNELQTIGFQIETIGKKVSSKRIPYAPSGEIP
+KFSLQDMPNKKPRV
+>sp|Q3ZBG6|UNC50_BOVIN Protein unc-50 homolog OS=Bos taurus OX=9913 GN=UNC50 PE=2 SV=1
+MLPSTSVNSPAQGNGVLSSRDAARHTAGAKRYKYLRRLFRFRQMDFEFAAWQMLYLFTSP
+QRVYRNFHYRKQTKDQWARDDPAFLVLLSIWLCVSTIGFGFVLDMGFFETIKLLLWVVFI
+DCVGVGLLISTLMWFISNKYLVKRQSRDYDVEWGYAFDVHLNAFYPLLVILHFIQLFFIN
+HVILTDTFIGYLVGNTLWLVAVGYYIYVTFLGYSALPFLKNTVILLYPFAPLILLYGLSL
+ALGWNFTHTLCSFYKYRVK
+>sp|Q2KIY3|WDFY1_BOVIN WD repeat and FYVE domain-containing protein 1 OS=Bos taurus OX=9913 GN=WDFY1 PE=2 SV=1
+MAAEIHSRPQSSRPVLLSKIEGHQDAVTAALLIPKEDGVITASEDRTIRVWLKRDSGQYW
+PSIYHTMASPCSAMAYHHDSRRIFVGQDNGAVMEFHVSEDFNKMNFIKTYPAHQNRVTAI
+IFSLATEWVISTGHDKCVSWMCTRSGNMLGRHFFSSWASCLQYDFDTQYAFVGDYSGQIT
+LLKLEQSTCSVITTLKGHEGSIACLWWDPIQRLLFSGASDNSVIMWDIGGRKGRTLLLQG
+HHDRVQALCYLQLTRQLVSCSSDGGIAVWNMDVSREEAPQWLESDSCQKCEQPFFWNIKQ
+MWDTKTLGLRQHHCRKCGQAVCGKCSSKRSSYPVMGFEFQVRVCDSCYDSIKDEDRTSLA
+TFHEGKHNISHMSMDVARGLMVTCGTDRVVKIWDMTPVVGCSLATGFSPH
+>sp|Q2HJG5|VPS35_BOVIN Vacuolar protein sorting-associated protein 35 OS=Bos taurus OX=9913 GN=VPS35 PE=2 SV=1
+MPTTQQSPQDEQEKLLDEAIQAVKVQSFQMKRCLDKNKLMDALKHASNMLGELRTSMLSP
+KSYYELYMAISDELHYLEVYLTDEFAKGRKVADLYELVQYAGNIIPRLYLLITVGVVYVK
+SFPQSRKDILKDLVEMCRGVQHPLRGLFLRNYLLQCTRNILPDEGEPTDEETTGDISDSM
+DFVLLNFAEMNKLWVRMQHQGHSRDREKRERERQELRILVGTNLVRLSQLEGVNVERYKQ
+IVLTGILEQVVNCRDALAQEYLMECIIQVFPDEFHLQTLNPFLRACAELHQNVNVKNIII
+ALIDRLALFAHREDGPGIPTDIKLFDIFSQQVATVIQSRQDMPSEDVVSLQVSLINLAMK
+CYPDRVDYVDKVLETTVEIFNKLNLEHIATSSAVSKELTRLLKIPVDTYNNILTVLKLKH
+FHPLFEYFDYESRKSMSCYVLSNVLDYNTEIVSQDQVDSIMNLVSTLIQDQPDQPVEEPD
+PEDFADEQSLVGRFIHLLRSEDPDQQYLILNTARKHFGAGGNQRIRFTLPPLVFAAYQLA
+FRYKENSKVDDKWEKKCQKIFSFAHQTISALIKAELAELPLRLFLQGALAAGEIGFENHE
+TVAYEFMSQAFSLYEDEISDSKAQLAAITLIIGTFERMKCFSEENHEPLRTQCALAASKL
+LKKPDQGRAVSTCAHLFWSGRNTDKNGEELHGGKRVMECLKKALKIANQCMDPSLQVQLF
+IEILNRYIYFYEKENDAVTIQVLNQLIQKIREDLPNLESSEETEQINKHFHNTLEHLRLR
+RESPESEGPIYEGLIL
+>sp|A2I7N2|SPA36_BOVIN Serpin A3-6 OS=Bos taurus OX=9913 GN=SERPINA3-6 PE=3 SV=1
+MRTERVSPLLALGILVAGLCSRVHCLPENVTPEEQHKVTSVDGHSLASSNTDFAFSLYKQ
+LALKDPNKNVIFSPLSVSIALAFLSLGAHGPTVTEILEGLKFNLTETPETEIHQGFQHLL
+QTFNQPSNQLQLSVGNAIFVQEELKLLDKFIEDARVLYSSEAFPTNFRDPEAAKSLINDY
+VKNKTQGKIEELFKDLSPRTELVLVNYVYFKAQWKTRFDPKHTEKTEFHVSDNKTVEVPM
+MTLDLETPYFRDEELGCTLVELTYTSNDSALFILPDKGKMQDLEAKLTPEMLTRWRNSLQ
+PRRIHELYLPKFSIKSNYELNDTLSQMGIKKIFTDADLSGITGTADLVVSQVVHGAALDV
+DEEGTEGAAATGIGIERTFLRIIVRVNRPFLIAVVLKDTQSIIFLGKVTNPSEA
+>sp|Q3MHQ7|TM86A_BOVIN Lysoplasmalogenase-like protein TMEM86A OS=Bos taurus OX=9913 GN=TMEM86A PE=2 SV=1
+MVSPVTVVKSEGPKLVPFFKATCVYFVLWLPSSSPSWVSALIKCLPIFCLWLFLLAHGLG
+FLLTHPSATRIFVGLVFSAIGDAFLIWQDQGYFVHGMLMFAVTHMLYASAFGMRPLGLRT
+GLLMVILSGLCYAFLYPNLTGAFTYVVGVYVAIIGFMGWRAMAGLQLVGAAWRWTELAAG
+TGALLFIVSDLTIALDKFCFPVPYSRALIMSTYYAAQMLIALSAVESREPVEDYRLSKAK
+>sp|A2VDP4|ZN567_BOVIN Zinc finger protein 567 OS=Bos taurus OX=9913 GN=ZNF567 PE=2 SV=1
+MAQGSVSFKDVTVDFSQEEWQHLDPAQKTLYMDVMLENYCHLISVGCHMTKPDVILKLER
+GEEPWTSFKGHTCLEENWKAEDFLLKFKEQQDKYSRSVILINHKKLVNENGSTCEKTLTL
+SKNPINSKKLPPEYDTREKILKNVSELIISNLSPTRRRLSECNGYGKSLLNTKPETAHSG
+VKSHNQCGRTISHNEKITQYHKMETPAQSFEYNDCEKAFLKRGGPVTHSRTYRRGNTSDY
+NKRRRTTNIEKKHTCTECGKSFCRKSVLILHQGIHTEEKPYQCHQCGNSFRRKSYLIDHQ
+RTHTGEKPFVCNECGKSFRLKTALTDHQRTHTGEKSYECPQCRNAFRLKSHLIRHQRTHT
+GEKPYECSDCGKSFRQKTTLSLHQRIHTGEKPYICKECGKSFHQKANLTVHQRTHTGEKP
+YICNECGKSFSQKTTLALHEKTHNEEKPYICNECGKSFPQKTTLVAHQRTHTGEKSYECP
+HCGKAFRMKSYLIDHHRTHTGEKPYECNECGKSFSQKTNLNLHQRIHTGEKPYICNECGK
+SFRQKATLTVHQKIHTGQKSYECPQCGKAFSRKSYLIHHQRTHTGEKPYKCSECGKCFRQ
+KTNLIVHQRTHTGEKPYICNECGKSFSYKRNLIVHQRTHKGENMEMQ
+>sp|E1BN97|TM237_BOVIN Transmembrane protein 237 OS=Bos taurus OX=9913 GN=TMEM237 PE=2 SV=2
+MGKKQVRPPRALPPVPSAIQDDIPLSRPKKKKPRTKTPLASASSEGLVQTAVHRPPEGSE
+PPTKDSIEHQEAPVQRRQKKTRLPLELETTLTQKKTASPSLLPNENGINVEPDEEPVIQK
+PRRKTKKTQPAELHYANELGVEDEDIITDEQSSPEQQSVFTAPTGISQPVGKVFVEKSRR
+FQAADRAELIKTTEKIDVSMDMKPSWTTRDVALSVHRAFRMIGVFSHGFLAGCAVWNIVV
+IYVLAGDELSDLSNLLQQYKTLAYPFQSLLYLLLALSTVSAFDRIDFAKTSVAIRNFLAL
+DPRALASFLYFTALVLSLSQQMTSDRIHLYTPSSVNGSLWAEGIEEQVLQPWIVVNLVVA
+VLVGLSWLFLSYRPGMDLSEELMFSSDVEEYPDKGIKVSS
+>sp|A6QR00|ZN526_BOVIN Zinc finger protein 526 OS=Bos taurus OX=9913 GN=ZNF526 PE=2 SV=1
+MAEVVAEVAEVAEMPTQMSPRVMEMSAPILGEKMELSTELTEMTPGEAVASSLFFQFMCS
+ECGNLYNTLEEVLSHQEQHVPTVTEEEALTTQDTGLEPELVPGTEEGPFQCGECSQLILS
+PRELLAHQDAHLRESASQIQYQCGDCQELFPSPELWVAHRKAQHLSTAAAKPPVPPPLPP
+VTPPPPPPAPLEVKMEPYECPECSTLCTTPEEFLEHQGTHFDSLEKEEHNGLEEEEEDDE
+DDNEETEEEEEAAAEVGDDAKGGDKSAAGQAQGSGDGPPHCTSAGTRRRHRRASHGPASA
+AHPFYCSQCQRSFSSANRLLAHGRAHVGGTHECTTCSKVFKKAASLEQHLRLHRGEARYL
+CVDCGRGFGTELTLVAHRRAHTANPLHRCRCGKTFSNMTKFLYHRRTHAGKSGAPPSAAP
+PTVASAVASLAPAEPTPPPPAPPTPPAQLPCPQCPKSFASASRLSRHRRAVHGPPERRHR
+CGVCGKGFKKLVHVRNHLRTHTGERPFQCHACGKTFASLANLSRHQLTHTGVRPYQCLDC
+GKRFTQSSNLQQHRRLHLRPVAFARAPRLPITGLYNKSPYYCGTCGRWFRAMAGLRLHQR
+VHAQARTLTLQPPRSPPPAPPPPPEPQQTIMCTELGETIAIIETSQPLALADTLQLCQAA
+LGASEASGLLQLDTAFM
+>sp|A6QL84|TM6S1_BOVIN Transmembrane 6 superfamily member 1 OS=Bos taurus OX=9913 GN=TM6SF1 PE=2 SV=1
+MSASAATGVFVLSLSAIPVTYVFNHLAAQHDSWTIVGAAAVVLLLVALLARVLVKRKPPR
+DPLFYVYAVFGFTSVVNRIIGLEQDGIIDGFMTHYLREGEPYLNTAYGHMICYWDGSAHY
+LMYLVMVAAIAWEESYRTIGLYWVGSIIMSIVVFVPGNIVGKYGTRICPAFFLSIPYTCL
+PVWAGFRIYNQPSENYNYPSKVIQEVQAKDLLRRPFDLILVLCLLLATGFCVFRGLIALD
+CPAELCRLYTQFQEPYLKDPAAYPKIQMLAYLFYSVPYFVIALYGLVVPGCFWMPDITLI
+HAGGLAQAQFSHIGASLHARTAYVYRVPEEAKIIFLALNIAYGVLPQLLAYRCIYRPEFF
+IKTKADEKVE
+>sp|Q3T0Y8|VAMP8_BOVIN Vesicle-associated membrane protein 8 OS=Bos taurus OX=9913 GN=VAMP8 PE=3 SV=1
+MEASGGGGDDRVRNLRDEVEGVKNIMTQNVERILARGENLDHLRNKTEDLEATSEHFKTT
+SQKVARKFWWKNVKMIVLICVIVFIIILFIVLFATGAIPT
+>sp|Q2TBL6|TALDO_BOVIN Transaldolase OS=Bos taurus OX=9913 GN=TALDO1 PE=2 SV=1
+MSGSPVKRQRMENALDQLKQFTTVVADTGDFHAIDEYKPQDATTNPSLILAAAQMPTYQE
+LVEEAIAYGRKLGGSQEEQITNAIDKLFVLFGAEILKKIPGRVSTEVDARLSFDKDAMVA
+RARRLIELYKEAGISKERILIKLSSTWEGIQAGKELEEHHGIRCNMTLLFSFAQAVACAE
+AGVTLISPFVGRILDWHVANTDKKSYETQEDPGVKSVTKIYNYYKKFGYKTIVMGASFRN
+TGEIKALAGCDFLTISPQLLGELLKDHSKLTPVLSAKAAQASDLEKIQLDEKAFRWLHNE
+DRMAVEKLSDGIRRFAADAVKLERMLRERMFSAENGK
+>sp|A2I7N3|SPA37_BOVIN Serpin A3-7 OS=Bos taurus OX=9913 GN=SERPINA3-7 PE=3 SV=1
+MRTERTSFLLALGLLVSGFCSRVHCLPENVTPEEQHKGTSVDGHSLASSNTDFALSLYKQ
+LALKDPNKNVIFSPLSISIALAFLSLGAHDHTVTEILEGLKFNLTETPETEIHQGFQHLL
+QTFNQPSNQLQLSVGNAMFASEELKLLDKFRKDAEAFYASEVLSTNFKDSEAAVKLINEY
+VKNKTHGKIEKLFNDLDVLTNLILLNYIFFKAQWKTPFNPNHTYESEFHVSQNERVIVPM
+MTLYLETPYFRDEELGCTLVELTYTSNDSALFILPDEGKMQDLEAKLTPETLTRWRSSLQ
+PRLIHRLRLPRFSISSHYQLKDILSQLGIKKIFTSDAGFSGITDDHKLAVSHVIHKAVLD
+VGEEGTEGAAVTAVVMATSSLLHTLTVSFNRPFLLSIFCKETQSIIFWGKVTNPKEA
+>sp|P63026|VAMP2_BOVIN Vesicle-associated membrane protein 2 OS=Bos taurus OX=9913 GN=VAMP2 PE=1 SV=2
+MSATAATAPPAAPAGEGGPPAPPPNLTSNRRLQQTQAQVDEVVDIMRVNVDKVLERDQKL
+SELDDRADALQAGASQFETSAAKLKRKYWWKNLKMMIILGVICAIILIIIIVYFSS
+>sp|Q6ZXC9|SIA8D_BOVIN CMP-N-acetylneuraminate-poly-alpha-2,8-sialyltransferase OS=Bos taurus OX=9913 GN=ST8SIA4 PE=2 SV=1
+MRSIRKRWTICTISLLLIFYKTKEMARTEEHQETQLIGDGELSLSRSLVNSSDKIIRKAG
+SSIFQHSVEGGKINSSLVLEIRKNILRFLDAERDVSVVKSSFKPGDVIHYVLDRRRTLNI
+SQDLHSLLPEVSPMKNRRFKTCAVVGNSGILLDSECGKEIDSHNFVIRCNLAPVVEFAAD
+VGTKSDFITMNPSVVQRAFGGFRNESDREKFVHRLSMLNDSVLWIPAFMVKGGEKHVEWV
+NALILKNKLKVRTAYPSLRLIHAVRGYWLTNKVPIKRPSTGLLMYTLATRFCDEIHLYGF
+WPFPKDLNGKAVKYHYYDDLKYRYFSNASPHRMPLEFKTLNVLHNRGALKLTTGKCIKQ
+>sp|Q32L10|TM205_BOVIN Transmembrane protein 205 OS=Bos taurus OX=9913 GN=TMEM205 PE=2 SV=1
+MEEGGNPGSLTKVVHLLVLSGAWGMQMWVTFISGFVLFRGLPRHTFGLVQSKLFPFYFHI
+SMGCAFVNLCILASQCSWAQLTFWEASQLFLLLLSLTLATINARWLESRTTAAMWALQTV
+EKERGLGGEVPGSHQGSDPYHQLRGQDPKYSALRQQFFRYHGLSSLCNLGCLLSNGLHLA
+GLALALHNL
+>sp|A2VDZ9|VAPB_BOVIN Vesicle-associated membrane protein-associated protein B OS=Bos taurus OX=9913 GN=VAPB PE=2 SV=1
+MAKVEQVLSLEPQHELKFRGPFTDVVTTNLKLGNPTDRNVCFKVKTTAPRRYCVRPNSGI
+IDAGASINVSVMLQPFDYDPNEKSKHKFMVQSMFAPTDTSDMEAVWKEAKPEDLMDSKLR
+CVFELPAENDKPHDVEINKIIPTTASKTETPTVSKALSSSLDDTEVKKVMEECKRLQSEV
+QRLREENKQFKEEDGLRMRKTAQSNSPAPASAMAGKEEGLSTRLLALVVLFFIVGVIIGK
+IAL
+>sp|A6QPI1|S35A5_BOVIN Probable UDP-sugar transporter protein SLC35A5 OS=Bos taurus OX=9913 GN=SLC35A5 PE=2 SV=1
+MESNCGHPMLSVSSAMYTFLLGAIFITLSSSRILLVKYSANEENKYDYLPTTVNVCSELV
+KLVFCALVSFWVLKKEDHQNRKLRCGSWKEFFNFMKWSIPAFLYFLDNLIVFYVLSYLQP
+AMAVIFSNFSIITTALLFRIVLKRHLNGIQWASLLILFLSIVALTSGTETSQHSLAGHGF
+HHDALFSPSNSCLLFRSECPRKDNCTAKEWTFSEAQWNTTARVFSHIRLGLGHVLIIVQC
+FISSMANIYNEKILKEGNQLTESIFVQNSKLYFFGVLFNGLTLGLQSGNRDQIKNCGIFY
+GHNAFSVALIFVTAFQGLSVAFILKFLDNMFHVLMAQVTTVVITTVSVLVFDFRPSLEFF
+LEAPSVLLSILIYNASNPQGVENVPRKERIRDLSGTLWERSSGDGEELERLTKPKSDIES
+DEDTF
+>sp|Q32KP1|TSN31_BOVIN Tetraspanin-31 OS=Bos taurus OX=9913 GN=TSPAN31 PE=2 SV=1
+MVCGGFACSKNALCALNVVYMLVGLLLIGVAAWAKGLGLVSSIHIIGGVIAVGVFLLLIA
+VAGLVGAVNHHQVLLFFYMIILGLVFIFQFGISCSCLAINLSKQTDVINASWWVMSNKTR
+DELERSFDCCGLFNLTTLDQQDYAFCTAVCKSRSPTCQMCGEKFLKHSDEALKILGGVGL
+FFSFTEILGVWLAMRFRNQKDPRANPSAFL
+>sp|P79100|TRPC4_BOVIN Short transient receptor potential channel 4 OS=Bos taurus OX=9913 GN=TRPC4 PE=2 SV=2
+MAQFYYKRNVNAPYRDRIPLRIVRAESELSPSEKAYLNAVEKGDYASVKKSLEEAEIYFK
+ININCIDPLGRTALLIAIENENLELIELLLSFNVYVGDALLHAIRKEVVGAVELLLNHKK
+PSGEKQVPPILLDKQFSEFTPDITPIILAAHTNNYEIIKLLVQKGVSVPRPHEVRCNCVE
+CVSSSDVDSLRHSRSRLNIYKALASPSLIALSSEDPFLTAFQLSWELQELSKVENEFKSE
+YEELSRQCKQFAKDLLDQTRSSRELEIILNYRDDNSLLEEQSGNDLARLKLAIKYRQKEF
+VAQPNCQQLLASRWYDEFPGWRRRHWAVKMVTCFIVGLLFPVFSVCYLIAPKSPLGLFIR
+KPFIKFICHTASYLTFLFLLLLASQHIDRSDLNRQGPPPTIVEWMILPWVLGFIWGEIKQ
+MWDGGLQDYIHDWWNLMDFVMNSLYLATISLKIVAFVKYSALNPRESWDMWHPTLVAEAL
+FAIANIFSSLRLISLFTANSHLGPLQISLGRMLLDILKFLFIYCLVLLAFANGLNQLYFY
+YEETKGLSCKGIRCEKQNNAFSTLFETLQSLFWSIFGLINLYVTNVKAQHEFTEFVGATM
+FGTYNVISLVVLLNMLIAMMNNSYQLIADHADIEWKFARTKLWMSYFEEGGTLPTPFNVI
+PSPKSLWYLIKWIWTHLCKKKMRRKPESFGTIGRRAADNLRRHHQYQEVMRNLVKRYVAA
+MIRDAKTEEGLTEENFKELKQDISSFRFEVLGLLRGSKLSTVQSAQGTKESSNSADSDEK
+SDNEGSSKDKKKNFSLFDLTTLIHPRSAAIAAERHTISNGSALVVQEPPREKQRKVNFVT
+DIRHFGLFHRRSKQHAAAEQNANQIFSVSEGVARQQAEGPLERSIQLESRTLASRGDLNI
+PGLSEQCILVDHRERNTDSLGVQVSKRVCSFKSEKVVVEDTVPIIPKEKKHAKEEDSSAD
+YDANLTDTITHEDYVTTRL
+>sp|A6H707|THEM6_BOVIN Protein THEM6 OS=Bos taurus OX=9913 GN=THEM6 PE=2 SV=1
+MLELLVALLALALAYFALLDGWYLVRVPCAVLRARLLQPRVRDLLAEQSYAGRVLPSDLD
+LLLHMNNARYLREADVARIAHLTRCGVLEALRALGARAVLAASCARYRRSLRLFEPFEVR
+TRLLGWDDRAFYVEARFISLRDGFVCALLRSRQHVLGTSPERVVQHLCKRRVEPPELPAD
+LQHWIAYNEASSQLLRAESGLHDILKEQ
+>sp|Q0VC71|TTLL1_BOVIN Probable tubulin polyglutamylase TTLL1 OS=Bos taurus OX=9913 GN=TTLL1 PE=2 SV=1
+MAGKVKWVTDIEKSVLINNFEKRGWVQVTENEDWNFYWMSVQTIRNVFSVETGYRLSDDQ
+IVNHFPNHYELTRKDLMVKNIKRYRKELEKEGSPLAEKDESGKYLYLDFVPVTYMLPADY
+NLFVEEFRKSPSSTWIMKPCGKAQGKGIFLINKLSQIKKWSRDSKTSSFVTQSTKEAYVI
+SLYINNPLLIGGRKFDLRLYVLVSTYRPLRCYMYKLGFCRFCTVKYTPSTSELDNMFVHL
+TNVAIQKHGEDYNHIHGGKWTVNNLRLYLESTRGKEVTSKLFDEIHWIIVQSLKAVAPVM
+NNDKHCFECYGYDIIIDDKLKPWLIEVNASPSLTSSTANDRILKYNLINDTLNIAVPNGE
+IPDCKWNKSPPKEVLGNYEILYDEELAQGDGAERELRSRPGQSLGPKGSRLRDAGRTVLT
+TWK
+>sp|Q3T028|TSG13_BOVIN Testis-specific gene 13 protein OS=Bos taurus OX=9913 GN=TSGA13 PE=2 SV=2
+MGQRRQTKSQHAKPRTFRTSPVMFEKEIFFDSDEIIDAVGQSKFVLKNLHHYTVHPNLAQ
+YYEPLKPTALQKFLARNRKIQSFTLKVIEYDQDKTLLILTNNPLPCPIDHQGKDVTPKYF
+SNELLLKESHQHKPTENFFLPLMPQKKNLRSGLKPVFPLMLLEDTKSKREQWFRFSTDND
+FKSEGKYSKVYTLRQQKKMYPQLTFASVCKKYMKNDVSKKSGSDSPTSQMIWEPLTLSSL
+LEKKPTRTAPGESEFRNGRAQQWFIKSATVIK
+>sp|Q3MHL4|SAHH_BOVIN Adenosylhomocysteinase OS=Bos taurus OX=9913 GN=AHCY PE=2 SV=3
+MSDKLPYKVADISLAAWGRKALDLAENEMPGLMHMREMYSASKPLKGARIAGCLHMTVET
+AVLIETLVALGAEVRWSSCNIFSTQDHAAAAIAKAGIPVYAWKGETDEEYLWCIEQTLYF
+KDGPLNMILDDGGDLTNLIHTKYPQLLSGIRGISEETTTGVHNLYKMMAKGILKVPAINV
+NDSVTKSKFDNLYGCRESLIDGIKRATDVMIAGKVAVVAGYGDVGKGCAQALRGFGARVI
+ITEIDPINALQAAMEGYEVTTMDEACQEGNIFVTTTGCTDIILGQHFEQMKDDAIVCNIG
+HFDVEIDVKWLNENAVEKVNIKPQVDRYLLKNGRRIILLAEGRLVNLGCAMGHPSFVMSN
+SFTNQVLAQIELWTHPDKYPVGVHFLPKKLDEAVAEAHLGKLNVKLTKLTEKQAQYLGVS
+REGPFKPDHYRY
+>sp|P24627|TRFL_BOVIN Lactotransferrin OS=Bos taurus OX=9913 GN=LTF PE=1 SV=2
+MKLFVPALLSLGALGLCLAAPRKNVRWCTISQPEWFKCRRWQWRMKKLGAPSITCVRRAF
+ALECIRAIAEKKADAVTLDGGMVFEAGRDPYKLRPVAAEIYGTKESPQTHYYAVAVVKKG
+SNFQLDQLQGRKSCHTGLGRSAGWIIPMGILRPYLSWTESLEPLQGAVAKFFSASCVPCI
+DRQAYPNLCQLCKGEGENQCACSSREPYFGYSGAFKCLQDGAGDVAFVKETTVFENLPEK
+ADRDQYELLCLNNSRAPVDAFKECHLAQVPSHAVVARSVDGKEDLIWKLLSKAQEKFGKN
+KSRSFQLFGSPPGQRDLLFKDSALGFLRIPSKVDSALYLGSRYLTTLKNLRETAEEVKAR
+YTRVVWCAVGPEEQKKCQQWSQQSGQNVTCATASTTDDCIVLVLKGEADALNLDGGYIYT
+AGKCGLVPVLAENRKSSKHSSLDCVLRPTEGYLAVAVVKKANEGLTWNSLKDKKSCHTAV
+DRTAGWNIPMGLIVNQTGSCAFDEFFSQSCAPGADPKSRLCALCAGDDQGLDKCVPNSKE
+KYYGYTGAFRCLAEDVGDVAFVKNDTVWENTNGESTADWAKNLNREDFRLLCLDGTRKPV
+TEAQSCHLAVAPNHAVVSRSDRAAHVKQVLLHQQALFGKNGKNCPDKFCLFKSETKNLLF
+NDNTECLAKLGGRPTYEEYLGTEYVTAIANLKKCSTSPLLEACAFLTR
+>sp|Q08D99|TM41A_BOVIN Transmembrane protein 41A OS=Bos taurus OX=9913 GN=TMEM41A PE=2 SV=1
+MHSLLGLLLVFAGSTFALYLLSTRLPRASTLVSAEESGDRSLWFPSDLAELRELSEVLRE
+YRKEHQVYVFLLFCSAYLYKQSFAIPGSSFLNVLAGALFGPWLGLLLCCVLTSVGATGCY
+LLSSVFGKQLVVFYFPDKVALLQKKVEENRNGLFFFLLFLRLFPMTPNWFLNLSAPILNI
+PIVQFFFSVLIGLIPYNFICVQTGSILSTLTSLDALFSWETAFKLLAIALVALVPGTLIK
+KFSQKDLRLKETSNTHSLNSRKVT
+>sp|Q2KI29|TM256_BOVIN Transmembrane protein 256 OS=Bos taurus OX=9913 GN=TMEM256 PE=3 SV=1
+MAGPGAAFRRLGALSGAGALGLASYGAHGAQFPDAYGKELFDKTNKHHFLHSLALLAVPL
+CRKPLWAGLLLASGTTLFCTTFYYQALSGDPSFQNLAPVGGSLLLLGWLALAL
+>sp|Q08DN7|VAV_BOVIN Proto-oncogene vav OS=Bos taurus OX=9913 GN=VAV1 PE=2 SV=1
+MELWRQCTHWLIQCRVLPPSHRVTWDGAQVCELAQALRDGVLLCQLLNNLLPHAINLREV
+NLRPQMSQFLCLKNIRTFLSTCYEKFGLKRSELFEAFDLFDVQDFGKVIYTLSALSWTPI
+AQNKGIMPFPTEESVGDEDIYSGLSDQIDDTVEEDEDLYDCVENEEAEGDEVYEDLMRSE
+PVPMPPKMTEYDKRCCCLREIQQTEEKYTDTLGSIQQHFMKPLQRFLKPQDVEIIFINIE
+DLLRVHTHFLKEMKEALANPGASTLYQVFIKYKERFLIYGRYCSQVESASKHLDRVATAR
+EDVQMKLEECSQRANNGRFTLRDLLMVPMQRVLKYHLLLQELVKHTQDAMEKDNLRLALD
+AMRDLAQCVNEVKRDNETLRQITNFQLSIENLDQSLAHYGRPKIDGELKITSVERRSKMD
+RYAFLLDKALLICKRRGDTYDLKDFVNLHSFQVRDDSSGERDNKKWTHMFLLIEDQGAQG
+YELFFKTRELKKKWMEQFEMAISNIYPENATANGHDFQMFSFEETTSCKACQMLLRGTFY
+QGYRCQRCRAPAHKECLGRVPPCGRHGQDYSGTMKKDKPHRRAQDKKRNELGLPKMEVCQ
+EYYGLPPPPGAIGPFLRLNPGDIVELTKAEAEQNWWEGRNISTNEVGWFPCNRVKPYVHG
+PPQDLSVHLWYAGPMERAGAESILTNRSDGTFLVRQRVKDAAEFAISIKYNVEVKHIKIM
+TAEGLYRITEKKAFRGLTELVEFYQQNSLKDCFKSLDTTLQFPFKEPERRAINKPSAGSI
+KIFGTAKARYDFCARDRSELSLKEGDIVKILNKKGQQGWWRGEIYGRIGWFPSNYVEEDY
+SEYC
+>sp|Q5E9M5|SNPC3_BOVIN snRNA-activating protein complex subunit 3 OS=Bos taurus OX=9913 GN=SNAPC3 PE=2 SV=1
+MAEGSRGGPACGRMEDRQDPAPSSGGCGFPEYELPELNTRAFHVGAFGELWRCRLRGKGD
+LSLKEPPAPAVPEGDTVADSGREDAAVARDLGCSLEAAAELRAVCGLDKLKCLEEGEDPE
+VIPENTDLVTLGVRKRLLEHRKETITIDRVCRQETFAYEMESHAMGKKPENPADMIEEGE
+LILSVNILYPVIFHKHKEHKPYQTILVLGSQKLTELRDAICCVSDLQIGGEFSNTPDQAP
+EHISKDLYKSAFFYFEGTFYNDKRYPECRDLSRTIIEWSESHDRGYGKFQTAKMEDFTFN
+DLYIKLGFPYLYCHQGDCEHVVVITDIRLVHHDDCLDRTLYPLLIKKHWLWTRKCFVCKM
+YTARWVTNNDSFAPEDPCFFCDVCFRMLHYDSEGNKLGEFLAYPYVDPGTFN
+>sp|Q27957|TPPP_BOVIN Tubulin polymerization-promoting protein OS=Bos taurus OX=9913 GN=TPPP PE=1 SV=1
+MADSRPKPANKTPPKSPGEPAKDKAAKRLSLEAEGAGEGAAAAGAELSALEEAFRKFAVH
+GDARASGREMHGKNWSKLCRDCQVIDGRSVTVTDVDIVFSKIKGKSCRTITFEQFKEALE
+ELAKKRFKDKSAEEAVREVHKLIEGKAPIISGVTKAISSPTVSRLTDTSKFTGSHKERFD
+PSGRGKGRAGRVDLVDESGYVPGYKHAGTYDQKVQGGK
+>sp|Q32PG7|TM175_BOVIN Endosomal/lysosomal potassium channel TMEM175 OS=Bos taurus OX=9913 GN=TMEM175 PE=2 SV=1
+MSGPQAPEPTLEGQADASAGSPDEDAAEGIQHSHRMLSFSDALLSIIATVMEFDKSVQRL
+LATRIAVYLMTFLIVTVAWAAHTRLFQVVGKIDDTLALLNLFSLMVTFPEVPLGIFLFCM
+CVIAIGAVQALIVLYAFHFPHLLSPQIERSAHRGLYRQRVLGIIVRGPALCLAAAGFSLF
+FYPASYLLMAMVIVLPHVSKAAGWCRAQLVGPREPPAHSVEVFTFDLHEPLSKERVEAFS
+DGVYAIVATLLILDICEDNVPDAKDVKEKFQGSLVAALGESGPHFLAYFGSFATVGLLWF
+AHHSLFLHIRRATQPMGLLNTLSLAFVGGLPLAYQQTSAFTKQPRDELESVRISCAIIFL
+ASIFQFAIWTTALLQEGETLQPSARFGGREHAFMFAKLALYPCASLLAFACTCVLSSFST
+AIFHAMQIAVPFAFLLLRLLVRLALAGLRALRGLVGPVLARPAPGAADEAQSPLLPAPC
+>sp|P25068|TAP_BOVIN Tracheal antimicrobial peptide OS=Bos taurus OX=9913 PE=1 SV=1
+MRLHHLLLALLFLVLSAWSGFTQGVGNPVSCVRNKGICVPIRCPGSMKQIGTCVGRAVKC
+CRKK
+>sp|Q3T0W0|TM86B_BOVIN Lysoplasmalogenase OS=Bos taurus OX=9913 GN=TMEM86B PE=2 SV=1
+MDARKEGLLRKPRFSAQPRVGMGLLPFFLACAVYLLVRNADQPPWVNVLLKILPVLYLAG
+FLGTAHPGGGYRALLQGALLCSAVGDACLVWPEALRYGMAAFGLAHLLYLRAFGLTPLKP
+GLLLPLLLVSALYFRVLHPHMPPQVVWPLLAYSIVLVAMLWRGLARGGSAHWGALLFVLS
+DSVLAWNIFTYLVPHGHLLVMTTYYSAQMLITLSAFQNPRLKSH
+>sp|Q32L85|SMDC1_BOVIN SAYSvFN domain-containing protein 1 OS=Bos taurus OX=9913 GN=SAYSD1 PE=2 SV=1
+MEQRLAEFRAARKRAGLVAEPSASSQSTQTSGEKAEAATTPKAPSGWLKRFLVWKPRPPS
+AQAQPSLAQGAAWPRGLESQPPWSPAEEAPPPPQPPPPQPLTPRDRSLLTSVTLLKVLLW
+LVLLGLFVELEFGLAYFVLSLFYWMYVGMRGPEEKMQGEKSAYSVFNPGCEAIQGSLTAE
+QLERELHLRPLPRR
+>sp|Q08DB2|STN1_BOVIN CST complex subunit STN1 OS=Bos taurus OX=9913 GN=STN1 PE=2 SV=1
+MESNSSQCEDETPSLLWGLDPVFLAFAKLYIRDILDLKESGQVQGVFFYNGHPIKQVDIL
+GTVIGVREKDAFYSYGVDDSTGVINCICWKRLNNTKSSSATATPSARELSLTSQLKKLQE
+TIAQRAKLEIGDIIRVRGHIRMFRGEREIHATTYYKVDDPVCNVQIARMLELPAIYRKVY
+DQPFHSPALKEDEALSNPGTLDLDSLTCLLSEKAKEFLVENRVQSFYQQELETVESLLSL
+ANQPVIHSACSGQMGFKNDTTSRAIHSIFRNAVKLLQEEGLVFQKDGGFDNLFYVTREDK
+ELHRKIHRIIQEECQKPNHVEKGCHFLHILACARLSLSPGLSEPVLQQVLQLLEDQSDIV
+STTEKYYTAF
+>sp|Q2TBI2|THAP4_BOVIN THAP domain-containing protein 4 OS=Bos taurus OX=9913 GN=THAP4 PE=2 SV=2
+MVICCAAANCSNRQGKGEKRAVSFHRFPLKDSKRLMQWLKAVQRDNWTPTKYSFLCSEHF
+TKDSFSKRLEDQHRLLKPTAVPSIFHLAEKKRRAGGHGRPRRRDTGKASAGLRAQASDPV
+DGKAAAGSPSSSSASPMAKPEPRKLKRATPQGRTAARAARETAGQERGRQPLEGRAEDGP
+ASAATSCSQGEAGTGAEDAGEEGATPADRGLVDRSGVSADDFTPPGSGACKFIGSLHSYS
+FSSKHARERPAVPREPVERKRLRRDAEPGCSGSSPGPEKGPAQSPPRACPSASSSLTATP
+QKPAQGASAPPTDVTPKPAAEAVQSEHSDASPMSINEVILSASGACKLIDSLHSYCFSSR
+QSKSQVCCLREQVEKKNGELRTLRQRVSRSDSQVRELRQKLDQLRRLSLPHLSSLLPPGR
+EPPKMSPVVEPLSWMLGTWLSEPPGAGTFPTLQPFRYLEEAHISHVGQPMLNFSFNAFHP
+DTHKPMHRECGFIRLEPDTNKVAFVSAQNTGIVEVEEGEVNGQELCIASHSIARISFAKE
+PHVEQITRKFRLNSEGNLEQTVSMATTTQPLTQHLHVTYKKVTP
+>sp|Q5E9U4|TYW3_BOVIN tRNA wybutosine-synthesizing protein 3 homolog OS=Bos taurus OX=9913 GN=TYW3 PE=2 SV=1
+MDLSAEFKRWKAQCLSKADLSRKGSVDEDVLEIVQLLNGQEQFFTTSSCAGRIILLDRSV
+NGSEVQKQNCCWLLVTHKACVKDDVIVALQKAKGDAILKFEPLVLHVQCRQLQDAQILHS
+VAIDSGFRNSGITVGKRGKTMLAVRSTHGLEVPLSHQGKLMVTEEYINFLLKIANQKMEE
+NKKRIERFYHCLQHALEKETVSTTSQPKEKVNTSYIRKKKRNPGKARGKRVNEEHDKELE
+NNDHDDPGISDTIFPEDY
+>sp|Q3SZW1|TSSK1_BOVIN Testis-specific serine/threonine-protein kinase 1 OS=Bos taurus OX=9913 GN=TSSK1B PE=2 SV=1
+MDDAAVLKRRGYIMGINLGEGSYAKVKSAYSERLKFNVAVKIIDRKKAPTDFLEKFLPRE
+IEILAMLNHRSIIKTYEIFETSDGKVYIVMELGVQGDLLEFIKTRGALQEDDARKKFHQL
+SSAIKYCHDLDVVHRDLKCENLLLDKDFNIKLSDFGFSKRCLRDDSGRLTLSKTFCGSAA
+YAAPEVLQGIPYQPKVYDIWSLGVILYIMVCGSMPYDDSNIKKMLRIQKEHRVNFPRSKH
+LTGECKDLIYRMLQPDVTRRLHIDEILSHCWVQPKARGLSSAAVNKEGESSRAAEPPWTP
+EPSSDKKSATKLEPREEVRPEPRAEPSPEEEMATVQVSRQSEAVGLPSEQPSKTEEGAPP
+QPSETHA
+>sp|Q3T0S3|TSN1_BOVIN Tetraspanin-1 OS=Bos taurus OX=9913 GN=TSPAN1 PE=2 SV=1
+MGCFNFIKVMMILFNMLIFLCGAALLAVGIWVSVDGPSFVKIFGPMSSSAMQFVNVGYFL
+IAAGAVLFALGFLGCYGAQTESKCALMTFFFILLLIFIAEVAAAVVALVYTTLAENFLTA
+VVVPNIKKEYGSQKDFTQVWNSTMAGLKCCGFTNYTDFEGSPYVRKNGTFPPYCCYDSVN
+NSFMEPCNNFTAHNMSVQGCFKQLLYDIRTNAVTVGGVAAGIGGLELAAMIVSMYLYCNL
+E
+>sp|P48616|VIME_BOVIN Vimentin OS=Bos taurus OX=9913 GN=VIM PE=1 SV=3
+MSTRSVSSSSYRRMFGGPGTASRPSSTRSYVTTSTRTYSLGSALRPTTSRTLYTSSPGGV
+YATRSSAVRLRSGVPGVRLLQDSVDFSLADAINTEFKNTRTNEKVELQELNDRFANYIDK
+VRFLEQQNKILLAELEQLKGQGKSRLGDLYEEEMRELRRQVDQLTNDKARVEVERDNLAE
+DIMRLREKLQEEMLQREEAESTLQSFRQDVDNASLARLDLERKVESLQEEIAFLKKLHDE
+EIQELQAQIQEQHVQIDMDVSKPDLTAALRDVRQQYESVAAKNLQEAEEWYKSKFADLSE
+AANRNNDALRQAKQESNEYRRQVQTLTCEVDALKGTNESLERQMREMEENFSVEAANYQD
+TIGRLQDEIQNMKEEMARHLREYQDLLNVKMALDIEIATYRKLLEGEESRISLPLPNFSS
+LNLRETNLDSLPLVDTHSKRTLLIKTVETRDGQVINETSQHHDDLE
+>sp|Q3ZCH5|ZA2G_BOVIN Zinc-alpha-2-glycoprotein OS=Bos taurus OX=9913 GN=AZGP1 PE=2 SV=1
+MVPVLLALLLLLGPAVSEETQAGNYSLSFLYTGLSKPREGFPSFQAVAYLNDQPFFHYNS
+EGRRAEPLAPWSQVEGMEDWEKESALQRAREDIFMETLSDIMDYYKDREGSHTFQGAFGC
+ELRNNESSGAFWGYAYDGQDFIKFDKEIPAWVPLDPAAQNTKRKWEAEAVYVQRAKAYLE
+EECPGMLRRYLPYSRTHLDRQESPSVSVTGHAAPGHKRTLKCLAYDFYPRSIGLHWTRAG
+DAQEAESGGDVLPSGNGTYQSWVVVGVPPEDQAPYSCHVEHRSLTRPLTVPWDPRQQAE
+>sp|Q0VCY3|SURF6_BOVIN Surfeit locus protein 6 OS=Bos taurus OX=9913 GN=SURF6 PE=2 SV=1
+MTSLLAKDAYLQGLAKKICSQPSAEPQKRKSAGKTQVSEAAAPPRKKRKKAQKKSRERER
+KTAKPKAQASAEKSEARKPEVAKEEEGATSSTRVPADGLAAEPDSLFALDVLRQRLHEKI
+QEARGQGSAKELSAAVLEKRRRRKQERDRKKRKRRELRAKEKAAKALEGAEATEPDLQVP
+REEAQAQPGLLFNKVEVTEEEPANKAQRRKEKRQKLKGNLTPLTGRNYRQLLERLQARQA
+RLEDLRDRDAGQAQELEAKMRWTNLLYKAEGVRIRDDERLLQEALKRKEKRRAQRQRAWE
+KRTAHVVGKMQQRQDQRRQNLRKKKAAKAERRLEKARKKGRILPQDLERAGLA
+>sp|Q2TBK5|TMED1_BOVIN Transmembrane emp24 domain-containing protein 1 OS=Bos taurus OX=9913 GN=TMED1 PE=2 SV=1
+MMAAGTALGLALWLLLPPVGVGGAGPPPIQDGEFTFLLPAGRKQCFYQSAPANASLETEY
+QVIGGAGLDVDFSLESPQGVLLVSESRKADGVHTVEPTEAGDYKLCFDNSFSTISEKLVF
+FELIFDSLQDEEEVEGWAEAVEPEEILEVKMEDIKESIETMRIRLERSIQVLTLLRAFEA
+RDRNLQEGNLERVNFWSAVNVAVLLLVAVLQVCTLKRFFQDKRPVPM
+>sp|A5D7M7|TMM88_BOVIN Transmembrane protein 88 OS=Bos taurus OX=9913 GN=TMEM88 PE=2 SV=1
+MADVPGAQRPVPGGGPEPRDPLDCWACAVLVTAQNLLVAAFNLLLLALVLGTILLPAVTM
+LGFGFLCHSQFLRSQAPPCTAHLRDPGFTALLVTGFLLLVPLLVLALASYRRLCLRLRLA
+DCLVPYSRALYRRRRNPQPRPARSSPGPQVAPTSGKVWV
+>sp|Q2KJ84|TMED5_BOVIN Transmembrane emp24 domain-containing protein 5 OS=Bos taurus OX=9913 GN=TMED5 PE=2 SV=1
+MGDKTWLPFPVVLLAALLLPRAAGFTPSLDSDFTFTLPAGQKECFYQPMPLKASLEIEYQ
+VLDGAGLDIDFHLASPEGKTLVFEQRKSDGVHTIETEVGDYMFCFDNTFSTISEKVIFFE
+LILDNMGEQEQEQEDWKKYITGTDMLDMKLEDILESINSIKSRLSKSGHIQTLLRAFEAR
+DRNIQESNFDRVNFWSMVNLVVMVVVSAIQVYMLKSLFEDKRKSRT
+>sp|Q2TBV5|TF2H2_BOVIN General transcription factor IIH subunit 2 OS=Bos taurus OX=9913 GN=GTF2H2 PE=2 SV=1
+MDEEPERTKRWEGGYERTWEILKEDESGSLKATIEDILFKAKRKRVFEHHGQVRLGMMRH
+LYVVVDGSRTMEDQDLKPNRLTCTLKLLEYFVEEYFDQNPISQIGIIVTKSKRAEKLTEL
+SGNPRKHITSLKKAVDMTCHGEPSLYNSLSMAMQTLKHMPGHTSREVLIIFSSLTTCDPS
+NIYDLIKSLKAAKIRVSIIGLSAEVRVCTALARETGGTYHVILDESHYKELLTHHVSPPP
+ASSNSECSLIRMGFPQHTIASLSDQDAKPSFSMAHLDSNTEPGLTLGGYFCPQCRAKYCE
+LPVECKICGLTLVSAPHLARSYHHLFPLDAFQEIPLEEHNGERFCYACQGELKDQHVYVC
+SVCQNVFCVDCDVFVHDSLHCCPGCIHKIPVPSGI
+>sp|Q07130|UGPA_BOVIN UTP--glucose-1-phosphate uridylyltransferase OS=Bos taurus OX=9913 GN=UGP2 PE=1 SV=2
+MSRFVQDLSKAMSQDGASQFQEVIRQELELSVKKELEKILTTAPSHEFEHTKKDLDGFRK
+LFHRFLQEKGPSVDWGKIQRPPEDSIQPYEKIKARGLPDNVSSVLNKLVVVKLNGGLGTS
+MGCKGPKSLIGVRNENTFLDLTVQQIEHLNKTYDTDVPLVLMNSFNTDEDTKKILQKYNH
+CRVKIYTFNQSRYPRINKESLLPVAKNVSYSGENTEAWYPPGHGDIYASFYNSGLLDTFI
+GEGKEYIFVSNIDNLGATVDLYILNHLMNPPNGKPCEFVMEVTNKTRADVKGGTLTQYEG
+KLRLVEIAQVPKAHVDEFKSVSKFKIFNTNNLWISLAAVKRLQEQNAIDMEIIVNPKTLD
+GGLNVIQLETAVGAAIKSFENSLGINVPRSRFLPVKTTSDLLLVMSNLYSLNAGSLTMSE
+KREFPTVPLVKLGSSFTKVQDYLRRFESIPDMLELDHLTVSGDVTFGKNVSLKGTVIIIA
+NHGDRIDIPPGAVLENKIVSGNLRILDH
+>sp|Q24JY4|ZNHI1_BOVIN Zinc finger HIT domain-containing protein 1 OS=Bos taurus OX=9913 GN=ZNHIT1 PE=2 SV=1
+MVEKKTSVRSQDPGQRRVLDRAARQRRINRQLEALENDNFQDDPHAGLPQLGKRLPQFDD
+DADTGKKKKKTRGDHFKLRFRKNFQALLEEQNLSVAEGPNYLTACAGPPSRPQRPFCAVC
+GFPSPYTCVSCGARYCTVRCLGTHQETRCLKWTV
+>sp|Q2TA10|UB2D1_BOVIN Ubiquitin-conjugating enzyme E2 D1 OS=Bos taurus OX=9913 GN=UBE2D1 PE=2 SV=1
+MALKRIQKELSDLQRDPPAHCSAGPVGDDLFHWQATIMGPPDSAYQGGVFFLTVHFPTDY
+PFKPPKIAFTTKIYHPNINSNGSICLDILRSQWSPALTVSKVLLSICSLLCDPNPDDPLV
+PDIAQIYKSDKEKYNRHAREWTQKYAM
+>sp|Q2YDM0|TM248_BOVIN Transmembrane protein 248 OS=Bos taurus OX=9913 GN=TMEM248 PE=2 SV=1
+MFNINPLENLKLYISSRPPLVVFMISVSAMAIAFLTLGYFFKIKEIKSPEMAEDWNTFLL
+RFNDLDLCVSENETLKHLTNDTAAPESTVTSGQARTSTQSPQPLEDAGPVNISVAITLTL
+DPLKPFGGYSRNVTHLYSTILGHQIGLSGREAQEEINITFTLPTSWSSDDCALHGHCEQV
+VFTACMTLTAHPGVFPVTVQPPHCVPDTYSNATLWYKIFTTARDANTKYAQDYNPFWCYK
+GAIGKVYHALNPKLTVIVPDDDRSLINLHLMHTSYFLFVMVITMFCYAVIKGRPSKLRQS
+NPEFCPEKVALADA
+>sp|E1BPH3|TDRD5_BOVIN Tudor domain-containing protein 5 OS=Bos taurus OX=9913 GN=TDRD5 PE=3 SV=1
+MSEQERIQECLRKEIRSLLISTKDGLTPQQLEKEYLLMVGNHLPLRILGYRSTMELVLDM
+PDVVSVCPCGDGTVILKAIPDESTKGIANLVAKQKSNHKVRNSMQKGRASVCSGPSSRRR
+VPYRGRVPPILPAVVKSELKDLLALSPVLLSDFENAFANRFGRSFQYVQYGFLSMFEVLN
+AASDVISVEQTRAGSLLTLKKSVSEEKQRGWPAAKGKIFTQPFRMKQQGSYPIGFPVAKA
+CFSQPTSNMEPPKQILGMERNSRSNVMETSRLNHSEKLNQLALTLQSWVVSHYGFNGSFS
+SAKKHKAMYTLRKFTENLMVCCLFNEYMLIFKEQLSPKKLGFLNVIELVGALSDILHVEF
+REGEQDLLVFDADMKPLASVQPDKKTEAKACVSSPPRNSLSTAVIKETTWDCPSKNHKEP
+EQKIFKKPNLVVKPLQLQVEINKSQLSLAVANHDIPPDAVRDKKLCRLPPLDTSTLVGVF
+VEYILSPSQFYIRIYSRDSSELLEDMMIEMRRCYSNQLVSDRYAMPECFIQPGHLCCVRI
+SEDKWWYRVIIHRILGKQEVEVFYPDFGNIGTVQKSSLRFLKCCYTKLPAQAIPCSLAWV
+RPVEEHWTSRAVLQFQKLCGLKPLVGVVDEYVDGILNIFLCDTSSNEDVYFHHVLRTEGH
+AIVCRENVPSKGFRDLNPLALYTKSSVTPEDIVLKELGYHSQQHYFNEDREISPQSKESD
+LYTLDEIPTGMPYLESVTIGDDIWDENWLPLQAKMGKESDAASHLFTSSLGGKKPYPSCK
+EIPQKDWCFSTPKDIWDDSWQPSGLVNGMKVEVQIPEGLGAQEKHIDTTRIQKQSNVKSA
+SDSPALPKLEEFYISLIQSQQSAEGSQFEPSNIQTPPKQIQLSSTPTLVNSGSVEGSPES
+LENEDFSSSSAITVFKDTSQGAVDQLSLILSPEHQISQKFYIPRSTATAALGAAARLATS
+NSLLHWYPSVKKMET
+>sp|A6H782|TEKT3_BOVIN Tektin-3 OS=Bos taurus OX=9913 GN=TEKT3 PE=1 SV=1
+MELLGSTLTATYAHPRPTPTNFLPAISTMASTYRDRFPHYNLTHSLSLPWRPSTYYKAAS
+NWPTLDPYCTRSQRVSESTMLPFVSNRTTLFTRYTPDDWYRSNLTNFQESNTSRHNSERL
+RVDTSRLIQDKYQQTRKTQADSTQNLGERVNDIGFWKSEIIHELDAMIGETNELTDIKKR
+LERALMETEAPLQVARECLFHREKRMGIDLVHDEVEKELLTEVDTILCCQERMKLYLDKA
+IAQLAANRAAQHELEKDLSDKQSAYRIDDKCHHLRNTSDGVSYFHGVERVDATVSVPESW
+AKFTDDNILRSQSERAASAKLRDDIQNVLVVTANEMWNQFNKVNLAFTNRIAETADAKNK
+IQTHLAKTLQEIFQTEMTIESIKKAIVEKSAFLKVAQTRLDERTRRPNIELCRDMAQLRL
+VNEVYEVDDTIQTLQQRLRDAEDTLQSLAHTKATLEHDLAVKANSLYIDQDKCMSMRRSF
+PSTLRLVGFC
+>sp|A6QQ60|STPG1_BOVIN O(6)-methylguanine-induced apoptosis 2 OS=Bos taurus OX=9913 GN=STPG1 PE=2 SV=1
+MDNSTQKDQHSGKKYSRKANKVQKGFTAAYPTQSSIPYKYQPLIIPESEKKGFNSQAKRF
+YHKQDDIPGPGFYNVIHQSPVFDSVSLSKKGTCTFPSMCARLDTIVSKFPAANAYTIPSR
+LVSKKDFSNSCSSMFQLPSYEKVLKFETPAPNQYNASDSCCKQANNVCARAGFVSKTQRG
+LFTVSKTGPAPGHYDVNESLVKQSPKILMSCFKSKTDRGLKLTSTGPGPGYYNPNGHPKV
+QKKTLIPRNPILNFSAQPLPLPPKPPLPGPGQYEIVDYTGPRKHFISSASFVSNTRRWTA
+GPSQPGMPGPATYRPEFPGKQSFLYNEDNKWIPVL
+>sp|Q56JX5|RS25_BOVIN 40S ribosomal protein S25 OS=Bos taurus OX=9913 GN=RPS25 PE=2 SV=1
+MPPKDDKKKKDAGKSAKKDKDPVNKSGGKAKKKKWSKGKVRDKLNNLVLFDKATYDKLCK
+EVPNYKLITPAVVSERLKIRGSLARAALQELLSKGLIKLVSKHRAQVIYTRNTKGGDAPA
+AGEDA
+>sp|Q2TBU9|RUVB2_BOVIN RuvB-like 2 OS=Bos taurus OX=9913 GN=RUVBL2 PE=2 SV=3
+MATVTATTKVPEIRDVTRIERIGAHSHIRGLGLDDALEPRQASQGMVGQLAARRAAGVVL
+EMIREGKIAGRAVLIAGQPGTGKTAIAMGMAQALGPDTPFTAIAGSEIFSLEMSKTEALT
+QAFRRSIGVRIKEETEIIEGEVVEIQIDRPATGTGSKVGKLTLKTTEMETIYDLGTKMIE
+SLTKDKVQAGDVITIDKATGKISKLGRSFTRARDYDAMGSQTKFVQCPDGELQKRKEVVH
+TVSLHEIDVINSRTQGFLALFSGDTGEIKSEVREQINAKVAEWREEGKAEIIPGVLFIDE
+VHMLDIESFSFLNRALESDMAPVLIMATNRGITRIRGTSYQSPHGIPIDLLDRLLIVSTS
+PYSEKDKKQILRIRCEEEDVEMSEDAYTVLTRIGLETSLRYAIQLITAASLVCRKRKGTE
+VQVDDIKRVYSLFLDESRSTQYMKEYQDAFLFNELKGETMDTS
+>sp|O77792|UCP3_BOVIN Mitochondrial uncoupling protein 3 OS=Bos taurus OX=9913 GN=UCP3 PE=2 SV=1
+MVGLQPSERPPTTSVKFLAAGTAACFADLLTFPLDTAKVRLQIQGENQAALAARSAQYRG
+VLGTILTMVRTEGPRSLYSGLVAGLQRQMSFASIRIGLYDSVKQFYTPKGSDHSSIITRI
+LAGCTTGAMAVTCAQPTDVVKIRFQASMHTGLGGNRKYSGTMDAYRTIAREEGVRGLWKG
+ILPNITRNAIVNCGEMVTYDIIKEKLLDYHLLTDNFPCHFVSAFGAGFCATLVASPVDVV
+KTRYMNSPPGQYHSPFDCMLKMVTQEGPTAFYKGFTPSFLRLGSWNVVMFVTYEQMKRAL
+MKVQMLRDSPF
+>sp|Q32L97|VAMP4_BOVIN Vesicle-associated membrane protein 4 OS=Bos taurus OX=9913 GN=VAMP4 PE=2 SV=1
+MPPKFKRHLNDDDVTGSVKSERRNLLEDDSDEEEDFFLRGPSGPRFGPRNDKIKHVQNQV
+DEVIDVMQENITKVIERGERLDELQDKSESLSDNATAFSNRSKQLRRQMWWRGCKIKAIM
+ALVAVILLLVIIILIVVKYRT
+>sp|Q58DW3|RL29_BOVIN 60S ribosomal protein L29 OS=Bos taurus OX=9913 GN=RPL29 PE=2 SV=3
+MAKSKNHTTHNQSRKWHRNGIKKPRSQRYESLKGVDPKFLRNMRFAKKHNKKGLKKMQAN
+NAKAMSARAEAVKALVKPKEIKPKMPTGGSRKLSRLAYIAHPKLGKRARARIAKGLRLCR
+PKSQAKASTKAKPPAAAAPAAKGAQAPTKAPE
+>sp|A2I7M9|SPA32_BOVIN Serpin A3-2 OS=Bos taurus OX=9913 GN=SERPINA3-2 PE=3 SV=1
+MRAERTSFLLALGLLVAGIRSVHCLPENVVVKDQHRRVDGHTLASSNTDFAFSLYKQLAL
+KNPNKNVILSPLSVSIALAFLSLGARGSTLTEILEGLKFNLTEIQEKEIHHSFQHLLQAL
+NQPSNQLQLSVGNAMFVQEELKLLDKFIEDAQVLYSSEAFPTNFRDSEAARSLINDYVKN
+KTQGKIEELFKYLSPRTELVLVNYIYFKAQWKTPFDPKHTEQAEFHVSDNKTVEVPMMTL
+DLETPYFRDEELGCTLVELTYTSNDSALFILPDEGKMRDLEAKLTPETLTRWRNSLQPRR
+IHELYLPKFSIKSNYELNDILSQLGIRKIFANADLSGITGTADLVVSQVVHGAALDVDEE
+GTEGVAATGIGIERTFLRIIVRVNRPFLIAVVLKDTQSIIFLGKVTNPSEA
+>sp|Q1LZD1|TM102_BOVIN Transmembrane protein 102 OS=Bos taurus OX=9913 GN=TMEM102 PE=2 SV=1
+MASAVWGNAPWWSPPPPAPARPLTDIDFCSGAQLQELTQLIQELGVQESWNDGPKPGPDL
+LQAKDFVFSLLSLIHRRDPRFPPQTELLLLRGGIREGSLDLGPAPLGPYTRGPHYDAGFT
+LLVPVFSLDGTGQELQLDMRSCYAWLCLPEQVRGTSVREAWQDCLGPPVPGGRDWIHPTD
+SREGPRDPQSSVDQPHSDIIEPEAHESLEKSPSNVSVPESPQQNLTDIGFPSLSEETNDD
+VTKAADVSPGPQPSEAREAWPTLCPAQVAAWFFASLAAVAESLFPVPGAPRLVHAARHAG
+FTTILLATPGPPRRLLLFDLIPVVSVAGWPQGARSHSWAGPLASESSSFYLVPGGGGQTE
+RPGASGWQLCFARQELALKARIPTPLLQAHAAAQALLRPLVAGTRAAAPYLLRTLLYWAC
+ERLPALYLARPENAGACCLGLLDELGRVLEARTLPHYFLSGQKLRAGDGASSLLGALALL
+RGDPARALRAAVEEAKAARKGGGLAGVGAGSH
+>sp|A6QLU5|ZN184_BOVIN Zinc finger protein 184 OS=Bos taurus OX=9913 GN=ZNF184 PE=2 SV=1
+MEDLSAPESALFQGGHTLLPSASFQESVTFKDVIVDFTQEEWKQLDPVQRGLFRDVTLEN
+YTHLVSIGLQVSKPDVISQLEQGTEPWIVEPSIPVGTPGDWVTRPENSITASELDISGEE
+PSPGAVAEKHKRDDPWSTNFLETCESKGSPERQQANKQTLPREIKITEKTIPTLEQAHVN
+NDFEKSISVSLDLLTHKQISPKQTSTKTNVKQNLNPVKKEKSCKCNECGKAFTYCSALIR
+HQRTHTGEKPYKCNECEKAFSRSENLINHQRIHTGDKPYKCDQCGKGFIEGPSLTQHQRI
+HTGEKPYKCDECGKAFSQRTHLVQHQRIHTGEKPYTCNECGKAFSQRGHFMEHQKIHTGE
+KPFKCDECDKTFTRSTHLTQHQKIHTGEKTYKCNECGKAFNGPSTFIRHHMIHTGEKPYE
+CNECGKAFSQHSNLTQHQKTHTGEKPYDCAECGKSFSYWSSLAQHLKIHTGEKPYKCNEC
+GKAFSYCSSLTQHRRIHTREKPFECSECGKAFSYLSNLNQHQKTHTQEKAYECKECGKAF
+IRSSSLAKHERIHTGEKPYQCHECGKTFSYGSSLIQHRKIHTGERPYKCNECGRAFNQNI
+HLTQHKRIHTGAKPYECAECGKAFRHCSSLAQHQKTHTEEKPYHCNKCEKAFSQSSHLAQ
+HQRIHTGEKPYKCNECDKTFSRSTHLTEHQNTHTGEKPYNCNECRKTFSQSTYLIQHQRI
+HSAEKPFGCNDCGKAFRYRSALNKHQRLHPGI
+>sp|P79251|VATG1_BOVIN V-type proton ATPase subunit G 1 OS=Bos taurus OX=9913 GN=ATP6V1G1 PE=1 SV=3
+MASQSQGIQQLLQAEKRAAEKVSEARKRKNRRLKQAKEEAQAEVEQYRLQREKEFKAKEA
+AALGSHGSCSTEVEKDTQEKMTILQTYFQQNRDEVLDNLLAFVCDIRPEIHENYRING
+>sp|P82926|RT33_BOVIN 28S ribosomal protein S33, mitochondrial OS=Bos taurus OX=9913 GN=MRPS33 PE=1 SV=2
+MSSLSEYALRMSRLSARLFSEVARPTDSKSMKVVKLFSEQPLAKRKETYDWYPNHNTYFA
+LMGILRSVGLYRDEHQDFKDEQLRLKKLRGKVKPRKGEGKRAAKKK
+>sp|A0JNN2|SFTA2_BOVIN Surfactant-associated protein 2 OS=Bos taurus OX=9913 GN=SFTA2 PE=3 SV=1
+MGAGLPLVLLLTLVGSSQGAGPGMTLQLKLKNSLLANSSYNSSFLDFLQKFCLLLHLPLG
+TNVTLHQAGSSQHVTCRV
+>sp|P21282|VATC1_BOVIN V-type proton ATPase subunit C 1 OS=Bos taurus OX=9913 GN=ATP6V1C1 PE=1 SV=3
+MTEFWLISAPGEKTCQQTWEKLHAATTKNNNLAVSSKFNIPDLKVGTLDVLVGLSDELAK
+LDAFVEGVVKKVAQYMADVLEDSKDKVQENLLANGVDLVTYITRFQWDMAKYPIKQSLKN
+ISEIIAKGVTQIDNDLKSRASAYNNLKGNLQNLERKNAGSLLTRSLAEIVKKDDFVLDSE
+YLVTLLVVVPKLNHNDWIKQYETLAEMVVPRSSNVLSEDQDSYLCNVTLFRKAVDDFRHK
+ARENKFIVRDFQYNEEEMKADKEEMNRLSTDKKKQFGPLVRWLKVNFSEAFIAWIHVKAL
+RVFVESVLRYGLPVNFQAMLLQPNKKTMKKLREVLYELYKHLDSSAAAIIDAPMDIPGLN
+LSQQEYYPYVYYKIDCNLLEFK
+>sp|Q4U5R4|RN114_BOVIN E3 ubiquitin-protein ligase RNF114 OS=Bos taurus OX=9913 GN=RNF114 PE=2 SV=1
+MAAQAQAPARDGGAQLAGPAAEADPLGRFTCPVCLEVYEKPVQVPCGHVFCSACLQECLK
+PKKPVCGVCRSALAPGVRAVELERQIESTETSCHGCRKNFFLSKIRAHVATCSKYQNYIM
+EGVKATTKDASRQPRSVPNRYTFPCPYCPEKNFDQEGLVEHCKLSHSTDTKSVVCPICAS
+MPWGDPNYRSANFIEHLQRRHQFSYDTFVDYDVDEEDMINQVLQRSIIDQ
+>sp|Q0II22|RN126_BOVIN E3 ubiquitin-protein ligase RNF126 OS=Bos taurus OX=9913 GN=RNF126 PE=2 SV=1
+MAEASPQPGRYFCHCCSVEIVPRLPDYICPRCESGFIEELPEETRSAENGSAPSTASADQ
+SRQQPFENVDQPLFTLPQGYGHFAFGIFDDSFEIPTFPPGAQADDSRDPESRREREQHSR
+HRYGARQPRARLTARRATGRHEGVPTLEGIIQQLVNGIITPATIPNLGLGPWGVLHSNPM
+DYAWGANGLDAIITQLLNQFENTGPPPADKEKIQALPTVPVTEEHVGSGLECPVCKDDYG
+LGEHVRQLPCNHLFHDGCIVPWLEQHDSCPVCRKSLTGQNTATDPPGLAGVSFSSSSSSS
+SSSPGNENPASSS
+>sp|P61257|RL26_BOVIN 60S ribosomal protein L26 OS=Bos taurus OX=9913 GN=RPL26 PE=2 SV=1
+MKFNPFVTSDRSKNRKRHFNAPSHIRRKIMSSPLSKELRQKYNVRSMPIRKDDEVQVVRG
+HYKGQQIGKVVQVYRKKYVIYIERVQREKANGTTVHVGIHPSKVVITRLKLDKDRKKILE
+RKAKSRQVGKEKGKYKEETIEKMQE
+>sp|Q32KS0|TBCE_BOVIN Tubulin-specific chaperone E OS=Bos taurus OX=9913 GN=TBCE PE=2 SV=1
+MNSTSTSDVIGRRVEVNGEHATVRFSGLVPPVAGLWLGVEWDNPERGKHDGSHEGTVYFK
+CRHPTAGSFIRPHKVNFGVDFLTAIKNRYVLEDEPKEEETEQIVIIGNKPVETIGFDSVI
+KQQSQLSKLQDVSLRNCAVNGAGDKGEIAKACPNIRSIDLSKNLLSSWEEVIDIADQLKH
+LEVLNLSENKLTSPSSSPSPTGTFPTLKVLVLNRTGVTWAEVLRCASGWPVLEKLYLESN
+NIIISERPTDVLQTVKLLDLSSNQLIDENQLFLIAYLPRLEQLILSDIGISSIHFPDAGI
+GCKTSMFPSLQYLVLNDNQIAQWSFMNELDKLQSLHALSCTRNPLTEGSKDAQTTRQFII
+ARIGQLRTLNKCAIEPEERRGAELDYRKAFGNEWKKAGGHQDPEKNRPNEEFLAAHPRYQ
+ALCLKYGAPEDGELKTQQPFLLKNQLLTLKIKYPNQHDQKVIEKQLPDSMTVQKVKGLLS
+RLLKVPVSELLLSYESPKMPGKEVELENDLQPLRFYSVENGDCLLVRW
+>sp|A7YY49|SURF4_BOVIN Surfeit locus protein 4 OS=Bos taurus OX=9913 GN=SURF4 PE=2 SV=1
+MGQNDLMGTAEDFADQFLRVTKQYLPHVARLCLISTFLEDGIRMWFQWSEQRDYIDTTWN
+CGYLLASSFVFLNLLGQLTGCILVLSRNFVQYACFGLFGIIALQTIAYSILWDLKFLMRN
+LALGGGLLLLLAESRSEGKSMFAGVPTMRESSPKQYMQLGGRVLLVLMFMTLLHFDASFF
+SILQNIVGTALMILVAIGFKTKLAALTLVVWLFAINVYFNAFWTIPVYKPMHDFLKYDFF
+QTMSVIGGLLLVVALGPGGVSMDEKKKEW
+>sp|Q9XT49|SC6A4_BOVIN Sodium-dependent serotonin transporter OS=Bos taurus OX=9913 GN=SLC6A4 PE=2 SV=1
+METTPLNSQKELSAYKDGEDCQENGVLQKGVPAPGDKAESGQISNGYSAVPNPGAGDDTQ
+HSIPAATTALVAEVHPAERETWAKKVDFLLSVIGYAVDLGNVWRFPYICYQNGGGAFLLP
+YTIMAIFGGIPLFYMELALGQYHRNGCISIWTKICPIFKGIGCAICLIAFYIASYYNTII
+AWALYYLISSFTEQLPWTSCENSWNTGNCTNYFSEDNITWTLHSTSPAEEFYTRHVLQIH
+RSKGLQDLGGLSWQLVLCIMFIFTVIYFSIWKGVKTSGKVVWVTATFPYIILLILLVRGA
+TLPGAWRGVLFYLKPNWQKLLETGVWVDAAAQIFFSLGPGFGVLLAFASYNKFHNNCYQD
+ALVTSAVNCMTSFVSGFVIFTVLGYMAEMRKEDVSEVAKDAGPSLLFITYAEAIANMPAS
+TFFAIVFFLMLITLGLDSTFAGLEGVITAVLDEFPHVWAKRREWFVLGVVITCFFGSLVT
+LTFGGAYVVKLLEEFATGPAVLTVALIEAVAVFWFYGINQFCSDVKEMLGFSPGWFWKIC
+WVAISPLFLLFIICSFLMSPPQLRLFQYDYPRWSIILGYCIGTSSFICIPTYITYRLIVT
+PGTLKERIIKGITPETPTAIPCGDIRLNAV
+>sp|A1A4L1|THEM4_BOVIN Acyl-coenzyme A thioesterase THEM4 OS=Bos taurus OX=9913 GN=THEM4 PE=2 SV=1
+MLRSCTAGLRSIWALRGRREGAPRLSMDLQPARRLFSTEKVIHKDWALPNPSWSKDLKLL
+FDQFMKKCEDGSWERLPSYKRRSTQESEDFKTYFLDPKLVEEERLSQAQLFTRGFEDGLG
+FEYVIFKNNDEKRTVCLFQGGPYLQGVPGLLHGGAMATMIDIALGSCTGGAVMTANLNIN
+FKRPVPLCSVVVINSQLDKLEGRKLFLSCNVRSVDEKTLYSEATGLFIKLDPEKSST
+>sp|A5PKA5|SNX27_BOVIN Sorting nexin-27 OS=Bos taurus OX=9913 GN=SNX27 PE=2 SV=1
+MADEDGEGIHPAAPHRNGGGGGGGGSGLHCAGNGGGGGGGPRVVRIVKSESGYGFNVRGQ
+VSEGGQLRSINGELYAPLQHVSAVLPGGAADRAGVRKGDRILEVNGVNVEGATHKQVVDL
+IRAGEKELILTVLSVPPHEADNLDPSDDSLGQSFYDYTEKQAVPISVPTYKHVEQNGEKF
+VVYNVYMAGRQLCSKRYREFAILHQNLKREFANFTFPRLPGKWPFSLSEQQLDARRRGLE
+EYLEKVCSIRVIGESDIMQEFLSESDENYNGVSDVELRVALPDGTTVTVRVKKNSTTDQV
+YQAIAAKVGMDSTTVNYFALFEVINHSFVRKLAPNEFPHKLYVQNYTSAVPGTCLTIRKW
+LFTTEEEILLNDNDLAVTYFFHQAVDDVKKGYIKAEEKSYQLQKLYEQRKMVMYLNMLRT
+CEGYNEIIFPHCACDSRRKGHVITAISITHFKLHACTEEGQLENQVIAFEWDEMQRWDTD
+EEGMAFCFEYARGEKKPRWVKIFTPYFNYMHECFERVFCELKWRKENIFQMARSQQRDVA
+T
+>sp|E1BD52|TM245_BOVIN Transmembrane protein 245 OS=Bos taurus OX=9913 GN=TMEM245 PE=3 SV=1
+MADDGGPEEALSPRGSPSRVPRVQRPVGAASGGGGCGETPRTAALALRFDKPIKQAFYNT
+GAVLFVCLCCGAAVLVYFILEAFLRPLLWAVLCGTFLHPFKSSLTRLGRHWLQRLHRAHT
+PIVLAALLLPICFADYGVEALGEQALRRRRLLLLLGAGGPLLYGLYSLGSYLGVQVLLAH
+AGALICCGLDYFNSLWIWTLVVGYVLAVSFKWNASTERYLRAMSIPVWIILLFHLASLAG
+AWRIPVFLVIVFLMSVGTLYEKQNGKESSGAELPGQVISMAASTLATLAISITGYESSTE
+DHTSTQPAETETMDRGEPPPTLSSSSSPTSPSPPPGRQRSEAGTFLRKKKTSDVYFVSLL
+WAIVGVQIWSNLWIVQLLPVPIAVWVLKKLVIHFGVVNFLEKRCSVWWQATEHFLRERQQ
+ALAPWPIIGLGKFLLKVDSKLWHWLNKKMIIWLEKMLDKIISIFIIFLLVIGTLLLALLL
+TAKVHQESVHMIEVTSNLINETLANHPEWANWLPEAQVVQRALNSAANNVYQYGREWITH
+KLHKILGDKVNNTAVIEKQVLELWDRLYHSWFVKNVSHSGRHRGHKLHISRQNSWLGDIL
+DWQDIASFVHENIETFLSILESLWVVMSRNVSLLFSTITTLLTILFYSGTALLNFVLSLI
+IFLTTLFYLLSSSDEYYKPVKWVISLTPLSQPGPSSNIIGQSVEEAIRHSRGVFDASLKM
+AGFYGLYTWLTHTIFGINIVFIPSALAAILGAVPFLGTYWAAVPAVLDLWLTQGLGCKAI
+LLLVFHLLPTYFVDTAIYSDISGGGHPYLTGLAVAGGAYYLGLEGAIIGPILLCILVVAS
+NIYSAMLVSPTNSVPTPNQTPWPAQAQR
+>sp|P08858|TKNK_BOVIN Tachykinin-3 OS=Bos taurus OX=9913 GN=TAC3 PE=3 SV=1
+MRSTLLFAVILALSSARSLGAVCEESQEQVVPGGGHSKKDSNLYQLPPSLLRRLYDSRVV
+SLDGLLKMLSKASVGPKESPLPQKRDMHDFFVGLMGKRNLQPDTPVDINQENIPSFGTFK
+YPPSVE
+>sp|Q2NKR1|TIM10_BOVIN Mitochondrial import inner membrane translocase subunit Tim10 OS=Bos taurus OX=9913 GN=TIMM10 PE=3 SV=1
+MDPLRAQQLAAELEVEMMADMYNRMTSACHRKCVPPHYKEAELSKGESVCLDRCVSKYLD
+IHERMGKKLTELSMQDEELMKRAQQSSGPV
+>sp|Q3ZBI3|RNH2B_BOVIN Ribonuclease H2 subunit B OS=Bos taurus OX=9913 GN=RNASEH2B PE=2 SV=2
+MARGADGGDGASVWQHVFLLPEYLKDDSKKMKSGLVFVKLANPCSGEGTIYLFNMCLPQL
+FEIKVFKEKNHSWFINESVQSGGLLHFATPVDPLFLLLHYLIKADKESFQGKFQPLDQVV
+MDDMFPDCILLLKLPELEKLLQHVTEEKEVDKKKYYKYSKEKTLKWLGKKVNQTMAALKT
+NKVNVSARVQSTAFFSGGQVSSDKDEDYVRYAHGLISDYIPKQLSDDLSKYLKLPEPPAS
+VRNPPSKKAKLSDEPVMAKEDYTKFNSKDFKTVKKNSKMTAAQKALAKVDKSGMKSIDSF
+FGTKHVKKK
+>sp|Q0IIA6|SPA2L_BOVIN Spermatogenesis-associated protein 2-like protein OS=Bos taurus OX=9913 GN=SPATA2L PE=2 SV=1
+MGSSSLSEDYRLCLERELRRGRAGVCGDPSLRAVLWHILVEDFDLHGALQDDALALLTDG
+LWGRADLAPALRGLARAFELLELAAVHLYLLPWRKEFTTIKTFSGGYVHVLKGALSEDLL
+IQSFQKMGYVRRDAHRLMVAALPPARQLVQVALGCFALRLECEILGEVLAQLGTSVLPAE
+ELLQARRASVDVASCVAWLQQRLAREEEPPPLPRRGSPTGCQARLDLYRDVQEDEGSDEA
+SLYGGPSPGPDSPTSELACQPRFWEQSARLWGAGGGPWEPAEVSSPTSGASEEEEPQPEA
+FSFLSLRRELLSRPGDLAPPHAPRSPEQASPPPIPEPPGYQMHTCLAPGALPALCCDTCR
+QLHAAHCAALPSCHPGHSLRTLRGNSQRRLWLQRAQVDALLYDSPAAGP
+>sp|Q17QW2|TM39B_BOVIN Transmembrane protein 39B OS=Bos taurus OX=9913 GN=TMEM39B PE=2 SV=1
+MGGRRGPNRTSYCRNPLCEPGSSGGSGGGHTSSASVTSVRSRTRSSSGTGLSSPPLATQT
+VVPLQHCKIPELPVQASILFELQLFFCQLIALFVHYINIYKTVWWYPPSHPPSHTSLNFH
+LIDFNLLMVTTIVLGRRFIGSIVKEASQRGKVTLFRSILLFLTRFTVLTATGWSLCRSLI
+HLFRTYSFLNLLFLCYPFGMYIPFLQLNCDLRKTNLFSHVASMGPREAVSGLARSRDYLL
+TLRETWKQHTKQLYGPDAMPTHACCLSPSLIRSEVEFLKMDFNWRMKEVLVSAMLSAYYV
+AFVPVWFVKNTHYYDKRWSCELFLLVSISTSVILMQHLLPASYCDLLHKAAAHLGCWQKV
+DPALCSNVLQHPWTEECMWPQGVLVKHSKNVYKAVGHYNVAIPSDVSHFRFHFFFSKPLR
+ILNILLLLEGAVIVYQLYSLMSSDKWHQTISLALILFSNYYAFFKLLRDRLVLGKAYSYS
+ANSQRDLDHRFS
+>sp|Q2KJD2|VAMP3_BOVIN Vesicle-associated membrane protein 3 OS=Bos taurus OX=9913 GN=VAMP3 PE=3 SV=1
+MTTNAPAGSSAAAGSSRRLQQTQNQVDEVVDIMRVNVDKVLERDQKLSELDDRADALQAG
+ASQFETSAAKLKRKYWWKNCKMWAIGITVVVIIIIIIVVWSISS
+>sp|P40682|VAS1_BOVIN V-type proton ATPase subunit S1 OS=Bos taurus OX=9913 GN=ATP6AP1 PE=1 SV=1
+MMAATAAAQVRAGTRWAPALCRMPWLPLMLVAAAAATSEQQVPLVLWSSDRGLWAPAADT
+HEGHITSDMQLSTYLDPALELGPRNVLLFLQDKLSIEDFTAYGGVFGNKQDSAFSNLENA
+LDLAPSSLVLPAVDWYAISTLTTYLQEKLGASPLHVDLATLQELKLNASIPALLLIRLPY
+TASSGLMAPKEVLMGNDEVIGQVLSTLKSEDIPYTAALTAVRPSRVARDVAMVTGGLGRQ
+LLQRTVVPPTMNVPVSYNDSYDTRILFWAQNFSVAYGEHWEDLTSRTFGVQDLNLTGSFW
+NDTVARLVLTYDSLFGTMVTFKFILANSYYSVSARHWFTLENLEIHSNGSVAYFNASQVT
+GPSIYSFHCEHVSSENEDGNLLVPDTQPSLWQMTFRDFQIQAFNVTDKKFSYASDCAGFF
+SPGIWMGLLTSLFMLFIFTYGLHMILSLKTMDRFDDHKGPTITLTQIV
+>sp|A7MBI1|ZFP69_BOVIN Zinc finger protein 69 homolog OS=Bos taurus OX=9913 GN=ZFP69 PE=2 SV=1
+MLQQLLITLPTEASTWVKLHHPENAKEGAPLWEDVTKMFEGGALLSQDADETQGESLKDE
+LTPGTPTTDSQELLTFKDISVDFTQEEWGQLAPAHRNLYREVMLENYGNLVSVAGCQLSK
+PSVISQLEKGEEPWMTEKEGPGDPNSDLKSKTETSASNAKYNILQEQLYHGMMMERFMRD
+DVIYSTLKKVSEYDDELEKHQDRHGRNVRQTIMTHKKRSQETYKFGKNIVSSNVVIEQRL
+RKCDTPRKRNKCKSDVINHPTSYIRVKTYECNICEKAFKQPIHLTEHMRIHTGEKPFRCK
+ECGRAFSQSASLTTHQRIHTGEKPFECEECGKAFRHRSSLNQHHRTHTGEKPYICDKCQK
+AFSQNISLIQHLRTHSGEKPFTCNECGKTFRQIRHLSEHIRIHTGEKPYACTACCKTFSH
+RAYLTHHQRIHTGERPYKCKECGKAFRQRIHLSNHKTVHTGVKAYECNRCGKAYRHDSSF
+KKHQRHHTGEKPYECNECGKAFSYNSSLTRHHEIHRRNVFQNNI
+>sp|Q2YDJ2|SC22C_BOVIN Vesicle-trafficking protein SEC22c OS=Bos taurus OX=9913 GN=SEC22C PE=2 SV=1
+MSLILFACVVRVRDGLPLSASTDFYHSQDFLECRRRLKTLALRLAQYPGRGSAEGCDFSI
+HFSSSRDVACMAICSLQCPAAMAFCFLETLWWEFTASYDTTCVGLASRPYAFLEFDNVIQ
+KVKWHFNYVSSTQMDSSLGKIQEELKFQPPVVLTLEDTDVANGVMNGHTLMHLEPAPSFR
+MEPVTALGILSLILNIMCAALNLIRGIHLAEHSLQVAHEEIGNILAFLIPFVACIFQCYL
+YLFYSPARTMKVVLMLLFICLGNVYLHGLRNLWQILFHIGVAFLSSHQILTRQLQDKQSD
+CGV
+>sp|Q17QI5|VAMP7_BOVIN Vesicle-associated membrane protein 7 OS=Bos taurus OX=9913 GN=VAMP7 PE=2 SV=1
+MAILFAVVARGTTILAKHAWCGGNFLEVTEQILAKIPSENNKLTYSHGNYLFHYICQDRI
+VYLCITDDDFERSRAFNFLNEIKKRFQTTYGSRAQTALPYAMNSEFSSVLAAQLKHHSEN
+KGLDKVMETQAQVDELKGIMVRNIDLVAQRGERLELLIDKTENLVDSSVTFKTTSRNLAR
+AMCMKNLKLTIIIIIISVVFIYIIVSPLCGGFTWPNCVKK
+>sp|A2VDQ7|ZN420_BOVIN Zinc finger protein 420 OS=Bos taurus OX=9913 GN=ZNF420 PE=2 SV=1
+MAQRSVMFRDVAIDFSQEEWDCLDSAQRDLYRDVMLENYSNLVSLDLPSRGANKLLSPKK
+DIYETGLSQWEMSARLENCDLENSSSRDYLEVKGTLEKQQENQAYFSQGMIIYDNMSIFN
+QHAYLSQHPRCHSTEKPYKCKECGKAFRRASHLTQHQSIHTGEKPYECKQCGKAFSRDSQ
+LSLHQRLHTGEKPYACKECGKAFTQSSQLILHHRIHTGEKPYKCEECGKAFIRSSQLTRH
+QKVHTGEKPYECKECGKAFTQNSQLTLHQRLHTGEKLYECKECRKVFTQLSQLILHKRIH
+TGEKPYECKECGKAFICGSQLSQHQKIHNGEKPYECQECGKAFIRGSLLMQHQRIHTGEK
+PYKCEECGKAFIRGSQLTQHQRIHTNEKPYECKECGKTFSHGSQLTQHQRIHTGEKPYQC
+KECGKAFNRGSLLTRHQRIHTGEKPYECKECGKTFSRGSELTQHERIHTGEKPYECKECG
+KSFIRGSQLTQHQRIHTGEKPYECKECRMAFTQSSHLSQHQRLHTGEKPYVCNECGKAFA
+RGLLLIQHQRIHTGEKPYQCKECGKAFIRGSQLTQHQRIHTGEKPYGCKECGKAFSHGSQ
+LTLHQRIHTGEKPYECKECRKAFTQSSHLSRHQRVHTGEKPYQCRECGKAFTRGSQLTQH
+QRIHISEKSFAYKECGIDFSHDSQVYI
+>sp|Q2TBH8|ZWINT_BOVIN ZW10 interactor OS=Bos taurus OX=9913 GN=ZWINT PE=2 SV=1
+MGAAESEVETAAREVLAKVADIQEPVGFQEEAELPAQILAEFVMDSRKKDKLLCSQLQVV
+DFLQNFLVQEGTAQDQNPLASEDTSRQKALEAKEQWKELKATYQEHVEVITNSLTEALPK
+VEEAQIKQAQLQEALKQLQAKKQMAMEKLRIAQKQWQLEQEKHLQNLAEASSEVRERQTG
+AQQELQRLYQELGTLKQQAGQEKDKLQRHQTFLQLLYTLQGKQLFNEAEAEIPQELDLPK
+DKLQQVTQPQEQNTQDTMGREADNPQPVGDAGLPWLPGRQQHKEES
+>sp|Q1RMJ7|TSAP1_BOVIN tRNA selenocysteine 1-associated protein 1 OS=Bos taurus OX=9913 GN=TRNAU1AP PE=2 SV=1
+MAASLWMGDLEPYMDENFISRAFATMGETVMSVKIIRNRLTGIPAGYCFVEFADLATAEK
+CLHKINGKPLPGATPAKRFKLNYATYGKQPDNSPEYSLFVGDLTPDVDDGMLYEFFVKVY
+PSCRGGKVVLDQTGVSKGYGFVKFTDELEQKRALTECQGAIGLGSKPVRLSVAIPKASRV
+KPVEYSQMYSYSYNQYYQQYQNYYAQWGYDQNTGSYSYSYPQYGYTQSTMQTYEEVGDDA
+LEDPMPQLDVTEANKEFMEQSEELYDALMDCHWQPLDTVSSEIPAMM
+>sp|A4FV27|LAMP5_BOVIN Lysosome-associated membrane glycoprotein 5 OS=Bos taurus OX=9913 GN=LAMP5 PE=2 SV=1
+MDLRRRALLGVDGLRVLLMLFHTVTRIMAEQEVENLSGLSTNPEKDIFVVRENGTTCLMA
+EFAAKFIVPYDVWASNYVDLITEQADISLTRGAEVKGHCGHDESELQVFWVDRAYALKML
+FLKESHNTPKGPEATWKLSKVQFVYDSSEKTHFKDAVSAGKHTANSHHLSALVTPAGKSY
+ECQAQQTISLASSDPQKTVTMILSAVHIQPFDIISDFVFSEEHKCPVDEREQLEETLPLI
+LGLILGLVIVVTLVIYHIHHKMTANQVQIPRDRSQYKHMG
+>sp|P08760|KAD3_BOVIN GTP:AMP phosphotransferase AK3, mitochondrial OS=Bos taurus OX=9913 GN=AK3 PE=1 SV=3
+MGASARLLRAAIMGAPGSGKGTVSSRITKHFELKHLSSGDLLRDNMLRGTEIGVLAKTFI
+DQGKLIPDDVMTRLVLHELKNLTQYNWLLDGFPRTLPQAEALDRAYQIDTVINLNVPFEV
+IKQRLTARWIHPGSGRVYNIEFNPPKTMGIDDLTGEPLVQREDDRPETVVKRLKAYEAQT
+EPVLEYYRKKGVLETFSGTETNKIWPHVYAFLQTKLPQRSQETSVTP
+>sp|Q1RMP0|RPA12_BOVIN DNA-directed RNA polymerase I subunit RPA12 OS=Bos taurus OX=9913 GN=ZNRD1 PE=2 SV=1
+MDLAGICSSFQSDLDFCPDCGSVLPLPGVQDAVACTRCGFSINVRDFEGKVVKTSVVFNK
+LGTAMPLSMEEGPEFQGPVVDRRCSRCGHEGMAYHTRQMRSADEGQTVFYTCTNCKFQEK
+EDS
+>sp|Q2T9S6|RHCG_BOVIN Ammonium transporter Rh type C OS=Bos taurus OX=9913 GN=RHCG PE=2 SV=2
+MIWNTNLRWRLPVACLLLEVALIALFGVFVRYDMDADPHWVQEKVIKNLSTDLENEFYYR
+YPSFQDVHVMIFVGFGFLMTFLQRYGYSSVGFNFLLAAFGIQWALLMQGWLQSFDGRYIL
+VDLENLINADFCVGSVCVAFGAVLGKVSPVQLLIMTLFQVTLFSINEYILLNLLEVKDSG
+GSMTIHAFGAYFGLTVAWILYRPNLHLSKERQSSTYHSDLFAMIGTLFLWMYWPSFNSAI
+SNHGDAQHRAAINTYCSLAACVLTSVALSSALHRKGKLDMVHIQNATLAGGVGLGTVAEL
+MVLPFGSLIIGFVCGIVSTLGFVYLTPFLESRLHIQDTCGVHNLHGIPGIIGGIAGAVTA
+SIANIDLYGEEGLAYAFGIERSKLNWSPNMQGRFQAAGLFVSLAMALVGGVIVGVILRLP
+FWGQAPDENCFEDAVYWEIPKEPKSTALRSEDSSIKPPEP
+>sp|Q5E975|TM230_BOVIN Transmembrane protein 230 OS=Bos taurus OX=9913 GN=TMEM230 PE=2 SV=1
+MMPSRTNLATGIPSSKVKYSRLSSTDDGYIDLQFKKSPPKIPYKAIALATVLFLIGAFLI
+IIGSLLLAGYISKGGADRAVPVLIIGILVFLPGFYHLRIAYYASKGYRGYSYDDIPDFDD
+>sp|Q08DR9|SPDLY_BOVIN Protein Spindly OS=Bos taurus OX=9913 GN=SPDL1 PE=2 SV=1
+MESDVIADLRCKLKETEEERRKAAQYGLQLVESQNELQNQLDKCRNEMMTLTESYEQEKY
+TLQREVELKSRMLESLSSECETIKQQQKMHLEQLEETLSRSHGQEVNELKKKLETLKAEL
+DEARLSEKQLKHKVDHQEKLLSSKSKEMQMSERVHESVSSETLTLQIELTEMENVKTTLQ
+EEVNELQYRQEQLELLNANLMRQVDRLKGEKEEREKEAVSYYDALEKARVVNQDLQVQLD
+QALQQALDPNSKGNSLFAEVEDRRAAMERQLISMKVKYQSLKKQNAFNREQMQRMKVQIA
+TLLQMKGSQAEFEQQERLLAMLEQKNGEIKHLLGEIRNLEKFKSLYESMESKPSANSVAL
+EDDTYYTDLLQIKLDNLNKESESIKGELSIQRMKALLESQRALDIERKLFLNERHLQLSQ
+SENMKLRAKLDELKLKYEPEEKIEVPVLKKRREVLPVDISTPNGVCAPSAVGEEDYRLSP
+QKEEAQSCSDGSEDNNLQLEKTVSVSTSGVILSPYKSLTLDIQPRKEKKCVKLTGVPADL
+EALSERSRNTPNSPRLAAESRLQREVKQGKETASKLEKAACKKSYPTVYVSSKSTPETQC
+PQQ
+>sp|Q5EA77|SNX17_BOVIN Sorting nexin-17 OS=Bos taurus OX=9913 GN=SNX17 PE=2 SV=1
+MHFSIPETESRSGDSGGSAYVAYNIHVNGVLHCRVRYSQLLGLHEQLRKEYGANVLPAFP
+PKKLFSLTPAEVEQRREQLEKYMQAVRQDPLLGSSETFNSFLRRAQQETQQVPTEEVSLE
+VLLSNGQKVLVNVLTSDQTEDVLEAVAAKLDLPDDLIGYFSLFLVREKEDGAFSFVRKLQ
+EFELPYVSVTSLRSQEYKIVLRKSYWDSAYDDDVMENRVGLNLLYAQTVADIERGWILVT
+KEQHRQLKSLQEKVSKKEFLRLAQTLRHYGYLRFDACVADFPEKDCPVVVSAGNSELSLQ
+LRLPGQQLREGSFRVTRMRCWRVTSSVPLPSGGTSSPGRGRGEVRLELAFEYLMSKDRLQ
+WVTITSPQAIMMSICLQSMVDELMVKKSGGSIRKMLRRRVGGTLRRSDSQQAVKSPPLLE
+SPDASRESMVKLSSKLSAVSLRGIGTPGTDASASDVHGNFAFEGIGDEDL
+>sp|Q29RZ5|TNG2_BOVIN Transport and Golgi organization protein 2 homolog OS=Bos taurus OX=9913 GN=TANGO2 PE=2 SV=1
+MCIIFFKFDPRPVSKNAYRLILAANRDEFYHRPARAADFWGSNNEVLSGLDMEEGKEGGT
+WLGISTRGKLAALTNYLQPRLNRNARGRGELVAQFLTSDMDSLSYLKKVSAEGHLYNGFN
+LIAADLSAEKGDVICYYGNRGEREPVVLAPGTYGLSNALLETPWRKLCFGKQLFLEAVER
+GRELPRDALVAQLLAVLSNDEAQLPDPAIEAQGREYVRPILSKYAAVCVRCPDYGTRTST
+VILVDADGHVTFTERSMLGSDPTRWETTTHEFRLQS
+>sp|Q0VCA9|TMED6_BOVIN Transmembrane emp24 domain-containing protein 6 OS=Bos taurus OX=9913 GN=TMED6 PE=2 SV=1
+MFPLLFVAGLVVLNLVSSARSQKTEPLSGTGDQSLFRGADQHDFAIVIPPGGTECFWQFA
+HQTGYFYFSYEVQRTIGMSHDRHVAATAHTPQGFLIETSKNVRGQINFSTHETGFYQLCL
+ANQQNRFASVQVYLNFGVFYEGPEMDHKENERKQLNDTLDAIEESTRKVHYNIFHMWRHY
+NFARMRKTADFFLLQSNYNYVNWWSTAQSLVIVLSGILQLYFLKRLFNTPMTTETQKPRC
+>sp|A4IFE9|TM9S1_BOVIN Transmembrane 9 superfamily member 1 OS=Bos taurus OX=9913 GN=TM9SF1 PE=2 SV=1
+MTVLGHPRSWSCRWWPLLLLLLLTGREPGVEGVTHYKAGDPVILYVNKVGPYHNPQETYH
+YYQLPVCCPEKIRHKSLSLGEVLDGDRMAESLYEIRFRENVEKRVLCHMQLSSAQVEQLR
+QAIEELYYFEFVVDDLPIRGFVGYMEESGFLPHSHKIGLWTHLDFHLEFHGDRIIFANVS
+VRDVKPHSLDGLRPDEFLGLTHTYSVRWSETSVERRSDRRRGDDGGFFPRTLEIHWLSII
+NSMVLVFLLVGFVAVILMRVLRNDLARYNLDEETASAGSGDDFDQSDNGWKIIHTDVFRF
+PPYRGLLCAVLGVGAQFLALGTGIIVMALLGMFNVHRHGAINSAAILLYALTCCISGYVS
+SHFYRQIGGERWVWNIILTTSLFSVPFFLTWSVVNSVHWANGSTQALPATTILLLLTVWL
+LVGFPLTVIGGIFGKNNASPFDAPCRTKNIAREIPPQPWYKSTLVHMTVGGFLPFSAISV
+ELYYIFATVWGREQYTLYGILFFVFAILLSVGACISIALTYFQLSGEDYRWWWRSVLSVG
+STGLFIFLYSVFYYARRSNMSGTVQTVEFFGYSLLTGYVFFLMLGTISFFSSLKFIRYIY
+VNLKMD
+>sp|Q9TTE1|SPA31_BOVIN Serpin A3-1 OS=Bos taurus OX=9913 GN=SERPINA3-1 PE=1 SV=3
+MRAERTSFLLALGLLVAGIRSVHCLPENVVVKDQHRRVDGHTLASSNTDFAFSLYKQLAL
+KNPNKNVILSPLSVSIALAFLSLGARGSTLTEILEGLKFNLTEIQEKEIHHSFQHLLQAL
+NQPSNQLQLSVGNAMFVQEELKLLDKFIEDAQVLYSSEAFPTNFRDSEAARSLINDYVKN
+KTQGKIEELFKYLSPRTELVLVNYIYFKAQWKTPFDPKHTEQAEFHVSDNKTVEVPMMTL
+DLETPYFRDEELGCTLVELTYTSNDSALFILPDEGKMRDLEAKLTPETLTRWRNSLQPRR
+IHELYLPKFSIKSNYELNDILSQLGIRKIFANADLSGITGTADLVVSQVVHGAALDVDEE
+GTEGAAATGISMERTILRIIVRVNRPFLIAIVLKDTQSIIFLGKVTNPSEA
+>sp|Q08DX0|SNX29_BOVIN Sorting nexin-29 OS=Bos taurus OX=9913 GN=SNX29 PE=2 SV=1
+MSGTQNNDMKRQFLLERLLDAVKQCQIRFGGRKEIASDSDSRVTCLCAQFEAVLQHGLKR
+SRGLALTAAAIKQAAGFASKTETEPVFWFYVKEVLSKHELQRFHALRHIASDVGRGRAWL
+RCALNENSLERYLHMLLADRGRLSTFYEDWAFVMDEERSSMLPTMAAGLNSILFAINIDN
+KDLNGQSKFAPTVSDLLKESTQNVTSLLKESTQGVSSLFREITASSAVSILSRAEQETDP
+LPVVSKHVSADAKCKRERKKKKKVTNIISFDDEEDEQSSGDVFKKIPGAGESSEENSDRS
+SINIMSAFESPFGPNSNGSQGSNSWKIDSLSLNGEFGYQKLDVKSIDDEDADENEDDVCG
+SMPGRKRPGHSESPEKPLDAGTCLSQMHGWAPLQVLHGHAEVLFPVSGVGSYGPADAPLG
+SLENGTGPEDHILPEPGPRYSVEASPPGQESPLSSLLPSASVPESMTVSDLRQAIVAMMN
+RKDELEEENRSLRNLLDGEMEHSAALRQEVDTLKRKVAEQEERHVTKVQALARENEVLKV
+QLKKYVGAVQMLKREGQTAEVVPNLWNIDGEVTVPEQKPGEVAEELTSSYERKLIEVAEM
+HGELIEFNERLHRALVAKEALVSQMRQELIDLRGPVPGDLSQTSEDQSLSDFEISNRALI
+NVWIPSVFLRGKAANAFHVYQVYIRIKDDEWNVYRRYAEFRTLHHKLQNKYPQVRAFNFP
+PKKAIGNKDAKFVEERRKQLQTYLRSVMNKVIQAVPEFTASPQKETLTQLMPFFVDITPP
+GDALTKNSRPKVASRFPKLARGHPRETRNVEPQSGDL
+>sp|A5D7T4|SIAT2_BOVIN Beta-galactoside alpha-2,6-sialyltransferase 2 OS=Bos taurus OX=9913 GN=ST6GAL2 PE=2 SV=1
+MKPHLKQWRQGMLCGVFAWGLFFVVIFLYFTDSSPAKPAPSSFSFLETRRLLPAQGRQRA
+IMGASEGLPEGADLRRGSPRGLPSGPLRTWAGDGFEREQEFLSVQTGRTSLSSFAPEDSA
+PGTSGRLFPGDPGPEGARPPRAAPGRRAKRGPRRQSLSARGEDGERLYSSMSRALLRRLW
+KGDASARMLHPRLQKAMGAYLRANKHGVRFRGRRASGRSRTELLCALRGRVQVRTLDGTE
+PPFSALGWRALVPPVPLSRLLPRRLRTCAVVTSAGAILNSSLGEEIDSHDAVLRFNSAPT
+RGYEKDVGNKTTVRIINSQILTNPSYHFMDSALYKDVILVAWDPAPYSANLNLWYKKPDY
+NLFTPYVQHRQRNPNQPFYILHPKFIWQLWDIIQENTKEKIQPNPPSSGFIGILLMMNLC
+GEVHVYEYVPSVRQTDLCHYHEPYHDAACTLGAYHPLLYEKLLVQRLNVGTHGDLHRKGK
+VVLPGLQAVRCPPGA
+>sp|Q0V8B6|TPP1_BOVIN Tripeptidyl-peptidase 1 OS=Bos taurus OX=9913 GN=TPP1 PE=2 SV=1
+MGPRSGLLGLFALFVAGKCSYSPEPDQQRRLPPGWVSLGRADPEEELSLTFALRQQNVKR
+LSELVQAVSDPGSPRYGKYLTLEDVAELVRPSPLTLHTVQKWLLAAGARNCHSVTTQDFL
+TCWLSVRQAELLLSGAEFHHYVGGPAETHAVRSLHPYRLPKALAPHVDFVGGLHRFPPTS
+TLRQHPEPQVPGTVGLHLGVTPSVIRKRYNLTAQDVGSGTTNNSQACAQFLEQYFHDSDL
+AEFMRLFGGDFAHQASVARVVGQQGRGRAGIEASLDVEYLMSAGANISTWVYSSPGRHES
+QEPFLQWLLLLSNESALPYVHTVSYGDDEDSLSSTYIQRVNTELMKAAARGLTLLFASGD
+SGAGCWSVSGRHQFRPSFPASSPYVTTVGGTSFQNPFRVTDEVVDYISGGGFSNVFPRPS
+YQEEAVTRYLSSSPHLPPSSYFNASGRAYPDVAALSDGYWVVSNHVPIPWVSGTSASTPV
+FGGLLSLINEHRILRGLPPLGFLNPRLYQKHGAGLFDVTRGCHESCLNEEVEGQGFCSGP
+GWDPVTGWGTPNFPALLKTLMNP
+>sp|O62836|ZFX_BOVIN Zinc finger X-chromosomal protein OS=Bos taurus OX=9913 GN=ZFX PE=2 SV=2
+MDEDGLELQPQEPNSFFDTTGADATHMDGDQIVVEVQETVFVSDVVDSDITVHNFVPDDP
+DSVVIQDVIEDVVIEDVQCPDIMEEADVSETVIIPEQVLDSDVTEEVSLAHCTVPDDVLA
+SDITSASMSMPEHVLTSESIHVSDIGHVEHVVHDSVVEAEIVTDPLTADVVSEEVLVADC
+ASEAVIDANGIPVDQQEDDKGNCEDYLMISLDDAGKIEHDGSSGMTMDAESEIDPCKVDG
+TCPEVIKVYIFKADPGEDDLGGTVDIVESEPENDHGVELLDQNNSIRVPREKMVYMTVND
+SQQEDEDLNVAEIADEVYMEVIVGEEDAAAAAAAAAAHEQQMDDNEIKTFMPIAWAAAYG
+NNSDGIENRNGTASALLHIDESAGLGRLAKQKPKKRRRPDSRQYQTAIIIGPDGHPLTVY
+PCMICGKKFKSRGFLKRHMKNHPEHLTKKKYRCTDCDYTTNKKISLHNHLESHKLTSKAE
+KAIECDECGKHFSHAGALFTHKMVHKEKGANKMHKCKFCEYETAEQGLLNRHLLAVHSKN
+FPHICVECGKGFRHPSELKKHMRIHTGEKPYQCQYCEYRSADSSNLKTHVKTKHSKEMPF
+KCDICLLTFSDTKEVQQHALIHQESKTHQCLHCDHKSSNSSDLKRHIISVHTKDYPHKCD
+MCDKGFHRPSELKKHVAAHKGKKMHQCRHCDFKIADPFVLSRHILSVHTKDLPFRCKRCR
+KGFRQQNELKKHMKTHSGRKVYQCEYCEYSTTDASGFKRHVISIHTKDYPHRCEYCKKGF
+RRPSEKNQHIMRHHKEVGLP
+>sp|Q1LZD3|TSSC4_BOVIN Protein TSSC4 OS=Bos taurus OX=9913 GN=TSSC4 PE=2 SV=1
+MAEAGHSQHFLDPEVDALPPDTVSLSDSDSDLSLPDGVGVDALSPGGLPGEASGDSGPDE
+PPSPPRGLPTEAVQPFHLRGTSSTFSQRSHSIFDGLEGAARRAVPAVTPASPGDGGGFQQ
+LLTPSSQPAAGVPGRAAGSPAAQRAPPVPDYVTHPERWTRYSLDDVAEASEQSNRAAALA
+FLGPQNLAAPGNYMPSFNQDPSSCGEGRLVFTKPTRASEARPDRKRVSRKVGEPGRGDPG
+VPGAAGGEGPVELAHLARPGSPEAEEWSGPRGGLQEVGASAGVAHARPGSSPPLVETVGF
+HGSKKRSRDHFRSRGGSPEAPGAEL
+>sp|A4FUW8|TXD11_BOVIN Thioredoxin domain-containing protein 11 OS=Bos taurus OX=9913 GN=TXNDC11 PE=2 SV=1
+MSECGGRGGGGGSSSSSDDAEDEGGGGGPAGSGSLSPAPAASSEGRLRRGLRGASLMARR
+RPELLCGAVALGCALLLALKFTCSRAKDVIIPAKPPVSFFSSRSPVLDLFQGQLDYAEHI
+RRDSEVVLLFFYAPWCGQSIAARAEIEQAASRLSDQVLFVAINCWWNQGKCRKQKHFFYF
+PVIYLYHRSFGPIEYKGPMSAVYIEKFVRRVMKPLLYIPSQSELLDFLSNYEPGVLGYFE
+FSGSPQPPGYLTFFTSALHSLKKDYLGTVRFGVITNKHLAKLVSLVHSGSVYLHRHFNTS
+LVFPREVINYTAENICKWALENRETLVRWLWPHGGKSLLLNNELKKGPALFVFIPFNPLA
+ESHPLIDEITEVALEYNNCHGDQVVERLLQHLRRVDAPAFKSLAPDPPARLPDPPLITAS
+PCCNTVVLPRWHSISRTHNVCELCVNQTAGGLRPSSVSMPQCSFFEMAAALDSFYLKEQT
+FYHVVSDSIECSNFLSFYSPFSYYTACCRTINRGVAGFIDSEQGVFETPPVAFSSLEKKC
+EVESPGSVPHIEENRYLFPELETSSSSFTGLSCRTNKTLNIYLLDSNLFWLYAERLGAPS
+AARVKEFATIVDVKEESHYILDPKQALMKFTLESFIQNFSVLYSPLKRHLIGSDSTQFTS
+QRLITEVTTDTFWEVVLQKQDVLLLYYAQWCGFCPALNHVFIQLARLLPSDTFTVARIDV
+SQNDLPWEFMVDRLPTVLFFPCNRKDLSVKYPEDLPITLPNLLRFILHHSDPASDPRNLA
+GPPTAECLQNEAVLQQGHIAHLEREIRKLRAEIGTLQRAQVQVEARLASARRDEHRLLRQ
+QHTLERQHDLLRLHSEQLQALYEHKTRELDEVARKLQELADASETLLTENTWLKILVATM
+EQRLEGRDGADDRVPPSKARSEHPEPPGAPRLPASTPLPANISSTLASEGSPENRTD
+>sp|A4Z945|ZBED8_BOVIN Protein ZBED8 OS=Bos taurus OX=9913 GN=ZBED8 PE=2 SV=1
+MSKKRKWDDDYVRYWFTCVTEIDGTQRPQCVLCNSVFSNADLRPSKLSDHFNRQHGGIGG
+HDLSSLKHVPVPADQSETLKTFGVASQEDALLQASYQFAYLCAKEKNPHTIAEKLVKPCA
+LEIAQIVLGPDAQKKLQQVPLSDDVIHSRIDEMSQDILQQVLEDIKASPLKVGIQLAETT
+DMDDCSQLMAFVRYIREREIVEEFLFCEPLQLTMKGKDVFNLFRDFFLKHKIALDVCGSV
+CTDGASSMLGENSEFVCCVKKEVPHIVITHCLVNPHTLVTKTLPTKLRDALFTVVRVINF
+IKGRAPNHRLFQAFFEEIGIEYSVLLFHTEMRWLSRGQILTHIFEMHEEINQFLHHQSSN
+LVDGFENKEFKIHLAYLADLFKHLNELSASMQRTGMNTVSAREKLSAFVRKFPFWLKRIE
+KRNFTNFPFLEEIVVSDNEALCIAAEITLHLQQLSSFFNGYFSVGDLDEASKWILDPFLF
+NLDFVDDGYLVKNDLAELRASGQILMEFETMKLEDFWCAQFTVFPSLAKTALEILIPFAT
+TYLCELGFSSLLHFKTKSRSCLNMSDDIRVAISKKVPRFSDIIEQKLQLQQKSL
+>sp|Q3MHP1|UB2L3_BOVIN Ubiquitin-conjugating enzyme E2 L3 OS=Bos taurus OX=9913 GN=UBE2L3 PE=2 SV=1
+MAASRRLMKELEEIRKCGMKNFRNIQVDEANLLTWQGLIVPDNPPYDKGAFRIEINFPAE
+YPFKPPKITFKTKIYHPNIDEKGQVCLPVISAENWKPATKTDQVIQSLIALVNDPQPEHP
+LRADLAEEYSKDRKKFCKNAEEFTKKYGEKRPVD
+>sp|Q58CY8|TSN18_BOVIN Tetraspanin-18 OS=Bos taurus OX=9913 GN=TSPAN18 PE=2 SV=1
+MEGDCLSCMKYLMFVFNFFIFLGGACLLGIGIWVMVDPTGFREIVAANPLLITGAYILLA
+MGGLLFLLGFLGCCGAVRENKCLLLFFFLFILIIFLAELSAAILAFIFRGNLTREFFTKE
+LTKHYQGSNDTDVFSATWNSVMITFGCCGVNGPEDFKYASVFRLLTLDSDEVPEACCRRE
+PQSRDGVLLSREECLLGRDLFLNKQGCYTVILNAFETYVYLAGALAIGVLAIELFAMIFA
+MCLFRGIIQ
+>sp|A0JN76|ZBT18_BOVIN Zinc finger and BTB domain-containing protein 18 OS=Bos taurus OX=9913 GN=ZBTB18 PE=2 SV=2
+MEFPDHSRHLLQCLSEQRHQGFLCDCTVLVGDAQFRAHRAVLASCSMYFHLFYKDQLDKR
+DIVHLNSDIVTAPAFALLLEFMYEGKLQFKDLPIEDVLAAASYLHMYDIVKVCKKKLKEK
+ATTEADSTKKEEDASSCSDKVESLSDGSSHMAGDLPSDEDEGEDEKLNILPSKRDLAAEP
+GNMWMRLPSDSAGIPQAGGEAEPHATAAGKTVASPCSSTESLSQRSVTSVRDSADVDCVL
+DLSVKSSLSGVENLNSSYFSSQDVLRSNLVQVKVEKEASCDESDVGTNDYDMEHSTVKES
+VSTNNRVQYEPAHLAPLREDSVLRELEREDKASDDEMMTPESERVQVEGGMESSLLPYVS
+NILSPAGQIFMCPLCNKVFPSPHILQIHLSTHFREQDGLRSKPAADVNVPTCSLCGKTFS
+CMYTLKRHERTHSGEKPYTCTQCGKSFQYSHNLSRHAVVHTREKPHACKWCERRFTQSGD
+LYRHIRKFHCELVNSLSVKSEALSLPAVRDWTLEDSSQELWK
+>sp|P38584|TTL_BOVIN Tubulin--tyrosine ligase OS=Bos taurus OX=9913 GN=TTL PE=1 SV=1
+MYTFVVRDENSSVYAEVSRLLLATGHWKRLRRDNPRFNLMLGERNRLPFGRLGHEPGLMQ
+LVNYYRGADKLCRKASLVKLIKTSPELAESCTWFPESYVIYPTNLKTPVAPAQDGIHPPL
+HSSRTDEREFFLASYNRKKEEGEGNVWIAKSSAGAKGEGILISSDATELLDFIDNQGQVH
+VIQKYLERPLLLEPGHRKFDIRSWVLVDHQFNIYLYREGVLRTASEPYHMDNFQDKTCHL
+TNHCIQKEYSKNYGKYEEGNEMFFEAFNRYLTSALNITLESSILLQIKHIIRSCLMSVEP
+AISTKHLPYQSFQLFGFDFMVDEELKVWLIEVNGAPACAQKLYAELCQGIVDIAIASVFP
+PPDAEQQPPQPATFIKL
+>sp|Q5E9E8|YIPF5_BOVIN Protein YIPF5 OS=Bos taurus OX=9913 GN=YIPF5 PE=2 SV=1
+MSGFDNLNTDFYQTSYSIDDQSQQSYDYGGSGGPYSKQYAGYDYSHQGRFVPADMMQPQQ
+PYTGQIFQPTQTYTPTTPQPFYGNNFEDEPPLLEELGINFDHIWQKTLTVLHPLKVADGS
+IMNETDLAGPMVFCLAFGATLLLAGKIQFGYVYGISAIGCLGMFCLLNLMSMTGVSFGCV
+ASVLGYCLLPMILLSSFAVIFSLQGMVGIILTAGIIGWCSFSASKIFISALAMEGQQLLV
+AYPCALLYGVFALISVF
+>sp|P82928|RT28_BOVIN 28S ribosomal protein S28, mitochondrial OS=Bos taurus OX=9913 GN=MRPS28 PE=1 SV=2
+MAALCRTRAVTAESHFLRVFLFSRSCRGAGTESGSGSESSNSTEPRPRPGGFASALERHS
+ELQRKAELARTRGSPKNVESFASMLRHSPLTQMGPAKDKIVIGRIFHIVENDLYIDFGGK
+FHCVCKRPEVDGEKYQKGTRVRLRLLDLELTSRFLGATTDTTILEAEAVLLGLQESKDSK
+SKEERRENK
+>sp|Q08DL1|ZCH12_BOVIN Zinc finger CCHC domain-containing protein 12 OS=Bos taurus OX=9913 GN=ZCCHC12 PE=2 SV=1
+MTSIIARMSNSRRQNTSLPPWAHSMLRSLERSLGPLMAILAERNLKLFSGRVVPAQGEET
+FENWLIQVNEVLPDWNMSEEEKLRRLIKTLRGPAREVMLLLQAANPNLSVADFLHAMKLV
+FGESESSVTAHSKFFNTLQAQGEKASLYVIRLEVQLQNAIQAGIIAQKDANQSRLHQFLL
+GAELNGDLRFRLKNLLRMYANEQERLPSFLELIRMIREEEDWDDIFIKQKRAKRSESVVA
+RATRPVAFGGSPPIVIDNKDCNVIEIDDTPDDSDEDVILVGESQDLPRSFSDSPPSRRRA
+RPQDQVLIIDSPNNSQFPSPCTSGGSGYKNDGPGNMRRTRKRKHTIRCSYCGEEGHSKET
+CDNESNKAQMFENLIITLQELAHTEERSREAPVEPSDPCELQ
+>sp|Q28178|TSP1_BOVIN Thrombospondin-1 OS=Bos taurus OX=9913 GN=THBS1 PE=2 SV=2
+MGLAWGLGVLLLLHACGSNRIPESGGDNSVFDIFELTGAARKRSGRRLVKGPDPSSPAFR
+IEDANLIPPVPDKKFQDLVDAVRAEKGFLLLASLRQMKKTRGTLLAVERKDHSGQVFSVI
+SNGKAGTLDLSLTVQGKQHVVSVEEALLATGQWKSITLFVQEDRAQLYIDCEKMENAELD
+VPIQSIFTRDLASIARLRIAKGGVNDNFQGVLQNVRFVFGTTPEDILRNKGCSSSTSVFV
+TLDNNVVNGSSPAIRTDYIGHKTKDLQAICGISCDELSSMVLELRGLRTIVTTLQDSIRK
+VTEENKELANELRRPPLCYHNGVQYRTGDEWTVDSCTECRCQNSVTICKKVSCPIMPCSN
+ATVPDGECCPRCWPSDSADDGWSPWSEWTSCSVTCGNGIQQRGRSCDSLNNRCEGSSVQT
+RTCHIQECDKRFKQDGGWSHWSPWSSCSVTCGDGVITRIRLCNSPSPQMNGKPCEGKARE
+TKACQKDSCPINGGWGPWSPWDICSVTCGGGVQKRSRLCNNPKPQFGGKDCVGDVTENQI
+CNKQDCPIDGCLSNPCFAGVQCTSYPDGSWKCGACPPGYSGDGVECKDVDECKEVPDACF
+NHNGEHRCENTDPGYNCLPCPPRFTGSQPFGRGVEHATANKQVCKPRNPCTDGTHDCNKN
+AKCNYLGHYSDPMYRCECKPGYAGNGIICGEDTDLDGWPNEDLLCVANATYHCRKDNCPN
+LPNSGQEDYDKDGIGDACDDDDDNDKIPDDRDNCPFHYNPAQYDYDRDDVGDRCDNCPYN
+HNPDQADTDNNGEGDACAADIDGDSILNERDNCQYVYNVDQKDTDMDGVGDQCDNCPLEH
+NPDQLDSDSDRIGDTCDNNQDIDEDGHQNNLDNCPYVPNANQADHDKDGKGDACDHDDDN
+DGIPDDRDNCRLVPNPDQKDSDGDGRGDACKDDFDQDKVPDIDDICPENVDISETDFRRF
+QMIPLDPKGTSQNDPNWVVRHQGKELVQTVNCDPGLAVGYDEFNAVDFSGTFFINTERDD
+DYAGFVFGYQSSSRFYVVMWKQVTQSYWDTNPTRAQGYSGLSVKVVNSTTGPGEHLRNAL
+WHTGNTSGQVRTLWHDPRHIGWKDFTAYRWHLSHRPKTGFIRVVMYEGKKIMADSGPIYD
+KTYAGGRLGLFVFSQEMVFFSDLKYECRDS
+>sp|Q58DK7|ZN205_BOVIN Zinc finger protein 205 OS=Bos taurus OX=9913 GN=ZNF205 PE=2 SV=1
+MSADDGGIRAAQDKERARETPGHGHSCQEMLSESEGTVPLGEAWESPHIKMEPEEPHPEG
+VSQETRAEGARGWVPLSQGTKEKVCFLPGGALPAPQTPVLSREGRTRDRQMAAALLTAWS
+QMPVTFEDMALYLSREEWGRLDHTQQSFYREVLQKRSGLSLGFPFSRPFWASQVQGKGEA
+PGSSRQLGHEEEEKRGVVEVDKEELAASLGALGDAKSFKSRMGRAQGEAPRCGQRAASGQ
+NSGPAKDDVQPCPVKEAQLESAPPDTDLPKTQEGHFPEQPREGGTAAPESSEEGLALDSE
+AGKKTYKCEQCGKAFSWHSHLVTHRRTHTGEKPYACTDCGKRFGRSSHLIQHQIIHTGEK
+PYTCPSCWKSFSHHSTLIQHQRIHTGEKPYVCDRCAKRFTRRSDLVTHQGTHTGAKPHKC
+PICGKCFTQSSALVTHQRTHTGVKPYPCPECGKCFSQRSNLIAHNRTHTGEKPYHCLDCG
+KSFSHSSHLTAHQRTHRGVRPYSCPLCGKSFSRRSNLHRHEKIHTAGPKALAMLMLGAAG
+TLAAPPPAPT
+>sp|A0JNJ4|ZN692_BOVIN Zinc finger protein 692 OS=Bos taurus OX=9913 GN=ZNF692 PE=2 SV=1
+MAASPADASRRRREKRRQLDARRSKCRIRLGGHMEQWCLLKEQLGFSLHSQLAKFLLDRY
+TSSGCVLCAGPEPVAPKGLQYLVLLSHAHSRECSLVPGLRGPGGQDGGLVWECSAGHTFS
+WGPSSGPKSPEEPNLTPLPSTDERSWCPEAKSGQEPAGLESNCDERAQEARMPRGAGPPP
+ETFPSLGEDGEEEEEDEEEMLSDASPWTYSSSPDDEPDVPKPPPSPVTHALKDGEIAPAP
+AAVPAPLASPSSSASSLGSGAPGPVEVRIQPELRGTPQADQQTEPLASPGSQAQSALASA
+WDEDTAQIGPKRIRKAAKRELLPCDFPGCGRIFSNRQYLNHHKKYQHIHQKSFSCPEPAC
+GKSFNFKKHLKEHVKLHSDTRDYICEFCARSFRTSSNLVIHRRIHTGEKPLQCEICGFTC
+RQKASLNWHRRKHAETVAALRFPCEFCGKRFEKPDSVAAHRSKSHPALLLAPQELSGPVE
+SCSSILASASLGASEGSRSTLAPQAPILLP
+>sp|O46563|VATH_BOVIN V-type proton ATPase subunit H OS=Bos taurus OX=9913 GN=ATP6V1H PE=2 SV=2
+MTKMDIRGAVDAAVPTNIIAAKAAEVRANKVNWQSYLQGQMISSEDCEFIQRFEMKRSPE
+EKQEMLQTEGSQCAKTFINLMTHISKEQTVQYILTLVDDTLQENHQRVSIFFDYAKRSKN
+TAWSYFLPMLNRQDLFTVHMAARIIAKLAAWGKELMEGSDLNYYFNWIKTQLSSQKLRGS
+GVTAETGTVSSSDSSQYVQCVAGCLQLMLRVNEYRFAWVEADGVNCIMGVLSNKCGFQLQ
+YQMIFSVWLLAFSPQMCEHLRRYNIIPVLSDILQESVKEKVTRIILAAFRNFLEKSVERE
+TRQEYALAMIQCKVLKQLENLEQQKYDDEDISEDIKFLLEKLGESVQDLSSFDEYSSELK
+SGRLEWSPVHKSEKFWRENAVRLNEKNYELLKILTKLLEVSDDPQVLAVAAHDVGEYVRH
+YPRGKRVIEQLGGKQLVMNHMHHEDQQVRYNALLAVQKLMVHNWEYLGKQLQSEQPQTAA
+ARS
+>sp|Q32LD2|UBE2T_BOVIN Ubiquitin-conjugating enzyme E2 T OS=Bos taurus OX=9913 GN=UBE2T PE=2 SV=2
+MQRTSRLKRELSLLAAEPPPGITCWQDGDRMEDLRAQILGGANTPYEKGVFKLEVHIPER
+YPFEPPQIRFLTPIYHPNIDSAGRICLDVLKLPPKGAWRPSLNIATLLTSIQQLMAEPNP
+DDPLMADISSEFKYNKPVFFKNARQWTEKHARQKTDEEGMPGSLPEVGGSEGPSAAQKRK
+AGQLSSGGKRFCPDV
+>sp|A6H795|UBE2W_BOVIN Ubiquitin-conjugating enzyme E2 W OS=Bos taurus OX=9913 GN=UBE2W PE=2 SV=1
+MASMQKRLQKELLALQNDPPPGMTLNEKSVQNSITQWIVDMEGAPGTLYEGEKFQLLFKF
+SSRYPFDSPQVMFTGENIPVHPHVYSNGHICLSILTEDWSPALSVQSVCLSIISMLSSCK
+EKRRPPDNSFYVRTCNKNPKKTKWWYHDDTC
+>sp|P31408|VATB2_BOVIN V-type proton ATPase subunit B, brain isoform OS=Bos taurus OX=9913 GN=ATP6V1B2 PE=1 SV=3
+MALRAMRGIVNGAAPELPVPTSGPLAGSREQALAVSRNYLSQPRLTYKTVSGVNGPLVIL
+DHVKFPRYAEIVHLTLPDGTKRSGQVLEVSGSKAVVQVFEGTSGIDAKKTSCEFTGDILR
+TPVSEDMLGRVFNGSGKPIDRGPVVLAEDFLDIMGQPINPQCRIYPEEMIQTGISAIDGM
+NSIARGQKIPIFSAAGLPHNEIAAQICRQAGLVKKSKDVVDYSEENFAIVFAAMGVNMET
+ARFFKSDFEENGSMDNVCLFLNLANDPTIERIITPRLALTTAEFLAYQCEKHVLVILTDM
+SSYAEALREVSAAREEVPGRRGFPGYMYTDLATIYERAGRVEGRNGSITQIPILTMPNDD
+ITHPIPDLTGYITEGQIYVDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRKDHADVSN
+QLYACYAIGKDVQAMKAVVGEEALTSDDLLYLEFLQKFERNFIAQGPYENRTVYETLDIG
+WQLLRIFPKEMLKRIPQSTLSEFYPRDSAKH
+>sp|Q3ZBQ4|SNR25_BOVIN U11/U12 small nuclear ribonucleoprotein 25 kDa protein OS=Bos taurus OX=9913 GN=SNRNP25 PE=2 SV=2
+MVVQDPLLCDLPIQVTLEEVNSQIALEYGQAMTVRVCKMDGEVMPVVVVQNATVLDLKKA
+IQRYVQLRQEREGGIQHISWSYVWRTYHLTSAGEKLTEDRKKLRDYGIRNRDEVSFIKKL
+RQK
+>sp|Q17QZ4|TFDP1_BOVIN Transcription factor Dp-1 OS=Bos taurus OX=9913 GN=TFDP1 PE=2 SV=1
+MAKDAGLIEANGELKVFIDQNLSPGKGVVSLVAVHPSTVNSLGKQLLPKTFGQSNVNITQ
+QVVIGTPQRPAAPNTIVVGSPHTPNTHFVSQNQPSDPSPWSAGKRNRKGEKNGKGLRHFS
+MKVCEKVQRKGTTSYNEVADELVAEFSAADSHILPSESAYDQKNIRRRVYDALNVLMAMN
+IISKEKKEIKWIGLPTNSAQECQSLEVERQRRLERIKQKQSQLQELILQQIAFKNLVQRN
+RQVEQQASRPPPPNSVIHLPFIIVNTSKKTVIDCSISNDKFEYLFNFDNTFEIHDDIEVL
+KRMGMACGLESGSCSPEDLRVARSLVPKALEPYVTEMAQGSLGGVFVASAVSTSNGTRLS
+ASDLANGADGALATSSSGSQYSGSRVETPVSCVGEDDEDDEDFNENEEED
+>sp|Q2KIB3|TM101_BOVIN Transmembrane protein 101 OS=Bos taurus OX=9913 GN=TMEM101 PE=2 SV=1
+MASKTGSRRWMLQLIMQLGSVLLTRCPFWGCFSQLMLYAERAEARRKPDIPVPYLYFDMG
+AAVLCASFMSFGVKRRWFALGAALQLAISTYAAYVGGYVHYGDWLKVRMYSRTVAIIGGF
+LVLASGAGELYRRKPRSRSLQSTGQVFLGVYLVCVAYSLQHSKEDRLAYLNHLPGGELMV
+QLFFVLYGVLALAFLSGYYVTLAAQILAVLLPPVMLLIDGNVAYWHNTRRVEFWNQMKLL
+GESVGIFGAAVILATDG
+>sp|Q3T113|TMIG1_BOVIN Transmembrane and immunoglobulin domain-containing protein 1 OS=Bos taurus OX=9913 GN=TMIGD1 PE=2 SV=1
+MAQKTSGLIQRCRFLLLMILFLPHVMTSSVLSVNGKTENYILDTEPGLQESLKCAVQNHI
+RDEELLWYREDGRVDLKSGNKINSSSVCVSGISEDDNGITFTCKLQRNQSVSISVVLNVT
+FPPLLSGNDFQTAEEGSDVKLVCNVKSNPQAQMMWYKNNGILNLENHHQIQQTSEYFQLS
+ITKVKKSDNGTYSCIANSLIETKTKDFHLIVKDKGSTVPIEPIIAACVVVFLTLVFGVIA
+RRKRIMKLCRKDQGPQCRTAL
+>sp|Q2T9Q6|TEKT2_BOVIN Tektin-2 OS=Bos taurus OX=9913 GN=TEKT2 PE=2 SV=1
+MATLSVKPSPRFRLPDWQTNSYLLSTNAERQRDASHQIRQEARVLRNETNNQTIWDEHDN
+RTRLAERIDTVSRWKEMLDKCLTDLDAEIDALAQMKESAEQNLQAKNLPLDVAIECLTLR
+ESRRDIDVVKDPVEEELHKEVEVIEATKKALQQKISQAFEKLFLLQEARQRLNSDHRGKM
+ETLDIDRGCLSLNLTSPNISLKINPTRVPNGSTSLQQWDDLSRFNKDHGEAEMKKAIELR
+EAIALTIAETNNELEAQRVATEFAFRKRLREMEKLYSELKWQEKNTLEEIAELHEDIRHL
+EEDLRRKLQNLKLCHTRLEARTYRPNVELCRDQAQYGLTDEVHQLEATIAALKQKLAQAQ
+DALDALYKHLARLQADIACKANSMLLDTKCMDTRRKLTVPAEKFVPEVDTFTRTTNRTLS
+PLKTCQLELA
+>sp|A6QLE1|TDRD7_BOVIN Tudor domain-containing protein 7 OS=Bos taurus OX=9913 GN=TDRD7 PE=2 SV=1
+MLEADLVSKMLRAVLQSHKNGIALPRLQGEYRSLTGDWIPFKQLGYPTLEAYLRSVPAVV
+RIETSRSGEVTCYAVACTETARIAQLVARQRSSKRKTGRQVNCQMRVKKTMPFFLEGKPK
+ATLRQPGFSSDFSVSKKPNSTLLRNKGISLGVKSDAEVLPYTLQTTIGNEVFKDVPVQSH
+MTMSTNNRFSPKASLPPRFQMHLSRTCTKEMSDNLNQAVEKPNVTPPASYTYKMDEVQNR
+IKEILNKHSNGIWISKLPHFYKELYKEELNQGILQQFEHWPHICTVEKPCSGGQDLLLYP
+AKRKQLLRSELNTEKVPPSPLPAPKQIPPLKGCPAVMPGDFKEKVAELLVKYSSGLWASA
+LPKTFEDMYKVKLPEDALKNLDLLSDVCTVDYISGNPQKAILYAKLPSPADKILKDAEQA
+HGNYDIKSTVEQEYLQIEENIAESTDTFMETVTIPPLIIPTETSPSVLVVELSNTNEVVI
+RYVGKDYSAAQELMEDEMKEYYSKNSKVTPVQTVQIGQLLAVNAEEDAWLRAQVISMEEG
+KIKVCYVDYGFSENVEKSKAYRLNPKFCSLSFQATKCKLAGLEVLSDDPDLVKVVESLTC
+GKIFAVEILEKTDIPLVVLYDTSGEDDININATCLKAICDKSLEVHLQVDAMYTNVRVTN
+ICSDGTLYCQVPCKGLNKLNDLLHKIEEYFHCKHMTSEYFVSLPFCGKVCLFHCKGKWLR
+VEITNVHSSRALDVQFLDAGTVTSVKVSELREIPPRFLQEMISVPPQAIKCCLADLPQSI
+GMWTPDAVLWLRDSVLNCSDCSIKVTKVDETRGIAHIYLFTPKNFPDPHRSINRQITNAD
+LWKHQKDVFLSAISSGASSPNTKSANTPILGNTGETFRKSLTDVLKKSVVNHPSSFFTKE
+LPPPVHLSKPGEHMDVYVPVACHPGYFVIQPWQEIHKLEVLMEEMILYYSVSEERHVAVE
+KDQVYAAKVENKWHRVLLKGILTNGLVSVYELDYGKHELVNMRKVQPLADMFRKLPFQAV
+TAQLAGVKCNQWSEEASMVFRNHVEKKPLVALVQTVIENTNPWDRKVVVYLVDTSLPDTD
+IWIHDFMSEYLVELSKVN
+>sp|Q05B45|T120A_BOVIN Transmembrane protein 120A OS=Bos taurus OX=9913 GN=TMEM120A PE=2 SV=1
+MHPPPPGPLGDCLRDWEELQQDFHGIQETHRLYRLKLEELTKLQNSCTSSITRQKKRLQE
+LALVLRKCKPSLPSEAEEAARELENQIKERQGLFFDMEAYLPKKNGLYLSLVLGNVNVTL
+LSKQAKFAYKDEYEKFKLYLTIILILISFTCRFLLNSRVTDAAFNFLLVWYYCTLTIRES
+ILINNGSRIKGWWVFHHYVSTFLSGVMLTWPDGLMYQKFRNQFLSFSMYQSFVQFLQYYY
+QSGCLYRLRALGERHTMDLTVEGFQSWMWRGLTFLLPFLFFGHFWQLFNALTLFNLARDP
+ECKEWQVLMCGFPFLLLFLGNFFTTLRVVHQKFHNQLHGSKKE
+>sp|A4Z943|ZBED5_BOVIN Zinc finger BED domain-containing protein 5 OS=Bos taurus OX=9913 GN=ZBED5 PE=4 SV=1
+MIAHFLCILSYNVNTFVILNVYTKLTMFCTTNSLPMDLLLKQGSLKQEVESFCYQIVSES
+HDQKVGILQSEDEHLQPSVSKNSEGELSRVKFISNSNKITTFSKKPKRRKYDESYLSFGF
+TYFGNRDAPHAQCVLCKKILSNSSLAPSKLRRHLETKHAAYKDKDISFFKQHLDSPENNK
+PPTPKIVNTDNESATEASYNVSYHIALSGEAHTIGELLIKPCAKDVVMRMFDEQYSKKID
+AVQLSNSTVARRIKDLAADIEEELVCRLKICDGFSLQLDESADVSGLAVLLVFVRYRFNK
+SIEEDLLLCESLQSNATGEEIFNCINSFMQKHEIEWEKCVDVCSDASRAMDGKIAEAVTL
+IKYVAPESTSSHCLLYRHALAVKTMPTSLKNVLDQAVQIINYIKARPHQSRLLKILCEEM
+GAQHTALLLNTEVRWLSRGKVLVRLFELRRELLVFMDSAFRLSDCLTNSSWLLRLAYLAD
+IFTKLNEVNLSMQGKNVTVFTVFDKMSSLLRKLEFWASSVEEENFDCFPTLSDFLTEINS
+TVDKNICSAIVQHLRGLRSTLLKYFPVTNDIHAWVRNPFTVTVKPASLVARDYESLIDLT
+SDSQVKQSFSELSLNDFWSSLIQEYPSVARRAVRVLLPFATMHLCETGFSYYAATKTKYR
+KRLDAAPHMRIRLSNITPNIKRICDKKTQKHCSH
+>sp|Q29466|VPP1_BOVIN V-type proton ATPase 116 kDa subunit a isoform 1 OS=Bos taurus OX=9913 GN=ATP6V0A1 PE=2 SV=1
+MGELFRSEEMTLAQLFLQSEAAYCCVSELGELGKVQFRDLNPDVNVFQRKFVNEVRRCEE
+MDRKLRFVEKEIRKANIPIMDTGENPEVPFPRDMIDLEANFEKIENELKEINTNQEALKR
+NFLELTELKFILRKTQQFFDEMADPDLLEESSSLLEPSEMGRGTPLRLGFVAGVINRERI
+PTFERMLWRVCRGNVFLRQAEIENPLEDPVTGDYVHKSVFIIFFQGDQLKNRVKKICEGF
+RASLYPCPETPQERKEMASGVNTRIDDLQMVLNQTEDHRQRVLQAAAKNIRVWFIKVRKM
+KAIYHTLNLCNIDVTQKCLIAEVWCPVTDLDSIQFALRRGTEHSGSTVPSILNRMQTNQT
+PPTYNKTNKFTYGFQNIVDAYGIGTYREINPAPYTIITFPFLFAVMFGDLGHGILMTLFA
+VWMVLKESRILSQKNENEMFSTIFSGRYIILLMGVFSIYTGLIYNDCFSKSLNIFGSSWS
+VRPMFDIYNWTEETLRGNPVLQLNPAVTGVFGGPYPFGIDPIWNIATNKLTFLNSFKMKM
+SVILGIIHMLFGVSLSLFNHTYFKKPLNIYFGFIPEIIFMTSLFGYLVILIFYKWTAYNA
+KTSEKAPSLLIHFINMFLFSYGDSGNSMLYSGQKGIQCFLVVVALLCVPWMLLFKPLVLR
+RQYLRRKHLGTLNFGGIRVGNGPTEEDAEIIQHDQLSTHSEDAEEPTEDEVFDFGDTMVH
+QAIHTIEYCLGCISNTASYLRLWALSLAHAQLSEVLWTMVIHIGLKVKSLAGGLALFFIF
+AAFATLTVAILLIMEGLSAFLHALRLHWVEFQNKFYSGTGFKFLPFSFEHIREGKFDD
+>sp|Q3SZD4|WDR18_BOVIN WD repeat-containing protein 18 OS=Bos taurus OX=9913 GN=WDR18 PE=2 SV=1
+MAAPMEVAVCTDSAAQLWSCVVWELHSGANLLTYRGGQAGPRGLALLNGEYLLAAQLGKN
+YICAWELQRKDQLQQKIMCPGPVTCLTTSPNGLYVLAGISENIYLWEVSTGNLLVILSRH
+YQDVSCLQFTGDSSHFISGGKDCLVLAWSLCSVLQADPSRTPAPRHVWSRHTLPITDLHC
+GFGGPLARVATASLDQTVKLWEVSSGELLLSVLFDVGILAVTMDLAEHYMFCGGSDGSIF
+QVDLCTWPGQREKSFQPEQEHGKVFRGHRNQVTCLSVSTDGSVLLSGSHDETVRLWDVQS
+QQCLRTVTLKGPVTNACIMLAPVSMLSSDFRPGLPLPHFNKHLLGAEHGDEPHRGGLMLR
+LGLHQQGSEPSYLERVEQLQAVMSSTLEKNVLGGQDQLRIRVTELEDEVRNLRKINRDLF
+DFSTRIITHPTK
+>sp|O97681|VPP2_BOVIN V-type proton ATPase 116 kDa subunit a isoform 2 OS=Bos taurus OX=9913 GN=ATP6V0A2 PE=2 SV=1
+MGSLFRSETMCLAQLFLQSGTAYECLSVLGEKGLVEFRDLNQNVSSFQRKFVGEVKRCEE
+LERILAYLVQEINRADIPLPEGDTSPPAPPLKQVLEMQEQLQKLEVELREVTKNKEKLRK
+NLLELIEYTHMLRVTKTFVKRNVEFEPTYEEFPPLENESLLDYSCMQRLGAKLGFVSGLI
+NQGKVEAFEKMLWRVCKGYTIVTYAELDEPLEDPETGEVIKWYVFLISFWGEQIGHKVKK
+ICDCYHCHVYPYPNTAEERREIQEGLNTRIQDLYTVLHKTEDYLRQVLCKAAESVYSRVI
+QVKKMKAIYHMLNMCSFDVTNKCLIAEVWCPEADLHELRRALEEGSRESGGTIPSFMNTI
+PTKETPPTLIRTNKFTEGFQNIVDAYGVGSYQEVNPALFTIITFPFLFAVMFGDFGHGFV
+MFLFALLLVLNENHPRLNQSQEIMRMFFNGRYILLLMGLFSVYTGLIYNDCFSKSVNLFG
+SRWNVSAMYSSSHSPEEQRKMVLWNDSIVRHHSVLQLDPSVPGVFRGPYPFGIDPIWNLA
+TNRLTFLNSFKMKMSVILGITHMTFGVILGIFNHLHFRKKFNICLVSIPELLFMLCIFGY
+LIFMIIYKWLVYSAETSRTAPSILIEFISMFLFLASDTGGLYPGQEHVQRLLLLITVLSV
+PVLFLGKPLFLLWLHRGRSCFGVGRSGYTLVRKDSEEEVSLLGGQDIEEGNNQMEDGCRE
+VTCEEFDFGEILMTQIIHSIEYCLGCISNTASYLRLWALSLAHAQLSEVLWAMLMHVGLR
+VDTAYGVLVLLPVIAFFAVLTIFILLIMEGLSAFLHAIRLHWVEFQNKFYVGAGTKFVPF
+SFRLLSSKFSDDLA
+>sp|A7Z063|WASH1_BOVIN WASH complex subunit 1 OS=Bos taurus OX=9913 GN=WASHC1 PE=1 SV=1
+MTPTGTQHSLAGQTYAVPLIQPDLRREEAIQQVADALQYLQKVSGDIFSRISQRVELSRS
+QLQAIGERVSLAQAKIEKIKGSKKAIKVFSSAKYPAPERLQEYGSIFMGAQDPGLQRRPR
+HRIQSKHRPLDERALQEKLKFFPVCVNTKPEPEDEAEEGLGGLPSNISSVSSLLLFNTTE
+NLYKKYVFLDPLAGAVTKTHVMLGAETEEKLFDAPLSISRREQLERQVPENYFYVPDLGQ
+VPDIDVPSYLPDLPGVADDLMYSADLGPGIAPSAPGAIPELPTFHTEVAQPFKPDLEDGV
+LTARPPPPPPPPPPPAPAVLMSVPPPPPPPQAPPGQPAKGDDSGGASPSAPVQGAPKEVV
+DPSSGRATLLESIRQAGGIGKAKLRSVKERKLEKKKQKEQEQVRATSQGGDLMSDLFNKL
+AMRRKGISGKGPGSGASEGPGGAFARMSDSIPPLPPPQQPPGEEDEDDWES
+>sp|A7MAZ3|UBA5_BOVIN Ubiquitin-like modifier-activating enzyme 5 OS=Bos taurus OX=9913 GN=UBA5 PE=2 SV=1
+MAESVERLQQRVEELERELAQERSRRALGSGDGGGGRARIEKMSSEVVDSNPYSRLMALK
+RMGIVSDYEKIRTFTVAIVGVGGVGSVTAEMLTRCGIGKLLLFDYDKVELANMNRLFFQP
+HQAGLSKVQAAEHTLRNINPDVLFEVHNYNITTVENFEHFMNRISNGGLEEGKPVDLVLS
+CVDNFEARMTINTACNELGQTWMESGVSENAVSGHIQLIIPGESACFACAPPLVVAANID
+EKTLKREGVCAASLPTTMGVVAGILVQNVLKFLLNFGTVSFYLGYNAMQDFFPTMSMKPN
+PQCDDRNCRKQQKEYKKKVAALPKQEVIQEEGEIIHEDNEWGIELVSEISEEELKKSSGP
+IPDLPEGIIVAYTVPQKQEDSVPEVTVEDSGESLEDLMAKMKNI
+>sp|Q08DA3|UBP16_BOVIN Ubiquitin carboxyl-terminal hydrolase 16 OS=Bos taurus OX=9913 GN=USP16 PE=2 SV=2
+MGKKRTKGKTVPIDDSSESLEPVCRHIRKGLEQGNLKKALVNVEWNICQDCKTDNKVKDK
+SEEETEENPSVWLCLKCGHQGCGRNSQEQHALKHYMKPRSEPHCLVLSLDNWSVWCYLCD
+DEVHYCNSNRLGQVVDYVRKQAGNTTPESAEDNGNIELENKKLEKESKNEQEREKKENMA
+RENPSMNSTSQITVKGLSNLGNTCFFNAVMQNLSQTPVLRELLKEVKMSGTIVKIEPPDL
+ALTEPLEINLEPPGPLTLAMSQFLNEMQETKKGIVTPRELFSQVCKKAVRFKGYQQQDSQ
+ELLRYLLDGMRAEEHQRVSKGILKAFDNSTEKVDEELKNKVKEYEKKKSVPSFVDRIFGG
+ELTSTIMCDECRTVSLVHESFLDLSLPVLDDQSGKKSINDKNLKKTMEDEDKDSEEEKDN
+DSYLKERNDIPSGTSKHLQKKAKKQAKKQAKNQRRQQKIQGKVLHLNDVYAIDHPEDNEC
+EVEMSLQREADIKSNHISQEEVAPKEYCVNQKDLNGHEKMIESITDNQKSTEEAAMKNVS
+VDNDLEVLASSATECPRNLNGAYLKEGSNGEVDISSGFENLNLNAALQPDEINIEILDDD
+PTPGTKVYEVVNEDPETAFCTLANREAFNTDECSVQHCLYQFTRNEKLRDANKLLCEVCT
+RRQYSGPKANMKGERKHIYTNAKKQMLISLAPPVLTLHFKRFQQAGFNLRKVNKHIKFPE
+ILDLAPFCTLKCKNVAEEHTRVLYSLYGVVEHSGTMRSGHYTAYAKTRTASTHLSNLVLH
+GDIPQDFEMESTKGQWFHISDTHVQAVPTTKVLSSQAYLLFYERIL
+>sp|Q2KJ72|UBP21_BOVIN Ubiquitin carboxyl-terminal hydrolase 21 OS=Bos taurus OX=9913 GN=USP21 PE=2 SV=1
+MPQASEHRLGRTREPPLNIQPRVGSKLPFAPRARSKERRNPAPGPNPMLRPLPPRPGPPE
+ERLKKLELGRGRTSGPRPSGPLRADHGVPLPGSPPPTVALPLPSRTNLARSKSVSSGDLR
+PMGIALGGHRGTGELGAALSRLALRPEPPPLRRSTSLRRLGGFPGPPTLFSIRTEPPTPH
+GSFHVISARPSEPFYSDDKMAHHTLLLGSGHVGLRNLGNTCFLNALLQCLSSTRPLRDFC
+LRRDFRQEVPGGGRAQELTEAFADVIGALWHPDSCEAVNPTRFRAVFQKYVPSFSGYSQQ
+DAQEFLKLLMERLHLEINRRGRRAPPILASSPAPHPPRLGGALLEEPELSDDDRANLMWK
+RYLEREDSKIVDLFVGQLKSCLKCQACGYRSTTFEVFCDLSLPIPKKGFAGGKVSLRDCF
+NLFTKEEELESENAPVCDRCRQKTRSTKKLTVQRFPRILVLHLNRFSASRGSIKKSSVGV
+DFPLQRLSLGDFASDKAGSPVYQLYALCNHSGSVHYGHYTALCRCQTGWHVYNDSRVSPV
+SENQVASSEGYVLFYQLMQEPPRCL
+>sp|P30205|WC11_BOVIN Antigen WC1.1 OS=Bos taurus OX=9913 PE=2 SV=1
+MALGRHLSLRGLCVLLLGTMVGGQALELRLKDGVHRCEGRVEVKHQGEWGTVDGYRWTLK
+DASVVCRQLGCGAAIGFPGGAYFGPGLGPIWLLYTSCEGTESTVSDCEHSNIKDYRNDGY
+NHGRDAGVVCSGFVRLAGGDGPCSGRVEVHSGEAWIPVSDGNFTLATAQIICAELGCGKA
+VSVLGHELFRESSAQVWAEEFRCEGEEPELWVCPRVPCPGGTCHHSGSAQVVCSAYSEVR
+LMTNGSSQCEGQVEMNISGQWRALCASHWSLANANVICRQLGCGVAISTPGGPHLVEEGD
+QILTARFHCSGAESFLWSCPVTALGGPDCSHGNTASVICSGNQIQVLPQCNDSVSQPTGS
+AASEDSAPYCSDSRQLRLVDGGGPCAGRVEILDQGSWGTICDDGWDLDDARVVCRQLGCG
+EALNATGSAHFGAGSGPIWLDNLNCTGKESHVWRCPSRGWGQHNCRHKQDAGVICSEFLA
+LRMVSEDQQCAGWLEVFYNGTWGSVCRNPMEDITVSTICRQLGCGDSGTLNSSVALREGF
+RPQWVDRIQCRKTDTSLWQCPSDPWNYNSCSPKEEAYIWCADSRQIRLVDGGGRCSGRVE
+ILDQGSWGTICDDRWDLDDARVVCKQLGCGEALDATVSSFFGTGSGPIWLDEVNCRGEES
+QVWRCPSWGWRQHNCNHQEDAGVICSGFVRLAGGDGPCSGRVEVHSGEAWTPVSDGNFTL
+PTAQVICAELGCGKAVSVLGHMPFRESDGQVWAEEFRCDGGEPELWSCPRVPCPGGTCLH
+SGAAQVVCSVYTEVQLMKNGTSQCEGQVEMKISGRWRALCASHWSLANANVVCRQLGCGV
+AISTPRGPHLVEGGDQISTAQFHCSGAESFLWSCPVTALGGPDCSHGNTASVICSGNHTQ
+VLPQCNDFLSQPAGSAASEESSPYCSDSRQLRLVDGGGPCGGRVEILDQGSWGTICDDDW
+DLDDARVVCRQLGCGEALNATGSAHFGAGSGPIWLDDLNCTGKESHVWRCPSRGWGRHDC
+RHKEDAGVICSEFLALRMVSEDQQCAGWLEVFYNGTWGSVCRSPMEDITVSVICRQLGCG
+DSGSLNTSVGLREGSRPRWVDLIQCRKMDTSLWQCPSGPWKYSSCSPKEEAYISCEGRRP
+KSCPTAAACTDREKLRLRGGDSECSGRVEVWHNGSWGTVCDDSWSLAEAEVVCQQLGCGQ
+ALEAVRSAAFGPGNGSIWLDEVQCGGRESSLWDCVAEPWGQSDCKHEEDAGVRCSGVRTT
+LPTTTAGTRTTSNSLPGIFSLPGVLCLILGSLLFLVLVILVTQLLRWRAERRALSSYEDA
+LAEAVYEELDYLLTQKEGLGSPDQMTDVPDENYDDAEEVPVPGTPSPSQGNEEEVPPEKE
+DGVRSSQTGSFLNFSREAANPGEGEESFWLLQGKKGDAGYDDVELSALGTSPVTFS
+>sp|A6QPH8|YPEL3_BOVIN Protein yippee-like 3 OS=Bos taurus OX=9913 GN=YPEL3 PE=3 SV=2
+MVRISKPKTFQAYLDDCHRRYSCAHCRAHLANHDDLISKSFQGSQGRAYLFNSVVNVGCG
+PAEERVLLTGLHAVADIHCENCKTTLGWKYEQAFESSQKYKEGKYIIELNHMIKDNGWD
+>sp|Q3T045|SPF30_BOVIN Survival of motor neuron-related-splicing factor 30 OS=Bos taurus OX=9913 GN=SMNDC1 PE=2 SV=1
+MPEDLAKQLASYKAQLQQVEAALSGNGENEDLLKLKKDLQEVIELTKDLLSTQPSETLAS
+SDNFASTQPTHSWKVGDKCMAIWSEDGQCYEAEIEEIDEENGTAAITFAGYGNAEVTPLL
+NLKPVEEGRKAKEDSGNKPMSKKEMIAQQREYKKKKALKKAQRIKELEQEREDQKVKWQQ
+FNNRAYSKNKKGQVKRSIFASPESVTGKVGVGTCGIADKPMTQYQDTSKYNVRHLMPQ
+>sp|Q9TTF3|ZNT4_BOVIN Zinc transporter 4 (Fragment) OS=Bos taurus OX=9913 GN=SLC30A4 PE=3 SV=1
+GHHHAHSHSLPSNSPTTGPRCGHNQGQDSLAVRAAFVHALGDLVQSVGVL
+>sp|Q05B78|ZFY21_BOVIN Zinc finger FYVE domain-containing protein 21 OS=Bos taurus OX=9913 GN=ZFYVE21 PE=2 SV=1
+MSSEVAARRDAKKLVRSPSGLRMVPEHRAYGSPFGLEEPPWVPDKECPRCMQCDTKFDFL
+TRKHHCRRCGKCFCDKCCGQKVALRRMCFVDPVRQCAGCAPVSRREADFYDRQLKLLLSG
+ATFLVTFENSEKPDTMVCRLSSNQRFLLLDGDGDGDGHREVEVARIAAVQMLTEGLPPGD
+TLSHTSLPASRPAAEGGNARAIGMTLQYTTPGAEGLTQLTLTAGEDADGSRRQATAWLAA
+MHKAAKLLYESRDQ
+>sp|Q3ZCF7|UB2D3_BOVIN Ubiquitin-conjugating enzyme E2 D3 OS=Bos taurus OX=9913 GN=UBE2D3 PE=2 SV=1
+MALKRINKELSDLARDPPAQCSAGPVGDDMFHWQATIMGPNDSPYQGGVFFLTIHFPTDY
+PFKPPKVAFTTRIYHPNINSNDSICLDILRSQWSPALTISKVLLSICSLLCDPNPDDPLV
+PEIARIYKTDRDKYNRISREWTQKYAM
+>sp|Q3SZ26|WRB_BOVIN Tail-anchored protein insertion receptor WRB OS=Bos taurus OX=9913 GN=WRB PE=2 SV=1
+MSAAEADRWAWLLVLSFVFGCNVLRILLPSFSFFMSRVLQKDAEQESQMRAEIQGMKQEL
+STVNMMDEFARYARLERKINKMTDKLKTHVKARTAQLAKIKWVISVAFYILQAALMVSLI
+WKYYSVPVAVVPSKWITPLDRLVAFPTRVAGGVGITCWILVCNKVVAIVLHPFS
+>sp|Q3SWY8|ZUP1_BOVIN Zinc finger-containing ubiquitin peptidase 1 OS=Bos taurus OX=9913 GN=ZUP1 PE=2 SV=1
+MLSCDICGETVSSEPDMKAHLLIVHMENEVICPFCKLSGVNYDEMCFHIETAHFEQNELE
+RNFERINTVQYGISDNRKDSSLQCRADINSSVHSACASNQPKISAQSLPKDGTLKHKDFY
+SENLTESRKFLKSTEKQSDRTKIKESIYETMYSPPECPFCGKIEDNSQDMETHVKTKHAD
+LLDTPLEDCNQLLYDCPMCGLVCTNYHILQEHVDLHLEESSFGQGVNRVQCSRDLELAQQ
+LQQEEDRKRRSEESRQEMEEFQKLQRQYGLDNSGGYKQQQLRNMEIEVNRGRMHPSEFHR
+RKADMMESLAIGVDDGKTKTSGIMEALYRYYQNAATDVRRVWLSAGVDHFHSSFGDKGWG
+CGYRNFQMLLSSLLQNDAYDDSLKGMSVPCIPKIQSMIEDAWKEGFDPQGASQLNDKLQG
+TKAWIGACEIYTLLTSLRIKCRIVDFHKSTGPLGTHPRLFEWILSYYASEREGSPKVVCT
+SKPPIYLQHQGHSRTVVGIEEKKNRTLCLLVFDPGCPSREMQKLLKHDMEVSSLKQLRKF
+VGNLKHKQYQIVAVEGVLSSEEKIARRQASQVFTAEKIP
+>sp|P68002|VDAC2_BOVIN Voltage-dependent anion-selective channel protein 2 OS=Bos taurus OX=9913 GN=VDAC2 PE=2 SV=2
+MATYGQNCARPMCIPPSYADLGKAARDIFNKGFGFGLVKLDVKTKSCSGVEFSTSGSSNT
+DTGKVTGTLETKYKWCEYGLTFTEKWNTDNTLGTEIAIEDQICQGLKLTFDTTFSPNTGK
+KSGKIKSSYKRECINLGCDVDFDFAGPAIHGSAVFGYEGWLAGYQMTFDSAKSKLTRNNF
+AVGYRTGDFQLHTNVNDGTEFGGSIYQKVCEDLDTSVNLAWTSGTNCTRFGIAAKYQLDP
+TASISAKVNNSSLIGVGYTQTLRPGVKLTLSALVDGKSINAGGHKLGLALELEA
+>sp|Q9MZ13|VDAC3_BOVIN Voltage-dependent anion-selective channel protein 3 OS=Bos taurus OX=9913 GN=VDAC3 PE=2 SV=1
+MCNTPTYCDLGKAAKDVFNKGYGFGMVKIDLRTKSCSGVEFSTSGHAYTDTGKASGNLET
+KYKICNYGLTFTQKWNTDNTLGTEISWENKLAEGLKLTLDTIFVPNTGKKSGKLKASYKR
+DCFSLGSNVDIDFSGPTIYGWAVLAFEGWLAGYQMSFDTAKSKLSQNNFALGYKAADFQL
+HTHVNDGTEFGGSIYQKVNEKIETSINLAWTAGSNNTRFGIAAKYKLDCRTSLSAKVNNA
+SLIGLGYTQTLRPGVKLTLSALIDGKNFNAGGHKVGLGFELEA
+>sp|Q27963|VMAT2_BOVIN Synaptic vesicular amine transporter OS=Bos taurus OX=9913 GN=SLC18A2 PE=1 SV=1
+MALSELALLRRLQESRHSRKLILFIVFLALLLDNMLLTVVVPIIPSYLYSIEHEKDALEI
+QTAKPGLTASAPGSFQNIFSYYDNSTMVTGNSTDHLQGALVHEATTQHMATNSSSASSDC
+PSEDKDLLNENVQVGLLFASKATVQLLTNPFIGLLTNRIGYPIPMFTGFCIMFISTVMFA
+FSRTYAFLLIARSLQGIGSSCSSVAGMGMLASVYTDDEERGNAMGIALGGLAMGVLVGPP
+FGSVLYEFVGKTAPFLVLAALVLLDGAIQLFVLQPSRVQPESQKGTPLTTLLRDPYILIA
+AGSICFANMGIAMLEPALPIWMMETMCSHKWQLGVAFLPASVSYLIGTNVFGILAHKMGR
+WLCALLGMIIVGMSILCIPLAKNIYGLIAPNFGVGFAIGMVDSSMMPIMGYLVDLRHVSV
+YGSVYAIADVAFCMGYAIGPSAGGAIAKAIGFPWLMTIIGIIDILFAPLCFFLRSPPAKE
+EKMAILMDHNCPIKTKMYTQNSSQSHPIGEDEESESD
+>sp|Q28050|S10A7_BOVIN Protein S100-A7 OS=Bos taurus OX=9913 GN=S100A7 PE=1 SV=1
+MSSSQLEQAITDLINLFHKYSGSDDTIEKEDLLRLMKDNFPNFLGACEKRGRDYLSNIFE
+KQDKNKDRKIDFSEFLSLLADIATDYHNHSHGAQLCSGGNQ
+>sp|A5PJU9|SEPT1_BOVIN Septin-1 OS=Bos taurus OX=9913 GN=SEPTIN1 PE=2 SV=1
+MDKEYVGFAALPNQLHRKSVKKGFDFTLMVAGESGLGKSTLINSLFLTNLYEDRQIPEAS
+ARLTQTLTIERRGVEIEEGGIKVKLTVVDTPGFGDSVDCSDCWLPVVRFIEEQFEQYLRD
+ESGLNRKNIQDSRVHCCLYFISPFGRGLRPLDVAFLRAVHEKVNIIPVIGKADALMPKET
+QALKQKIREQLKEEEINIYQFPECDSDEDEDFKRQDAEMKESIPFAVVGSCEVVRDGGPR
+PVRGRHYSWGTVEVENPHHCDFLNLRRMLVQTHLQDLKEVTHDLLYEGYRARCLQSLARP
+GARDRASRSKLSRQSATEIPLPMLPLADTEKLIREKDEELRRMQEMLEKMQAQMQLSQAQ
+GEQSDAL
+>sp|A7E3W5|SNG2_BOVIN Synaptogyrin-2 OS=Bos taurus OX=9913 GN=SYNGR2 PE=2 SV=1
+MESGAYGAPRAGGSFDLRRFLKQPQVVVRAVCLVFALIVFSCIFGEGYSNTHDSQQQYCV
+FNRNEDACRYGSAIGVLAFLASAFFFVVDIYFPQISNATDRKYLVIGDLLFSALWTFLWF
+VGFCFLTNQWAATKKNDVHVEADSARAAITFSFFSIFSWCVLAFLAYQRYKAGVDEFIQN
+YVDPTPDPSTAYASYPGVPADTYQQPPFTQNAESTEGYQPPPVY
+>sp|Q2KIK0|SGT1_BOVIN Protein SGT1 homolog OS=Bos taurus OX=9913 GN=SUGT1 PE=2 SV=1
+MAAAAAGPVAAASRLFRSFSDALIEQDPQAALEELTKALEQKPDDAPYYCQRAYCHILLG
+NYSDAVADAKKSLELNPNSSTALLRKGICEYHEKNYAAALETFTEGQKLNSADADLTAWI
+KRCQEAQNGSQPEVSASQRTHQSKIKYDWYQTESQVIITLMIKNVQKNDVNVEFSEKELS
+ALVKLPSGDDYSLKLRLLHPIIPEQSTFKVLSTKIEIKMKKPEAVRWEKLEGQGDVPNPK
+PFIADVKNLYPSSSHYTRNWDKLVGEIKEEEKNEKLEGDAALNKLFQQIYSDGSDEVKRA
+MNKSFMESGGTVLSTNWSDVGKRKVEINPPDDMEWKKY
+>sp|Q1JQE0|SNW1_BOVIN SNW domain-containing protein 1 OS=Bos taurus OX=9913 GN=SNW1 PE=2 SV=1
+MALTSFLPAPTQLSQDQLEAEEKARSQRSRQTSLVSSRREPPPYGYRKGWIPRLLEDFGD
+GGAFPEIHVAQYPLDMGRKKKMSNALAIQVDAEGKIKYDAIARQGQSKDKVIYSKYTDLV
+PKEVMNADDPDLQRPDEEAIKEITEKTRVALEKSVSQKVAAAMPVRAADKLAPAQYIRYT
+PSQQGVAFNSGAKQRVIRMVEMQKDPMEPPRFKINKKIPRGPPSPPAPVMHSPSRKMTVK
+EQQEWKIPPCISNWKNAKGYTIPLDKRLAADGRGLQTVHINENFAKLAEALYIADRKARE
+AVEMRAQVERKMAQKEKEKHEEKLREMAQKARERRAGIKTHVEKEDGEARERDEIRHDRR
+KERQHDRNLSRAAPDKRSKLQRNENRDISEVIALGVPNPRTSNEVQYDQRLFNQSKGMDS
+GFAGGEDEIYNVYDQAWRGGKDMAQNIYRPSKNLDKDMYGDDLEARIKTNRFVPDKEFSG
+SDRRQRGREGPVQFEEDPFGLDKFLEEAKQHGGSKRPSDSSRPKEHEHEGKKRRKE
+>sp|Q2HJ55|SAM50_BOVIN Sorting and assembly machinery component 50 homolog OS=Bos taurus OX=9913 GN=SAMM50 PE=2 SV=1
+MGTVHARSLEPLPASGPDFGALGEEAEFVEVEPEAKQEILENKDVVVQHVHFDGLGRTKD
+DIIMYEIRDVFKAKNLIEVMRKSHEAREKLLRLGIFRQVDVLIDTCQGDDALPNGLDVTF
+EVTELRRLTGSYNTMVGNNEGSMVLGLKLPNLLGRAEKVTFQFSYGTKETSYGLSFFKPQ
+PGNFDRNFSVNLYKVTGQFPWSSLRETDRGVSAEYSFPTWKTSHTVKWEGVWRELGCLSR
+VASFAVRKESGHSLKSSLSHSMVIDSRNSSILPKRGALLKVNQELAGYTGGDVSFLKEDF
+ELQLNKQLILDTVFSASLWGGMLVPMGDKPSSIADRFYLGGPTSVRGFSMHSVGPQSEGD
+YLGGEAYWAGGLHLYTPLPFRPGQGGFGELFRTHFFLNAGNLCNLNYGEGPKAHIRKLAE
+CIRWSYGAGIVLRLGNIARLELNYCVPMGVQRGDRICDGVQFGAGIRFL
+>sp|Q864Z3|S22A6_BOVIN Solute carrier family 22 member 6 OS=Bos taurus OX=9913 GN=SLC22A6 PE=2 SV=2
+MAFNDLLLQLGGVGRFQKIQVTLVILPLILLASHNTLQNFTAAIPTHHCRPPADTNLSED
+GDLEAWLPRDGQGRPESCLLFTSPQRGPPFPNGTETNGTGATEPCPHGWIYDNSTFPSTI
+VTEWDLVCSHRALRQLAQSLYMMGVLLGAMTFGCLADRLGRRKVLIFNYLQTAVSGTCAA
+FAPNFPAYCAFRFLSGMSTAGVVLNCMTLNVEWMPIHTRAYVGTLTGYVYSLGQFLLAGM
+AYAVPHWRYLQLLVSAPFFAFFIYSWFFIESARWYASSGRLDLTLRNLQRVAWINGKQEE
+GANLSMEALQASLKKELTTGKSQASALELIRCPALRRLFLCLSMLWFATSFAYYGLVMDL
+QGFGVSIYLIQVIFGAVDLPAKLVSFLVINNVGRRPAQMASLLLAGICILINGVVPKDKS
+IVRTSLAVLGKGCLASSFNCIFLYTGEVYPTMIRQTGLGMGSTLARVGSIVSPLVSMTAE
+LYPSVPLFIYGAVPVAASAAIALLPETLGQPLPDTVQDVENRRRGKTRKQQEELQKQMVP
+LQASAQVKN
+>sp|Q3ZCC8|TPPP3_BOVIN Tubulin polymerization-promoting protein family member 3 OS=Bos taurus OX=9913 GN=TPPP3 PE=1 SV=1
+MAASTDVAGLEESFRKFAIHGDPKASGHEMNGKNWAKLCKDCKVADGKAVTGTDVDIVFS
+KVKAKSARVINYEEFKKALEELAPKRFKGKSKEEAFDAICQLVAGKEPANVGVTKAKTGG
+AVERLTDTSKYTGSHKERFDESGKGKGIAGRQDILDDSGYVSAYKNAGTYDAKVKK
+>sp|Q2KIV1|S22A9_BOVIN Solute carrier family 22 member 9 OS=Bos taurus OX=9913 GN=SLC22A9 PE=2 SV=1
+MAFQDLLDQVGGLGRFQILQMLLLCISSLITYPHILLENFTAAVPGHQCWVHILNNNTDS
+ANATGTLSPDALLRISIPLDSNLRPEKCRRFSRPQWQLLNLNRTFPNITDLDTESCVDGW
+VYDQSIFTSTIVTEWDLVCESQSLKSMAKFLFMAGMLVGSIVYGHLSDRFGRRLIFRCCL
+LQLAITDTSAAFAPTYLIYCSLRFLAGFSTMSILTNCTVLIVEWTVPRFQVMGMTLSICA
+ACLGQIILGGLAFAIRDWHTLQLVFSVPLFVLFLSSRWLAESARWLIITNRPEEGLKELK
+KAAHRNGRKNAGDALTMEVLRSAMQEELEAAQIKSSVFDLFRTPNLRKRICLLSFVRFAN
+FMSFFGLILHLQYMGSNVFLFQVLFGAVNLPANCVAFWALNHLGRQVSQTLFLFLLGISI
+LAITFVPQEMQTLRMAVSALGIAVSSVTLMCSFAHGNELTPTVLRVTASGFLGIASNIGA
+ALAPLLMILTVYSPHLPWIIYGVCSILGGLVVPLLPETRNKPLPDSIQEVEKERKDSRKA
+KPEGTFMKVTQF
+>sp|Q3T004|SAMP_BOVIN Serum amyloid P-component OS=Bos taurus OX=9913 GN=APCS PE=2 SV=1
+MNKLMSWVSVLIILPEAFAQTDLRGKVFVFPRESSTDHVTLITKLEKPLKNLTLCLRAYS
+DLSRGYSLFSYNIHSKDNELLVFKNGIGEYSLYIGKTKVTVRATEKFPSPVHICTSWESS
+TGIAEFWINGKPLVKRGLKQGYAVGAHPKIVLGQEQDSYGGGFDKNQSFMGEIGDLYMWD
+SVLSPEEILLVYQGSSSISPTILDWQALKYEIKGYVIVKPMVWG
+>sp|Q2YDG1|TM247_BOVIN Transmembrane protein 247 OS=Bos taurus OX=9913 PE=2 SV=2
+MATEDREMMEGRGAGESCPTFPKMAPDDPMSEGKPRASLPQEADTPKPDSSYDYLEEMET
+CEDGGCPGPPKVLSSKAGPATTKGQAGDGLELAELPSVPATAAPGSPVRERDAEMELEKV
+RMEFELKRLKYLHEENERQRQHEEVMEQLQQQATPRLFSGGLQDLLLPQNQFAMFLYCFI
+FIHIIYVTKEMIFFLFSKHYLFCIAAILLCLIKTLWS
+>sp|Q2YDD3|ZFPL1_BOVIN Zinc finger protein-like 1 OS=Bos taurus OX=9913 GN=ZFPL1 PE=2 SV=1
+MGLCKCPKRKVTNLFCFEHRVNVCEHCLVANHAKCIVQSYLQWLQDSDYNPNCRLCNIPL
+AARETTRLICYDLFHWACLNERAAQLPRNTAPAGYQCPSCSGPIFPPTNLAGPVASALRE
+KLATVNWARAGLGLPLIDELVSPEPEPLNTSEFSDWSSFNASGSPEQEETASASAAPAFY
+SQVPRPPASPSRPEQHTVIHMGNPEPLTHASAPRKVYDTRDDERAPGLHRDCDDDKYRRR
+PALGWLAQLLRSRAGSRKRPLTLLQRAGLLLLLGLLGFLALLALMSRLGRAAADSDPNLD
+PLMNPHIRVGPS
+>sp|Q3SZV6|TIM21_BOVIN Mitochondrial import inner membrane translocase subunit Tim21 OS=Bos taurus OX=9913 GN=TIMM21 PE=2 SV=1
+MICTLLRAVRCTERLHGCPGKPWFLPHSVPHRACSQTEPRWRWGLQEQNTTARPRCIWGV
+TQRSIWTQVRSPQSAKEDGSKQVSVHRSQGGETVLSTSQKVKEAGRDFTYLIVVLIGISI
+TGGLFYTIFRELFSSSSPNKIYGKALEKCRSHPEVISVFGEPVKGYGEVTRRGRRQLVSF
+IEYKKDGLKHMRVKFYIQGSEPGKQGTVHLEVKENPESGEYEFRYIFVELEPYSRTIVVE
+DNRS
+>sp|Q148M7|TAF13_BOVIN Transcription initiation factor TFIID subunit 13 OS=Bos taurus OX=9913 GN=TAF13 PE=2 SV=1
+MADEEEDPTFEEENEEIGGGAEGGQGKRKRLFSKELRCMMYGFGDDQNPYTESVDILEDL
+VIEFITEMTHKAMSIGRQGRVQVEDIVFLIRKDPRKFARVKDLLTMNEELKRARKAFDEA
+NYGS
+>sp|Q56JY4|TOM6_BOVIN Mitochondrial import receptor subunit TOM6 homolog OS=Bos taurus OX=9913 GN=TOMM6 PE=3 SV=1
+MASSGAGVTAAGSANEAPEIPDNVGDWLRGVYRFATDRNDFRRNLILNLGLFAAGVWLAR
+NLSDIDLMAPQPGV
+>sp|Q05B56|TF2H3_BOVIN General transcription factor IIH subunit 3 OS=Bos taurus OX=9913 GN=GTF2H3 PE=2 SV=1
+MVSDEDELNLLVIIVDTNPIWWGKQALKESQFTLSKCIDAVMVLGNSHLFMNRSNKLAVI
+ASHIQESRFLYPGKNGRLGDFFGDPGNPSSEFTPSGSKDGKYELLTAANEVIAEEIKDLM
+TKSDIEGQHTETLLAGSLAKALCYIHRMNKEVKDNQEMKSRILVIKAAEDSALQYMNFMN
+VIFAAQKQNILIDACVLDSDSGLLQQACDITGGLYLKVPQMPSLLQYLLWVFLPDQDQRS
+QLILPPPVHVDYRAACFCHRNLIEIGYVCSVCLSIFCNFSPICTTCETAFKISLPPVLKA
+KKKKLKMSS
+>sp|A4IFD7|ZNT7_BOVIN Zinc transporter 7 OS=Bos taurus OX=9913 GN=SLC30A7 PE=2 SV=1
+MLPLSIKDDEYKPPKFNLFRKISGWFRSILSDKTSRNLFFFLCLNLSFAFVELLYGIWSN
+CLGLISDSFHMFFDSTAILAGLAASVISKWRDNDAFSYGYVRAEVLAGFVNGLFLIFTAF
+FIFSEGVERALAPPDVHHERLLLVSILGFVVNLVGIFVFKHGGHGHSHGSGHGHSHSLFN
+GALDQTHGHGDHCHSHELKHGAAHSHDHAHGHGHFHSHDGPSLKETTGPSRQILQGVFLH
+ILADTLGSIGVIASAIMMQNFGLMIADPICSILIAMLIVISVIPLLRESVGILMQRTPPL
+LENTLPQCYQRVQQLQGVYSLQEQHFWTLCSDVYVGTLKLVVAPDADARWILSQTHNIFT
+QAGVRQLYVQIDFAAM
+>sp|A7E305|ZSWM8_BOVIN Zinc finger SWIM domain-containing protein 8 OS=Bos taurus OX=9913 GN=ZSWIM8 PE=2 SV=1
+MELMFAEWEDGERFSFEDSDRFEEDSLCSFISEAESLCQNWRGWRKQSAGPNSPTGGGGG
+GGSGGTRMRDGLVIPLVELSAKQVAFHIPFEVVEKVYPPVPEQLQLRIAFWSFPENEEDI
+RLYSCLANGSADEFQRGDQLFRMRAVKDPLQIGFHLSATVVPPQMVPPKGAYNVAVMFDR
+CRVTSCSCTCGAGAKWCTHVVALCLFRIHNASAVCLRAPVSESLSRLQRDQLQKFAQYLI
+SELPQQILPTAQRLLDELLSSQSTAINTVCGAPDPTAGPSASDQSTWYLDESTLTDNIKK
+TLHKFCGPSPVVFSDVNSMYLSSTEPPAAAEWACLLRPLRGREPEGVWNLLSIVREMFKR
+RDSNAAPLLEILTVELAQDLLANPPDLKVEPPPAKGKKNKVSTSRQTWVATNTLTKAAFL
+LTVLSERPEHHNLAFRVGMFALELQRPPASTKALEVKLAYQESEVATLLKKIPLGPSEMS
+TVRCRAEELREGTLCDYRPVLPLMLASFIFDVLCAPVVSPTGSRPPSRNWNNEMPGDEEL
+GFEAAVAALGMKTTVSEAEHPLLCEGTRREKGDLALALMITYKDDQARLKKILDKLLDRE
+SQTHKPQTLSSFYSSSRPATASQRSPSKHGGPSAPGALQPLTSGSAGPAQPGSVAGAGPG
+PTEGFTEKNVPESSPHSPCEGLPPEAALTPRPEGKVPSRLALGSRGGYNGRGWGSPGRPK
+KKHTGMASIDSSAPETTSDSSPTLSRRPLRGGWAPTSWGRGQDSDSISSSSSDSLGSSSS
+SGSRRASASGGARAKTVEVGRYKGRRPESHAPHVPNQPSEAAAHFYFELAKTVLIKAGGN
+SSTSIFTHPSSSGGHQGPHRNLHLCAFEIGLYALGLHNFVSPNWLSRTYSSHVSWITGQA
+MEIGSAALTILVECWDGHLTPPEVASLADRASRARDSNMVRAAAELALSCLPHAHALNPN
+EIQRALVQCKEQDNLMLEKACMAVEEAAKGGGVYPEVLFEVAHQWFWLYEQTAGGSSTAR
+EGATSCSASGIRAAGEAGRGLPEGRGGPGTEPVTVAAAAVTAATVVPVISVGSSLYPGPG
+LGHGHSPGLHPYTALQPHLPCSPQYLTHPAHPAHPMPHMPRPAVFPVASSAYPQGVHPAF
+LGAQYPYSVTPPSLAATAVSFPVPSMAPITVHPYHTEPGLPLPTSVALSSVHPASTFPAI
+QGASLPALTTQPSPLVSGGFPPPEEETHSQPVNPHSLHHLHAAYRVGMLALEMLGRRAHN
+DHPNNFSRSPPYTDDVKWLLGLAAKLGVNYVHQFCVGAAKGVLSPFVLQEIVMETLQRLS
+PAHAHNHLRAPAFHQLVQRCQQAYMQYIHHRLIHLTPADYDDFVNAIRSARSAFCLTPMG
+MMQFNDILQNLKRSKQTKELWQRVSLEMTTFSP
+>sp|A6H739|TT30B_BOVIN Tetratricopeptide repeat protein 30B OS=Bos taurus OX=9913 GN=TTC30B PE=2 SV=1
+MAGLGGSQIPDGEFTAVVYRLIRDARYAEAVQLLGGELQRSPRSRAGLSLLGYCYYRLQE
+FALAAECYEQLGQLHPELEQYRLYQAQALYKACLYPEATRVSLLLLDNPAYHNRVLRLQA
+AIKYSEADLPGARSLVEQLLSEGGEDSGGENELDGQVNLGCLLYKEGHYEAACSKFSAAL
+QASGYRPDLSYNLALAYYSSRHYALALKHIADIIEHGIRQHPELGVGMTTVGIDVRSVGN
+TVVLHQTALVEAFNLRAAIEYQLRNYEAAQEALTDMPPRAEEELDPVTLHNQALMNMDAR
+PTEGFEKLQFLLQQIPFPPETFGNLLLLYCEYEYFDLAADVLAENAHLTYEFLTPYLYDF
+LDAMVTCQTAPEEAFIKLDGLAGMLTEQLRKLTIQVQEARHNKDDEAVKKAVNEYEDTLE
+KYIPVLMAQAKIYWNLENYPMVEKLFRKSVEFCNDHHVWKLNVAHVLFMQENKYKEAIGF
+YEPIVKKHYDNILNVSAIVLANLCVSYIMISQNEEAEELMRKIGKEEEQLSYDDPDKKIY
+HLCIVNLVIGTLYCAKGNYDFGISRVIKSLEPCNKKLGTDTWYYAKRCFLSLLENMSKHT
+IMLRDSVIQECVQFLEHCELYGRDIPAVIEETLEEERMHIGKNTVTYESRELKALIYEII
+GWNM
+>sp|F1MJM0|ZN326_BOVIN DBIRD complex subunit ZNF326 OS=Bos taurus OX=9913 GN=ZNF326 PE=2 SV=1
+MDFEDDYTHSACRNTYQSFNGMDRDYGPGSYGGMDRDYGHGSYGGQRSMDSYLNQSYGMD
+NHSGGGGGSRFGPYESYDSRSSLGGRDLYRSGYGFNEPEQSRFGGSYGGRFESSYRNSLD
+SFGGRNQGGSSWEAPYSRSKLRPGFMEDRGRENYSSYSSFSSPHMKPAPVGSRGRGTPAY
+PESTFGSRNYDAFGGPSTGRGRGRGHMSDFGSIHRPGIVVDYQNKPTNVTVAAARGIKRK
+MIQPFNKPGGTFIKKPKLAKPVEKMSLSKSPTKTDPKNEEEEKRRIEARREKQRRRREKN
+SEKYGDGYRMAFTCSFCKFRTFEEKDIELHLESASHQETLDHIQKQTKFDKVVMEFLHEC
+MVNKFKKTSIRKQQTNNQTEAVKIIEKDVMEGVTADDHMMKVETVHCSACSVYIPALHSS
+VQQHLKSPDHIKGKQAYKEQIKRESVLTATSILNNPIVKARYERFIKGENPFEIQDHSQD
+QQIEGDEEEEEKIDEPVEEEEEEEEEEEEVGEVEEAEEVGEGGGVEGVGDTEEGGDVEGE
+GEVGAVGEGEGEGEGVGEVEEEEAKEEPVGFSVDQAEEN
+>sp|A6QPH9|ZMYM5_BOVIN Zinc finger MYM-type protein 5 OS=Bos taurus OX=9913 GN=ZMYM5 PE=2 SV=2
+MGECSAGRLDLTEQTPILLGSKAMAASLMDVGDSFGDPASPLVNRSRNSLVEGDNGDDDD
+VVFIESIQPPSSSAPVIADQRHFVFPASRNKKLQGHYSVILPSSRYLASQKGNTSETIVI
+DDEEDMETNGREKTVSSSFIEWGFPGTKNRTKDLDFSTSSLSRSKTKTAVGPFNPGRMNV
+AGGEFQNGGFTTHHSPDSWISQSASFPRNQKQPGVDSLSPVASLPKQIFQSSAQQQPTKA
+AKITCANCKKPLQKGQTAYQRKGSAHLFCSTTCLSSFSHKRTPKKRNVTCKKDAPTKKAT
+VVPQGESSEPFPKCFSTSHLSPYEDSQNLRKRVLNKSRCTICNKLTEIRHEVSVNNVTHK
+LCSNQCFNKYRLANGLVMNCCEQCGEYLPGKGAGNNVLVIEGQQMRFCCQSCVNEYKQMI
+ETNLKKILASENRKRNAVGEENERELYGSLNILPEKTEEIPEKKEKTSELQVSAECSLDA
+SVVQQNVNLPPSVSDIADKLQEQLGEKPSEDSIIPAVLSADPGTWPRILSIKQRDTLVEN
+DPPQVRNFNFPKDSTGRKFSETYYTRILANGEKTTRSWLLYSTSKDSVFCLYCKLFGEGK
+NQLKNENGCRDWQHLSHILSKHEESEMHINNSVKYSKLKSDLKTSKIVEASEHRLQEREG
+KDGVKLLYTLDLI
+>sp|Q1JQA1|UBE3D_BOVIN E3 ubiquitin-protein ligase E3D OS=Bos taurus OX=9913 GN=UBE3D PE=2 SV=1
+MAAVAAEARVFLEVRRRLQSALLILGDSKEGGLPMAVSVTPSSLRMQSPGGCTELRLPAG
+VRLAPSTCRGLQHVPGDGLHLRLHARAESRPELISVFNQSSQDQECCTFYCQSCGEVIIR
+DRMLLRVLPLPGDNWGALVDEWCCHPDPFANKPLHPRENDCFTGDCFYLVNLKSDLWQPR
+PEGAPVETHHLSSENHLKLKPKANTKVICKRCKVMLGETVSSETTKFYMTEIIILPSERN
+FPIIPRSQFVQSVLAQCVVELSSARSTFRFTIQGHDDKVYILLWLLNSDSLVIESLGQYT
+NIKKFPVLEDVLKPDSNSACNAVKVLYQPCIKSRNAELSSLWENDLSVHSLTLPSTTCLE
+LLLILSKSNATLPPSLRCMNSFQVAFLKM
+>sp|P41541|USO1_BOVIN General vesicular transport factor p115 OS=Bos taurus OX=9913 GN=USO1 PE=1 SV=1
+MNFLRGVMGGQSAGPQHTEAETIQKLCDRVASSTLLDDRRNAVRALKSLSKKYRLEVGIQ
+AMEHLIHVLQTDRSDSEIIGYALDTLYNIISNDEEEEVEENSTRQSEDLGSQFTEIFIKQ
+QENVTLLLSLLEEFDFHVRWPGVKLLTSLLKQLGPQVQQIILVSPMGVSRLMDLLADSRE
+VIRNDGVLLLQALTRSNGAIQKIVAFENAFERLLDIITEEGNSDGGIVVEDCLILLQNLL
+KNNNSNQNFFKEGSYIQRMKPWFEVGDENSGWSAQKVTNLHLMLQLVRVLVSPNNPPGAT
+SSCQKAMFQCGLLQQLCTILMATGVPADILTETINTVSEVIRGCQVNQDYFASVNAPSNP
+PRPAIVVLLMSMVNERQPFVLRCAVLYCFQCFLYKNQKGQGEIVSTLLPSTIDATGNTVS
+AGQLLCGGLFSTDSLSNWCAAVALAHALQENATQKEQLLRVQLATSIGNPPVSLLQQCTN
+ILSQGSKIQTRVGLLMLLCTWLSNCPIAVTHFLHNSANVPFLTGQIAENLGEEEQLVQGL
+CALLLGISIYFNDNSLETYMKEKLKQLIEKRIGKENFIEKLGFISKHELYSRASQKPQPN
+FPSPEYMIFDHEFTKLVKELEGVITKAIYKSSEEDKKEEEVKKTLEQHDSIVTHYKNMIR
+EQDLQLEELKQQISTLKCQNEQLQTAVTQQVSQIQQHKDQYNLLKVQLGKDSQHQGPYTD
+GAQMNGVQPEEISRLREEIEELKSNRELLQSQLAEKDSLIENLKSSQLSPGTNEQSSATA
+GDSEQIAELKQELATLKSQLNSQSVEITKLQTEKQELLQKTEAFAKSAPVPGESETVIAT
+KTTDVEGRLSALLQETKELKNEIKALSEERTAIKEQLDSSNSTIAILQNEKNKLEVDITD
+SKKEQDDLLVLLADQDQKIFSLKNKLKELGHPVEEEDELESGDQDDEDDEDEDDGKEQGH
+I
+>sp|Q0VCG9|PTX3_BOVIN Pentraxin-related protein PTX3 OS=Bos taurus OX=9913 GN=PTX3 PE=2 SV=1
+MHISVILFCALWSAVSAENSDDYELMYVNLDNEIDNGLHPTEDPTPCDCSRENSEWDKLF
+TMLENSQMREGMLLQATDVMLRGELQKLQAELGRLEGSLQKLCGPEAPSETRLARALDDL
+LQASRDAGRRLARLEDAGALRPQEEAGRALGAVLEELRRTRADLRAVQGWAASRWLPAGC
+ETAILFPMRSKKIFASVHPVTPMKLETFSACIWVKATEVLNKTVLFSYGTKRNPYEIQLY
+LSYRSIMLVVGGEENRLVADAVISLGTWTHLCSTWDSKKGHMALWVNGDSVATAVDMATG
+HVVPEGGILQIGQEKNGCCVGGGFDETLAFSGRLTGFNIWEGVLSNEEIREAGGAESCHI
+RGNVVGWGVTEIQPHGGAQYVY
+>sp|E1B7Q7|TRIPC_BOVIN E3 ubiquitin-protein ligase TRIP12 OS=Bos taurus OX=9913 GN=TRIP12 PE=2 SV=2
+MSNRPNNNPGGSLRRSQRNTAGAQPQDDSIGGRSCSSSSVVIVPQPEDPDRANTSEKQKT
+GQVPKKDNSRGVKRSASPDYNRTNSPSSAKKPKALQHTESPSETSKPHSKSKKRHLDQEP
+QLKSAPSPSTSKAHTRKSGAAAGSRSQKRKRTESSCIKSASVSEATGAEERSAKPTKLAS
+KSAASAKAGCSTITDSSSAASTSSSSSAVASASSAVPPGARVKQGKDQNKARRSRSASSP
+SPRRSSREKEQSKTGGSSKFDWAARFSPKVSLPKTKLSLPGSSKSETSKPGPSGLQAKLA
+SLRKSTKKRSESPPAELPSLRRSTRQKTTGSCASASRRGSGLGKRGAAEARRQEKMADPE
+GNQETVNSSAARTDETPQGAAGAVGMTTSGESESDDSEMGRLQALLEARGLPPHLFGPLG
+PRMSQLFHRTIGSGASSKAQQLLQGLQASDESQQLQAVIEMCQLLVMGNEETLGGFPVKS
+VVPALITLLQMEHNFDIMNHACRALTYMMEALPRSSAVVVDAIPVFLEKLQVIQCIDVAE
+QALTALEMLSRRHSKAILQAGGLADCLLYLEFFSINAQRNALAIAANCCQSITPDEFHFV
+ADSLPLLTQRLTHQDKKSVESTCLCFARLVDNFQHEENLLQQVASKDLLTNVQQLLVVTP
+PILSSGMFIMVVRMFSLMCSNCPTLAVQLMKQNIAETLHFLLCGASNGSCQEQIDLVPRS
+PQELYELTSLICELMPCLPKEGIFAVDTMLKKGNAQNTDGAIWQWRDDRGLWHPYNRIDS
+RIIEQINEDTGTARAIQRKPNPLANTNTSGYSELKKDDARAQLMKEDPELAKSFIKTLFG
+VLYEVYSSSAGPAVRHKCLRAILRIIYFADAELLKDVLKNHAVSSHIASMLSSQDLKIVV
+GALQMAEILMQKLPDIFSVYFRREGVMHQVKHLAESESLLTSPPKACTNGSGSLGSTPSV
+NSGTATAATNASADLGSPSLQHSRDDSLDLSPQGRLSDVLKRKRLPKRGSRRPKYSPPRD
+DDKVDNQAKSPTTTQSPKSSFLASLNPKTWGRLSAQSNSNNIEPARTAGVSGLARAASKD
+TISNNREKIKGWIKEQAHKFVERYFSSENMDGSNPALNVLQRLCAATEQLNLQVDGGAEC
+LVEIRSIVSESDVSSFEIQHSGFVKQLLLYLTSKSEKDAVSREIRLKRFLHVFFSSPLPG
+EEPIERVEPVGNAPLLALVHKMNNCLSQMEQFPVKVHDFPSGNGTGGSFSLNRGSQALKF
+FNTHQLKCQLQRHPDCANVKQWKGGPVKIDPLALVQAIERYLVVRGYGRVREDDEDSDDD
+GSDEEIDESLAAQFLNSGNVRHRLQFYIGEHLLPYNMTVYQAVRQFSIQAEDERESTDDE
+SNPLGRAGIWTKTHTIWYKPVREDEESNKDCVGGKRGRAQTAPTKTSPRNAKKHDELWND
+GVCPSVSNPLEVYLIPTAPENITFEDPSLDVILLLRVLHAVSRYWYYLYDNAMCKEIIPT
+SEFINSKLTAKANRQLQDPLVIMTGNIPTWLTELGKTCPFFFPFDTRQMLFYVTAFDRDR
+AMQRLLDTNPEINQSDSQDSRVAPRLDRKKRTVNREELLKQAESVMQDLGSSRAMLEIQY
+ENEVGTGLGPTLEFYALVSQELQRADLGLWRGEEVTLSNPKGSQEGTKYIQNLQGLFALP
+FGRTAKPAHIAKVKMKFRFLGKLMAKAIMDFRLVDLPLGLPFYKWMLRQETSLTSHDLFD
+IDPVVARSVYHLEDIVRQKKRLEQDKSQTKESLQYALETLTMNGCSVEDLGLDFTLPGFP
+NIELKKGGKDIPVTIHNLEEYLRLVIFWALNEGVSRQFDSFRDGFESVFPLSHLQYFYPE
+ELDQLLCGSKADTWDAKTLMECCRPDHGYTHDSRAVKFLFEILSSFDNEQQRLFLQFVTG
+SPRLPVGGFRSLNPPLTIVRKTFESTENPDDFLPSVMTCVNYLKLPDYSSLEIMREKLLM
+AAREGQQSFHLS
+>sp|Q2NL13|TPPC5_BOVIN Trafficking protein particle complex subunit 5 OS=Bos taurus OX=9913 GN=TRAPPC5 PE=2 SV=1
+MEARFTRGKSALLERALARPRTEVSLSAFALLFSELVQHCQSRVFTVAELQARLAALGRQ
+VGARVLDALVAREKGARRETKVLGALLFVKGAVWKALFGKEADKLEQANDDARTFYIIER
+EPLINTYISVPKENSTLNCASFTAGIVEAVLTHSGFPAKVTAHWHKGTTLMIKFEEAVIA
+RDRALEGR
+>sp|Q0VD42|TLCD5_BOVIN TLC domain-containing protein 5 OS=Bos taurus OX=9913 GN=TLCD5 PE=2 SV=1
+MALALCLQALCSLGGWLSLYVSFCRLNKHRSYEWNCRLVTFTHGILSIGLSAYIGFIDGP
+WPFTHPGSPNTPLQVHVLCLTLGYFIFDLGWCIYFRSEGPLMLAHHTLSILGIIVALVLG
+ESGTEVNAVLFGSEITNPLLQIRWFLRETGHYHSFTGDVVDFLFVALFTGVRIGVGACLL
+FCEMVSPTPKWFVKVGGVAMYVVSWCFMFSIWRFAWRKSIKKYHTWRSRWSEERQLRLNG
+HLKTH
+>sp|Q58DR5|SCAM3_BOVIN Secretory carrier-associated membrane protein 3 OS=Bos taurus OX=9913 GN=SCAMP3 PE=2 SV=1
+MAQGRDGGNPFAEPGELDNPFQDPAVIQHRPSTHYATLDVYNPFETREPPPSYEPPAPVP
+IAPPSAPPLQSSRKLSPTEPKNYGSYSTQASTAAATAELLKKQEELNRKAEELDRREREL
+QHAALGSTATRQNNWPPLPSFCPVQPCFFQDISMEIPQEFQKTVSTMYYLWMCSTLALLL
+NFLACLASFCVETSNGSGFGLSILWILLFTPCSFVCWYRPMYKAFRSDSSSNFFVFFFIF
+FVQDVLFVLQAIGIPGWGFSGWISALVVLKVNTAVAVLMLLVALFFTGIAVLGIVMLKRI
+HSLYRRTGASFQKAQQEFAAGVFSNPAVRTAAANAAAGAAENAFRAP
+>sp|Q2KI58|ZN181_BOVIN Zinc finger protein 181 OS=Bos taurus OX=9913 GN=ZNF181 PE=2 SV=1
+MFQVTFSDVAIDFSHEEWRWLNSTQRNLYKDVMVQNYENLVSLGLSIPKPYVIALLEDGK
+EPCMVEEKLSKDTFPDCKTRWENKELSMKEDIYDEDLPQMVLKEKTIQQNHEFSNFNKDL
+YYIKKFKGKYENQVEHFRPVTLTFRESPIGESVYKCNAFKSIFHLKSVFSEPQRISAEGK
+SHKCDILKKSLPTNSVIKNENINDGKKLLNSNESVAAFSQSKSLTLHQTLNKEKIYTCNE
+CGKAFGKQSILNRHWRIHTGEKPYECHECGKTFSHGSSLTRHQISHSGEKPYKCIECGKA
+FSHVSSLTNHQSTHTGEKPYECMNCGKAFSRVSHLIEHLRIHTQEKLYECRICGKAFIHR
+SSLIHHQKIHTGEKPYECRECGKAFCCSSHLTRHQRIHAIEKQFECNKCLKVFSSLSFLI
+QHQSIHTEEKPFECQKCGKSFNQPESLNMHLRNHTRLKPYECSICGKAFSHRSSLFQHHR
+IHTGEKPYECIKCGKTFSCSSNLTVHQRIHTGEKPYKCNECGKAFSKGSNLTAHQRVHNG
+EKPSSTISVEKSLGHMSHCVYERSHNRETA
+>sp|Q2HJH6|SNR40_BOVIN U5 small nuclear ribonucleoprotein 40 kDa protein OS=Bos taurus OX=9913 GN=SNRNP40 PE=2 SV=1
+MIEQQKRKGPELPLVPVKRQRHELLLGAAGSGPGAGQQQAAPGALLQAGPPRCSSLQAPI
+MLLSGHEGEVYCCKFHPNGSTLASAGFDRLILLWNVYGDCDNYATLKGHSGAVMELHYNT
+DGSMLFSASTDKTVAVWDSETGERVKRLKGHTSFVNSCYPARRGPQLVCTGSDDGTVKLW
+DIRKKAAIQTFQNTYQVLAVTFNDTSDQIISGGIDNDIKVWDLRQNKLTYTMRGHADSVT
+GLSLSSEGSYLLSNAMDNTVRVWDVRPFAPKERCVRIFQGNVHNFEKNLLRCSWSPDGSK
+IAAGSADRFVYVWDTTSRRILYKLPGHAGSINEVAFHPDEPIILSASSDKRLYMGEIQ
+>sp|P49220|UR2R_BOVIN Urotensin-2 receptor OS=Bos taurus OX=9913 GN=UTS2R PE=2 SV=2
+MALSPEPSSRFLVPATMGSAMPELPGAPNASLNSSLASPTEPNSLEDLVATGTIGVVLSA
+MGVVGMAGNVYTLTVMCRFLHTSASMYVYVINLALADLLYLLSIPFIVATYVTKRWHFGD
+VGCRVLFSLDFLTMHASIFTLTLMSRERYAAVVRPLDTVQRSKGYRKVLALGTWLLALLL
+ALPMMLAIRLVRRGHKSLCLPAWGQRTHRAYLTLLFGTSIVGPGVVIGLLYVRLARAYWL
+SQRSSFTQTRRLPNPRVLYLILGIVLLFWACFLPFWLWQLLAQYRGAPPLAPRSARIVNY
+LTTCLTYGNSCVNPFLYTLLTKNYRDYRQRSLHSRGTSGPVGVRSFPQGHTRCQLGSGRS
+VTSSSQQATETIALSQAVPGSLCV
+>sp|Q2YDI3|YPEL2_BOVIN Protein yippee-like 2 OS=Bos taurus OX=9913 GN=YPEL2 PE=3 SV=1
+MVKMTRSKTFQAYLPSCHRTYSCIHCRAHLANHDELISKSFQGSQGRAYLFNSVVNVGCG
+PAEERVLLTGLHAVADIYCENCKTTLGWKYEHAFESSQKYKEGKYIIELAHMIKDNGWD
+>sp|Q1LZH0|U1SBP_BOVIN U11/U12 small nuclear ribonucleoprotein 35 kDa protein OS=Bos taurus OX=9913 GN=SNRNP35 PE=2 SV=1
+MNDWMPIAKEYDPLKAGSIDGTDEDPHDRAVWRAMLARYTPNKGVTGDPLLTLFVARLNL
+QTKEEKLKEVFSRYGDIRRLRLVRDLVTGFSKGYAFIEYKDERSLLKAYRDADGLVIDQH
+EIFVDYELERTLKGWIPRRLGGGLGGKKESGQLRFGGRDRPFRKPINLPVVKNDQFREGK
+RERRERSRSRERHWDSRMRDHHDRGREKRWQEREPARAWPEGDWERERDFRDDRVKGREK
+RDRSK
+>sp|A6QM04|ZWILC_BOVIN Protein zwilch homolog OS=Bos taurus OX=9913 GN=ZWILCH PE=2 SV=1
+MWSGANRAAEEFYAGLLQEFDENKKGIRKDPFIYEADIQVQLISKGQPNPLKNILHENET
+IFIVEKVPLEKEEPSPIEELQSEDTAISDLSTGENVGLLALPIGRARQLIGFYTMAHNPN
+MTHLKINRPVTALPPLWVRCDGSDPEGISWLGAELISTSSNITGIVLYMVTCKVDKNYSV
+NLEDLKKSHKKRHHLSTLTASGFARYELFKSTALDDTVAASQTTITLDISWSPVDEILQT
+PPLSSTATLNIKVESGEPRGPLSQLHRELKFLLVLADGLRTGVTEWPEPLEAKSAVELVQ
+EFLNELSKLNEFGDSTKKDTEIVKHDAAAVDGSIECLLTVRGDLDFAEQLWCKMSSSVIS
+YQDLVKCFSLVIQSLQRGAIQPWLHSGSNSLLSKLIHQSYYGTMDTVSLSGTVPVQMLLE
+IGLDKLKKDYICFFIGQELASLNHLEYFISPSVDTQEQVHRVQKLHHILEIVVSCMLFIK
+PQHELLFSLTQSCIKYYEQNPLDEQHIFQLPVRPTAVKDLYQNEKPQKWRVEINSGQKKV
+KTVWQLSDSPPIDHLNFHRPDFSELTLSGSLEERISFTNMVTCSQVHFK
+>sp|Q75NY9|SC16B_BOVIN Protein transport protein Sec16B OS=Bos taurus OX=9913 GN=SEC16B PE=2 SV=1
+MEPWIPQWLPQPSGRPPAPSKDPDRGLWKDRYYQPIPHSWHNGERVHQRQDVGRSPQPQQ
+DPREDLQEPHYAARSGEWRPPVSGVDYYEGGYPSQLYSRWSIEDLYQSYYSPALREEYAY
+GSYYYHGHPQQLQEERVPRQGSPYIWQEDHRDQKYLNDHQHENQNSPFGTNRETQLQSKS
+RNPYKDSPASNSGQERPEDLFMESPLTGAQKNKLSLMEESNLLWQHESGLTSSSYELSQY
+MADASELCDPMTSAVWSPVQAEDISAAGPKEPMKFYVPHMPVSFGPGGQLVCVSPSSPSD
+GQTALVELHSMEVILNDSEEQEEMRTFSGPLIREDVHKVDIMTFCQQKAAQSHKSETPGS
+RDSALLWQLLVLLCRQNGSMVGSDIAELLMQDWKKLEKYKRQPPVANLINLTDEDWPVLS
+SGTRDLLTGEILPSVETPAQILEKFTKLLYYGRKKEALEWAMKNHLWGHALFLASKMDPR
+THSRVMSGFTSTLALNDPLQTLFQLMSGRIPQAATCCGDKQWGDWRPHLAVILSNQGGDP
+ELYQRTIVAMGDTLAGKGLVEAAHFCYLMAHVPFGYYTVKTDYLALLGSSHSQEFLKSAT
+TEAIQRTEIFEYCQMLGRPKSFIPSFQVYKLLYASRLADYGLTSQALHYCEPVGTALLSH
+GESSHPVLLVELIKLAERLKLSDPLVLERRRHRGDRDLEPDWCVHLRGHHRELQQKEAGD
+IGRPQSAQAVISEARKTPENTFYQDLSGPQGNSEAYGDRSALWPTLEQSGPAQLSPQQPR
+SYPTGGGSGQMGVPVPLYSVPETHLLGTSGSMAVTGAPGGTAWEEAQQIHLPPVSPETLQ
+HPDGQKAISRPQVPLIPRARSISESSIVSVKEDEEEPPRRQIKIFLKYRPERKPEREGDT
+KSSGFGWFSWFRSKPTHNASPSGEEDSSDSPDSEEMPRAASLPQPSPSLSLTPSPDPQSL
+LGASAFSRDTGGDEVRGSVSGVGTAEGPGSGGLSGPEGVSTELCLNAGVLLPPPSVKGSV
+PLYNPSQVPQLSTVTSLNRPNRLAQRRYPTQS
+>sp|Q9BG79|SOST_BOVIN Sclerostin OS=Bos taurus OX=9913 GN=SOST PE=2 SV=2
+MQLSLALCLVCLLVHAAFRVVEGQGWQAFKNDATEIIPELGEYPEPLPELNNKTMNRAEN
+GGRPPHHPFETKDASEYSCRELHFTRYVTDGPCRSAKPVTELVCSGQCGPARLLPNAIGR
+GKWWRPSGPDFRCIPDRYRAQRVQLLCPGGAAPRARKVRLVASCKCKRLTRFHNQSELKD
+FGPEAARPQTGRKLRPRARGTKASRAELENAY
+>sp|Q32KL7|SPESP_BOVIN Sperm equatorial segment protein 1 OS=Bos taurus OX=9913 GN=SPESP1 PE=2 SV=1
+MKFLVLLVALLLWPSSLPAYRRVTVTPDEEQNLNHYVQVLQNLILSVPTKEPGRQKKSKS
+PNNANFIGPRVSRVKELKYTHDVGPGDNDVLINPVSEETTTFPTRGFTLEIDKKKRTKST
+AFWSIKPSNVSVVLHAKEPFIEKDEPEPEPEPEPEPEPVEHRTGAPTQVPSVTEPSQDVT
+SLSGSTDLGTATEEEDVPQLSGDNEMDYLESHDMYNEDVLKRIADINSQLHHVPLPESYK
+PEYRADIRASKEHLKRSLALAIAAEHKLEKMYKSQMLPQGRSSGGVYDIITVINMLYNSR
+YKLSEYLDIKYVPLEMRGKATVVVHTLKRILCVGHGEETHNLLKQLLNNNIRILHILDTH
+DKDDSS
+>sp|Q0VC54|ZNT6_BOVIN Zinc transporter 6 OS=Bos taurus OX=9913 GN=SLC30A6 PE=2 SV=1
+MGTIHLFRKPQRSFFGKLLQEFRLVAADRRSWKILLFGAINLTCTGFLLMWCSSTNSIAL
+TAYTYLTIFDLFSLITCLVSYWVMMRKPSPAYSFGFERLEVLAVFASTVLAQLGALFILK
+ESAERFLEQPEIHTGRLLVGTFVALSFNLFTMLSIRNKPFAYVSEAASTSWLQEHVADLS
+RSLCGIIPGLSSIFLPRMNPFVLIDLAGAFALCITYMLIEINNYFAVDTASAIAIALMTF
+GTMYPMSVYSGKVLLQTTPPHVIGQLDKLIREVSTLDGVLEVRNEHFWTLGFGSLAGSVH
+VRIRRDANEQMVLAHVTNRLYTLVSTLTVQIFKDDWIRPALLSGPVAANVLNFTDHHVIP
+MPPLKGTDDSNPVTSTPTKPSSPPPEFSFNTPGKNVSPVILLNTQTRPYGLGLNHGHAPY
+SSVLNQGLGVPGIGATQGFRTGFTNIPSRYGTNNRIGQPRP
+>sp|P31404|VATA_BOVIN V-type proton ATPase catalytic subunit A OS=Bos taurus OX=9913 GN=ATP6V1A PE=2 SV=2
+MDFSKLPKIRDEDKESTFGYVHGVSGPVVTACDMAGAAMYELVRVGHSELVGEIIRLEGD
+MATIQVYEETSGVSVGDPVLRTGKPLSVELGPGIMGAIFDGIQRPLSDISSQTQSIYIPR
+GVNVSALSRDVKWDFTPCKNLRVGSHITGGDIYGIVNENSLIKHKIMLPPRNRGTVTYIA
+PPGNYDTSDVVLELEFEGIKEKFSMVQVWPVRQVRPVTEKLPANHPLLTGQRVLDALFPC
+VQGGTTAIPGAFGCGKTVISQSLSKYSNSDVIIYVGCGERGNEMSEVLRDFPELTMEVDG
+KVESIMKRTALVANTSNMPVAAREASIYTGITLSEYFRDMGYHVSMMADSTSRWAEALRE
+ISGRLAEMPADSGYPAYLGARLASFYERAGRVKCLGNPEREGSVSIVGAVSPPGGDFSDP
+VTSATLGIVQVFWGLDKKLAQRKHFPSVNWLISYSKYMRALDEYYDKHFTEFVPLRTKAK
+EILQEEEDLAEIVQLVGKASLAETDKITLEVAKLIKDDFLQQNGYTPYDRFCPFYKTVGM
+LSNMIAFYDMARRAVETTAQSDNKITWSIIREHMGEILYKLSSMKFKDPVKDGEAKIKAD
+YAQLLEDMQNAFRSLED
+>sp|Q0P561|SNTAN_BOVIN Sentan OS=Bos taurus OX=9913 GN=SNTN PE=2 SV=1
+MCGCVHSTQDQALRLEGEPNPPAAPTSTLAPKNMPKSISISKQLASIKALRKGSDLEKAI
+ATAALVFRNSSDPDGKLRKATAKNLLQTQFKNFAEGQETKARYKDLLSELDEHTENKLDF
+EDFMVLLLSVTIMSDLLQNIWSVKITQ
+>sp|Q08E25|ZNT3_BOVIN Zinc transporter 3 OS=Bos taurus OX=9913 GN=SLC30A3 PE=2 SV=1
+MEPSPTTGGSETTRLVGPRDRGGAGGGLRLKSLFTEAPEPLPEEPKPVEMSFHHCHRDPL
+PQPGLTPERMQAQRQLCTACAVCCVFMAGEVVGGYLAHSLAIMTDAAHLLADVGSMMGSL
+FSLWLSTRPATRTMTFGWHRSETLGALASVVSLWMVTGILLYLAFIRLLHSDYHIEGGAM
+LLTASIAVCANLLMAFVLHQAGPPHSHGSRGAEYAPLEEGSGEPLPLGNTSVRAAFVHVL
+GDLLQSLGVLIASILIYFKPQYKAADPISTFLFSICALGSTAPTLRDVLRVLMEGTPRSV
+SFEPVRDTLLSVPGVRAIHELHLWSLTFTHYVASAHLAIDSTADPEAVLAEATSRLHSRF
+GFSSCTLQVEQYQPEMAQCLRCQEPPQA
+>sp|P48044|V2R_BOVIN Vasopressin V2 receptor OS=Bos taurus OX=9913 GN=AVPR2 PE=1 SV=1
+MFMASTTSAVPWHLSQPTPAGNGSEGELLTARDPLLAQAELALLSTVFVAVALSNGLVLG
+ALVRRGRRGRWAPMHVFIGHLCLADLAVALFQVLPQLAWDATDRFRGPDALCRAVKYLQM
+VGMYASSYMILAMTLDRHRAICRPMLAHRHGGGTHWNRPVLLAWAFSLLFSLPQLFIFAQ
+RDVDGSGVLDCWARFAEPWGLRAYVTWIALMVFVAPALGIAACQVLIFREIHASLGPGPV
+PRAGGPRRGCRPGSPAEGARVSAAVAKTVKMTLVIVIVYVLCWAPFFLVQLWAAWDPEAP
+REGPPFVLLMLLASLNSCTNPWIYASFSSSISSELRSLLCCTWRRAPPSPGPQEESCATA
+SSFLAKDTPS
+>sp|Q0VCY1|VAPA_BOVIN Vesicle-associated membrane protein-associated protein A OS=Bos taurus OX=9913 GN=VAPA PE=2 SV=1
+MASASGTMAKHEQILVLDPPTDLKFKGPFTDVVTTNLKLRNPSDRKVCFKVKTTAPRRYC
+VRPNSGIIDPGLTVTVSVMLQPFDYDPNEKSKHKFMVQTIFAPPNISDMEAVWKEAKPDE
+LMDSKLRCVFEMPNENDKLNDMEPSKAVPLNAAKQDGPVPKPHSVSLSDTETRKLVEECK
+RLQGEMMKLSEENRLLRDEGLRLRKVAHSEKPGSTSAVSFRENVTSPLPSLLVVIAAIFI
+GFFLGKFIL
+>sp|Q58D65|TYW2_BOVIN tRNA wybutosine-synthesizing protein 2 homolog OS=Bos taurus OX=9913 GN=TRMT12 PE=2 SV=1
+MEGAGGKPTAVVAVVTEPRFTQRYREYLEKHKLLDRQHRVKKLRDGTVALPVLREALLEQ
+HLRELRNRVAPGSTCVPTQLLDPVPSKKAQSYSPAQRLCLEVSRWVEGRGVTWSAKLEAD
+LPRSWQRHGDLLLLSEDCFQAKQWRHLEPELWETVASALGAQRLAKRGRVSPDSTRTPAV
+SLLLGDHGWVEHVDNGIRYKFDVTQCMFSFGNITEKLRVASLPCVGEVLVDLYAGIGYFT
+LPFLVHAEAAFVHACEWNPHAVVALRNNLELNGVADRCQIHFGDNRKLKLSNVADRVNLG
+LIPSSEEGWPIACRVLKQDAGGILHIHQNVESFPGKTLQPPGSSEMEEHWPSPHQIISNQ
+LNNGATSDSRRKTLSVATKPEWQRWAKAAETRIATLLHQVHGKRWKTQILHIQPVKSYAP
+HVDHIVLDLECRPCHLVG
+>sp|A2VDK9|VMA21_BOVIN Vacuolar ATPase assembly integral membrane protein VMA21 OS=Bos taurus OX=9913 GN=VMA21 PE=3 SV=1
+MERLDKAALNALQPSDFRNESSLASTLKTLLFFTALMITVPIGLYFTTKSYVFEGAFGMS
+NRDSYFYAAIVAVVAVHVVLALFVYVAWNEGSRQWREGKQD
+>sp|A6QLI1|VGLU2_BOVIN Vesicular glutamate transporter 2 OS=Bos taurus OX=9913 GN=SLC17A6 PE=2 SV=1
+MESVKQRILTPGKEGLKNFAGKSLGQIYRVLEKKQDAGETIELTEDGKPLEVPEKKAPLC
+DCTCFGLPRRYIIAIMSGLGFCISFGIRCNLGVAIVDMVNNSTIHRGGKVIKEKAKFNWD
+PETVGMIHGSFFWGYIITQIPGGYIASRLAANRVFGAAILLTSTLNMLIPSAARVHYGCV
+IFVRILQGLVEGVTYPACHGIWSKWAPPLERSRLATTSFCGSYAGAVIAMPLAGILVQYT
+GWSSVFYVYGSFGMIWYMFWLLVSYESPAKHPTITDEERRYIEESIGESANLLGAMEKFK
+TPWRKFFTSMPVYAIIVANFCRSWTFYLLLISQPAYFEEVFGFEISKVGMLSAVPHLVMT
+IIVPIGGQIADFLRSKQILSTTTVRKIMNCGGFGMEATLLLVVGYSHTRGVAISFLVLAV
+GFSGFAISGFNVNHLDIAPRYASILMGISNGVGTLSGMVCPIIVGAMTKNKSREEWQYVF
+LIAALVHYGGVIFYAIFASGEKQPWADPEETSEEKCGFIHEDELDEETGDITQNYINYGT
+TKSYGATTQANGGWPNGWEKKEEFVQEEVQNSYNYKDRDDYS
+>sp|A4D7S0|WNT2_BOVIN Protein Wnt-2 OS=Bos taurus OX=9913 GN=WNT2 PE=3 SV=1
+MNACLVGIWLWLPLLFTWLSPEVSSSWWYMRATSGSSRVMCDNVPGLVSHQRQLCHRHPD
+VMRAIGLGVTEWTMECQHQFRQHRWNCNTLDRDHSLFGRVLLRSSRESAFVYAISSAGVV
+FAITRACSQGELKSCSCDPKKKGTAKDNKGTFDWGGCSDNIDYGIKFARAFVDAKERKGK
+DARALMNLHNNRAGRKAVKRFLKQECKCHGVSGSCTLRTCWLAMADFRKTGNYLWRKYNG
+AIQVVMNQDGTGFTVANKRFKKPTKNDLVYFENSPDYCIRDRDAGSLGTAGRVCNLTSRG
+MDSCEVMCCGRGYDTSHITRKTKCECKFHWCCAVRCQDCVEALDVHTCKAPKSPDWAAPT
+>sp|Q3ZBT5|STX7_BOVIN Syntaxin-7 OS=Bos taurus OX=9913 GN=STX7 PE=2 SV=1
+MSYTPGVGGDPAQLAQRISSNIQKITQCSAEIQRTLNQLGTPQDSPELRQQLQQKQQYTN
+QLAKETDKYIKEFGSLPTTPSDQRQRKIQKDRLVAEFTASLTNFQKVQRQAAEREKEFVA
+RVRASSRVSGGFPEESSKERNLVSWESQTQPQAQLQDEEITEDDLRLIQERESSIRQLEA
+DIMDINEIFKDLGMMIHEQGDVIDSIEANVENAEVHVQQANQQLSRAADYQRKSRKTLCI
+IIFILVIGVVIIGLIIWGVKG
+>sp|Q0VD24|SETMR_BOVIN Histone-lysine N-methyltransferase SETMAR OS=Bos taurus OX=9913 GN=SETMAR PE=2 SV=1
+MATCEEVPEALKGQLDVARGLENLPVSAWPPGAEPEPFQYTPDHVAGPGADADPSQITFP
+GCACLKTPCLPGTCSCLRHENNYDDRSCLRDIGSEAKCTEPVFECNVLCQCSERCRNRVV
+QWGLQFHLQVFKTDHKGWGLRTLDFIPKGRFVCEYAGEVLGISEVQRRVQLQTIHDSNYI
+IAIREHVYNGQVMETFVDPASIGNIGRFLNHSCEPNLLMIPVRIDSMVPKLALFAARDIL
+PEEELSYDYSGRFLNLMHSEDKERLDNGKLRKPCYCGARSCAAFLPYDSSLYCPTEKPDT
+SEEGRA
+>sp|P82916|RT17_BOVIN 28S ribosomal protein S17, mitochondrial OS=Bos taurus OX=9913 GN=MRPS17 PE=1 SV=3
+MSVVRSSVHAKWIVGKVIGTAMQKTAKVRVTRLVLDPYLLKYFNKRKTYFAHDALQQCTV
+GDIVLLKALPVPRTKHVKHELAEIVFKVGQVVDPVTGKRCAGTTYLESPVDLETTPLAKN
+LEELSLSTTQ
+>sp|P26779|SAP_BOVIN Prosaposin OS=Bos taurus OX=9913 GN=PSAP PE=1 SV=3
+MYSFFVLASLLGGALASPVLGLRECTRGSAVWCQNVKTAADCGAVQHCLQTVWSKPTVKS
+LPCDICKDVITAAGNLLKDNATEQEILMYLERTCDWLPKPNMSASCKEIVDSYLPVILDM
+IKGQMSHPGEVCSALNLCESLQKHLAELNHQKQLESNQIPELDMAEVVAPFMANIPFLLY
+PQDGSHSKPQPKKANGNVCQDCIQLVTDVQEALRTNSTFVEALVDHAKEECDRLGPGMSD
+MCKNYINQYSEVAIQMVMHMQPKEICVLAGFCDEVKEMPMKTLVPAEVVSENVIPALGLV
+EPIKKDPAPAKADIYCEVCEFVVKEVAKLIDNNRTEEEILHALDKVCSKLPTSLAEQCQE
+VVDTYGSSILSILLDEASPELVCSMLHLCSSRGLPAATVRVMPRKDGGFCEVCKKLVGYL
+DRNLEKNSTKEQILAALEKGCSFLPDQYRKQCDQFVTEYEPVLIEILVEVMDPSFVCLKI
+GACPAAHKPLLGAEKCVWGPSYWCQNMESAALCNAVEHCRRHVWN
+>sp|Q6QUN5|TREM1_BOVIN Triggering receptor expressed on myeloid cells 1 OS=Bos taurus OX=9913 GN=TREM1 PE=2 SV=1
+MRKAGVWGLLWMLFIEEIQAAAEVFEEKCTLAEGQTLKVSCPTNTNIYSNSQKAWQRLKD
+NGEVQTLAITEGSSQVRVGKYFLEDIPSEGMLQIQMANLQVEDSGLYRCVILGPSDPIIL
+FHPVRLVVTKNSLGTPASDEYPCQVSVQNPTPLPVTTKLRPRPRPRPKPVTQPIPTSADR
+LSSPGFTVTPTNVTHVNRAPGISIIIPAACGLLSKTLVFIGLFAVTHRSFAS
+>sp|Q32LM2|SGTA_BOVIN Small glutamine-rich tetratricopeptide repeat-containing protein alpha OS=Bos taurus OX=9913 GN=SGTA PE=2 SV=1
+MDNKKRLAYAIIRFLHDQLRHGELSSDAQESLEVAIQCLETAFGVTVEDSDLALPQTLPE
+IFEAAAAGKELPPDLRSPQETPPSEEDSAEAERLKTEGNEQMKVENFEAAVHFYGKAIEL
+NPANAVYFCNRAAAYSKLGNYAGAVQDCERAICIDPSYSKAYGRMGLALSSLNKHTEAVA
+YYRKALELDPDNETYKSNLKVAELRLREAPSPTGGVGSFDIAGLLNNPSFMSMASNLMNN
+PQVQQLMSGMISGGHNPLGTPGTSPSQNDLASLIQAGQQFAQQMQQQNPELIEQLRSQIR
+SRTPSASNDDQQE
+>sp|Q0VC58|TM38B_BOVIN Trimeric intracellular cation channel type B OS=Bos taurus OX=9913 GN=TMEM38B PE=2 SV=1
+MESPWNELTLAFSRTSMFPFFDIAHYLVSVMALKHQPGAAALAWKNPLSSWFTAMLHCFG
+GGILSCVLLAEPPLRFLANNTNILLASSIWYIAFFCPCDLISQAYSFLPVQLLAAGMKEV
+TRTWKIVGGVTHANSYYKNGWIVMIAVGWARGAGGSIITNFEQLVKGCWKPEAEEWLKMS
+YPAKVTLLGSVIFTFQQTKYLAISKHNLMFLFTVFLVATKITMMITKTALVPFACFEDTL
+SRMLFGWQQQFSPCEKKSETKSSFNGTGSSTSKPVANASDKVKKKHSKKTE
+>sp|Q3T0A9|SHSA5_BOVIN Protein shisa-5 OS=Bos taurus OX=9913 GN=SHISA5 PE=2 SV=1
+MAAPAPAPRILVLLLLLLPAPEGAQSELCMISHGRKVDPWVCPDFCCGNCNDQYCCSDVL
+KQVMWIEEDCHAPEASILTDDFDSGFDSDPVARFGTVIAIGVTLFVIAVVTVIVCCTCSC
+CCLYKMCRRPQPVVTTTMATTVTHTPYLQPPSYPGPTYQGYHSVVPQPGMPTAPYPTQPT
+GPPAYHETMAGGAALPYPASQPPYNPAYMEPPKAVP
+>sp|Q32PJ7|TENS4_BOVIN Tensin-4 OS=Bos taurus OX=9913 GN=TNS4 PE=2 SV=2
+MSQVMSSPLLAGGPAVGLAPCEEPRRVLHPAPSPSLPPPCPYYTTEGWGAQALMAPMPCK
+GPPVRLQPAPQAGANASCLLKAPGEQVSGAQEDLDSYIDFSLESLNQMILELDPTFQLLP
+PGPGGPEAQPTQSTTLGKKKEEPEALDIKYIEVTSTRSRCHDGPRSCCSPSVTPPFGSPR
+TGGLLLSRDLPRETRSSSESLIFSGSQGRGHQHPAPPSAVPSSHPPTSPSISIPGMGSKA
+SGPHGLGSPLVASPSLEKGLGGQGPQLSSRVSMLSGSPASDISYVFGSSQSLLHSSISSH
+QSSSRSLESPSSSSSSLNNLGPVSLYTRASDLQVPSNATPSMGQPRATRSPPLAKEHASS
+CPPSITNSMTDIPIVLINGFPEPGSPPSQRTPGPQDFVRPGATSSSMPCPATRSHSQTLP
+EAPPTASPEGPARDMQPTMKFVMDTSKYWFKPSISREQAIELLRKEEPGAFIVRDSSSYR
+GSFGLALKVQEAPTPAQSRPGEDSSDLIRHFLIESSAKGVHLKGADEEPYFGSLSAFVCQ
+HSIMALALPCKLVIPQKELGGGDGASDPSADGQASCLKKSAGCHALYLSSVSVETLSGAL
+AVEKAISATLERDVLPTPTVVHFKVTEQGITLTDVQRKVFFRRHYPLATLRFCGMDPEQR
+KWQKYCKPSRIFGFVAKSQTESQENVCHLFAEYDTLQPASQVIRLVGALLQDPERM
+>sp|P63315|TNNC1_BOVIN Troponin C, slow skeletal and cardiac muscles OS=Bos taurus OX=9913 GN=TNNC1 PE=1 SV=1
+MDDIYKAAVEQLTEEQKNEFKAAFDIFVLGAEDGCISTKELGKVMRMLGQNPTPEELQEM
+IDEVDEDGSGTVDFDEFLVMMVRCMKDDSKGKSEEELSDLFRMFDKNADGYIDLEELKIM
+LQATGETITEDDIEELMKDGDKNNDGRIDYDEFLEFMKGVE
+>sp|G3MY25|TIGD5_BOVIN Tigger transposable element-derived protein 5 OS=Bos taurus OX=9913 GN=TIGD5 PE=3 SV=2
+MYSAGPPAVPAPRRCRRPPPGRPMQPPRPPAPAPVPAARPPPPAPGPRPRVAVKMAFRKA
+YSIKDKLQAIERVKGGERQASVCRDFGVPGGTLRGWLKDEPKLRWFLEQLGGEVGTQRKK
+MRLANEEEIDRAVYSWFLALRQHGVPLSGPLIQAQAEAFARQIYGPECTFKASHGWFWRW
+QKRHGISSQRIYGEAEPVAAGPAPGPPVKQEPAQPTRAGPLPDRAASTPAPAEGGYGDEQ
+IYNANVTGLYWKLLPEQAAPVGAGGCGRRWRGDRVTVLLAANLTGSHKLKPLVIGQLPDP
+PSLRHHNQDKFPASYRYSPDAWLSRPLLRGWFFEEFVPGVRRYLRRSCLQQKAVLLVAHP
+PCPSSEARMPALEESEETRRRCRPEPTGPPEELQTPDGAVRVLFLCRGSGRAHIPAPLEQ
+GVVAAFKQLYKRELLRLAVSCAGGSPLDFMRSFMLKDMLYLAGLSWDLVQAGSIERCWLL
+GLRAAFEPRPVEERAGQPAGQAEEAAEHSRVLSDLTHLAALAYKRLAPEEVAEWLHLDDD
+GGLPDGGREDWGPSRPPVLVPGGPLLPASLPSAVAGGAEEEEEEAIPTAGEAVRGLETAL
+RWLETQDPREVGPLKLVQLRSLISTARRLGGIGPSPMVPDDGL
+>sp|P82292|Z13_BOVIN Spermadhesin Z13 OS=Bos taurus OX=9913 PE=1 SV=1
+DSTDGLLVKDKYLCGDLYGEEYGVIFPYLGLKTECLWTIKMDPLYRILLTVRDVHENCNK
+ESLEIIEGPPESSNSRKICDTSHAEYTSCTNTMTVKYTRKPNHPAPDFFLIFRRVL
+>sp|P38572|UPK1A_BOVIN Uroplakin-1a OS=Bos taurus OX=9913 GN=UPK1A PE=1 SV=1
+MASAAAATTEKGSPVVVGLLVMGNIIILLSGLALFAETVWVTADQYRIYPLMGVSGKDDV
+FAGAWIAIFCGFSFFVVASFGVGAALCRRRSMILTYLILMLIIYIFECASCITSYTHRDY
+MVSNPSLITKQMLTFYSADSNQGRELTRLWDRIMIEQECCGTSGPMDWVNFTSAFRATTP
+EVVFPWPPLCCRRTGNFIPVNEEGCRLGHLDYLFTKGCFEHIGHAIDSYTWGISWFGFAI
+LMWTLPVMLIAMYFYTTL
+>sp|E1BLZ4|ZFY26_BOVIN Zinc finger FYVE domain-containing protein 26 OS=Bos taurus OX=9913 GN=ZFYVE26 PE=3 SV=1
+MHHPFGKEEAASQKQLLGFFCECLRRGEWELAKACVPQLHEAQGDIPKKVEDILWALVLC
+PNQLRCGQDISPQRLAWVWLLVLEKWLALEKKLLPTGFRRKLEFLLLSEDLPSDISEDIL
+KELYAVLAQDRVDPVLDGNLRQESWPPRLSSEAVSMLWDLLREAPQVAQALLELLLGEVD
+GAGLRGWPLQKALVDLIRKALRTLQGPTAAPPGTVDAIYGALRTLRCPAEPLGAELRLLC
+EELLEACRSEGSPLREERLLGCLLHKAGRDLVSLYSHTYAEKATPSGKVPPDPLDPERAM
+LALFSNPDPAHAWKVAYFYCLSNSKHFLEQILVTALTLLKEEDFPSLGCLLSREFRPLSR
+LLVLLGWTHCQSLASAKSLLQTLHRTQDQGCDKLLRDACDGLWAHLEVLEWCVQHSSNPI
+PKRDLLCHLHGGDSHSVLYSLHHLTNLPALREEDVLKLLQKVPAKDPQQEHDSADTLVPA
+HLSQSQSLTLYRSFCAMKYAIYALCVSSHQHSQCRQCKDGPSDDLASVAEPMNDPPSSPG
+ASDLFSTYLARCQQYLCSIPDSLCLELLENVFSLLLITSADLHPEPHLPEDYAEDAQPER
+KSEQGALGTARGLAYTVPSCPKPEPKDSSPEPHGHSFLDLKHFTSSVSGFLADEFAIGAF
+LRLLQEQLDELSSRGPPEKPKLLEDQSGSGSRDGLQSRLHQFSKVLSEAQWRYKVVTSIQ
+GSEEQPSRRYRPITTRHPSLRRGRRTRKSRADDQDKGSRSSLENTSSELSTSTSEGSLSA
+ASGKNELEGRLQPQPHSSLIPMMFSPPESLLASCILRGNFAEAHQVVFTFNLKSSHGSGE
+LMFMERYQEVIQELAQVEHKIENQNSDGGSSTIRRTGSGRSTLQAIGSAAAAGMVFYSIS
+DVTDKLLSTSGDPIPTLQEDFWISSCPMELTAPLKEVLEDLSPPAMAAFDLACSQCQLWK
+TGKQLLETAERRLNSSLESQGRRLDHVFVNADGIRGFPGVLQQISKILNYPLVSAGQIKS
+ESGEDKGGGPPRCSIAELLQMCWPSLTEDCVASHTTLSQQLEQILQSLREALELPEPRST
+PLSSLVEQVAQKAPEAEAHPVYIQAQLLQKNLGKQTAAGGKQTDYMGTFFRYCSTLAAVL
+LRSLSSEPDHVEVKVGNPFVLLQQSSSQLVSHLLLERQVPPDRLAALLAQEGLSLSVPQV
+IVNCCCEPLTLCSSRQSKQTSALLTRLGTLAQLHTSRCLDDLPLSTLSCLKSTENPTLER
+KPPSSPRDSSPPALTSSALAFLKSRSKLLATVACLGASRGSKVTKTSLSWKELRGRREVP
+LTAEQVARECERLLEQFPVLEASLLAAWEPLRGSSEQGQSLASSLCGQASLSTVLLGPHS
+PTALDVLTEAFEEALVARDWRRALQLTDVYGQDVDDLSSIQDAVLSCAAACDKEGWQFLF
+AVKDACLRSQLTLQFVDRWPLEWCLEILAYCLSDTAVQDGLECELRRKLAELQVYQKILG
+LQSTPVWCNWQALRNCCAEDPSTVMNLILEAKEYELCEEWGCLYPIPREHLINLHQKHLL
+HLLERGDHEKALQQQLLQRIPDPTMCLEVTEQSLDQHPSLATSHFLANYLTTHFYGELTA
+DRHREIQALYMGSKVLLTLPEQHRASYAHLSSSPLLMLEQLLMNMKVDWAAVAVQTLRQL
+LAGQEIGFTTDEVDALLSRYAGKALDFPYPLREKRSDSVIHLQEIVSQVSDLETLSRSPS
+AEFSSATAPGVSTVHSPSVRERNFPPSQLPLEFVPPATPPARHQWVPDESESVCMVCRRE
+RFTMFNRRHHCRRCGRLVCSSCSTKKMVVEGCRENPTRVCDQCYSYFNQDVPEENPGQAE
+APDSSKSESPPYSAVVRVPKAAEVEWILDLNEEENELVRSEFYYEQAPSASLCIAILNLH
+EDSVSCGHQLIEHCCRLSQGLTNPEVDAGLLTDIMKQLLFSAKMMFVKAGQSQDLALCDS
+YISKVDVLNILVAAAYRHVPSLDQILQPAAVTRLRNQLLEAEYYQLGVEVSTKTGLDPTG
+AWHAWGMACLKAGNLTAAREKFSRCLKPPFDLNQLSHGSRLVQEVVEYLESTARPLLSVQ
+DDDFLATLKELEATLRTQSLSLEVIPEGKILNNTYYQECLFYLHSYSTHLAIISFYVRHS
+CLREALLHLLHTESPPEVFIEGIFQPSYKSGKLHDLENLLESIDSSLESWGKYLIAACQH
+LQKKNYYHILYELQQFMKDHVRAAMTCIRFFTHKAKTYTELGEKLSWLLKAKDHLKIYLQ
+ETSRRSGRKKTTFFRKKMTASDVSRHMNTLQLQMEVTRFLHRCESAGTSQVTTSPLPTLF
+GNNHMKMDVACKVMLGGKNVEDGFGIAFRVLQDFQLDAAATYCKAARQLVEREKFGEIRQ
+LLKCVSESGMAAQSDRDTVLLNCVEAFRRIPPQELEGLIQAIHSDDNKVQAYLKCCKLRS
+AYLIAVKQEHSRAAVLVEQVQQAAKSSGDAVVQDICSQWLLTSRSRGAHGSASRK
+>sp|Q1RMX2|UB2D2_BOVIN Ubiquitin-conjugating enzyme E2 D2 OS=Bos taurus OX=9913 GN=UBE2D2 PE=2 SV=1
+MALKRIHKELNDLARDPPAQCSAGPVGDDMFHWQATIMGPNDSPYQGGVFFLTIHFPTDY
+PFKPPKVAFTTRIYHPNINSNGSICLDILRSQWSPALTISKVLLSICSLLCDPNPDDPLV
+PEIARIYKTDREKYNRIAREWTQKYAM
+>sp|Q148G8|UQCC3_BOVIN Ubiquinol-cytochrome-c reductase complex assembly factor 3 OS=Bos taurus OX=9913 GN=UQCC3 PE=3 SV=1
+MTTLRKLLLVGALLGAGAGVGTALFALVTPGEERKQAMLKEMPEQYPQRRDEAARTKELL
+LATLQEAAATQENVAWRKNWMSGGGGGGGGGGRSA
+>sp|Q0V8G8|ZBTB6_BOVIN Zinc finger and BTB domain-containing protein 6 OS=Bos taurus OX=9913 GN=ZBTB6 PE=2 SV=1
+MAAESDVLHFQFEQQGDVVLQKMNLLRQQNLFCDVSIYINDTEFQGHKVILAACSTFMRD
+QFLLTQSKHVRITILQSAEVGRKLLLSCYTGALEVKRKELLKYLTAASYLQMVHIVEKCT
+EALSKYLEIDLSMKNNNQHVDLCQSSDRDVKNEDENSDKDCEIIEISEDSPVNIDFHVKE
+EESNVLQSTVESLTTEREEMRSPELSSVDMSFKDNEIRILHVESISTGGVENGKFSQPCT
+SSKASMYFSETQHSLINSTVESRVAEVPGNQDQGLFCENTEGSHGPVNEIQNLEDAFSLR
+HQCPRCPRGFLHVENYLRHLKMHKLFLCLQCGKTFTQKKNLNRHIRGHMGIRPFQCTVCL
+KTFTAKSTLQDHLNIHSGDRPYKCHCCDMDFKHKSALKKHLTSLHGRSSGEKLPRHDLER
+QNLL
+>sp|A2VE54|UN93A_BOVIN Protein unc-93 homolog A OS=Bos taurus OX=9913 GN=UNC93A PE=2 SV=1
+MEGNLKNVLVLSFGFLLLFTAYGGLQSLQSSLYSEEGLGVAALSTLYGGMLLSSMFLPPV
+LIGKLGCKWTLVLAMCCYVAFSLGNFYASWYTLIPASVLVGLGAAALWSAQGTYLTIVGN
+MQARKTGQVGKDVVSQYFGIFFLIFQSSGVWGNLISSLVFGQMPTQGTIPEEQLQACGAS
+DCLMATLSANSTNRPSQDLIYTLLGIYTGCGFLAVLLMAVFLEPVRDAQPEGEDEKQAPP
+FWSTLLSTFKLLRDKRLRLLILLPMLSGFEQAFLSGDYTRSYTTCALGIQFVGYVMICFG
+AADALCSVLFGRLARHTGRTVLFALGAVTQLACIIALLLWKPHPSQLPVFFVFPSLWGMA
+DAVWQTQNNALFGVLFEKNKEAAFANYRLWEALGFVIAFGYSTFLCVSVKLYVLLGVLSA
+AMAAYGAVEYTESRKADGPLAAGRTKPAEDGATQTKL
+>sp|P86435|VGF_BOVIN Neurosecretory protein VGF OS=Bos taurus OX=9913 GN=VGF PE=1 SV=2
+MKSLRLPATVLFCLLLLIKGLGAAPPGHPEAQPPPPSSEHKEPVAGDAVLGSKDVSALEV
+RAARNSEPQDEGELFQGVDPRALAAVLLQALDRPASPPAPGGSQQRPEEETAESLLTETV
+RSQTHSLPVPETQAPAAPPRPQTQENGAEAPDPSEELEALASLLQELRDFSPSSAKRQQE
+TAAAETETRTHTLTRVNLESPGPERVWRASWGEFQARVPERAPLPPPAPPQFQARVPESG
+PLPEAHQFGGGSSPKTHLGEALAPLSKAYQGLAAPFPKARRPETSLLGGTEAGERLLQQG
+LAQVEAGRRQAEATRQAAAQEERLADLASDLLLQYLLQGGARQRGLGGRGLQEEEGGGRE
+TARQQEEAEQERRGGEERVGEEDEEAAEAEAEAEEAERARQNALLFAEEEEGEAGAEDKR
+SREETPGHRRKEAEGAEEGGAEDEDDDEEMDPQTIDSLIELSTKLHLPADDVVSIIEEVE
+EKRKRKKNAPPEPVPPPRAAPAPTHARSPKTPPPAPAPDREELPDGNEELPPRDRXENEV
+FSPVPYHPFPNYIRARTVQPPPASRRRHYHHALPPSRHYPDREAQARRAQEEAEAEERRL
+QEQEELENYIEHVLLRRP
+>sp|Q28029|VATF_BOVIN V-type proton ATPase subunit F OS=Bos taurus OX=9913 GN=ATP6V1F PE=3 SV=2
+MAGRGKLIAVIGDEDTVTGFLLGGIGELNKNRHPNFLVVEKDTTINEIEDTFRQFLNRDD
+IGIILINQYIAEMVRHALDAHQRSIPAVLEIPSKEHPYDAAKDSILRRARGMFTAEDLR
+>sp|Q58DM4|ALKB2_BOVIN DNA oxidative demethylase ALKBH2 OS=Bos taurus OX=9913 GN=ALKBH2 PE=2 SV=1
+MDRFLVKGAVGSLKRRMEQEQTGGGPAGLAEEEGNSKKNPRRAAPGNGVDSAGLTWGRIR
+AEGLNCDYTILFGKAEADEIFQELEKEVEYFTGALARVQVFGKWHSVPRKQATYGDTGLT
+YTFSGLTLSPKPWIPVLERVRDRVSLVTGQTFNFVLINRYKDGQDHIGEHRDDERELALG
+SPIASVSFGACRDFVFRHKDSRGKHPSRRLEVVRLQLAHGSLLMMNHPTNTHWYHSLPVR
+KKVLAPRVNLTFRKILPTTKRTTLLTASASVGSFALHS
+>sp|A6H7B5|CSN3_BOVIN COP9 signalosome complex subunit 3 OS=Bos taurus OX=9913 GN=COPS3 PE=2 SV=1
+MASALEQFVNSVRQLSAQGQMTQLCELINKSGELLAKNLSHLDTVLGALDVQEHSLGVLA
+VLFVKFSMPSVPDFETLFSQVQLFISTCNGEHIRYATDTFAGLCHQLTNALVERKQPLRG
+IGVLRQAIDKMQMNTNQLTSVHADLCQLCLLAKCFKPALPYLDVDMVDICKENGAYDAKH
+FLCYYYYGGMVYTGLKNFERALYFYEQAITTPAMAVSHIMLESYKKYILVSLILLGKVQQ
+LPKYTSQIVGRFIKPLSNAYHELAQVYSTNNPSELRSLVTKHSEIFTRDNNMGLVKQCLS
+SLYKKNIQRLTKTFLTLSLQDMASRVQLSGPQEAEKYVLHMIEDGEIFASINQKDGMVSF
+HDNPEKYNNPAMLHNIDQEMLKCIELDERLKAMDQEITVNPQFVQKSMGSQEDDSGNKPS
+SYS
+>sp|A6QQA5|CA074_BOVIN UPF0739 protein C1orf74 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MSTPSPQLLVAAAQQTLGMGKRRGPPRAVCLHLAGEVLAVARGLKPALLYDCSSAGAPEI
+QSYLEKLRGLGFPTQGLHVLEIAENSLIVHPEYVRRHLEQVLLGSIAFVDVSGSQPYPAV
+CSLDQLQDFKALVVEIITHLQGLQRDRSLAVSCSRLCSSAWNLCTVFGILLGYPVPYTFH
+ENQGEDNCLAQTPLRVFTARISWLCCQPPVLLYSFSVPESLFLSLRDILNTWEKDLRTRC
+RTQNDFADLSISSEIVTLPAVAL
+>sp|P62954|BLCAP_BOVIN Bladder cancer-associated protein OS=Bos taurus OX=9913 GN=BLCAP PE=3 SV=1
+MYCLQWLLPVLLIPKPLNPALWFSHSMFMGFYLLSFLLERKPCTICALVFLAALFLICYS
+CWGNCFLYHCSDSPLPESAHDPGVVGT
+>sp|Q2KID8|CH033_BOVIN UPF0488 protein C8orf33 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MAAPGRPARETAAAPGPGRPTCRDAASRTKKQKKKTRDGASVANGSGKTPEKQDPKEAPL
+SAEAQAEQLARELAWCVEKLELGLKMQRPTPKQKEQALGAIRTLRSQRTPLPRKRQLMRS
+LFGDYRAQIEAEWREALQALRTAAHSAQVQPVGEAARKKSRRVCRPRPEGRSKGTSDTRD
+EEFRFNFF
+>sp|Q2TA21|ARMD4_BOVIN Armadillo-like helical domain-containing protein 4 OS=Bos taurus OX=9913 GN=ARMH4 PE=2 SV=1
+MSRPIVLHICLAFCSLLLLNFAAQCLAFPNLERREIVLLHAEKGQSERLNTDDLENDSVT
+SNTPVSGDPMIVLAGPSTMSLNKVFPSNKETSPVGAGLMQADGSNIYTATEPEVPAGEEV
+FGSSQPERMSPESRPSKATLTNPVMPTASLNIDEREEPSRGTIIQPTVEGTTEATQGFLS
+YVDDQLFATESPEGLSLGHSPLSLVNTKEMLTTSPRTEKFEANPEHKTTSFPGSKLTAGT
+EPSQMTADNTQATAATKHWLPTSESILSVEPETDSLLGAPEVTTSVSTAVAAAPVISDEW
+DDTKLESVSQIKTPKLGDNTETQVRMEISQTTQAPDIGMEVMEEGKALTEAADVSLKLPE
+METHMETTLPMAHGGERASDQSSVTPTSPMGDTKVSVMNLVQDTADFVESTKENGAMFLE
+TTVSISEYESEVHQPLGNRFKDIITQEMTTAVQAAEATVSLVTQEQQVATLEVTRGEDET
+KGGRELPSATVDAPRATQLSRRWEPLATVVSTTPIPVSFKVTPAVEDVMDTVTGPNEELF
+TPILGSPVTPPGITEEAPSTSPALADPEASSERRTAAPSFSYVNTAASYGLDQLESEEGE
+DDEDEEDEEEEDEEEEDEEEDEEDKDADSLDEALGDTELPGFTLPGITSQEPGLEQENVV
+SLEGVTFQVPDAIQWEQQNQGLVRSWMEKLKDKAGYMSGMLVPVGVGIAGALFILGALYS
+IKVMNRRRRNGFKRHKRKQREFNSMQDRVMLLADSSEDEF
+>sp|Q3ZC55|ACTN2_BOVIN Alpha-actinin-2 OS=Bos taurus OX=9913 GN=ACTN2 PE=2 SV=1
+MNQIEPGVQYNYVYEDDEYMIQEEEWDRDLLLDPAWEKQQRKTFTAWCNSHLRKAGTQIE
+NIEEDFRNGLKLMLLLEVISGERLPKPDRGKMRFHKIANVNKALDYIASKGVKLVSIGAE
+EIVDGNVKMTLGMIWTIILRFAIQDISVEETSAKEGLLLWCQRKTAPYRNVNIQNFHTSW
+KDGLGLCALIHRHRPDLIDYSKLNKDDPIGNINLAMEIAEKHLDIPKMLDAEDIVNTPKP
+DERAIMTYVSCFYHAFAGAEQAETAANRICKVLAVNQENERLMEEYERLASELLEWIRRT
+IPWLENRTPEKTMQAMQKKLEDFRDYRRKHKPPKVQEKCQLEINFNTLQTKLRISNRPAF
+MPSEGKMVSDIAGAWQRLEQAEKGYEEWLLNEIRRLERVEHLAEKFRQKASTHETWAYGK
+EQILLQKDYESSTLTEVRALLRKHEAFESDLAAHQDRVEQIAAIAQELNELDYHDAVNVN
+DRCQKICDQWDRLGTLTQKRREALERTEKLLETIDQLHLEFAKRAAPFNNWMEGAMEDLQ
+DMFIVHSIEEIQSLITAHEQFKATLPEADGERQSILAIQNEVEKVIQSYSIRISSSNPYS
+TVTVDEIRSKWDKVKQLVPIRDQSLQEELARQHANERLRRQFAAQANAIGPWIQNKMEEI
+ARSSIQITGALEDQMNQLKQYEHNIINYKNNIDKLEGDHQLIQEALVFDNKHTNYTMEHI
+RVGWELLLTTIARTINEVETQILTRDAKGITQEQMNEFRASFNHFDRRKNGLMDHEDFRA
+CLISMGYDLGEAEFARIMTLVDPNGQGTVTFQSFIDFMTRETADTDTAEQVIASFRILAS
+DKPYILAEELRRELPPDQAQYCIKRMPAYSGPGSVPGALDYTAFSSALYGESDL
+>sp|Q0P5D3|CCND2_BOVIN G1/S-specific cyclin-D2 OS=Bos taurus OX=9913 GN=CCND2 PE=2 SV=1
+MELLCGEVEPVRRAVPDANLLHDDRVLQNLLTIEERYLPQCSYFKCVQKDIQPYMRRMVA
+TWMLEVCEEQKCEEEVFPLAINYLDRFLAGVPTPKTHLQLLGAVCMFLASKLKETIPLTA
+EKLCIYTDNSIKPQELLEWELVVLGKLKWNLAAVTPHDFIEHILRKLPQPSEKLSLIRKH
+AQTFIALCATDFKFAMYPPSMIATGSVGAAICGLQQDEDVSSLTGDALVDLLAKITNTDV
+DCLKACQEQIEVVLLNSLQQYRQDQGDGSKSEDELDQASTPTDVRDIDL
+>sp|Q1LZH5|CREM_BOVIN cAMP-responsive element modulator OS=Bos taurus OX=9913 GN=CREM PE=2 SV=3
+MSKCGRKKYMKTNLRQMTMETIDSQQDGSLPDSVAESESAHMQTQTGQNSIPTLAQVSVA
+GSSTGRGSPAVTLVQLPSGQTVHVQGVIQTPQPSVIQSPQIQTVQVATIAETDESAESEG
+VIDSHKRREILSRRPSYRKILNELSSDVPGVPKIEEEKSEEEGTPPNIAAMAVPTSIYQT
+STGQYIAIAQGGTIQISNPGSDGVQGLQALTMTNSGAPPPGATIVQYAAQSADGTQQFFV
+PGSQVVVQDEETELAPSHMAAATGDMPTYQIRAPTTALPQGVVMAASPGSLHSPQQLAEE
+ATRKRELRLMKNREAAKECRRRKKEYVKCLESRVAVLEVQNKKLIEELETLKDICSPKTD
+>sp|Q9GLX0|ACKR1_BOVIN Atypical chemokine receptor 1 OS=Bos taurus OX=9913 GN=ACKR1 PE=2 SV=2
+MGNCLYPVADDNSTKLAIKEDFLIDFPEDYYPDYNETDVEAAAPCHSCSLLNYSSLPFFI
+LVSILGILASGTILYALLRPLFRWQLYQDRSTLVQLAVGSALFSIVVPILARGLSGALIT
+SLCHLAHLVAYGSAFAQALLIGYHACLGPQLGAGQVPGLRLGVTVGLWGVAALLSLPVVL
+GSDTSQGLCTVTFSGEWETLRYIHAAACFAIFVLLPLGLLGTKGLKTVLGRAPCPWVDVL
+WVWFIFWWPQGMTLGLDSLVRSKAIVVSTCPAQQALDMLLDVAEALAILHCVATPLLLAW
+VCYQATHTSPPSLPLPTTQTSHLDTLGGKS
+>sp|Q32LI1|CP090_BOVIN Uncharacterized protein C16orf90 homolog OS=Bos taurus OX=9913 PE=2 SV=2
+MEALVYAVSWAPGRTSHPDTPPNIYEGGLGAQQKQCPSAQGSKPKNFRLRHLRGLALYLP
+GHLQPAGQCESHWLGRLLAGGCLPQPEGLVWPLDLAQGTVGRGNSHHSALLEAQLPRDSR
+GNTASSSSMDPAKGAPSQSGPPEGLGLRPKRSWGAPEETTCPLCKRTRSGGLERL
+>sp|P18892|BT1A1_BOVIN Butyrophilin subfamily 1 member A1 OS=Bos taurus OX=9913 GN=BTN1A1 PE=1 SV=2
+MAVFPNSCLAGCLLIFILLQLPKLDSAPFDVIGPQEPILAVVGEDAELPCRLSPNVSAKG
+MELRWFREKVSPAVFVSREGQEQEGEEMAEYRGRVSLVEDHIAEGSVAVRIQEVKASDDG
+EYRCFFRQDENYEEAIVHLKVAALGSDPHISMKVQESGEIQLECTSVGWYPEPQVQWRTH
+RGEEFPSMSESRNPDEEGLFTVRASVIIRDSSMKNVSCCIRNLLLGQEKEVEVSIPASFF
+PRLTPWMVAVAVILVVLGLLTIGSIFFTWRLYKERSRQRRNEFSSKEKLLEELKWKRATL
+HAVDVTLDPDTAHPHLFLYEDSKSVRLEDSRQKLPEKPERFDSWPCVMGREAFTSGRHYW
+EVEVGDRTDWAIGVCRENVMKKGFDPMTPENGFWAVELYGNGYWALTPLRTPLPLAGPPR
+RVGVFLDYESGDIFFYNMTDGSHIYTFSKASFSGPLRPFFCLWSCGKKPLTICPVTDGLE
+GVMVVADAKDISKEIPLSPMGEDSASGDIETLHSKLIPLQPSQGVP
+>sp|A4FV58|CIAO3_BOVIN Cytosolic iron-sulfur assembly component 3 OS=Bos taurus OX=9913 GN=CIAO3 PE=2 SV=2
+MASPFSGALQLTDLDDFIAPSQDCIKPMKVDRRPGSGVAKIHIEDDGSYFQVSQDGGMKK
+LEKAKISLDDCLACSGCVTSAETVLITQQSHEELRKVLGANKTAAPDQQKLVVISVSPQS
+RASLAVRFQLNPTDTARKLTAFFKKIGAHYVFDTAFSRNFSLLESQREFVRRFRGQADPE
+QALPVLTSACPGWICYAEKTHGSTLLPHISTARSPQQVMGSLVKDFFAQQQHLTPDKVYH
+ATVMPCYDKKLEASRPDFFSQEHQTRDVDCVITTGEVFKLLEEEGVSLSELEPAPLDSLC
+SSASAQEPTSHQGGGSGGYLEHVFRHAAQELFGIHVTEVTYRPLRNKDLQEVILEREGQV
+LLHFAAAYGFRNIQNLVQKLKRGRCPYHYVEVMACPAGCLNGGGQLKAPDMPGKELLQQV
+ERLYGLVRTEAPEDAPGIQELYERWLQGAGSERAGRLLHTSYHAVEKAGSGLSIRW
+>sp|P84080|ARF1_BOVIN ADP-ribosylation factor 1 OS=Bos taurus OX=9913 GN=ARF1 PE=1 SV=2
+MGNIFANLFKGLFGKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKN
+ISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVNEAREELMRMLAEDELRDAV
+LLVFANKQDLPNAMNAAEITDKLGLHSLRHRNWYIQATCATSGDGLYEGLDWLSNQLRNQ
+K
+>sp|P10175|COX8B_BOVIN Cytochrome c oxidase subunit 8B, mitochondrial OS=Bos taurus OX=9913 GN=COX8B PE=1 SV=3
+MLRLAPTVRLLQAPLRGWAVPKAHITAKPAKTPTSPKEQAIGLSVTFLSFLLPAGWVLYH
+LDNYKKSSAA
+>sp|E1BB52|CDK13_BOVIN Cyclin-dependent kinase 13 OS=Bos taurus OX=9913 GN=CDK13 PE=3 SV=1
+MPSSSDTALGGGGGLSWAEKKLEERRKRRRFLSPQQPPLLLPLLQPQLLQPPPPPPPLLF
+LAAPGTAAAAAAAAAASSSCFSPGPPLEVKRLARGKRRAGGRQKRRRGPRAGQEAEKRRV
+FSLPQPQQDGGGGASSGGGVTPLVEYEDVSSQSEQGLLLGGASAATAATAAGGTGGSGGS
+PASSSGTQRRGEGSERRPRRDRRSSSGRSKDRHREHRRRDGQRGGGEASKSRSRHGHGGE
+ERAEAGKSGSSSSSGGRRKSASATSSSSSSRKDRDPKAHRSRTKSSKEPPSAYKEPPKAY
+REDKTEPKAYRRRQRSLSPLGGRDDSPVSHRASQSLRNRKSPSPAGGGSSPYSRRLARSP
+SPYSRRRSPSYSRHSSYERGGDVSPSPYSSSSWRRSRSPYSPVIRRSAKSRSRSPYSSRH
+SRSRSRHRLSRSRSRHSSISPSTLTLKSSLAAELNKNKKARAAEAARAAEAAKAAEAAKA
+AEAAAKAAKAASTSTPTKGNTETGASASQTNHVKDVKKLKTEHAPSPSSGGTLKNDKAKT
+KPPLQVTKVDNNLIVDKATKKAVVVGKESKSAATKEEPVSLKEKTKPLTPSIGAKEKEQH
+VALVTSTLPPLPLPPMLPEDKDADSLRGNISVKAVKKEVEKKLRCLLADLPLPPELPGGD
+DLSKSPEEKKTATQLHNKRRPKICGPRFGEIKEKDIDWGKRCVDKFDIIGIIGEGTYGQV
+YKARDKDTGEMVALKKVRLDNEKEGFPITAIREIKILRQLTHQSIINMKEIVTDKEDALD
+FKKDKGAFYLVFEYMDHDLMGLLESGLVHFNENHIKSFMRQLMEGLDYCHKKNFLHRDIK
+CSNILLNNRGQIKLADFGLARLYSSEESRPYTNKVITLWYRPPELLLGEERYTPAIDVWS
+CGCILGELFTKKPIFQANQELAQLELISRICGSPCPAVWPDVIKLPYFNTMKPKKQYRRK
+LREEFVFIPAAALDLFDYMLALDPSKRCTAEQALQCEFLRDVEPSKMPPPDLPLWQDCHE
+LWSKKRRRQKQMGMTDDVSTVKAPRKDLSLGMDDSRTSTPQSVLPSSQLKPQGNSNAAPV
+KTGPGQQLNHSELAILLNLLQSKTSVNMADFVQVLNIKVNSETQQQLNKINLPAGILATG
+EKQTDPSTPQQESSKPLGGIQPSQNMQPKVEPDAAQAAVQSAFAVLLTQLIKAQQSKQKD
+VLLEERENGSGHEAPLQLRPPPEPATPASGQDDLIQHQDMRLLELTPEPDRPRILPPDQR
+PPEPPEPPPVTEEDLDYRTENQHVPTTSSSLTDPHAGVKAALLQLLAQHQPQDDPKRESG
+IDYQAGDTYVPSSDYKDNFGSSSFSSAPYVSNDGLGSASAPPLERRSFMGNSDIQSLDNY
+STTSSHSGGPPQPSAFSESFPSSVAGYGDIYLNTGPMLFSGDKDHRFEYSHGPIAVLANS
+SDPSTGPESTHPLPAKMHNYNYGGSLQETPGGHGLMHGQTWTSPAQGPGYSQGYRGHIST
+SAGRGRGRGLPY
+>sp|Q3ZBT0|CPZIP_BOVIN CapZ-interacting protein OS=Bos taurus OX=9913 GN=RCSD1 PE=2 SV=1
+MEERPAQTNAIVDDSAPPSVAQLAGRFREQAAAAKETPASKPTRRKPPCSLPLFPSKVEL
+GQNGEEKSLPSANHPPKVKVKSSPLIEKLQANLVFDPAALLPGASPKSPGFKAMVSPFHS
+PPSTPSSPGVRSRPSEPEEVPVSFDQPPEGSHLPCYNKVRTRGSIKRRPPSRRFRRSQSD
+CGELGEFRAVEPSQENGAKEESGDEVFPAKSKAPGSPPLRRTSSRTEKLEEKSRAVGEAQ
+EPEKIAGGSEEGAGQHPARASSSEAEDGCGSPKEERPAGEQAEEPTEVKERVASEEEEPR
+QSSQDTEELEEGAVEEEPPQPPPGGGAGGHSPEQGTSEEKQDEGASLKPGCSPNSGAHAQ
+PDTSSEVPRTEDNTPVQDTKM
+>sp|P12344|AATM_BOVIN Aspartate aminotransferase, mitochondrial OS=Bos taurus OX=9913 GN=GOT2 PE=1 SV=2
+MALLHSGRFLSGVAAAFHPGLAAAASARASSWWAHVEMGPPDPILGVTEAFKRDTNSKKM
+NLGVGAYRDDNGKPYVLPSVRKAEAQIAAKNLDKEYLPIAGLAEFCKASAELALGENNEV
+LKSGRYVTVQTISGTGALRIGASFLQRFFKFSRDVFLPKPTWGNHTPIFRDAGMQLQSYR
+YYDPKTCGFDFTGAIEDISKIPAQSVILLHACAHNPTGVDPRPEQWKEMATVVKKNNLFA
+FFDMAYQGFASGDGNKDAWAVRHFIEQGINVCLCQSYAKNMGLYGERVGAFTVVCKDAEE
+AKRVESQLKILIRPMYSNPPINGARIASTILTSPDLRKQWLHEVKGMADRIISMRTQLVS
+NLKKEGSSHNWQHIIDQIGMFCYTGLKPEQVERLTKEFSIYMTKDGRISVAGVTSGNVAY
+LAHAIHQVTK
+>sp|Q28085|CFAH_BOVIN Complement factor H OS=Bos taurus OX=9913 GN=CFH PE=1 SV=3
+MRFPAKIVWLVLWTVCVAEDCKEPPPRKETEILSGSWTEQTYQEGTQATYKCRPGYRTLG
+SIVMMCRGGKWVSLHPSRICRKKPCAHPGDTPFGSFHLAEGTQFEYGAKVVYTCDEGYQM
+VGEMNFRECDTNGWTNDIPICEVVKCLPVTEPENGKIFSDALEPDQEYTYGQVVQFECNS
+GYMLDGPKQIHCSAGGVWSAETPKCVEIFCKTPVILNGQAVLPKATYKANERVQYRCAAG
+FEYGQRGDTICTKSGWTPAPTCIEITCDPPRIPNGVYRPELSKYRGQDKITYECKKGFFP
+EIRGTDATCTRDGWVPVPRCAWKPCSYPVIKHGRLYYSYRGYFPARVNQQFVYSCDHHFV
+PPSQRSWDHLTCTAEGWSPEEPCLRQCIFNYLENGHTPYREEKYLQGETVRVRCYEGYSL
+QNDQNTMTCTESGWSPPPRCIRVKTCSKSNIRIENGFLSESTFTYPLNKQTEYKCKPGYV
+TADGKTSGLITCLKNGWSAQPVCIKSCDRPVFEKARVKSDGTWFRLNDRLDYECVDGYEN
+RDGRTTGSIVCGQDGWSDKAACYERECSIPEMDPYLNAYPRKETYKVGDVLKFSCSQGRI
+MVGADSVQCYHFGWSPKLPTCKVKKVKSCALPPELPNGKRKEIHKEEYAHNEVVEYACNP
+RFLMKGSHKIQCVDGEWTALPVCIEEERTCGNIPDLDHGDVKPSVPPYHHGDSVEFSCRE
+AFTMIGPRFITCISGEWTQPPQCIATDELRKCKGSTLFPPEGRQAHKIEYDHNTNKSYQC
+RGKSEHKHSICINGEWDPKVDCNEEAKIQLCPPPPQVPNACDMTTTVNYQDGEKISILCK
+ENYLIQDAEEIVCKDGRWQSIPRCIEKIGCSQPPQIDHGTISSSSSAEERREIHEQRLYA
+HGTKLSYTCEEGFEISENNVIICHMGKWSSPPQCVGLPCGLPPYVQNGVVSHKKDRYQYG
+EEVTYDCDEGFGTDGPASIRCLGGEWSRPQDCISTNCVNLPTFEDAVLTDREKDFYRSGE
+QVAFKCLSYYQLDGSNTIQCIKSKWIGRPACRDVSCGNPPQVENAIIHNQKSKYQSEERA
+RYECIGNYDLFGEMEVVCLNGTWTEPPQCKDSQGKCGPPPPIDNGDITSLLQSVYPPGMI
+VEYRCQAYYELRGNKNVVCRNGEWSQLPKCLEACVISEETMRKHHIQLRWKHDKKIYSKT
+EDTIEFMCQHGYRQLTPKHTFRATCREGKVVYPRCG
+>sp|Q8SQA6|CCL3_BOVIN C-C motif chemokine 3 OS=Bos taurus OX=9913 GN=CCL3 PE=3 SV=1
+MKVAVAALAVLLCAMALCSQVFSAPFGADTPTACCFSYVARQLSRKIVADYFETSSQCSK
+PGVIFQTKKGRQVCANPTEDWVQEYITDLELNA
+>sp|Q58DP0|BUD23_BOVIN Probable 18S rRNA (guanine-N(7))-methyltransferase OS=Bos taurus OX=9913 GN=BUD23 PE=2 SV=1
+MAFRGRRPELRGPPELYYDKNEARKYVRNSRMIDVQIKMTGRALELLCVPEDKPCYVLDI
+GCGTGLSGDYLSDEGHYWVGIDISPAMLDEALDRETQGDVILGDMGQGIPFKPGTFDACI
+SISAVQWLCNANKKSDIPAKRLYCFFSSLYSVLVRGGRAVLQLYPENSEQLELITTQATR
+AGFTGGVVVDYPNSAKAKKFYLCLFSGPSTSLPEGLSEDTEEEKPAESTFTADRIPYRIA
+RRGVVRKSREWVLEKKARRRRQGKEVCPDTQYTGRKRKPRF
+>sp|Q2T9Q3|CK001_BOVIN UPF0686 protein C11orf1 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MTATDYHCYSEFFQRQVLACFLTNPHYGSLINADGHAEVWTDWNDMSKFFQYGWRCNTNE
+DAYSNRTLMGNWNQERYDLKNIVQPKPLPSQFGHYFETTYDTSYNNRRPLSTHRFKREPH
+WFPGHQPELVPPPYKCTEKSTYMTSYSKPQTDHHSVCVWNPSNCQFQSP
+>sp|O97919|CCL5_BOVIN C-C motif chemokine 5 OS=Bos taurus OX=9913 GN=CCL5 PE=3 SV=2
+MKVSATAFAVLLMAAALCAPASASPYASDTTPCCFAYISRPLPRTHVQEYFYTSSKCSMA
+AVVFITRKNRQVCANPEKKWVREYINALELS
+>sp|Q3ZC13|AP2M1_BOVIN AP-2 complex subunit mu OS=Bos taurus OX=9913 GN=AP2M1 PE=2 SV=1
+MIGGLFIYNHKGEVLISRVYRDDIGRNAVDAFRVNVIHARQQVRSPVTNIARTSFFHVKR
+SNIWLAAVTKQNVNAAMVFEFLYKMCDVMAAYFGKISEENIKNNFVLIYELLDEILDFGY
+PQNSETGALKTFITQQGIKSQHQTKEEQSQITSQVTGQIGWRREGIKYRRNELFLDVLES
+VNLLMSPQGQVLSAHVSGRVVMKSYLSGMPECKFGMNDKIVIEKQGKGTADETSKSGKQS
+IAIDDCTFHQCVRLSKFDSERSISFIPPDGEFELMRYRTTKDIILPFRVIPLVREVGRTK
+LEVKVVIKSNFKPSLLAQKIEVRIPTPLNTSGVQVICMKGKAKYKASENAIVWKIKRMAG
+MKESQISAEIELLPTNDKKKWARPPISMNFEVPFAPSGLKVRYLKVFEPKLNYSDHDVIK
+WVRYIGRSGIYETRC
+>sp|P26818|ARBK2_BOVIN Beta-adrenergic receptor kinase 2 OS=Bos taurus OX=9913 GN=GRK3 PE=2 SV=1
+MADLEAVLADVSYLMAMEKSKATPAARASKKIVLPEPSIRSVMQKYLEERHEITFDKIFN
+QRIGFLLFKDFCLNEINEAVPQVKFYEEIKEYEKLENEEDRLCRSRQIYDTYIMKELLSC
+SHPFSKQAVEHVQSHLSKKQVTSTLFQPYIEEICESLRGSIFQKFMESDKFTRFCQWKNV
+ELNIHLTMNDFSVHRIIGRGGFGEVYGCRKADTGKMYAMKCLDKKRIKMKQGETLALNER
+IMLSLVSTGDCPFIVCMTYAFHTPDKLCFILDLMNGGDLHYHLSQHGVFSEKEMRFYATE
+IILGLEHMHNRFVVYRDLKPANILLDEHGHVRISDLGLACDFSKKKPHASVGTHGYMAPE
+VLQKGTAYDSSADWFSLGCMLFKLLRGHSPFRQHKTKDKHEIDRMTLTMNVELPDVFSPE
+LKSLLEGLLQRDVSKRLGCHGGSAQELKTHDFFRGIDWQHVYLQKYPPPLIPPRGEVNAA
+DAFDIGSFDEEDTKGIKLLDCDQELYKNFPLVISERWQQEVAETVYEAVNADTDKIEARK
+RAKNKQLGHEEDYALGRDCIVHGYMLKLGNPFLTQWQRRYFYLFPNRLEWRGEGESRQSL
+LTMEQIVSVEETQIKDKKCILLRIKGGKQFVLQCESDPEFVQWKKELTETFMEAQRLLRR
+APKFLNKSRSAVVELSKPPLCHRNSNGL
+>sp|Q0II68|ACMSD_BOVIN 2-amino-3-carboxymuconate-6-semialdehyde decarboxylase OS=Bos taurus OX=9913 GN=ACMSD PE=2 SV=1
+MKIDIHTHILPREWPDLKKRFGYGGWVQLQHNGKGEAKMLKDGKVFRVVQENCWDPEARL
+REMDQTGVTVQALSTVPVMFSYWAKPQDTLDLCQLLNNDLAATIASHPRRFVGLGTLPMQ
+APELAVKEMERCVRKLGFPGVQIGSHINEWDLNARELFPVYAEAERLNCSLFVHPWDMQM
+DGRMAKYWFPWLIGMPAETTAAICSMIMGGVFEKFPKLKVCFAHGGGSFPFTVGRISHGF
+SMRPDLCAQDNPTNPKKYLGSFYTDSLVHDPLALKLLTDVIGKDKVILGTDYPFPLGELE
+PGKLIESMGEFDAETKDKLKAGNALEFLGLERKQFE
+>sp|A6QPE7|ANR65_BOVIN Ankyrin repeat domain-containing protein 65 OS=Bos taurus OX=9913 GN=ANKRD65 PE=2 SV=1
+MPDSCCFQMDSGVSEPGEQDLTEAGAEQELRWLDLGSEEALGAGTQGPSTPQAWGHLLQA
+VWKGHTGLVTQLLRQGASVEERDGAGRTPLHLAVLRGHVSLVRLLLQRGAQVGAADRAGR
+TPLHEAAWHGPSRVAELLLRRGAPANARCLAGLTPLHWAAALGRTLMVGHLLAAPHPGPT
+AADARGWTAGHWAAAGGQMAVLELLGANGGARLDSVLLVAAAAGRATALRLLLAQGAPVD
+ARDGVGATVLGVAAGLGRRQDMEVLLEHGADPSLTDRHGRSALHRAAAGGHLLAVQLLAA
+WGAEVDSQDLLGLTPLHHAARGGHIEVTGHLLDRGAEINAAGWLHKTPLHLAMEHGHGPT
+AELLLSRGASPTLRTRWGDMAQDLWPALCGEQEES
+>sp|P34955|A1AT_BOVIN Alpha-1-antiproteinase OS=Bos taurus OX=9913 GN=SERPINA1 PE=1 SV=1
+MALSITRGLLLLAALCCLAPISLAGVLQGHAVQETDDTSHQEAACHKIAPNLANFAFSIY
+HHLAHQSNTSNIFFSPVSIASAFAMLSLGAKGNTHTEILKGLGFNLTELAEAEIHKGFQH
+LLHTLNQPNHQLQLTTGNGLFINESAKLVDTFLEDVKNLYHSEAFSINFRDAEEAKKKIN
+DYVEKGSHGKIVELVKVLDPNTVFALVNYISFKGKWEKPFEMKHTTERDFHVDEQTTVKV
+PMMNRLGMFDLHYCDKLASWVLLLDYVGNVTACFILPDLGKLQQLEDKLNNELLAKFLEK
+KYASSANLHLPKLSISETYDLKSVLGDVGITEVFSDRADLSGITKEQPLKVSKALHKAAL
+TIDEKGTEAVGSTFLEAIPMSLPPDVEFNRPFLCILYDRNTKSPLFVGKVVNPTQA
+>sp|Q5E9S8|CO041_BOVIN Uncharacterized protein C15orf41 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MILTKAQYDEIAQCLVSVPPTRQSLRKLKQRFPSQSQATLLSIFSQEYQKHIKRTHAKHH
+TSEAIESYYQRYLNGVRKNGAAPVLLELANEVDYAPSLMARIILERFLQKHEEAPPSKSV
+INSMLRDPSQIPDGVLANQVYQCIVNDCCYGPLVDCIKHAIGHEHEVLLRDLLLEKNLSF
+LDEDQLRARGYDKTPDFILQVPVAVEGHIIHWIESKASFGDECSHHAYLHDQFWSYWNRF
+GPGLVIYWYGFIQELDCNRERGILLHACFPTDIVTLCHSVA
+>sp|Q2KIN3|CN028_BOVIN Uncharacterized protein C14orf28 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MKTLFEEIKASIKNNYNQDRSFWRPVLPWGGVFTIKAGRKAVSCTPLYVEIRLKNTCTID
+GFLMLLYVILNENENFPRELSLHLGREFVDCFLYLMDTYSFTTVKLLWIWDKMEKQQYKS
+EVHKASLIIDLFGNEHDNFTKNLENLMSTIQESYCSNWRCPTRVQEDQQRTINIKCGGLR
+EFSQRVFCHGAPPFVVLNMQHWKSEDLAYVPYYLDLSDHKYLLEGATLFNKEEHHYSAAF
+QIDGHWMHYDGLRNVNLILLNKPPEFLLLSSLVYIRATEK
+>sp|A2VE21|COPG2_BOVIN Coatomer subunit gamma-2 OS=Bos taurus OX=9913 GN=COPG2 PE=2 SV=1
+MIKKFDKKDEESGSGSNPFRHLEKSAVLQEARIFNETPINPRRCLHILTKILYLLNQGEH
+FGTTEATEAFFAMTRLFQSNDQTLRRMCYLTIKEMATISEDVIIVTSSLTKDMTGKEDVY
+RGPAIRALCRITDGTMLQAIERYMKQAIVDKVSSVSSSALVSSLHMMKISYDVVKRWVNE
+AQEAASSDNIMVQYHALGVLYHLKKNDRLAVSKMLNKFTKSGLKSQFAYCMLIRIASRLL
+KENEEGHESPVFDFIESCLRNKHEMVIYEAASAIIHLPNCTARELAPAVSVLQLFCSSPK
+PALRYAAVRTLNKVAMKHPSAVTACNLDLENLITDSNRSIATLAITTLLKTGSESSVDRL
+MKQISSFVSEISDEFKVVVVQAISALCQKYPRKHSVMMTFLSNMLRDDGGFEYKRAIVDC
+IIHIVEENPESKEAGLAHLCEFIEDCEHTVLATKILHLLGKEGPRTPVPSKYIRFIFNRV
+VLENEAVRAAAVSALAKFGAQNENLLPSILVLLQRCMMDTDDEVRDRATFYLNVLQQRQM
+ALNATYIFNGLTVSVPGMEKALHQYTLEPSEKPFDMKSIPLATAPVFEQKAEITLVSTKP
+EKLAPSRQDIFQEQLAAIPEFMNLGPLFKSSEPVQLTEAETEYFVRCVKHMFTNHIVFQF
+DCTNTLNDQLLEKVTVQVEPSEAYEVLCCVPAPSLPYNQPGVCYTLVRLPEDDSIAAAGT
+FSCTMKFTVRDCDPDTGVPTEEGYDDEYVLEDLEVTVSDHIQKVMKPNFAAAWEEVGNTF
+EKEETFALSSTKTLEEAVNNIITFLGMQPCERSDKVPENKNSHSLYLAGVYRGGYDLLVR
+SRLALADGVTMQVTVRSKEGTPVDVILASVG
+>sp|P04972|CNRG_BOVIN Retinal rod rhodopsin-sensitive cGMP 3',5'-cyclic phosphodiesterase subunit gamma OS=Bos taurus OX=9913 GN=PDE6G PE=1 SV=1
+MNLEPPKAEIRSATRVMGGPVTPRKGPPKFKQRQTRQFKSKPPKKGVQGFGDDIPGMEGL
+GTDITVICPWEAFNHLELHELAQYGII
+>sp|A2VDP0|ASHWN_BOVIN Ashwin OS=Bos taurus OX=9913 PE=2 SV=1
+MAGDVGGRSCTDSELLLHPELLSQEFLLLTLEQKNITVENDMRVNKDSLTDLYVQHAIPL
+PQRDLPKSRWGKMMEKKREQHEIKKETKRSSPADGLRKRPLIVFDGSSTSTTIKVKKTEN
+GDNDRLRPQPQASATSNTFRKSSDSSSSVSPLVLSSNLPTNNKMEHGNNDNKQNHDLTHR
+KSPLGPVRSPPLSPVGATPVKLKRAAPKEEAEASINLKPPEAKRKIQHVTWP
+>sp|Q32PD7|CSN9_BOVIN COP9 signalosome complex subunit 9 OS=Bos taurus OX=9913 GN=COPS9 PE=3 SV=1
+MKPAVDEMFPEGAGPYVDLDEAGGSTGLLMDLAANEKAVHADFFNDFEDLFDDDDIQ
+>sp|Q2KJI7|AFG32_BOVIN AFG3-like protein 2 OS=Bos taurus OX=9913 GN=AFG3L2 PE=2 SV=1
+MAHRCLLLWGRGACRPRGMPPMLLPGGRTGSTERLYLRMLYRYATTQAKTSRNSLLTDVI
+AAYQRLCSRPPKGFEKYFPNGKNGKKTSEPKEVMGEKKEPKPAAAPRPSGGGVGGGGKRG
+GKKDDSHWWSRFQKGDIPWDDKEFKMYFLWTALFWGGFLFYFLFKSSGREITWKDFANNY
+LSKGVVDRLEVVNKRFVRVTFTPGKTPVDGQYVWFNIGSVDTFERNLETLQQELGIEGEN
+RVPVVYIAESDGSFLLSMLPTVLIIAFLLYTIRRGPAGIGRTGRGMGGLFSVGETTAKVL
+KDEIDVKFKDVAGCEEAKLEIMEFVNFLKNPKQYQDLGAKIPKGAILTGPPGTGKTLLAK
+ATAGEANVPFITVSGSEFLEMFVGVGPARVRDLFALARKNAPCILFIDEIDAVGRKRGRG
+NFGGQSEQENTLNQLLVEMDGFNTTTNVVILAGTNRPDILDPALMRPGRFDRQIFIGPPD
+IKGRASIFKVHLRPLKLDSTLEKEKLARKLASLTPGFSGADVANVCNEAALIAARHLSDS
+INQKHFEQAIERVIGGLEKKTQVLQPEEKKTVAYHEAGHAVAGWYLEHADPLLKVSIIPR
+GKGLGYAQYLPREQYLYTREQLLDRMCMTLGGRVSEEIFFGRITTGAQDDLRKVTQSAYA
+QIVQFGMNEKVGQISFDLPRQGDMVLEKPYSEATARLIDDEVRILINDAYKRTVALLTEK
+KADVEKVALLLLEKEVLDKNDMVELLGPRPFAEKSTYEEFVEGTGSLDEDTSLPEGLKDW
+NREREGSEEPSGEKVTSPVQGAGPA
+>sp|Q3ZBN0|ABRAL_BOVIN Costars family protein ABRACL OS=Bos taurus OX=9913 GN=ABRACL PE=3 SV=1
+MNVDHEVKLLVEEIHRLGSKNADGKLSVKFGVLFQDDKCANLFEALVGTLKAAKRRKIIT
+YSGELLLQGVHDDVDIILLQD
+>sp|P28800|A2AP_BOVIN Alpha-2-antiplasmin OS=Bos taurus OX=9913 GN=SERPINF2 PE=1 SV=2
+MALLWGLLALILSCLSSLCSAQFSPVSTMEPLDLQLMDGQAQQKLPPLSLLKLDNQEPGG
+QIAPKKAPEDCKLSPTPEQTRRLARAMMTFTTDLFSLVAQSSTRPNLILSPLSVALALSH
+LALGAQNQTLQRLKEVLHADSGPCLPHLLSRLCQDLGPGAFRLAARMYLQKGFPIKEDFL
+EQSEQLFGAKPMSLTGMKGEDLANINRWVKEATEGKIEDFLSDLPDDTVLLLLNAIHFQG
+FWRSKFDPNLTQRGAFHLDEQFTVPVDMMQALTYPLHWFLLEQPEIQVAHFPFKNNMSFV
+VLMPTRFEWNASQVLANLTWDILHQPSLSERPTKVQLPKLHLKYQLDLVATLSQLGLQEL
+FQAPDLRGISDERLVVSSVQHQSALELSEAGVQAAAATSTAMSRMSLSSFIVNRPFLFFI
+LEDSTSLPLFVGSVRNPNPGAQPERKEQQDSPDGKDSFQDHKGLPRGDKPFDPDLKLGPP
+SEEDYAQPSSPK
+>sp|Q2TBQ8|6PGL_BOVIN 6-phosphogluconolactonase OS=Bos taurus OX=9913 GN=PGLS PE=2 SV=1
+MAAPAPRLISVFSSPQELGASLAQLVVQQAACCLADAGARFTLGLSGGSLVSMLARELPA
+AAAPAGPASLARWTLGFCDERLVPFEHAESTYGLYRTHLLSKLPIFDSQVITINPALPVE
+EAAEDYAKKLRQAFQGDSIPVFDLLILGVGPDGHTCSLFPDHPLLQEREKIVAPISDSPK
+PPPQRVTLTLPVLNAARTVIYVATGEGKAAILKRILEDKEENPLPAALVQPSAGKLCWFL
+DEAAARLLTVPFEKHSTL
+>sp|P02453|CO1A1_BOVIN Collagen alpha-1(I) chain OS=Bos taurus OX=9913 GN=COL1A1 PE=1 SV=3
+MFSFVDLRLLLLLAATALLTHGQEEGQEEGQEEDIPPVTCVQNGLRYHDRDVWKPVPCQI
+CVCDNGNVLCDDVICDELKDCPNAKVPTDECCPVCPEGQESPTDQETTGVEGPKGDTGPR
+GPRGPAGPPGRDGIPGQPGLPGPPGPPGPPGPPGLGGNFAPQLSYGYDEKSTGISVPGPM
+GPSGPRGLPGPPGAPGPQGFQGPPGEPGEPGASGPMGPRGPPGPPGKNGDDGEAGKPGRP
+GERGPPGPQGARGLPGTAGLPGMKGHRGFSGLDGAKGDAGPAGPKGEPGSPGENGAPGQM
+GPRGLPGERGRPGAPGPAGARGNDGATGAAGPPGPTGPAGPPGFPGAVGAKGEGGPQGPR
+GSEGPQGVRGEPGPPGPAGAAGPAGNPGADGQPGAKGANGAPGIAGAPGFPGARGPSGPQ
+GPSGPPGPKGNSGEPGAPGSKGDTGAKGEPGPTGIQGPPGPAGEEGKRGARGEPGPAGLP
+GPPGERGGPGSRGFPGADGVAGPKGPAGERGAPGPAGPKGSPGEAGRPGEAGLPGAKGLT
+GSPGSPGPDGKTGPPGPAGQDGRPGPPGPPGARGQAGVMGFPGPKGAAGEPGKAGERGVP
+GPPGAVGPAGKDGEAGAQGPPGPAGPAGERGEQGPAGSPGFQGLPGPAGPPGEAGKPGEQ
+GVPGDLGAPGPSGARGERGFPGERGVQGPPGPAGPRGANGAPGNDGAKGDAGAPGAPGSQ
+GAPGLQGMPGERGAAGLPGPKGDRGDAGPKGADGAPGKDGVRGLTGPIGPPGPAGAPGDK
+GEAGPSGPAGPTGARGAPGDRGEPGPPGPAGFAGPPGADGQPGAKGEPGDAGAKGDAGPP
+GPAGPAGPPGPIGNVGAPGPKGARGSAGPPGATGFPGAAGRVGPPGPSGNAGPPGPPGPA
+GKEGSKGPRGETGPAGRPGEVGPPGPPGPAGEKGAPGADGPAGAPGTPGPQGIAGQRGVV
+GLPGQRGERGFPGLPGPSGEPGKQGPSGASGERGPPGPMGPPGLAGPPGESGREGAPGAE
+GSPGRDGSPGAKGDRGETGPAGPPGAPGAPGAPGPVGPAGKSGDRGETGPAGPAGPIGPV
+GARGPAGPQGPRGDKGETGEQGDRGIKGHRGFSGLQGPPGPPGSPGEQGPSGASGPAGPR
+GPPGSAGSPGKDGLNGLPGPIGPPGPRGRTGDAGPAGPPGPPGPPGPPGPPSGGYDLSFL
+PQPPQEKAHDGGRYYRADDANVVRDRDLEVDTTLKSLSQQIENIRSPEGSRKNPARTCRD
+LKMCHSDWKSGEYWIDPNQGCNLDAIKVFCNMETGETCVYPTQPSVAQKNWYISKNPKEK
+RHVWYGESMTGGFQFEYGGQGSDPADVAIQLTFLRLMSTEASQNITYHCKNSVAYMDQQT
+GNLKKALLLQGSNEIEIRAEGNSRFTYSVTYDGCTSHTGAWGKTVIEYKTTKTSRLPIID
+VAPLDVGAPDQEFGFDVGPACFL
+>sp|Q2KJF1|A1BG_BOVIN Alpha-1B-glycoprotein OS=Bos taurus OX=9913 GN=A1BG PE=1 SV=1
+MSAWAALLLLWGLSLSPVTEQATFFDPRPSLWAEAGSPLAPWADVTLTCQSPLPTQEFQL
+LKDGVGQEPVHLESPAHEHRFPLGPVTSTTRGLYRCSYKGNNDWISPSNLVEVTGAEPLP
+APSISTSPVSWITPGLNTTLLCLSGLRGVTFLLRLEGEDQFLEVAEAPEATQATFPVHRA
+GNYSCSYRTHAAGTPSEPSATVTIEELDPPPAPTLTVDRESAKVLRPGSSASLTCVAPLS
+GVDFQLRRGAEEQLVPRASTSPDRVFFRLSALAAGDGSGYTCRYRLRSELAAWSRDSAPA
+ELVLSDGTLPAPELSAEPAILSPTPGALVQLRCRAPRAGVRFALVRKDAGGRQVQRVLSP
+AGPEAQFELRGVSAVDSGNYSCVYVDTSPPFAGSKPSATLELRVDGPLPRPQLRALWTGA
+LTPGRDAVLRCEAEVPDVSFLLLRAGEEEPLAVAWSTHGPADLVLTSVGPQHAGTYSCRY
+RTGGPRSLLSELSDPVELRVAGS
+>sp|Q7SIH1|A2MG_BOVIN Alpha-2-macroglobulin OS=Bos taurus OX=9913 GN=A2M PE=1 SV=2
+MGKNKLLYPSLTLLLLLLLPTDASVSGKPQYMVLVPSLLHTETPEKGCLLLSHLNETVTV
+SASLESVRENRSLFTDVVAEKDLFHCVSFTLPRSPTSQEVMFLTIQVKGPTQEFKKRTTV
+LVKNEESLVFVQTDKPIYKPEQTVKFRIVLLDESFHPLNELVPLVYVEDPKGNRIAQWQN
+LEVENGLQQLTFPLSSEPFQGSYKVVVQKGSGGTAEHPFTVEEFVLPKFEVQVRMPKIIT
+ILEEEVQVSVCGLYTYGKPVPGRVTMNMCRKYRNPSNCYGEESNAVCEKFSGELNNEGCF
+SQQVNTKIFQLKRQEFEMKIEVEAKIQEEGTEVELTGKGATEITTTITKLSFVTVDSNLR
+RGIPFTGKVLLVDGKGVPMPNKVIFITANEANHNSNTTTDEHGLAQFSITTTKIKGTSLS
+IRVKYKDHSPCYGYQWLSEEHQDAYHSANLVFSRSNSFVYLEPLPRELPCGKTQTVQAHY
+VLKGQVLKDLKELVFYYLIMAKGGIVRSGTHTLPVEQGDMQGHFSMSVPVESDIAPVARL
+LIYAILPDGEVVGDSARYEIEHCLANKVGLNFSPGQSFPASQAHLRVTASPQSLCALRAV
+DQSVLLMRPEAELSAATVYNLLPVKDLSSFPSSVNQQEEDNEDCISHDNVYINGIMYFPV
+SNTNEKDMYSFLQDMGLKAFTNSKIHKPKICPQPEEHRIQHHTLLASPVRAEMGRNRDFV
+HFDDTSEPPTETVRKYFPETWIWDLVVVSSSGVHEVEVTVPDTITEWKAGALCLSRDTGL
+GLSPTASLRVFQPFFVELTMPYSVIRGEAFTLKATVLNYLPKCIRVSVQLEASPAFLAVP
+EKEQETYCICGNGRQTVSWAVTPKSLGNVNFTVSAEAVESQELCGSEVPVVPEHGRKDTI
+IKPLLVEPEGLEKEVIFNSLLCPSVDFVFLGAEDGGQVLRHFPPAAATDTAADAHDPARP
+GAKVSESLSLKLPPNVVEESARASFSVLGDILGSAMRNTQNLLQMPYGCGEQNMARFAPN
+IYVLDYLNETQQLTAELKSKAILYLNTGYQRQLLYKHFDGSYSTFGEHRGNSEGNTWLTA
+FVLKSFAQARGYIFIDEAHITEALTWLAQKQKSNGCFRSTGTLLNNAIKGGVDDEVTLSA
+YITIALLEMPLPVTHPVVRNALFCLDSAWKSAKEGSQGSHVYTKALLAYAFALAGNQERR
+TEVLTSLYEEAVKEDNTIHWTRPQKPRLLTEDIYQPRAPSAEVEMTAYVILAHVTAQPAP
+NPEDLKRATSIVKWISKQQNCQGGFSSTQDTVVALHALSRYGAATFTSARKAAQVTIQSS
+GTFSTKFQVENSNRLLLQQVSLPEVPGEYSMSVTGEGCVYLQTSLKYNILPKKDEFPFAL
+EVQTLPQTCDGPKAHTSFQISLSVSYIGSRPASNMAIVDVKMVSGFIPLKPTVKMLERSN
+VSRTEVSNNHVLIYLDKVTNETLTLTFTVLQDIPVRDLKPAIVKVYDYYETDEFAVAEYS
+APCSKDIGNA
+>sp|P19483|ATPA_BOVIN ATP synthase subunit alpha, mitochondrial OS=Bos taurus OX=9913 GN=ATP5F1A PE=1 SV=1
+MLSVRVAAAVARALPRRAGLVSKNALGSSFIAARNLHASNSRLQKTGTAEVSSILEERIL
+GADTSVDLEETGRVLSIGDGIARVHGLRNVQAEEMVEFSSGLKGMSLNLEPDNVGVVVFG
+NDKLIKEGDIVKRTGAIVDVPVGEELLGRVVDALGNAIDGKGPIGSKARRRVGLKAPGII
+PRISVREPMQTGIKAVDSLVPIGRGQRELIIGDRQTGKTSIAIDTIINQKRFNDGTDEKK
+KLYCIYVAIGQKRSTVAQLVKRLTDADAMKYTIVVSATASDAAPLQYLAPYSGCSMGEYF
+RDNGKHALIIYDDLSKQAVAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKMNDAFG
+GGSLTALPVIETQAGDVSAYIPTNVISITDGQIFLETELFYKGIRPAINVGLSVSRVGSA
+AQTRAMKQVAGTMKLELAQYREVAAFAQFGSDLDAATQQLLSRGVRLTELLKQGQYSPMA
+IEEQVAVIYAGVRGYLDKLEPSKITKFENAFLSHVISQHQALLSKIRTDGKISEESDAKL
+KEIVTNFLAGFEA
+>sp|Q08DA1|AT1A1_BOVIN Sodium/potassium-transporting ATPase subunit alpha-1 OS=Bos taurus OX=9913 GN=ATP1A1 PE=1 SV=1
+MGKGVGRDKYEPAAVSEHGDKKKAKKERDMDELKKEVSMDDHKLSLDELHRKYGTDLSRG
+LTTARAAEILARDGPNALTPPPTTPEWVKFCRQLFGGFSMLLWIGAVLCFLAYGIQAATE
+EEPQNDNLYLGVVLSAVVIITGCFSYYQEAKSSKIMESFKNMVPQQALVIRNGEKMSINA
+EEVVVGDLVEVKGGDRIPADLRIISANGCKVDNSSLTGESEPQTRSPDFTNENPLETRNI
+AFFSTNCVEGTARGIVVYTGDRTVMGRIATLASGLEGGQTPIAAEIEHFIHIITGVAVFL
+GVSFFILSLILEYTWLEAVIFLIGIIVANVPEGLLATVTVCLTLTAKRMARKNCLVKNLE
+AVETLGSTSTICSDKTGTLTQNRMTVAHMWFDNQIHEADTTENQSGVSFDKTSATWLALS
+RIAGLCNRAVFQANQDNLPILKRAVAGDASESALLKCIEVCCGSVKEMRERYTKIVEIPF
+NSTNKYQLSIHKNANAGEPRHLLVMKGAPERILDRCSSILIHGKEQPLDEELKDAFQNAY
+LELGGLGERVLGFCHLLLPDEQFPEGFQFDTDDVNFPVDNLCFVGLISMIDPPRAAVPDA
+VGKCRSAGIKVIMVTGDHPITAKAIAKGVGIISEGNETVEDIAARLNIPVSQVNPRDARA
+CVVHGSDLKDMTPEQLDDILKYHTEIVFARTSPQQKLIIVEGCQRQGAIVAVTGDGVNDS
+PALKKADIGVAMGIAGSDVSKQAADMILLDDNFASIVTGVEEGRLIFDNLKKSIAYTLTS
+NIPEITPFLIFIIANIPLPLGTVTILCIDLGTDMVPAISLAYEQAESDIMKRQPRNPQTD
+KLVNERLISMAYGQIGMIQALGGFFTYFVIMAENGFLPNHLLGIRVTWDDRWINDVEDSY
+GQQWTYEQRKIVEFTCHTAFFVSIVVVQWADLVICKTRRNSVFQQGMKNKILIFGLFEET
+ALAAFLSYCPGMGVALRMYPLKPTWWFCAFPYSLLIFVYDEVRKLIIRRRPGGWVEKETY
+Y
+>sp|P46193|ANXA1_BOVIN Annexin A1 OS=Bos taurus OX=9913 GN=ANXA1 PE=1 SV=2
+MAMVSEFLKQAWFIENEEQEYIKTVKGSKGGPGSAVSPYPTFNPSSDVEALHKAITVKGV
+DEATIIEILTKRNNAQRQQIKAAYLQEKGKPLDEVLKKALLGHLEEVVLALLKTPAQFDA
+EELRAAMKGLGTDEDTLNEILASRTNREIREINRVYREELKRDLAKDIASDTSGDYEKAL
+LSLAKGDRSEELAVNDDLADSDARALYEAGERRKGTDVNVFITILTTRSYPHLRRVFQKY
+SKYSKHDMNKVLDLELKGDIEKCLTVIVKCATSQPMFFAEKLHQAMKGIGTRHKTLIRIM
+VSRSEIDMNDIKACYQKLYGISLCQAILDETKGDYEKILVALCGRD
+>sp|O18963|CP2E1_BOVIN Cytochrome P450 2E1 OS=Bos taurus OX=9913 GN=CYP2E1 PE=2 SV=1
+MAALGITVALLVWMATLLFISIWKHIYSSWKLPPGPFPLPIIGNLLQLDIKNIPKSFTRL
+AERYGPVFTLYLGSQRAVVVHGYKPVKEVLLDYKNEFSGRGENPGFQMHKNNGIIFNNGS
+TWRDTRRFSLTTLRDLGMGKQGNEQRIQREAHFLLEVLRKTQGQPFDPTFVVGFAPYNVI
+SDILFHKRFDYKDQTSLRLMSLFNENFYLLSSPWIQLYNNFPDYLQYLPGSHRKLLKNVS
+EVKSYALERVKDHQKSLEPSCPRGFLDTMLIEMAKERHSVDPMYTLENIAVTVADLLFAG
+TETTSTTLRYGLLILMKYPEVEEKLHEEIDRVIGPSRIPAVKDRLDMPYLDAVVHEIQRF
+IDLLPSNLLHEATQDTVFRGYVIPKGTVVIPTLDSVLHDRQEFPEPEKFKPEHFLNENGK
+FKYSDHFKAFSAGKRVCVGEGLARMELFLLLAAILQHFNLKSLVDPKDIDLSPIAIGFGK
+IPPRYKLCLIPRSKV
+>sp|Q6PZ03|ATG4B_BOVIN Cysteine protease ATG4B OS=Bos taurus OX=9913 GN=ATG4B PE=2 SV=1
+MDAATLTYDTLRFAEFEDFPETSEPVWILGRKYSVLTEKDEILADVASRLWFTYRKNFPA
+IGGTGPTSDTGWGCMLRCGQMIFAQALVCRHLGRDWRWTQRKRQPDSYCSVLQAFLDRKD
+SCYSIHQIAQMGVGEGKSIGQWYGPNTVAQVLKKLAVFDTWSALAVHVAMDNTVVMADIR
+RLCRSSLPCAGAEAFPADSERHCNGFPAGAEGGGRAAPWRPLVLLIPLRLGLADVNAAYA
+GTLKHCFRMPQSLGVIGGKPNSAHYFIGYVGEELIYLDPHTTQPAVAAADRCPVPDESFH
+CQHPPGRMSIAELDPSIAVGFFCETEDDFNDWCQQVSKLSLLGGALPMFELVEQQPSHLA
+CPDVLNLSLDSSDAERLERFFDSEDEDFEILSL
+>sp|Q2KJI1|CKS2_BOVIN Cyclin-dependent kinases regulatory subunit 2 OS=Bos taurus OX=9913 GN=CKS2 PE=3 SV=1
+MAHKQIYYSDKYFDEHYEYRHVMLPRELSKQVPKTHLMSEEEWRRLGVQQSLGWVHYMIH
+EPEPHILLFRRPLPKDQQK
+>sp|P79331|ATS2_BOVIN A disintegrin and metalloproteinase with thrombospondin motifs 2 OS=Bos taurus OX=9913 GN=ADAMTS2 PE=1 SV=1
+MDPPAGAAGRLLCPALLLLLLLPLPADARLAAAAADPPGGPQGHGAERILAVPVRTDAQG
+RLVSHVVSAATAPAGVRTRRAAPAQIPGLSGGSEEDPGGRLFYNVTVFGRDLHLRLRPNA
+RLVAPGATVEWQGESGATRVEPLLGTCLYVGDVAGLAESSSVALSNCDGLAGLIRMEEEE
+FFIEPLEKGLAAKEAEQGRVHVVYHRPTTSRPPPLGGPQALDTGISADSLDSLSRALGVL
+EERVNSSRRRMRRHAADDDYNIEVLLGVDDSVVQFHGTEHVQKYLLTLMNIVNEIYHDES
+LGAHINVVLVRIILLSYGKSMSLIEIGNPSQSLENVCRWAYLQQKPDTDHDEYHDHAIFL
+TRQDFGPSGMQGYAPVTGMCHPVRSCTLNHEDGFSSAFVVAHETGHVLGMEHDGQGNRCG
+DEVRLGSIMAPLVQAAFHRFHWSRCSQQELSRYLHSYDCLRDDPFTHDWPALPQLPGLHY
+SMNEQCRFDFGLGYMMCTAFRTFDPCKQLWCSHPDNPYFCKTKKGPPLDGTMCAPGKHCF
+KGHCIWLTPDILKRDGNWGAWSPFGSCSRTCGTGVKFRTRQCDNPHPANGGRTCSGLAYD
+FQLCNSQDCPDALADFREEQCRQWDLYFEHGDAQHHWLPHEHRDAKERCHLYCESKETGE
+VVSMKRMVHDGTRCSYKDAFSLCVRGDCRKVGCDGVIGSSKQEDKCGVCGGDNSHCKVVK
+GTFSRSPKKLGYIKMFEIPAGARHLLIQEADTTSHHLAVKNLETGKFILNEENDVDPNSK
+TFIAMGVEWEYRDEDGRETLQTMGPLHGTITVLVIPEGDARISLTYKYMIHEDSLNVDDN
+NVLEDDSVGYEWALKKWSPCSKPCGGGSQFTKYGCRRRLDHKMVHRGFCDSVSKPKAIRR
+TCNPQECSQPVWVTGEWEPCSRSCGRTGMQVRSVRCVQPLHNNTTRSVHTKHCNDARPEG
+RRACNRELCPGRWRAGSWSQCSVTCGNGTQERPVLCRTADDSFGVCREERPETARICRLG
+PCPRNTSDPSKKSYVVQWLSRPDPNSPVQETSSKGRCQGDKSVFCRMEVLSRYCSIPGYN
+KLCCKSCNPHDNLTDVDDRAEPPSGKHNDIEELMPTLSVPTLVMEVQPPPGIPLEVPLNT
+SSTNATEDHPETNAVDVPYKIPGLEDEVQPPNLIPRRPSPYEKTRNQRIQELIDEMRKKE
+MLGKF
+>sp|P04815|BPT2_BOVIN Spleen trypsin inhibitor I OS=Bos taurus OX=9913 PE=1 SV=2
+MKMSRLCLSIALLVLLGTLAASTPGCDTSNQAKAQRPDFCLEPPYTGPCKAKMIRYFYNA
+KAGFCETFVYGGCKAKSNNFRSAEDCMRTCGGAIGPRENL
+>sp|P46197|ANPRB_BOVIN Atrial natriuretic peptide receptor 2 OS=Bos taurus OX=9913 GN=NPR2 PE=2 SV=1
+MALPSLLLVVAALAGGVRPPGARNLTLAVVLPEHNLSYAWAWPRVGPAVALAMEALGRAL
+PVDLRFVSSELDGACSEYLAPLRAVDLKLYHDPDLLLGPGCVYPAASVARFASHWRLPLL
+TAGAVASGFSAKSEHYRTLVRTGPSAPKLGEFVVMLHGHFNWTARAALLYLDARTDDRPH
+YFTIEGVFEALQGSNLSVQHQVYAREPGGPEQATHFIRANGRIVYICGPLEMLHEILLQA
+QRENLTNGDYVFFYLDVFGESLRAGPTRSMGRPWQDNRTREQAQALREAFQTVLVITYRE
+PPNPEYQEFQNRLLIRAREDFGVELAPSLMNLIAGCFYDGILLYAEVLNETIQEGGTRED
+GLRIVEKMQGRRYRGVTGLVVMDKNNDRETDFVLWAMGDLVSGDFQPAAHYSGAEKQIWW
+TGRPIPWVKGVPPLDNPPCAFDMDDPSCDKTPLSTLAIVALGTGITFIMFGVSSFLIFRK
+LMLEKELASMLWRIRWEELQFGNSERCHKGAGSRLTLSLRGSSYGSLMTAHGKYQIFANT
+GHFKGNVVAIKHVNKKRIELTRQVLFELKHMRDVQFNHLTRFIGACIDPPNICIVTEYCP
+RGSLQDILENDSINLDWMFRYSLINDLVKGMAFLHNSIIASHGSLKSSNCVVDSRFVLKI
+TDYGLASFRSTAEPDDSHALYAKKLWTAPELLSGNPLPTTGMQKADVYSFGIILQEIALR
+SGPFYLEGLDLSPKEIVQKVRNGQRPYFRPSIDRTQLNEELVLLMERCWAQDPAERPDFG
+QIKGFIRRFNKEGGTSILDNLLLRMEQYANNLEKLVEERTQAYLEEKRKAEALLYQILPH
+SVAEQLKRGETVQAEAFDSVTIYFSDIVGFTALSAESTPMQVVTLLNDLYTCFDAIIDNF
+DVYKVETIGDAYMVVSGLPGRNGQRHAPEIARMALALLDAVSSFRIRHRPHDQLRLRIGV
+HTGPVCAGVVGLKMPRYCLFGDTVNTASRMESNGQALKIHVSSTTKDALDELGCFQLELR
+GDVEMKGKGKMRTYWLLGERKGPAGLL
+>sp|Q2TA05|CA146_BOVIN Uncharacterized protein C1orf146 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MAESDGKEKIKWTTTIIISSSLKSYEVAAALENRSHKVRYSDSVENGSIIFSLSGVAFLL
+MDARECFMSAEETFLAKIEKFINIHQNSFLVLFAALHGPEEWKLMFRIQQRFLGSNLRIL
+PVHNTVNAINLMCTIAKITSKSYIDSICYRMITTKAYIIEQSPVWKTLQKIKLSSDTFNP
+N
+>sp|P00432|CATA_BOVIN Catalase OS=Bos taurus OX=9913 GN=CAT PE=1 SV=3
+MADNRDPASDQMKHWKEQRAAQKPDVLTTGGGNPVGDKLNSLTVGPRGPLLVQDVVFTDE
+MAHFDRERIPERVVHAKGAGAFGYFEVTHDITRYSKAKVFEHIGKRTPIAVRFSTVAGES
+GSADTVRDPRGFAVKFYTEDGNWDLVGNNTPIFFIRDALLFPSFIHSQKRNPQTHLKDPD
+MVWDFWSLRPESLHQVSFLFSDRGIPDGHRHMNGYGSHTFKLVNANGEAVYCKFHYKTDQ
+GIKNLSVEDAARLAHEDPDYGLRDLFNAIATGNYPSWTLYIQVMTFSEAEIFPFNPFDLT
+KVWPHGDYPLIPVGKLVLNRNPVNYFAEVEQLAFDPSNMPPGIEPSPDKMLQGRLFAYPD
+THRHRLGPNYLQIPVNCPYRARVANYQRDGPMCMMDNQGGAPNYYPNSFSAPEHQPSALE
+HRTHFSGDVQRFNSANDDNVTQVRTFYLKVLNEEQRKRLCENIAGHLKDAQLFIQKKAVK
+NFSDVHPEYGSRIQALLDKYNEEKPKNAVHTYVQHGSHLSAREKANL
+>sp|Q3ZBK8|CENPO_BOVIN Centromere protein O OS=Bos taurus OX=9913 GN=CENPO PE=2 SV=1
+MELANTLRQDGESRGGVLAHLERLETQVSKSRKKLEEPQNAQALEARIHELKRLRDKLRA
+EVKQRQARVKASTANVEPDQILEISEQEILERKQENMKAILQAYRFTGISGKLTSRGVCV
+CISTAFEGNLLDSYFVDLVMEKPLWIHHHSVPVFIPLEEISAKYLQTNTRHFLFVLWEYL
+NAYSGRKYQADRLQSDFAAFLVGPLQRNSLCNLLSFTYKVKPEGQSFPFCARLLYKDLTT
+TLPTDVTVTSQGTEALPSTWEEQRAAHENLFFTKPLHQVFTSFAKKGEKLDMSLVS
+>sp|Q2YDM1|ARL1_BOVIN ADP-ribosylation factor-like protein 1 OS=Bos taurus OX=9913 GN=ARL1 PE=2 SV=1
+MGGFFSSIFSSLFGTREMRILILGLDGAGKTTILYRLQVGEVVTTIPTIGFNVETVTYKN
+LKFQVWDLGGQTSIRPYWRCYYSNTDAVIYVVDSCDRDRIGISKSELVAMLEEEELRKAI
+LVVFANKQDMEQAMTPSEMANSLGLPALKDRKWQIFKTSATKGTGLDEAMEWLVETLKSR
+Q
+>sp|Q2KIS6|CP071_BOVIN Uncharacterized protein C16orf71 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MASPDEDGRPSLPNPWDAILEAVKHQLPSLDSDSSASDCEDEELFIFQRNQTVLIPDLSE
+ELADDPAGAWVTSSGSPPGLAAVPVESAMEPWGEWNAWPRPKESAPLEGRAPSKSSSLLR
+MSAETPPRQDDDAGGTLNTSASQSPRQGPQGEATRSPQEAGLQTEPLGAASQAQEGSDAA
+SRKALRRERRKMIEKDILHKVTWDGRNPACPDASRGKEKACDAVEVPSEGPPGCLPVLSL
+QELEEWDLDQVLLSLTGREEDRGDGAPGAAWWAAGRLQGQDHTEPSTQDRLMERLSLLCA
+RQSRETCRAQPGYGTARERVGSSSSSGHLGRRPLGLSCPPARVLSVRRLRPLEIRPGPAL
+G
+>sp|Q03247|APOE_BOVIN Apolipoprotein E OS=Bos taurus OX=9913 GN=APOE PE=1 SV=1
+MKVLWVAVVVALLAGCQADMEGELGPEEPLTTQQPRGKDSQPWEQALGRFWDYLRWVQTL
+SDQVQEELLNTQVIQELTALMEETMKEVKAYKEELEGQLGPMAQETQARVSKELQAAQAR
+LGSDMEDLRNRLAQYRSEVQAMLGQSTEELRARMASHLRKLPKRLLRDADDLKKRLAVYQ
+AGASEGAERSLSAIRERFGPLVEQGQSRAATLSTLAGQPLLERAEAWRQKLHGRLEEVGV
+RAQDRLDKIRQQLEEVHAKVEEQGNQMRLQAEAFQARLRSWFEPLVEDMQRQWAGLVEKV
+QLALRPSPTSPPSENH
+>sp|Q28071|CD28_BOVIN T-cell-specific surface glycoprotein CD28 OS=Bos taurus OX=9913 GN=CD28 PE=2 SV=1
+MLRLLLALNFFPSIQVAENKILVKQSPMLVVNDNEVNLSCKYTYNLFSKEFRASLYKGAD
+SAVEVCAVNGNHSHPLQSTNKEFNCTVKVGNETVTFYLQDLYVNQTDIYFCKLEVLYPPP
+YIDNEKSNGTIIHVKEKHLCPSPRSPESSKPFWALVVVNGVLVFYSLLVTVALSNCWMKN
+KRNRMLQSDYMNMTPRRPGPTRRHYQPYAPARDFAAYRS
+>sp|Q2KIR0|CD045_BOVIN Uncharacterized protein C4orf45 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MACVTFREPISATVGKRMVFTGPAYVKDHLPQVAQHTAYIGEKRPALEKTGDLRYLWRPA
+SNRSLPAKYKPEYVGEIGWGIPEYDFINKTRLQTGFHIKYEEFSQAAIDKLSHRYQSPWQ
+PNPSIMDAEGSSSRGFIAWHMGDYEDTSQRNSKRAVLLRQSKAALPIGSRPPKLPKLPKK
+EEKSKFRPLHQHDARCY
+>sp|Q3MHM8|AR6P6_BOVIN ADP-ribosylation factor-like protein 6-interacting protein 6 OS=Bos taurus OX=9913 GN=ARL6IP6 PE=2 SV=1
+MSFVESGRRSAPLRRRPGTPVPFARPAYSVFSQGDSWGEGEVEEEEGCDQVARDLRAEFS
+AGSSSKLRKDPVLQPDGDGSPVLPDKRNGIFSADAGGKALARRWPVQVLSILCSLLFAIL
+LACLLAITYLIVKELHAENLKNEDDVNTGLLGFWSLLIISLTAGFSCCSFSWTVTYFDSF
+EPGMFPPTPLSPARFKKMTGHSFHMGYSMAILNGIVAALTVAWCLM
+>sp|Q0P5B9|ANR39_BOVIN Ankyrin repeat domain-containing protein 39 OS=Bos taurus OX=9913 GN=ANKRD39 PE=2 SV=1
+MAAPRPCADGPCCSHPSAAPGVQQTLDEMDFERGIWSAALNGDLGRVKYLIQKAVDPSQP
+DSAGYTALHYASRNGHYAVCQFLLESGAKCDAQTHGGATALHRASYCGHTDIARLLLSHG
+SNPRLVDADGMTSLHKAAEKGHVDICSLLLQHSPALKAVRDRKSRLACDLLPGNSDLRDL
+LAS
+>sp|Q02399|CDK5_BOVIN Cyclin-dependent-like kinase 5 OS=Bos taurus OX=9913 GN=CDK5 PE=1 SV=2
+MQKYEKLEKIGEGTYGTVFKAKNRETHEIVALKRVRLDDDDEGVPSSALREICLLKELKH
+KNIVRLHDVLHSDKKLTLVFEFCDQDLKKYFDSCNGDLDPEIVKSFLFQLLKGLGFCHSR
+NVLHRDLKPQNLLINRNGELKLADFGLARAFGIPVRCYSAEVVTLWYRPPDVLFGAKLYS
+TSIDMWSAGCIFAELANAGRPLFPGNDVDDQLKRIFRLLGTPTEEQWPAMTKLPDYKPYP
+MYPATTSLVNVVPKLNATGRDLLQNLLKCNPVQRISAEEALQHPYFSDFCPP
+>sp|P04759|ACHD_BOVIN Acetylcholine receptor subunit delta OS=Bos taurus OX=9913 GN=CHRND PE=2 SV=1
+MEGSVLTLVLLAALVVCGSWGLNEEERLIRHLFEEKAYNKELRPAAHKESVEISLALTLS
+NLISLKEVEETLTTNVWIEQGWTDSRLQWDAEDFGNISVLRLPADMVWLPEIVLENNNDG
+SFQISYSCNVLIYPSGSVYWLPPAIFRSSCPISVTYFPFDWQNCSLKFSSLKYTTKEITL
+SLKQAEEDGRSYPVEWIIIDPEGFTENGEWEIVHRPARVNVDPSVPLDSPNRQDVTFYLI
+IRRKPLFYVINILVPCVLISFMINLVFYLPADCGEKTSMAISVLLAQSVFLLLISKRLPA
+TSMAIPLIGKFLLFGMVLVTMVVVICVIVLNIHFRTPSTHVLSEPVKKLFLETLPEILHM
+SRPAEDGPSPGTLIRRSSSLGYISKAEEYFSLKSRSDLMFEKQSERHGLARRLTTARRPP
+AGSEQAQQELFSELKPAVDGANFIVNHMKDQNNYNEEKDCWNRVARTVDRLCLFVVTPIM
+VVGTAWIFLQGAYNQPPPQPFPGDPFSYLEKDKRFI
+>sp|Q2T9W8|ANR61_BOVIN Ankyrin repeat domain-containing protein 61 OS=Bos taurus OX=9913 GN=ANKRD61 PE=2 SV=1
+MGNITKRGSKGRAADGPKALEGSTAATLHVQLCEAIMRQDCAALRALLRSHPVNQPMTIL
+TSSTGCSLANSRLFLSQETLSFLPIHLAAKYRKAQSLLCLLEHGADPEARDTQGFTTLHL
+MLLNWPITSTTWTKPRNKIQTMLTDVQRNAVLCLRILCAHGAQVNARVDSGHRHCPLHLA
+TIYGTHLVLSILAQNGAQVNAQNGSSMTPLHMAADILNKEMMQTLIAWGASVNCAVSSTG
+NTALKLAVSTASSKAGRLLAAGLGCIRLLLVHGAQVNARDHDGQAAIHEACFGGREVIIN
+LLLEFEANVNILTRNGESPIHMYLQRGSNIRDTALLARLLFRSYPLRLTNNQGKLPAGIL
+LPEFHLLRETLLKLSQKPLSLEDICKRNVRNIYGEKHKQLLKRLLPGKIWNSVYGYHDLA
+HLLK
+>sp|Q1LZE0|BRMS1_BOVIN Breast cancer metastasis-suppressor 1 homolog OS=Bos taurus OX=9913 GN=BRMS1 PE=2 SV=1
+MPVQPPSKDTEEMEAEGDSAAEMNGEEEESEEERSGSQTESEEESSEMDEEDCERRRSEC
+VSEMMDLEKQFSELKEKLFRERLSQLRVRLEEVGAERAPEYTEPLGGLQRSLKIRIQVAG
+IYKGFCLDVIRNKYECELQGAKQHLESEKLLLYDTLQGELQERIQRLEEDRQSLDISSEW
+WDDKLHARGSSRTWDSLPPNKRKKAPLVSGPYIVYMLQEIDILEDWTAIKKARAAVSPQK
+RKSDGP
+>sp|A6H7E2|CINP_BOVIN Cyclin-dependent kinase 2-interacting protein OS=Bos taurus OX=9913 GN=CINP PE=2 SV=1
+MEAKTLGTVTPRKPVLSVSARKIKDNAADWHNLILKWETLNDGGFATANSIANMKISLSS
+KDKIELASSGLASNDCAEKEHPEYSRELETLCEELQATLEGLTKIQMKMEKLSSTTKGVC
+ELEDYHHGEERKRPPLFHTWPTAHFYEVSRKLSDMYSQELRLKRTVVEQLAHTADRDLAL
+SYLSMWLHQPYLEAGSWLLLESMLLETGHRVL
+>sp|Q5EA42|ABHD2_BOVIN Monoacylglycerol lipase ABHD2 OS=Bos taurus OX=9913 GN=ABHD2 PE=2 SV=1
+MNAMMETSELPAVFDGVKLAAVAAVLYVIVRCLNLKSPTAPPDLYFQDSGLSRFLLKSCP
+LLTKEYIPPLIWGKSGHIQTALYGKMGRVRSPHPYGHRKFITMSDGATSTFDLFEPLAEH
+CVGDDITMVICPGIANHSEKQYIRTFVDYAQKNGYRCAVLNHLGALPNIELTSPRMFTYG
+CTWEFGAMVNYIKKTYPLTQLVVVGFSLGGNIVCKYLGETQANQEKVLCCVSVCQGYSAL
+RAQETFMQWDQCRRFYNFLMADNMKKIILSHRQALFGDHVKKPQSLEDTDLSRLYTATSL
+MQIDDNVMRKFHGYNSLKEYYEEESCMRYLHRIYVPLMLVNAADDPLVHESLLAIPKSLS
+EKRENVMFVLPLHGGHLGFFEGSVLFPEPLTWMDKLVVEYANAICQWERNKSQCSDTELV
+EADLE
+>sp|P53620|COPG1_BOVIN Coatomer subunit gamma-1 OS=Bos taurus OX=9913 GN=COPG1 PE=1 SV=1
+MLKKFDKKDEESGGGSNPFQHLEKSAVLQEARVFNETPINPRKCAHILTKILYLINQGEH
+LGTTEATEAFFAMTKLFQSNDPTLRRMCYLTIKEMSCIAEDVIIVTSSLTKDMTGKEDSY
+RGPAVRALCQITDSTMLQAIERYMKQAIVDKVPSVSSSALVSSLHLLKCSFDVVKRWVNE
+AQEAASSDNIMVQYHALGLLYHVRKNDRLAVSKMISKFTRHGLKSPFAYCMMIRVASRQL
+EDEDGSRDSPLFDFIESCLRNKHEMVVYEAASAIVNLPGCSAKELAPAVSVLQLFCSSPK
+AALRYAAVRTLNKVAMKHPSAVTACNLDLENLVTDANRSIATLAITTLLKTGSEGSIDRL
+MKQISSFMSEISDEFKVVVVQAISALCQKYPRKHAVLMNFLFSMLREEGGFEYKRAIVDC
+IISIIEENAESKETGLSHLCEFIEDCEFTVLATRILHLLGQEGPRTSNPSKYIRFIYNRV
+VLEHAEVRAGAVSALAKFGAQNEEMLPSILVLLKRCVMDDDNEVRDRATFYLNVLEQKQK
+ALNAGYILNGLAVSIPGLERALQQYTLEPSEKPFDLKSVPLATAPLAEQRTESTPVTAAK
+QPEKVAATRQEIFQEQLAAVPEFQGLGPLFKSSPEPVALTESETEYVIRCTKHTFTDHMV
+FQFDCTNTLNDQTLENVTVQMEPSEAYEVLCYVPARSLPYNQPGTCYTLVALPKEDPTAV
+ACTFSCVMKFTVKDCDPTTGEADDEGYEDEYVLEDLEVTIADHIQKVMKLNFEAAWDEVG
+DEFQKEETFTLSTIKTLEEAVGNIVKFLGMHPCERSDKVPDNKNTHTLLLAGVFRGGHDI
+LVRSRLLLLDTVTMQVTARSSEELPVDIVLASVG
+>sp|Q2KJH1|BMP4_BOVIN Bone morphogenetic protein 4 OS=Bos taurus OX=9913 GN=BMP4 PE=2 SV=1
+MIPGNRMLMVVLLCQVLLGGASHASLIPETGKKKVAEIQGHAGGRRSGQSHELLRDFEAT
+LLQMFGLRRRPQPSKSAVIPDYMRDLYRLQSGEEEEEEQIQGIGLEYPERPASRANTVRS
+FHHEEHLENIPGTSENSAFRFLFNLSSIPENEVISSAELRLFREQVDQGPDWDQGFHRIN
+IYEVMKPPAEVVPGHLITRLLDTRLVHHNVTRWETFDVSPAVLRWTREKQPNYGLAIEVT
+HLHQTRTHQGQHVRISRSLPQGSGDWAQLRPLLVTFGHDGRGHALTRRRRAKRSPKHHPQ
+RARKKNKNCRRHSLYVDFSDVGWNDWIVAPPGYQAFYCHGDCPFPLADHLNSTNHAIVQT
+LVNSVNSSIPKACCVPTELSAISMLYLDEYDKVVLKNYQEMVVEGCGCR
+>sp|O18739|CCN2_BOVIN CCN family member 2 OS=Bos taurus OX=9913 GN=CCN2 PE=2 SV=2
+MSATGLGPVRCAFVLLLALCSRPASSQDCSAPCQCPAGPAPRCPAGVSLVLDGCGCCRVC
+AKQLSELCTERDPCDPHKGLFCDFGSPANRKIGVCTAKDGAPCVFGGTVYQSGESFQSSC
+KYQCTCLDGSVGCVPLCSVDVRLPSPDCPFPRRVKLPGKCCEEWVCDEPKEHTVVGPALA
+AYRPEDTFGPDPTMIRANCLVQTTEWSACSKTCGMGISTRVTNDNAFCRLEKQSRLCMVR
+PCEADLEENIKKGKKCIRTPKISKPIKFELSGCTSMKTYRAKFCGVCTDGRCCTPHRTTT
+LPVEFKCPDGEVMKKSMMFIKTCACHYNCPGDNDIFESLYYRKMYGDMA
+>sp|Q3ZBP8|CO040_BOVIN UPF0235 protein C15orf40 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MPKKCGATNKGKNQSKEPERPLPPLGPVTVDPKGGVSIAIHAKPGSKQNAVTDVTTEAVS
+VAIAAPPTEGEANAELCRYLSKVLELRKSDVVLDKGGKSREKVVKLLASTPPEEILEKLK
+KQVEKK
+>sp|Q3SZ84|BOLA3_BOVIN BolA-like protein 3 OS=Bos taurus OX=9913 GN=BOLA3 PE=3 SV=1
+MAAWSPAAAVPLLRGTRRLPLLHWAQRMFASQTEAELKVTQILKEKFPRATAIKVTDISG
+GCGAMYEIKIESEEFKEKRTVQQHQMVNQALKEEIKGMHGLRIFTSVPKH
+>sp|P14893|3BHS_BOVIN 3 beta-hydroxysteroid dehydrogenase/Delta 5-->4-isomerase OS=Bos taurus OX=9913 GN=HSD3B PE=1 SV=2
+MAGWSCLVTGGGGFLGQRIICLLVEEKDLQEIRVLDKVFRPEVREEFSKLQSKIKLTLLE
+GDILDEQCLKGACQGTSVVIHTASVIDVRNAVPRETIMNVNVKGTQLLLEACVQASVPVF
+IHTSTIEVAGPNSYREIIQDGREEEHHESAWSSPYPYSKKLAEKAVLGANGWALKNGGTL
+YTCALRPMYIYGEGSPFLSAYMHGALNNNGILTNHCKFSRVNPVYVGNVAWAHILALRAL
+RDPKKVPNIQGQFYYISDDTPHQSYDDLNYTLSKEWGFCLDSRMSLPISLQYWLAFLLEI
+VSFLLSPIYKYNPCFNRHLVTLSNSVFTFSYKKAQRDLGYEPLYTWEEAKQKTKEWIGSL
+VKQHKETLKTKIH
+>sp|Q5E994|CHM1B_BOVIN Charged multivesicular body protein 1b OS=Bos taurus OX=9913 GN=CHMP1B PE=2 SV=1
+MSNMEKHLFNLKFAAKELGRSAKKCDKEEKAEKAKIKKAIQKGNMEVARIHAENAIRQKN
+QAVNFLRMSARVDAVAARVQTAVTMGKVTKSMAGVVKSMDATLKTMNLEKISALMDKFEH
+QFETLDVQTQQMEDTMSSTTTLTTPQGQVDMLLQEMADEAGLDLNMELPQGQTGSVGTSV
+ASAEQDELSQRLARLRDQV
+>sp|Q5EA59|ABHD4_BOVIN (Lyso)-N-acylphosphatidylethanolamine lipase OS=Bos taurus OX=9913 GN=ABHD4 PE=2 SV=1
+MADDLEQQPQGWLSSWLPTWRPTSMSQLKNVEARILQCLQNKFLARYVSLPNQNKIWTVT
+VSPELRDRTPLVMVHGFGGGVGLWILNMDSLSTRRTLHTFDLLGFGRSSRPTFPRDPEGA
+EDEFVTSIETWRESMGIPSMILLGHSLGGFLATSYSIKYPDRVKHLILVDPWGFPLRPAD
+PSQVRAPPTWVKAVASVLGRSNPLAVLRVAGPWGPGLVQRFRPDFKRKFADFFDDDTISE
+YIYHCNAQNPSGETAFKAMMESFGWARRPMLERIHLIRKDVPITMIYGANTWIDTSTGKK
+VKLQRPDSYVRDLEIEGASHHVYADQPHIFNAVVEEICDSVD
+>sp|Q1RMP9|CKLF8_BOVIN CKLF-like MARVEL transmembrane domain-containing protein 8 OS=Bos taurus OX=9913 GN=CMTM8 PE=2 SV=1
+MEEPQRARSQTVTTTASSFAENFSTTSSSFSYDREFLRTLPGLLIVAEIVLGLLVWTLIA
+GTEYFRVPAFGWVMFVAVFYWVLTVFFLIIYLTMTYTRIPQVPWTTVGLWFNGSAFALYL
+SAAIVDASSVSPERDSHNFNSWAASSFFAFLVTICYAGNTYFSFIAWRSRTIQ
+>sp|Q32LP9|COR2A_BOVIN Coronin-2A OS=Bos taurus OX=9913 GN=CORO2A PE=2 SV=1
+MSWHPQYRSSKFRHVFGKPASKENCYDSVPITHSVQDNHFCAVNPHFIAVVTECTGGGAF
+LVIPLHQTGKLDPHYPKVCGHRGNVLDVKWNPFNDFEIASCSEDATIKIWDIPKQLLTKN
+LTAFRKELVGHARKVGLVEWHPTAANILFSSGYDYKVMVWNLDSKESVIMSPVKTINCHQ
+DVILSMSFNTNGSLLATACKDRKIRVLDPRAGTVLQEANYKGHRANKVLFLGNLKKLLST
+GTSRWNNRQMALWDQDDLSVPVTEVDLDGSSGVLFPFYDADTNMLYVVGKGDRNIHYYEI
+SANKPHLNYLMEYHSYNPQKGIGVMPKRGLDVSSCEIFRFYKLITTKSLIEPVSMIVPRR
+SESYQEDIYPPTASAQPSLTAQEWLSGMNKEPVLMSLRPGAEPLSPQPLPSERTLSMPTA
+PTSPHLFNQTESLVAEDGRRPFSLLEEKAPRWAAEHRQEEKKTWLSDGFDIFECPPPKTE
+NELLQMFYRQQDEIRRLRELVTQREVQAKQLELEIRNLRMNSPRL
+>sp|F1MH24|AAK1_BOVIN AP2-associated protein kinase 1 OS=Bos taurus OX=9913 GN=AAK1 PE=1 SV=2
+MKKFFDSRREQGGSGLGSGSSGGGGSTSGLGSGYIGRVFGIGRQQVTVDEVLAEGGFAIV
+FLVRTSNGMKCALKRMFVNNEHDLQVCKREIQIMRDLSGHKNIVGYIDSSINSVSSGDVW
+EVLILMDFCRGGQVVNLMNQRLQTGFTENEVLQIFCDTCEAVARLHQCKTPIIHRDLKVE
+NILLHDRGHYVLCDFGSATNKFQNPQTEGVNAVEDEIKKYTTLSYRAPEMVNLYSGKVIT
+TKADIWALGCLLYKLCYFTLPFGESQVAICDGNFTIPDNSRYSQDMHCLIRYMLEPDPDK
+RPDIYQVSYFSFKLLKKECPIPNVQNSPIPTKLPEPVKASEAAAKKTQPKARLTDPIPTT
+ETSIAPRQRPKAGQTQPNPGILPIQPALTPRKRATVQPPPQAAGSSNQPGLLASVPQPKT
+QPPPSQPLPQSQPKQPQAPPTSQQPPSAPAQALPTQAQATPQHQQQLFLKQQQQQQTAPP
+AQQPAGTFYQQPQQQAQAPQFQAVHPAAQQPVIAQFPVVSQGSSQQQLIQNFYQQQQQQQ
+QLATALHQQQLLTQQAALQQKTTAAAAPQPQAQPAAAASPAPAQEPAQIQAPVRQQPKVQ
+TTPPPTIQGQKLGSLTPPSSPKAQRAGHRRILSDVTHSAVFGVPASKSTQLLQAAAAEAS
+LNKSKSATTTPSGSPRASQQNVYNPSEGSTWNPFDDDNFSKLTAEELLNKDFAKLGEGKY
+PEKLGGSAESLIPGFQPTQGDAFAASSFSAGTAEKRKGGQTMDSSLPLLSVSDPFIPLQV
+PDAPEKLIEGLKSPDTSLLLPDLLPMTDPFGSTSDAVIEKADVAVESLIPGLEPPVPQRL
+PSQTESVTSNRTDSLTGEDSLIDCSLLSNPTTDLLEEFAPIAISAPAHKAAEDSNLISGF
+DVPEGSDKVAEDEFDPIPVLITKNPQGGHSRNSSGSSESSLPNLARSLLLVDQLIDL
+>sp|A7YW45|ANM5_BOVIN Protein arginine N-methyltransferase 5 OS=Bos taurus OX=9913 GN=PRMT5 PE=2 SV=1
+MAAMAVGGAGGSRVSSGRDLNCVPEIADTLGAVAKQGFDFLCMPVFHPRFKREFTQEPAK
+SRPGPQTRSDLLLSGRDWNTLIVGKLSPWIRPDSKVEKIRRNSEAAMLQELNFGAYLGLP
+AFLLPLNQEDNTNLARVLTNHIHTGHHSSMFWMRVPLVAPEDLRDDIIENAPTSHTEEYS
+GEEKTWMWWHNFRTLCDYSKRIAVALEIGADLPSNHVIDRWLGEPIKAAILPTSIFLTNK
+KGFPVLSKMHQRLIFRLLKLEVQFIITGTNHHSEKEFCSYLQYLEYLSQNRPPPNAYELF
+AKGYEDYLQSPLQPLMDNLESQTYEVFEKDPIKYSQYQQAIYKCLLDRVPEEEKDTNIQV
+LMVLGAGRGPLVNASLRAAKQADRRIKLYAVEKNPNAVVTLENWQFEEWGSQVTVVSSDM
+REWVAPEKADIIVSELLGSFADNELSPESLDGAQHFLKDDGVSIPGEYTSFLAPISSSKL
+YNEVRACREKDRDPEAQFEMPYVVRLHNFHQLSAPQPCFTFSHPNRDPMIDNNRYCTLEF
+PVEVNTVLHGFAGYFETVLYQDITLSIRPETHSPGMFSWFPILFPIKQPITVREGQTICV
+RFWRCSNSKKVWYEWAVTAPVCSAIHNPTGRSYTIGL
+>sp|Q3SX46|C1GLC_BOVIN C1GALT1-specific chaperone 1 OS=Bos taurus OX=9913 GN=C1GALT1C1 PE=2 SV=1
+MLSESSSFLKGVMLGSIFCALITMLGHIRIGHGSRMHHHEHHHLQAPNKEDILKISEDER
+MELSKSFRVYCILLVRPKDVSLWAAVKETWTNHCDKVDFFSSENVKVFESINMETNDMWL
+MMRKAYKYAFDKYRDQYNWFFLARPTTFAIIENLKYFLLKKDPSQPFYLGHTVKSGDLEY
+VSMEGGIVLSIESMKRLNSLLSIPEKCPEQGGMIWKISEDKQLAVCLKYAGVFAENAEDS
+EGKDIFNTKSVGLFIKEAMTNHPNQVVEGCCSDMAVTFNGLTPNQMHVMMYGVYRLRAFG
+HVFNDALVFLPPNGSDND
+>sp|Q2KJD8|CDN2B_BOVIN Cyclin-dependent kinase 4 inhibitor B OS=Bos taurus OX=9913 GN=CDKN2B PE=2 SV=1
+MLSGGGGDADLANAAARGQVEAVRQLLEAGVDPNRLNRFGRRPIQVMMMGSARVAELLLL
+HGADPNCADPATLTRPVHDAAREGFLDTLVALHRAGGRLDVRDAWGRLPVDLAEERGHRD
+VARYLRATAGD
+>sp|P19141|CRBB3_BOVIN Beta-crystallin B3 OS=Bos taurus OX=9913 GN=CRYBB3 PE=1 SV=3
+MAEQHSTPEQAAAGKSHGGLGGSYKVIVYEMENFQGKRCELTAECPNLTESLLEKVGSIQ
+VESGPWLAFERRAFRGEQYVLEKGDYPRWDAWSNSHHSDSLLSLRPLHIDGPDHKLHLFE
+NPAFGGRKMEIVDDDVPSLWAHGFQDRVASVRAINGTWVGYEFPGYRGRQYVFERGEYRH
+WNEWDANQPQLQSVRRIRDQKWHKRGVFLSS
+>sp|Q17QP1|ABHD8_BOVIN Protein ABHD8 OS=Bos taurus OX=9913 GN=ABHD8 PE=2 SV=1
+MLTGVTDGIFCCLLGAPPNAVGPLESVESSDGYTFVEVKPGRVLRVKHAGPAPAPTPPPP
+LSDAAQGDQSGLVRCQRRITVYRNGRLLVENLGRAPRADLLHGQNGSGEPPAALEVELAD
+PAGSDGRSVPGSAGSGSGGRRRRARRPKRTIHIDCEKRITSCKGAQADVVLFFIHGVGGS
+LAIWKEQLDFFVRLGYEVVAPDLAGHGASSAPQVAAAYTFYALAEDMRAIFKRYAKKRNV
+LIGHSYGVSFCTFLAHEYPDLVHKVIMINGGGPTALEPSLCSVFNMPTCVLHCLSPCLAW
+SFLKAGFARQGAKEKQLLKEGNAFNVSSFVLRAMMSGQYWPEGDEVYHAELTVPVLLVHG
+MHDKFVPVEEDQRMAEILLLAFLKLIDEGSHMVMLECPETVNTLLHEFLLWEPEPSPKAL
+PEPLPAPPEEKK
+>sp|Q5E9H9|ABHDA_BOVIN Mycophenolic acid acyl-glucuronide esterase, mitochondrial OS=Bos taurus OX=9913 GN=ABHD10 PE=2 SV=1
+MAGVGLAAVPAWVPCRRWGLAAVTFGFHHGLSTLLARKTERAPQWLRACRHKTSISFLSR
+PDLPNLAYKRLKGKSPGIIFIPGYISNMNGTKALAIEEFCKSLGHAYIRFDYSGVGNSDG
+NLEECTVGKWRKDVLSIIDDLAEGPQILVGSSLGGWLMFHAAIARPQKVVALVGVATAVD
+GLVTQFNQLPIETKKEIEMKGVWPMPSKYSEEGVYRIQYSVIKEAEHHCLLHSPIPVKCP
+VRLLHGMKDDIVPWHTSVQVADRVVSTDVDVILRKNSDHRMKEKADIQLLVYTIDDLIDK
+LSTIVH
+>sp|Q5E9P1|ABRX1_BOVIN BRCA1-A complex subunit Abraxas 1 OS=Bos taurus OX=9913 GN=ABRAXAS1 PE=2 SV=1
+MEGESTTAVLSGFVLGALAFQHLNTDSDTEGFLLGEVKGEAKNSITDSQMDDVEVIYTID
+IQKYISCYQLFSFYNSSGEVNEQALKKILSNVKKDVVGWYKLRRHSDQIMTFRERLLHRN
+LQQHLSSQELVFLLLTPSIITESCSTHRLEHALYKPQKGLFHRIPLVVANLGMSEQLGYK
+TTSGSCTSAGFSRAVKTHSSEFFKEDGSLKEVQKINEMYTSLQDELKSICEKVEHSERAV
+EKLLNDVNRLKGEIKKRKQAQMQATREKNVQKDPQENILLCQALRTFFPDCELLHSCVIS
+LKNRRISGSSCTTTHPLSGVDNLTLMVEYTDFPEASPARSALLVTKRKASDTDDGWQFKK
+SRLGGIQNRPSKTDTNSSNQEQASTVSSPETDEEIERMKGSGEYPQSPTF
+>sp|Q75V95|CRSP1_BOVIN Calcitonin receptor-stimulating peptide 1 OS=Bos taurus OX=9913 GN=CRSP1 PE=2 SV=1
+MGFWKFPPFLVLSILVLYQAGMFHAAPFRSVFDGRFDPATLDEEESRLLLAAMVNDYEQM
+RARESEKAQKTEGSRIQKRACNTATCMTHRLAGWLSRSGSMVRSNLLPTKMGFKIFNGPR
+RNSWF
+>sp|P19660|CTHL2_BOVIN Cathelicidin-2 OS=Bos taurus OX=9913 GN=CATHL2 PE=1 SV=2
+METQRASLSLGRCSLWLLLLGLVLPSASAQALSYREAVLRAVDQFNERSSEANLYRLLEL
+DPTPNDDLDPGTRKPVSFRVKETDCPRTSQQPLEQCDFKENGLVKQCVGTVTLDPSNDQF
+DINCNELQSVRFRPPIRRPPIRPPFYPPFRPPIRPPIFPPIRPPFRPPLGPFPGRR
+>sp|Q9TTR7|COT2_BOVIN COUP transcription factor 2 OS=Bos taurus OX=9913 GN=NR2F2 PE=2 SV=1
+MAMVVSTWRDPQDEVPGSQGSQASQAPPVPGPPPGAPHTPQTPGQGGPASTPAQTAAGGQ
+GGPGGPGSDKQQQQQHIECVVCGDKSSGKHYGQFTCEGCKSFFKRSVRRNLSYTCRANRN
+CPIDQHHRNQCQYCRLKKCLKVGMRREAVQRGRMPPTQPSHGQFALTNGDPLNCHSYLSG
+YISLLLRAEPYPTSRFGSQCMQPNNIMGIENICELAARMLFSAVEWARNIPFFPDLQITD
+QVALLRLTWSELFVLNAAQCSMPLHVAPLLAAAGLHASPMSADRVVAFMDHIRIFQEQVE
+KLKALHVDSAEYSCLKAIVLFTSDACGLSDVAHVESLQEKSQCALEEYVRSQYPNQPTRF
+GKLLLRLPSLRTVSSSVIEQLFFVRLVGKTPIETLIRDMLLSGSSFNWPYMAIQ
+>sp|Q3ZCK3|BPNT1_BOVIN 3'(2'),5'-bisphosphate nucleotidase 1 OS=Bos taurus OX=9913 GN=BPNT1 PE=2 SV=1
+MASSPTVLMRLVASAYSIAQKAGTIVRRVIAEGDLGIIEKTCATDLQTKADRLVQVSICS
+SLARKFPKLTIIGEEDLPPEDVDQELIEDGQWEEILKQPCPSQYSAIKEEDLVVWVDPLD
+GTKEYTEGLLDNVTVLIGIAYEGKAIAGVINQPYYNYQAGPDAVLGRTIWGVLGLGAFGF
+QLKEAPAGKHIITTTRSHNSQLVTDCITAMNPDDVLRVGGAGNKIIQLIEGKASAYVFAS
+PGCKKWDTCAPEVILHAVGGKLTDIHGNALQYNKEVKHMNSAGVLATLRNYDYYASRVPQ
+SVKNALVP
+>sp|A5D7H3|CTL2_BOVIN Choline transporter-like protein 2 OS=Bos taurus OX=9913 GN=SLC44A2 PE=2 SV=1
+MGKEQQLYYGKHGTPQKYDPAFRGPIYNRGCTDIICCVFLFLAIVGYVAVGIIAWTHGDP
+RKVIYPTDSRGQFCGQKGTKNENKPFLFYFNIVKCASPLVLLEFQCPTPQICVEKCPSRY
+LTYLNAHASEDFEYYKQYCLPGFQKNKGVAEVLRDGDCPAVLIPSKPFAQRCFPAVHAHK
+GILMVGNETTYEDGLGSRKNITELVEGAKKASGILEARQLAMRIFEDYTVSWYWIIIGLI
+IAMVLSLLFIILLRFLAGIMVWVMIVMVILVLGYGILHCYMEYARLRGEAGSDVSLVDLG
+FQTDFRVYLHLRQTWVAFMIILSIVEVIIILLLIFLRKRILIAIALIKEASRAVGYVMCS
+LLYPLVTFFLLCLCIAYWASTAIFLSTSNEAVYKIFNETSCPVAGKTCNPETFPSSNESR
+LCPGAHCQFAFYGGESTYHRALLGLQIFNVFMFFWLANFVLALGQVTLAGAFASYYWAMN
+KPDDLPAFPLFSAFGRALRYHTGSLAFGSLLLAIVQVIRVILEYLDQRLKAAENKFAKFL
+MSCLKCCFWCLEKFIKFLNRNAYIMIAIYGTNFCTSARNAFFLLMRNIIRVAVLDKVTDF
+LFLLGKLLIVGSVGILAFFFFTHRIRIVQDTAPSLNYYWVPVVTVVIGSYLIAHGFFSVY
+GMCVDTLFLCFLEDLERNDGTPERPYFMSLTLKKILNKTNKRQAEA
+>sp|Q58DD0|ACE2_BOVIN Angiotensin-converting enzyme 2 OS=Bos taurus OX=9913 GN=ACE2 PE=2 SV=1
+MTGSFWLLLSLVAVTAAQSTTEEQAKTFLEKFNHEAEDLSYQSSLASWNYNTNITDENVQ
+KMNEARAKWSAFYEEQSRMAKTYSLEEIQNLTLKRQLKALQHSGTSALSAEKSKRLNTIL
+NKMSTIYSTGKVLDPNTQECLALEPGLDDIMENSRDYNRRLWAWEGWRAEVGKQLRPLYE
+EYVVLENEMARANNYEDYGDYWRGDYEVTGAGDYDYSRDQLMKDVERTFAEIKPLYEQLH
+AYVRAKLMHTYPSYISPTGCLPAHLLGDMWGRFWTNLYSLTVPFEHKPSIDVTEKMENQS
+WDAERIFKEAEKFFVSISLPYMTQGFWDNSMLTEPGDGRKVVCHPTAWDLGKGDFRIKMC
+TKVTMDDFLTAHHEMGHIQYDMAYAAQPYLLRNGANEGFHEAVGEIMSLSAATPHYLKAL
+GLLAPDFHEDNETEINFLLKQALTIVGTLPFTYMLEKWRWMVFKGEIPKQQWMEKWWEMK
+REIVGVVEPLPHDETYCDPACLFHVAEDYSFIRYYTRTIYQFQFHEALCKTAKHEGALFK
+CDISNSTEAGQRLLQMLRLGKSEPWTLALENIVGIKTMDVKPLLNYFEPLFTWLKEQNRN
+SFVGWSTEWTPYSDQSIKVRISLKSALGENAYEWNDNEMYLFQSSVAYAMRKYFSEARNE
+TVLFGEDNVWVSDKKPRISFKFFVTSPNNVSDIIPRTEVENAIRLSRDRINDVFQLDDNS
+LEFLGIQPTLGPPYEPPVTIWLIIFGVVMGVVVIGIVVLIFTGIRNRRKKNQASSEENPY
+GSVDLNKGENNSGFQNIDDVQTSL
+>sp|Q3ZC91|ASM3A_BOVIN Acid sphingomyelinase-like phosphodiesterase 3a OS=Bos taurus OX=9913 GN=SMPDL3A PE=2 SV=1
+MARLGALVCCLLAAWHCRPGLGLPLAPAGTGPAVGQFWHVTDFHLDPTYHITGDHTKVCA
+SSKGAEASDPGPFGDVMCDSPYRLIFSALDFIKNSGQKVSFMIWTGDSPPHVPVLELSTD
+KVINVTANITTTIQRLFPNLQVFPALGNHDYWPQDQLPVVNSKVYNAVANLWKPWLTEDA
+ITTLRKGGFYTQKVSNNPKLRIISLNTNLYYGPNSVTLNQTDPANQFEWLENTLNISQQN
+KEKVYIIAHVPVGYLPYARGISAMRKYHNEKLIDIFRKYSDIIAGQFYGHTHRDSIMVLS
+DKKGKPVNSLFVAPAVTPVRSVLERLTNNPGVRLFQYDPRDYKLLDMLQYYLNLTDANLK
+GESNWKLEYNLTQAYDIQDLQPKSLYKLAKQFAIQESKQFIKYYKYFFVSYDSSVICQGK
+CKIFQICAIMNLDVISYTDCFRQYHMKHRL
+>sp|Q5E9Q3|CCD51_BOVIN Coiled-coil domain-containing protein 51 OS=Bos taurus OX=9913 GN=CCDC51 PE=2 SV=1
+MTGRSRVLAMRHVGGVSPVLVRRDLFLTRTLCSHGPSQPREKRPEEVALGLYHRLTALGA
+ALGHSIRQRASSTAKTWWDRYEEFVGLNEVREAQGNVTEAEKVFMVARGLVREAREDLES
+QQTKLKEVRDRLDRISRDDNQYLELATLEHRMLQEEKRLRMTYLRAEDSEREKFSLFSAA
+VRESHEKERTRAERTKNWSLIGSVLGALIGVAGSTYVNRVRLQELKALLLEAQKGPVSLQ
+EAIREQASSYSLQQRDLRDLVADLKGLVQAGTGQGSLSQAGSSPTQDRDTDVLSAALREQ
+LSHSRQVRSRLEGLREQLDGLEKTVSQVAGVVQLAKAAAHPGLESADGALPGSLLEQGSM
+IMALSDTEQRLEAQVNRNTVYGTLVTCATFVAVLPVLYMLFRAS
+>sp|P19238|CD5_BOVIN T-cell surface glycoprotein CD5 OS=Bos taurus OX=9913 GN=CD5 PE=2 SV=2
+MGSQHLPLAALYLLELLVTSCLGGLKVEVQGLTMRLSGSGSRCQGRLEVSNGTEWYAVHS
+QSWGQLSLYQVAPRQFLKLCQELQCRDPLLLSSSRYFKEVQFQKLIICHGQLGSFSNCSL
+NRGRQVDSLALICLEPPRTTAPPTTSPPTTTPEPTAPPRFQLVAEPGGLRCAGVVEFYSG
+GLGGTIGIEPQNDIKDLGQLICAALQCGSFLKPLPETEEAQTQKPEGQRPLPIRWEIQNP
+KCTSLEQCFRKVQPWVGGQALGLICSDFQPKVQSRLVGGSDVCEGSVEVRSGKGQKWDTL
+CDDSWAKGTARWEEVCREQQCGNVSSYRGLDPSEKTLGGFYCPPGILSRCHKLEEKKSHC
+KRVFVTCQNSSRAGLGAGAVMSIILALLLLAVLLVVCGPLAYKKVVKKFRQKKQRQWIGP
+TGMNQNMSFHRNHTVTVRSQVENATASHVENEYSQPPRNSQISAYPALEGALHRISTQPD
+NSSDSDYELHGAQRL
+>sp|Q28851|ATPK_BOVIN ATP synthase subunit f, mitochondrial OS=Bos taurus OX=9913 GN=ATP5MF PE=1 SV=3
+MASVVPLKEKKLLEVKLGELPSWILMRDFTPSGIAGAFQRGYYRYYNKYVNVKKGSIAGL
+SMVLAAYVFLNYCRSYKELKHERLRKYH
+>sp|Q32LE5|ASGL1_BOVIN Isoaspartyl peptidase/L-asparaginase OS=Bos taurus OX=9913 GN=ASRGL1 PE=2 SV=1
+MNPVVVVHGGGASNISKDRKERVRQGILRAATVGYNILKQGGSAVDAVEGAVTVLEDDPD
+FNAGCGSVLNENGEVEMDASIMNGKDLSAGAVSAVRCIANPIKLARLVMDKTPHCFLTDQ
+GAARFAAANGIPTIPGQQLVTERSRKRLEKEKLEKDAQKPDCQKNLGTVGAVALDCQGNL
+AYATSTGGIVNKMPGRVGDTPCVGSGGYADNDIGAVSTTGHGESILKVNLARLALFHVEQ
+GKSLEEAANASLGHMKSKVKGVGGIIMVNKAGEWAVKWTSTSMPWAAAKDGKLHSGIDFG
+DTSIIDLS
+>sp|Q2KIZ9|CCDB1_BOVIN Cyclin-D1-binding protein 1 OS=Bos taurus OX=9913 GN=CCNDBP1 PE=2 SV=1
+MESAAVSAAPDATLDPPLEQLRHLAGELRQLLPGVRVGEAQETTKEFDREAFWRRFNEAA
+VTVSREATTLTVAFSRLPLPSPQETQRFCEQVHAAIKAIIGVYYLFPKDQGITLRKLVRS
+ATLDIVDDMAQLVEALYINPAQSSPENLISYNSVWDACQHVPQIPKDNKAAALSVLTKSV
+DLVKDAHEEMEQAVEECDPYCGLLNDIEEDSSDNHVDEDILGCPNNRDSYWSEEDQELII
+PCLALARASKACLKKIRLSVAENGKKDQVAQLDDIVDISDEISPSVDDLALSIYPPVCPL
+TVRINSAKLASVLKKALEITKASHVTPQPEDSWIPLLINAVDHCMNRIKELTQNEVES
+>sp|P05805|CAC3_BOVIN Proproteinase E OS=Bos taurus OX=9913 PE=1 SV=2
+FSQPFSRPSSRVVNGEDAVPYSWSWQVSLQYEKDGAFHHTCGGSLIAPDWVVTAGHCIST
+SRTYQVVLGEYDRSVLEGSEQVIPINAGDLFVHPLWNSNCVACGNDIALVKLSRSAQLGD
+KVQLANLPPAGDILPNEAPCYISGWGRLYTGGPLPDKLQQALLPVVDYEHCSQWDWWGIT
+VKKTMVCAGGDTRSGCNGDSGGPLNCPAADGSWQVHGVTSFVSAFGCNTIKKPTVFTRVS
+AFIDWIDETIASN
+>sp|Q5EA01|B4GA1_BOVIN Beta-1,4-glucuronyltransferase 1 OS=Bos taurus OX=9913 GN=B4GAT1 PE=2 SV=2
+MQMSYAIRCAFYQLLLAALMLVAMLQLLYLSLLSGLHGQEEQDQYFEFFPPSPRSVDQVK
+AQLRTALASGGVLDASGDYRVYRGLLKTTMDPNDVILATHASVDNLLHLSGLLERWEGPL
+SVSVFAATKEEAQLATVLTYALSSHCPDMRARVAMHLVCPSRYEAAVPDPREPGEFALLR
+SCQEVFDKLARVAQPGVNYALGTNVSYPNNLLRNLAREGANYALVIDVDMVPSEGLWRSL
+REMLDQSKQWAGTALVVPAFEIRRARRMPMNKNELLQLYQVGEVRPFYYGLCTPCQAPTN
+YSRWVNLPEETLLRPAYVVPWQDPWEPFYVAGGKVPTFDERFRQYGFNRISQACELHVAG
+FDFEVLNEGFLVHKGFKEVLKFHPQKEAENQHNKILYRQFKQELKAKYPDSPRHC
+>sp|P13621|ATPO_BOVIN ATP synthase subunit O, mitochondrial OS=Bos taurus OX=9913 GN=ATP5PO PE=1 SV=2
+MAALAVSGLSQQVRCFSTSVVRPFAKLVRPPVQIYGIEGRYATALYSAASKQNKLEQVEK
+ELLRVGQILKEPKMAASLLNPYVKRSVKVKSLSDMTAKEKFSPLTSNLINLLAENGRLTN
+TPAVISAFSTMMSVHRGEVPCTVTTASALDEATLTELKTVLKSFLSKGQVLKLEVKIDPS
+IMGGMIVRIGEKYVDMSAKTKIQKLSRAMREIL
+>sp|Q2NKW0|BL1S1_BOVIN Biogenesis of lysosome-related organelles complex 1 subunit 1 OS=Bos taurus OX=9913 GN=BLOC1S1 PE=2 SV=1
+MLSRLLKEHQAKQNERKELQEKRRREAITAATCLTEALVDHLNVGVAQAYMNQRKLDHEV
+KTLQVQAAQFAKQTGQWIGMVENFNQALKEIGDVENWARSIELDMRTIATALEYVYKGQL
+QSAPS
+>sp|P85521|C163A_BOVIN Scavenger receptor cysteine-rich type 1 protein M130 OS=Bos taurus OX=9913 GN=CD163 PE=1 SV=2
+MVLHDNSGSAGFKRCSVHFGPFTLAVVSVLYACLITSALGGTDKELRLVAGQTKCSGRVE
+VKVQEEWGTVCNTGWDLAAVSVVCKQLGCPSVIKATGWTNSSAGTGRIWMDHVSCRGNES
+ALWDCKHEGWGKHNCTHQQDVGVTCSDGSDLEMRLMNGGNRCSGRIEIKFQGQWGTVCDD
+NFNLDHASVVCKQLGCGSAVSFSGSANFGEGSGPIWFDDLVCHGNESALWNCRHEGWGKH
+NCDHAEDAGVICLEGADLSLRLVDGVTKCSGRLEVRFQGEWGTVCDDGWDSDDAAVACQQ
+LGCPTAITAVGRVNASEGTGHIWLDSVSCQGHESAVWQCRHHEWGKHYCNHNEDAGVTCS
+DGSDLELRLKGGGSRCAGTVEVEIQKLIGKVCDRSWGLKEADVVCKQLGCGSALRTSYQV
+YSKIQATNTWLFLNNCNGNETSIWDCKNWQWGGLSCEHYHEAKVTCSAHREPRLVGGDIP
+CSGRVEVKHGDTWGTICDSDFSLESASVLCRELECGSVVSILGGAHFGEGNGQIWAEEFQ
+CEGNESHLSLCPVAPRLDGTCSHSKDIGVVCSRYTEVRLVGGNTPCEGRVEVKILGTWGP
+LCNSHWDMEDAHVLCQQLKCGVAASIPGRAPFGKGSGQPWRHMFHCTGTEQHMGDCPVTA
+LGASLCPEGQVASVICSGNRSQTLYPCNSSSSDPESSVVLEENGVPCIGSGQLRLVNGGG
+RCAGRIEVYHEGSWGTICDDSWDLDDAHVVCRQLGCGVAINATGSAHFGEGSGPIWLDEV
+NCNGKEPRISQCRSHGWGRQNCRHKEDAGVICSEFMSLRLISDSSSETCAGRLEVFYNGA
+WGSVGKSDMSATTVGVVCRQLGCTDKGSIRPAPSDKVENRYMWVDNVRCPKGPETLWQCP
+SSPWKRRLASPSEETWITCADKIRLQEGTTNCSGRVEVWHGGSWGTVCDDSWDLNDAQVV
+CRQLGCGLALEAGKEAAFGQGTGPIWLNEVKCKGNESSLWDCPARSWGHSDCGHKEDASV
+KCSEIAESKGSVKAAGHSSTVALGILGVILLAFLIATLLWIQRRRQRQRLAVSSRGENSV
+HEIQYREMNSCLKADDLDLYNSSGLWVLRGSIALGFRLVTAAEAERHST
+>sp|Q29RI0|COQ8A_BOVIN Atypical kinase COQ8A, mitochondrial OS=Bos taurus OX=9913 GN=COQ8A PE=2 SV=1
+MAAMLGDAIMLIKGFVKLTQAAVETHLQHLGLSGELLMAVRALQSTATEQVGMVFGQVQG
+QETPEEYYSESLDDPEGAFHFSGMRAESASADVSAASSPEQSPPPWAHAAGSEGPAPAYV
+ASAPFREGGVLGQAASPLGRVNGRLFASPRDPFSAPGLQRRVYHQDQSSMGGLTAEDIEK
+ARQAKARPESKPHKQALSEHARERKVPVTRIGRLANFGGLAVGLGFGALAEVAKKSLRPD
+DPSGKKAVLDSSPFLSEANAERIVRTLCKVRGAALKLGQMLSIQDDAFINPHLAKIFDRV
+RQSADFMPLKQMMKTLNNDLGPNWRDKLEYFEERPFAAASIGQVHLARLKGGREVAMKIQ
+YPGVAQSINSDVNNLMTVLNMSNMLPEGLFPEHLIDVLRRELALECDYQREAACARRFRE
+LLKDHPFFYVPEIVDELCSPHVLTTELVSGFPLDQAEGLSQEIRNEICYNILVLCLRELF
+EFQFMQTDPNWSNFFYDPELHKVALLDFGATREFDRSFTDLYIQIIRAAANQDREAVLKK
+SIEMKFLTGYEVKAMEDAHLDAILILGEAFASEEPFDFGTQSTTEKIHNLIPIMLKHRLV
+PPPEETYSLHRKMGGSFLICSKLKARFPCKAMFEEAYSNYCRRQAEQQ
+>sp|Q2NKU3|BUD31_BOVIN Protein BUD31 homolog OS=Bos taurus OX=9913 GN=BUD31 PE=2 SV=1
+MPKVKRSRKAPPDGWELIEPTLDELDQKMREAETEPHEGKRKVESLWPIFRIHHQKTRYI
+FDLFYKRKAISRELYEYCIKEGYADKNLIAKWKKQGYENLCCLRCIQTRDTNFGTNCICR
+VPKSKLEVGRIIECTHCGCRGCSG
+>sp|Q2NL34|COQ9_BOVIN Ubiquinone biosynthesis protein COQ9, mitochondrial OS=Bos taurus OX=9913 GN=COQ9 PE=2 SV=1
+MAATVAFSGVLRRAGWRLLQLRCLPVPRCRPALAPRAFRASAMQLRSLDQQKDQPPPSSS
+QQQSEAQGAEEPNPEALRSPPRYTDQGGEEEEDYESEEQLQHRILTAALEFVPTHGWTAE
+AIAEGAQSLGLSSAAASMFGDDGSELILHFVTQCNARLTHVLEEEQKLVQLGQAEKKKTD
+KFLRDAVETRLRMLIPYIQHWPRAFSILMLPHNIPPSLNLLTSMVDDMWHYAGDQSTDFN
+WYTRRAVLAGIYNTTELVMMQDSSPDFEDTWRFLENRISDAMNMGHTAKQVKSTGEALVQ
+GLMGAAVTLKNLTGLNQRR
+>sp|Q3T138|BOLA1_BOVIN BolA-like protein 1 OS=Bos taurus OX=9913 GN=BOLA1 PE=2 SV=1
+MLSGQLVVRLFSMASRVCMSRGSAGSGVIGPVEAAIRTKLEQALNPEVLELRNESGGHAV
+PPGSETHFRVAVVSSRFEGLSPLQRHRLVHAALSEELAGPVHALAIQARTPAQWKENPQL
+DTSPACLGGSKKSRN
+>sp|Q3T0A0|ABHD1_BOVIN Protein ABHD1 OS=Bos taurus OX=9913 GN=ABHD1 PE=2 SV=1
+MLSSSQSPQDDTWTESFSLLLGLGAALYLGYYWTWVPQRPQLVTGSRFLAFLEQHCPVTL
+ETFYPTLWCFEGRLQTIFRVLLQSRPVVPYSSEVLETPDGGQILLDWASQSNSQYPDPST
+QPTVLLLPGITGSSQETYILHLVDQALKDGYRAVVFNNRGCRGEELLTHRAFCASNTEDL
+VTVINHIKSRYPQAPLLAVGISLGGILVLNHLARTGRAAGLVAALTLSACWDSFETTRSL
+ETPLNSLLFNHRLTAGLCQVVNRNRKVMDNVVNVDFVLQARTIREFDERYTAVVFGYQDC
+TAYYQAASPRTKVNAIQIPVLCLNAADDPFSPVDALPLQAAQHSLHVALLVTARGGHIGF
+LEGLLPWQHCYMSRLLHQYAEAIFQHPAELPGPRSPTPSEAGKS
+>sp|Q27970|CAN1_BOVIN Calpain-1 catalytic subunit OS=Bos taurus OX=9913 GN=CAPN1 PE=1 SV=3
+MAEEFITPVYCTGVSAQVQKQRAKELGLGRHENAIKYLGQDYEQLRVHCLQRGALFRDEA
+FPPVPQSLGFKELGPNSSKTYGIKWKRPTELFSNPQFIVDGATRTDICQGALGDCWLLAA
+IASLTLNDTLLHRVVPHGQSFQDGYAGIFHFQLWQFGEWVDVVVDDLLPTKDGKLVFVHS
+AQGNEFWSALLEKAYAKVNGSYEALSGGSTSEGFEDFTGGVTEWYELRKAPSDLYNIILK
+ALERGSLLGCSIDISSILDMEAVTFKKLVKGHAYSVTGAKQVNYQGQMVNLIRMRNPWGE
+VEWTGAWSDGSSEWNGVDPYMREQLRVKMEDGEFWMSFRDFMREFTRLEICNLTPDALKS
+QRFRNWNTTLYEGTWRRGSTAGGCRNYPATFWVNPQFKIRLEETDDPDPDDYGGRESGCS
+FLLALMQKHRRRERRFGRDMETIGFAVYEVPPELMGQPAVHLKRDFFLSNASRARSEQFI
+NLREVSTRFRLPPGEYVVVPSTFEPNKEGDFVLRFFSEKSAGTQELDDQVQANLPDEQVL
+SEEEIDENFKSLFRQLAGEDMEISVKELRTILNRIISKHKDLRTTGFSLESCRSMVNLMD
+RDGNGKLGLVEFNILWNRIRNYLSIFRKFDLDKSGSMSAYEMRMAIEFAGFKLNKKLYEL
+IITRYSEPDLAVDFDNFVCCLVRLETMFRFFKTLDTDLDGVVTFDLFKWLQLTMFA
+>sp|Q9N0K1|CD47_BOVIN Leukocyte surface antigen CD47 OS=Bos taurus OX=9913 GN=CD47 PE=2 SV=1
+MWPLVVVLLLGSVRCGSAQLIFNAIKSVEYTLCNQTVVIPCFVNNVETKNITELYVRWKF
+KGENIFIFDGSQRMSKPSSNFSSAEIAPSELLRGIASLKMAKSDAVLGNYTCEVTELSRE
+GETIIELKYRVVSWFSPNENILIVIFPVLAILLFWGQFGIVTLKYKSNYTKEKAIFLLVA
+GLLLTVLVIVGAFLFIPGGYSTKNASGLGLIVLPTIILILLHYCVFMIAMGMSSFTISIL
+ILQLLGYVLSVVGFSLCVSECIPVHGPLLISGLGIIALAELLGLVYMKCVASNHRTIQPP
+RNN
+>sp|Q0VC00|ABHD3_BOVIN Phospholipase ABHD3 OS=Bos taurus OX=9913 GN=ABHD3 PE=2 SV=1
+MQRLAMDLRMLSRELSHYLEHQVRVGFFGSGVGFSLILGFSVAYACYYLSSIAKKPQLVT
+GGESFSRFLQDHCPVVTETYYPTVWCWESRGQTLLRPFITSKPLVQYRNELIKTADGGQI
+SLDWFDNDNSKHYMDASTRPTVLLLPGLTGTSKESYILHMIHLSEELGYRYVVFNNRGVA
+GENLLTPRTYCCSNTEDLETVIHHVHSLYPSAPFLAAGVSMGGMLLLNYLGKIGPKTPLK
+AAATFSVGWNTFACSESLEKPLNWLLFNYYLTTCLQSSVNKHRHMFVKQIDVDHVMKAKS
+IREFDKRFTSVMFGYRTIDDYYTDASPNRRLKSVGIPVLCLNSVDDVFSPSHAIPIETAK
+QNPNVALVLTSYGGHIGFLEGIWPRQSTYMDRVFKQFVQAMIEHGHELSSM
+>sp|Q5E9N5|CLPB_BOVIN Caseinolytic peptidase B protein homolog OS=Bos taurus OX=9913 GN=CLPB PE=2 SV=1
+MLGSLVSKRTAPAPRLLLQLLRSPSLRSHESATGQSVTTGGRGEPQWLRAAVGGRHGTSP
+ALLTGGGAATGGRQRGRTETPCLAAATWGRLPSPEDTLPGQDSWNGVLSRAGLGVWALAT
+ALVVHCYSKSPSSKDAALMEAARANNVQEVSRLLSEGADVNARHRLGWTALMVAAINRND
+SVVQVLLAAGADPNLGDDFSSVYKTAKDQGIHSLEVLVTREDDFNNRLNNRASFKGCTAL
+HYAVLADDYRTVKELLDGGANPLQRNEMGHTPLDYAREGEVMKLLRTSETKYQEKQRKRE
+AEERRRFPLEQRLKEHIIGQESAIATVGAAIRRKENGWYDEEHPLVFLFLGSSGIGKTEL
+AKQTAKYMHKDAKKGFIRLDMSEFQERHEVAKFIGSPPGYIGHEEGGQLTKKLKQCPNAV
+VLFDEVDKAHPDVLTIMLQLFDEGRLTDGKGKTIDCKDAIFIMTSNVASDEIAQHALQLR
+QEALEMSRNRIAENLGDVQISDKITISKNFKENVIRPILKAHFRRDEFLGRINEIVYFLP
+FCHSELIQLVNKELNFWAKRAKQRHNITLLWDREVADVLVEGYNVHYGARSIKHEVERRV
+VNQLAAAYEQDLLPGGCTLRITVEDSDKQLLRSPELSSSQAERRPPKLRLEIIDKDSKTH
+KLDIQAPLHPEKVCHTL
+>sp|Q5EAB2|CDK9_BOVIN Cyclin-dependent kinase 9 OS=Bos taurus OX=9913 GN=CDK9 PE=2 SV=1
+MAKQYDSVECPFCDEVTKYEKLAKIGQGTFGEVFKAKHRKTGQKVALKKVLMENEKEGFP
+ITALREIKILQLLKHENVVNLIEICRTKASPYNRCKGSIYLVFDFCEHDLAGLLSNVLVK
+FTLSEIKRVMQMLLNGLYYIHRNKILHRDMKAANVLITRDGVLKLADFGLARAFSLAKNS
+QPNRYTNRVVTLWYRPPELLLGERDYGPPIDLWGAGCIMAEMWTRSPIMQGNTEQHQLAL
+ISQLCGSITPEVWPNVDKYELFEKVELVKGQKRKVKDRLKAYVRDPYALDLIDKLLVLDP
+AQRIDSDDALNHDFFWSDPMPSDLKGMLSTHLTSMFEYLAPPRRKGSQITQQSTNQSRNP
+ATTNQTEFERVF
+>sp|Q5E9L2|BRNP1_BOVIN BMP/retinoic acid-inducible neural-specific protein 1 OS=Bos taurus OX=9913 GN=BRINP1 PE=2 SV=1
+MNWRFVELLYFLFVWGRISVQPSHQEPAATDQHVSKEFDWLISDRGPFHHSRSYLSFVER
+HRQGFTTRYKIYREFARWKVRNTAIERRDLVRHPVPLMPEFQRSIRLLGRRPTTQQFIDT
+VIKKYGTHLLISATLGGEEALTMYMDKSRLDRKSGNATQSVEALHQLASSYFVDRDGTMR
+RLHEIQISTGAIKVTETRTGPLGCNSYDNLDSVSSVLLQSTESKLHLQGLQIIFPQYLQE
+KFVQSALSYIMCNGEGEYVCQNSQCRCQCAEEFPQCNCPITDIQIMEYTLASMAKSWAEA
+YKDLENSDEFKSFMKRLPSNHFLTIGSIHQHWGNDWDLQNRYKLLQSAMEAQRQKIQRTA
+RKLFGLSVRCRHNPNHQLPRERTIQQWLARVQSLLYCNENGFWGTFLESQRSCVCHGSTT
+LCQRPIPCIIGGNNSCAMCSLANISLCGSCNKGYKLYRGRCEPQNVDSERSEQFISFETD
+LDFQDLELKYLLQKMDSRLYVHTTFISNEIRLDTFFDPRWRKRLSLTLKSNKNRMDFIHM
+VIGMSMRICQMRNSSLDPMFFVYINPFSGSHSEGWNMPFGEFGYPRWEKIRLQNSQCYNW
+TLLLGNRWKTFFETVHIYLRSRTRLPTLLRNETGQGPVDLSDPSKRQFYIKISDVQVFGY
+SLRFNADLLRSAVQQVNQSYTQGGQFYSSSSVMLLLLDIRDRINRLAPPVAPGKPQLDLF
+SCMLKHRLKLTNSEIIRVNHALDLYNTEILKQSDQMTAKLC
+>sp|Q5BIS9|AAKB1_BOVIN 5'-AMP-activated protein kinase subunit beta-1 OS=Bos taurus OX=9913 GN=PRKAB1 PE=2 SV=3
+MGNTSSERAALDRQGGHKTPRRDSSGGSKDGDRPKILMDSPEDADLFHSEEIKAPEKEEF
+LAWQHDLEVNDKAPAQARPTVFRWTGGGKEVYLSGSFNNWSKLPLTRSHNNFVAILDLPE
+GEHQYKFFVDGQWTHDPSEPVVTSQLGTVNNVIQVKKTDFEVFDALMVDSQKCSDVSELS
+SSPPGPYHQEPYISKPEERFKAPPILPPHLLQVILNKDTGISCDPALLPEPNHVMLNHLY
+ALSIKDGVMVLSATHRYKKKYVTTLLYKPI
+>sp|P06623|CN37_BOVIN 2',3'-cyclic-nucleotide 3'-phosphodiesterase OS=Bos taurus OX=9913 GN=CNP PE=2 SV=2
+MSSSGAKDKPELQFPFLQDEETVATLQECKTLFILRGLPGSGKSTLARFIVDKYRDGTKM
+VSADSYKITPGARGSFSEEYKQLDEDLAACCRRDFRVLVLDDTNHERERLEQLFELADQY
+QYQVVLVEPKTAWRLDCAQLKEKNQWQLSADDLKKLKPGLEKDFLPLYFGWFLTKKSSAA
+LWKTGQTFLEELGNHKAFKKELRHFVSGDEPREKIELVTYFGKRPPGVLHCTTKFCDYGK
+AAGAEEYAQQDVVKKSYCKAFTLTISALFVTPKTTGARVELSEQQLALWPNDVDKLSPSD
+NLPRGSRAHITLGCAGDVEAVQTGIDLLEIVRQEKGGSRGEEVGELSRGKLYSLGSGRWM
+LSLAKKMEVRAIFTGYYGKGKAVPIRSGRKGGSFQSCTII
+>sp|Q32PG1|AP3B1_BOVIN AP-3 complex subunit beta-1 OS=Bos taurus OX=9913 GN=AP3B1 PE=2 SV=1
+MSSNSFAYNEQSGGGEATELGQEATSTISPSGAFGLFSSDMKKNEDLKQMLESNKDSAKL
+DAMKRIVGMIAKGKNASELFPAVVKNVASKNIEIKKLVYVYLVRYAEEQQDLALLSISTF
+QRALKDPNQLIRASALRVLSSIRVPIIVPIMMLAIKEASADLSPYVRKNAAHAIQKLYSL
+DPEQKEMLIEIIEKLLKDKSTLVAGSVVMAFEEVCPDRIDLIHKNYRKLCNLLVDVEEWG
+QVVIIHMLTRYARTQFVSPWRQGDVLEDNEKDFYDSDEEQKEKADKRKRPYAMDPDHRLL
+IRNTKPLLQSRNAAVVMAVAQLYWHIAPKSEAGIISKSLVRLLRSSREVQYIVLQNIATM
+SIQRKGMFEPYLKSFYVRSTDATMIKILKLEILTNLANEANISTLLREFQTYVKSQDKQF
+AAATIQTIGRCATSITEVSDTCLNGLVCLLSNRDEIVVAESVVVIKKLLQMQPMQHGEII
+KHMAKLLDSITVPVARASILWLIGENCERVPKIAPDVLRKTAKSFTSEDDLVKLQILNLG
+AKLYLTNSKQTKLLTQYILNLGKYDQNYDIRDRTRFIRQLIVPNEKSGALSKYAKKIFLA
+QKPAPLLESPFKDRDHFQLGTLSHTLNTKATGYLELSNWPEVAPDPSVRNVEVIELAKEW
+TPAGKAKKENPDKKFYSESEEEEDSSESSSDSESESGSESGEDEEDDRSGDSAEDSGESG
+SEPEAGKGRAATRSRARGRGDSKDVDKEKENSKTSESSSGESSSIEESSSDSESESESES
+ESESRKVTKEKEKKTKQERNPLTKDVSLLDLDDFNLVSTPVALPTPALSPSLIADLEGLN
+LSATSSVISVSTPVFVPGKTHVLLHRMSGKGLAAHYFFPRQPCIFGDKMVSVQITLNNTT
+DQKIENIHVGGKKLPMGMQMHVFNPIESLEPAGSITVSMGIDFCDSTQTASFQLCTKDDC
+FSVNIQPPVGELLLPVAMSEKDFKKEQGMLSGMNETSTTIIAAPQNFASSVILQKIVNVA
+NVGVVPSGQDNIHRFAAKTVHSGSLMLVTVELKEGSTAQLIINTEKTVIGSVLLRELKPV
+LSQG
+>sp|Q0V8F1|CORO7_BOVIN Coronin-7 OS=Bos taurus OX=9913 GN=CORO7 PE=2 SV=1
+MNRFKVSKFRHTEARQPRREAWIGDIRAGTAPSCGNHIKASCSLIAFNSDHPGVLGIVPL
+ESQGEDKRQVTHLGCHSDLVTDLDFSPFDDFLLATASADRTVKLWRLPLSGQALPSGPGL
+LLGPEDAQVEVLQFHPTADGVLLSAAGRAVKVWDATKQQPLTELATHGDLVQGAAWSRDG
+ALLGTTCKDKQLRIFDPRAKPEAAQSTPAHENSRDGRLVWTGTQEYLVSTGFNQMREREV
+KLWDTRLFSAALTSLTLDTSPRSLVPLLDPDSGLLVLAGKGENQLYCYEAAPQQPALSPV
+TQCLLESVLRGAALVPRRALAVMGCEVLRVLQLSDTAIVPISYHVPRKTVEFHEDLFPDT
+AGCVPASDPHAWWAGSDQQVQRVSLHPARRAHPSFTSCLAPPAELTPATAQPAGTPEGFS
+STPSSLTSPSTPSSLGPSLTSTSGIGTSPSQRSLQSLLGPSSKFRHAQGSVLHRDSHITN
+LKGLNLTTPGESDGFCANQLRVAVPLLSSGGQVAVLELRKPGRLPDTALPTLQNGVAVTD
+LAWDPFDPHRLAVAGEDARIRLWRVPPDGLQEVLTMPEAVLTGHTEKIYSLRFHPLAADV
+LASSSYDLTVRIWDLKVGAEQLRLQGHRDQIFGLAWSPDGQQLATVCKDGRLRIYEPRGS
+PEPLQEGPGPEGARGARVVWVCDGHYLLVSGFDSRSERQLLLYSAKALAGGPSAVLGLDV
+APSTLLPSYDPDTGLVLLTGKGDTRVFLYELLPGAPFFLECNSFTSPDPHKGFILLPKTE
+CDVREVEFARCLRLRQTSLEPVAFRLPRVRKEFFQDDVFPDTTVSWEPALSAEAWLGGAN
+GTPRLLSLQPPGMTPVSQAPREAPARRAPSSVYLEEKSDQQKKEELLSAMVAKLGNREDP
+LPQDSFEGVDEDEWD
+>sp|Q1LZG6|CCNB1_BOVIN G2/mitotic-specific cyclin-B1 OS=Bos taurus OX=9913 GN=CCNB1 PE=2 SV=1
+MALRITRNTKISAENKAKISMAGAKRVPVAAVATSKPGLRPRTALGDIGNKVSEQPQAKL
+PLKKEAKTLASGKVTAKKVPKPLEKAPVPVPEPQPEPEPEPEHVKEDKLSPEPILVDTPS
+PSPMETSGCAPAEEYLCQAFSDVILAVSDVDAEDGADPNLCSEYVKDIYAYLRQLEEEQA
+VKPKYLMGREVTGNMRAILIDWLVQVQIKFRLLQETMYMTVSIIDRFMQDTYVPKKMLQL
+VGVTAMFVASKYEEMYPPEIGDFAFVTDNTYTKFQIRQMEMKILRALNFSLGRPLPLHFL
+RRASKIGEVDVELHTLAKYLMELTMLDYDMVHFPPSQIAAGAFCLALKVLDNGEWTPTLQ
+HYLSYTEESLLVVMQHLAKNVVMVNRGLTKHMTIKNKYATSKHAKISTLAQLNSALVQDL
+AKAVAKV
+>sp|P02722|ADT1_BOVIN ADP/ATP translocase 1 OS=Bos taurus OX=9913 GN=SLC25A4 PE=1 SV=3
+MSDQALSFLKDFLAGGVAAAISKTAVAPIERVKLLLQVQHASKQISAEKQYKGIIDCVVR
+IPKEQGFLSFWRGNLANVIRYFPTQALNFAFKDKYKQIFLGGVDRHKQFWRYFAGNLASG
+GAAGATSLCFVYPLDFARTRLAADVGKGAAQREFTGLGNCITKIFKSDGLRGLYQGFNVS
+VQGIIIYRAAYFGVYDTAKGMLPDPKNVHIIVSWMIAQTVTAVAGLVSYPFDTVRRRMMM
+QSGRKGADIMYTGTVDCWRKIAKDEGPKAFFKGAWSNVLRGMGGAFVLVLYDEIKKFV
+>sp|Q3C2I0|B2LA1_BOVIN Bcl-2-related protein A1 OS=Bos taurus OX=9913 GN=BCL2A1 PE=2 SV=1
+MTDTEFGYVHGLAEDYLKYVLQIQQPGSKPSKTSRVLQDVASSVQDEVERTLKQCLDKFD
+VVSVDTARTIFNQVMEKEFEDGIVNWGRIVTIFAFEGILTKKLLGKCIASDMDMCKDISF
+FVAEFITENTGEWIKQNGGWENGFVKKFETKSGWLTFLEVTGKICETLCRLKQYY
+>sp|Q3SZ73|ABHDB_BOVIN Protein ABHD11 OS=Bos taurus OX=9913 GN=ABHD11 PE=2 SV=1
+MLRWTRAWTAPYRGIGLSNSSFSRLPIAPSSSQGGTEPRPVRLSYKLLDGEAASPALVFL
+HGLFGSKTNFNFVAKTLAQQTGRRVLTVDARNHGESSHSPDMSYEAMSKDLQDLLPHLGL
+VPCVLIGHSMGGRTAMLLALQRPELVERLIAVDISQVETTSSSNFPNYIAAMRAVDMANE
+ASLSGARKLADERLRSVIQSASIRQLLLTNLVEVDGRFVWRLNLDALAQHLDKILDFPAR
+QETYSGPTLFLRGGNSQFLLPSHYPEIRRLFPRAQMQTVPNAGHWVHSDRPQDFMAAVQS
+FLA
+>sp|Q0IIH4|CHAC2_BOVIN Putative glutathione-specific gamma-glutamylcyclotransferase 2 OS=Bos taurus OX=9913 GN=CHAC2 PE=2 SV=1
+MWVFGYGSLIWKVDFPYQDKLVGYISGYSRRFWQGSTDHRGVPGKGSVWGVAYKLPVGKE
+EEVKAYLDFREKGGYRTTTVIFYPKDSTTEPFSVLLYIGTRDNPNYLGPAPLEDIAEQIF
+NAAGPSGKNTEYLFELANSMRSLVPEDADEHLFSLEKLVKERLEGKQNFNCIKRPN
+>sp|A2VE67|CXB2_BOVIN Gap junction beta-2 protein OS=Bos taurus OX=9913 GN=GJB2 PE=2 SV=1
+MDWGGLHTILGGVNKHSTSIGKIWLTVLFIFRIMILVVAAKEVWGDEQADFVCNTLQPGC
+KNVCYDHYFPISHIRLWALQLIFVSTPALLVAMHVAYYRHEKKRKFIRGEIKTEFKDIEE
+IKKQKVRIEGSLWWTYTGSIFFRVIFEAAFMYVFYVMYDGFAMQRLVKCNAWPCPNTVDC
+FVSRPTEKTVFTVFMIAVSGICILLNVTELCYLLIRFCSGKSKKPV
+>sp|Q28053|A4_BOVIN Amyloid-beta A4 protein (Fragment) OS=Bos taurus OX=9913 GN=APP PE=2 SV=1
+ISEVKMDAEFRHDSGYEVHHQKLVFFAEDVGSNKGAIIGLMVGGVVIATVIVITLVMLK
+>sp|A2VDZ5|CF120_BOVIN UPF0669 protein C6orf120 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MAAPWTGALLLLLASQAVSSAQALDEEEVPEGWVLLHVVQGQVGAGNYSYLRLNHEGKIV
+LRMRSLRGDADLYVSDSTLHPSFDEYELQSATCGADAVSIPAHFRRPVGIGVYGHRSHLE
+SAFEMKVYYDATLEPHPFGETAYSDGTDASRKHAYAPEDASQEEESVLWTILISILKLVL
+EILF
+>sp|P54229|CTHL5_BOVIN Cathelicidin-5 OS=Bos taurus OX=9913 GN=CATHL5 PE=1 SV=1
+METQRASLSLGRWSLWLLLLGLALPSASAQALSYREAVLRAVDQLNEKSSEANLYRLLEL
+DPPPKEDDENPNIPKPVSFRVKETVCPRTSQQSPEQCDFKENGLLKECVGTVTLDQVGSN
+FDITCAVPQSVGGLRSLGRKILRAWKKYGPIIVPIIRIG
+>sp|G3MZC5|AP5B1_BOVIN AP-5 complex subunit beta-1 OS=Bos taurus OX=9913 GN=AP5B1 PE=2 SV=1
+MGPLGRETWAQRLGTFRASPSAFMAGPEGEDLGRDLLSDLRSEKLSEPMKVALLALSLEY
+PDQLWPDAPAAEAAATSLLDTLVLLPPRPSALRRPLLLAATTALAAGGALNPASGASGRL
+LPLLLGLASGRDLGRSFCPASEQRPLQATAWECLRELESCRPGLLGGCLGLLRALLGREG
+PAQPLSLLLALALRNALVIQARAGAGLQGLLTAGESSMEGGPWNWMLAEEGEVHLQPQAP
+SWPAAEEDECGLAALELSPEEARELRATVAQLLDASYLLTPVAQAQLLWLLGWALRGLRG
+QPPVLFKPQLVRLLGTAQLTLLHAMLALKAAFGEALFTAQDEALLLRRLTLAAQHPALPL
+PTHLFYLHCLLNFPENWPLGPTGEEAAPLLLGSQLCRGLLPSLLHEPMALLARLHLLCLL
+CVEDEEKEGKGQDRSPRHYLEELLAGLRQRAALDGGPRALATLCFQASYLVAHCLAGQPV
+VLIPLTQGLAQLYRARPALAPHFVDLLDHVGPELQEPLRVALRQEVASRPGREEALRWHL
+QMLASVADGDAQSATLSFLRAAAAHCTDWGLQQALLRVCRALLRAGVGGGLADLLQELAR
+QLEDPDGQDHARLYYILLAHLAGPKLGVALGPSLAAPALASSLVAENQGFAAALMVQEAP
+APIRLSVGPRRAEGAVPVLRLQVEVLEPVYSLELRFRVEGQLYAPLGAVHVPCLCPGRPT
+RPLLLPLQPRRPAPARLAVRALYSTPSGLTCHAHLPPLLVAFADLFLPFPQPPEGAQLDF
+FEELWDSCLPKGTESRLWCPLGPEGLEALVSRHLEPFVVVAQPPVSYLVAIRLPPDSRLL
+LRLEAAQADGVPVALRTDDWAVLPLAGDYLRGLSAAG
+>sp|Q3ZBB6|AP4S1_BOVIN AP-4 complex subunit sigma-1 OS=Bos taurus OX=9913 GN=AP4S1 PE=2 SV=1
+MIKFFLMVNKQGQTRLSKYYEHVEINKRTLLETEVIKSCLSRSNEQCSFIEYKDFKLIYR
+QYAALFIVVGVNDTENEMAIYEFIHNFVEVLDDYFSRVSELDIMFNLDKVHIILDEMVLN
+GCIVETNRARILAPLLILDKMSDS
+>sp|P68530|COX2_BOVIN Cytochrome c oxidase subunit 2 OS=Bos taurus OX=9913 GN=MT-CO2 PE=1 SV=1
+MAYPMQLGFQDATSPIMEELLHFHDHTLMIVFLISSLVLYIISLMLTTKLTHTSTMDAQE
+VETIWTILPAIILILIALPSLRILYMMDEINNPSLTVKTMGHQWYWSYEYTDYEDLSFDS
+YMIPTSELKPGELRLLEVDNRVVLPMEMTIRMLVSSEDVLHSWAVPSLGLKTDAIPGRLN
+QTTLMSSRPGLYYGQCSEICGSNHSFMPIVLELVPLKYFEKWSASML
+>sp|P20004|ACON_BOVIN Aconitate hydratase, mitochondrial OS=Bos taurus OX=9913 GN=ACO2 PE=1 SV=4
+MAPYSLLVSRLQKALGARQYHVASVLCQRAKVAMSHFEPNEYIRYDLLEKNINIVRKRLN
+RPLTLSEKIVYGHLDDPANQEIERGKTYLRLRPDRVAMQDATAQMAMLQFISSGLPKVAV
+PSTIHCDHLIEAQLGGEKDLRRAKDINQEVYNFLATAGAKYGVGFWRPGSGIIHQIILEN
+YAYPGVLLIGTDSHTPNGGGLGGICIGVGGADAVDVMAGIPWELKCPKVIGVKLTGSLSG
+WTSPKDVILKVAGILTVKGGTGAIVEYHGPGVDSISCTGMATICNMGAEIGATTSVFPYN
+HRMKKYLSKTGRADIANLADEFKDHLVPDSGCHYDQLIEINLSELKPHINGPFTPDLAHP
+VAEVGSVAEKEGWPLDIRVGLIGSCTNSSYEDMGRSAAVAKQALAHGLQCKSQFTITPGS
+EQIRATIERDGYAQILRDVGGIVLANACGPCIGQWDRKDIKKGEKNTIVTSYNRNFTGRN
+DANPETHAFVTSPEIVTALAIAGTLKFNPETDFLTGKDGKKFKLEAPDADELPRAEFDPG
+QDTYQHPPKDSSGQQVDVSPTSQRLQLLEPFDKWDGRDLEDLQILIKVKGKCTTDHISAA
+GPWLKFRGHLDNISNNLLIGAINVENGKANSVRNAVTQEFGPVPDTARYYKKHGIRWVVI
+GDENYGEGSSREHAALEPRHLGGRAIITKSFARIHETNLKKQGLLPLTFADPADYNKIHP
+VDKLTIKGLKDFAPGKPLTCIIKHPNGTQETILLNHTFNETQIEWFRAGSALNRMKELQK
+>sp|Q2TBR9|CL031_BOVIN Uncharacterized protein C12orf31 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MAKSLRSKWKRKMRAEKRKKNAPKELSRLKSILKIDGDVLMKDVQEIATVVEPKHCQEKT
+QCVVKDETDDMKMETDIKRNKKTLLDQHGQYPIWMNQRQRKRLKAKRERKKGKSKVKAMK
+AAKGLTW
+>sp|Q32KN2|BNIP3_BOVIN BCL2/adenovirus E1B 19 kDa protein-interacting protein 3 OS=Bos taurus OX=9913 GN=BNIP3 PE=2 SV=1
+MSQSESPGLQEESLHGSWVELHFGSNGNGSSVPDSVSIYKGDMEKILLDAQHESGRSSSK
+SSHCDSPPRSQTPQDTNRASETDTHSLGEKNSSQSEEDYMERRKEVESILKKNSDWIWDW
+SSRPENVPPAKEFLLFKHPKRTPTLSMRNTSVMKKGGIFSAEFLKVFLPSLLLSHLLAIG
+LGIYIGRRLTTSTSTF
+>sp|P02709|ACHA_BOVIN Acetylcholine receptor subunit alpha OS=Bos taurus OX=9913 GN=CHRNA1 PE=2 SV=1
+MEPRPLLLLLGLCSAGLVLGSEHETRLVAKLFEDYNSVVRPVEDHRQAVEVTVGLQLIQL
+INVDEVNQIVTTNVRLKQQWVDYNLKWNPDDYGGVKKIHIPSEKIWRPDLVLYNNADGDF
+AIVKFTKVLLDYTGHITWTPPAIFKSYCEIIVTHFPFDEQNCSMKLGTWTYDGSVVVINP
+ESDQPDLSNFMESGEWVIKESRGWKHWVFYACCPSTPYLDITYHFVMQRLPLYFIVNVII
+PCLLFSFLTGLVFYLPTDSGEKMTLSISVLLSLTVFLLVIVELIPSTSSAVPLIGKYMLF
+TMVFVIASIIITVIVINTHHRSPSTHVMPEWVRKVFIDTIPNIMFFSTMKRPSREKQDKK
+IFTEDIDISDISGKPGPPPMGFHSPLIKHPEVKSAIEGIKYIAETMKSDQESNNAAEEWK
+YVAMVMDHILLAVFMLVCIIGTLAVFAGRLIELNQQG
+>sp|A1L5A6|ADRM1_BOVIN Proteasomal ubiquitin receptor ADRM1 OS=Bos taurus OX=9913 GN=ADRM1 PE=2 SV=1
+MTTSGALFPSLVPGSRGSSNKYLVEFRAGKMSLKGTTVTPDKRKGLVYIQQTDDSLIHFC
+WKDRTSGNVEDDLIIFPDDCEFKRVPQCPSGRVYVLKFKAGSKRLFFWMQEPKTDQDEEH
+CRKVNEYLNNPPMPGALGASGSGGHELSALGGEGGLQSLLGNMSHSQLMQLIGPAGLGGL
+GGLGALTGPGLASLLGSGGPPASSSSSSSRSQSAAVTPSSTTSSTRATPAPSAPAAASAT
+SPSPAPSSGDGASTAASPAQPIQLSDLQSILATMSVPAGPGGGQQVDLASVLTPEIMAPI
+LANADVQERLLPYLPSGESLPQTAEEIQNTLTSPQFQQALGMFSAALASGQLGPLMCQFG
+LPAEAVEAANKGDVEAFAKAMQNSASPEQQEGDGKDKKDEEEDMSLD
+>sp|A8YXY8|AKIR2_BOVIN Akirin-2 OS=Bos taurus OX=9913 GN=AKIRIN2 PE=2 SV=1
+MACGATLKRTLDFDPLLSPASPKRRRCAPLSAPTSAAATPSSAAAATAASFSAAAASPQK
+YLRMEPSPFGDVSSRLTTEQILYNIKQEYKRMQKRRHLETSFQQTDPCCTSDAQPHAFLL
+SGPASPGTPSGTSSPLKKEQPLFTLRQVGMICERLLKEREEKVREEYEEILNTKLAEQYD
+AFVKFTHDQIMRRYGEQPASYVS
+>sp|Q5E9J7|ADAT2_BOVIN tRNA-specific adenosine deaminase 2 OS=Bos taurus OX=9913 GN=DEADC1 PE=2 SV=1
+MEAKAGPTAATDGAYSVSAEETEKWMEQAMQMAKDALDNTEVPVGCLMVYNNEVVGKGRN
+EVNQTKNATRHAEMVAIDQALDWCRRRGRSPSEVFEHTVLYVTVEPCIMCAAALRLMRIP
+LVVYGCQNERFGGCGSVLDIASADLPSTGKPFQCTPGYRAEEAVEMLKTFYKQENPNAPK
+SKVRKKECHKS
+>sp|Q1RMI8|CCD22_BOVIN Coiled-coil domain-containing protein 22 OS=Bos taurus OX=9913 GN=CCDC22 PE=2 SV=1
+MEEADRILIHSLRQAGTAVPPDVQTLRAFTTELVVEAVVRCLRVINPAVGSGLSPLLPLA
+MSARFRLAMSLAQACMDLGYPLELGYQNFLYPSEPDLRDLLLFLAERLPTDASEDADQSA
+GESAILLRAIGSRIRDHLALPWVPPLLRTPKLQYLQGSAHQKPFHASRLVMPELSSRGES
+REFQAGPLLLPVPAQVPQPAARAASLLEHHAIQLCQHTGRDRAGDEDWGHRTSRLPAQED
+TRAQRQRLQKHLAEHLRQTWGRPGPPQQARDLGEVLQAWGAGARPGTPKGSRFTHSKKFT
+FHLEPEAQAAQVSDVPATSQRPEQDTWAAQEQELESLREQLEGVNHNIEEVEANMKTLGI
+NLVQVETECRQSELSIVEREQALRLKSQAVELLPDGAANLAKLQLVVESSAQRVIHLAGQ
+WEKHRVPLLAEYRHLRKLQDCRELESSRRLAEIQELHQSVRAAAEEARRKEEVYKQLVSE
+LETLPKDVSRLAYTQRILEIVGNIRKQKEEITKDAKKDDAVRKAYKYLAALHENCSQLIQ
+TIEDTGTIMREVRDLEEQIETEMGKKTLSNLDKIREDYRALRQENAGLLGRVREA
+>sp|Q0VCX2|BIP_BOVIN Endoplasmic reticulum chaperone BiP OS=Bos taurus OX=9913 GN=HSPA5 PE=2 SV=1
+MKLSLVAAVLLLLLGTARAEEEDKKEDVGTVVGIDLGTTYSCVGVFKNGRVEIIANDQGN
+RITPSYVAFTPEGERLIGDAAKNQLTSNPENTVFDAKRLIGRTWNDPSVQQDIKFLPFKV
+VEKKTKPYIQVDVGGGQTKTFAPEEISAMVLTKMKETAEAYLGKKVTHAVVTVPAYFNDA
+QRQATKDAGTIAGLNVMRIINEPTAAAIAYGLDKREGEKNILVFDLGGGTFDVSLLTIDN
+GVFEVVATNGDTHLGGEDFDQRVMEHFIKLYKKKTGKDVRKDNRAVQKLRREVEKAKRAL
+SSQHQARIEIESFYEGEDFSETLTRAKFEELNMDLFRSTMKPVQKVLEDSDLKKSDIDEI
+VLVGGSTRIPKIQQLVKEFFNGKEPSRGINPDEAVAYGAAVQAGVLSGDQDTGDLVLLDV
+CPLTLGIETVGGVMTKLIPRNTVVPTKKSQIFSTASDNQPTVTIKVYEGERPLTKDNHLL
+GTFDLTGIPPAPRGVPQIEVTFEIDVNGILRVTAEDKGTGNKNKITITNDQNRLTPEEIE
+RMVNDAEKFAEEDKKLKERIDTRNELESYAYSLKNQIGDKEKLGGKLSSEDKETMEKAVE
+EKIEWLESHQDADIEDFKAKKKELEEIVQPIISKLYGSAGPPPTSEEEAADKDEL
+>sp|Q08DD1|ARSA_BOVIN Arylsulfatase A OS=Bos taurus OX=9913 GN=ARSA PE=2 SV=1
+MEALWTLTLALAAGLAAASPPNILLIFADDLGYGDLGSYGHPSSTTPNLDQLAAGGLRFT
+DFYVPVSLCTPSRAALLTGRLPVRMGLYPGVLEPSSRGGLPLDEVTLAEVLAAQGYLTGI
+AGKWHLGVGPEGAFLPPHHGFHRFLGIPYSHDQGPCQNLTCFPPATPCEGICDQGLVPIP
+LLANLSVEAQPPWLPGLEARYVAFARDLMTDAQHQGRPFFLYYASHHTHYPQFSGQSFPG
+HSGRGPFGDSLMELDAAVGALMTAVGDLGLLGETLVFFTADNGPETMRMSHGGCSGLLRC
+GKGTTFEGGVREPALAFWPGHIAPGVTHELASSLDLLPTLAALAGAQLPNITLDGVDLSP
+LLLGTGKSPRHTLFFYSAYPDEVRGVFAVRSGKYKAHFFTQGSVHSDTTADPACHASNPL
+TAHEPPLLFDLSEDPGENYNLLDSVDEVAPEALQAVKQLELLKAQFDAAMTFGPSQMAQG
+EDPTLQVCCQPSCTPRPSCCHCPEFQP
+>sp|Q5EA19|ARL15_BOVIN ADP-ribosylation factor-like protein 15 OS=Bos taurus OX=9913 GN=ARL15 PE=2 SV=1
+MSDLRISEAFLYMDYLCFRALCCKGPPPARPEYDLVCIGLTGSGKTSLLSKLCSESPDSV
+VSTTGFSIKAVPFQNAILNVKELGGADNIRKYWSRYYQGSQGVIFVLDSASSEDDLETAR
+NELHSALQHPQLCTLPFLILANHQDKPAARSVQEVKKYFELEPLARGKRWILQPCSLDDM
+EALKDSFSQLINLLEDHEAVRM
+>sp|Q6URK6|CADH5_BOVIN Cadherin-5 OS=Bos taurus OX=9913 GN=CDH5 PE=2 SV=1
+MQALVMLLATGATYYLGLLAAAAAAVNPGRPNTPGSLPAHRRQKRDWIWNQMHIDEERND
+SLPHYVGKIKSSVDPKKTEYQLRGESAGKVFRVDKNTGDVYALERLDREKISEYHLTALV
+VDKDSKKNLESPSSFTIKVHDVNDNWPVFTHRVFNASVPEMSGIGTSVIQVTAMDADDPT
+VADHASVVYQLTKGKENFDIRGSGLIVTMNKHLDRETQDKYEVVVKAEDAQGRRGESGTA
+TVFITLQDVNDNFPIFTQNRYTFSVPEDIRVGSPLGSLFVEDPDEPQNRKTKYSFVQGEY
+RDTFTIDTDPSHNEGIIKPIKPLDYERIRQYSFTIEATDPTIDLRYLGSTSPKNIARVII
+NVTDVDEPPIFQQPFYHFQLQENQKKPLIGSVLAKDPDAAQRDIRYSIRRTSDKGQFFGI
+TKKGGIYNDKELDREVYPWYNLTVEAKEVDLSGTPTGKESIVQVHIEVMDENDNAPEFAK
+PYEPKVCENAPQGKLVVQISATDKDITPRDVKFKFSLSTEDSNFTLIDNHDNTANIIVKY
+GYFDRERAKVHHLPVLISDNGRPSLTGTSTLHVTVCKCNEHGEFTLCEEAAAQVGISIQA
+LVAIFLCILTFTVITLLIILRRRLRKQARAHGKSVPEIHEQLVTYDEEGGGEMDTTSYDV
+SVLNSARHGGAKPPRPALDARPSLYAQVQKPPRQAPGAHAPGEMAAMIGVKKDEADHDGG
+GPPYDTLHIYGYEGAESIAESLSSLGTDSSDSDIDYDFLNDWGTRFKMLAELYGSDPQEE
+LVY
+>sp|Q3ZBD2|ARP10_BOVIN Actin-related protein 10 OS=Bos taurus OX=9913 GN=ACTR10 PE=2 SV=1
+MPLYEGLGSGGEKTAVVIDLGEAFTKCGFAGETGPRCIIPSVIKKAGMPKPIRVVQYNIN
+TEELYSYLKEFIHILYFRHLLVNPRDRRVVVIESVLCPSHFRETLTRVLFKYFEVPSVLL
+APSHLMALLTLGINSAMVLDCGYRESLVLPIYEGIPVLNCWGALPLGGKALHKELETQLL
+EQCTVDTGAAKEQSLPSVMGSIPEGILEDIKVRTCFVSDLKRGLNIQAAKFNIDGNTERP
+SPPPNVDYPLDGEKILHVLGSIRDSVVEILFEQDNEEKSVATLILDSLMQCPIDTRKQLA
+ENLVVIGGTSMLPGFLHRLLAEIRYLVEKPKYKKTLATKTFRIHTPPAKANCVAWLGGAI
+FGALQDILGSRSVSKDYYNQTGRIPDWCSLNNPPLEMMFDVGKNQPPLMKRAFSTEK
+>sp|A8E4L1|COX19_BOVIN Cytochrome c oxidase assembly protein COX19 OS=Bos taurus OX=9913 GN=COX19 PE=3 SV=1
+MSTAMNFGSKSFQPRPPDKGSFPLDHFGECKSFKEKFMKCLRDNNFENALCRNESKEYLE
+CRMERQLMAQEPLEKLGFGDLIDGKSDKN
+>sp|Q3ZC62|CFA36_BOVIN Cilia- and flagella-associated protein 36 OS=Bos taurus OX=9913 GN=CFAP36 PE=2 SV=1
+MAAEEEDEVEWVVESIAGFLRGPDWSIPILDFVEQKCEVFDDEEESKLTYTEIHQEYKEL
+VEKLLETYLKEIGINEDQFQEACTSPLAKTRTSQAILQPVLAAEDFTIFKAMMVEKNTEM
+QLQAIRIIQERNGVLPDCLTDGSDVVSDLEQEEMKILKEVLRKSKEEYDQEEERKRKKQL
+SEAKTEEHPMQANETAKMSNSQGDGEHFAHPASGLKIPGFEHASMEGPIANLSTLRTEEL
+RQREHYLKQKRDKLMSMRKDMKIKQNQTSEQKGKPAGEVEEMTEKPEMTAEEKQTLLKRR
+LLAEKLKEEVINK
+>sp|Q3SWX5|CADH6_BOVIN Cadherin-6 OS=Bos taurus OX=9913 GN=CDH6 PE=2 SV=1
+MRTYRYFLLLFWVGQPYPTFSTPLSKRTSGFPAKKRTLELSGNSKNELSRSKRSWMWNQF
+FLLEEYTGSDYQYVGKLHSDQDRGDGSLKYILSGDGAGDLFIINENTGDIQATKRLDREE
+KPVYILRAQAINRKTGRPVEPESEFIIKIHDINDNEPIFTKEVYTATVPEMSDVGTFVVQ
+VTATDADDPTYGNSAKVVYSILQGQPYFSVESETGIIKTALLNMDRENREQYQVVIQAKD
+MGGQMGGLSGTTTVNITLTDVNDNPPRFPQSTYQFKTPESSPPGTPIGRIKASDADVGEN
+AEIEYSITEGEGLDMFDVITDQETQEGIITVKKLLDFEKKKVYTLKVEASNPHVEPRFLY
+LGPFKDSATVRIMVEDVDEPPVFSKLAYILQIREDAQINTTIGSVTAQDPDAARNPVKYS
+VDRHTDMDRIFNIDSGNGSIFTSKLLDRETLLWHNITVIATEINNPKQSSRVPLYIKVLD
+VNDNPPEFAEFYETFVCEKAKADQLIQTLRAIDKDDPYSGHQFSFSLAPEAASGSNFTIQ
+DNKDNTAGIFTRKNGYNRHEMSTYLLPVVISDNDYPVQSSTGTVTVRVCACDHQGNMQSC
+HAEALVHPTGLSTGALIAILLCIVTLLVTVVLFAALRRQRKKEPLIISKEDIRDNIVSYN
+DEGGGEEDTQAFDIGTLRNPEAIEDSKLRRDIVPEALFLPRRTPAARDNTDVRDFINQRL
+KENDTDPTAPPYDSLATYAYEGAGSVADSLSSLESVTTDGDQDYDYLSDWGPRFKKLADM
+YGGVDSDKDS
+>sp|Q2KJH5|CFA97_BOVIN Cilia- and flagella-associated protein 97 OS=Bos taurus OX=9913 GN=CFAP97 PE=2 SV=1
+MDRFDDISEGEVDHSFFDSDFEEARKGDSSSVFDKQDDDAEERTDKDTENVNLKLGLQRK
+EDDLTEKGTESSGKTPPEEHPVEGDNAQTGNSSSSTTSSRSKLGNARVEHKIHLPTPNSL
+PQTVKDGEHDYYTDGEESTDDEKKHHVRSKSAKLPNNFKKSLSKKYSRSSSSSSLSLSSS
+SSDTGCSDTGSDVGLSDSSPSPKKHLPGATHLSPKLKYKPGEKSPGAHASGTKPKVGDDA
+EESEDTVTDVTPLSTPDISPVQSFELGASSDRKMKVKRQENVRQEVYENVEDLKNNSKSL
+KSAKKGKEKHEPSLTSKSTALDSSLDHRYKQKVLHDTMDLNHLLKAFLQLDKKRPQKHHF
+DQPSVAPRKNYSFTREEVRQIDRENQRLLKELSRQAEKPGSKSMIPRSTGPPPKLYHSAL
+NRQREQQRIERENLAFLKRLEAVKPTVGMKRSEQLMDYHRNMGYLSSSPISRRVRSTLSQ
+YSSLKGASRTSSATSGLSCKSERSAFNTTGGGLLLRPKPPNVRTAWL
+>sp|Q3SZP5|ACOX1_BOVIN Peroxisomal acyl-coenzyme A oxidase 1 OS=Bos taurus OX=9913 GN=ACOX1 PE=2 SV=1
+MNPDLQKERAGASFNPELLTNVLDGSPENTRRRREIENLILNDPDFQHENLNFLSRSQRY
+EVAVKKSAIMVQKMRKFGIADPAEIMWFKKLHLVNFVEPVGLNYSMFIPTLLNQGTTAQQ
+EKWLHSSKGLEIIGTYAQTEMGHGTHLRGLETTATYDPETQEFILNSPTVTSIKWWPGGL
+GKTSNHAIVLAQLFTQGKCYGLHAFIVPIRELGTHKPLPGITVGDIGPKFGYDEMDNGYL
+KMDNYRIPRENMLMKHAQVKPDGTYVKPLNNKLTYGTMVFIRSFLVGESARSLSKACTIA
+VRYSAVRHQSEINPGEPEPQILDYQTQQYKLFPLLATAYAFQFVGAYMKETYLRINEDIG
+HGDLSELPELHALTAGLKAFTSWTTNTAIEACRMACGGHGYSHCSGLPNIYVTFTPTCTF
+EGENTVMMLQTARFLMKSYDQVHSGKLVCGMVSYLNDLPSQRIQPQQVAVWPTMVDINSP
+DSLTEAYKLRAARLVEIAAKNLQTEVIHRKSKEVAWNLTSIDLVRASEAHCHYVVVKLFT
+EKVLQIQEKSIQAVLRRLCLLYSLYGISQNAGDFLQGSIMTESQITQVNGRIKELLTAIR
+PDAVALVDAFDFQDVTLGSVLGRYDGNVYENLFEWAKKSPLNKTEVHESYKHLKSLQSKL
+>sp|Q3T0A3|CFAD_BOVIN Complement factor D OS=Bos taurus OX=9913 GN=CFD PE=2 SV=1
+MADRSLHLVVLILLGTALCAAQPRGRILRGQEAPSHSRPYMASVQVNGKHVCGGFLIAEQ
+WVMSAAHCLEDVADGKVQVLLGAHSLSQPEPSKRLYDVLRVVPHPGSRTETIDHDLLLLQ
+LSEKAVLGPAVQLLPWQREDRDVAAGTLCDVAGWGVVSHTGRKPDRLQHLLLPVLDRATC
+NLRTYHDGTITERMMCAESNRRDTCKGDSGGPLVCGSVAEGVVTSGSRICGNHKKPGIYT
+RLASYVAWIDGVMAEGAAA
+>sp|Q865V0|CEP57_BOVIN Centrosomal protein of 57 kDa OS=Bos taurus OX=9913 GN=CEP57 PE=2 SV=1
+MAAASVSETSASQFSNILAEPSKSNGSMVRHSSSPYVVYPPDKPFLNSDLRRSPNKPTFA
+YPESNSRAIFSALKNLQDKIRRLELERIQAEESVKTLSKETIEYKKVLDEQIPERENSKN
+EESKHNQELTSQLLAAENKCNLLEKQLEYMRNMIKHAEMERTSVLEKQVSLERERQHDQT
+HVQNQLEKLDLLEQEYNKLTTMQALAEKKMQELEAKLRQEEQERKRMQAKAAQLQTGLEV
+NRLIYEDKATSCVPNTKRIKKKKSKPPEKKGSRNYFAVQPHYRLCLGDMPFVAGKSTSPS
+HAVVANVQHVLHLMKQHSKVLCNDRVVSSIPLAKQVSSRTGKSKKSATPPSSSSVNEELS
+EVLQTLQDEFGQMSFDHQQLAKLIQESPTVELKDNLECELEALVGRMEAKANQITKVRKY
+QAQLEKQKLEKQKKELKATRKTLDEEGNSSSRSTTTGTTNKKDFAKPRPGEKSRKNLQLL
+KDMQSIQNSLQSNSLCWDY
+>sp|Q29RT4|CDCA2_BOVIN Cell division cycle-associated protein 2 OS=Bos taurus OX=9913 GN=CDCA2 PE=2 SV=1
+MDTCSQESEPLQTKESPINNAGKTPLVLGNGKLVTPHKQAAEMTPNCYTSETFKSPLNFS
+TVTVEQLGISPESFVNNSSGKSSPYLKKSRRRSTVGLRGLPETNHLIRFVAEQRSLKNAS
+LTQTSPFQGSPALYRNVYSLREQMSAFHLAFNSIKENEKMTDCPEFSEAEGVFKTRGSTK
+KESLGECQLSEFSAQSSSKRRRLSSPSSSDVNLTDAVDLQACGVNMAACPSTDMKCAVET
+CAGLSQKSSASGLNLQCGCLMNESPLLSELTEASSGIQDAASVEERGSNDAVSVDKCTEV
+STDTAPEVRSLVTPLCQKDLPSSKTFVLRSVLKKPAVKLCVESLQEHLDNLYNDETCPSL
+TSSLANSCKEQTAALPNTKKRKRVTFGEDLSPEVLDESLPANTPLRKGQTPVRKKDLSSL
+SPPLLEQSPVPEWLPQPNFDDKEENLENIEPLQVSFAVLSSLNMSSIAETLSGTDTSASS
+SNHENIAPFRVGRATRTSDRRSKLISFSQESVCNLLNAEAQPCKEKKTNRRKSQESKHAD
+KVLPRKNRVLKGCKKKKGKGKRKGVQKSLYGERDLASKKPLLSPIPELPEVSETPLVGSL
+VRRTYPDDFNSNGKFEEMMLPKRENLLSQDPEDWQVIQGFNKDNASESCSSDMKSSSSFS
+NATFEQDANINSIEMDENENIPKAITLESENERKTGTECENSHISCTLVTVTPVVSDNPK
+PDFPLQSQELSAAGQNVENLFQIVKISEDMNIKCEKQSGFSVIPEDKLQTEHLIPDSQKE
+CDCSEDVLTDQRKVSKSQGEDLGRNSAASCSGVSDRERKYRGHSVGGSDGPGLHLERTNN
+LQTSYSMSSLVEISLENSELCKDLSDSIEQSLQRTKSETKVRRSLRLQKSLEREGGLVWV
+SPPPPPASCTSQRTKRRTVGTLDSRGFEPVSSRQDPCTLPSTSSEENGEGFTAAPDASLP
+GKRRRRSFCTSTLANPKSTTQSRGCKRRSFLGQKRENTLQETSRESDLSEN
+>sp|Q95122|CD14_BOVIN Monocyte differentiation antigen CD14 OS=Bos taurus OX=9913 GN=CD14 PE=2 SV=2
+MVCVPYLLLLLLPSLLRVSADTTEPCELDDDDFRCVCNFTDPKPDWSSAVQCMVAVEVEI
+SAGGRSLEQFLKGADTNPKQYADTIKALRVRRLKLGAAQVPAQLLVAVLRALGYSRLKEL
+TLEDLEVTGPTPPTPLEAAGPALTTLSLRNVSWTTGGAWLGELQQWLKPGLRVLNIAQAH
+SLAFPCAGLSTFEALTTLDLSDNPSLGDSGLMAALCPNKFPALQYLALRNAGMETPSGVC
+AALAAARVQPQSLDLSHNSLRVTAPGATRCVWPSALRSLNLSFAGLEQVPKGLPPKLSVL
+DLSCNKLSREPRRDELPEVNDLTLDGNPFLDPGALQHQNDPMISGVVPACARSALTMGVS
+GALALLQGARGFA
+>sp|P01888|B2MG_BOVIN Beta-2-microglobulin OS=Bos taurus OX=9913 GN=B2M PE=1 SV=2
+MARFVALVLLGLLSLSGLDAIQRPPKIQVYSRHPPEDGKPNYLNCYVYGFHPPQIEIDLL
+KNGEKIKSEQSDLSFSKDWSFYLLSHAEFTPNSKDQYSCRVKHVTLEQPRIVKWDRDL
+>sp|Q28104|COPE_BOVIN Coatomer subunit epsilon OS=Bos taurus OX=9913 GN=COPE PE=1 SV=3
+MAPPAPGPASGGSGEVDELFDVKNAFYIGSYQQCINEAQRVKPSSPERDVERDVFLYRAY
+LAQRKYGVVLDEIKPSSAPELQAVRMFAEYLASDSRRDAIVAELDREMSRSVDVTNTTFL
+LMAASIYFYDQNPDAALRTLHQGDSLECMAMTVQILLKLDRLDLARKELKKMQDQDEDAT
+LTQLATAWVSLAAGGEKLQDAYYIFQEMADKCSPTLLLLNGQAACHMAQGRWEAAEGVLQ
+EALDKDSGHPETLINLVVLSQHLGKPPEVTNRYLSQLKDAHRSHPFIKEYRAKENDFDRL
+VLQYAPSA
+>sp|Q3T904|ATG9A_BOVIN Autophagy-related protein 9A OS=Bos taurus OX=9913 GN=ATG9A PE=2 SV=1
+MAQFDTEYQRLEASYSDSPPGEEDLLVHVPEGSKSPWHHIENLDLFFSRVYNLHQKNGFT
+CMLIGEIFELMQFLFVVAFTTFLVSCVDYDILFANKMVNHSLHPTEPVKVTLPDAFLPAQ
+VCSARIQENGSLITILVIAGVFWVHRLIKFIYNICCYWEIHSFYLHALRIPMSALPYCTW
+QEVQARIVQTQKEHQICIHKRELTELDIYHRILRFQNYMVALVNKSLLPLRFRLPGLGEV
+VFFTRGLKYNFELILFWGPGSLFLNEWSLKAEYKRGGQRLELAQRLSNRILWIGIANFLL
+CPLILIWQILYAFFSYAEVLKREPGALGARCWSLYGRCYLRHFNELEHELQSRLNRGYKP
+ASKYMNCFLSPLLTLLAKNCAFFAGSILAVLIALTIYDEDVLAVEHVLTTVTLLGVTVTV
+CRSFIPDQHMVFCPEQLLRVILAHIHYMPDHWQGNAHRSQTRDEFAQLFQYKAVFILEEL
+LSPIVTPLILIFCLRPRALEIIDFFRNFTVEVVGVGDTCSFAQMDVRQHGHPQWLSGGQT
+EASVYQQAEDGKTELSLMHFAITNPGWQPPRESTAFLGFLKEQVQRDGAAAGLAQGGLLP
+ENALFTSIQSLQSESEPLSLIANVVAGSSCRGPPLPRDLQGSRHRAEVASALRSFSPLQP
+GQAPTGRAPSTMTGSGVDARTASSGSSVWEGQLQSLVLSEYASTEMSLHALYMHQLHKQQ
+AQAEPERHVWHRRESDESGESAPEEGGEGARATQPIPRSASYPCAAPRPGAPETTALQGG
+FQRRYGGITDPGTVPRAPSHFSRLPLGGWAEDGQSASRHPEPVPEEGSEDELPPQVHKV
+>sp|Q2KI79|ANRA2_BOVIN Ankyrin repeat family A protein 2 OS=Bos taurus OX=9913 GN=ANKRA2 PE=2 SV=1
+MATSANLDIGAQLIVEECPSSYSLSGMPDIKIEHQPDSSAEEGSAQGVAMGMKFILPNRF
+DMNVCSRFVKSLNEEDSKNIQDQVNSDLEVASVLFKAECNIHTSPSPGIQVRHVYTPSTT
+KHFSPIKQSTTLTNKHRGNEVSTTPLLANSLSVHQLAAQGEMLYLATRIEQENVINHTDE
+EGFTPLMWAAAHGQIAVVEFLLQNGADPQLLGKGRESALSLACSKGYTDIVKMLLDCGVD
+VNEYDWNGGTPLLYAVHGNHVKCVKMLLENGADPTIETDSGYNSMDLAVALGYRSVQQVI
+ESHLLKLLQNIKE
+>sp|P80227|ACPH_BOVIN Acylamino-acid-releasing enzyme OS=Bos taurus OX=9913 GN=APEH PE=1 SV=2
+MERQVLLSEPEEAAALYRGLSRQPALSAACLGPEVTTQYGGRYRTVHTEWTQRDLERMEN
+IRFCRQYLVFHDGDSVVFAGPAGNSVETRGELLSRESPSGTMKAVLRKAGSTGEEKQFLE
+VWEKNRKLKSFNLSALEKHGPVYEDDCFGCLSWSHSETHLLYVAEKKRPKAESFFQTKAL
+DISGSDDEMARPKKPDQAIKGDQFLFYEDWGENMVSKGSPVLCVLDIESGNISVLEGVPE
+SVSPGQAFWAPGDTGVVFAGWWHEPFRLGIRFCTNRRSALYYVDLTGGNCELLSDDSLAV
+TSPRLSPDQCRIVYLQFPSLVPHQQCGQLCLYDWYTRVTVVVVDVVPRQLGENFSGIYCS
+LLPLGCWSADSQRVVFDTAQRSRQDLFAVDTQMGTVTPLTAGGSGGSWKLLTIDRDLMVA
+QFSTPNLPPCLKVGFLPPAGMEQEVVWVSLEEAEPIPDISWSIRVLQPPPEQEHAQYVGL
+DFEAILIQPSNPPDKTQVPMVVMPHGGPHSSFVTSWMLLPAMLCKMGFAALLVNYRGSTG
+FGQDSILSLPGNVGSQDVKDVQFAVEQVLQEEHFDAGRVALLGGSHGGFLSCHLIGQYPE
+TYGACVVRNPVINIASMMGSTDIPDWCVVEAGYLYSSDCLPDPNVWSEMLNKSPIKYTPQ
+VKTPVLLMLGQEDRRVPFKQGMEYYRALKARNVPVRLLLYPKSTHSLSEVEVESDSFMNA
+VIWMCTHLGH
+>sp|Q8HXY9|CFDP1_BOVIN Craniofacial development protein 1 OS=Bos taurus OX=9913 GN=CFDP1 PE=2 SV=1
+MEEFDSEDFSTSEEDEDYVPSGGEYSEDDINELVKEDEVDGEEETQKTKGTKRKAESVLA
+RKRKQGGLSLEEDEEDANEESGGSSSEEEDAATEQQKGVESEDARKKKEDELWASFLNDV
+GPKSKVPPSTHVKTGEETEETSSSHLVKAERLEKPKETEKVKITKVFDFAGEEVRVIKEV
+DATSKEAKSFFKQNEKEKPQSNVPPAVPSLPAGSGLKRSSGMSSLLGKIGAKKQKMSTLE
+KSKLDWESFKEEEGIGEELAIHNRGKEGYIERKAFLDRVDHRQFEIERDLRLSKMKP
+>sp|A6QLU6|AGRD1_BOVIN Adhesion G-protein coupled receptor D1 OS=Bos taurus OX=9913 GN=ADGRD1 PE=2 SV=1
+MKKLLPLCCWHSWLLLFYCDFQVRGAHTRSHVHPGFEVLASASHYWPLENVDGIHELQET
+TGASRTHNLTVLPSHNSTFVYTNDSAYSNFSATVDIVEGKVNKGIYLKEGKGVTFLYYRK
+NKTSCISNPAQCGPEGVSFSFFWKTQGEQSTSIPSAYGGQVISNGFKVCSRGGKGSVELY
+THNKSVTWEASFSPPGHYWTHVLFTWKSEEGLKVYVNGTLRTSDPSGKASPAYGESNDNL
+VLDLTKSYENRAFDEFIIWERALTPDEIAMYFTAAIGEQLSLSSTPPSFSVTPTVNTMAP
+TNAYHPIITNLTEERKNFRRPGVVLSYLQNMSLSLPNKSLSEETAFNLTKTFLNTVGEVL
+RLPSWTAVSEDSAVVPGLIDTIDTVMSHITYNLQASKPQVAIVGSSSMADFSVAKVLPKT
+MNSSHYRFPARGQNYIEIPHEAFHSQAWTTIVGLLYHSVHYYLSNIQPASTKIAEAANYK
+NCLLSATSYLISLEVSPTPKLSQNLSGSPLITVHLRHHLTQRQYTEATNESNRIFLYCAF
+LDFSSGEGIWSNQGCALTEGNLSYSICRCTHLTNFAILMQVVPLELTRGHQVALSSISYI
+GCSLSVLCLAITLVTFAVLSSVSTIRNQRYHIHANLSCAVLVAQVLLLISFRFEPGTAPC
+QVLAMLLHYFFLSAFAWMLVEGLHLYSMVIKVFGSEDSKHRYYYGIGWGFPLLICIISIV
+FAMDSYGTSKNCWLSLGNGAIWAFVAPALFIIVVNIGILIAVTRVISQISAENYKIHGDP
+SAFKLTAKAVAVLLPILGTSWVFGVLAVNNQAMVFQYMFAILNSLQGFFIFLFHCLLNSE
+VRAAFKHKTKVWSLTSSSSRQANVKPFSSDIMNGTRPATGSTRLSPWDKSSHSGHRVDLS
+AV
+>sp|Q27971|CAN2_BOVIN Calpain-2 catalytic subunit OS=Bos taurus OX=9913 GN=CAPN2 PE=2 SV=2
+MAGIAAKLAKDREAAEGLGSHERAVKYLNQDYAALRDECLEAGALFQDPSFPALPSSLGF
+KELGPYSSKTRGIEWKRPTEICDNPQFITGGATRTDICQGALGDCWLLAAIASLTLNEEI
+LARVVPLDQSFQENYAGIFHFQFWQYGEWVEVVVDDRLPTKDGELLFVHSAEGSEFWSAL
+LEKAYAKINGCYEALSGGATTEGFEDFTGGIAEWYELRKAPPNLFRIIQKALQKGSLLGC
+SIDITSAADSEAITFQKLVKGHAYSVTGAEEVESRGSLQKLIRIRNPWGEVEWTGQWNDN
+CPNWNTVDPEVRETLTRQHEDGEFWMSFNDFLRHYSRLEICNLTPDTLTSDSYKKWKLTK
+MDGNWRRGSTAGGCRNYPNTFWMNPQYLIKLEEEDEDQEDGESGCTFLVGLIQKHRRRQR
+KMGEDMHTIGFGIYEVPEELTGQTNIHLSKKFFLTTRARERSDTFINLREVLNRFKLPPG
+EYIVVPSTFEPNKDGDFCIRVFSEKKADYQVVDDEIEANIDEIDISEDDIDDGFRRLFAQ
+LAGEDAEISAFELQTILRRVLAKRQDIKSDGFSIETCKIMVDMLDSDGSGKLGLKEFYIL
+WTKIQKYQKIYREIDVDRSGTMNSYEMRKALEEAGFKMPCQLHQVIVARFADDDLIIDFD
+NFVRCLIRLETLFRIFKQLDPENTGMIQLDLISWLSFSVL
+>sp|Q32PE4|C1D_BOVIN Nuclear nucleic acid-binding protein C1D OS=Bos taurus OX=9913 GN=C1D PE=2 SV=1
+MAGEGINEDYPVEIHEYLSTFENSIGAVDEMLKTMMSVSRNELLQKLDPLEQAKVDLVSA
+YTLNSMFWVYLATQGVNPKEHPVKQELERIRVYMNRVKEITDKKKAGKLDRGAASRFVKN
+ALWEPKPKNASKVANKGKSKN
+>sp|O02751|CFDP2_BOVIN Craniofacial development protein 2 OS=Bos taurus OX=9913 GN=CFDP2 PE=1 SV=2
+MEEFDSKDISTSKDEDCVPLGGECHEDDINELVKEDEVDGEEETQKTKGTKRKAESILAR
+KRKQGRLSLDQEEEEDASRESGGRIIEKEDAAAEQEKGAESEDARQEEADVLASSVSDAE
+PKSELPPSTQTKTGEETEETSSSNLVKVEELEKPKKAEEVKLTKSPLAGEEVRFLTQQGR
+LSGRTSEDEPRRSEGVQHATGEERRADTNTSSKNEAAGQKWKGQSAVDVSGDESKLRCCK
+EEYCIGTWNVRSMNPGKLDVVKQEMERINIDILGISELKWTGMGELNSDDHYIYYCGQQS
+LRRNGVALIVNKRVRNAIIGCNLKNDRMISVRFQGKPFNLTVIQVYAPTPYAEEGEVYRF
+YEDLQHLLEITPKIDVLFIIGDWNAKVGSQEIPGITGRFGLGMQNEAGRRLIEFCHHNRL
+VITNTLFQQPSRRLYTWTSPDGRYRDQIDYIICRQRWRSSVQSAKTRPGADCGSDHKLLI
+AKFRLKLKIIPKTTRPFRVTNEEDATNEEAKSVLKQNEKEKPEANVPSTVSSVPGGSGMT
+KEVGETSQEAKSVFKQDEKDKPQANVPSSVPSLPAGSGPEKCDLEKKKDCNN
+>sp|Q3SX42|CHM2B_BOVIN Charged multivesicular body protein 2b OS=Bos taurus OX=9913 GN=CHMP2B PE=2 SV=1
+MASLFKKKTVDDVIKEQNRELRGTQRAIIRDRAALEKQEKQLELEIKKMAKIGNKEACRV
+LAKQLVHLRKQKTRTFAVSSKVTSMSTQTKVMNSQMKMAGAMSTTAKTMQAVNKKMDPQK
+TLQTMQNFQKENMKMEMTEEMINDTLDDIFDGSDDEEESQDIVNQVLDEIGIEISGKMAK
+APSAARSLPSASTSKSTISDEEIERQLKALGVD
+>sp|Q3SYS6|CHP1_BOVIN Calcineurin B homologous protein 1 OS=Bos taurus OX=9913 GN=CHP1 PE=2 SV=1
+MGSRASTLLRDEELEEIKKETGFSHSQITRLYSRFTSLDKGENGTLSREDFQRIPELAIN
+PLGDRIINAFFPEGEDQVNFRGFMRTLAHFRPIEDNEKSKDVNGPEPLNSRSNKLHFAFR
+LYDLDKDDKISRDELLQVLRMMVGVNISDEQLGSIADRTIQEADQDGDSAISFTEFVKVL
+EKVDVEQKMSIRFLH
+>sp|P00794|CHYM_BOVIN Chymosin OS=Bos taurus OX=9913 GN=CYM PE=1 SV=3
+MRCLVVLLAVFALSQGAEITRIPLYKGKSLRKALKEHGLLEDFLQKQQYGISSKYSGFGE
+VASVPLTNYLDSQYFGKIYLGTPPQEFTVLFDTGSSDFWVPSIYCKSNACKNHQRFDPRK
+SSTFQNLGKPLSIHYGTGSMQGILGYDTVTVSNIVDIQQTVGLSTQEPGDVFTYAEFDGI
+LGMAYPSLASEYSIPVFDNMMNRHLVAQDLFSVYMDRNGQESMLTLGAIDPSYYTGSLHW
+VPVTVQQYWQFTVDSVTISGVVVACEGGCQAILDTGTSKLVGPSSDILNIQQAIGATQNQ
+YGEFDIDCDNLSYMPTVVFEINGKMYPLTPSAYTSQDQGFCTSGFQSENHSQKWILGDVF
+IREYYSVFDRANNLVGLAKAI
+>sp|Q5E9L4|B2L14_BOVIN Apoptosis facilitator Bcl-2-like protein 14 OS=Bos taurus OX=9913 GN=BCL2L14 PE=2 SV=1
+MCTASPCDLEEIPLDDEDSDSLEFKILEFYVKHHVFQNTSAILSPKHLRTRSLSQKGPER
+WPVSEAWTQGPWPCRHSQSSEKAINLTKKKSSWRTLFGVAEKEEDSQSSPPEICAQAQRS
+GVPQARPRSPKWPRSRSSMDQRLEHKAADPRVVSIANRVAEIVYSWPPPEEVHSQGGGFK
+SKGVLVFQGPQGQSGAESTKKEGEDQIIARIVELLKYSGEQLERELKKDKVLMTCFQDVL
+SYSVVKTITDQFLRGVDTRGESEVKAQSFKAALAIDVIAKLTTIDNHPMNRVLGFGTKYL
+KENFSPWIQQHGGWEKILRMPHEEVD
+>sp|P51186|CAN3_BOVIN Calpain-3 OS=Bos taurus OX=9913 GN=CAPN3 PE=2 SV=2
+MPTVISASVAPRTGAEPMSPGPIAQAAQDKGTEAGGGNPSGIYSAIISRNFPIIGVKEKT
+FEQLHKKCLEKKVLFVDPEFPPDETSLFYSQKFPIQFVWKRPPEICENPRFIVGGANRTD
+ICQGDLGDCWFLAAIACLTLNKRLLFRVIPHDQSFTENYAGIFHFQFWRYGDWVDVVIDD
+CLPTYNNQLVFTKSNHRNEFWNALLEKAYAKLHGSYEALKGGNTTEAMEDFTGGVTEFFE
+IKDAPRDMYKIMKKAIERGSLMGCSIDDGTNMTYGTSPSGLKMGELIERMVRNMDNSRLR
+DSDLIPEGCSDDRPTRMIVPVQFETRMACGLVKGHAYSVTGLEEALYKGEKVKLVRLRNP
+WGQVEWNGSWSDSWKDWSYVDKDEKARLQHQVTEDGEFWMSYDDFIYHFTKLEICNLTAD
+ALESDKLQTWTVSVNEGRWVRGCSAGGCRNFPDTFWTNPQYRLKLLEEDDDPDDSEVICS
+FLVALMQKNRRKDRKLGANLFTIGFAIYEVPKEMHGNKQHLQKDFFLYNASKARSRTYIN
+MREVSERFRLPPSEYVIVPSTYEPHQEGEFILRVFSEKRNLSEEVENTISVDRPVKKKKN
+KPIIFVSDRANSNKELGVDQETEEGKDNTSPDKQAKSPQLEPGNTDQESEEQRQFRNIFR
+QIAGDDMEICADELKNVLNRVVNKHKDLKTQGFTLESCRSMIALMDTDGSGRLNLQEFHH
+LWKKIKTWQKIFKHYDTDQSGTINSYEMRNAVKDAGFHLNNQLYDIITMRYADKYMNIDF
+DSFICCFVRLEGMFRAFNAFDKDGDGIIKLNVLEWLQLTMYA
+>sp|Q0VC84|C1GLT_BOVIN Glycoprotein-N-acetylgalactosamine 3-beta-galactosyltransferase 1 OS=Bos taurus OX=9913 GN=C1GALT1 PE=2 SV=1
+MASKSWLNILTFLCGSAVGFVLCSQLLSILFEEQKDIQPSILHNDPHARHSDDNEQNHLE
+GQMNFDADASQHKDENTDIADKLYQKVKILCWVMTGPQNLEKKAKHVKATWAQRCNKVLF
+MSSEENKDFPAVGLKTREGRDQLYWKTIKAFQYVHDHYLEDADWFMKADDDTYVILDNLR
+WLLSKYNPEEPIYFGRRFKPYVKQGYMSGGAGYVLSKEALKRFVEAFKTDKCTHSSSIED
+LALGRCMEIINVEAGDSRDTTGKETFHPFVPEHHLIKGYLPRTFWYWNYNYYPPVEGPGC
+CSDLAVSFHYVDPTTMYELEYLVYHLRPYGYLYRYQPALPEKMLKEVSQIYKNEDTNVNQ
+EPLKEKHK
+>sp|P02522|CRBB2_BOVIN Beta-crystallin B2 OS=Bos taurus OX=9913 GN=CRYBB2 PE=1 SV=3
+MASDHQTQAGKPQPLNPKIIIFEQENFQGHSHELNGPCPNLKETGVEKAGSVLVQAGPWV
+GYEQANCKGEQFVFEKGEYPRWDSWTSSRRTDSLSSLRPIKVDSQEHKITLYENPNFTGK
+KMEVIDDDVPSFHAHGYQEKVSSVRVQSGTWVGYQYPGYRGLQYLLEKGDYKDSGDFGAP
+QPQVQSVRRIRDMQWHQRGAFHPSS
+>sp|Q58DM3|CD53_BOVIN Leukocyte surface antigen CD53 OS=Bos taurus OX=9913 GN=CD53 PE=2 SV=1
+MGMSSLKLLKFVLFFFNLIFWFCGCCILGLGIYLLIHSKFGVLFHNLPSLTLGNVLVIVG
+SVIMVVAFLGCMGSIKENKCLLMSFFVLLLIILLAEVTLAILLFVYEQKLKEYVAEGLTE
+SIQRYNSDNSTKAAWDSIQSFLQCCGVNGTSDWTSGPPASCPKGSAVKGCYIQAKQWFHS
+NFLYIGITTICVCVIQVLGMSFALTLNCQIDKTSQVLGL
+>sp|Q0II48|B2L15_BOVIN Bcl-2-like protein 15 OS=Bos taurus OX=9913 GN=BCL2L15 PE=2 SV=1
+MSPRTFEEQTECIVNALLTDFLGPAWEVGNRTLQCEDESDSGEVSSFDVAIIAGRLRMLG
+DKFNGELEASAKNVIAETIRGQAGIVLQNTVKSLSNAWCAQDSSLAYERAFLAVSVKLLE
+HVARMAPEVARQVVRPITNMINGNTAIREYIQGQGGWENLEG
+>sp|E1BGN7|CGAS_BOVIN Cyclic GMP-AMP synthase OS=Bos taurus OX=9913 GN=CGAS PE=3 SV=1
+MAPPRRKATRKASETASGVSAPCVEGGLSAEPSEPAAVPEAPRPGARRCGAAGASGSRRE
+KSRLDPREKPQVRARAARAEDQAEGPAAPTADAEPPAAPGHSLPRASTRSRGTASSARAR
+RPQSGPPEGPGLGPRAPSPHLGRREEAPGAWKPRAVLEKLKLSRQEISVAAEVVNRLGDH
+LLRRLNSRESEFKGVDLLRTGSYYERVKISAPNEFDLMFTLEVPRIQLEEYCNSSAHYFV
+KFKRNPKGSPLDQFLEGGILSASKMLFKFRKIIKEEIKHIEDTDVIMERKKRGSPAVTLL
+IRKPREISVDIILALESKSSWPASTQKGLPISNWLGTKVKDNLKRQPFYLVPKHAKEGSL
+FQEETWRLSFSHIEKAILTNHGQTKTCCETEGVKCCRKECLKLMKYLLEQLKKKFGKQRG
+LDKFCSYHVKTAFLHVCTQNPHDSWWLYKDLELCFDNCVTYFLQCLKTEHLEHYFIPDVL
+SKQIEYEQNNGFPVFDEF
+>sp|A6QLA6|CAF1A_BOVIN Chromatin assembly factor 1 subunit A OS=Bos taurus OX=9913 GN=CHAF1A PE=2 SV=1
+MLEEPECGAPGARGEAAAMDCKDRPAFPVKKLIQARLPFKRLNLVPKEKIDDGLDDTGGS
+RAGPVQTQLHNLETSLDHLENCHMGSDIDFRPKLVNGKGPLDNFLRSQVETSIGQAVVII
+DLTEDSSNPPDNMVGHNKLNSAASSAQKNINGVPDKAGDDRGLPKARQKDELASPEEALS
+EVPCKTEAGGADSGGADRRGLTQRGSPQNCPKLTGDLSMWSEKDRDGWSEAGGILFKGKM
+PVVVLQDILALRPPARSPPATPPSQAVPSESETPESSPEEDLALSHSSLSSSSPTSSPEG
+QSVPTKLHTGPSPFPASTPVCRITKKLVRGSAEKNKMKLQRDKERLRRQLKLRAEKEEKE
+KLREEAKRAKEEARKKREEEKELKEKERREKREKDEKEKAEKQRLKEERRKERQEALEAK
+LEEKRKKEEEKRLREEEKRIKAEKAEITRFFQKPKTPQAPKTLAGSCGKFAPFEIKEHMV
+LAPRCRTAFDQDLCDQLDQLLQQQSSEFSFLQDLKSRRPLRSGPTVVSNRNTDLSNSDVV
+IVESSKVDGVPERRKFGRMKLLQFSENHRPAYWGTWNKKTTVIRPRDPWAQDRDLLDYEV
+DSDEEWEEEEPGESLSHSEGDDDDDVGEDEDEDDGFFVPHGYLSEDEGVTEECADPENHK
+VRQKLKAKEWDEFLAKGKRFRILQPVKIGCIWAADKDGGADLKVLQQFTACLLETVPPEE
+EQTPKASKREKRDQQILAQLLPLLHGNVNGSKVIIREFQECCRRGLLSRDAGSPEDSAAS
+PPSPGPARPQTPTASEDVAVPSKARLKRIISENSVYEKRPDFRMCWYVHPQVLKSFAQEH
+LPVPCQWSYVTAVPSATREDSGSVPAPGPGQGMPVSLKRKSAGSMCITQFMKKRRHDGQV
+GTGDLDDFQADTEEEDDDEGDCVIMDISDVGDIQAPCGTTSGAGGSVGMDTSESFVSPSS
+LRLS
+>sp|O77689|CCNB2_BOVIN G2/mitotic-specific cyclin-B2 OS=Bos taurus OX=9913 GN=CCNB2 PE=2 SV=2
+MALLRRPTVSTDLENNDTGVNSKPKSHVTIRRAVLEEIGNRVTTRAIQVAKKAQNTKVPV
+PPTKTTNVNKHPKPTASVKPVQMDVLAPKGPSPTPQDISMKEENLCQAFSDALLCKIEDI
+DTEDWENPQLCSDYVKDIYQYLRQLEVLQSINPHFLDGRDINGRMRAILVDWLVQVHSKF
+RLLQETLYMCVAVMDRYLQVQPVSRKKLQLVGITALLLASKYEEMFSPNIEDFVYITDNA
+YTSSQIREMETLILKELKFELGRPLPLHFLRRASKAGEVDVEQHTLAKYLMELTLVDYDM
+VHYHPSKVAAAASCLSQKVLGQGKWNLKQQYYTGYTESEVLEVMRHMAKNVVRVNENMTK
+FTAIKNKYASSKLLKISTIPQLNSKAIQELASPLLGRS
+>sp|Q28056|ASPH_BOVIN Aspartyl/asparaginyl beta-hydroxylase OS=Bos taurus OX=9913 GN=ASPH PE=1 SV=1
+MAPRKNAKGGGGNSSSSSSGSPTGCTSGGSSSPGARRETKQGGLKNGRKGGLSGSSFFTW
+FMVIALLGVWTSVAVVWFDLVDYEEVLAKAKDFRYNLSEVLQGKLGIYDADGDGDFDVDD
+AKVLLGLKEKPAPKPTVPPEEADMYPWLEDQVLESPGRQNIEDEVYEQVQSLDETVYSEP
+GENLPQEPEGPAEELQPDDHVFVGSDADDRYEPMGTGAVHEETEDSYHIEETASPAYSQD
+MEDMMYEQENPDSSEPVVVDDAERTYQETDDVTYRDYDEQDHAVDNSNTILEEPHMPPAE
+EQQEVPPETNKKADEPGKKGKVKKKKPKLLNKFDKTIKAELDAAEKLRKRGKIEEAVNAF
+EELVRKYPQSPGARYGKAQCEDDLAEKRRSNEILRRAIETYQEAASLPDAPTDLVKLSLK
+RRSDRQQFLGHMRGSLLTLQKLVQLFPDDTALKNDLGVGYLLIGDNDSAKKVYEEVLSVT
+PNDGFAKVHYGFILKAQNKIAESIPYLKEGIESGDPGTDDGRFYFHLGDAMQRVGNKEAY
+RWYELGHQRGHFASVWQRSLYNVQGLKAQPWWTPKETGYTELVKSLERNWKLIRDEGLAA
+MDRTHGLFLPEDENLREKGDWSQFTLWQQGRKNENACKGAPKTCSLLDKFPETTGCRRGQ
+IKYSIMHPGTHVWPHTGPTNCRLRMHLGLVIPKEGCKIRCANETRTWEEGKVLIFDDSFE
+HEVWQDAASFRLIFIVDVWHPELTPHQRRSLPAI
+>sp|P41361|ANT3_BOVIN Antithrombin-III OS=Bos taurus OX=9913 GN=SERPINC1 PE=1 SV=2
+MISNGIGTVTAGKRSICLLPLLLIGLWGCVTCHRSPVEDVCTAKPRDIPVNPMCIYRSSE
+KKATEGQGSEQKIPGATNRRVWELSKANSHFATAFYQHLADSKNNNDNIFLSPLSISTAF
+AMTKLGACNNTLKQLMEVFKFDTISEKTSDQIHFFFAKLNCRLYRKANKSSELVSANRLF
+GGKSITFNETYQDISEVVYGAKLQPLDFKGNAEQSRLTINQWISNKTEGRITDVIPPQAI
+NEFTVLVLVNTIYFKGLWKSKFSPENTRKELFYKADGESCSVLMMYQESKFRYRRVAEST
+QVLELPFKGDDITMVLILPKLEKTLAKVEQELTPDMLQEWLDELTETLLVVHMPRFRIED
+SFSVKEQLQDMGLEDLFSPEKSRLPGIVAEGRSDLYVSDAFHKAFLEVNEEGSEAAASTV
+ISIAGRSLNSDRVTFKANRPILVLIREVALNTIIFMGRVANPCVD
+>sp|P17453|BPI_BOVIN Bactericidal permeability-increasing protein OS=Bos taurus OX=9913 GN=BPI PE=2 SV=2
+MARGPDTARRWATLVVLAALSTAVTTTNPGIVARITQKGLDYACQQGVLTLQKELEKITI
+PNFSGNFKIKYLGKGQYSFFSMVIQGFNLPNSQIRPLPDKGLDLSIRDASIKIRGKWKAR
+KNFIKLGGNFDLSVEGISILAGLNLGYDPASGHSTVTCSSCSSGINTVRIHISGSSLGWL
+IQLFRKRIESLLQKSMTRKICEVVTSTVSSKLQPYFQTLPVTTKLDKVAGVDYSLVAPPR
+ATANNLDWLLKGEFFSLAHRSPPPFAPPALAFPSDHDRMVYLGISEYFFNTAGFVYQKAG
+ALNLTLRDDMIPKESKFRLTTKFFGILIPQVAKMFPDMQMQLFIWASLPPKLTMKPSGLD
+LIFVLDTQAFAILPNSSLDPLFLLEMNLNLSVVVGAKSDRLIGELRLDKLLLELKHSDIG
+PFSVESLQSVINYVMPTIVLPVINKKLQKGFPLPLPAYIELFNLTLQPYQDFLLFGADVH
+YS
+>sp|P22571|CNCG_BOVIN Retinal cone rhodopsin-sensitive cGMP 3',5'-cyclic phosphodiesterase subunit gamma OS=Bos taurus OX=9913 GN=PDE6H PE=3 SV=1
+MSDNTVLAPPTSNQGPTTPRKGPPKFKQRQTRQFKSKPPKKGVKGFGDDIPGMEGLGTDI
+TVICPWEAFSHLELHELAQFGII
+>sp|O19137|CPSF4_BOVIN Cleavage and polyadenylation specificity factor subunit 4 OS=Bos taurus OX=9913 GN=CPSF4 PE=1 SV=1
+MQEIIASVDHIKFDLEIAVEQQLGAQPLPFPGMDKSGAAVCEFFLKAACGKGGMCPFRHI
+SGEKTVVCKHWLRGLCKKGDQCEFLHEYDMTKMPECYFYSKFGECSNKECPFLHIDPESK
+IKDCPWYDRGFCKHGPLCRHRHTRRVICVNYLVGFCPEGPSCKFMHPRFELPMGTTEQPP
+LPQQTQPPTKRTPQVIGVMQSQNSSAGSRGPRPLEQVTCYKCGEKGHYANRCTKGHLAFL
+SGQ
+>sp|Q28083|COBA1_BOVIN Collagen alpha-1(XI) chain (Fragment) OS=Bos taurus OX=9913 GN=COL11A1 PE=1 SV=1
+YDYCEHYSPXCDSSAPEAAQAQEPPVDEYAPEDIMEYDYEYGEAEYKEAESVTETPTVTE
+ETIAQTEANIVDDFQEYNYGTESYQTEAPRSVSGSNEPNPVEEVFTEEYLTGEDYDSQRK
+NSEDMLYENKQIDGRDSDLLVDGDLGEYDFYEYKEYEDKPTSPTNEEFGPGVPAETDITE
+TSINGHGAYGEKGQKGEPAVVEPGMLIEGPPGPAGPAGLMGPPGLQGPTGPPGDPGERGP
+PGRPGLPGADGLPGPPGTMLVLPFRYGGDSSKGPTVSAQEAQAQAILQQARIALRGPPGP
+MGLTGRPGPVGGPGSSGAKGEMGDPGPQGPRGVQGPPGPTGKPGKRGRPGADGGRGMPGE
+PGAKGDRGFDGLPGLPGDKGHRGERGPQGPPGPPGEDGIRGEDGEIGPRGLPGEAGPRGL
+LGPRGTPGPIGQPGIAGVDGPPGPKGNMGPQGEPGPPGQQGNPGPQGLPGPQGPIGPPGE
+KGPQGKPGLAGLPGADGPPGHPGKEGQSGEKGSLGPPGPQGPIGYPGPRGVKGADGVRGL
+KGSKGEKGEDGFPGFKGDMGLKGDRGEVGQVGPRGEDGPEGPKGRAGPTGDPGPPGQAGE
+KGKLGVPGLPGYPGRQGPKGSTGFPGFPGANGEKGARGVAGKPGPRGQRGPTGPRGSRGA
+RGPTGKPGPKGTSGGDGPPGPPGERGPQGPQGPVGFPGPKGPPGPPGKDGLPGHPGQRGE
+TGFQGKTGPPGPGGVVGPQGPTGETGPIGERGHPGPPGPPGEQGLPGAAGKEGAKGDPGP
+QGVSGKDGPAGLRGFPGERGLPGAQGAPGLKGGEGPQGPPGPVGSPGERGSAGTAGPIGL
+PGRPGPQGPPGPAGEKGAPGEKGPQGPAGRDGVQGPVGLPGPAGPAGSPGEDGDKGEIGE
+PGQKGSKGDKE
+>sp|P17404|CNMD_BOVIN Leukocyte cell-derived chemotaxin 1 OS=Bos taurus OX=9913 GN=CNMD PE=1 SV=2
+MTENSDKVPIALVGPDDVEFCSPPAYAAVTVKPSSPARLLKVGAVVLISGAVLLLLGAIG
+AFYFWKGSDNHIYNVHYTMSINGKLQDGSMEIDAGNNLETFKMGSGAEEAVEVNDFQNGI
+TGIRFAGGEKCYIKAQVKARIPEVGTMTKQSISSELEGKIMPVKYEENSLIWVAGDQPVK
+DNSFLSSKVLELCGDLPIFWLKPTYPKEIQRERRELVRKIVTTTTTRRLRSGPQGTPAPG
+RPNNGTRPSVQEDAEPFNPDNPYHQQEGESMTFDPRLDHEGICCIECRRSYTHCQKICEP
+LGGYHPWPYNYQGCRSACRVIMPCSWWVARILGMV
+>sp|G3MZQ6|GIMD1_BOVIN GTPase IMAP family member GIMD1 OS=Bos taurus OX=9913 GN=GIMD1 PE=3 SV=1
+MNSNKMTINLALFGMTQSGKSSAGNIILGSTDFHSSFAPCSVTRDCSLGRSCHFRSFMRR
+GGQEVTLQVQVLDTPGYPHSRLSKKHVRQEVREALAHHFGQEGLHLALLVQRADVPLCGQ
+EESSPVQMIQELLGHTWMNYTAILFTHAEKIEEAGFNEDEYLREASETLLKLLNSIQHRY
+IFQYKKGNSLSEQRLKILERIIEFVKENCYQVLTFK
+>sp|A7MB47|CNOT9_BOVIN CCR4-NOT transcription complex subunit 9 OS=Bos taurus OX=9913 GN=CNOT9 PE=2 SV=1
+MHSLATAAPVPTALAQVDREKIYQWINELSSPETRENALLELSKKRESVPDLAPMLWHSF
+GTIAALLQEIVNIYPSINPPTLTAHQSNRVCNALALLQCVASHPETRSAFLAAHIPLFLY
+PFLHTVSKTRPFEYLRLTSLGVIGALVKTDEQEVINFLLTTEIIPLCLRIMESGSELSKT
+VATFILQKILLDDTGLAYICQTYERFSHVAMILGKMVLQLSKEPSARLLKHVVRCYLRLS
+DNPRAREALRQCLPDQLKDTTFAQVLKDDTTTKRWLAQLVKNLQEGQVTDPRGIPLPPQ
+>sp|P12082|CO5_BOVIN Complement C5a anaphylatoxin OS=Bos taurus OX=9913 GN=C5 PE=1 SV=1
+MLKKKIEEEAAKYRNAWVKKCCYDGAHRNDDETCEERAARIAIGPECIKAFKSCCAIASQ
+FRADEHHKNMQLGR
+>sp|Q29RU4|CO6_BOVIN Complement component C6 OS=Bos taurus OX=9913 GN=C6 PE=2 SV=1
+MARHSVMYFILLSALIDKSQACFCDHYPWSQWSSCSKTCNSGTQTRQRRIVTDKYYFENF
+CGQLCTKQESRECNWQTCPINCRLGDYGPWSDCDPCVQKRFKVRSILRPSQFGGQPCTEP
+LMTFQPCIPSKLCKIEEIDCKNKFRCDSGRCIASKLECNGENDCGDNSDERNCGRKKTVC
+SRSHNPIPGVQLMGMGFHFLAGEPRGEVLDNSFTGGVCRTVKSSRASNPYRVPANLENVN
+FEVQTKEDDLEADFYDDLIPLEDNKDQEALGSGLATSSFRVPIFYSSKRSQSSSHSSAFK
+QAIQASQKKASSFIRIHKVIKVLNFTMKTKDLQLSDVFLKALNHLPLEYNSALYSRIFDD
+FGTHYFTSGSLGGVYDLLYQFSKEELKNSGLTQEEAKNCIRIETKKRFLFVKKTKVEHRC
+TTNKLSEKYEGSFMQGSEKSISLVQGGRSAYAAALAWEKGSPVPEERVFSDWLESVKENP
+SVIDFALAPITDLVRNIPCAVTRRNNLRRAFREYAAKFDPCQCARCPNSGRPVLSGTECL
+CVCQSGTYGENCERRSPDYKSNAVDGNWGCWSSWSSCDATYRRSRTRECNNPAPQQGGKR
+CEGERRQEEHCTFSIMQNDGQPCISDDEDMKETDLPELESDSGCPQPVPPENGFIRNEKK
+QYSVGEEVEILCFTGFKAVGYQYFRCLPDRSWRQGDVECQRTECLKPIVPEGLTLSPFQT
+LYKIGDSIELTCPRGLVVNGPSRYTCSGDSWTPPISDSLSCEKDVLTGLRGHCQPGQKQL
+GSECVCMSPEEDCGHYSEEICVLDTTSSDYFTSSACKLLAEKCLNNQQLHFVHIGSCEEG
+PQLKWGLERIKLSSSSTKNESCGYDTCYNWEKCSATTSKCVCLLPSQCTKGGDQLFCVQI
+GSSANGKTMNICEVGAVKCAKREMEILHSGRC
+>sp|Q3T0Q6|CNBP_BOVIN Cellular nucleic acid-binding protein OS=Bos taurus OX=9913 GN=CNBP PE=2 SV=1
+MSSNECFKCGRSGHWARECPTGGGRGRGMRSRGRGFQFVSSSLPDICYRCGESGHLAKDC
+DLQEDACYNCGRGGHIAKDCKEPKREREQCCYNCGKPGHLARDCDHADEQKCYSCGEFGH
+IQKDCTKVKCYRCGETGHVAINCSKTSEVNCYRCGESGHLARECTIEATA
+>sp|Q3ZC33|GGLO_BOVIN L-gulonolactone oxidase OS=Bos taurus OX=9913 GN=GULO PE=2 SV=3
+MVHGYKGVKFQNWARTYGCCPEMYFQPTSVEEVREVLALARQQNKRVKVVGGGHSPSDIA
+CTDGFMIHMGKMNRVLKVDTEKKQVTVEAGILLADLHPQLDKHGLALSNLGAVSDVTAGG
+VIGSGTHNTGIKHGILATQVVALTLLTANGTILECSESSNAEVFQAARVHLGCLGVILTV
+TLQCVPQFHLQETTFPSTLKEVLDNLDSHLKKSEYFRFLWFPHSENVSVIYQDHTNKPPS
+SSANWFWDYAIGFYLLEFLLWISTFLPGLVGWINRFFFWLLFNGKKENCNLSHKIFTYEC
+RFKQHVQDWAIPREKTKEALLELKAMLEANPKVVAHYPVEVRFTRGDDILLSPCFQRDSC
+YMNIIMYRPYGKDVPRLDYWLAYETIMKKVGGRPHWAKAHNCTRKDFEKMYPAFQRFCAI
+REKLDPTGMFLNAYLEKVFY
+>sp|Q2NKS9|CI016_BOVIN UPF0184 protein C9orf16 homolog OS=Bos taurus OX=9913 PE=3 SV=1
+MSGPNGDLGTPVEAGAEGEEDGFGEAEYAAINSMLDQINSCLDHLEEKNDHLHARLQELL
+ESNRQTRLEFQQQLGETPSDASP
+>sp|Q3SZB5|CC115_BOVIN Coiled-coil domain-containing protein 115 OS=Bos taurus OX=9913 GN=CCDC115 PE=2 SV=1
+MASRDLRAEVDFVLLQLLGDLEQLEAKRQALNARVEEGWLSLSKARYAMGAKSVGPLQYA
+SHMEPQVRVGTSESQDGLQKFWMVRAGTQTPEEVGSREAALRRRKGLPRTPEPDSSPAPQ
+NPLKWFGILVPHSLRQAQASFREGLQLAADMASLQSRITWGQSQLQELQEKLKQLEPRAP
+>sp|Q148F1|COF2_BOVIN Cofilin-2 OS=Bos taurus OX=9913 GN=CFL2 PE=2 SV=1
+MASGVTVNDEVIKVFNDMKVRKSSTQEEIKKRKKAVLFCLSDDKRQIIVEEAKQILVGDI
+GDTVEDPYTSFVKLLPLNDCRYALYDATYETKESKKEDLVFIFWAPESAPLKSKMIYASS
+KDAIKKKFTGIKHEWQVNGLDDIKDRSTLGEKLGGNVVVSLEGKPL
+>sp|Q1RMR1|ANGL1_BOVIN Angiopoietin-related protein 1 OS=Bos taurus OX=9913 GN=ANGPTL1 PE=2 SV=1
+MKAFIWTLSVLFFLLMGIGHGRGRQFKIKKITQRRYPRATDGKEDAKKCSYTFLVPEQKI
+TGPICVNTKGQDAGTIKDMITRMDLENLKDVLSKQKREIDVLQLVVDVDGNIVNEVKLLR
+KESRNMNSRVTQLYMQLLHEIIRKRDNSLELSQLENKILNVTTEMLKMATRYRELEVKYA
+SLTDLVNNQSVMITLLEEQCLRIFSRQDPHVSPPLVQVVPQHIPNSHQYTPGLLGGNEIQ
+RDPGYPRDLMPPPDLVTSPTKSPLKIPPVTFINEGPYKDCQHAKDAGHSVSGIYMIKPEN
+SNGPMQLWCENSLDPGGWTVIQKRTDGSVNFFRNWENYKKGFGNIDGEYWLGLENIYLLS
+NQDNYKLLIELEDWSDKKVYAEYSSFRLEPESEFYRLRLGTYQGNAGDSMMWHNGKQFTT
+LDRDKDMYAGNCAHFHKGGWWYNACAHSNLNGVWYRGGHYRSKYQDGIFWAEYRGGSYSL
+RAVQMLIKPID
+>sp|P55906|BGH3_BOVIN Transforming growth factor-beta-induced protein ig-h3 OS=Bos taurus OX=9913 GN=TGFBI PE=1 SV=2
+MALLGRLLPLALALALGPAATPAGPARSPYQLVLQHSRLRGRQHGPNVCAVQKLIGTNKK
+YFTNCKQWYQRKICGKSTVISYECCPGYEKVPGEKGCPAALPLSNLYETLGVVGATTTQL
+YTDRTEKLRPEMEGPGSFTIFAPSNEAWSSLPAEVLDSLVSNVNIELLNALRYHMVDRRV
+LTDELKHGMALTSMYQNSNIQIHHYPNGIVTVNCARLLKADHHATNGVVHLIDKVISTVT
+NNIQQIIEIEDTFETLRAAVAASGLNTLLEGDGQYTLLAPTNEAFEKIPAETLNRILGDP
+EALRDLLNNHILKSAMCAEAIVAGLSLETLEGTTLEVGCSGDMLTINGKPIISNKDVLAT
+NGVIHFIDELLIPDSAKTLFELAADSDVSTAIDLFRQAGLSSHLSGNERLTLLAPMNSVF
+KDGTPPINARTKNLLLNHMIKDQLASKYLYHGQTLDTLGGRKLRVFVYRNSLCIENSCIA
+AHDKRGRYGTLFTMDRMLTPPMGTVMDVLKGDNRFSMLVAAIQSAGLTETLNREGVYTVF
+APTNEAFQALPRGELNKLMGNAKELANILKYHVGDEILVSGGIGALVRLKSLQGDKLEVS
+SKNNVVSVNKEPVAEVDIMATNGVVHAISSVLQPPANRPQERGDELADSALEIFKQASAF
+SRATQSSVKLAPVYQRLLERMKH
+>sp|Q28068|CALI_BOVIN Calicin OS=Bos taurus OX=9913 GN=CCIN PE=1 SV=1
+MKLEFTEKNYNSFVLQNLNKQRKRKEYWDIALTVDHHVFFAHRNVLAAVSPLVKNLISNH
+DMKTTDELFITIDPNYLSPTTVDQLLDYFYSGKVVISEQNVEELLRGAQYFNTPRLRIHC
+NDFLIKSIRRANCLRYLFLAELFELKEVSDLAYSGIRDNFHYWASPEGCVHFMRCPPVIF
+GRLLRDENLHVLNEDQALNALINWVCFRKDEREKYFKKFFNYINLNAVSNKTLMYASNKL
+MGMENSSAHSTLIESVLVDRKQERPTSLLSYQRKGALLDSVVILGGQKAHGKFNDGVFAY
+IIQENLWLKLSEMPYRAAALSATSAGRYIYISGGTTEQISGLKTAWRYDMDDNSWTKLPD
+LPIGLVFHTMVTCGGTVYSVGGSIAPRRYVSNIYRYDERKETWCLAGKMSIPMDGTAVIT
+RGDRNLYIVTGRCLVKGYISRVGVVDCFDTNTGEVVQCITFPIEFNHRPLLSFHQDNILC
+VYSHRQSVEINLQKVKANKTTTSVPLLPNNCPLDVSHAICSVGDNKVFVCGGVTTTTDVQ
+TKDYTINPNAYLLDQKAGEWKTLAPPPEALDCPACCLAKLPCKILQRI
+>sp|Q0VC18|ARL4D_BOVIN ADP-ribosylation factor-like protein 4D OS=Bos taurus OX=9913 GN=ARL4D PE=2 SV=1
+MGNHLTEMAPTTSFLPHFQALHVVVIGLDSAGKTSLLYRLKFKEFVQSIPTKGFNTEKIR
+VPLGGSRGITFQVWDVGGQEKLRPLWRSYTRRTDGLVFVVDAAEAERLEEAKVELHRISR
+ASDNQGVPVLVLANKQDQPGALSAAEVEKRLAVRELATATLTHVQGCSAVDGLGLQPGLE
+RLYEMILKRKKAARAGKKRR
+>sp|Q4GZT4|ABCG2_BOVIN ATP-binding cassette sub-family G member 2 OS=Bos taurus OX=9913 GN=ABCG2 PE=3 SV=2
+MSSNSYEVSIPMSKKLNGIPETTSKDLQTLTEGAVLSFHNICYRVKVKTGFLLCRKTIEK
+EILANINGVMKPGLNAILGPTGGGKSSLLDILAARKDPHGLSGDVLINGAPRPANFKCNS
+GYVVQDDVVMGTLTVRENLQFSAALRLPTTMTSYEKNERINKVIQELGLDKVADSKVGTQ
+FIRGVSGGERKRTSIAMELITDPSILFLDEPTTGLDSSTANAVLLLLKRMSKQGRTIIFS
+IHQPRYSIFKLFDSLTLLASGRLMFHGPAQEALGYFGAIGFRCEPYNNPADFFLDIINGD
+SSAVVLNREDIGDEANETEEPSKKDTPLIEKLAEFYVNSSFFKETKVELDKFSGDQRRKK
+LPSYKEVTYATSFCHQLKWISRRSFKNLLGNPQASIAQLIVTVFLGLVIGAIFYDLKNDP
+AGIQNRAGVLFFLTTNQCFSSVSAVELLVVEKKLFIHEYISGYYRVSSYFFGKLLSDLLP
+MRMLPSIIFTCITYFLLGLKPKVEAFFIMMLTLMMVAYSASSMALAIAAGQSVVSIATLL
+MTISFVFMMIFSGLLVNLKTVVPWLSWLQYLSIPRYGYAALQHNEFLGQNFCPGLNVTTN
+NTCSYAICTGEEFLTNQGIDISPWGLWKNHVALACMIVIFLTIAYLKLLFLKKFS
+>sp|Q7M2N1|ARP21_BOVIN cAMP-regulated phosphoprotein 21 OS=Bos taurus OX=9913 GN=ARPP21 PE=1 SV=1
+MSEPGDLSQTIVEEGGPEQETATPENGVIKSESLDEEEKLELQRRLVAQNQERRKSKSGA
+GKGKLTRSLAVCEESSARPGGESLQDQTL
+>sp|Q9MZL3|CACB3_BOVIN Voltage-dependent L-type calcium channel subunit beta-3 OS=Bos taurus OX=9913 GN=CACNB3 PE=2 SV=2
+MYDDSYVPGFEDSEAGSADSYTSRPSLDSDVSLEEDRESARREVESQAQQQLERAKHKPV
+AFAVRTNVSYCGVLDEECPVQGSGVNFEAKDFLHIKEKYSNDWWIGRLVKEGGDIAFIPS
+PQRLESIRLKQEQKARRSGNPSSLSDIGSRRSPPPSLAKQKQKQAEHIPPYDVVPSMRPV
+VLVGPSLKGYEVTDMMQKALFDFLKHRFDGRISITRVTADLSLAKRSVLNNPGKRTIIER
+SSARSSIAEVQSEIERIFELAKSLQLVVLDADTINHPAQLAKTSLAPIIVFVKVSSPKVL
+QRLIRSRGKSQMKHLTVQMMAYDKLVQCPPESFDVILDENQLEDACEHLAEYLEVYWRAT
+HHPAPGPGLLGPPSAIPGLQNQQLLGERGEEHSPLERDSLMPSDEASESSRQAWTGSSQR
+SSRHLEEDYADAYHDLYQPHRQHTSGLPSANGHDPQDRLLAQDSEHNHNERNWQRNRPWP
+KDSY
+>sp|Q8HXA6|ASB15_BOVIN Ankyrin repeat and SOCS box protein 15 OS=Bos taurus OX=9913 GN=ASB15 PE=2 SV=2
+MDANDDPDEDHLTSYDVQLSIQESIEAGKTVFYPERFVPLSDQNRKLVEAIQQGHILELQ
+EYVKYKYALDEADEKGWFPLHEAVVQPIQQILEVVLDASYKTLWEFKTSDGETPLTLAVK
+AGLVENVRTLLEKGVWPNTKNDKGETPLLLAIKRGSYDMVSALLKHNTSLDQPCVKRWSA
+MHEAAKQGHKDIIALLLNNGGNVHLKDGFGVTPLGVAAEYGHCDVLEHLIHKGGDVLALA
+DDGASVLFEAAGGGNPDCISLLLEYGGSGNIPNRAGHLPIHRAAYEGHYLALKYLIPVTS
+KHAIQKSGLTPIHSAADGQNAQCLELLIENGFDVNSLLADHISESYDDERKTALYFAVCN
+NDILCTEILLAAGADPNLDPLNCLLVAVRANNHEIVRLLLAHGANVNCYFMHVNDTRFPS
+AIQYALNDEVMLRLLLNNGYQVEMCFECMHGDIFGNSFVWSEIEEEVLPGWTSCVIKDNP
+FCEFITVPWMKHLVGSVIRVLIDYMDYIPLCAKLKSALEVQREWPEIRQILENPCSLKHL
+CRLKIRRLMGLQRLCQPTLMEKLSLPPTIQRYILFKEYDLYGQELNLP
+>sp|Q2NL17|CLPT1_BOVIN Cleft lip and palate transmembrane protein 1 homolog OS=Bos taurus OX=9913 GN=CLPTM1 PE=2 SV=1
+MAAAQEADGAGSAVVAAGGGSSGQVTSNGSVGRDPPAETQPQNPPPQPAPNAWQVIKGVL
+FRIFIIWAISSWFRRGPAPQDQAGPGGAPRVASRNLFPKDTLMNLHVYISEHEHFTDFNA
+TSALFWEQQDLVYGDWTSGENSDGCYEHFAELDIPQSVQQNGSIYIHVYFTKSGFHPDPR
+QKALYRRLATVHMSRMINKYKRRRFQKTKNLLTGETEADPEMIKRAEDYGPVEVISHWHP
+NITINIVDDHTPWVKGSVPPPLDQYVKFDAVSGDYYPIIYFNDYWNLQKDYYPINESLAS
+LPLRVSFCPLSLWRWQLYAAQSAKSPWNFLGDELYEQSDEEQDSVKVALLETNPYLLALT
+IIVSIVHSVFEFLAFKNDIQFWNSRQSLEGLSVRSVFFGVFQSFVVLLYILDNETNFVVQ
+VSVFIGVLIDLWKITKVMDVRLDREHKVAGLLPRPTFQDKSTYVESSTKVYDDMAFRYLS
+WILFPLLGCYAVYSLLYLEHKGWYSWVLSMLYGFLLTFGFITMTPQLFINYKLKSVAHLP
+WRMLTYKALNTFIDDLFAFVIKMPVMYRIGCLRDDVVFFIYLYQRWIYRVDPTRVNEFGM
+SGEAPTAAAPVAEAPPAAGALSSAPSPTTTTEAAREEASTPPPSQAPQGPSSASEPQEAP
+PKPAEDKKRD
+>sp|A4FV29|BRM1L_BOVIN Breast cancer metastasis-suppressor 1-like protein OS=Bos taurus OX=9913 GN=BRMS1L PE=2 SV=1
+MPVHSRGDKKETNHHDEMEVDYAENEGSSSEDEDTESSSVSEDGDSSEMDDEDCERRRME
+CLDEMSNLEKQFTDLKDQLYKERLSQVDAKLQEVIAGKAPEYLEPLATLQENMQIRTKVA
+GIYRELCLESVKNKYECEIQASRQHCESEKLLLYDTVQSELEEKIRRLEEDRHSIDITSE
+LWNDELQSRKKRKDPFSPDKKKPVVVSGPYIVYMLQDLDILEDWTTIRKAMATLGPHRVK
+TEPPVKLEKHLHSARSEEGRLYYDGEWYIRGQTICIDKKDECPTSAVITTINHDEVWFKR
+PDGSKSKLYISQLQKGKYSIKHS
+>sp|P22444|BMP3_BOVIN Bone morphogenetic protein 3 OS=Bos taurus OX=9913 GN=BMP3 PE=1 SV=2
+MAGARGLLHLWLSCLCVSLAQGQRLRQPFPELRVAVPADRAAGGGPESPLQPLDQVSEHM
+LRLYDRYSGGRTEEARTPGNSERGSPSLRPQPLREGNTVRSFRAGAAGMLENKELHIFNL
+TSLTKSENILSATLYFYIRELINISLSCPVSQECSHHAQRKHIQIDLSAWILKSSGNQSQ
+LLGHLSVDGGKPHRDFVSWLSKDITQLLRKAKENEEFLIGFNITTKGHQLPKKMTPSPEP
+YILVYANDAAISEPESVVSSLQGHRNFPTGAVPKLDSQSRSAPSIERRRRKRSTGVLLPL
+QNNELPGAEYQYKEDEVWEERKPYKTLQTQPPDKSKNKKKQRKGPQQKSQTLQFDEQTLK
+KARRKQWIEPRNCARRYLKVDFADIGWSEWIISPKSFDAYYCSGACQFPMPKSLKPSNHA
+TIQSIVRAVGVVPGIPEPCCVPEKMSSLSILFFDENKNVVLKVYPNMTVESCACR
+>sp|Q17QC5|AP2S1_BOVIN AP-2 complex subunit sigma OS=Bos taurus OX=9913 GN=AP2S1 PE=2 SV=1
+MIRFILIQNRAGKTRLAKWYMQFDDDEKQKLIEEVHAVVTVRDAKHTNFVEFRNFKIIYR
+RYAGLYFCICVDVNDNNLAYLEAIHNFVEVLNEYFHNVCELDLVFNFYKVYTVVDEMFLA
+GEIRETSQTKVLKQLLMLQSLE
+>sp|A6QLZ7|CRLD2_BOVIN Cysteine-rich secretory protein LCCL domain-containing 2 OS=Bos taurus OX=9913 GN=CRISPLD2 PE=2 SV=1
+MSCVLTGVVPLGLVLLLCGAQGFFLPNVTQLEKLLSKYQGDQPHSRTRRAISRADREEIL
+TLHNKLRGQVSPPASNMEYMTWDEELEKSAVAWARECIWEHGPTSLLVSIGQNLAVHWGR
+PRSPGSHVQSWYDEVKDYTYPYPHECNPWCPERCSGPMCTHYTQIVWATTNRIGCAVNTC
+PRMNVWGDVWENAVYLVCNYFPKGNWIGEAPYKTGQPCSECPGKYRGGCKNNLCYQETYG
+QETETDDMNEVEAAPIPDEKHVWVTPRVIKPKKPKKDSPVNYMTQVVKCDTKMKDKCKGS
+TCNRYQCPAGCLHSGAKIFGTLFYESASSICRAAIHYGILDDRGGLVDVTRNGKVPFFVK
+SERNGVQSLSKYKASSSFTVSKVKVQDLDCYTTVAQLCPYEKPGTHCPRVRCPAHCKDEP
+SYWAPVFGSNIYADTSSICKTAVHAGVIRNESGGYVDVMPVDKKKTYVASLRNGVQSESL
+RTPRDGKAFRIFAVRQ
+>sp|Q0V8K7|3BP5L_BOVIN SH3 domain-binding protein 5-like OS=Bos taurus OX=9913 GN=SH3BP5L PE=2 SV=1
+MAELRQIPGGRETPQGELRPEVVEDEVPRSPVAEEPGGGGSNSSEAKLSPREEEELDPRI
+QEELEHLNQASEEINQVELQLDEARTTYRRILQESARKLNTQGSHLGSCIEKARPYYEAR
+RLAKEAQQETQKAALRYERAVSMHNAAREMVFVAEQGVMADKNRLDPTWQEMLNHATCKV
+NEAEEERLRGEREHQRVTRLCQQAEARVQALQKTLRRAIGKSRPYFELKAQFSQILEEHK
+AKVTELEQQVAQAKTRYSVALRNLEQISEQIHARRRGQPAHTPGQRRSSPVGAEAGPDGG
+EDADSGIIEGAEGGGLEEGVSLGPGAAPDTDTLSLLSLRTVASDLQKCDSVEHLRGLSDH
+TSLDGQELGPRSGGRGGRHQRSISL
+>sp|Q29RV0|CDN2D_BOVIN Cyclin-dependent kinase 4 inhibitor D OS=Bos taurus OX=9913 GN=CDKN2D PE=2 SV=1
+MLLEEVHAGDRLSGAAARGDVQEVRRLLHSELVHPDVLNRFGKTALQVMMFGSPTIALEL
+LKQGASPNVQDASGTTPAHDAARTGFLDTLKVLVEHGADVNAPDGTGALPIHLAVREGHT
+SVVSFLATESDLHHRDATGLTPLELARGRGAQELMDILQRHTVAPL
+>sp|Q3SZU4|CDO1_BOVIN Cysteine dioxygenase type 1 OS=Bos taurus OX=9913 GN=CDO1 PE=2 SV=1
+MERTEVLKPRTLADLIRVLHQLFAGEEINVEEVQAVMEAYESNPAEWAVYAKFDQYRYTR
+NLVDQGNGKFNLMILCWGEGHGSSIHDHTDSHCFLKMLQGNLKETLFAWPDKKSNEMIKK
+SERILRENQCAYINDSIGLHRVENISHTEPAVSLHLYSPPFDTCHAFDQRTGHKNKVIMT
+FHSKFGIKTPFTTSGSLENN
+>sp|O97831|AGRL1_BOVIN Adhesion G protein-coupled receptor L1 OS=Bos taurus OX=9913 GN=ADGRL1 PE=2 SV=1
+MARLAAVLWSLCVTAILVTSATQGLSRAGLPFGLMRRELACEGYPIELRCPGSDVIMVEN
+ANYGRTDDKICDADPFQMENVQCYLPDAFKIMSQRCNNRTQCVVVAGSDAFPDPCPGTYK
+YLEVQYDCVPYKVKQKVFVCPGTLQKVLEPTSTHESEHQSGAWCKDPLQAGDRIYVMPWI
+PYRTDTLTEYASWEDYVAARHTTTYRLPNRVDGTGFVVYDGAVFYNKERTRNIVKYDLRT
+RIKSGETVINTANYHDTSPYRWGGKTDIDLAVDENGLWVIYATEGNNGRLVVSQLNPYTL
+RFEGTWETGYDKRSASNAFMVCGVLYVLRSVYVDDDSEAAGNRVDYAFNTNANREEPVSL
+AFPNPYQFVSSVDYNPRDNQLYVWNNYFVVRYSLEFGPPDPSAGPATSPPLSTTTTARPT
+PLTSTASPAATTPLRRAPLTTHPVGAINQLGPDLPPATAPAPSTRRPPAPNLHVSPELFC
+EPREVRRVQWPATQQGMLVERPCPKGTRGIASFQCLPALGLWNPRGPDLSNCTSPWVNQV
+AQKIKSGENAANIASELARHTRGSIYAGDVSSSVKLMEQLLDILDAQLQALRPIERESAG
+KNYNKMHKRERTCKDYIKAVVETVDNLLRPEALESWKDMNATEQAHTATMLLDVLEEGAF
+LLADNVREPARFLAAKQNVVLEVTVLNTEGQVQELVFPQEYPSENSIQLSANTIKQNSRN
+GVVKVVFILYNNLGLFLSTENATVKLAGEAGSGGPGGASLVVNSQVIAASINKESSRVFL
+MDPVIFTVAHLEAKNHFNANCSFWNYSERSMLGYWSTQGCRLVESNKTHTTCACSHLTNF
+AVLMAHREIYQGRINELLLSVITWVGIVISLVCLAICISTFCFLRGLQTDRNTIHKNLCI
+NLFLAELLFLVGIDKTQYEIACPIFAGLLHYFFLAAFSWLCLEGVHLYLLLVEVFESEYS
+RTKYYYLGGYCFPALVVGIAAAIDYRSYGTEKACWLRVDNYFIWSFIGPVSFVIVVNLVF
+LMVTLHKMVRSSSVLKPDSSRLDNIKSWALGAIALLFLLGLTWAFGLLFINKESVVMAYL
+FTTFNAFQGVFIFVFHCALQKKVHKEYSKCLRHSYCCIRSPPGGAHGSLKTSAMRSNARY
+YTGTQSRIRRMWNDTVRKQTESSFMAGDINSTPTLNRGTMGNHLLTNPVLQPRGGTSPYN
+TLIAESVGFNPSSPPVFNSPGSYREPKHPLGGREACGMDTLPLNGNFNNSYSLRSGDFPP
+GDGAPEPPRGRNLADAAAFEKMIISELVHNNLRGGSSGAKGPPPPEPPVPPVPGGSGEEE
+AGGPGADRAEIELLYKALEEPLLLPRAQSVLYQSDLDESESCTAEDGATSRPLSSPPGRD
+SLYASGANLRDSPSYPDSSPEGPSEALPPPPPAPPGPPEIYYTSRPPALVARNPLQGYYQ
+VRRPSHEGYLAAPGLEGPGPDGDGQMQLVTSL
+>sp|Q5E9E3|C1QA_BOVIN Complement C1q subcomponent subunit A OS=Bos taurus OX=9913 GN=C1QA PE=2 SV=1
+MEAPRGWLVISVLAISLASSVTEDVCRAPDGTHGSAGIPGRPGRPGLKGERGEPGAPAIQ
+TGIRGLKGDQGDPGPPGNPGRMGYPGPSGPMGPAGLPGLKGTKGSPGNIKDQPRPAFSAV
+GPNSVSRDNVVVFGKVITNQENVYQNNTGRFRCSVPGYYYFTFQVVSNWDICLSIRSSRR
+DQIQPLGFCDFNSKGFFQVVSGGTVLHLQQGDQVWIEKDPSKGRIYHGSEADSIFSGFLI
+FPSA
+>sp|Q2TA06|AURKA_BOVIN Aurora kinase A OS=Bos taurus OX=9913 GN=AURKA PE=2 SV=1
+MDRCKENCISGPKTAVPLSDGPKRVPVAQQFPSQNPVSVNSGQAQRVLCPTNSSQRVPSQ
+AQKLVSIQKPVQTLKQKPPQAASAPRPVTRPPSNTQKSKQPQPPAPGNNPEKEVASKQKN
+EESKKRQWALEDFEIGRPLGKGKFGNVYLAREKQSKFILALKVLFKAQLEKAGVEHQLRR
+EVEIQSHLRHPNILRLYGYFHDATRVYLILEYAPLGAVYRELQKLSKFDEQRTATYITEL
+ANALSYCHSKRVIHRDIKPENLLLGSAGELKIADFGWSVHAPSSRRTTLCGTLDYLPPEM
+IEGRMHDEKVDLWSLGVLCYEFLVGKPPFEADTYQETYRRISRVEFTFPDCVPEGARDLI
+SRLLKHNPSQRPTLKEVLEHPWIIANSKPSSCQKKESTSKQS
+>sp|Q58D45|CDIP1_BOVIN Cell death-inducing p53-target protein 1 OS=Bos taurus OX=9913 GN=CDIP1 PE=2 SV=1
+MSNDPPPPYPGGPTAPLLEEKSGAPPTPGRTSPAVMQPPPGMSLPPADIGPPPYEPPGHP
+MPQPGFIPPHVNADGTYMPSGFYPPPGPHPPMGYYPPGPYPPGPYAGPGGHTATVLVPSG
+AATTVTVLQGEIFEGAPVQTVCPHCQQAITTKISYEIGLMNFVLGFFCCFMGCDLGCCLI
+PCLINDFKDVTHTCPSCKAYIYTYKRLC
+>sp|Q32KQ1|F228A_BOVIN Protein FAM228A OS=Bos taurus OX=9913 GN=FAM228A PE=2 SV=1
+MSNCGKHFGPEQLEKWPELESVTLMEALAREDIDEAVYAILFRENCIAKRLDTYFQHLDA
+FKERKKELLHKKWTENVAKPLQQRIMEKVISYKALEKTKQENFEYFLKHTNKTEIIFGDF
+YDPEVYNPFYMTKKDPNYGKVAVPPFCDPLFIRQQEIDEEQRAVFQYTTGKRCTLKEFKE
+LEKARQYARLPQFTFSLHSMVSKDRPKAFARPVGSKTHSKCSPEKLVCAEEKFPPYKVKM
+TSDVNQTVFERRFYSSKISQESKRHEKKGLALGTGQHRPRSWAAGEGQQRRRSQPVDRRV
+MTAEVLGQHLAALQLGDR
+>sp|Q58DW2|CGL_BOVIN Cystathionine gamma-lyase OS=Bos taurus OX=9913 GN=CTH PE=2 SV=1
+MQEKEASPHGFLPRFQHFATQAIHVGQEPEQWTSQAVVPPISLSTTFKQGAPGQHSGFEY
+SRSGNPTRNCLEKAVAALDGAKYSLAFASGLAATVTITHLLKAGDQIICMDDVYGGTNRY
+FRQVATEFGLKISFVDCSKPKLLEAAITPETKLVWIETPTNPSLKMIDIEACAHTVHKHG
+DIILVVDNTFMSAYFQRPLSLGADICMYSATKYMNGYSDVVMGLVSLNSESLHDRLRFLQ
+NSLGAVPSPIDCYLCNRGLKTLQVRMEKHFENGMAVAQFLESNPQVEKVIYPGLPSHPQH
+ELAKRQCTGCPGMVTFYIKGSLQHAETFLQNLKLFTLAESLGGYESLAELPAIMTHASVP
+KSDREVLGISDTLIRLSVGLEDKQDLLDDLDQALKAANPPNASSN
+>sp|P79101|CPSF3_BOVIN Cleavage and polyadenylation specificity factor subunit 3 OS=Bos taurus OX=9913 GN=CPSF3 PE=1 SV=1
+MSAIPAEESDQLLIRPLGAGQEVGRSCIILEFKGRKIMLDCGIHPGLEGMDALPYIDLID
+PAEIDLLLISHFHLDHCGALPWFLQKTSFKGRTFMTHATKAIYRWLLSDYVKVSNISADD
+MLYTETDLEESMDKIETINFHEVKEVAGIKFWCYHAGHVLGAAMFMIEIAGVKLLYTGDF
+SRQEDRHLMAAEIPNIKPDILIIESTYGTHIHEKREEREARFCNTVHDIVNRGGRGLIPV
+FALGRAQELLLILDEYWQNHPELHDIPIYYASSLAKKCMAVYQTYVNAMNDKIRKQININ
+NPFVFKHISNLKSMDHFDDIGPSVVMASPGMMQSGLSRELFESWCTDKRNGVIIAGYCVE
+GTLAKHIMSEPEEITTMSGQKLPLKMSVDYISFSAHTDYQQTSEFIRALKPPHVILVHGE
+QNEMARLKAALIREYEDNDEVHIEVHNPRNTEAVTLNFRGEKLAKVMGFLADKKPEQGQR
+VSGILVKRNFNYHILSPCDLSNYTDLAMSTVKQTQAIPYTGPFNLLYYQLQKLTGDVEEL
+EIQEKPALKVFKNITVIQEPGMVVLEWLANPSNDMYADTVTTVILEVQSNPKIRKGAVQK
+VSKKLEMHVYSKRLEIMLQDIFGEDCVSVKDGSILSVTVDGKTANINLETRTVECEEGSE
+DDESLREMVELAAQRLYEALTPVH
+>sp|Q9TT94|ACOD_BOVIN Acyl-CoA desaturase OS=Bos taurus OX=9913 GN=SCD PE=2 SV=2
+MPAHLLQEEISSSYTTTTTITAPPSRVLQNGGGKLEKTPLYLEEDIRPEMRDDIYDPTYQ
+DKEGPKPKLEYVWRNIILMSLLHLGALYGITLIPTCKIYTYIWVLFYYLMGALGITAGAH
+RLWSHRTYKARLPLRVFLIIGNTMAFQNDVFEWSRDHRAHHKFSETDADPHNSRRGFFFS
+HVGWLLVRKHPAVKEKGSTLNLSDLRAEKLVMFQRRYYKPGVLLLCFILPTLVPWYLWDE
+TFQNSLFFATLFRYALGLNVTWLVNSAAHMYGYRPYDKTINPRENILVSLGAAGEGFHNY
+HHTFPYDYSASEYRWHINFTTFFIDCMAAIGLAYDRKKVSKAAILARIKRTGEESYKSG
+>sp|Q0VCL3|ATG3_BOVIN Ubiquitin-like-conjugating enzyme ATG3 OS=Bos taurus OX=9913 GN=ATG3 PE=2 SV=1
+MQNVINTVKGKALEVAEYLTPVLKESKFKETGVITPEEFVAAGDHLVHHCPTWQWATGEE
+LKVKAYLPSGKQFLVTKNVPCYKRCKQMEYSDELEAIIEEDDGDGGWVDTYHNTGIAGIT
+EAVKEITLESKDSIKLQDCSALCEEEEEEDEGEAADMEEYEESGLLETDEATLDTRKIVE
+ACKAKTDAGGEDAILQTRTYDLYITYDKYYQTPRLWLFGYDEQRQPLTVEHMYEDISQDH
+VKKTVTIENHPHLPPPPMCSVHPCRHAEVMKKIIETVAEGGGELGVHMYLLIFLKFVQAV
+IPTIEYDYTRHFTM
+>sp|A5PKD9|AB17C_BOVIN Alpha/beta hydrolase domain-containing protein 17C OS=Bos taurus OX=9913 GN=ABHD17C PE=2 SV=1
+MPEPGPRMNGFSLGELCWLFCCPPCPSRIAAKLAFLPPEPTYTVLAPEQRGPGAPAPASA
+ASTSSASAAAQPAPQQPEEGGAGPGACSLHLSERADWQYSQRELDAVEVFFSRTARDNRL
+GCMFVRCAPSSRYTLLFSHGNAVDLGQMCSFYIGLGSRINCNIFSYDYSGYGVSSGKPSE
+KNLYADIDAAWQALRTRYGVSPENIILYGQSIGTVPTVDLASRYECAAVILHSPLMSGLR
+VAFPDTRKTYCFDAFPSIDKISKVTSPVLVIHGTEDEVIDFSHGLAMYERCPRAVEPLWV
+EGAGHNDIELYAQYLERLKQFISHELPNS
+>sp|P11843|CRBA1_BOVIN Beta-crystallin A3 OS=Bos taurus OX=9913 GN=CRYBA1 PE=1 SV=3
+METQTVQQELESLPTTKMAQTNPMPGSVGPWKITIYDQENFQGKRMEFTSSCPNVSERNF
+DNVRSLKVECGAWVGYEHTSFCGQQFVLERGEYPRWDAWSGSNAYHIERLMSFRPICSAN
+HKESKITIFEKENFIGRQWEICDDYPSLQAMGWPNNEVGSMKIQCGAWVCYQYPGYRGYQ
+YILECDHHGGDYKHWREWGSHAQTSQIQSIRRIQQ
+>sp|P13135|CPNS1_BOVIN Calpain small subunit 1 OS=Bos taurus OX=9913 GN=CAPNS1 PE=2 SV=1
+MFLVNSFLKGGGGGGGGGGLGGGLGNVLGGLISGAGGGGGGGGGGGGGGGGTAMRILGGV
+ISAISEAAAQYNPEPVPPRTHYSNIEANESEEVRQFRRLFAQLAGDDMEVSATELMNILN
+KVVTRHPDLKTDGFGIDTCRSMVAVMDSDTTGKLGFEEFKYLWNNIKKWQAVYKQFDVDR
+SGTIGSSELPGAFEAAGFRLNEHLYNMIIRRYSDEGGNMDFDNFISCLVRLDAMFRAFKS
+LDKDGTGQIQVNIQEWLQLTMYS
+>sp|P57695|GLRA1_BOVIN Glycine receptor subunit alpha-1 OS=Bos taurus OX=9913 GN=GLRA1 PE=1 SV=1
+MYSFNTLRLYLWETIVFFSLAASKEAEAARSASKPMSPSDFLDKLMGRTSGYDARIRPNF
+KGPPVNVSCNIFINSFGSIAETTMDYRVNIFLRQQWNDPRLAYNEYPDDSLDLDPSMLDS
+IWKPDLFFANEKGAHFHEITTDNKLLRISRNGNVLYSIRITLTLACPMDLKNFPMDVQTC
+IMQLESFGYTMNDLIFEWQEQGAVQVADGLTLPQFILKEEKDLRYCTKHYNTGKFTCIEA
+RFHLERQMGYYLIQMYIPSLLIVILSWISFWINMDAAPARVGLGITTVLTMTTQSSGSRA
+SLPKVSYVKAIDIWMAVCLLFVFSALLEYAAVNFVSRQHKELLRFRRKRRHHKSPMLNLF
+QEDEAGEGRFNFSAYGMGPACLQAKDGISVKGANNSNTTNPPPAPSKSPEEMRKLFIQRA
+KKIDKISRIGFPMAFLIFNMFYWIIYKIVRREDVHNQ
+>sp|Q1JP79|ARC1A_BOVIN Actin-related protein 2/3 complex subunit 1A OS=Bos taurus OX=9913 GN=ARPC1A PE=2 SV=1
+MSLHQFLLEPITCHAWNRDRTQIALSPNNHEVHIYKKNGGQWVKAHELKEHNGHITGIDW
+APKSDRIVTCGADRNAYVWSQKDGVWKPTLVILRINRAATFVKWSPLENKFAVGSGARLI
+SVCYFESENDWWVSKHIKKPIRSTVLSLDWHPNNVLLAAGSCDFKCRVFSAYIKEVDEKP
+ASTPWGSKMPFGQLMSEFGGSGTGGWVHGVSFSASGSRLAWVSHDSTVSVADASKSVQVS
+TLKTEFLPLLSVSFVSENSVVAAGHDCCPMLFNYDDRGCLTFVSKLDIPKQSIQRNMSAM
+ERFRNMDKRATTEDRNTALETLHQNSITQVSIYEVDKQDCRKFCTTGIDGAMTIWDFKTL
+ESSIQGLRIM
+>sp|Q865V6|CAPG_BOVIN Macrophage-capping protein OS=Bos taurus OX=9913 GN=CAPG PE=2 SV=1
+MYSPIPQSGSPFPPTVKLPGLHIWRVEKLKPVPVAPENYGIFFSGDSYLVLHNGPEELSH
+LHLWIGQQSSRDEQGGCAILAVHLNTLLGERPVQHRESQGNESDLFMSYFPHGLKYQEGG
+VESAFHKTSPGTAPAAIKKLYQVKGKKNIRATERVLSWDSFNTGDCFILDLGQNIFAWCG
+AKSNILERNKARDLALAIRDSERQGKAHVEIVTDGEEPADMIQVLGPKPSLKEGNPEEDL
+TADRTNAQAAALYKVSDATGQMNLTKLADSSPFALELLIPDDCFVLDNGLCGKIYIWKGR
+KANEKERQAALQVAEDFITRMRYAPNTQVEILPQGRESAIFKQFFKDWK
+>sp|Q2M2S8|ALKB7_BOVIN Alpha-ketoglutarate-dependent dioxygenase alkB homolog 7, mitochondrial OS=Bos taurus OX=9913 GN=ALKBH7 PE=2 SV=1
+MAGGGQVVLRTLSQQGWVRGSGAAVLSRLQDAAVVRPGFLSTAEEETLSRELEPELRRRR
+YEYDHWDAAIHGFRETEKSRWSEASRAILRRVQAAAFGPGQTLLSSVHVLDLEPQGYIKP
+HVDSIKFCGSTIAGLSLLSPSVMRLVHTQEPGEWLELLLEPGSLYILRGSARYDFSHEIL
+RDEESFFGERRIPRGRRISVICRSLPEGMGPGEPGQLPPAC
+>sp|Q17R07|ARFG3_BOVIN ADP-ribosylation factor GTPase-activating protein 3 OS=Bos taurus OX=9913 GN=ARFGAP3 PE=2 SV=1
+MGDPSKQDILTIFKRLRSVPTNKVCFDCGAKNPSWASITYGVFLCIDCSGSHRSLGVHLS
+FIRSTELDSNWSWFQLRCMQVGGNANASSFFHQHGCDTNDTNAKYNSRAAQLYRERIKAL
+ASQATRKHGTDLWLDSCVVPPSSPPPKEEDFFASHASPEVSSTGWASAQPEPSLTPRNVD
+APAASSEGVPEQGPSVEGLNVPTKAAVGEVSSIIKKKPNQAKRGLGAKKGSLGAQKLSNT
+CFNEIEKQAQAVDKMNAQEDLLSRAAPKEESIVSSLRLAYKDLEIQMKKDEKMNMSGKKK
+AESERLGMGFGNSRSGISHSVTSDMQTIEQETPITAKPRKKYGDDSDDSYFTSSSRFFDE
+PMELRSSSFSSWDDSSDSYWKKETIKDTDPIPKNTGYTDRPTTRRKPDSEPAENTDEAQK
+KFGNVKAISSDMYFGRQAKADYEARARLERLSASSSISSADLFDEQRKQTAGSYNLTSVL
+PTAPDMAQFKQGVRSVAGKLSVFANGVMTSIQDRYGS
+>sp|Q3MHR7|ARPC2_BOVIN Actin-related protein 2/3 complex subunit 2 OS=Bos taurus OX=9913 GN=ARPC2 PE=1 SV=1
+MILLEVNNRIIEETLALKFENAAAGNKPEAVEVTFADFDGVLYHISNPNGDKTKVMVSIS
+LKFYKELQAHGADELLKRVYGSYLVNPESGYNVSLLYDLENLPASKDSIVHQAGMLKRNC
+FASVFEKYFQFQEEGKEGENRAVIHYRDDETMYVESKKDRVTVVFSTVFKDDDDVVIGKV
+FMQEFKEGRRASHTAPQVLFSHREPPLELKDTDAAVGDNIGYITFVLFPRHTNASARDNT
+INLIHTFRDYLHYHIKCSKAYIHTRMRAKTSDFLKVLNRARPDAEKKEMKTITGKTFSSR
+>sp|E1BLP6|ARI5B_BOVIN AT-rich interactive domain-containing protein 5B OS=Bos taurus OX=9913 GN=ARID5B PE=3 SV=1
+MEPNSLQWVGSPCGLHGPYIFYKAFQFHLEGKPRILSLGDFFFVRCTPKDPICIAELQLL
+WEERTSRQLLSSSKLYFLPEDTPQGRNSDHGEDEVIAVSEKVIVKLEDLVKWVHSDFSKW
+RCGLQAGSVKTESLGRNGQKEALLKYRQSTLNSGLNFKDVLKEKADLDCLGEDEEETNVI
+VLSYPQYCRYRSMLKRIQDKPSSILTDQFALALGGIAVVSKNPQILYCRDTFDHPTLIEN
+ESICDEFAPNLKGRPRKKKPCPQRRDSFSGGKDPNNNSDGKSVAKVKCEARSALNKPKNN
+HNNCKKVSNEEKPKVAIGEECRADEQAFLVALYKYMKERKTPIERIPYLGFKQINLWTMF
+QAAQKLGGYETITARRQWKHIYDELGGNPGSTSAATCTRRHYERLILPYERFIKGEEDKP
+LPPIKPRKQENNSQENENKTKVSGAKRIKHEISKSKKEKENAPKPQDASEVSSEQEKEQE
+TVNQKSITEPLPIADTKKKLEGYQDFAARPLVSQADPEKDSETDQGANSEKVAEEAGEKG
+PAPPLASAPLAPTPGTGKQSLTSPSALVDSKEPKPCCFTESPENELQEASFPGFSTTQPP
+LANQSEVEDDKLPAMADYIANCTVKVDQLGSDDIHNALKQTPKVLVVQSFDMFKDKDLTG
+PMNENHGLNYTPLLYSRGNPGIMSPLAKKKLLSQVSGASLSSSYPYGSPPPLISKKKVIA
+REDRCSSLSQAHHGQSTDHMAVNRPSVIQHVQSFRSKPSEERKISDIFKHDKLSRSEPRF
+SFSKHHLSPSKKSRGRRTVEKRALPHSHMPSFLADFYSSPHLHSLYRHTEHHLHNEQTSK
+YPCRDMYRETENSSFPSHKHQEKLHVNYLASLHLQDKKSAAVEAPTDDQPTDLSLPKNPH
+KPTGKALGLAHSAPGPQESKGTSQFQVINSQSRDCHPKACRVSPMTMSAPKKYPEALSRS
+GKPHPVRLENFRKMDSMVHPILHRKMSPQNIGAARPIKRSLEDLDLVIAGKKARAVSPLD
+PAKEVSGKEKASEQESEGSKAAHSGHSGGTSEGHKLPLSSPIFPGLYSGSLCSSGLNSRL
+PAGYSHSLQYLKNQAVLSPLMQPLAFHSLVMQRGIFTSPTNSQQLYRHLAAATPVGSSYG
+DLLHNSIYPLAAINPQAAFPSSQLSSVHPSTKL
+>sp|Q2KHT9|ACBD4_BOVIN Acyl-CoA-binding domain-containing protein 4 OS=Bos taurus OX=9913 GN=ACBD4 PE=2 SV=1
+MGIENESPEPDCQKQFQAAVSVIQNLPKNGSYRPSYEEMLRFYSYYKQATMGPCLIPQPG
+FWDPIGRYKWEAWNSLGQMSREEAMSAYITEMKLVAQKVIDTVPLGEVAEDMFGYFEPLY
+QVIPDMPRPPETFLRRVTGWKEQALNGDAEAAPEPPCLPKEPAPPSLESQPPRDQDSEVF
+CDSVEQLEPELVGEEQRGALGGENDTRNSPEPPAEKGRLEGPLLGPQELDSWLVGTVRAL
+QDSMRDVQGRLQSLESMPMPPEQMPPPSARPRPLRLSGPTLIFFLLWPFIVQWLFRQFRT
+QKR
+>sp|Q9BH13|CD166_BOVIN CD166 antigen OS=Bos taurus OX=9913 GN=ALCAM PE=2 SV=1
+MASKAAPSCRLVFCLLISATVLRPGLGWYTVNSAYGDTIIMPCRVDVPQNLMFGKWKYEK
+PDGSPVFIAFRSSTKKSVQYDDVPEYKDRLNLSENYTLSISNAKISDEKRFVCMLVTEDD
+VFEAPTVVKVFKQPSKPEIVSKAPFLETDKLKKLGECISKDSYPDGNITWYRNGKVLQAL
+EGAVVIIFRKQMDSVTQLYTMTSSLEYKTTKADIQMPFTCSVTYYGPSGQKTVYSEQAVF
+DIYYPTEQVTIQVLPSKNAIKEGDNITLKCLGNGNPPPEEFLFYLPGQPEGIRSSNTYTL
+TDVKRNATGDYKCSLVDKKSMIASAAITVHYLDLSLNPSGEVTKQIGDALPVSCTISASR
+NATVVWMKDNVKLRSSPSFSSLHYQDAGNYVCETALQEVEGLKKRESLTLIVEGKPQIKM
+TKKTDPSGLSKTIICHVEGFPKPAIQWTITGSGSVINQTEESPYINGRYYSKIIISPEEN
+VTLTCTAENQLERTVNSLNVSAISIPEHDEADEISDENKEKVNDQAKLIVGIVVGLLLAA
+LVAGVVYWLYMKKSKTASKHVNKDLGNMEENKKLEENNHKTEA
+>sp|Q5E9L5|ANM6_BOVIN Protein arginine N-methyltransferase 6 OS=Bos taurus OX=9913 GN=PRMT6 PE=2 SV=1
+MSQPKRRKLESGGGGEGGEGTEEEDGGELEVAVPRPRRTRRERDQLYYQCYSDVSVHEEM
+IADRVRTDAYRLGILRNWAALRGKTVLDVGAGTGILSIFCAQAGARRVYAVEASDIWQQA
+REVVRLNGLEDRVHVLPGPVETVELPEQVDAIVSEWMGCGLLHESMLSSVLHARTKWLKE
+GGLLLPASAELFVAPISDQMLELRLSFWSQMKQLYGVDMSCLESFATRCLMGHSEIVVQG
+LSGEDVLARPQCFARLELARAGLEQELEAGVGGRFRFSCYGSAPMHGFAIWFQVTFPGGD
+SEKPVVLSTSPFHPVTHWKQALLYLNEPVQVEQDTDVSGEITLLPSQDHHRHLRVLLRYK
+VGDQEEKTKDFAMED
+>sp|A5PJD3|COPRS_BOVIN Coordinator of PRMT5 and differentiation stimulator OS=Bos taurus OX=9913 GN=COPRS PE=2 SV=1
+MDPPTAGAQSLGAAEQPRGLQLPSGREAPPSPGTAFAPADHSSQEKATENATDRLANGAQ
+SIPHDSPAHGEGTHCEEEGFAEDDEDSDGEPSPWELSEGMSGCLPKEQAGDLFHEDWDLE
+LKADQGNPYDADDIQGCLSQEVRPWVCCAPQGDMIYDPSWHHPPPLIPHYSKMVFETGQF
+DDAED
+>sp|A2VDL6|AT1A2_BOVIN Sodium/potassium-transporting ATPase subunit alpha-2 OS=Bos taurus OX=9913 GN=ATP1A2 PE=1 SV=1
+MGRGAGREYSPAATTAENGGGKKKQKEKELDELKKEVAMDDHKLSLDELGRKYQVDLSKG
+LTNQRAQDILARDGPNALTPPPTTPEWVKFCRQLFGGFSILLWIGAILCFLAFGIQAAME
+DEPSNDNLYLGVVLAAVVIVTGCFSYYQEAKSSKIMDSFKNMVPQQALVVREGEKMQINA
+EEVVVGDLVEVKGGDRVPADLRIISSHGCKVDNSSLTGESEPQTRSPEFTHENPLETRNI
+CFFSTNCVEGTARGIVIATGDRTVMGRIATLASGLEVGRTPIAMEIEHFIQLITGVAVFL
+GVSFFVLSLILGYSWLEAVIFLIGIIVANVPEGLLATVTVCLTLTAKRMARKNCLVKNLE
+AVETLGSTSTICSDKTGTLTQNRMTVAHMWFDNQIHEADTTEDQSGATFDKRSPTWTALS
+RIAGLCNRAVFKAGQENISVSKRDTAGDASESALLKCIELSCGSVRKMRDRNPKVAEIPF
+NSTNKYQLSIHEREDSPQSHVLVMKGAPERILDRCSSILVQGKEIPLDKEMQDAFQNAYL
+ELGGLGERVLGFCQLNLPSAKFPRGFKFDTDELNFPTEKLCFVGLMSMIDPPRAAVPDAV
+GKCRSAGIKVIMVTGDHPITAKAIAKGVGIISEGNETVEDIAARLNIPVSQVNPREAKAC
+VVHGSDLKDMTSEQLDEILKNHTEIVFARTSPQQKLIIVEGCQRQGAIVAVTGDGVNDSP
+ALKKADIGIAMGIAGSDVSKQAADMILLDDNFASIVTGVEEGRLIFDNLKKSIAYTLTSN
+IPEITPFLLFIIANIPLPLGTVTILCIDLGTDMVPAISLAYEAAESDIMKRQPRNPQTDK
+LVNERLISMAYGQIGMIQALGGFFTYFVILAENGFLPSRLLGIRLDWDDRSMNDLEDSYG
+QEWTYEQRKVVEFTCHTAFFASIVVVQWADLIICKTRRNSVFQQGMKNKILIFGLLEETA
+LAAFLSYCPGMGVALRMYPLKVTWWFCAFPYSLLIFIYDEVRKLILRRYPGGWVEKETYY
+>sp|Q2YDH6|AP3S1_BOVIN AP-3 complex subunit sigma-1 OS=Bos taurus OX=9913 GN=AP3S1 PE=2 SV=1
+MIKAILIFNNHGKPRLSKFYQPYSEDTQQQIIRETFHLVSKRDENVCNFLEGGLLIGGSD
+NKLIYRHYATLYFVFCVDSSESELGILDLIQVFVETLDKCFENVCELDLIFHVDKVHNIL
+AEMVMGGMVLETNMNEIVTQIDAQNKLEKSEAGLAGAPARAVSAVKNMNLPEIPRNINIG
+DISIKVPNLPSFK
+>sp|Q10569|CPSF1_BOVIN Cleavage and polyadenylation specificity factor subunit 1 OS=Bos taurus OX=9913 GN=CPSF1 PE=1 SV=1
+MYAVYKQAHPPTGLEFSMYCNFFNNSERNLVVAGTSQLYVYRLNRDSEAPTKNDRSTDGK
+AHREHREKLELVASFSFFGNVMSMASVQLAGAKRDALLLSFKDAKLSVVEYDPGTHDLKT
+LSLHYFEEPELRDGFVQNVHTPRVRVDPDGRCAAMLIYGTRLVVLPFRRESLAEEHEGLV
+GEGQRSSFLPSYIIDVRALDEKLLNIVDLQFLHGYYEPTLLILFEPNQTWPGRVAVRQDT
+CSIVAISLNITQKVHPVIWSLTSLPFDCTQALAVPKPIGGVVIFAVNSLLYLNQSVPPYG
+VALNSLTTGTTAFPLRTQEGVRITLDCAQAAFISYDKMVISLKGGEIYVLTLITDGMRSV
+RAFHFDKAAASVLTTSMVTMEPGYLFLGSRLGNSLLLKYTEKLQEPPASTAREAADKEEP
+PSKKKRVDATTGWSGSKSVPQDEVDEIEVYGSEAQSGTQLATYSFEVCDSILNIGPCANA
+AMGEPAFLSEEFQNSPEPDLEIVVCSGYGKNGALSVLQKSIRPQVVTTFELPGCYDMWTV
+IAPVRKEQEETLKGEGTEPEPGAPEAEDDGRRHGFLILSREDSTMILQTGQEIMELDASG
+FATQGPTVFAGNIGDNRYIVQVSPLGIRLLEGVNQLHFIPVDLGSPIVQCAVADPYVVIM
+SAEGHVTMFLLKNDSYGGRHHRLALHKPPLHHQSKVITLCVYRDVSGMFTTESRLGGVRD
+ELGGRGGPEAEGQGAETSPTVDDEEEMLYGDSGSLFSPSKEEARRSSQPPADRDPAPFRA
+EPTHWCLLVRENGAMEIYQLPDWRLVFLVKNFPVGQRVLVDSSFGQPTTQGEARKEEATR
+QGELPLVKEVLLVALGSRQRRPYLLVHVDQELLIYEAFPHDSQLGQGNLKVRFKKVPHNI
+NFREKKPKPSKKKAEGGSTEEGTGPRGRVARFRYFEDIYGYSGVFICGPSPHWLLVTGRG
+ALRLHPMGIDGPIDSFAPFHNINCPRGFLYFNRQGELRISVLPAYLSYDAPWPVRKIPLR
+CTAHYVAYHVESKVYAVATSTSTPCTRVPRMTGEEKEFETIERDERYVHPQQEAFCIQLI
+SPVSWEAIPNARIELEEWEHVTCMKTVSLRSEETVSGLKGYVAAGTCLMQGEEVTCRGRI
+LIMDVIEVVPEPGQPLTKNKFKVLYEKEQKGPVTALCHCNGHLVSAIGQKIFLWSLRASE
+LTGMAFIDTQLYIHQMISVKNFILAADVMKSISLLRYQEESKTLSLVSRDAKPLEVYSVD
+FMVDNAQLGFLVSDRDRNLMVYMYLPEAKESFGGMRLLRRADFHVGAHVNTFWRTPCRGA
+AEGPSKKSVVWENKHITWFATLDGGIGLLLPMQEKTYRRLLMLQNALTTMLPHHAGLNPR
+AFRMLHVDRRVLQNAVRNVLDGELLNRYLYLSTMERGELAKKIGTTPDIILDDLLETDRV
+TAHF
+>sp|P14790|ATP68_BOVIN ATP synthase subunit ATP5MPL, mitochondrial OS=Bos taurus OX=9913 GN=ATP5MPL PE=1 SV=1
+MLQSLIKKVWIPMKPYYTQAYQEIWVGTGLMAYIVYKIRSADKRSKALKASSAAPAHGHH
+>sp|P05185|CP17A_BOVIN Steroid 17-alpha-hydroxylase/17,20 lyase OS=Bos taurus OX=9913 GN=CYP17A1 PE=2 SV=1
+MWLLLAVFLLTLAYLFWPKTKHSGAKYPRSLPSLPLVGSLPFLPRRGQQHKNFFKLQEKY
+GPIYSFRLGSKTTVMIGHHQLAREVLLKKGKEFSGRPKVATLDILSDNQKGIAFADHGAH
+WQLHRKLALNAFALFKDGNLKLEKIINQEANVLCDFLATQHGEAIDLSEPLSLAVTNIIS
+FICFNFSFKNEDPALKAIQNVNDGILEVLSKEVLLDIFPVLKIFPSKAMEKMKGCVQTRN
+ELLNEILEKCQENFSSDSITNLLHILIQAKVNADNNNAGPDQDSKLLSNRHMLATIGDIF
+GAGVETTTSVIKWIVAYLLHHPSLKKRIQDDIDQIIGFNRTPTISDRNRLVLLEATIREV
+LRIRPVAPTLIPHKAVIDSSIGDLTIDKGTDVVVNLWALHHSEKEWQHPDLFMPERFLDP
+TGTQLISPSLSYLPFGAGPRSCVGEMLARQELFLFMSRLLQRFNLEIPDDGKLPSLEGHA
+SLVLQIKPFKVKIEVRQAWKEAQAEGSTP
+>sp|Q2KIM1|CT027_BOVIN UPF0687 protein C20orf27 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MAAANKGNKSRVRSIRFATSHDAESSQSHVHFDEKLHDSVVMVTQESDSSFLVKVGFLKI
+LHRYEITFTLPPVHRLSKDVRETPVPSLHLKLLSVMPIPEGYSVKCEYSAHKEGVLKEEM
+LLACEGGSDTCVRVIVQARVMDRHHGTPMLLDGVRCVGAELEYDSEHSDWRGFD
+>sp|Q3T0E5|APMAP_BOVIN Adipocyte plasma membrane-associated protein OS=Bos taurus OX=9913 GN=APMAP PE=2 SV=1
+MTEADGLRQRRPLRPQVVTDDNRTPEAKGGSSFSGRVFRATFLMLAAFLTIPLLGALVLL
+DSPIDPEPLSFKEPPLFLGVLQPNTKLQQAERLFENQLVGPESIANIGDVMFTGTADGRV
+VKLENGEVETIARFGSGPCKTRDDEPACGRPLGIRAGPNGTLFVVDAYKGLFEVNPWKRE
+VKLLLSSETPIEGRKMSFLNDLTVTRDGRKIYFTDSSSKWQRRDYLLLLMEGTDDGRLLE
+YDTQTKEVKVLLDHLRFPNGVQLSPAEDFVLVVELAMVRIRRFYVSGLMKGGADVFVENL
+PGFPDNIRASSSGGYWVSMAAIRANPGFSMLDFLSERPFLKKVIFKLFSQETVMKFVPRY
+SLVLELSDSGTFLRSLHDPEGQVVTYVSEAHEHSGHLYLGSFRAPYLCRLRL
+>sp|Q9TTR8|COT1_BOVIN COUP transcription factor 1 OS=Bos taurus OX=9913 GN=NR2F1 PE=2 SV=1
+MAMVVSSWRDPQDDVAGGNPGGPNPAAQAARGGGGGAGEQQQQQAGSGAPHTPQTPGQPG
+APATPGTAGDKGQGPPGSGQSQQHIECVVCGDKSSGKHYGQFTCEGCKSFFKRSVRRNLT
+YTCRANRNCPIDQHHRNQCQYCRLKKCLKVGMRREAVQRGRMPPTQPNPGQYALTNGDPL
+NGHCYLSGYISLLLRAEPYPTSRYGSQCMQPNNIMGIENICELAARLLFSAVEWARNIPF
+FPDLQITDQVSLLRLTWSELFVLNAAQCSMPLHVAPLLAAAGLHASPMSADRVVAFMDHI
+RIFQEQVEKLKALHVDSAEYSCLKAIVLFTSDACGLSDAAHIESLQEKSQCALEEYVRSQ
+YPNQPSRFGKLLLRLPSLRTVSSSVIEQLFFVRLVGKTPIETLIRDMLLSGSSFNWPYMS
+IQCS
+>sp|Q0II24|C1QT9_BOVIN Complement C1q and tumor necrosis factor-related protein 9 OS=Bos taurus OX=9913 GN=C1QTNF9 PE=2 SV=1
+MRIWWLLLASGVCTGMVSSQDTCKQGHPGIPGNPGHNGLPGRDGRDGAKGDKGDAGEPGH
+PGGPGKDGMTGKKGEPGADGHVEAKGIKGDQGSRGPPGKHGPKGLVGPPGEKGLTGETGP
+QGQKGEKGDVGPVGPEGPEGSTGPSGPTGLLGPTGPIGKPGPKGDAGPLGPQGEPGVRGP
+RGWKGERGEKGKIGEMPALPKSAFTVGLTVLSKFPPSDTPIKFDRILYNEFGHYDVATGK
+FICHVAGVYYFTYHITVFSRNIQVSLVKNGAKVLHTKDGYTGSEDQASGGIVLPLKLGDE
+VWLQVTGGERFNGLFADKDDDTTFTGFLLFSSS
+>sp|Q2TBW2|COQ7_BOVIN 5-demethoxyubiquinone hydroxylase, mitochondrial OS=Bos taurus OX=9913 GN=COQ7 PE=2 SV=1
+MSCARALAACCLWRLRTGALQPLSAYGRRISVRFCSSGMTLDNINREAVDRIIRVDHAGE
+YGANRIYAGQMAVLGRTSIGPVIQKMWDQEKDHLKKFNELMVAFRVRPTVLMPFWNVVGF
+ALGAGTALLGKEGAMACTVAVEESIAHHYNNQIRTLMEKEPEKYEELLQVIKKFRDEELE
+HHDIGLEHDAELAPAYVVLKSVIQAGCKVAIYLSERL
+>sp|P23005|CRGF_BOVIN Gamma-crystallin F OS=Bos taurus OX=9913 GN=CRYGF PE=1 SV=3
+MGKITFYEDRGFQGRHYECSSDHSNLQPYFSRCNSIRVDSGCWMLYEQPNFQGPQYFLRR
+GDYPDYQQWMGLNDSIRSCRLIPHTGSHRLRIYEREDYRGQMVEITEDCSSLHDRFHFSE
+IHSFNVLEGWWVLYEMTNYRGRQYLLRPGDYRRYHDWGATNARVGSLRRAVDFY
+>sp|Q3T131|COQ3_BOVIN Ubiquinone biosynthesis O-methyltransferase, mitochondrial OS=Bos taurus OX=9913 GN=COQ3 PE=2 SV=1
+MWGGSKLSSSGSRFLGALRSGFQSTQVDSSRLTTSAVYPKNQLSWILQIKPWVFNENRIM
+WFKSYSITFACLNWMKSYRLPWTRPYSTSRTTVDKNEMKTFLALAHRWWDEQGVYAPLHS
+MNDLRVPFIRDNLLRTVATHQPGKPLSGMKILDVGCGGGLLTEPLGRLGASVIGIDPVDE
+NIKTAQHHKSFDPVLDKRIEYRTCSLEEIVKDTVETFDAVVASEVVEHVIDLETFIQCCF
+QVLKPDGSLFITTINKTQLSYALGIVFSEQIAGIVPKGTHTWEKFVSPEKLESILESNGL
+SVQTVVGMLYNPFSGYWHWSENTSLNYAAHALKSSLQEQPAPAEFALKGEAEELQAEAST
+NSGVQEDLKK
+>sp|Q8SPX7|CRYL1_BOVIN Lambda-crystallin homolog OS=Bos taurus OX=9913 GN=CRYL1 PE=2 SV=3
+MASPGSSAPGGVAVVGSGLIGRSWAMLFASAGFRVKLFDIEPRQVTDALVSLRKEMKMLE
+LSGYLKGELGAEEQLSLISGCSDLREAVEGALHVQECVPENLELKRKLFAQLDKIADDHV
+ILSSSSSCLLPSKLFAGLAHVKQCLVAHPVNPPYYVPLVELVPHPETAPATVDRTYALMR
+RVGQSPVRLLREIDGFALNRLQYAVIAEAWRLVEEGVVSPGDLDLVMSDGLGLRYAFIGP
+LETMHLNAEGMLSYCDRYGEGMKRVLKTFGPVPEFSGATAEKVHQAMCVKVPDDAEHLAA
+RRAWRDGCLMRLAQLKHQLPQ
+>sp|Q1RMJ5|DRS7C_BOVIN Dehydrogenase/reductase SDR family member 7C OS=Bos taurus OX=9913 GN=DHRS7C PE=2 SV=2
+MGVTAVLMLPLLLLGISGLLFIYQEVSRLWSKSVVQNKVVVITDAISGLGKECARVFHTG
+GARLVLCGKNWERLQSLYDALISVADPSKTFTPKLVLLDLSDISCVQDVAKEVLDCYGCV
+DILINNASVKVKGPAHKISLELDKKIMDANYFGPIILTKALLPDMISRRTGQIVLVNNIQ
+GKLGIPFRTAYAASKHAALGFFDCLRAEVEEYDVVVSTVSPTFIRSYHVDPGQGNWEASI
+WKFFFRKLTYGTHPVDVAEEVMRTVRRKKQEVFLANPIPKAAVYIRTLFPELFFAVVACG
+VKEKLSVPEEG
+>sp|Q3Y5Z3|ADIPO_BOVIN Adiponectin OS=Bos taurus OX=9913 GN=ADIPOQ PE=1 SV=1
+MLLQGALLLLLALPSHGEDNMEDPPLPKGACAGWMAGIPGHPGHNGTPGRDGRDGTPGEK
+GEKGDPGLVGPKGDTGETGITGIEGPRGFPGTPGRKGEPGESAYVYRSAFSVGLERQVTV
+PNVPIRFTKIFYNQQNHYDGTTGKFLCNIPGLYYFSYHITVYLKDVKVSLYKNDKALLFT
+HDQFQDKNVDQASGSVLLYLEKGDQVWLQVYEGENHNGVYADNVNDSTFTGFLLYHNIVE
+>sp|P56413|AGRP_BOVIN Agouti-related protein OS=Bos taurus OX=9913 GN=AGRP PE=2 SV=1
+MLTAVLLSCALLLAMPPLQGAQMGPAPLEGIGRPEEALFLELQGLSLQPSLKRITEEQAE
+ESLLQEAEAKALAEVLDPEGRKPRSPRRCVRLHESCLGHQVPCCDPCATCYCRFFNAFCY
+CRKLGTTTNPCSRT
+>sp|Q148G7|DCTN6_BOVIN Dynactin subunit 6 OS=Bos taurus OX=9913 GN=DCTN6 PE=2 SV=1
+MAEKTQKSVKIAPGAVVCVESEIRGDVTIGPRTVIHPKARIIAEAGPIVIGEGNLIEEQA
+LIINAHPDNITPDAEDPEPKPMIIGTNNVFEVGCYCQAMKIGDNNVIESKAYVGRNVILT
+SGCIIGACCNLNTFEVIPENTVIYGGDCLRRVQTERPQPQTLQLDFLMKILPNYHHLKKT
+MKGSSTPVKN
+>sp|P04836|CBPE_BOVIN Carboxypeptidase E OS=Bos taurus OX=9913 GN=CPE PE=1 SV=2
+MARRGGCALLVLCGSLAACAWLLGAEARGPGGPVAGARRRRRPQEDGISFEYHRYPELRE
+ALVSVWLQCAAVSRIYTVGRSFEGRELLVLELSDNPGVHEPGEPEFKYIGNMHGNEAVGR
+ELLIFLAQYLCNEYQKGNETIVQLIHNTRIHIMPSLNPDGFEKAASQLGELKDWFVGRSN
+AQGIDLNRNFPDLDRIVYINEKEGGPNNHLLKNLKKIVDQNTKLAPETKAVIHWIMDIPF
+VLSANLHGGDLVANYPYDETRSGSAHEYSSCPDDDIFQSLARAYSSFNPPMSDPDRPPCR
+KNDDDSSFVEGTTNGAAWYSVPGGMQDFNYLSSNCFEITVELSCEKFPPEETLKNYWEDN
+KNSLISYIQQIHRGVKGFVRDLQGNPIANATLSVEGIDHDVTSAKDGDYWRLLVPGNYKL
+TASAPGYLAIAKKVAVPYSPAVRVDFELESFSERKEEEKEELMEWWKMMSETLNF
+>sp|Q08DE1|CCG1_BOVIN Voltage-dependent calcium channel gamma-1 subunit OS=Bos taurus OX=9913 GN=CACNG1 PE=2 SV=1
+MSQTKTLKVRVALLCILVGIVLALVAVVTDHWAVLSPHVEHHNSTCEAAHFGLWRICTKR
+IFVGDKERSCGPITLPGEKNCSYFRHFNPGESSEIFEVTTQKEYSISAAAIAIFSLGFII
+VGTLCALLSFRKKRDYLLRPASMFYIFAGLCLSVSAEVMRQSVQRMVDSEHTAWIAHSLA
+WSFICACVAAALLLVGGLALLLLALPRMPRDPWESCMDAEPEH
+>sp|Q0VCP9|CC149_BOVIN Coiled-coil domain-containing protein 149 OS=Bos taurus OX=9913 GN=CCDC149 PE=2 SV=1
+MANQLRERHQSLKKKYRELIDGDPSLPPEKRKQANLAQLLRDSQDRNKHLGEEIKELQQR
+LGEVQGDNKLLRMTIAKQRLGDEEIGVRHFAAHEREDLVQQLERAKEQIESLEHDLQASV
+DELQDVKEERSSYQDKVERLNQELNHILSGHENRIIDVDALCMENRYLQERLKQLHEEVN
+LLKSNIAKYKNALERRKNSKGQNKSSSSALTGVLSAKQVQDLLSEDHGCSLPATPQSISD
+LKSLATALLETIHEKNMVIQHQRQTNKILGNRVAELEKKLRTLEVSGLWSLPGLSYNVSI
+GFGSMFFLKYLCLWLIAVH
+>sp|Q2KIW5|CENPU_BOVIN Centromere protein U (Fragment) OS=Bos taurus OX=9913 GN=CENPU PE=2 SV=1
+DRPRPARLSHARFSKNHSGRTHSMKDKAGRKHRPLDVFDFPDHSQLSSFSRLGENEKDEE
+SYETFDPPLHSTAIYADEEEFSKQCGSHLPSTPQEKEAKRSSDTSEIEASENESVKISAK
+KPRRKLKPISDESESPEESDVRRKVKPTENISTQHEAVSATALPGLSEKPAEAVTPQKTG
+PQSAESSAEKATLATEHQLETQKNKMLPGKRKKPRSYTTDTSDCAPVWCLKEKKASEIME
+LDVFLSAFENILLEYEQKIDSRVCKAAINKFHSNLKEELIKMVQEIQMLKTLKRKNAKII
+SNIEKKRQRLIEVQDELLQVEPELKQLQIKYEELQERKASLRKAAYFLSNLKQLHQDYSD
+VQEEEPSVKETYDSSSLPALLFKARPLLGAEHHLQNINYQLENLLDQK
+>sp|A6H7C9|CEP19_BOVIN Centrosomal protein of 19 kDa OS=Bos taurus OX=9913 GN=CEP19 PE=2 SV=1
+MMCTAKKCGIRFQPPAIILIYENEIKGKSRQRIMPVRNFSKYSDCSRAAEQLKNNPRHKG
+YLEQVSLKQLEKLFSFLRGNLWGQSLAETMEQIQRETTIDPEEDLNKLDDKELAKRKSIM
+DELFEKNQKKKDDPNFVYDIEVEFPQDEQLQSCGWDTESAEEF
+>sp|Q3T061|COX7R_BOVIN Cytochrome c oxidase subunit 7A-related protein, mitochondrial OS=Bos taurus OX=9913 GN=COX7A2L PE=3 SV=1
+MYYKFSGFTQKLAGAWASDAYSPQGLRPVVSTEAPPIIFATPTKLSSGPTAYDYAGKNTV
+PELQKFFQKSDGVPIHLKRGLPDQMLYRTTMALTVGGTIYCLIALYMASQPRNK
+>sp|P14622|COX8A_BOVIN Cytochrome c oxidase subunit 8A, mitochondrial OS=Bos taurus OX=9913 GN=COX8A PE=1 SV=1
+MSVLTPLLLRGLTGPARRLPVPRAQIHSKPPREQLGTMDIAIGLTSCFLCFLLPSGWVLS
+HMENYKKRE
+>sp|Q2KII1|ATF3_BOVIN Cyclic AMP-dependent transcription factor ATF-3 OS=Bos taurus OX=9913 GN=ATF3 PE=2 SV=1
+MMLQHPGQVSASEVSASAFVPCLSPPGSLVFEDFANLTPFVKEELRLAIQSKHLCHRMSS
+ALDSVTVSGRPLEMSVTKAEVAPEEDERKKRRRERNKIAAAKCRNKKKEKTECLQKESEK
+LESVNAELKAQIEELKNEKQHLIYMLNLHRPTCIVRAQNGRTPEDERNLFIQQIKEGTLQ
+S
+>sp|P03929|ATP8_BOVIN ATP synthase protein 8 OS=Bos taurus OX=9913 GN=MT-ATP8 PE=1 SV=1
+MPQLDTSTWLTMILSMFLTLFIIFQLKVSKHNFYHNPELTPTKMLKQNTPWETKWTKIYL
+PLLLPL
+>sp|Q1LZE8|CG025_BOVIN UPF0415 protein C7orf25 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MSAHSMLCERIAIAKELIKRAESLSRSRKGGIEGGAKLCSKLKAELKFLQKVEAGKVAIK
+ESHLQSTNLTHLRAIVESAENLEEVVSVLHVFGYTDTFGEKQTLVVDVVANGGHTWVKAI
+GRKAEALHNIWLGRGQYGDKSIIEQAEDFLQASHQQPVQYSNPHIIFAFYNSVSSPMAEK
+LKEMGISVRGDIVAVNSLLDHPEELQPSESESDDEGPELLQVTRVDRENILASVAFPTEI
+KVDVCKRVNLDITTLITYVSALSYGGCHFIFKEKVLTEQAEQERKEQVLPQLEAFMKDKE
+LFACESAVKDFQSILDTLGGPGERERAAMLIKRINVVPDQPSERALKLVASSKINSRSLT
+IFGTGDNLKAITMTANSGFVRAANNQGVKFSVFIHQPRALTESKEALATPLPKDCTTDSE
+H
+>sp|Q3B7N0|CAD13_BOVIN Cadherin-13 OS=Bos taurus OX=9913 GN=CDH13 PE=2 SV=1
+MQPATPLVLCVLLSQVLLLTSAEDLDCTPGFQQKVFHIDQPAEFIEDQAILNLTFSDCKG
+NDKLHYEVSSPYFRVNTDGSLVALRNITAVGKTLFVHARTPHAEDMAELVIVGGKDIQGS
+LQDIFKFARTSPVPRQKRSIVVSPILIPENQRQPFPRDVGKVVDSDRPEGSKFRLTGKGV
+DQEPKGIFRINENTGSVSVTRNLDRETIATYQLFVETVDVNGRTLEGPVPLEVIVIDQND
+NRPIFREGPYIGHVMEGSPTGTTVMRMTAFDADDPATDNALLRYNIRQQTPDKPSPNMFY
+IDPEKGDIVTVVSPALLDRETLENPKYELIIEAQDMAGLDVGLTGTATATIMIDDKNDHS
+PKFTKKEFQATVEEGAMGVIVNLTVEDKDDPTTGAWRAAYTIINGNPGQSFEIHTNPQTN
+EGMLSVVKPLDYEISAFHTLLIKVENEDPLVPDVSYGSSSTATVHITVLDANESPVFYPD
+PMMVTKQENISVGSVLLTVNATDPDSLQRQTIRYSVYKDPAGWLNINPINGTVDTTALLD
+RESPFVHNSVYTALFLATDSGNPPATGTGTLLITLEDVNDNAPFIYPTVAEVCDDAKNLS
+VVILGATDKDLHPNTDPFKFEIHKQTVPDKVWKISKINNTHALVSLLQNLNKANYHLPIM
+VTDSGKPPMTNITDLRVQVCSCKNSKVDCNAAGAPHFSAATALLLSLFSLARL
+>sp|P41986|ACM4_BOVIN Muscarinic acetylcholine receptor M4 (Fragment) OS=Bos taurus OX=9913 GN=CHRM4 PE=2 SV=1
+MKQSVKKPPPPGDTTVRGELPNGKLEEAPPPVLPPPPRPMADKDTSNESSSGSATQNTKE
+RPPTELSTTEATTPATPAPPLQPRTLNPASKWSKIQIVTKQTGNECVTAIEIVPATPAGM
+RPAANV
+>sp|Q32KY4|CDK4_BOVIN Cyclin-dependent kinase 4 OS=Bos taurus OX=9913 GN=CDK4 PE=2 SV=1
+MATSRYEPVAEIGVGAYGTVYKARDPHSGHFVALKSVRVPNGGGAGGGLPISTVREVALL
+RRLEAFEHPNVVRLMDVCATARTDRETKVTLVFEHVDQDLRTYLDKAPPPGLPVETIKDL
+MRQFLRGLDFLHANCIVHRDLKPENILVTSGGTVKLADFGLARIYSYQMALTPVVVTLWY
+RAPEVLLQSTYATPVDMWSVGCIFAEMFRRKPLFCGNSEADQLGKIFDLIGLPPEDDWPR
+DVSLPRGAFSPRGPRPVQSVVPELEESGAQLLLEMLTFNPHKRISAFRALQHSYLHKAEG
+DAE
+>sp|Q8SPU6|ACHB4_BOVIN Neuronal acetylcholine receptor subunit beta-4 OS=Bos taurus OX=9913 GN=CHRNB4 PE=2 SV=1
+MRSALPLVLFSLVALCGRGDCRVANAEEKLMDDLLNKTRYNNLIRPATSSSQLISIQLQL
+SLAQLISVNEREQIMTTNIWLKQEWTDYRLAWNSSRYEGVNILRIPANRVWLPDIVLYNN
+ADGSYEVSLYTNVVVRSNGSVMWLPPAICKSACKIEVKHFPFDQQNCTLKFRSWTYDHTE
+IDMVLKMPTASMDDFTPSGEWDIVALPGRRTVNPQDPSYVDVTYDFIIKRKPLFYTINLI
+IPCVLITSLAILVFYLPSDCGEKMTLCISVLLALTVFLLLISKIVPPTSLNVPLIGKYLM
+FTMVLVTFSIVTSVCVLNVHHRSPSTHTMAPWVKRCFLHKLPTFLFIKRPRQQPSRAPQS
+SLARLTKSEATTTTTLAMGPTSSSNLYGNSMYFVNPGLAAPKSPVASDSAGIPRDFRLRS
+SGRFRQDVQEALEGVSFIAQHMKSDDLDQSVIEDWKYVAMVVDRLFLWVFVVVCVLGTVG
+LFLPPLFQTHTPSEEP
+>sp|Q32PH1|CDCA7_BOVIN Cell division cycle-associated protein 7 OS=Bos taurus OX=9913 GN=CDCA7 PE=2 SV=1
+MDARRARQKDCRAKKNFKKFRYVKLISMETPSSSDDSCDSFASDNFANTRLQANREGCRT
+RSQCTRSGPLRVAMKFPPRSTRGAANKRTVPPEPPENSVTDSNSDSEDESGMNFLEKRAL
+NIKQNKAMLAKLMSELESFPGSFPGRRSLPGPSSRPKTPRRRTFPGVACRRNPERRARPL
+TRSRSRVLGSLSALPTEEEEEEEEEEDKYMLVRKRKSMVGYMNEDDMPRSRRPGPMTLPH
+VVRPVDEITEEELENICNNSREKIYNRSLGSTCHQCRQKTIDTKTNCRNPECWGVRGQFC
+GPCLRNRYGEEVKDALLDPNWHCPPCRGICNCSFCRQRDGRCATGVLVYLAKYHGFGNVH
+AYLKSLKQEFEMQG
+>sp|P22779|CP2AX_BOVIN Cytochrome P450 2A (Fragment) OS=Bos taurus OX=9913 PE=1 SV=1
+MXYLPGPQQQAFKELQGL
+>sp|A0JNL1|CG057_BOVIN Uncharacterized protein C7orf57 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MRNTSKELHGAASRYAPCDWYYHLPVKQSEKAVDAPPASQIPGLSDPREAPSGHTLGLRR
+YWVKETDSEYVKLAKQGGRPDLLKHFAPGTTKGSPVAYSLPDWYIHHSKPPTADQRQVPA
+VSIPDYMVYEEFNPDQATGNFESRMGPFDFDMKTIWQREAEELEKEKKKVRLPAIKSKYP
+SKVGTPLGHREPAGSKLSFPPIPGQRPSSPTNFSKLISNGYKDEWLQQQADSDKRAPQTP
+QSSVSSPSPLDAELPADPEAPGDTTEAAESSPLSSAAPPPDSTPVELK
+>sp|Q24K11|AP3M1_BOVIN AP-3 complex subunit mu-1 OS=Bos taurus OX=9913 GN=AP3M1 PE=2 SV=1
+MIHSLFLINCSGDIFLEKHWKSVVSQSVCDYFFEAQEKAADVENVPPVISTPHHYLISIY
+RDKLFFVSVIQTEVPPLFVIEFLHRVADTFQDYFGECSEAAIKDNVVIVYELLEEMLDNG
+FPLATESNILKELIKPPTILRSGVNSITGSSNVGDTLPTGQLSNIPWRRAGVKYTNNEAY
+FDVVEEIDAIIDKSGSTVFAEIQGVIDACIKLSGMPDLSLSFMNPRLLDDVSFHPCIRFK
+RWESERVLSFIPPDGNFRLISYRVSSQNLVAIPVYVKHSISFKENSSCGRFDITIGPKQN
+MGKTIEGITVTVHMPKVVLNMNLTPTQGSYTFDPVTKVLTWDVGKITPQKLPSLKGLVNL
+QSGAPKPEENPSLNIQFKIQQLAISGLKVNRLDMYGEKYKPFKGVKYVTKAGKFQVRT
+>sp|Q58CN7|CDKA2_BOVIN Cyclin-dependent kinase 2-associated protein 2 OS=Bos taurus OX=9913 GN=CDK2AP2 PE=2 SV=1
+MSYKPIAPAPSSTPGSSTPGPGTPVPTAGSVPSPSGSVPGAAGPFRPLFNDFGPPSMGYV
+QAMKPPGAQGSQSTYTDLLSVIEEMGKEIRPTYAGSKSAMERLKRGIIHARALVRECLAE
+TERNART
+>sp|B9VR26|CML1_BOVIN Chemokine-like receptor 1 OS=Bos taurus OX=9913 GN=CMLKR1 PE=2 SV=1
+MEAEDYNASYEDYPDDVDPIVVLEELSPLEGRVVRILLVAVYSVICLLGILGNGLVIVMI
+TCKMKRTVNTVWFLNLAVADFLFNVFLPVHIAYAALDYHWVFGTAMCKISNFLLIHNMFT
+SVFLLTVISFDRCVSVLLPVWSQNHRSVRLAYTACLVIWVLAFFLSSPSLVFRDTARLHG
+KISCFNNFSLSAAVSSPWPAHPQVDPVGSGRHKVVTITRFLCGFLVPGLITTACYLTIVY
+KLQRSRLAKTKKPFKIILTIIVTFFLCWCPYHAFYLLELRRGSVPPSVFSLGVPLATAIA
+IANSCMNPILYVFMGQDFKKFRVALFSRLVNALSEDTGHSSYPSHRSFTKMSSMNERETG
+ML
+>sp|Q3SZC6|AN32B_BOVIN Acidic leucine-rich nuclear phosphoprotein 32 family member B OS=Bos taurus OX=9913 GN=ANP32B PE=2 SV=1
+MDMKRRIHLELRNRTPAAVRELVLDNCKSNDGKIEGLTAEFVNLEFLSLINVGLISVSNL
+PKLPKLKKLELSDNRICGGLDMLAEKLPNLTHLNLSGNKLKDISTLEPLKKLECLKSLDL
+FNCEVTNLNDYRESVFKLLPQLTYLDGYDREDREAPDSDAEVDGVDEEEDDEEGEDEDKE
+EDEDGEEEEFDDEEDDDEDEDVEGEEDEDEVSGEEEEFGHDGEVDEDDEDEDEDEDEDEE
+EEESGKGEKRKRETDDEGEDD
+>sp|Q0VD32|CRBL2_BOVIN cAMP-responsive element-binding protein-like 2 OS=Bos taurus OX=9913 GN=CREBL2 PE=1 SV=1
+MDDSKVVGGKVKKPGKRGRKPAKIDLKAKLERSRQSARECRARKKLRYQYLEELVSSRER
+AICALREELEMYKQWCMAMDQGKIPSEIKALLTGEEQSKSQQNSSRHMKAGKTDANSNSW
+>sp|Q0VD30|CCZ1_BOVIN Vacuolar fusion protein CCZ1 homolog OS=Bos taurus OX=9913 GN=CCZ1 PE=2 SV=1
+MAAAASGAGAGAAQEKQFPPALLSFFIYNPRFGPREGEEENKILFYYPNEVEKNEKIRNV
+GLCEAIVQFTRTFSPSKPAKSLHTQKNRQFFNEPEENFWMVMVVRNPIIEKQSKDGKPVV
+EYQEEELLDKVYSSVLQQCYSMYKLFNGTFLRAMEDGGVKLLKERLEKFFHRYLQTLHLQ
+SCDLLDIFGGISFFPLDKMTYLKIQSFINRMEESLSIVKYTAFLYNDQLIWSGLEQDDMR
+ILYKYLTTSLFPRHIEPELAGRDSPIRAEMPGNLQHYGRFLTGPLNLNDPEAKCRFPKIF
+VNTDDTYEALHLIVYKAMSAAVCFMIDASIQPTLDFCRRLDSIVGPQLTVLASDICEQFN
+INKRMSGSEKEPQFKFIYFNHMNLAEKSTVHMRKTPSVSLTSVHPDLMKILGDINSDFTR
+VDEDEEIIVKAMSDYWVVGKKSDQRELYVILNQKNANLIEVNEEVKKLCATQFNNIFFLD
+>sp|P63099|CANB1_BOVIN Calcineurin subunit B type 1 OS=Bos taurus OX=9913 GN=PPP3R1 PE=1 SV=2
+MGNEASYPLEMCSHFDADEIKRLGKRFKKLDLDNSGSLSVEEFMSLPELQQNPLVQRVID
+IFDTDGNGEVDFKEFIEGVSQFSVKGDKEQKLRFAFRIYDMDKDGYISNGELFQVLKMMV
+GNNLKDTQLQQIVDKTIINADKDGDGRISFEEFCAVVGGLDIHKKMVVDV
+>sp|P54228|CTHL6_BOVIN Cathelicidin-6 OS=Bos taurus OX=9913 GN=CATHL6 PE=1 SV=1
+METQRASLSLGRWSLWLLLLGLALPSASAQALSYREAVLRAVDQFNERSSEANLYRLLEL
+DPPPKEDDENPNIPKPVSFRVKETVCPRTSQQPAEQCDFKENGLVKQCVGTVTLDAVKGK
+INVTCEELQSVGRFKRFRKKFKKLFKKLSPVIPLLHLG
+>sp|Q0VCS6|CLC1A_BOVIN C-type lectin domain family 1 member A OS=Bos taurus OX=9913 GN=CLEC1A PE=2 SV=1
+MLAKYSSTRDMLDADGDTTMSLHSQASATSQRPELGHTEHQRPSSAWRPVALILLTLCLV
+LLIGLAALGLVFFQFYQLSNTQQDSILQKEEKLGNLSRQLQSLRTQNRKLAETLHHVAEK
+LCRELYNKTGEHRCSPCPEKWKWHGDKCYQFYKESKSWQGCEYFCIAENSTMLKINTQEV
+LEFAMPQSYSEFFYSYWTGLSRNSSGKAWLWMDGTPYSSELFDVMIDLTSLRSRDCVTIL
+NGKAFSKDCRELRRCACEKAAAMVKVQSLHEPLSRRWR
+>sp|P00257|ADX_BOVIN Adrenodoxin, mitochondrial OS=Bos taurus OX=9913 GN=FDX1 PE=1 SV=2
+MAARLLRVASAALGDTAGRWRLLARPRAGAGGLRGSRGPGLGGGAVATRTLSVSGRAQSS
+SEDKITVHFINRDGETLTTKGKIGDSLLDVVVQNNLDIDGFGACEGTLACSTCHLIFEQH
+IFEKLEAITDEENDMLDLAYGLTDRSRLGCQICLTKAMDNMTVRVPDAVSDARESIDMGM
+NSSKIE
+>sp|Q29RH0|CST9_BOVIN Cystatin-9 OS=Bos taurus OX=9913 GN=CST9 PE=2 SV=1
+MGRQRRCRWAQPWTLLLLLLGPRLLVTHGWRRKGDKNSENTNILELYLPAVVEYALHVYN
+MRSQDMNAYKVVRVLRSWLELSEQKEEKGLVFSMELQFARTRCGKFDEDIDNCPFQATPD
+VNNTITCFFTVDTEPWKTEFQLLNDTCLEGSAE
+>sp|P32876|AT5G1_BOVIN ATP synthase F(0) complex subunit C1, mitochondrial OS=Bos taurus OX=9913 GN=ATP5MC1 PE=1 SV=1
+MQTTGALLISPALIRSCTRGLIRPVSASFLSRPEIQSVQPSYSSGPLQVARREFQTSVVS
+RDIDTAAKFIGAGAATVGVAGSGAGIGTVFGSLIIGYARNPSLKQQLFSYAILGFALSEA
+MGLFCLMVAFLILFAM
+>sp|Q1LZA3|ASNS_BOVIN Asparagine synthetase [glutamine-hydrolyzing] OS=Bos taurus OX=9913 GN=ASNS PE=2 SV=3
+MCGIWALFGSDDCLSVQCLSAMKIAHRGPDAFRFENVNGYTNCCFGFHRLAVVDQLFGMQ
+PIRVKKYPYLWLCYNGEIYNHKKLQHHFEFEYQTKVDGEIILHLYDKGGIEQTVCMLDGV
+FAFILLDTANKKVFLGRDTYGVRPLFKAMTEDGFLAVCSEAKGLVNLKHSMTPFLKVEPF
+LPGHYEVLDLKPNGKVASVEMVKHHHCRDEPLHALYDGVEKLFPGFEIETVKSNLRILFD
+NAVKKRLMTDRRIGCLLSGGLDSSLVAATLLKQLKEAQVQYPLQTFAIGMEDSPDLLAAR
+KVANHIGSEHHEVLFNSEEGIQVLDEVIFSLETYDITTVRASVGMYLISKYIRKNTDSVV
+IFSGEGSDELTQGYIYFHKAPSPEKAEEESERLLRELYLFDVLRADRTTAAHGLELRVPF
+LDHRFSSYYLSLPPDMRVPKNGIEKHLLRETFEDSNLIPKEILWRPKEAFSDGITSVKNS
+WFRILQDYIEHQVDDAAMASAAQKFPINTPKTKEGYYYRQIFENHYPGRADWLPHYWMPR
+WTNATDPSARTLTHYKAAAKA
+>sp|Q08DM5|CS012_BOVIN Protein C19orf12 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MPVAVEDIMRLLCSISEERKMKAAVKHSGRGALVTGAVAFVGGLVGGPPGLAVGGAVGGL
+LGAWMTSGQFKPVPQIIMELPPAEQQKLFNEATAIIRHLEWTDAVQLTMLVMGSEALQKQ
+LLAMLANYVTKELRAEVQYDD
+>sp|C7EXK4|AT8A2_BOVIN Phospholipid-transporting ATPase IB OS=Bos taurus OX=9913 GN=ATP8A2 PE=1 SV=4
+MSRATSVGDQLDVPARTIYLNQPHLNKFCDNQISTAKYSVVTFLPRFLYEQIRRAANAFF
+LFIALLQQIPDVSPTGRYTTLVPLIIILTIAGIKEIVEDFKRHKADNAVNKKKTIVLRNG
+MWQTIVWKEVAVGDIVKVVNGQYLPADVVLLSSSEPQAMCYVETANLDGETNLKIRQGLS
+HTADMQTREVLMKLSGTIECEGPNRHLYDFTGNLNLDGKSPVALGPDQILLRGTQLRNTQ
+WGFGIVVYTGHDTKLMQNSTKAPLKRSNVEKVTNVQILVLFGILLVMALVSSVGALYWNG
+SQGGKNWYIKKMDATSDNFGYNLLTFIILYNNLIPISLLVTLEVVKYTQALFINWDTDMY
+YLGNDTPAMARTSNLNEELGQVKYLFSDKTGTLTCNIMNFKKCSIAGVTYGHFPELTREP
+SSDDFSRIPPPPSDSCDFDDPRLLKNIEDHHPTAPCIQEFLTLLAVCHTVVPERDGDSIV
+YQASSPDEAALVKGARKLGFVFTARTPYSVIIEAMGQEQTFGILNVLEFSSDRKRMSVIV
+RTPSGQLRLYCKGADNVIFERLSKDSKYMEETLCHLEYFATEGLRTLCVAYADLSERDYE
+EWLKVYQEASTILKDRAQRLEECYEIIEKNLLLLGATAIEDRLQAGVPETIATLLKAEIK
+IWVLTGDKQETAINIGYSCRLVSQNMALILLKEDSLDATRAAITQHCADLGSLLGKENDA
+ALIIDGHTLKYALSFEVRRSFLDLALSCKAVICCRVSPLQKSEIVDVVKKRVKAITLAIG
+DGANDVGMIQTAHVGVGISGNEGMQATNNSDYAIAQFSYLEKLLLVHGAWSYNRVTKCIL
+YCFYKNVVLYIIELWFAFVNGFSGQILFERWCIGLYNVIFTALPPFTLGIFERSCSQESM
+LRFPQLYKITQNAEGFNTKVFWGHCINALVHSLILFWFPMKALEHDTVLANGHATDYLFV
+GNIVYTYVVVTVCLKAGLETTAWTKFSHLAVWGSMLIWLVFFGIYSTIWPTIPIAPDMKG
+QATMVLSSAHFWLGLFLVPTACLIEDVAWRAAKHTCKKTLLEEVQELEMKSRVMGRAMLR
+DSNGKRMNERDRLLKRLSRKTPPTLFRGSSLQQSMPHGYAFSQEEHGAVTQEEIVRAYDT
+TKQKSRKK
+>sp|Q1JPL0|CB050_BOVIN Uncharacterized protein C2orf50 homolog OS=Bos taurus OX=9913 PE=2 SV=2
+MGSRPTPGLQRTTSAEYRLPSTRPPASVPSTAPGGPVVGRGPTGGPQAPKAKWSALGADG
+VQRDQLWRELLEAERRSQQRWAQNWSFLKDYDPMGNKKEPVKLPDHVPRFSDTVPNSTNR
+AVGSRVDTPLGKTLIGLDFFFVEGARKKKLEEELQPI
+>sp|Q8SQB1|CCL20_BOVIN C-C motif chemokine 20 OS=Bos taurus OX=9913 GN=CCL20 PE=3 SV=1
+MMCSSKNLLLAALMSVLLLHFCSKSEASNFDCCLRYTERILHPSILVGFTQQLANEACDI
+NAVVFYTRKKLAVCADPKKKWVKQVVHMLSQRVKRM
+>sp|Q148M8|ABT1_BOVIN Activator of basal transcription 1 OS=Bos taurus OX=9913 GN=ABT1 PE=2 SV=1
+MEVEGLELDTAELGPLEGSHQKLEAEEEQEESEDAAGGSKKRVVPGIVYLGHIPPRFRPL
+HVRNLLSAYGEVGRVFFQAEDGFVKRKKKAAAASAAGGKKRSKYSKDYTEGWVEFRDKRV
+AKRVAVSLHNTPMGSRRRSPFRYDLWNLKYLHRFTWSHLSEHLAFERQVRRQRLRAEVAQ
+AKRETDFYLRSVERGQRFLAADGDSTRPNGSWAFAQRPTEQEMRARKAARPGGRERARLA
+NAQDQARSNRGLLAKIFGAPTPSESRGNSSPARNS
+>sp|P26201|CD36_BOVIN Platelet glycoprotein 4 OS=Bos taurus OX=9913 GN=CD36 PE=1 SV=5
+MGCNRNCGLIAGAVIGAVLAVFGGILMPVGDMLIEKTIKKEVVLEEGTIAFKNWVKTGTD
+VYRQFWIFDVQNPDEVTVNSSKIKVKQRGPYTYRVRYLAKENITQDPETHTVSFLQPNGA
+IFEPSLSVGTEDDTFTILNLAVAAAPQLYPNTFMQGILNSFIKKSKSSMFQNRTLKELLW
+GYTDPFLNLVPYPITTTIGVFYPYNNTADGIYKVFNGKDDISKVAIIDTYKGRKNLSYWS
+SYCDLINGTDAASFPPFVEKTRVLQFFSSDICRSIYAVFGAEINLKGIPVYRFILPSFAF
+ASPFQNPDNHCFCTEKIISKNCTLYGVLDIGKCKEGKPVYISLPHFLHGSPELAEPIESL
+SPNEEEHSTYLDVEPITGFTLRFAKRLQVNMLVKPAKKIEALKNLKHNYIVPILWLNETG
+TIGDEKAEMFRNQVTGKINLLGLVEIVLLSVGVVMFIAFMISYCACRSKRVN
+>sp|Q1JQB2|BUB3_BOVIN Mitotic checkpoint protein BUB3 OS=Bos taurus OX=9913 GN=BUB3 PE=2 SV=1
+MTGSNEFKLNQPPEDGISSVKFSPNTSQFLLVSSWDTSVRLYDVPANSMRLKYQHTGAVL
+DCAFYDPTHAWSGGLDHQLKMHDLNTDQENLVGTHDAPIRCVEYCPEVNVMVTGSWDQTV
+KLWDPRTPCNAGTFSQPEKVYTLSVSGDRLIVGTAGRRVLVWDLRNMGYVQQRRESSLKY
+QTRCIRAFPNKQGYVLSSIEGRVAVEYLDPSPEVQKKKYAFKCHRLKENNIEQIYPVNAI
+SFHNIHNTFATGGSDGFVNIWDPFNKKRLCQFHRYPTSIASLAFSNDGTTLAIASSYMYE
+MDDTEHPEDGIFIRQVTDAETKPKST
+>sp|P79099|AQP2_BOVIN Aquaporin-2 OS=Bos taurus OX=9913 GN=AQP2 PE=2 SV=2
+MWELRSIAFSRAVLAEFLATLLFVFFGLGSALNWPQALPSVLQIAMAFGLAIGTLVQALG
+HVSGAHINPAVTVACLVGCHVSFLRAVFYVAAQLLGAVAGAALLHEITPPAIRGDLAVNA
+LNNNSTAGQAVTVELFLTLQLVLCIFASTDERRGDNVGTPALSIGFSVALGHLLGIHYTG
+CSMNPARSLAPAIVTGKFDDHWVFWIGPLVGAIVASLLYNYVLFPPAKSLSERLAVLKGL
+EPDTDWEEREVRRRQSVELHSPQSLPRGSKA
+>sp|Q3ZC42|ADHX_BOVIN Alcohol dehydrogenase class-3 OS=Bos taurus OX=9913 GN=ADH5 PE=2 SV=1
+MANQVIKCKAAVAWEAGKPLSIEEVEVAPPKAHEVRIKIIATAVCHTDAYTLSGADPEGN
+YPVILGHEGAGIVESVGEGVTKLKAGDTVIPLYIPQCGECKFCLNPKTNLCQKIRVTQGK
+GLMPDGTSRFTCKGKTILHYMGTSTFSEYTVVADISVAKIDPLAPLDKVCLLGCGISTGY
+GAALNAAKVEPGSTCAVFGLGGVGLAVIMGCKMAGAARIIGVDINKDKFARAKEFGASEC
+INPQDFSKPIQEVLIEMTDGGVDYSFECIGNVKVMRAALEACHKGWGISVVVGVAASGEE
+IATRPFQLVTGRTWKGTAFGGWKSVESVPKLVSEYMSKKIKVDEFVTHSLPFDQINEAFD
+LMHAGKSIRTVVKL
+>sp|Q1RMS0|CCSE1_BOVIN Serine-rich coiled-coil domain-containing protein 1 OS=Bos taurus OX=9913 GN=CCSER1 PE=2 SV=1
+MGDSGSRRSTLVSRLPIFRRSISRKHDSLPSSPSSSNTVGVHSSSPSSTNSSSGSTGKRR
+SLFRTPSISFHHKKGSEPKQEPTNQNLSISNGAQPGQSSMQKLSLEEHTKARGRHSVGFS
+SSRNKKITRSLTEDFEREKEHSTNKNVFINCLSSGKSEGDDSGFTEEQTRRSVKQSTKKL
+LTKSFSSHYKLSKPVPQSQSISLVQQSEFSLEITQYQEREPVLVRGSPSCSVDVTERAGS
+SLQSPLLSADLTTAQTPSEFLALTEDSVSETDAFPKSGSMASHCDNLGHNDSTSQISPNP
+AAVTKTTRDLRGTVPCAIVSPGKYRLEGRCSTESNSLPETSAAYQKEVLLQITKLPVMNG
+SDSETHPSTDTREDHIVIQNGETMLATSSPRKFGFYEHHKAIAERVKGIHPISDSRIIPS
+SGDHHVLNKTSYGYDANPAKVLASSLSPYREGRFIERRLRSSSEGTAGSSRMILKPKDGN
+VEEVNSLRKQRASSSSSKMNSMDVLNNLGSCELDEDDLMLDLEFLEEQNLHPSVCREDSY
+HSVVSCAAVVLTPMEPTVEMKKREELKFREPSKQNLSLKLAKDIDQEARCSHIRGVPSSP
+SSDWPLPSVEENGGIDSLPFRLMLQDCTAVKTLLLKMKRVLQESADMSPASSTTSLPVSP
+LAEEPLPFKDIMKDECSMLKLQLKEKDELISQLQEELEKVQHLQKAFASRVDKSTQTELL
+GYDALWNPTCTEGLFKPVHNIST
+>sp|P05059|CMGA_BOVIN Chromogranin-A OS=Bos taurus OX=9913 GN=CHGA PE=1 SV=1
+MRSAAVLALLLCAGQVIALPVNSPMNKGDTEVMKCIVEVISDTLSKPSPMPVSKECFETL
+RGDERILSILRHQNLLKELQDLALQGAKERTHQQKKHSSYEDELSEVLEKPNDQAEPKEV
+TEEVSSKDAAEKRDDFKEVEKSDEDSDGDRPQASPGLGPGPKVEEDNQAPGEEEEAPSNA
+HPLASLPSPKYPGPQAKEDSEGPSQGPASREKGLSAEQGRQTEREEEEEKWEEAEAREKA
+VPEEESPPTAAFKPPPSLGNKETQRAAPGWPEDGAGKMGAEEAKPPEGKGEWAHSRQEEE
+EMARAPQVLFRGGKSGEPEQEEQLSKEWEDAKRWSKMDQLAKELTAEKRLEGEEEEEEDP
+DRSMRLSFRARGYGFRGPGLQLRRGWRPNSREDSVEAGLPLQVRGYPEEKKEEEGSANRR
+PEDQELESLSAIEAELEKVAHQLEELRRG
+>sp|Q8SPU5|BPIA1_BOVIN BPI fold-containing family A member 1 OS=Bos taurus OX=9913 GN=BPIFA1 PE=2 SV=1
+MFHIGSLVVLCGLLAPTTALLEALPTPLGQTLPLAVTPALAPSPPDLAGSLTGALSNGLL
+SEGLLGILENLPLLDILKTRGNAPSGLLGSLLGKVTSLTPLLNNIIELKITNPQLLELGL
+VQSPDGHRLYVTIPLGMILNVKTSLVGSLLKLAVKLNITVELLAVTDEQKHVHLVVGNCT
+HSPGSLQIFLLDGLGSLPIQSFVDNLTGILNDVLPGLVQGKVCPLVNAVLSRLDVTLVHS
+IVNALIHGLQFVIKV
+>sp|P35604|COPZ1_BOVIN Coatomer subunit zeta-1 OS=Bos taurus OX=9913 GN=COPZ1 PE=1 SV=2
+MEALILEPSLYTVKAILILDNDGDRLFAKYYDDTYPSVKEQKAFEKNIFNKTHRTDSEIA
+LLEGLTVVYKSSIDLYFYVIGSSYENELMLMTVLNCLFDSLSQMLRKNVEKRALLENMEG
+LFLAVDEIVDGGVILESDPQQVVHRVALRGEDVPLTEQTVSQVLQSAKEQIKWSLLR
+>sp|Q9TUI9|APEL_BOVIN Apelin OS=Bos taurus OX=9913 GN=APLN PE=1 SV=1
+MNLRRCVQALLLLWLCLSAVCGGPLLQTSDGKEMEEGTIRYLVQPRGPRSGPGPWQGGRR
+KFRRQRPRLSHKGPMPF
+>sp|Q1JQA3|AP3S2_BOVIN AP-3 complex subunit sigma-2 OS=Bos taurus OX=9913 GN=AP3S2 PE=2 SV=1
+MIQAILVFNNHGKPRLVRFYQRFPEEIQQQIVRETFHLVLKRDDNICNFLEGGSLIGGSD
+YKLIYRHYATLYFVFCVDSSESELGILDLIQVFVETLDKCFENVCELDLIFHMDKVHYIL
+QEVVMGGMVLETNMNEIVAQIEAQNRLEKSEGGLSAAPARAVSAVKNINLPEMPRNINIG
+DLNIKVPNLSQFV
+>sp|Q2KIL4|COQ6_BOVIN Ubiquinone biosynthesis monooxygenase COQ6, mitochondrial OS=Bos taurus OX=9913 GN=COQ6 PE=2 SV=2
+MAAQLARVRWGTVFAAAQKGPRVSCRRWAGASADNVYDVVVSGGGLVGAAMACALGHDIH
+FCDKKILLLEAGPKKVLEKLPETYSNRVSSISPGSATLLSSFGAWDHICNMRCRAFRRMQ
+VWDACSEALIMFDKDNLDDMGYIVENDVIMHALTKQLEAVSDQVTVLYKSKAVSYTWPYP
+FSMADSSPWVHITLGDGRTLQTKLLIGADGHNSGVRQAAGIRNVSWNYDQSAVVATLHLS
+EATENNVAWQRFLPSGPIALLPLSDTLSSLVWSTSHEHAAELVSMEEEEFVDAINSAFWS
+DVNHTDFIDSAGSMLQSAVAFLKPTRVSARQLPPSVARVDAKSRVLFPLGLGHAAEYVRP
+RLALIGDAAHRVHPLAGQGVNMGFGDISSLLHHLSTAAFNGKDLGSMSHLTSYETDRQRH
+NTALLAATDLLKRLYSTRATLVVLLRTWGLQATNAVSPLKEQIMAFASK
+>sp|Q28030|AT1B2_BOVIN Sodium/potassium-transporting ATPase subunit beta-2 OS=Bos taurus OX=9913 GN=ATP1B2 PE=2 SV=1
+MVIQKEKKSCGQVVEEWKEFVWNPRTHQFMGRTGTSWAFILLFYLVFYGFLTAMFTLTMW
+VMLQTVSDHTPKYQDRLATPGLMIRPKTENLDVIVNVSDTESWDQHVQKLNKFLEPYNDS
+IQAQKNDVCRPGRYYEQPDNGVLNYPKRACQFNRTQLGDCSGIGDPTHYGYSTGQPCVFI
+KMNRVISFYAGANQSMNVTCVGKRDEDAENLGNFVMFPANGNIDLIYFPYYGKKFHVNYT
+QPLVAVKFLNVTPNVEVNVECRINAANIATDDERDKFAPRVAFKLRINKT
+>sp|P04272|ANXA2_BOVIN Annexin A2 OS=Bos taurus OX=9913 GN=ANXA2 PE=1 SV=2
+MSTVHEILCKLSLEGDHSTPPSAYGSVKAYTNFDAERDALNIETAIKTKGVDEVTIVNIL
+TNRSNEQRQDIAFAYQRRTKKELASALKSALSGHLETVILGLLKTPAQYDASELKASMKG
+LGTDEDSLIEIICSRTNQELQEINRVYKEMYKTDLEKDIVSDTSGDFRKLMVALAKGRRA
+EDGSVIDYELIDQDARDLYDAGVKRKGTDVPKWISIMTERSVCHLQKVFERYKSYSPYDM
+LESIKKEVKGDLENAFLNLVQCIQNKPLYFADRLYDSMKGKGTRDKVLIRIMVSRSEVDM
+LKIRSEFKKKYGKSLYYYIQQDTKGDYQKALLYLCGGDD
+>sp|P56425|CTHL7_BOVIN Cathelicidin-7 OS=Bos taurus OX=9913 GN=CATHL7 PE=2 SV=1
+METQRASFSLGRSSLWLLLLGLVVPSASAQDLSYREAVLRAVDQFNERSSEANLYRLLEL
+DPPPEQDVEHPGARKPVSFTVKETVCPRTTPQPPEQCDFKENGLVKQCVGTVTRYWIRGD
+FDITCNNIQSAGLFRRLRDSIRRGQQKILEKARRIGERIKDIFRG
+>sp|Q3SWX7|ANXA3_BOVIN Annexin A3 OS=Bos taurus OX=9913 GN=ANXA3 PE=2 SV=3
+MASIWVGKRGTIRDYAGFNPSVDAEAIRKAIRGIGTDEKTLISILTERTNAQRLLIAKEY
+QALCGKELKDDLKGDLSGHFKHLMVALVTPPAVFDAKQLKKSMKGMGTNEDALIEILTTR
+TSKQMQEIGHAYYTAYKKSLGDEISSETSGDFRKALLILANGRRDESLKVDEQLARKDAQ
+ILYNAGEKRWGTDEDAFTNILCLRSFPQLKLTFDEYRNISQKDIEDSIKGELSGHFEDLL
+LAIVRCARNTPAFLAERLYRALKGAGTDEFTLNRIMVSRSEIDLLDIRAEFKKLSGYSLY
+SAIKSDTSGDYEITLLKICGGDD
+>sp|A7MBG3|CK2N1_BOVIN Calcium/calmodulin-dependent protein kinase II inhibitor 1 OS=Bos taurus OX=9913 GN=CAMK2N1 PE=3 SV=1
+MSEVLPYGDEKLSPYGDGGDVGQVFSCRLQDTNNFFGAGQNKRPPKLGQIGRSKRVVIED
+DRIDDVLKNMTDKAPPGV
+>sp|Q03041|CNGA2_BOVIN Cyclic nucleotide-gated olfactory channel OS=Bos taurus OX=9913 GN=CNGA2 PE=1 SV=1
+MTEKANGVKSSPANNHNHHAPPAIKASGKDDHRASSRPQSAAADDTSSELQQLAEMDAPQ
+QRRGGFRRIARLVGVLREWAYRNFREEEPRPDSFLERFRGPELHTVTTQQGDGKGDKDGE
+GKGTKKKFELFVLDPAGDWYYRWLFLIALPVLYNWCLLVARACFSDLQKGYYIVWLVLDY
+VSDVVYIADLFIRLRTGFLEQGLLVKDTKKLRDNYIHTMQFKLDVASIIPTDLIYFAVGI
+HNPEVRFNRLLHFARMFEFFDRTETRTSYPNIFRISNLILYILIIIHWNACIYYAISKSI
+GFGVDTWVYPNITDPEYGYLSREYIYCLYWSTLTLTTIGETPPPVKDEEYLFVIFDFLIG
+VLIFATIVGNVGSMISNMNATRAEFQAKIDAVKHYMQFRKVSKEMEAKVIRWFDYLWTNK
+KSVDEREVLKNLPAKLRAEIAINVHLSTLKKVRIFQDCEAGLLVELVLKLRPQVFSPGDY
+ICRKGDIGKEMYIIKEGKLAVVADDGVTQYALLSAGSCFGEISILNIKGSKMGNRRTANI
+RSLGYSDLFCLSKDDLMEAVTEYPDAKRVLEERGREILMKEGLLDENEVAASMEVDVQEK
+LEQLETNMDTLYTRFARLLAEYTGAQQKLKQRITVLETKMKQNNEDDSLSDGMNSPEPPA
+EKP
+>sp|Q3SX20|BORC6_BOVIN BLOC-1-related complex subunit 6 OS=Bos taurus OX=9913 GN=BORCS6 PE=2 SV=2
+MESPRGRPGPETDLLALGEQQAAIFGDGPGQTPSERPSDLRLSEDEEAENVGGASCHPKA
+SLKTSSCSFVHPPEWEAPEDKPGRGGTFSGAGSRLGAPDPEFDLHGSSRRKDPEPPEAKP
+ESERVCRRGSPVGGGMDVEQKEDDNEAAEAGRGGRSFSSRLQDSRSLDGLSGACGGPASS
+GGAESGAGGGRRATISSPLELEGTVSRHGDLTHFVANNLQLKIRLSGAPQPPPPAPTRPC
+SAPTPTPAIPPIDPDVLRDLERLSRELGGRVDRLLRGLGGAVQELTALSVGCIQTYRDAV
+DSLGEAVDMSIKGMYTLLARCEELERALQPVQGLARQVRDIRRTLEVLEALCK
+>sp|Q2KHY1|CPNE6_BOVIN Copine-6 OS=Bos taurus OX=9913 GN=CPNE6 PE=2 SV=1
+MSDPEMAWVPEPPAMTLGASRVELRVSCHGLLDRDTLTKPHPCVLLKLHSDEQWVEVERT
+EVLRSCSSPVFSRVLALEYFFEEKQPLQFHVFDAEDGSTSPRNDTFLGSTECTLGQIVSQ
+TKVTKPLLLKNGKNAGKSTITIVAEEVSGTNDYVQLTFRAHKLDNKDLFSKSDPFMEIYK
+TNGDQSDQLVWRTEVVKNNLNPSWEPFRLSLHSLCSCDVHRPLKFLVYDYDSSGKHDFIG
+EFTSTFQEMQEGTANPGQEMQWDCINPKYRDKKKHYKSSGTVVLAQCTVEKVHTFLDYIM
+GGCQISFTVAIDFTASNGDPRSSQSLHCLSPRQPNHYLQALRAVGGICQDYDSDKRFPAF
+GFGARIPPNFEVSHDFAINFDPENPECEEISGVIASYRRCLPQIQLYGPTNVAPIINRVA
+GPAQREQSTGQATKYSVLLVLTDGVVSDMAETRTAIVRASRLPMSIIIVGVGNADFSDMR
+LLDGDDGTLRCPRGVPAARDIVQFVPFRDFKDASPSALAKCVLAEVPRQVVEYYASQGIS
+PGAPRPCTPAMTPSPSP
+>sp|Q2HJ96|GL8D2_BOVIN Glycosyltransferase 8 domain-containing protein 2 OS=Bos taurus OX=9913 GN=GLT8D2 PE=2 SV=1
+MALLRKINQVLLFLLIVTLCGILYKKVHKGTMLRNEADDDSETPEEMEDEIPVVICAAAG
+RMGAAMAAINSIYSNTDANILFYVVGLRNTLSRIRKWIEHSKLREINFKIVEFNPVVLKG
+KIRPDSSRPELLQPLNFVRFYLPLLIHQHEKVIYLDDDVIVQGDIQELYDTTLALGHAAA
+FSDDCDLPSSQDIHRLVGLQNTYMGYLDYRKKTIKDLGISPSTCSFNPGVIVANMTEWKH
+QRITKQLEKWMQKNVEENLYSSSLGGGVATSPMLIVFHGKYSTINPLWHIRHLGWNPDTR
+YSEHFLQEAKLLHWNGRHKPWDFPSVHNDLWESWFVPDPAGIFKLHHPNS
+>sp|Q5EA62|FBLN5_BOVIN Fibulin-5 OS=Bos taurus OX=9913 GN=FBLN5 PE=2 SV=1
+MPGFKRILTVTVLALCLPTPGNAQQQCTNGFDLDRSSGQCLDVDECRTIPEACRGDMMCV
+NQNGGYLCIPRTNPVYRGPYSNPYSNPYSASYPAAAPPLSAPNYPTISRPLICRFGYQMD
+ESNQCVDVDECATDSHQCNPTQICINTEGGYTCSCTDGYWLLEGQCLDIDECRYGYCQQL
+CANVPGSYSCTCNPGFTLNEDGRSCQDVNECATENPCVQTCVNTYGSFICRCDPGYELED
+DGVHCSDMDECSFSEFLCQHECVNQPGTYFCSCPAGYILLDDNRSCQDINECEHRNHTCI
+LQQTCYNLQGGFKCIDPIRCEEPYLRISDNRCMCPAENPGCRDQPFTILYRDMDVVSGRS
+VPADIFQMQATTRYPGAYYIFQIKSGNDGREFYMRQTGPISATLVMTRPIKGPRDIQLDL
+EMITVNTVINFRGSSVIRLRIYVSQYPF
+>sp|Q2HJF5|DPH6_BOVIN Diphthine--ammonia ligase OS=Bos taurus OX=9913 GN=DPH6 PE=2 SV=1
+MRVAALISGGKDSCYNMMQCVAAGHQIVALANLRPAENQVGSDELDSYMYQTVGHHAIDL
+YAEAMALPLYRRTIRGKSVDTGPVYTKCEGDEVEDLYELLKLVKEKEEVEGISVGAILSD
+YQRVRVENVCKRLNLQPLAYLWQRNQEDLLQEMISSNIQAIIIKVAALGLDPDKHLGKPL
+DQMEPYLLELSKKYGVHVCGEGGEYETFTLDCPLFKKKIIVDSSEVVTHSADAFAPVAYL
+RFLELHLEDKVSPVPDNCRTSNDIHNS
+>sp|Q08DN8|FLOT1_BOVIN Flotillin-1 OS=Bos taurus OX=9913 GN=FLOT1 PE=2 SV=1
+MFFTCGPNEAMVVSGFCRSPPVMVAGGRVFVLPCIQQIQRISLNTLTLNVKSEKVYTRHG
+VPISVTGIAQVKIQGQNKEMLAAACQMFLGKTEAEIAHIALETLEGHQRAIMAHMTVEEI
+YKDRQKFSEQVFKVASSDLVNMGISVVSYTLKDIHDDQDYLHSLGKARTAQVQKDARIGE
+AEAKRDAGIREAKAKQEKVSAQYLSEIEMAKAQRDYELKKAAYDIEVNTRRAQADLAYQL
+QVAKTKQQIEEQRVQVQVVERAQQVAVQEQEIARREKELEARVRKPAEAERYKLERLAEA
+EKSQLIMQAEAEAEAVRMRGEAEAFAIGARARAEAEQMAKKAEAFQLYQEAAQLDMLLEK
+LPQVAEEISGPLTSANKITLVSSGSGAMGAAKVTGEVLDILSRLPESVERLTGVSISQVN
+HKPLRTA
+>sp|A4IFG2|BTBD9_BOVIN BTB/POZ domain-containing protein 9 OS=Bos taurus OX=9913 GN=BTBD9 PE=2 SV=2
+MSNSHPLRPFTAVGEIDHVHILSEHIGALLIGEEYGDVTFVVEKKRFPAHRVILAARCQY
+FRALLYGGMRESQPEAEIPLEDTTAEAFTMLLKYIYTGRATLTDEKEEVLLDFLSLAHKY
+GFPELEDSTSEYLCTILNIQNVCMTFDVASLYSLPKLTCMCCMFMDRNAQEVLSSEGFLS
+LSKTALLNIVLRDSFAAPEKDIFLALLNWCKHNSNENHAEIMQAVRLPLMSLTELLNVVR
+PSGLLSPDAILDAIKVRSESRDMDLNYRGMLIPEENIATMKYGAQVVKGELKSALLDGDT
+QNYDLDHGFSRHPIDDDCRSGIEIKLGQPSIINHIRILLWDRDSRSYSYFIEVSMDELDW
+IRVIDHSQYLCRSWQKLYFPARVCRYIRIVGTHNTVNKIFHIVAFECMFTNKTFTLEKGL
+IVPMENVATIADCASVIEGVSRSRNALLNGDTKNYDWDSSYTCHQLGSGAIVVQLAQPYM
+IGSIRLLLWDCDDRSYSYYVEVSTNQQQWTMVADRTKVSCKSWQSVTFERRPASFIRIVG
+THNTANEVFHCVHFECPEQQSAQKDSSDEPGTGGASAAGQQLDPHALQAPSGSSLPSSPG
+SNSRSPNRQHQ
+>sp|F1MN90|CIDEC_BOVIN Cell death activator CIDE-3 OS=Bos taurus OX=9913 GN=CIDEC PE=2 SV=1
+MAMYTAVSTSVVTQQQLSEPSAEAPRARPCRVTTADRSVRKGIMVHSLEDLHVKVRDTLM
+LAYKPFFLVLEEDGTTVETEEYFQSLADDTVFMVLHKGQKWQPPSEQSTRYQLALSHKPA
+KIDVARVTFDLYKVNPQDFIGCLNVKATLYGTYSVSYDLHCSGAKRIMKEALRWALFSMR
+TTGHMLLGTSCYLQQLLDATEREQPPKSKAASLIPTSLKMLQ
+>sp|P31783|CD8A_BOVIN T-cell surface glycoprotein CD8 alpha chain OS=Bos taurus OX=9913 GN=CD8A PE=1 SV=1
+MASLLTALILPLALLLLDAAKVLGSLSFRMSPTQKETRLGEKVELQCELLQSGMATGCSW
+LRHIPGDDPRPTFLMYLSAQRVKLAEGLDPRHISGAKVSGTKFQLTLSSFLQEDQGYYFC
+SVVSNSILYFSNFVPVFLPAKPATTPAMRPSSAAPTSAPQTRSVSPRSEVCRTSAGSAVD
+TSRLDFACNIYIWAPLVGTCGVLLLSLVITGICYRRNRRRVCKCPRPVVRQGGKPNLSEK
+YV
+>sp|Q5E9P6|BSCL2_BOVIN Seipin OS=Bos taurus OX=9913 GN=BSCL2 PE=2 SV=1
+MVNDPPVPALLWAQEMGHVMAGRARKLLLQFGVFFCTILLLLWVSVFLYGSFYYSYMPTV
+SHLSPVHFHYRTDCESSTSLLCSFPVANVTLAKGGRDRVLMYGQPYRVTLELELPESPVN
+QDLGMFLVTISCYTRGGRIISTSSRSVMLHYRSSLLQMLDTLVFSSLLLFGFAEQKQLLE
+VELYPEYRENSYVPTTGAIIEIHSKRIQMYGAYLRIHAHFTGLRYLLYNFPMTCAFVGVA
+SNFTFLSVIVLFSYMQWVWGGIWPRQRLSLQVNIRNRKRSRKDIQRKVSAHQPGPQGQEE
+SPQLSPVTEDGESHADPSGTEGQLSEEEKTEQQPLSGEEELEPEASDGSGSWEDAALLTE
+ANLAASGSAPAPETVGSSEPSAGSVRQRPICSSS
+>sp|Q2KI56|CSN7B_BOVIN COP9 signalosome complex subunit 7b OS=Bos taurus OX=9913 GN=COPS7B PE=2 SV=1
+MAGEQKPSSNLLEQFILLAKGTSGSALTALISQVLEAPGVYVFGELLELANVQELAEGAN
+AAYLQLLNLFAYGTYPDYIANKESLPELSTAQQNKLKHLTIVSLASRMKCIPYSVLLKDL
+EMRNLRELEDLIIEAVYTDIIQGKLDQRNQLLEVDFCIGRDIRKKDINNIVKTLHEWCDG
+CEAVLLGIEQQVLRANQYKENHSRTQQQVEAEVTNIKKTLKATASSSAQEMEQQLAEREC
+PPHAEQRQPTKKMSKVKGLVSSRH
+>sp|Q865S1|AP3D1_BOVIN AP-3 complex subunit delta-1 OS=Bos taurus OX=9913 GN=AP3D1 PE=1 SV=2
+MALKMVKGSIDRMFDKNLQDLVRGIRNHKEDEAKYISQCIDEIKQELKQDNIAVKANAVC
+KLTYLQMLGYDISWAAFNIIEVMSASKFTFKRIGYLAASQCFHEGTDVIMLTTNQIRKDL
+SSPSQYDTGVALTGLSCFVTPDLARDLANDIMTLMSHTKPYIRKKAVLIMYKVFLKYPES
+LRPAFPRLKEKLEDPDPGVQSAAVNVICELARRNPKNYLSLAPLFFKLMTSSTNNWVLIK
+IIKLFGALTPLEPRLGKKLIEPLTNLIHSTSAMSLLYECVNTVIAVLISLSSGMPNHSAS
+IQLCVQKLRILIEDSDQNLKYLGLLAMSKILRTHPKSVQAHKDLVLQCLDDKDESIRLRA
+LDLLYGMVSKKNLMEIVKKLMTHVDKAEGTTYRDELLTKIIDICSQSNYQHITNFEWYIS
+ILVELTRLEGTRHGHLIAAQMLDVAIRVKAIRRFAVAQMSALLDSAHLVASSPQRSGICE
+VLYAAAWICGEFSEHLQEPQQTLEAMLRPKVTTLPGHIQAVYVQNVVKLYAAILQQKEQA
+ADTSAAQEVTQLLVERLPQFVQSADLEVQERASCILQLVKHVQKLQAKDVPVAEEVSALF
+AGELNPVAPKAQKKVPVPEGLDLDAWINEPLSDSESEDEKPKAMFQDEEQRHTKPRAPEA
+DEQELARRREARRQEQANNPFYIKSSPSPQKRYQDAPGVEHIPVVQIDLSVPLKVPGMPL
+SDQYVKLEEERRHRQRLEKDKRKKKKRERERRGTRRHSSLHTESDEDIAPAQRVDIVTEE
+MPENALPSDEDDKDPNDPYRALDIDLDKPLADSEKLPVQKHRNAETSKSPEKEDVPLVEK
+KSKKPKKKEKKHKEKEREKKKKEVEKGEDLDFWLSTTPPAATPALEELEVNTTVTVLKEG
+QEEPRGEEQDAEEDREQDLEKKPSKHKKKKHKKDKEERPKDKRKSKKKVPPADEEAAEPV
+ENGTLEEEPLPPMSSYILLAENSYIKMTYDVQGSLQKDSQVTVSVVLENQSDSFLKSMEL
+NVLDSLNARLARPEGSSVHDGVPVPFQLPPGISNEAQFVFTIQSIVMAQKLKGTLSFIAK
+NDEGSTHEKLDFKLHFTCTSYLVTTPCYSDAFAKLLESGDLSMSSIKVDGISMSFHNLLA
+KICFHHRFSVVERVDSCASMYSRSIQGHHVCLLVKKGEKSVSVDGKCSDPTLLSNLLEEM
+KETLATC
+>sp|P58108|AAKG1_BOVIN 5'-AMP-activated protein kinase subunit gamma-1 OS=Bos taurus OX=9913 GN=PRKAG1 PE=2 SV=2
+MEAVPSSDSYPAVENEHLQETPESNNSVYTSFMKSHRCYDLIPTSSKLVVFDTSLQVKKA
+FFALVTNGVRAAPLWDSKKQSFVGMLTITDFINILHRYYKSALVQIYELEEHKIETWREV
+YLQDSFKPLVCISPNASLFDAVSSLIRNKIHRLPVIDPESGNTLYILTHKRILKFLKLFI
+TEFPKPEFMSKSLEELQIGTYANIAMVRTTTPVYVALGIFVQHRVSALPVVDEKGRVVDI
+YSKFDVINLAAEKTYNNLDVSVTKALQHRSHYFEGVLKCYLHETLETIINRLVEAEVHRL
+VVVDENDVVKGIVSLSDILQALVLTGGEKP
+>sp|Q1RMT1|ERCC3_BOVIN General transcription and DNA repair factor IIH helicase subunit XPB OS=Bos taurus OX=9913 GN=ERCC3 PE=2 SV=1
+MGKRDRADREKKKSKKRHYEDEEDEEDDAPGNDTQEAVPSAAGKQVDESGTKVDEYGAKD
+YRLQMPLKDDHTSRPLWVAPDGHIFLEAFSPVYKYAQDFLVAIAEPVCRPTHVHEYKLTA
+YSLYAAVSVGLQTSDITDYLRKLSKTGVPEGIIQFIKLCTVSYGKVKLVLKHNRYFVESS
+HPDVIQHLLQDPVIRECRLRNSEGEATELITETFTSKSAIAKSVEGSGGPSTSRVTDPQG
+KSDIPTDLFDFYEQMDKDEEEEEETQTVSFEVKQEMIEELQKRCIHLEYPLLAEYDFRND
+SVNPDINIDLKPTAVLRPYQEKSLRKMFGNGRARSGVIVLPCGAGKSLVGVTAACTVRKR
+CLVLGNSAVSVEQWKAQFKMWSTIDDSQICRFTSDAKDKPIGCSIAISTYSMLGHTTKRS
+WEAERVMEWLKTQEWGLMILDEVHTIPAKMFRRVLTIVQAHCKLGLTATLVREDDKIVDL
+NFLIGPKLYEANWMELQNSGYIAKVQCAEVWCPMSPEFYREYVAIKTKKRILLYTMNPNK
+FRACQFLIKFHERRNDKIIVFADNVFALKEYAIRLNKPYIYGPTSQGERMQILQNFKHNP
+KINTIFISKVGDTSFDLPEANVLIQISSHGGSRRQEAQRLGRVLRAKKGMVAEEYNAFFY
+SLVSQDTQEMAYSTKRQRFLVDQGYSFKVITKLAGMEEEDLAFSTKEEQQQLLQKVLAAT
+DLDAEEEVVAGEFGSKSSQVSRRFGTMSSMSGADDTVYMEYHSSRSKTSTKHVHPLFKRF
+RK
+>sp|P23795|ACES_BOVIN Acetylcholinesterase OS=Bos taurus OX=9913 GN=ACHE PE=1 SV=2
+MRPPWCPLHTPSLTPPLLLLLFLIGGGAEAEGPEDPELLVMVRGGRLRGLRLMAPRGPVS
+AFLGIPFAEPPVGPRRFLPPEPKRPWPGVLNATAFQSVCYQYVDTLYPGFEGTEMWNPNR
+ELSEDCLYLNVWTPYPRPSSPTPVLVWIYGGGFYSGASSLDVYDGRFLTQAEGTVLVSMN
+YRVGAFGFLALPGSREAPGNVGLLDQRLALQWVQENVAAFGGDPTSVTLFGESAGAASVG
+MHLLSPPSRGLFHRAVLQSGAPNGPWATVGVGEARRRATLLARLVGCPPGGAGGNDTELV
+ACLRARPAQDLVDHEWRVLPQESVFRFSFVPVVDGDFLSDTPEALINAGDFHGLQVLVGV
+VKDEGSYFLVYGAPGFSKDNESLISRAQFLAGVRVGVPQASDLAAEAVVLHYTDWLHPED
+PARLREALSDVVGDHNVVCPVAQLAGRLAAQGARVYAYIFEHRASTLSWPLWMGVPHGYE
+IEFIFGLPLEPSLNYTIEERTFAQRLMRYWANFARTGDPNDPRDPKAPQWPPYTAGAQQY
+VSLNLRPLEVRRGLRAQACAFWNRFLPKLLSATDTLDEAERQWKAEFHRWSSYMVHWKNQ
+FDHYSKQDRCSDL
+>sp|Q7YRC6|AURKB_BOVIN Aurora kinase B OS=Bos taurus OX=9913 GN=AURKB PE=2 SV=1
+MAQKENAYPWPYGRQTAQPGLNTLPQRVLRKEPVTPSALVLMSRSNAQPTAAPGQKVVEN
+SSGTPNIPKRSFTIDDFEIGRPLGKGKFGNVYLAREKKSHFIVALKVLFKSQIEKEGVEH
+QLRREIEIQAHLQHPNILRLYNYFYDRRRIYLILEYAPRGELYKELQKSRTFDEQRTATI
+MEELADALTYCHAKKVIHRDIKPENLLLGLRGELKIADFGWSVHAPSLRRKTMCGTLDYL
+PPEMIEGRTHNEKVDLWCIGVLCYELLVGNPPFESASHNETYRRIVKVDLKFPPSVPLGA
+QDFIYKLLKHNPSERLPLAQVSAHPWVRTHSRRVLPPSAPQSVP
+>sp|A0JNH9|APLF_BOVIN Aprataxin and PNK-like factor OS=Bos taurus OX=9913 GN=APLF PE=2 SV=1
+MSGGFELQPQDGGPRVALAPGETVIGRGPLLGLHRNPCYYQSSEKSQLLPLKTNIWCWLN
+PGDHFSLLVDKYIFCVLSTHSEMEMECTLRNSQMLDEDDILNEIPKSSSADLPDKTPSAP
+RRERSTETAKPQAAANNMSFIGESRDLSKQQPNPSERKRILPAWMLTENSSDQNLSVISG
+GNNVTWESEKERVCKDKTQVNITQPGKKRLISSGSSESTSAKQDTGKKCKNDDQEESIIS
+SKEMPQSFSAAMLHNTEIDNTKTNPQRSKVPVEALGKVSEHKIITKGSSNEDSTARSCSE
+SYSSTQSKSFCDKPQKSHPEPSSNPPSPECVQAKATDSVPNGSEENKVQRTSCMYGANCY
+RKNPVHFQHFSHPGDSDYGGVNITCQDEADDRPECPYGASCYRKNPQHKIEYRHSTFPVR
+SISDEDDNVGQPNEYNLNDSFIDDEEEEYEPTDEDSDWEPEKEDLEKEDMEGLLKEAKKF
+MKRKK
+>sp|Q05927|5NTD_BOVIN 5'-nucleotidase OS=Bos taurus OX=9913 GN=NT5E PE=1 SV=2
+MNPGAARTPALRILALGALLWPAARPWELTILHTNDVHSRLEQTSEDSSKCVNASRCVGG
+VARLATKVHQIRRAEPHVLLLDAGDQYQGTIWFTVYKGTEVAHFMNALGYDAMALGNHEF
+DNGVEGLIDPLLKEVNFPILSANIKAKGPLASKISGLYSPYKILTVGDEVVGIVGYTSKE
+TPFLSNPGTNLVFEDEITALQPEVDKLKTLNVNKIIALGHSGFEVDKLIAQKVKGVDVVV
+GGHSNTFLYTGNPPSKEVPAGQYPFIVTSDDGRKVPVVQAYAFGKYLGYLKVEFDEKGNV
+VTSHGNPILLNSSIPEDPNIKADINKWRVKLDNYSTQELGKTIVYLDGTAQSCRFRECNM
+GNLICDAMINNNLRHPDEMSWNHVSMCILNGGGIRSPIDERNNGTITWENLAAVLPFGGT
+FDLVQLKGSTLKKAFEHSVHRYGQATGEFLQVGGIHVVYDISRNPGDRVVKLEVLCTQCR
+VPSYEPLRMDKVYKVILPSFLVSGGDGFQMIKDEKIKHDSGDQDINVVSGYISKMKVLYP
+AVEGRIQFSAGSHCCGSFSLIFLSVLAVIIILYQ
+>sp|Q0P5A7|4EBP1_BOVIN Eukaryotic translation initiation factor 4E-binding protein 1 OS=Bos taurus OX=9913 GN=EIF4EBP1 PE=3 SV=1
+MSGGSSCSQTPSRAIPTTRRVVLADGVQLPPGDYSTTPGGTLFSTTPGGTRIIYDRKFLM
+ECRNSPVTKTPPRDLPTIPGVTSPTGDEPPTEARQNHLRSSPEDKPAGGEESQFEMDI
+>sp|Q6R8F2|CADH1_BOVIN Cadherin-1 OS=Bos taurus OX=9913 GN=CDH1 PE=2 SV=1
+MGPWSRSLSALCCCCRCNPWLCREPEPCIPGFGAESYTFTVPRRNLERGRVLGRVSFEGC
+AGLPRTVYVSDDTRFKVHTDGVLTVRRPVHLHRPELSFLVHAWDSTHRKLSTKVTLEVSA
+HHHHHHSHHDSPSGTQTEVLTFPGPHHGLRRQKRDWVIPPISCPENEKGPFPKSLVQIKS
+NKEKETQVFYSITGQRADTPPVGVFIIERETGWLKVTQPLDREQIAKYILFSHAVSSNGQ
+AIEEPMEIVITVTDQNDNKPQFTQEVFKASALEGALPGTSVMQVTATDIDDEVNTYTAAI
+GYTIPAQDPMLPHNKMFTINKETGVISVLTTGLDRESFPTYTLMVQAADLNGEGLSTTAT
+AVITVLDTNDNAPRFNPTTYVGSVPENEANVAITTLTVTDADDPNTPAWEAVYTVLNDNE
+KQFIVVTDPVTNEGTLKTAKGLDFEAKQQYILYVAVTNVAPFEVTLPTSTATVTVDVIDV
+NEAPIFVPPQKRVEVPEDFGVGLEITSYTAREPDTFMEQKITYRIWRDTANWLEINPETG
+AISTRAELDREDVDHVKNSTYTALIIATDNGSPPATGTGTLLLFLDDVNDNGPVPEPRTM
+DFCQRNPEPHIININDPDLPPNTSPFTAELTHGASVNWTIEYNDQERESLILKPKKTLEL
+GDHKINLKLIDNQNKDQVTTLDVHVCDCDGIVSNCRKARPAEAGLQVPAILGILGGILAF
+LILILLLLLLVRRRRVVKEPLLPPEDDTRDNVYYYDEEGGGEEDQDFDLSQLHRGLDARP
+EVTRNDVAPTLMSVPQYRPRPANPDEIGNFIDENLKAADSDPTAPPYDSLLVFDYEGSGS
+EAATLSSLNSSESDQDQDYDYLNEWGNRFKKLADMYGGGEDD
+>sp|Q29RJ1|AP4A_BOVIN Bis(5'-nucleosyl)-tetraphosphatase [asymmetrical] OS=Bos taurus OX=9913 GN=NUDT2 PE=2 SV=3
+MALRACGLIIFRRRLIPKVDNTAIEFLLLQASDGIHHWTPPKGHVEPGESDLETALRETQ
+EEAGIEAGQLTIIEGFRRELSYVARAKPKIVIYWLAEVKDCDVEVRLSREHQAYRWLELE
+DACQLAQFEEMKAALQEGHQFLCSTAT
+>sp|Q3SYZ3|CR3L3_BOVIN Cyclic AMP-responsive element-binding protein 3-like protein 3 OS=Bos taurus OX=9913 GN=CREB3L3 PE=2 SV=1
+MDGDLAIGKMASSTSPMGPIDSLELLDLLFDRQDGVLRHVELGEDWGHGEDQVLPGADSD
+DFLNSILGSGDSDSPTWSPAASDSGISEDLPSDTQDTPPHGGVPATPAGCHSVESGKGPC
+PSYHPGPTCPARHLGPVAPRLETSVAIDLEMWSPGVYAEEQTDLADSPSRYNLTVKDLLL
+SSSSGDLQQHHLAAPHLLRPGTGHCQELVLTEDEKKLLAKEGITLPTQLPLTKYEERMLK
+KIRRKIRNKQSAQESRKKKKEYIDGLETRMSACTAQNQELQRKVLHLEKQNLSLLEQLKK
+LQAIVVQSTSKSAQTGTCIAVLLFSFALIVLPSISPFASNRAESPGDFAPVRVFSRTLHN
+DAASRVAPDTAPGSEAPGPGPNTGALQERSPGSPPGEWESQDTRALDNSTEDLDNSTLVQ
+GNSVKELDQATLLDCAPPEPAVSPGHVGLEAAGGEL
+>sp|Q4U0T9|CSRP3_BOVIN Cysteine and glycine-rich protein 3 OS=Bos taurus OX=9913 GN=CSRP3 PE=2 SV=1
+MPNWGGGAKCGACEKTVYHAEEIQCNGRSFHKTCFHCMACRKALDSTTVAAHESEIYCKV
+CYGRRYGPKGIGYGQGAGCLSTDTGEHLGLQFQQSPKQARSATTSSNPSKFAKFGESEKC
+PRCGKSVYAAEKVMGGGKPWHKTCFRCAICGKSLESTNVTDKDGELYCKVCYAKNFGPTG
+IGFGGLTHQVEKKD
+>sp|Q17QM9|CNRP1_BOVIN CB1 cannabinoid receptor-interacting protein 1 OS=Bos taurus OX=9913 GN=CNRIP1 PE=2 SV=1
+MGDLPGLVRLSIALRIQPNDGPVFYKVDGQRFGQNRTIKLLTGSSYKVEVKIKPTTLQVE
+NISIGGVVVPLELKSKEPDGDRIVYTGTYDTEGVAPTKSGERQPIQITMPFTDIGTFETM
+WQVKFYNYHKRDHCQWGSPFSVIEYECKPNETRSLMWVNKESFL
+>sp|P57709|AT2C1_BOVIN Calcium-transporting ATPase type 2C member 1 OS=Bos taurus OX=9913 GN=ATP2C1 PE=2 SV=1
+MDNLLPQSRFSYFKKYPIHAIRKYLSMLRNQKEEEQVARFQKIPNAENETMIPVLTSKKA
+SELPVSEVASILQADLQNGLNKCEVSHRRAFHGWNEFDISEDEPLWKKYISQFKNPLIML
+LLASAVISVLMHQFDDAVSITVAILIVVTVAFVQEYRSEKSLEELSKLMPPECHCVREGK
+LEHTLARDLVPGDTVCLSVGDRVPADLRLFEAVDLSVDESSLTGETTPCSKVTAPQPAAT
+NGDLASRSNIAFMGTLVRCGKAKGIVIGTGENSEFGEVFKMMQAEEAPKTPLQKSMDLLG
+KQLSFYSFGIIGIIMLVGWLLGKDILEMFTISVSLAVAAIPEGLPIVVTVTLALGVMRMV
+KKRAIVKKLPIVETLGCCNVICSDKTGTLTKNEMTVTHIFTSDGLRAEVTGVGYNPFGEV
+IVDGDVVHGFYNPSVSRIVEAGCVCNDAVIRNNTLMGKPTEGALIALAMKMGLDGLQQDY
+IRKAEYPFSSEQKWMAVKCVHRTQQDRPEICFMKGAYEQVIKYCTTYHSKGQTLTLTQQQ
+RDLYQQEKAQMGSAGLRVLALASGPELGQLTFLGLVGIIDPPRTGVKEAVTTLIASGVSI
+KMITGDSQETAVAIASRLGLYSKTSQSVSGEEIDAMDVQQLSQIVPKVAVFYRASPRHKM
+KIIKSLQKNGSVVAMTGDGVNDAVALKAADIGVAMGQTGTDVCKEAADMILVDDDFQTIM
+SAIEEGKGIYNNIKNFVRFQLSTSIAALTLISLATLMNFPNPLNAMQILWINIIMDGPPA
+QSLGVEPVDKDVIRKPPRNWKDSILTKNLILKILVSSIIIVCGTLFVFWRELRDNVITPR
+DTTMTFTCFVFFDMFNALSSRSQTKSVFEIGLCSNKMFCYAVLGSIMGQLLVIYFPPLQK
+VFQTESLSILDLLFLLGLTSSVCIVAEIIKKVERSREKIQKPVSSTSSSFLEV
+>sp|Q9XSX7|AMBN_BOVIN Ameloblastin OS=Bos taurus OX=9913 GN=AMBN PE=2 SV=2
+MPALKIPLFKMKDMILILCLLKMSSAVPAFPQQPGIPGMASLSLETMRQLGSLQGLNLLS
+QYSRFGFGKSFNSLWMNGLLPPHSSFPWMRPREHETQQPSLQPQQPGQKPFLQPTVVTSM
+QNAVQKGVPQPPIYQGHPPLQQAEGPMVEQQVAPSEKPPTTELPGMDFADLQDPPMFPIA
+HLISRGPMPQNKPSQLYPGIFYVTYGANQLGGRGDPLAYGAIFPGFGGMRPRLGGMPHNP
+DMGGDFTLEFDSPVAATKGPEKGEGGAQDSPVPEAHLADPESPALLSELAPGALEGLLAN
+PEGNIPNLARGPAGRSRGFLRGVTPAAADPLMTPGLAEVYETYGADETTTLGLQEETTVD
+STATPDTQHTLMPRNKAQQPQIKHDAWHFQEP
+>sp|Q9TT96|ADRB1_BOVIN Beta-1 adrenergic receptor OS=Bos taurus OX=9913 GN=ADRB1 PE=3 SV=2
+MGAGVLALGASEPCNLSSAAPVPDGAATAARLLVPASPPASLLTSASEGPPLPSQQWTAG
+MGLLMAFIVLLIVVGNVLVLVAIAKTPRLQTLTNLFIMSLASADLVMGLLVVPFGATIVV
+WGRWEYGSFFCELWTSVDVLCVTASIETLCVIALDRYLAITSPFRYQSLLTRARARALVC
+TVWAISALVSFLPIFMQWWGDKDAKASRCYNDPECCDFIINEGYAITSSVVSFYVPLCIM
+AFVYLRVFREAQKQVKKIDSCERRFLSGPARLPSPAPSPGPPLPAATVANGRANKRRPPR
+LVALREQKALKTLGIIMGVFTLCWLPFFLANVVKAFHRDLVPDRLFVFFNWLGYANSAFN
+PIIYCRSPDFRKAFQRLLCCARRAACGSHAAAGDPPRALGCLAVARPSPSPGAASDDDDD
+DDEDDVGAAPPVRLLEPWAGYNGGAAANSDSSPDEPSRAGCASESKV
+>sp|Q0II90|FA81B_BOVIN Protein FAM81B OS=Bos taurus OX=9913 GN=FAM81B PE=2 SV=1
+MTSETDINKSASPTAAAKEQPEEPDGPLPGSASEQEKKVRFSPAIMSTKNSTDLVEYVDK
+GHSFLPAIPNTQRSQLEDRLNNQDRTIAFLLEQAFRIKEDISACLQGTHGFRKEESLARK
+LLENHIQTITSIVKKLSQNIEMIEEQIKARDLVATGTNFAVQDLSNKHLQGVGDLRGRVA
+RCDSSIMKLSGDIHFIRNEHQQLEKTIQEMISSLQTVSKNLDTKVMQLLEKIEASSSEQI
+SNLKMVQGDYRHEMNLLEFKFNSLSLNLYEEMENHQKRTENQLIKYEQEQLSRANQCLAL
+LQEKLDMSEKNMEEKLLKLSSKLENFINTEKYEADLNKIKHTENKLSKKMSQLEKQIWDE
+LEKMQDEYQSGFKSIHDSLNSLQRIQKTKMDLENYKVQKDLKKLQRKIAELQES
+>sp|A4FV48|FADS2_BOVIN Acyl-CoA 6-desaturase OS=Bos taurus OX=9913 GN=FADS2 PE=2 SV=1
+MGKGGNQDEGATELEAPMPTFRWEEIQKHNLRTDKWLVIDRKVYNITKWSSRHPGGQRVI
+GHYAGEDATDAFLAFHRNLDFVRKFMKPLLIGELAPEEPSQDRGKNSQITEDFRALRKTA
+EDMNLFKSNQLFFLLHLAHIIAMESIAWFTLFYFGNGWIPTIITAFVLATSQAQAGWLQH
+DYGHLSVYKKSMWNHIVHKFVIGHLKGASANWWNHRHFQHHAKPNIFHKDPDVNMLHVFV
+LGEWQPIEYGKKKLKYLPYNHQHEYFFLIGPPLLIPLYFQYQIIMTMIVRKYWADLAWAI
+SYYTRFFITYIPFYGVLGSILFLNFIRFLESHWFVWVTQMNHIVMEIDREPYRDWFSSQL
+AATCNVEQSFFNDWFSGHLNFQIEHHLFPTMPRHNLHKIAPLVRSLCAKHGIEYQEKPLL
+RALQDIIGSLRKSGQLWLDAYLHK
+>sp|Q148J6|ARPC4_BOVIN Actin-related protein 2/3 complex subunit 4 OS=Bos taurus OX=9913 GN=ARPC4 PE=1 SV=3
+MTATLRPYLSAVRATLQAALCLENFSSQVVERHNKPEVEVRSSKELLLQPVTISRNEKEK
+VLIEGSINSVRVSIAVKQADEIEKILCHKFMRFMMMRAENFFILRRKPVEGYDISFLITN
+FHTEQMYKHKLVDFVIHFMEEIDKEISEMKLSVNARARIVAEEFLKNF
+>sp|A6QLJ4|C42S2_BOVIN CDC42 small effector protein 2 OS=Bos taurus OX=9913 GN=CDC42SE2 PE=3 SV=1
+MSEFWLCFNCCIAEQPQPKRRRRIDRSMIGEPTNFVHTAHVGSGDLFSGMNSVSSIQNQM
+QSKGGYGGGMAANVQMQLVDTKAG
+>sp|Q58DL7|ARHG9_BOVIN Rho guanine nucleotide exchange factor 9 OS=Bos taurus OX=9913 GN=ARHGEF9 PE=2 SV=1
+MELDQVGWRRSRKLCTDNLDQGSKTLETCKNIGGYFKPQGPGTEHINELITGDSIVSAEA
+VWDHVTMANRELAFKAGDVIKVLDASNKDWWWGQIDDEEGWFPASFVRLWVNQEDGVEEG
+PSDVQNGHLDPNSDCLCLGRPLQNRDQMRANVINEIMSTERHYIKHLKDICEGYLKQCRK
+RRDMFSDEQLKVIFGNIEDIYRFQMGFVRDLEKQYNNDDPHLSEIGPCFLEHQDGFWIYS
+EYCNNHLDACMELSKLMKDSRYQHFFEACRLLQQMIDIAIDGFLLTPVQKICKYPLQLAE
+LLKYTAQDHSDYRYVAAALAVMRNVTQQINERKRRLENIDKIAQWQASVLDWEGEDILDR
+SSELIYTGEMAWIYQPYGRNQQRVFFLFDHQMVLCKKDLIRRDILYYKGRIDMDKYEVVD
+IEDGRDDDFNVSMKNAFKLHNKETEEIHLFFAKKLEEKIRWLRAFREERKMVQEDEKIGF
+EISENQKRQAAMTVRKVSKQKGVNSARSVPPSYPPPQDPLNQGQYLVPDGIAQSQVFEFT
+EPKRSQSPFWQNFSRLTPFKK
+>sp|P67868|CSK2B_BOVIN Casein kinase II subunit beta OS=Bos taurus OX=9913 GN=CSNK2B PE=1 SV=1
+MSSSEEVSWISWFCGLRGNEFFCEVDEDYIQDKFNLTGLNEQVPHYRQALDMILDLEPDE
+ELEDNPNQSDLIEQAAEMLYGLIHARYILTNRGIAQMLEKYQQGDFGYCPRVYCENQPML
+PIGLSDIPGEAMVKLYCPKCMDVYTPKSSRHHHTDGAYFGTGFPHMLFMVHPEYRPKRPA
+NQFVPRLYGFKIHPMAYQLQLQAASNFKSPVKTIR
+>sp|P24275|AKAP5_BOVIN A-kinase anchor protein 5 OS=Bos taurus OX=9913 GN=AKAP5 PE=2 SV=1
+MEITVSEIQVESKDETRSAEVRPQDERQEEKASMLCFKRRKKAAKAMKPKASSKAADAAK
+KCPPEARASDQPQRPGGAWDSIKRLVTRRKRSESSKQQKPFKAKLQSEINAEDANPSKKK
+AKSRLKIPCIKFSKGEKRSNHSKIIEDSDRSVKVQEAENLVTKTQTQSDDQATKSKSPQD
+VREDVSQKGDDEVCESNVNNSITSPGEKVISVELELDMGHSAIQRGTLILEKDTEMLEEK
+QSIQPQHVSPLEASDTEQELPVGSEVPPSSAVPDQQILEEARNGVLESGPDWKEHESREI
+VVEESKPKDTELSQELDFQENEITAEKPKPEESKRMEPIAIIITDTEISEFDVKKSKNVP
+KPFLISIENEQVGVFANDSGFEGRTSEQYETLLIETASSLVKNAIQLSIEQLVNEMASDD
+NTINNRLQ
+>sp|Q3T0I2|CATH_BOVIN Pro-cathepsin H OS=Bos taurus OX=9913 GN=CTSH PE=2 SV=1
+MWAVLPLLCAGAWLLGAPACGAAELAANSLEKFHFQSWMVQHQKKYSSEEYYHRLQAFAS
+NLREINAHNARNHTFKMGLNQFSDMSFDELKRKYLWSEPQNCSATKSNYLRGTGPYPPSM
+DWRKKGNFVTPVKNQGSCGSCWTFSTTGALESAVAIATGKLPFLAEQQLVDCAQNFNNHG
+CQGGLPSQAFEYIRYNKGIMGEDTYPYRGQDGDCKYQPSKAIAFVKDVANITLNDEEAMV
+EAVALHNPVSFAFEVTADFMMYRKGIYSSTSCHKTPDKVNHAVLAVGYGEEKGIPYWIVK
+NSWGPNWGMKGYFLIERGKNMCGLAACASFPIPLV
+>sp|Q3ZCH6|ATF4_BOVIN Cyclic AMP-dependent transcription factor ATF-4 OS=Bos taurus OX=9913 GN=ATF4 PE=1 SV=1
+MAEMSFLSSEVLGGDFVSPFDQLGLGAEESLGLLDDNLEVAKHFKHHGFSCDKAKAGSSE
+WLAVDWLVSDNSKEDAFSGTDWMVEKMDLKEFDFDILFSKDDLETMPDELLATLDDTCDL
+FQPLVQETNKEPPQIVNPIGHLPEGLPTIDQGAPFTFFQPLPPSPGTLSSTPDHSFSLEL
+CSEVVIPEGDSKPDSTTTGFPQCIKEEDAPSDNDSGICMSPDSSLGSPQDSPSTSRGSPN
+KSLLSPGALSGSSRPKPYDPPGEKMVAAKVKGEKLDKKLKKMEQNKTAATRYRQKKRAEQ
+EALTGECKELEKKNEALKEKADSLAKEIQYLKDQIEEVRKAREKKRVL
+>sp|Q75Z89|5HT2A_BOVIN 5-hydroxytryptamine receptor 2A OS=Bos taurus OX=9913 GN=HTR2A PE=2 SV=1
+MDILCEENTSLSSTTNSLMQLHADTRLYSTDFNSGEGNTSNAFNWTVDSENRTNLSCEGC
+LSPPCFSLLHLQEKNWSALLTAVVIILTIAGNILVIMAVSLEKKLQNATNYFLMSLAIAD
+MLLGFLVMPVSTLTILYGYRWPLPSKLCAVWIYLDVLFSTASIMHLCAISLDRYVAIQNP
+IHHSRFNSRTKAFLKIIAVWTISVGISMPIPVFGLQDDSKVFKEGSCLLADENFVLIGSF
+VAFFIPLTIMVITYFLTIKSLQKEATLCVSDPGTRTKLASFSFLPQSSLSSEKLFQRSIH
+REPGSYGRRTMQSISNEQKACKVLGIVFFLFVVMWCPFFITNIMAVICKESCNRDVIEAL
+LNVFVWIGYLSSAVNPLVYTLFNKTYRSAFSRYIQCQYKENKKPLQLILVNTIPALAYKS
+SQLQMGPKKNSKKDDKTTDNDCTMVALGKEHPEDAPADSSNTVNEKVSCV
+>sp|A6QNS3|ABR_BOVIN Active breakpoint cluster region-related protein OS=Bos taurus OX=9913 GN=ABR PE=2 SV=1
+MEPLSHRGLPRLSWIDTLYSNFSYGADDYDAEGNEEQKGPPEGSETMPYIDESPTMSPQL
+SARSQGGGDSISPTPPEGLAPGVEAGKGLEMRKLVLSGFLASEEIYINQLEALLLPMKPL
+KATATTSQPVLTIQQIETIFYKIQDIYEIHKEFYDNLCPKVQQWDSQVTMGHLFQKLASQ
+LGVYKAFVDNYKVALETAEKCSQSNNQFQKISEELKVKGPKDSRDSHTSVTMEALLYKPI
+DRVTRSTLVLHDLLKHTPVDHPDYPLLQDALRISQNFLSSINEDIDPRRTAVTTPKGETR
+QLVKDGFLVEVSEGSRKLRHVFLFTDVLLCAKLKKTSAGKHQQYDCKWYIPLADLVFPSP
+EESEASPQVHPFPDHELEDMKMKISALKSEIQKEKANKGQSRAIERLKKKMFENEFLLLL
+NSPTIPFRIHNRNGKSYLFLLSSDYERSEWREAIQKLQKKDLQAFVLSSVELQVLTGSCF
+KLRTVHNIPVTSNKDDDESPGLYGFLHVIVHSAKGFKQSANLYCTLEVDSFGYFVSKAKT
+RVFRDTTEPKWDEEFEIELEGSQSLRILCYEKCYDKTKVNKDNNEIVDKIMGKGQIQLDP
+QTVETKNWHTDVIEMNGIKVEFSMKFTSRDMSLKRTPSKKQSGVFGVKISVVTKRERSKV
+PYIVRQCVEEVEKRGIEEVGIYRISGVATDIQALKAVFDANNKDILLMLSDMDINAIAGT
+LKLYFRELPEPLLTDRLYPAFMEGIALSDPAAKENCMMHLLRSLPDPNLITFLFLLEHLK
+RVAEKEPVNKMSLHNLATVFGPTLLRPSEVESKAHLTSAADIWSHDVMAQVQVLLYYLQH
+PPISFAELKRNTLYFSTDV
+>sp|Q27972|CHAD_BOVIN Chondroadherin OS=Bos taurus OX=9913 GN=CHAD PE=1 SV=1
+MARPMLLLXLSLGLLASLLPALAACPQNCHCHSDLQHVICDKVGLQKIPKVSEKTKLLNL
+QRNNFPVLATNSFRAMPNLVSLHLQHCQIREVAAGAFRGLKQLIYLYLSHNDIRVLRAGA
+FDDLTELTYLYLDHNKVTELPRGLLSPLVNLFILQLNNNKIRELRSGAFQGAKDLRWLYL
+SENSLSSLQPGALDDVENLAKFYLDRNQLSSYPSAALSKLRVVEELKLSHNPLKSIPDNA
+FQSFGRYLETLWLDNTNLEKFSDGAFLGVTTLKHVHLENNRLHQLPSNFPFDSLETLTLT
+NNPWKCTCQLRGLRRWLEAKTSRPDATCASPAKFRGQHIRDTDAFRGCKFPTKRSKKAGR
+H
+>sp|Q32PJ2|APOA4_BOVIN Apolipoprotein A-IV OS=Bos taurus OX=9913 GN=APOA4 PE=2 SV=1
+MFLKAVVLSLALVAVTGAEAEVNADQVATVIWDYFSQLGNNAKKAVEHIQKSELTQQLNT
+LFQDKLGEVSTYTDDLQKKLVPFATELHERLTKDSEKLKEEIRKELEDLRARLLPHATEV
+SQKIGDNVRELQQRLGPYAEELRTQVDTQAQQLRRQLTPYVERMEKVMRQNLDQLQASLA
+PYAEELQATVNQRVEELKGRLTPYADQLQTKIEENVEELRRSLAPYAQDVQGKLNHQLEG
+LAFQMKKHAEELKAKISAKAEELRQGLVPLVNSVHGSQLGNAEDLQKSLAELSSRLDQQV
+EDFRRTVGPYGETFNKAMVQQLDTLRQKLGPLAGDVEDHLSFLEKDLRDKVSSFFNTLKE
+KESQAPALPAQEEMPVPLGG
+>sp|P19661|CTHL3_BOVIN Cathelicidin-3 OS=Bos taurus OX=9913 GN=CATHL3 PE=1 SV=2
+METQRASLSLGRWSLWLLLLGLVLPSASAQALSYREAVLRAVDRINERSSEANLYRLLEL
+DPPPKDVEDRGARKPTSFTVKETVCPRTSPQPPEQCDFKENGLVKQCVGTITLDQSDDLF
+DLNCNELQSVRRIRPRPPRLPRPRPRPLPFPRPGPRPIPRPLPFPRPGPRPIPRPLPFPR
+PGPRPIPRPL
+>sp|Q5E9L0|CLD10_BOVIN Claudin-10 OS=Bos taurus OX=9913 GN=CLDN10 PE=2 SV=1
+MASTASEIIAFMVSISGWVLVSSTLPTDYWKVSTIDGTVITTATYWANLWKTCVTDSTGV
+SNCKDFPSMLALDGYIQACRGLMIAAVSLGFFGSIFALIGMKCTKVGGSDKAKAKIACLA
+GIVFILSGLCSMTGCSLYANKITTEFFDPLFVEQKYELGAALFIGWAGASLCLIGGVIFC
+FSISDNNKAPRMGYTYNGATSVMSSRTKYHGREGDLKTPNPSKQFDKNAYV
+>sp|Q3ZCA2|CPSF5_BOVIN Cleavage and polyadenylation specificity factor subunit 5 OS=Bos taurus OX=9913 GN=NUDT21 PE=2 SV=1
+MSVVPPNRSQTGWPRGVTQFGNKYIQQTKPLTLERTINLYPLTNYTFGTKEPLYEKDSSV
+AARFQRMREEFDKIGMRRTVEGVLIVHEHRLPHVLLLQLGTTFFKLPGGELNPGEDEVEG
+LKRLMTEILGRQDGVLQDWVIDDCIGNWWRPNFEPPQYPYIPAHITKPKEHKKLFLVQLQ
+EKALFAVPKNYKLVAAPLFELYDNAPGYGPIISSLPQLLSRFNFIYN
+>sp|P13214|ANXA4_BOVIN Annexin A4 OS=Bos taurus OX=9913 GN=ANXA4 PE=1 SV=2
+MAAKGGTVKAASGFNAAEDAQTLRKAMKGLGTDEDAIINVLAYRSTAQRQEIRTAYKTTI
+GRDLMDDLKSELSGNFEQVILGMMTPTVLYDVQELRKAMKGAGTDEGCLIEILASRTPEE
+IRRINQTYQLQYGRSLEDDIRSDTSFMFQRVLVSLSAGGRDESNYLDDALMRQDAQDLYE
+AGEKKWGTDEVKFLTVLCSRNRNHLLHVFDEYKRIAQKDIEQSIKSETSGSFEDALLAIV
+KCMRNKSAYFAERLYKSMKGLGTDDDTLIRVMVSRAEIDMLDIRANFKRLYGKSLYSFIK
+GDTSGDYRKVLLILCGGDD
+>sp|Q95L54|ANXA8_BOVIN Annexin A8 OS=Bos taurus OX=9913 GN=ANXA8 PE=2 SV=1
+MAWWKAWVEQEGVSVKGSPHFNPDPDAETLYKAMKGIGTNEQAIIDVLTKRSNAQRQQIA
+KSFKAQFGKDLIETLKSELSGKFERLIIALMYPPYRYEAKELYDAMKGIGTKEGVIIEIL
+ASRTKNQLQEIMKAYEEDYGSNLEEDIKADTSGYLERILVCLLQGSRDDLSGYVDPGLAL
+QDAQDLYAAGEKICGTDEMKFITILCTRSATHLMRVFEEYEKIANKSIEDSIKSETHGSL
+EEAMLTVVKCTRNLHGYFAERLYFAMKGAGTLDGTLIRNIVSRSEIDLNLIKNQFKKMYG
+KTLSSMIMEDTSGDYKNALLNLVGSDL
+>sp|Q1JQ98|AP1S1_BOVIN AP-1 complex subunit sigma-1A OS=Bos taurus OX=9913 GN=AP1S1 PE=2 SV=1
+MRFMLLFSRQGKLRLQKWYLATSDKERKKMVRELMQVVLARKPKMCSFLEWRDLKVVYKR
+YASLYFCCAIEGQDNELITLELIHRYVELLDKYFGSVCELDIIFNFEKAYFILDEFLMGG
+DVQDTSKKSVLKAIEQADLLQEEDESPRSVLEEMGLA
+>sp|Q58CZ9|ATTY_BOVIN Tyrosine aminotransferase OS=Bos taurus OX=9913 GN=TAT PE=2 SV=1
+MQDHGSLPSVLDVHVNVAGRSSVLGKVKSRKARWSVRPSDMSNKTFNPIRAIVDNMKVKP
+NPNKTMIALSIGDPTVFGNLPTDPEVTQAMKDALDSGKFNGYVPSIGYLSSREEVASYYH
+CPEAPLEAKDVILTSGCSQAIELCLAVLANPGQNILVPRPGFSLYRTLAESMGIEVKLYN
+LLPEKNWEIDLKQLESLIDEKTVCLIVNNPSNPCGSVFSRRHLQKILAVAARQCVPILAD
+EIYGDMVFSDSKFEPLATLSSKVPILSCGGLAKRWLVPGWRMGWILIHDRRDIFGNEIRD
+GLTKLSQRILGPCTLVQGALKSILCRTPRVFYHNTLSFLKSNADLCYGALAAIPGLRPIH
+PSGAMYLMVGIEMEHFPEFENDVEFTEQLVAEQSVHCLPATCFEYPNFFRVVITVPEVMM
+LEACSRIQEFCEQHYHCAEGSQEECDK
+>sp|Q7SIB2|CO4A1_BOVIN Collagen alpha-1(IV) chain OS=Bos taurus OX=9913 GN=COL4A1 PE=1 SV=2
+MGPRLGVWLLLLLAALLLHEESSRAAAKGGCAGSGCGKCDCHGVKGQKGERGLPGLQGVI
+GFPGMQGPEGPQGPPGQKGDTGEPGLPGTKGTRGPSGVPGYPGNPGLPGIPGQDGPPGPP
+GIPGCNGTKGERGPVGPPGLPGFAGNPGPPGLPGMKGDPGEILGHIPGTLLKGERGYPGQ
+PGAPGSPGLPGLQGPVGPPGFTGPPGPPGPPGPPGEKGQMGLSFQGPKGEKGDQGVSGPP
+GLPGQAQVITKGDTAMRGEKGQKGEPGFPGLPGFGEKGEPGKPGPRGKPGKDGEKGEKGS
+PGFPGDSGYPGQPGQDGLKGEKGEAGPPGLPGTVIGTGPLGEKGEPGYPGGPGAKGETGP
+KGFPGIPGQPGPPGFPTPGLIGAPGFPGDRGEKGEPGLPGVSLPGPSGRDGLPGPPGPPG
+PPGQPGHTNGIVECQPGPPGDQGPPGIPGQPGLTGEVGEKGQKGDSCLVCDTAELRGPPG
+PQGPPGEIGFPGQPGAKGDRGLPGRDGLEGLPGPQGAPGLMGQPGAKGEPGEIYFDIRLK
+GDKGDPGFPGQPGMPGRAGSPGRDGQPGLPGPRGSPGSVGLKGERGPPGGVGFPGSRGDI
+GPPGPPGFGPIGPIGDKGQIGFPGTPGAPGQPGPKGEAGKVVPLPGPPGAEGLPGSPGFQ
+GPQGDRGFPGSPGRPGLPGEKGAIGQPGIGFPGPPGPKGVDGLPGDAGPPGNPGRQGFNG
+LPGNPGPPGQKGEPGVGLPGLKGLPGIPGIPGTPGEKGNVGGPGIPGEHGAIGPPGLQGL
+RGDPGPPGFQGPKGAPGVPGIGPPGAMGPPGGQGPPGSSGPPGVKGEKGFPGFPGLDMPG
+PKGDKGSQGLPGLTGQSGLPGLPGQQGTPGQPGIPGPKGEMGVMGTPGQPGSPGPAGVPG
+LPGAKGDHGFPGSSGPRGDPGFKGDKGDVGLPGKPGSMDKVDMGSMKGEKGDQGEKGQTG
+PTGDKGSRGDPGTPGVPGKDGQAGHPGQPGPKGDPGVSGIPGAPGLPGPKGSAGGMGLPG
+MPGPKGVAGIPGPQGIPGLPGDKGAKGEKGQAGLPGIGIPGRPGDKGDQGLAGFPGSPGE
+KGEKGSTGIPGMPGSPGPKGSPGSVGYPGSPGLPGEKGDKGLPGLDGIPGIKGEAGLPGK
+PGPTGPAGQKGEPGSDGIPGSVGEKGESGLPGRGFPGFPGSKGDKGSKGDVGFPGLSGSP
+GIPGSKGEQGFMGPPGPQGQPGLPGTPGHAVEGPKGDRGPQGQPGLPGRPGPMGPPGLPG
+LEGLKGERGNPGWPGTPGAPGPKGDPGFQGMPGIGGSPGITGAKGDVGPPGVPGFHGQKG
+APGLQGVKGDQGDQGFPGTKGLPGPPGPPGPFSIIKGEPGLPGPEGPAGLKGLQGPPGPK
+GQQGVTGSVGLPGPPGEPGFDGAPGQKGETGPFGPPGPRGFPGPPGPDGLPGSMGPPGTP
+SVDHGFLVTRHSQTTDDPQCPPGTKILYHGYSLLYVQGNERAHGQDLGTAGSCLRKFSTM
+PFLFCNINNVCNFASRNDYSYWLSTPEPMPMSMAPITGENIRPFISRCAVCEAPAMVMAV
+HSQTIQIPQCPTGWSSLWIGYSFVMHTSAGAEGSGQALASPGSCLEEFRSAPFIECHGRG
+TCNYYANAYSFWLATIERSEMFKKPTPSTLKAGELRTHVSRCQVCMRRT
+>sp|A2VE39|CMTR1_BOVIN Cap-specific mRNA (nucleoside-2'-O-)-methyltransferase 1 OS=Bos taurus OX=9913 GN=CMTR1 PE=2 SV=1
+MKRRNDSECTAPLKKQKKRVAELALSLSSTSDDEPPSSVNHAAKASATSLSGSDSETEGK
+QRSSDSFDDAFKADSLVEGTSSRYSMYNSVSQKLMAKMGFKEGEGLGKYSQGRKDIVEAS
+NQKGRRGLGLTLQGFDQELNVNWRDEPEPSACEQVSWFPECTTEIPDTQEMSDWMVVGKR
+KMIIEDETEFCGEGLLRSVLKCKSVFDVLDGEEMRRARTRANPYEMIRGVFFLNRAAMKM
+ANMDFVFDRMFTNPRDSYGKPLVKDREAELLYFADVCAGPGGFSEYVLWRKRWHAKGFGL
+TLKGPHDFKLEDFYSASSELFEPYYGEGGIDGDGDITRPENINAFRNFVLDNTDHKGVHF
+LMADGGFSVEGQENLQEILSKQLLLCQFLMALSVVRTGGHFICKTFDLFTPFSVGLIYLL
+YCCFERVCLFKPITSRPANSERYVVCKGLKVGIDEVRDYLFSVNIKLNQLRNTDSDVNLV
+VPLEVIKGDHEFTDYMIRSNEGHCSLQIKALAKIRAFVQDTTLIEPRQAEIRKECLRLWG
+IPDQARVAPSSTDPKSKFFELIQGTEIDIFSYKPTPLTAKTLEKIRPVLDYRCMVSGSEQ
+KFLIGLGKSQIYTWDGRQSDRWVKLDLKTELPRDTLLSVEIVHELKGEGKAQRKISAIHI
+LDVLVLNGSDVREQHFNQRIQLAEKFVKAVSKPSRPDMNPIRVKEVYRLEEMEKIFVRLE
+MKIIKGSSGTPKLSYTGRDDRHFVPTGLYIVRTVNEPWTMGFSKSFKRKFFYNKKTKNST
+FDLPADAIAPFHICYYGRLFWEWGDGIRVHESQKPQDPDKLSKEDVLSFIQTHSA
+>sp|P32749|CHLE_BOVIN Cholinesterase OS=Bos taurus OX=9913 GN=BCHE PE=2 SV=2
+MQSRSTVIYIRFVLWFLLLWVLFEKSHTEEDIIITTKNGKVRGMHLPVLGGTVTAFLGIP
+YAQPPLGRLRFKKPQSLTKWPDIWNATKYANSCYQNTDQSFPGFLGSEMWNPNTDLSEDC
+LYLNVWIPTPKPKNATVMIWIYGGSFQTGTSSLHVYDGKFLARVERVIVVSMNYRVGALG
+FLALPGNPEAPGNVGLFDQQLALQWVQKNIAAFGGNPKSVTLFGESAGAASVSLHLLSPE
+SHPLFTRAILQSGSSNAPWAVTSRYEARNRTLTLAKFIGCSRENDTEIIKCLRNKDPQEI
+LRHEVFVVPYGTLLSVNFGPTVDGDFLTDMPDTLLQLGQFKKTQILVGVNKDEGTAFLVY
+GAPGFSKDNNSIITRKEFQEGLKIFFPGVSEFGKESILFHYMDWLDDQRAEKYREALDDV
+VGDYNIICPALEFTKKFSDMGNNAFFYYFEHRSSKLPWPEWMGVMHGYEIEFVFGLPLER
+RVNYTKAEEIFSRSIMKRWANFAKYGNPNGTQNNSTRWPVFKSNEQKYFTLNTESPKVNT
+KLRAQQCRFWTLFFPKVLEITGNIDEVEREWKAGFHRWNNYMMDWKNQFNDYTSKKESCA
+GL
+>sp|Q3SX21|CLK3_BOVIN Dual specificity protein kinase CLK3 OS=Bos taurus OX=9913 GN=CLK3 PE=2 SV=1
+MHHCKRYRSPEPDPYLSYRWKRRRSYSREHEGRLRYPSRREPPPRRSRSRSHDRLPYQRR
+YREHRDSDTYRCEDRSPSFGEDYYGSSRCHHRRRSREREPYRTRKHAHHCHKRRTRSCSS
+ASSRSQQSSKRSSRSVEDDKEGHLVCRIGDWLQERYEIVGNLGEGTFGKVVECLDHARGK
+SQVALKIIRNVGKYREAARLEINVLKKIKEKDKENKFLCVLMSDWFNFHGHMCIAFELLG
+KNTFEFLKENNFQPYPLPHVRHMAYQLCHALRFLHENQLTHTDLKPENILFVNSEFETLY
+NEHKSCEEKSVKNTSIRVADFGSATFDHEHHTTIVATRHYRPPEVILELGWAQPCDVWSI
+GCILFEYYRGFTLFQTHENREHLVMMEKILGPIPSHMIHRTRKQKYFYKGGLVWDENSSD
+GRYVKENCKPLKSYMLQDTLEHVQLFDLMRRMLEFDPAQRITLAEALLHPFFAGLTPEER
+SFHTSRNPSR
+>sp|Q95136|DRD1_BOVIN D(1A) dopamine receptor OS=Bos taurus OX=9913 GN=DRD1 PE=2 SV=2
+MRTLNTSTMEGTGLVAERDFSFRILTACFLSLLILSTLLGNTLVCAAVIRFRHLRSKVTN
+FFVISLAVSDLLVAVLVMPWKAVAEIAGFWPFGSFCNIWVAFDIMCSTASILNLCVISVD
+RYWAISSPFRYERKMTPKAAFILISVAWTLSVLISFIPVQLSWHKAKPTGPSEGNATSLG
+KTINNCDSSLSRTYAISSSLISFYIPVAIMIVTYTRIYRIAQKQIRRISALERAAVHAKN
+CQTTTGNGNPMECSQPESSFKMSFKRETKVLKTLSVIMGVFVCCWLPFFILNCMVPFCGS
+GETKPFCIDSITFDVFVWFGWANSSLNPIIYAFNADFRKAFSTLLGCYRLCPTTNNAIET
+VSINNNGAVVFSSHHEPRGSISKDCNVVYLIPHAVGSSEGLKKEEAVGIAKPLEKLSPAL
+SVILDYDTDVSLEKIQPITQNGQHPT
+>sp|Q9N287|DJC12_BOVIN DnaJ homolog subfamily C member 12 OS=Bos taurus OX=9913 GN=DNAJC12 PE=2 SV=1
+MDAILNYKSEDTEDYYTLLGCDELSSVEQILAEFKVRALECHPDKHPENSKAVETFQKLQ
+KAKDILTNEASRARYDHWRRSQMSMSFQQWEALSDSVKMSMHWAVRGKKDLMLEESDQTP
+TDKIENEEQDEQKEIKKEEFGSTTEKMEQKESKSVEKSFSPQNPDSPGFANVNCWHLRFR
+WSGDAPSELLRKFRNYEI
+>sp|P18203|FKB1A_BOVIN Peptidyl-prolyl cis-trans isomerase FKBP1A OS=Bos taurus OX=9913 GN=FKBP1A PE=1 SV=2
+MGVQVETISPGDGRTFPKRGQTCVVHYTGMLEDGKKFDSSRDRNKPFKFVLGKQEVIRGW
+EEGVAQMSVGQRAKLTISPDYAYGATGHPGIIPPNATLIFDVELLKLE
+>sp|P0C7Q4|GNAT3_BOVIN Guanine nucleotide-binding protein G(t) subunit alpha-3 OS=Bos taurus OX=9913 GN=GNAT3 PE=1 SV=1
+MGIGISSESKESAKRSKELEKKLQEDAERDARTVKLLLLGAGESGKSTIVKQMKIIHKNG
+YSEQECMEFKAVIYSNTLQSILAIVKAMATLEIDYVNPRSAEDQQQLCAMANTLEDGSMT
+PELAEIIKRLWRDPGVQACFERASEYQLNDSAAYYLNDLDRIAAPGYVPNEQDVLHSRVK
+TTGIIETQFSFKDLHFRMFDVGGQRSERKKWIHCFEGVTCIIFCAALSAYDMVLVEDEEV
+NRMHESLHLFNSICNHKYFATTSIVLFLNKKDLFQEKVTKVHLSICFPEYTGPNTFEDAG
+NYIKNQFLDLNLKKEDKEIYSHMTCATDTQNVKFVFDAVTDIIIKENLKDCGLF
+>sp|Q58DA7|GLRX3_BOVIN Glutaredoxin-3 OS=Bos taurus OX=9913 GN=GLRX3 PE=2 SV=1
+MAGGAAEAAAAVVEVGSSGQFEELLRLRAKSLLVVHFWAPWAPQCAQMNDVMAELAKEHQ
+QVSFVKLEAEAVPEVSEKYEISSVPTFLFFKNSQKIDRLDGAHAPELTKKVQRHASSGSF
+SPSGSEHPKEDLSLRLKKLTHAAPCMLFMKGTPQEPRCGFSKQMVEILNKHNIQFSSFDI
+FSDEEVRQGLKTYSSWPTYPQLYVSGELIGGLDIIKELEASKELDTICPKAPKLEERLKV
+LTNKASVMLFMKGNKQEAKCGFSRQILEILNSTGIEYETFDILEDEEVRQGLKAYSNWPT
+YPQLYVKGELVGGLDIVKELKENGELLPILKGEN
+>sp|Q28880|LAP_BOVIN Lingual antimicrobial peptide OS=Bos taurus OX=9913 GN=LAP PE=1 SV=1
+MRLHHLLLALLFLVLSAGSGFTQGVRNSQSCRRNKGICVPIRCPGSMRQIGTCLGAQVKC
+CRRK
+>sp|P10895|PLCD1_BOVIN 1-phosphatidylinositol 4,5-bisphosphate phosphodiesterase delta-1 OS=Bos taurus OX=9913 GN=PLCD1 PE=2 SV=2
+MDSGRDFLTLHGLQDDKDLQALLKGGQLLKVKSNSWRRERFYKLQEDCKTIWQESRKVMR
+TPESQLFSIEDIQEVRMGHRTEGLEKFARDVPENRCFSIVFKDQRNTLDLIAPSPADAQH
+WVQGLGKIIHHSGSMDQQQKLRHWIHSCLRKADKNKDNKMSFKELQNFLKELNIQVDDSY
+ARKIFKECDHSQTDSLEDEEIETFYKILTQRKEIDRTFEEATGSKETLSVDQLVTFLQHQ
+QREEEAGPALALSLIERYEPSETAKAQRQMTKDGFLMYLLSADGSAFDLAHRRVYQDMDQ
+PLSHYLVSSSHNTYLLEDQLTGPSSTEAYIRALCKGCRCLELDCWDGPNQEPIIYHGYTF
+TSKILFCDVVRAIRDYAFKASPYPVILSLENHCSLEQQRVMARHLRTLLGPMLLDRPLDG
+VVTSLPSPEQLRGKILLKGKKLGGLFPPGGEGGPEATVVSDEDEAAEMEDEAVRSQVQHK
+SKEDKLRLAKELSDMVIYCKSVHFRGFPSSGTSGQAFYEMSSFSENRALRLLQESGNSFV
+RHNVNHLSRIYPAGWRTDSSNYSPVEMWNGGCQIVALNFQTPGSEMDVYQGRFLDNGACG
+YVLKPAFLRDPNSTFNSRALAHGPWWTPKRLNVRVISGQQLPKVNKNKNSIVDPKVTVEI
+HGVSRDVASRQTAVVTNNGFNPWWDTELEFEVAVPELALVRFVVEDYDASSKNDFIGQST
+IPLKSLKQGYRHIHLLSKNGDQHPSATLFVKVALQD
+>sp|Q3SWY9|RAB28_BOVIN Ras-related protein Rab-28 OS=Bos taurus OX=9913 GN=RAB28 PE=2 SV=1
+MSDSEEESLDRQLKIVVLGDGTSGKTSLATCFAQETFGKQYKQTIGLDFFLRRITLPGSL
+NVTLQVWDIGGQTIGGKMLDKYIYGAQGVLLVYDVTNYQSFENLEDWYSVVKKVSEESET
+QPLVALVGNKIDLEHMRTVKPEKHLRFCQENGFSSHFVSAKTGDSVFLCFQKVAAEILGI
+KLNKAEIEQSQRVVKADIVNYNQEPMSRTVNPPRSSMCAVQ
+>sp|A2VDZ4|PLK4_BOVIN Serine/threonine-protein kinase PLK4 OS=Bos taurus OX=9913 GN=PLK4 PE=2 SV=1
+MATCIGEKIEDFRVGNLLGKGSFAGVYRAESIHTGLEVAIKMIDKKAMYKAGMVQRVQNE
+VKIHCQLKHPSILELYNYFEDNNYVYLVLEMCHNGEMNRYLKNRRKPFSENEARHFMHQI
+ITGMLYLHSHGILHRDLTLSNLLLTRNMNIKIADFGLAAQLKMPHEKHYTLCGTPNYISP
+EIATRSAHGLESDIWSLGCMFYTLLIGRPPFDTDTVKNTLNKVVLADYEMPTFLSREAKD
+LIHQLLRRNPADRLSLSSVLDHPFMSRNSSKKSKDLGTVEDSIDSGHATISTAITASSST
+SISGSLFDRRRLLIEQPLPNKMTIFPKNKNPSDFSSSGDGISFYTSWGNQEQETSNSGRG
+RVIQEAEERPHSRYLRRAHSSDRSETSHGQSRVKTYTMERCYSAEMLSKSKRSGVEENER
+YSPTNNDANIFHFFKEKTSNSSGSFEGPDNNQALSNHLCPGKTPFPFPEQTPQTEMVQQW
+FGNLQINDPSCEQSKTRGVEPPLVYQKRTLRSITSPLTAYRLKPIRQKTKKAVVSILDSE
+EVCVELLKDYASQEYVKEVLQISSDGSMITIYYPNDGRGFLLADRPPSPTDNISRYSFDN
+LPEKYWRKYQYASRFVQLVRSKSPKITYFTRYAKCVLMENSPGADFEVWFYDGAKIHKTE
+DLIQVIEKTGRSYTLKGESEVNSLKEEVKMYMNHANEGHRICLALESIISEEEKKSGSAP
+FFPIIVGRRPSSTSSPKALTPPPPVDPNYPMRETPSLNRMIINSAASPKQAPVLNPPVVT
+NEGLGLMGAASETNSSPRSLKDCLPKSAQLLKSVFVKNVGWATQLTSGAVWVQFNDGSQL
+VVQAGVSSISYTSPDGQTTRYGENEKLPEYIKQKLQCLSSILLMFSNPTPSFH
+>sp|P11179|ODO2_BOVIN Dihydrolipoyllysine-residue succinyltransferase component of 2-oxoglutarate dehydrogenase complex, mitochondrial OS=Bos taurus OX=9913 GN=DLST PE=1 SV=2
+MLSRSRCASRAFSRSLSAFQKGNCPLVRRSLPGISLCQGPGYPDSRKTVINSSNIFSVRF
+FRTTAVCKDDVITVKTPAFAESVTEGDVRWEKAVGDTVAEDEVVCEIETDKTSVQVPSPA
+NGVIEALLVPDGGKVEGGTPLFTLRKTGAAPAKAKPAAAPAAAAPKAEPTVSAVPPPPAA
+PIPTQMPPVPSPSQPLTSKPVSAVKPTAAPPRAEAGAGVGLRSEHREKMNRMRQRIAQRL
+KEAQNTCAMLTTFNEIDMSNIQEMRARHKDAFLKKHNLKLGFMSAFVKASAFALQEQPVV
+NAVIDDATKEVVYRDYIDISVAVATPRGLVVPVIRNVETMNYADIERTISELGEKARKNE
+LAIEDMDGGTFTISNGGVFGSLFGTPIINPPQSAILGMHAIVDRPVVIGGKVEVRPMMYV
+ALTYDHRLIDGREAVTFLRKIKAAVEDPRVLLLDL
+>sp|Q9BE41|MYH2_BOVIN Myosin-2 OS=Bos taurus OX=9913 GN=MYH2 PE=2 SV=1
+MSSDQEMAIFGEAAPYLRKSEKERIEAQNKPFDAKTSVFVAEPKESFVKGTIQSREGGKV
+TVKTEGGATLTVKEDQVFPMNPPKFDKIEDMAMMTHLHEPAVLYNLKERYAAWMIYTYSG
+LFCVTVNPYKWLPVYNPEVVTAYRGKKRQEAPPHIFSISDNAYQFMLTDRENQSILITGE
+SGAGKTVNTKRVIQYFATIAVTGDKKKEEITSGKIQGTLEDQIISANPLLEAFGNAKTVR
+NDNSSRFGKFIRIHFGTTGKLASADIETYLLEKSRVTFQLKAERSYHIFYQITSNRKPEL
+IEMLLITTNPYDYPFISQGEISVASIDDQEELIATDSAIDILGFTNEEKVSIYKLTGAVM
+HYGNLKFKQKQREEQAEPDGTEVADKAAYLQSLNSADLLKALCYPRVKVGNEYVTKGQTV
+EQVTNAVGALAKAVYEKMFLWMVARINQQLDTKQPRQYFIGVLDIAGFEIFDFNSLEQLC
+INFTNEKLQQFFNHHMFVLEQEEYKREGIEWTFIDFGMDLAACIELIEKPMGIFSILEEE
+CMFPKATDMSFKNKLYDQHLGKSANFQKPKVVKGKPEAHFALIHYAGVVDYNITGWLEKN
+KDPLNDTVVGLYQKSALKTLAFLFSGTPTGDSEASGGTKKGGKKKGSSFQTVSALFRENL
+NKLMTNLRSTHPHFVRCIIPNETKTPGAMEHELVLHQLRCNGVLEGIRICRKGFPSRILY
+ADFKQRYKVLNASAIPEGQYIDSKKASEKLLASIDIDHTQYKFGHTKVFFKAGLLGLLEE
+MRDEKLAQLMTRTQARCRGFLARVEYQKMVERRESIFCIQYNIRAFMNVKHWPWMKLFFR
+IKPLLKSAETEKEMATMKEEFQKTKDELAKSEAKRKELEEKMVTLLKEKNDLQLQVQSEA
+EGLADAEERCDQLIKTKIQLEAKIKEVTERAEDEEEINAELTAKKRKLEDECSELKKDID
+DLELTLAKVEKEKHATENKVKNLTEEMAGLDETIAKLTKEKKALQEAHQQTLDDLQAEED
+KVNTLTKAKTKLEQQVDDLEGSLEQEKKLRMDLERAKRKLEGDLKLAQESIMDIENEKQQ
+LDEKLKKKEFEISNLQSKIEDEQALGIQLQKKIKELQARIEELEEEIEAERASRAKAEKQ
+RSDLSRELEEISERLEEAGGATSAQIEMNKKREAEFQKMRRDLEEATLQHEATAAALRKK
+HADSVAELGEQIDNLQRVKQKLEKEKSEMKMEIDDLASNVETISKAKGNLEKMCRTLEDQ
+VNELKSKEEEQQRLINDLTTQRGRLQTESGEFSRQLDEKEALVSQLSRGKQAFTQQIEEL
+KRQLEEEIKAKNALAHGLQSARHDCDLLREQYEEEQESKAELQRALSKANTEVAQWRTKY
+ETDAIQRTEELEEAKKKLAQRLQAAEEHVEAVNAKCASLEKTKQRLQNEVEDLMLDVERT
+NAACAALDKKQRNFDKILAEWKQKYEETHAELEAAQKEARSLGTELFKMKNAYEESLDQL
+ETLKRENKNLQQEISDLTEQIAEGGKRMHELEKIKKQVEQEKSEIQAALEEAEASLEHEE
+GKILRIQLELNQVKSEIDRKIAEKDEEIDQLKRNHIRVVESMQTMLDAEIRSRNDAIRLK
+KKMEGDLNEMEIQLNHANRMAAEALKNYRNTQAILKDTQIHLDDALRGQEDLKEQLAMVE
+RRANLLQAEIEELRATLEQTERSRKIAEQELLDASERVQLLHTQNTSLINTKKKLETDIT
+QIQGEMEDILQEARNAEEKAKKAITDAAMMAEELKKEQDTSAHLERMKKNMEQTVKDLQN
+RLDEAEQLALKGGKKQIQKLEARVRELEGEVESEQKRNVEAVKGLRKHERRVKELTYQTE
+EDRKNILRLQDLVDKLQAKVKSYKRQAEEAEEQSNTNLSKFRKLQHELEEAEERADIAES
+QVNKLRVKSREVHTKIISEE
+>sp|Q3ZBW5|RHOB_BOVIN Rho-related GTP-binding protein RhoB OS=Bos taurus OX=9913 GN=RHOB PE=2 SV=1
+MAAIRKKLVVVGDGACGKTCLLIVFSKDEFPEVYVPTVFENYVADIEVDGKQVELALWDT
+AGQEDYDRLRPLSYPDTDVILMCFSVDSPDSLENIPEKWVPEVKHFCPNVPIILVANKKD
+LRSDEHVRTELARMKQEPVRTDDGRAMAVRIQAYDYLECSAKTKEGVREVFETATRAALQ
+KRYGSQNGCINCCKVL
+>sp|Q0P569|NUCB1_BOVIN Nucleobindin-1 OS=Bos taurus OX=9913 GN=NUCB1 PE=2 SV=1
+MPPSGPRAALFLLPSLLLLRAVLAVPLERGAPKEENPATESPDTGLYYHRYLQEVINVLE
+TDGHFREKLQAANAEDIKSGKLSRELDFVSHHVRTKLDELKRQEVSRLRMLLKAKMDAQQ
+EPNIQLDHLNLLKQFEHLDPQNQHTFEARDLELLIQTATRDLAQYDAAHHEEFKRYEMLK
+EHERRRYLESLGEEQRKEAERKLEEQQRRHREHPKVNVPGSQAQLKEVWEELDGLDPNRF
+NPKTFFILHDINSDGVLDEQELEALFTKELEKVYDPKNEDDDMREMEEERLRMREHVMKN
+VDTNQDRLVTLEEFLASTQRKEFGDTGEGWEQGKAGVPLPMAPVLTLQTVEMHPAYTEEE
+LRRFEEELAAREAELNAKAQRLSQETEALGRSQGRLEAQKRELQQAVLQMEQRKQQQQSH
+NNPAPGPEGQLKFHPDTDDVPVPAPAGDQKDVDASEKKVPEQTPEPPQLDSQHL
+>sp|P13600|NGF_BOVIN Beta-nerve growth factor OS=Bos taurus OX=9913 GN=NGF PE=2 SV=3
+MSMLFYTLITALLIGIQAAPHTESNVPAGHAIPQAHWIKLQHSLDTVLRRAHSAPAGPIA
+ARVAGQTHNITVDPKLFKKRRLRSPRVLFSTQPPPVAADTQDLDFEAGGASSFNRTHRSK
+RSSSHPVFHRGEFSVCDSISVWVGDKTTATDIKGKEVMVLGEVNINNSVFKQYFFETKCR
+DPNPVDSGCRGIDAKHWNSYCTTTHTFVKALTMDGKQAAWRFIRIDTACVCVLSRKTGQR
+A
+>sp|P35816|PDP1_BOVIN [Pyruvate dehydrogenase [acetyl-transferring]]-phosphatase 1, mitochondrial OS=Bos taurus OX=9913 GN=PDP1 PE=1 SV=1
+MPAPTQLFFPLIRNCELSRIYGTACYCHHKHLCCSPPYIPQSRPRYTPHPAYATFYRPKE
+SWWQYTQGRRYASTPQKFYLTPPQVNSILKANEYSFKVPEFDGKNVSSVLGFDSNQLPAN
+APIEDRRSAATCLQTRGMLLGVFDGHAGCACSQAVSERLFYYIAVSLLPHETLLEIENAV
+ESGRALLPILQWHKHPNDYFSKEASKLYFNSLRTYWQELIDLNTGESTDIDVKEALINAF
+KRLDNDISLEAQVGDPNSFLNYLVLRVAFSGATACVAHVDGVDLHVANTGDSRAMLGVQE
+EDGSWSAVTLSNDHNAQNEREVERLKLEHPKNEAKSVVKQDRLLGLLMPFRAFGDVKFKW
+SIDLQKRVIESGPDQLNDNEYTKFIPPNYYTPPYLTAEPEVTYHRLRPQDKFLVLATDGL
+WETMHRQDVVRIVGEYLTGMHHQQPIAVGGYKVTLGQMHGLLTERRAKMSSVFEDQNAAT
+HLIRHAVGNNEFGAVDHERLSKMLSLPEELARMYRDDITIIVVQFNSHVVGAYQNQEQ
+>sp|Q08DS3|OSR1_BOVIN Protein odd-skipped-related 1 OS=Bos taurus OX=9913 GN=OSR1 PE=2 SV=2
+MGSKTLPAPVPIHPSLQLTNYSFLQAVNGLPTVPSDHLPNLYGFSALHAVHLHQWTLGYP
+AMHLPRSSFSKVPGAVSSLVDARFQLPAFPWFPHVIQPKPEITAGGSGPAALKTKPRFDF
+ANLALAATQEDPSKLGRGEGPGSPAGGLGALLDVTKLSPEKKPTRGRLPSKTKKEFVCKF
+CGRHFTKSYNLLIHERTHTDERPYTCDICHKAFRRQDHLRDHRYIHSKEKPFKCQECGKG
+FCQSRTLAVHKTLHSQVKELKTSKIKC
+>sp|P22439|ODPX_BOVIN Pyruvate dehydrogenase protein X component OS=Bos taurus OX=9913 GN=PDHX PE=1 SV=3
+MAASWRLGCDPRLLRCLLGFGSRRSPELVKGAARWSVGRGASWRWFHSTQWLRADPIKIL
+MPSLSPTMEEGNIVKWLKKEGEAVSAGDALCEIETDKAVVTLDASDDGILAKIVVAEGSK
+NIRLGSLIGLLVEEGEDWKHVEIPKDTGPPPPAAKPSVPPPSAEPQIATPVKKEHPPGKV
+QFRLSPAARNILEKHALDANQGTATGPRGIFTKEDALKLVQLKQTGKITEPRPTAALPTT
+PAAPLPPQAAATASYPRPMIPPVSTPGQPNVEGTFTEIPASNIRRVIAKRLTESKSTIPH
+AYATTDCDLGAVLTARQNLVRDDIKVSVNDFIIKAAAVTLKQMPNVNASWDGEGAKQLPS
+IDISVAVATDRGLITPVIKDAAAKGLQEIADSVKALSKKARDGKLLPEEYQGGSFSISNL
+GMFGIDEFTAVINPPQACILAVGRFRPVLKLTQDEEGNAQLQQRQLITVTMSSDSRVVDD
+ELATRFLESFKANLENPLRLA
+>sp|Q8HYI9|PFD5_BOVIN Prefoldin subunit 5 OS=Bos taurus OX=9913 GN=PFDN5 PE=2 SV=1
+MAQSVNITELNLPQLEMLKNQLDQEVEFLSTSIAQLKVVQTKYVEAKDCLNVLKKNNEGK
+ELLVPLTSSMYVPGKLHDVEHVLIDVGTGYYVEKTAEDAKDFFKRKIDFLTKQMEKIQPA
+LQEKHAMKQAVMEMMSQKIQQLTTLGAAQATAKA
+>sp|Q2HJ58|PRPS1_BOVIN Ribose-phosphate pyrophosphokinase 1 OS=Bos taurus OX=9913 GN=PRPS1 PE=2 SV=3
+MPNIKIFSGSSHQDLSQKIADRLGLELGKVVTKKFSNQETCVEIGESVRGEDVYIVQSGC
+GEINDNLMELLIMINACKIASASRVTAVIPCFPYARQDKKDKSRAPISAKLVANMLSVAG
+ADHIITMDLHASQIQGFFDIPVDNLYAEPAVLKWIRENISEWRNCTIVSPDAGGAKRVTS
+IADRLNVDFALIHKERKKANEVDRMVLVGDVKDRVAILVDDMADTCGTICHAADKLLSAG
+ATRVYAILTHGIFSGPAISRINNACFEAVVVTNTIPQEDKMKHCSKIQVIDISMILAEAI
+RRTHNGESVSYLFSHVPL
+>sp|P60986|PIP_BOVIN Prolactin-inducible protein homolog OS=Bos taurus OX=9913 GN=PIP PE=3 SV=1
+MYSLHLLLRASPAALLLILCLQLGTTKAQEDTTSRQLMTMDLQMLQIDTSEEATVVLEVS
+TDLRECMVVKAYLLSNIPIEGNFNYKFTSCLCNNYPRRFFWDLQTNSTVRITAVVDVIRE
+LGICPDDWAVIPIKANRFSITKSLP
+>sp|Q5E948|OCAD1_BOVIN OCIA domain-containing protein 1 OS=Bos taurus OX=9913 GN=Ociad1 PE=2 SV=1
+MNGRADFREPNAEVPRPIPHIGADYIPTEEERRVFAECNDESFWFRSVPLAATSMLITQG
+LISKGILSSHPKYGSIPKLIFACIMGYFAGKLSYVKTCQEKFKNLENSPLGEALRSGQAR
+RSSPTGHYSQRSKYDSNVSGHSSFGTSPAADNLEKEMLPHYEPIPFSASLNESTPTGITD
+HIAQGPDPNTEESPKRKNITYEELRNKNRESYEVTLTHKTDPSVRPMQERMPKKEVKVNK
+YGDTWDE
+>sp|Q9N0P9|PIM1_BOVIN Serine/threonine-protein kinase pim-1 OS=Bos taurus OX=9913 GN=PIM1 PE=2 SV=1
+MLLSKINSLAHLRAAPCSDLHATKLAPGKEKEPLESQYQVGPLLGSGGFGSVYSGIRVAD
+NLPVAIKHVEKDRISDWGELPNGTRVPMEVVLLKKVSSGFSGVIRLLDWFERPDSFVLIL
+ERPEPVQDLFDFITERGALQEELARSFFWQVLEAVRHCHDCGVLHRDIKDENILIDLNRG
+ELKLIDFGSGALLKDTVYTDFDGTRVYSPPEWIRYHRYHGRSAAVWSLGILLYDMVCGDI
+PFEHDEEIVRGQVFFRQRVSSECQHLIRWCLALRPSDRPTFEEIQNHPWMQDVLLPQETA
+EIHLHSLSPGPSK
+>sp|A6H7B8|PGAP2_BOVIN Post-GPI attachment to proteins factor 2 OS=Bos taurus OX=9913 GN=PGAP2 PE=2 SV=1
+MYQVPLPLDRDGTLVRLRFTLVALVTVCCPLVAFLFCVLWSLLFHFKETTATHCGVPNYL
+PSVSSAIGGEVPQRYVWRFCIGLHSAPRFLVAFAYWNHYLSCTSPCAGYRPLCRLNFGLN
+VVENVALLVLTYVSSSEDFTIHENAFIVFIASSLSHMLLTCILWRLTKKHTVSQEDRKSY
+NWKQRLFIINFVSFFTALAVYFRHNMYCEAGVYTIFAILEYTVVLTNMAFHMTAWWDFGN
+KELLITSQPEEKRF
+>sp|Q3SYU1|NOC2L_BOVIN Nucleolar complex protein 2 homolog OS=Bos taurus OX=9913 GN=NOC2L PE=2 SV=1
+MAAALSRKRRLEELTVDEFLASGFDSESESEPEGAPEAETQAVRAAAREPDGPGGSPLAS
+RRKGGASEHKDQLSRLKDKDPEFYKFLQENDQSLLNFSDSDSSEDEEEQLHSLPNMLEEA
+SEEEEEEDGVPRGPEGKRRDSVPVTLAMVEKWKQAAKQHLTPKLFHEVVQAFRAAVATTQ
+GDEEGAETSKFQVTDSAVFNALVTFCIRDLFGCLQKLLFGKAPKDSSRVLQPSSSPLWAK
+LRLDVKAYLSSVIQLVACVAEATVAAAILQHVGSSVPYYLTFPKQCRMLLKRMVVLWSTG
+EETLRVLAFVVLIKVCRHKKDVFLSPVLKQMYITYVRNCKFTSPSTLPLINFMQRTLTEL
+LALDTGVAYQHAFLYIRQLAIHLRNAMTTRRKETYQSVYNWQFVHCLYLWCRALSTICPS
+EALQPLIYPLSQVVIGCIKLVPTARFYPLRMHCVRALTLLSESTGTFIPVLPFILEIFQQ
+VDFNRRPGRISSRPINFAVILKLSKVNLQEKAYRDGLVEQLYDLTLEYLHSQAHSIAFPE
+LVLPAVLQLKSFLRECKVANYCRQVRQLLEKVQENAEHIRSVRQKVSFGVSDQRAVDAWE
+KRTREEGTPLTKYYSQWRKLRDREIQLEISGKERLEDLNFPEVKRRKVGDRKDEDRAEFK
+DLFDLESDDEDDAPDFTKRGMPGSPGAWQKVEEEDDDSSSSSGEEEVSKSEDGSPDTEAG
+LDPQELWQLAQGPEDELQDLQLSEED
+>sp|Q2KJ16|PHKG2_BOVIN Phosphorylase b kinase gamma catalytic chain, liver/testis isoform OS=Bos taurus OX=9913 GN=PHKG2 PE=2 SV=1
+MTLDVGPEDELPDWAAAKEFYQKYDPKDVIGRGVSSVVRRCVHRATGQEFAVKIMEVTAE
+RLSPEQLEEVREATRRETHILRQVAGHPHIITLIDSYESSSFMFLVFDLMRKGELFDYLT
+EKVALSEKETRSIMRSLLEAVSFLHNNNIVHRDLKPENILLDDNMQIRLSDFGFSCHLEP
+GEKLRELCGTPGYLAPEILKCSMDETHPGYGKEVDLWACGVILFTLLAGSPPFWHRRQIL
+MLRMIMEGQYQFSSPEWDDRSDTVKDLISRLLQVDPVERLTAEQALQHPFFERCEGSQAW
+NLTPRQRFRVAVWTVLAAGRVALSAHRIRPLTKSALLRDPYALRPVRRLIDNCAFRLYGH
+WVKKGEQQNRAALFQHRPPGPFPMMGPEEEGDSATIAEDEAMLVLG
+>sp|P0C914|OCC1_BOVIN Overexpressed in colon carcinoma 1 protein homolog OS=Bos taurus OX=9913 PE=3 SV=1
+MGCGNSTAASAGAGQGPAGAAKDVTEESITEDDKRRNYGGVYVGLPSEAVNMVSNQTKTV
+QKN
+>sp|Q1LZA0|PIGB_BOVIN GPI mannosyltransferase 3 OS=Bos taurus OX=9913 GN=PIGB PE=2 SV=1
+MERDDGGGGGLFFRGLQNRSHEKTKLRTRKSTLYLSPQKSTGRCGDLGENIYLVLFIIAL
+RICNCFLVQTSFVPDEYWQSLEVAHRMVFNYGYLTWEWTERLRGYTYPLIFASIYKILHL
+LGKDSVHLLIWIPRLAQALLSAIADLRLYSLMKQLENQQVARWVFFCQLCSWFTWYCCTR
+TLTNTMETVLTIIALFYYPLEGSKSMNSVKYSSLVALAFIIRPTAVIPWIPLLFRHFWQE
+QRKLDLILHQFLPVGFVTLSLSLIIDRIFFGQWTLVQYNFLKFNVLQDLGSFYGSHPWHW
+YFSQGFPAVLGTHLPFFIHGCFLAPKRYRIFLVTVLWTLLVYSMLSHKEFRFIYPVLPFC
+MVFCGYSLNNLKTWKKPALSFLFLSNMLLALYTGLVHQRGTLDVMTNIQELSYNNTNVSS
+ASVLMMMPCHSTPYYSHVHYPLPMRFLQCPPDLTGKTDYLVEADMFYLNPLKWLYMEFQN
+DSQLPTHLIMFSVLEEEISPFLISNNYERTAVFFHTHFPESRTGSHIYVYERKLKGKLNQ
+R
+>sp|Q1JQD7|MOCS1_BOVIN Molybdenum cofactor biosynthesis protein 1 OS=Bos taurus OX=9913 GN=MOCS1 PE=2 SV=2
+MAAQPVSRVVRRVLRAGVRSCSSGAPVTQPCPGEPVVEVLSRPRPFLGEHAAPFSAFLTD
+SFGRHHSYLRISLTERCNLRCQYCMPEEGVPLTPKADLLTTEEILTLARLFVKEGVDKIR
+LTGGEPLIRPDVVDIVAQLRQLEGLRTIGITTNGINLARLLPQLQKAGLSAINISLDTLV
+PAKFEFIVRRKGFHKVMEGIHKAIELGYSPVKVNCVVMRGLNEDELLDFVALTEGLPLDV
+RFIEYMPFDGNKWNFKKMVSYKEMLDTLRQQWPELEKLPEEESSTAKAFKIPGFRGQVSF
+ITSMSEHFCGTCNRLRITADGNLKVCLFGNSEVSLRDHLRAGASEEELLRVIGAAVGRKK
+RQHAGMFNISQMKNRPMILIELFLMRQDSPPALPSTFRNSLRVQVLRHRVSFSSQMVTLW
+KGGGVPQAPLVAQRWLGSSLPQRHFSSHLDSDANPKCLSPTEPQAPAASSGPLPDSDQLT
+HVDTEGRMAMVDVGRKPDTERVAVASAVVLLGPVAFKLIQENQLKKGDALAVAQLAGIQA
+AKLTSQLIPLCHHVALSHVQVQLELDRTRHAAVIQASCRARGPTGVEMEALTSAAVAALA
+LYDMCKAVSRDIVLAEIKLVSKTGGQRGDFHRT
+>sp|Q32P79|RPB11_BOVIN DNA-directed RNA polymerase II subunit RPB11 OS=Bos taurus OX=9913 GN=POLR2J PE=1 SV=1
+MNAPPAFESFLLFEGEKKITINKDTKVPNACLFTINKEDHTLGNIIKSQLLKDPQVLFAG
+YKVPHPLEHKIIIRVQTTPDYSPQEAFTNAITDLISELSLLEERFRVAIKDKQEGIE
+>sp|P81264|PRRP_BOVIN Prolactin-releasing peptide OS=Bos taurus OX=9913 GN=PRLH PE=1 SV=1
+MKAVGAWLLCLLLLGLALQGAASRAHQHSMEIRTPDINPAWYAGRGIRPVGRFGRRRAAP
+GDGPRPGPRRVPACFRLEGGAEPSRALPGRLTAQLVQE
+>sp|Q0V8L6|PLD2_BOVIN Phospholipase D2 OS=Bos taurus OX=9913 GN=PLD2 PE=2 SV=1
+MAATPQSLFPSGDDLDSSQLQMEPDEVDTLKEGEDPADRMHPFLAIYHLQPLKLHPLVFA
+PGVPVIAQVVGTERYTSGSKVGTCTLYSVRLTHGDFTWTTKKKFRHFQELHRDLLRHKVF
+MSLLPLARFAVASSPAPEGDSREIPSLPRAGPEGSSRRTASKQKYLENYLNRLLTMSFYR
+NYHAMTEFLEVSQLSFIPDLGCKGLEGVIRKRSGGHRVPGLTCCGRDQVCYRWSKRWLVV
+KDSFLLYMCLETGAISFVQLFDPGFKVQVGKRSTEARYGVRVDTSHRSLILKCSSYRQAR
+WWAQEITELAQGPGRDFIQLHRHDSYAPPRPGTLARWFVNGAGYFAAVADAILRAQEEIF
+ITDWWLSPEIYLKRPAHSDDWRLDIMLKKKAEEGVHVSVLLFKEVELALAINSGYSKKAL
+MLLHPNIKVMRHPDQVTLWAHHEKLLVVDQVVAFLGGLDLAYGRWDDLHYRLTDLGDSSE
+SAAPQPPTSCSDLPATPDLTHNQLFWLGKDYSNLITKDWVQLDRPFDDFIDRETMPRMPW
+RDIGVVVHGSPARDLARHFIQRWNFTKTTKTKYKIPIYPYLLPKSTSTANQLPFTLSGGQ
+CATVQVLRSVDRWSAGTLENSILNAYLHTIRESQHFLYIENQFFISCSDGRTVLNKVGDE
+IVDRILKAHKQGQCFRVYVLLPLLPGFEGDISTGGGNSIQAILHFTYRTLCRGEYSILHR
+LKAAMGTEWRNYISVCGLRTHGELGGHPVSELIYIHSKMLIADDRTVIIGSANINDRSLL
+GKRDSELAVLIEDTEMEPSLMNGVEYQAGRFALSLRKHCFSVILGAAARPHLDLRDPVCD
+AFFQLWQDTAESNANIYEQIFRCLPSNATRSLRALREYVVVEPLATVSPPLARSELNQVQ
+GHLVHFPLKFLEDEYLLPSLGSKEGVMPLEVWT
+>sp|Q2KJ29|PCGF3_BOVIN Polycomb group RING finger protein 3 OS=Bos taurus OX=9913 GN=PCGF3 PE=2 SV=1
+MLTRKIKLWDINAHITCRLCSGYLIDATTVTECLHTFCRSCLVKYLEENNTCPTCRIVIH
+QSHPLQYIGHDRTMQDIVYKLVPGLQEAEMRKQREFYHKLGLEVPGDIKGETCSAKQHLD
+PHRNGETKADDSSNKEAAEEKQEEDGDYHRSDEQVSICLECNSSKLRGLKRKWIRCSAQA
+TVLHLKKFIAKKLNLSSFNELDILCNEEILGKDHTLKFVVVTRWRFKKAPLLLHYRPKMD
+LL
+>sp|P48820|RBP2_BOVIN E3 SUMO-protein ligase RanBP2 (Fragment) OS=Bos taurus OX=9913 GN=RANBP2 PE=2 SV=2
+KQKFEECQRLLLDIPLQTPHKLVDTGRAAKLIQRAEEMKSGLKDFKTFLTNDQTKVSDEE
+SKDSRAGATTAADVSGAPNTETTGPTLEWDNYDLREDALDDSVSSSSVHASPLASSPVRK
+NLFRFGESTTGFNFSFKSALSPSKSPGKLNQSGASVGTDEDSDVTQEEERDGQHFEPVVP
+LPDLVEVSSGEENEQVVFSHRAKLYRYDKDAGQWKERGIGDIKILQNYENKQVRIVMRRD
+QVLKLCANHRITPDMTLQNMKGTERVWVWTACDFADGERKIEHLAVRFKLQDVADSFKKI
+FDEAKVAQEKDFLITPHVARSATPRESPCGKMAVAVLEETTRERTDLSQGDDAADTTSEV
+GGVSGTPEPTTKAVVSPPKFVFGSESVKSIFSSEKSKPFAFGNSSATGSLFGFSFNTPLK
+NNSSEASSAAQSGSERKVEPGGRQESQNSDLKSASDGKVKNTSPAFLKEQFSTSHTFKTP
+EKVEERKKPEDLPSDDDVLIVYELTPTPEQRALASRLQLPPTFFCYKNRPDYISEEEEDD
+EDFDTAVKKLNGKLYLDDSETCRLSEENVTDNEKECVIVWEKKPTVEELAKADTLKLPPT
+FFCGICSDTDEDNGNAEDFQSELQKVQEAQKSQDENIASSADGMCTDDTKVTVPFLCKSE
+EPEFTTKSVSSPSVSSGTVDKPVDLSTRKENDADSTSQVESKTVTFGFGSGPGLSFADLA
+SSNSGDFAFGSKDKNFQWANTGAAVFGAQSTSKVGEDEDGSDEEVVHNEDIHFEPIVSLP
+EVEVKSGEEDEEILFKERAKLYRWDREASQWKDRGVGDIKILWHTVKNYFRILMRRDQVF
+KVCANHVITKTMELKPLNVSNNALVWTASDYADGEAKVEQLAVRFKTKEMADCFKKKFEE
+CQQNLLKPQKGQDSLTAEFSKETNPVVFFDICADDEPLGRITMELFSNIVPKTAENFRAL
+CTGEKGFGFKSSIFHRVIPDFVCQGGDITKHDGTGGRSIYGDKFEDENFDVKHTGPGLLS
+MANRGQDTNNSQFFITLKKAERLDFKHVVFGFVKDGMDTVKKIESFGSPKGSVSRRIIIT
+ECGQI
+>sp|P11064|PPAC_BOVIN Low molecular weight phosphotyrosine protein phosphatase OS=Bos taurus OX=9913 GN=ACP1 PE=1 SV=3
+MAEQVTKSVLFVCLGNICRSPIAEAVFRKLVTDQNISDNWVIDSGAVSDWNVGRSPDPRA
+VSCLRNHGINTAHKARQVTKEDFVTFDYILCMDESNLRDLNRKSNQVKNCRAKIELLGSY
+DPQKQLIIEDPYYGNDADFETVYQQCVRCCRAFLEKVR
+>sp|Q5EAD3|NFE2_BOVIN Transcription factor NF-E2 45 kDa subunit OS=Bos taurus OX=9913 GN=NFE2 PE=2 SV=1
+MSPCPPQQSRNRVTQLPIPEPGEMELTWQEIMSITELQGLNAPSEPSFEPPAPVPYPGPP
+PPPSYCPCSIHSEPGFPLPAPPYELPAPTSHVPDPPYSYGSNMTVPVSKPLTLSGLLSDP
+LPDPLALLDIGLSAGPSKPQEDPESDSGLSLNYSDAESLELEGTEAGRRRSEYVEMYPVE
+YPYSLMPNSLTHPNYALPPAETPLALEPSSGPVRAKPTARGEAGSRDERRALAMKIPFPT
+DKIVNLPVDDFNELLARYPLTESQLALVRDIRRRGKNKVAAQNCRKRKLETIVQLERELE
+RLGSERERLLRARGEADRTLEVMRQQLTDLYRDIFQHLRDEAGNSYSPEDYALHQAADGA
+IFLVPRGTKMEATD
+>sp|Q2M2T3|MPCX_BOVIN Mitochondrial pyruvate carrier-like protein OS=Bos taurus OX=9913 PE=2 SV=1
+MAAVVALCRKAMETVKTKEFRDYLASTHFWGPVANWGLPLAAFRDMRASPDIISGRMTTA
+LIFYSMAFMRFAYRVQPRNLLLMACHGTNIVAQSMQAGRYLNYHYGGGTTAATTAAVSAA
+SATSTGSVDSSATSTGSVDSSATSTGSVDSSAATTPAAEDPVAHSNCQEITCCYLVTWDC
+D
+>sp|Q148L7|PDRG1_BOVIN p53 and DNA damage-regulated protein 1 OS=Bos taurus OX=9913 GN=PDRG1 PE=2 SV=1
+MLSPEAERVLRYLVEVEELAEEVLADKRQIVDLDTKRNRNREGLRALQKDLSLTEDVMVC
+FGNMFIRMPHPETKEMIEKDQEHLDKEIERLRKQLKVKVNRLFEAQGKPELKGFNLTPLN
+QDELKALKVILKG
+>sp|Q2TBS4|PIFO_BOVIN Protein pitchfork OS=Bos taurus OX=9913 GN=PIFO PE=2 SV=1
+MCFSQAETLVNYSFGTCQHRKIFPHFFPSDLLGNKFLPIRGVPHRGPGCYIAEESKDLAY
+SLAKIPTSIKGYGFGARTAVRFKPKNKDVTPYPGMYQTVNLQEQTHKPNFAPFNTLSPRF
+RTYSKDPCYPGPGTYKLEKKEPQKVTWPMKFGSPDWSQVPCLQKRTLKTELSTDKDFRKH
+RNRLAYLSLYYN
+>sp|Q9TUM6|PLIN2_BOVIN Perilipin-2 OS=Bos taurus OX=9913 GN=PLIN2 PE=2 SV=1
+MASVAVEPQLSVVTRVANLPLVSSTYDLVSSAYISRKDQYPYLKSLCEMAEKGMKTITSV
+AVTSALPIIQKLEPQIAVANTYACKGLDRIEEKLPILNQPTNQVVANAKGAMTGAKDAVT
+TTVTGAKDSVASTITGVVDRTKGAVTGSVEKTKSVVSGSINTVLRSRVMQLMSSGVENAL
+TKSELLVDQYLPLTKDELEKEAKKVEGFDMVQKPSYYVRLGSLSTKLRSRAYQQALCRVE
+EAKRKGQETISQLHSAFNLSELARKNVHNANQKIQDAQDKLYLSWLEWKRSIGYDDTDES
+HCAEHIESRTLAIARNLTQQLQTMCHTLLSNIQGLPQNIQDRANHLGVMAGDIYSVFRNA
+ASFKEVSDGLLASSKGQLQKMKESLDDVMDYLVNNTPLNWLVGPFYPQVTESESAQAPGT
+TRRPGRWSRKHPKPVPVSNAEGSQPDDSSS
+>sp|Q2KIY5|PLBL2_BOVIN Putative phospholipase B-like 2 OS=Bos taurus OX=9913 GN=PLBD2 PE=2 SV=1
+MVAPMYGSPGGRLARAVTRALALALVLALLVGLFLSGLTGAIPTPRGQRGRGMPVPPASR
+CRSLLLDPETGQLRLVDGRHPDAVAWANLTNAIRETGWAFLELHTNGRFNDSLQAYAAGV
+VEAAVSEELIYMYWMNTVVNYCGPFEYEVGYCERLKNFLEANLEWMQKEMELNNGSAYWH
+QVRLTLLQLKGLEDSYEGSVAFPTGKFTVKPLGFLLLQISGDLEDLEVALNKTKTNHAMG
+SGSCSALIKLLPGQRDLLVAHNTWHSYQYMLRIMKKYWFQFREGPQAESTRAPGNKVIFS
+SYPGTIFSCDDFYILGSGLVTLETTIGNKNPALWKYVQPTGCVLEWMRNVVANRLALDGD
+SWADIFKRFNSGTYNNQWMIVDYKAFVPGGPSPGRRVLTVLEQIPGMVVVADRTSELYQK
+TYWASYNIPSFESVFNASGLPALVARYGPWFSYDGSPRAQIFRRNHSLVHDLDSMMRLMR
+YNDFLHDPLSLCKACTPKPNGENAISARSDLNPANGSYPFQALHQRSHGGIDVKVTSTAL
+AKALRLLAVSGPTWDQLPPFQWSTSPFSGMLHMGQPDLRKFSPIEVSWD
+>sp|Q3SWX9|RAD21_BOVIN Double-strand-break repair protein rad21 homolog OS=Bos taurus OX=9913 GN=RAD21 PE=2 SV=1
+MFYAHFVLSKRGPLAKIWLAAHWDKKLTKAHVFECNLESSVESIISPKVKMALRTSGHLL
+LGVVRIYHRKAKYLLADCNEAFIKIKMAFRPGVVDLPEENREAAYNAITLPEEFHDFDQP
+LPDLDDIDVAQQFSLNQSRVEEITMREEVGNISILQENDFGDFGMDDREIMREGSAFEDD
+DMLASTGASNLLLEPEQSTSNLNEKINHLEYEDQYKDDNFGEGNDGGILDDKLISNNDGG
+IFDDPPALSEAGVMLPEQPAHDDMDEDDNVSMGGPDSPDSVDPVEPMPTMTDQTTLVPNE
+EEAFALEPIDITVKETKAKRKRKLIVDSVKELDSKTIRAQLSDYSDIVTTLDLAPPTKKL
+MMWKETGGVEKLFSLPAQPLWNNRLLKLFTRCLTPLVPEDLRKRRKGGEADNLDEFLKEF
+ENPEVPREDQQQQHQQRDVIDEPILEEPSRLQESMETSRTNLDESAMPPPPPQGVKRKAG
+QIDPEPMIPPQQAEQMEIPPVELPPEEPPNICQLIPELELLPEKEKEKEKEKEDDEEEED
+EDASGGDQDQEERRWNKRTQQMLHGLQRALAKTGAESISLLELCRNTNRKQAAAKFYSFL
+VLKKQQAIELTQEEPYSDIIATPGPRFHII
+>sp|Q3SZA1|RHOF_BOVIN Rho-related GTP-binding protein RhoF OS=Bos taurus OX=9913 GN=RHOF PE=2 SV=1
+MDAAGAPAPAPAPPAAPGSGRKELKIVIVGDGGCGKTSLLMVYSQGSFPEHYAPSVFEKY
+TASVTVGSKEVTLNLYDTAGQEDYDRLRPLSYQNTHLVLICYDVMNPTSYDNVLIKWFPE
+VTHFCRGIPMVLIGCKTDLRKDKEQLRKLRAAQLEPITYTQGQSACEQIRAALYLECSAK
+FRENVEDVFREAAKVALSALKKAQRQKQHRLCLLL
+>sp|Q3SZ90|RL13A_BOVIN 60S ribosomal protein L13a OS=Bos taurus OX=9913 GN=RPL13A PE=2 SV=3
+MAEGQVLVLDGRGHLLGRLAAIVAKQVLLGRKVVVVRCEGINISGNFYRNKLKYLAFLRK
+RMNTNPSRGPYHFRAPSRIFWRTVRGMLPHKTKRGQAALERLKVFDGIPPPYDKKKRMVV
+PAALKVVRLKPTRKFAYLGRLAHEVGWKYQAVTATLEEKRKEKAKIHYRKKKQLMRLRKQ
+AEKNIEKKIGKFTEVLKTHGFLV
+>sp|P61823|RNAS1_BOVIN Ribonuclease pancreatic OS=Bos taurus OX=9913 GN=RNASE1 PE=1 SV=1
+MALKSLVLLSLLVLVLLLVRVQPSLGKETAAAKFERQHMDSSTSAASSSNYCNQMMKSRN
+LTKDRCKPVNTFVHESLADVQAVCSQKNVACKNGQTNCYQSYSTMSITDCRETGSSKYPN
+CAYKTTQANKHIIVACEGNPYVPVHFDASV
+>sp|A4IFE4|RGF1B_BOVIN Ras-GEF domain-containing family member 1B OS=Bos taurus OX=9913 GN=RASGEF1B PE=2 SV=1
+MPQTPPFSAMFDSSGYNRNLYQSAEDSCGGLYYHDNNLLSGSLEALIQHLVPNVDYYPDR
+TYIFTFLLSSRLFMHPYELMAKVCHLCVEHQRLSDPNSDKNQIRKIAPKILQLLTEWTET
+FPYDFRDERMMRNLKDLAHRIASGEETYRKNVQQMIQCLIRKLAALSQYEEVLAKISSTS
+TDRLTVLKTKPQSIQRDIITVCSDPYTLAQQLTHIELERLNYIGPEEFVQAFVQKDPLDN
+DKSCYSERKKTRNLEAYVEWFNRLSYLVATEICMPVKKKHRARMIEYFIDVARECFNIGN
+FNSLMAIISGMNMSPVSRLKKTWAKVKTAKFDVLEHQMDPSSNFYNYRTALRGAAQRSLT
+AHSSREKIVIPFFSLLIKDIYFLNEGCANRLPNGHVNFEKFWELAKQVSEFMTWKQVECP
+FERDRKILQHLLTVPVFSEDALYLASYESEGPENHIEKDRWKSLRSSLLGRV
+>sp|A6QPQ5|RM01_BOVIN 39S ribosomal protein L1, mitochondrial OS=Bos taurus OX=9913 GN=MRPL1 PE=1 SV=1
+MAATVRCFGRVLIHHQRCSLATVTSQTSLYPCCIYVPVPNRHFAAAAKPAKKTKKGTKEK
+ASNEKKDDIEKIKSYPFMEGEPEDDVYLKRLYPRQIYEVEKAVNLLKKFQVLDFTNPKQG
+VYLDLTLDMTLGKKKKVEPFASVLSLPYPFISEMSKVAVFTGNASEIKIAEENGAAFAGG
+TNLIQKILDDEIQADFYIAVPEIMPELNPLRKKLKTRFPKFNRNSVGRDIPKMLELFKTG
+LEIKVDEERENFLETKIATLDMPSDQIAANLQAVINEVCRQRPLNLGPFVVRAFLRSSTS
+EGLLLKIEPLLPKEGETKESDKKAV
+>sp|Q9N126|RDH8_BOVIN Retinol dehydrogenase 8 OS=Bos taurus OX=9913 GN=RDH8 PE=1 SV=1
+MADAPRTVLISGCSSGIGLELAVQLAHDPRQRYQVVATMRDLGKKGTLETAAGEALGQTL
+TVAQLDVCSDESVAQCLNCIQGGEVDVLVNNAGVGLVGPLEGLSLAAMQNVFDTNFFGAV
+RLVKAVLPSMKRRRQGHIVVVSSVMGLQGVVFNEVYAASKFAMEGFFESLAVQLLQFNIF
+ISLVEPGPVVTEFEGKLLEQVSTAEFPGTDPDTLSYFRDLYLPASRELFHNVGQSPQDVA
+KVIVKVIGSARPPLRRRTNTRYTPLIALKAMDPSGSLYVRTSHCLLFRWPRLLNLGLRCL
+ACSCFRTPVWPR
+>sp|P08814|PTMS_BOVIN Parathymosin OS=Bos taurus OX=9913 GN=PTMS PE=1 SV=2
+MSEKSVEAAAELSAKDLKEKKEKVEEKAGRKERKKEVVEEEENGAEEEEEETAEDGEEED
+DGDEEDEEEEEEEDEGPALVRAAEEEDEADPKRQKTENGASA
+>sp|P01268|PTHY_BOVIN Parathyroid hormone OS=Bos taurus OX=9913 GN=PTH PE=1 SV=1
+MMSAKDMVKVMIVMLAICFLARSDGKSVKKRAVSEIQFMHNLGKHLSSMERVEWLRKKLQ
+DVHNFVALGASIAYRDGSSQRPRKKEDNVLVESHQKSLGEADKADVDVLIKAKPQ
+>sp|Q3SZ10|RL3L_BOVIN 60S ribosomal protein L3-like OS=Bos taurus OX=9913 GN=RPL3L PE=2 SV=3
+MSHRKFSAPRHGHLGFLPHKRSHRHRGKVKTWPRDDPSQPVHLTAFLGYKAGMTHTLREV
+HRPGLKISKREEVEAVTIVETPPLVVVGVVGYVATPRGLRSFKTIFAEHLSDECRRRFYK
+DWHKSKKKAFTKACKRWRDADGKKQLQKDFAAMKKYCKVIRVIVHTQMKLLPFRQKKAHI
+MEVQLNGGTVAEKVAWAQARLEKQVPVHSVFSQNEIIDVIAVTKGRGIKGVTSRWHTKKL
+PRKTHKGLRKVACIGAWHPARVGCSIARAGQKGYHHRTELNKKIYRIGRGLHMEDGKVVK
+NNASTSYDVTDKSITPLGGFPHYGEVNNDFVMLKGCIAGTKKRVITLRKSLLVHHSRQAL
+ENIELKFIDTTSKFGHGRFQTAQEKRAFMGPQKKHLEKEKPETSGDL
+>sp|Q58DW5|RL5_BOVIN 60S ribosomal protein L5 OS=Bos taurus OX=9913 GN=RPL5 PE=2 SV=3
+MGFVKVVKNKAYFKRYQVKFRRRREGKTDYYARKRLVIQDKNKYNTPKYRMIVRVTNRDI
+ICQIAYARIEGDMIVCAAYAHELPKYGVKVGLTNYAAAYCTGLLLARRLLNRFGMDKIYE
+GQVEVTGDEYNVESIDGQPGAFTCYLDAGLARTTTGNKVFGALKGAVDGGLSIPHSTKRF
+PGYDSESKEFSAEVHRKHIMGQNVADYMRYLIEEDEDAYKKQFSQYIKNNVTPDMMEEMY
+KKAHAAIRENPVYEKKPKKEVKKKRWNRPKMSLAQKKDRVAQKKASFLRAQERAAES
+>sp|Q29RK4|RD23B_BOVIN UV excision repair protein RAD23 homolog B OS=Bos taurus OX=9913 GN=RAD23B PE=2 SV=1
+MLVTLKTLQQQTFKIDIDPDETVRALKEKIESEKGKDAFPVAGQKLIYAGKILNDDTALK
+EYKIDEKNFVVVMVTKPKAVTTPAPATTQQSNSAATTTVSSSTAPAVTQAPAPAPASAPT
+PTPVSVTPAPTTASSEPAPASAAKQEKPAERPVETPVATTPTSTDSTSGDSSRSNLFEDA
+TSALVTGQSYENMVTEIMSMGYEREQVIAALRASFNNPDRAVEYLLMGIPGDRESQAVVD
+PPPAASTGAPQSSVAAAAATTTATTTTTSSGGHPLEFLRNQPQFQQMRQIIQQNPSLLPA
+LLQQIGRENPQLLQQISQHQEHFIQMLNEPVQEAGGQGGGGGGGSGGIAEAGGGHMNYIQ
+VTPQEKEAIERLKALGFPEGLVIQAYFACEKNENLAANFLLQQNFDED
+>sp|A8NN94|RM34_BOVIN 39S ribosomal protein L34, mitochondrial OS=Bos taurus OX=9913 GN=MRPL34 PE=1 SV=1
+MAFSVGSVGCLLGPVSRSAGLLGGRWLQGSRAWLGLPDTRRLPVIQQTRGRTRGNEYQPS
+NIKRKNKHGWIRRLSTPNGVQVILRRMHKGRKSLSH
+>sp|P0C2B6|RM51_BOVIN 39S ribosomal protein L51, mitochondrial OS=Bos taurus OX=9913 GN=MRPL51 PE=1 SV=1
+MAGSLSWVAGRRLWGLVPLACRSFFLGVPRLFHVRVTLPPPKVIDRWNQKRAMFGVYDNI
+GILGNFEKHPKELIKGPVWLRGWKGNELQRCIRKKRMVGNRMFIDDLHNLNKRISFLYKR
+FNRHGKHR
+>sp|Q3MHP0|RNPC3_BOVIN RNA-binding region-containing protein 3 OS=Bos taurus OX=9913 GN=RNPC3 PE=2 SV=1
+MAAPEQPLPMSRGCQNSSSLSPPRGDRTLLVRHLPAELTAEEKEDLLKYFGAQSVRDLSD
+KGRLKHTAFATFPNEKTAVKALTRLHQLKLLGHTLVVEFAKEQDRVHSPCPSLGTEKKKR
+SDDPVEDDKEKKELDCLTIENGIAPNHGLTFPLNSCLKYMYPPPSSTILANIVNALASVP
+KFYVQVLHLMNKMNLPTPFGPITTRPPMYEDYMPLHAPLPPTSPQPPEEPPLPDEDEELS
+SKESEYESSDDEDRQRMTKLMELANLQPKRPKPIKQRHVRKKRKIKDMLNIPSSASHSLH
+PVLLPSDVFDQPQPVGNKKIEFHISTDMQVAFKKDLEKQQNCEEENSDLPATEADASNIG
+FGKIFPQPNLNITEEIKDDSDEMPSECISRRELEKGRISREEMETLSVFRSYEPGEPNCR
+IYVKNLAKHVQEKDLKFIFGRYVDFSSETQRIMFDIRLMKEGRMKGQAFIGLPNEKAAAK
+ALKEANGYVLFGKPMVVQFARSARPKQDSKEGKRKC
+>sp|Q3MHH3|S1PBP_BOVIN S100P-binding protein OS=Bos taurus OX=9913 GN=S100PBP PE=2 SV=1
+MMCSLVPSEQSSGTSLLPKDNAPFSWSSLDEDELDDSLLELSDGEDDGHFSFTEEQIQEL
+LKDDDLSNEHFPWGGGLPSDDSRNVEKGEKGSQIPLDTPQEKDSPYNMGPEAETPDTFKL
+PQLTTSVGHGPTPAKSLNRRFALEKNLIKVTVAPFDPTVCDVALDKDKTYVSEVTSRVTE
+KPSSLGEEMREDDLSPNESTLCTESEGISPDNSASDGPPLPSSNSNFQHTVSDKNMSDSK
+KATPVFSQILDHSETPNTGSSRRNGSYKSSFEMKLPVSSSSSKDVLDKDSGKLKVHEKRL
+GKVIPVLQAKTRTNVPTFSPSDLEKQKQSYLRNVIAHIEDPVDSNQGTLGELCALMDQVH
+HMHNQKWQHPSDLTRRNYARFRQKSLQRYSLTQWVDRNKRSHHRFQRLPDFPYGPFVSSH
+QQ
+>sp|Q0P5L0|RBM42_BOVIN RNA-binding protein 42 OS=Bos taurus OX=9913 GN=RBM42 PE=2 SV=1
+MAGAGPAPGLPGAGGPVVPGPGAGIPGKSGEERLKEMEAEMALFEQEVLGAPVTGIPTAV
+PAVPTVPTVEAMQVPAAPVIRPIIATNTYQQVQQTLEARAAAAATVVPPMVGGPPFVGPV
+GFGPGDRSHLDSPEAREAMFLRRAAAGPRPMALRPPHQALVGPPLPGPPGPPMMLPPMAR
+APGPPLGSMAALRPPLEEPATPRELGLGLGLGLKEKEEAVVAAAAGLEEASAVVAVGAGG
+APAGPAVIGPSLPLALAMPLPEPEPLPLPLEVVRGLLPPLRIPELLSLRPRPRPPRPEPP
+PGLMALEVPEPLSEDKKKGKPEKLKRCIRTAAGSSWEDPSLLEWDADDFRIFCGDLGNEV
+NDDILARAFSRFPSFLKAKVIRDKRTGKTKGYGFVSFKDPSDYVRAMREMNGKYVGSRPI
+KLRKSMWKDRNLDVVRKKQKEKKKLGLR
+>sp|Q3ZCC9|SEC13_BOVIN Protein SEC13 homolog OS=Bos taurus OX=9913 GN=SEC13 PE=2 SV=1
+MVSVINTVDTSHEDMIHDAQMDYYGTRLATCSSDRSVKIFDVRNGGQILVADLRGHEGPV
+WQVAWAHPMYGNILASCSYDRKVIIWKEENGTWEKTHEHTGHDSSVNSVCWAPHDYGLIL
+ACGSSDGAISLLTYTGLGQWEVKKINNAHTIGCNAVSWAPAVVPGSLIDQPSGQKPNYIK
+KFASGGCDNLIKLWKEEEDGQWKEEQKLEAHSDWVRDVAWAPSIGLPTSTIASCSQDGRV
+FVWTCDDASGNTWSPKLLHKFNDVVWHVSWSITANILAVSGGDNKVTLWKESVDGQWVCI
+SDVNKGQGPVSTSVTEGQQNDQ
+>sp|Q3T0C9|SYJ2B_BOVIN Synaptojanin-2-binding protein OS=Bos taurus OX=9913 GN=SYNJ2BP PE=2 SV=1
+MNGRVDYLVTEEEINLTRGPSGLGFNIVGGTDQQYVSNDSGIFVSRIKENGAAALDGRLQ
+EGDKILSVNGQDLKNLLHQDAVDLFRNAGYAVSLRVQHRLQVQNGPIGPQGEGEPSGIPI
+AMVLVPVFALTMVAAWAFMRYRQRL
+>sp|A6QQ93|SHL2B_BOVIN Protein shisa-like-2B OS=Bos taurus OX=9913 GN=SHISAL2B PE=2 SV=1
+MSEASRVCSGYYSLNHSFVEPFQCPRRGEGATLLYCCGFADLKYCCSEPGSYFPYKHSYM
+WSLSIGALIGLGIAALVLLAFVISVCVLCYLFLYTKPQRLDTGLKLQHLEAVSTQEGNSN
+RKSKAPRSNAASNSTNETFYEADDIIQEKTMDTTQINTAYC
+>sp|Q2TBS3|SCP2D_BOVIN SCP2 sterol-binding domain-containing protein 1 OS=Bos taurus OX=9913 GN=SCP2D1 PE=2 SV=1
+MWKRIDHQLKIKAGDGPQAGQFKELGPGREPAVPHPLSLSEFQTVPVFEDISQHVKEVGS
+QLVKKVNAIFQLDITKDGKTVHQWTIDLKNGSGDTYRGPARLPADTVFTIPEPVFMELIL
+GKMNPQKAFLAGKFKVSGKVLLGQKLERVFKDWAKW
+>sp|P19217|ST1E1_BOVIN Estrogen sulfotransferase OS=Bos taurus OX=9913 GN=SULT1E1 PE=1 SV=1
+MSSSKPSFSDYFGKLGGIPMYKKFIEQFHNVEEFEARPDDLVIVTYPKSGTTWLSEIICM
+IYNNGDVEKCKEDVIFNRVPYLECSTEHVMKGVKQLNEMASPRIVKSHLPVKLLPVSFWE
+KNCKIIYLSRNAKDVVVSYYFLILMVTAIPDPDSFQDFVEKFMDGEVPYGSWFEHTKSWW
+EKSKNPQVLFLFYEDMKENIRKEVMKLLEFLGRKASDELVDKIIKHTSFQEMKNNPSTNY
+TTLPDEVMNQKVSPFMRKGDVGDWKNHFTVALNEKFDMHYEQQMKGSTLKFRTKI
+>sp|Q0IIE6|ELMD1_BOVIN ELMO domain-containing protein 1 OS=Bos taurus OX=9913 GN=ELMOD1 PE=2 SV=1
+MKHFLRMLIQVCLFFYCKFLWRCLKFVMRKLTGRCELQRICYNTKPGASRTMKIETSLRD
+SKSKLLQTSVSVHPDAIEKTIDDIMELKKINPDINPQLGISLQACLLQIVGYRNLIADVE
+KLRRESYDSDNPQHEEMLLKLWKFLKPNTPLESRISKQWCEIGFQGDDPKTDFRGMGLLG
+LYNLQYFAERDAAAAQQVLSDSLHPKCSKFSKAEWEKKRMDKAIGYSFAIVGINITDLAY
+NLLVSGALKTHFYNIAPEAPTLSHFQQTFCYLMHEFHKFWIEEDPMDIMEFNRVREKFRK
+RIIKQLQNPDMALCPHFAASEGLINM
+>sp|Q08DG4|FBXL8_BOVIN F-box/LRR-repeat protein 8 OS=Bos taurus OX=9913 GN=FBXL8 PE=2 SV=1
+MAEPGEQLPEEVLALIFRHLPLPDRAAAARVCRAWAAAATCSAVWHDTSISCDCELEGML
+PPYLSACLDHVQNLWLEFEPSRKSSRRAATDLLTALTGRTPGLRGLCLECRGEKPLFDAG
+RDVLDAVHALCGAASALRHLDLRRLPFSLDDALVLQVAHGCPELRSLFLDNRTLVGSVGP
+GSVLELLEACPCLRALGLHLASLSRTALEALAAPERAPFELLALRCACPEDARAPPLPDE
+AWAALSLRHPGLQVELELEPVLPAESVTRVLQPAVPVATLRLSLSGDTVGPVRFAARHYA
+ATLRALEVRAAASAELDAALEELAARCARLREVHCFCVVRPSVLHAFRARCPRLRSYTLK
+VTREPHPWRPTLVA
+>sp|P01577|IFNB3_BOVIN Interferon beta-3 OS=Bos taurus OX=9913 GN=IFNB3 PE=3 SV=1
+MTYRCLLPMVLLLCFSTTALSRSYSLLRFQQRRSAEVCQKLLGQLHSTPQHCLEAKMDFQ
+VPEEMNQAQQFRKEDAILVIYEMLQQIFNILTRDFSSTGWSETIIEDLLVELYGQMNRLQ
+PIQKEIMQEQNFTMGDTTVLHLKKYYFNLVQYLESKEYNRCAWTVVRVQILTNFSFLMRL
+TASLRD
+>sp|Q17QE2|LMCD1_BOVIN LIM and cysteine-rich domains protein 1 OS=Bos taurus OX=9913 GN=LMCD1 PE=2 SV=1
+MAKVAKDLNPRVQKMSLGQQQSARGVPCLRCKGTCSGFEPHSWRKICKSCKCSQEDHCLS
+SDLEDDRKIGRLLMDSKYSTLTARVKGGDGIRIYKRNRMIMTNPIATGKDPTFDTITYEW
+APPGVTQKLGLQYMELIPKEKQPVTGTEGALYRRRQLMHQLPIYDQDPSRCRGLLENELK
+VMEEFVKQYKSEALGVGEVALPGQGGLPKEEGKQQEKPEGAETAPPTTNGSIGDPSKEYV
+CELCKGVAPADSPVVYSDRAGYSKQWHPACFVCAKCSEPLVDLIYFWKDGAPWCGRHYCE
+SLRPRCSGCDEIIFSEDYQRVEDLAWHRKHFVCEGCEQQLGGRAYIVTMGQLLCPTCSKS
+KRS
+>sp|Q52PG9|KCND1_BOVIN Potassium voltage-gated channel subfamily D member 1 OS=Bos taurus OX=9913 GN=KCND1 PE=2 SV=1
+MAAGVATWLPFARAAAVGWLPLAQQPLPPAPGVKASRGDEVLVVNVSGRRFETWKNTLDR
+YPDTLLGSSEKEFFYNADSGEYFFDRDPDMFRHVLNFYRTGRLHCPRQECIQAFDEELAF
+YGLVPELVGDCCLEEYRDRKKENAERLAEDEEAEQAGDGPTLPAGSSLRQRLWRAFENPH
+TSTAALVFYYVTGFFIAVSVIANVVETIPCRSPTRRPPREQPCGDRFPLAFFCMDTACVL
+IFTGEYLLRLFAAPSRCRFLRSVMSLIDVVAILPYYIGLFMPKNEDVSGAFVTLRVFRVF
+RIFKFSRHSQGLRILGYTLKSCASELGFLLFSLTMAIIIFATVMFYAEKGTNKTNFTSIP
+AAFWYTIVTMTTLGYGDMVPSTIAGKIFGSICSLSGVLVIALPVPVIVSNFSRIYHQNQR
+ADKRRAQQKVRLARIRLAKSGTTNAFLQYKQNGSLEDSGGGEEQALCVRNRSAFEQQHHH
+LLHCLEKTTCHEFTDELTFSEALGAVSLGSRTSRSTSVSSQPVGAGSLLSSCCPRRAKRR
+AIRLANSTASVSRGSMQELDTLAGLRRSPAPQSRSSLNAKPHDSLDLTCDSRDFVAAIIS
+IPTPPANTPDESQPSSPGGGGGGASSTLRNSSLGTPCLLPETVKISSL
+>sp|P21839|ODBB_BOVIN 2-oxoisovalerate dehydrogenase subunit beta, mitochondrial OS=Bos taurus OX=9913 GN=BCKDHB PE=1 SV=2
+MAAVAAFAGWLLRLRAAGADGPWRRLCGAGLSRGFLQSASAYGAAAQRRQVAHFTFQPDP
+EPVEYGQTQKMNLFQAVTSALDNSLAKDPTAVIFGEDVAFGGVFRCTVGLRDKYGKDRVF
+NTPLCEQGIVGFGIGIAVTGATAIAEIQFADYIFPAFDQIVNEAAKYRYRSGDLFNCGSL
+TIRSPWGCVGHGALYHSQSPEAFFAHCPGIKVVVPRSPFQAKGLLLSCIEDKNPCIFFEP
+KILYRAAVEQVPVEPYNIPLSQAEVIQEGSDVTLVAWGTQVHVIREVAAMAQEKLGVSCE
+VIDLRTILPWDVDTVCKSVIKTGRLLVSHEAPLTGGFASEISSTVQEECFLNLEAPISRV
+CGYDTPFPHIFEPFYIPDKWKCYDALRKMINY
+>sp|Q58CR1|MTFR2_BOVIN Mitochondrial fission regulator 2 OS=Bos taurus OX=9913 GN=MTFR2 PE=2 SV=1
+MKKQCFFEFASVFASSMSFILSILREMLEYFGVPINQILHIWENKDYGSARSIVRIIGKL
+LPLEPCPRPNFELVPLLNSVDPANCGSVVPSFADVWCVANDEEASYLRFRTWKNEEEEKI
+ASFHPLQLFEGPLTPAVRRNKPRKNDWPESETAIKKIAALEDELAFLRSQIAAIVGRQEL
+GNSTKAGFLDLNGRPSGFGQKPSSGATQLNVKQDSFSSSVRPSSPPPPSPPQISSVQPPC
+SPLMKIASNSICASDNSTTEVKQQHPDAGKTNYSHHSKNQKNEAVPNMLDVLKDMNKVKL
+RAIERSPGGRPIHKRKREDSPWDPVSLISHALKQKFAFQEEDSFEKEDNCWESSPFSSPE
+TSRVRL
+>sp|Q2KIC0|NSRP1_BOVIN Nuclear speckle splicing regulatory protein 1 OS=Bos taurus OX=9913 GN=NSRP1 PE=2 SV=1
+MAIPGRQYGLILPKKAQQLHPVLQKPSVFGNDSDDDDDETSVSESLQREAAKKQAMKQTK
+LEIQKALAEDSTVYEYDSIYDEMQKKKEESNPKLLLGKDRKPKYIHNLLKAVEIRKKEQE
+KRMEKKIQREREMEKGEFDDKEAFVTSAYKKKLQERAEEEERERRAAALEARLDVTKQRD
+LSGFYRHLLNQAVGEEEVPTCSFREARSEIKEEKSKGYSDEVSSESRIPPENCIRQTGVK
+VEENPDADSDFDAKSSENDEMEGDKGNCRREKGTETLSSHSKHHRNPARSPSSSEEREHG
+TQCHTKSSRSRGHEKREDEHQERPAREQDSYHTDRDSRKEKRDSHRHRESSHRDSHWKRH
+EEERLRGRDERERNDREWKREKDREKYPSREQERHRQRNNYDRHNEKGCEKEEKSKEKEE
+HVKARKERYENSDKYRDREKREVSVESSERNRDRKESSPNSRAKDRFVNQERASKMRDME
+KDKERNPEKPSSSEASLGAKHRITEECQETGKEQERLHETVNKFAKRSNEETVTSARDRY
+LARQMARINAKTYIEKEDD
+>sp|P31228|OXDD_BOVIN D-aspartate oxidase OS=Bos taurus OX=9913 GN=DDO PE=1 SV=2
+MDTVRIAVVGAGVMGLSTAVCISKMVPGCSITVISDKFTPETTSDVAAGMLIPPTYPDTP
+IQKQKQWFKETFDHLFAIVNSAEAEDAGVILVSGWQIFQSIPTEEVPYWADVVLGFRKMT
+KDELKKFPQHVFGHAFTTLKCEGPAYLPWLQKRVKGNGGLILTRRIEDLWELHPSFDIVV
+NCSGLGSRQLAGDSKIFPVRGQVLKVQAPWVKHFIRDSSGLTYIYPGVSNVTLGGTRQKG
+DWNLSPDAEISKEILSRCCALEPSLRGAYDLREKVGLRPTRPSVRLEKELLAQDSRRLPV
+VHHYGHGSGGIAMHWGTALEATRLVNECVQVLRTPAPKSKL
+>sp|Q0IIG5|PFKAM_BOVIN ATP-dependent 6-phosphofructokinase, muscle type OS=Bos taurus OX=9913 GN=PFKM PE=2 SV=1
+MTHEHHAAKTLGIGKAIAVLTSGGDAQGMNAAVRAVVRVGIYTGARVFFVHEGYQGLVDG
+GDNIREATWESVSMMLQLGGTVIGSARCKDFREREGRLRAAHNLVKRGITNLCVIGGDGS
+LTGADTFRSEWSDLLSDLQKSGKITAEEATKSSYLNIVGLVGSIDNDFCGTDMTIGTDSA
+LHRIIEIVDAITTTAQSHQRTFVLEVMGRHCGYLALVTSLSCGADWVFIPECPPDDDWEE
+HLCRRLSETRNRGSRLNIIIVAEGAIDKNGKPITSEDIKNLVVKRLGYDTRVTVLGHVQR
+GGTPSAFDRILGSRMGVEAVMALLEGTPDTPACVVSLSGNQAVRLPLMECVQVTKDVTRA
+MDERRFDEALKLRGRSFMNNWEVYKLLAHVRPPKSKSGSHTVAVMNVGAPAAGMNAAVRS
+TVRIGLIQGNRMLVVHDGFEGLAKGQIEEAGWSYVGGWTGQGGSKLGTKRTLPKKSFEQI
+SANITKFNIQGLVIIGGFEAYTGGLELMEGRKQYDELCIPFVVIPATVSNNVPGSDFSVG
+ADTALNTICMTCDRIKQSAAGTKRRVFIIETMGGYCGYLATMAGLAAGADAAYIFEDPFT
+IRDLQVNVEHLVQKMKTTVKRGLVLRNEKCNENYTTDFIFNLYSEEGKGIFDSRKNVLGH
+MQQGGSPTPFDRNFATKMGAKAMNWMSGKIKESYRNGRIFANSPDSGCVLGMRKRALVFQ
+PVTELKEQTDFEHRIPKEQWWLKLRPILKILAKYEIDLDTSEHAHLEHISRKRSGEANV
+>sp|Q2TBK3|PLAC9_BOVIN Placenta-specific protein 9 OS=Bos taurus OX=9913 GN=PLAC9 PE=3 SV=1
+MRLLLCALAGLALLRAPGAFAADEPFIPSRGDSAQSTGCDTYMAVHSRLDVIEETVEKTV
+EHLEAEVKGLLGQLEELAWNLPPGPFSPIPDLLGDGDDRF
+>sp|Q05B66|OPA3_BOVIN Optic atrophy 3 protein homolog OS=Bos taurus OX=9913 GN=OPA3 PE=2 SV=1
+MVVGAFPMAKLLYLGIRQVSKPLANRIKEAARRSEFFKTYICLPPAQLYHWVEMRAKMRL
+MGFNAETIKPLNEEAAAELGAELLGEATIFLVACGCLVLEYSRQKTQQRRKEGEQLAAWD
+AMRDEVDHLALVLEALQAQVQAAPPPGALEELQAQMREVRAQLCVQDLPPAPQEEPTPRD
+EGPPDPGLGQD
+>sp|A7E3S4|RAF1_BOVIN RAF proto-oncogene serine/threonine-protein kinase OS=Bos taurus OX=9913 GN=RAF1 PE=2 SV=1
+MEHIQGAWKTISNGFGFKDTVFDGTSCISPTIVQQFGYQRRASDDGKLTDPSKTSNTIRV
+FLPNKQRTVVNVRNGMSLHDCLMKALKVRGLQPECCAVFRLLHEHKGKKARLDWNTDAAS
+LIGEELQVDFLDHVPLTTHNFARKTFLKLAFCDICQKFLLNGFRCQTCGYKFHEHCSTKV
+PTMCVDWSNIRQLLLFPNSTVGDGGVPALPSLTMRRMRESVSRIPPGSQHRYSTPHAFTF
+SASSPSSEGSLSQRQRSTSTPNVHMVSATLPVDSRMIEDAIRSHSESGSPSALSSSPNNL
+SPTGWSQPKTPAPAQRERAPGSSTQEKNKIRPRGQRDSSYYWEIEASEVMLSTRIGSGSF
+GTVYKGKWHGDVAVKILKVVDPTPEQFQAFRNEVAVLRKTRHVNILLFMGYMTKDNLAIV
+TQWCEGSSLYKHLHVQETKFQMFQLIDIARQTAQGMDYLHAKNIIHRDMKSNNIFLHEGL
+TVKIGDFGLATVKSRWSGSQQVEQPTGSILWMAPEVIRMQDNNPFSFQSDVYSYGIVLYE
+LMTGELPYSHINNRDQIIFMVGRGYASPDLSKLYKNCPKAMKRLVADCVKKVKEERPLFP
+QILSSIELLQHSLPKINRSASEPSLHRAAHTEDINACTLTTSPRLPVF
+>sp|O18973|RABX5_BOVIN Rab5 GDP/GTP exchange factor OS=Bos taurus OX=9913 GN=RABGEF1 PE=1 SV=1
+MSLKSERRGIHVDQSELLCKKGCGYYGNPAWQGFCSKCWREEYHKARQKQIQEDWELAER
+LQREEEEAFASSQSSQGAQSLTFSKFEEKKTNEKTRKVTTVKKFFSASSRVGAKKAEIQE
+AKAPSPSINRQTSIETDRVSKEFIEFLKTFHKTGQEIYKQTKLFLEAMHYKRDLSIEEQS
+ECTQDFYQNVAERMQTRGKVPPERVEKIMDQIEKYIMTRLYKYVFCPETTDDEKKDLAIQ
+KRIRALHWVTPQMLCVPVNEEIPEVSDMVVKAITDIIEMDSKRVPRDKLACITKCSKHIF
+NAIKITKNEPASADDFLPTLIYIVLKGNPPRLQSNIQYITRFCNPSRLMTGEDGYYFTNL
+CCAVAFIEKLDAQSLNLSQEDFDRYMSGQTSPRKQESENWSPDACLGVKQMYKNLDLLSQ
+LNERQERIMNEAKKLEKDLIDWTDGIAKEVQDIVEKYPLEIRPPNQSVAAIDSENVENDK
+LPPPLQPQVYAG
+>sp|Q3B7M3|RIPR2_BOVIN Rho family-interacting cell polarization regulator 2 OS=Bos taurus OX=9913 GN=RIPOR2 PE=2 SV=1
+MLVGSQSFSPGGPNGIIRSQSFAGFSGLQERRSRCNSFIENSSAVKKPQAKLKKMHNLGH
+KNSSPPKEPQPKRVEEVYRALKNGLDEYLEVHQTELDKLTTQLKDMRRNSRLGVLYDLDK
+QIKTIERYMRRLEFHISKVDELYEAYCIQRRLQDGASKMKQAFATSPASKAARESLAEIN
+RSYKEYTENMCAIEAELEKQLGEFSIKMKGLAGFARLCPGDQYEIFMKYGRQRWKLKGRI
+EVNGKQSWDGEEMVFLPLIVGFISIKVTELKGLATHLLVGSVTCETKELFAARPQVVAVD
+INDLGTIKLNLEITWYPFDVEDMTPSSGAGNKVAALQRRMSMYSQGTPETPTFKDHSFFS
+NLPDDIFENGKAAEEKMPLSLSFSDLPNGDCTLAPGPADSLPGACAANPEITITSTELPP
+GSQSSQNEGLKDSSSASCSSSSREGSEPRPHPEGETQGLGKPEGCPVATGARPERLFLQK
+GVAEALLQEALLQEPSELKPVELDTFEGNITKQLVKRLTSAEVPAATERLLSEGSISAES
+EGCRSFLDGSLEDAFNGLFLALEPHKEQYKEFQDLNQEVMHLDDILKCKPAVSRSRSSSL
+SLTVESALESFDFLNTSDFDEEEDGDEVCNVGGGADSVFSDTETEKNSYRSVHPEARGHL
+SEALTEDTGVGTSVAGSPLPLTTGNESLDLTIIRHLQYCTQLVQQIVLSSKTPFVASNLL
+EKLSRQIQVMEKLSAVSDENIGNISSVIEAIPEFHKKLSLLSFWTKCCTPIGVYHSSADR
+VIKQLEASFARTVNRDYPGLADPVFHTLVSQILDRAEPLLPASLSSEVITVFQYYSYFTS
+HGVSDLESYLNQLAKQVSVVQTLQSLRDEKLLQAVSDLAPGSFPAPQEEVLRTLALLLTG
+EDSGASEAVTLYLTAASRSEHFREKALLYYCEALTKTDFRLQKAACLALKSLKATESIKM
+LVTLCQSDTEEIRNVASETLLSLGEDGRLAYEQLDKFPRDCVKVGGRLASEVATAF
+>sp|Q06846|RP3A_BOVIN Rabphilin-3A OS=Bos taurus OX=9913 GN=RPH3A PE=1 SV=1
+MTDTVFSSSSSRWMCPSDRPLQSNDKEQLQTGWSVHPSGQPDRQRKQEELTDEEKEIINR
+VIARAEKMEEMEQERIGRLVDRLENMRKNVAGDGVNRCILCGEQLGMLGSACVVCEDCKK
+NVCTKCGVETSNNRPHPVWLCKICIEQREVWKRSGAWFFKGFPKQVLPQPMPIKKNKPQQ
+PVSEPVPAAPEPATPEPKHPARAPTRGDTEDRRGPGQKTGPDMTSAPGRGSYGPPVRRAS
+EARMSSSGRDSDSWDQGHGMAAGDPSQSPAGLRRANSVQASRPAPASMQSPAPPQPGQPG
+PPGGSRPSPGPTGRFPDQRPEVAPSDPDYTGAAAQPREERTGGIGGYSAAGTREDRAGHP
+PGSYTQASAAAPQPVVASARQPPPPEEDEEEANSYDSDEATTLGALEFSLLYDQDNSSLH
+CTIIKAKGLKPMDSNGLADPYVKLHLLPGASKSNKLRTKTLRNTRNPIWNETLVYHGITD
+EDMQRKTLRISVCDEDKFGHNEFIGETRFSLKKLKPNQRKNFNICLERVIPMKRAGTTGS
+ARGMALYEEEQVERIGDIEERGKILVSLMYSTQQGGLIVGIIRCVHLAAMDANGYSDPFV
+KLWLKPDMGKKAKHKTQIKKKTLNPEFNEEFFYDIKHSDLAKKSLDISVWDYDIGKSNDY
+IGGCQLGISAKGERLKHWYECLKNKDKKIERWHQLQNENHVSSD
+>sp|Q3ZBP4|RCAN1_BOVIN Calcipressin-1 OS=Bos taurus OX=9913 GN=RCAN1 PE=2 SV=1
+MHFRNFNYSFSSLIACVANSEIFSESETRAKFESLFRTYDKDITFQYFKSFKRVRINFSN
+PLSAADARLQLHKTEFLGKEMKLYFAQTLHIGSSHLAPPNPDKQFLISPPASPPVGWKQV
+EDATPVINYDLLYAISKLGPGEKYELHAATDTTPSVVVHVCESDQENEEEDEMERMKRPK
+PKIIQTRRPEYTPIHLS
+>sp|Q76I82|RS15A_BOVIN 40S ribosomal protein S15a OS=Bos taurus OX=9913 GN=RPS15A PE=2 SV=1
+MVRMNVLADALKSINNAEKRGKRQVLIRPCSKVIVRFLTVMMKHGYIGEFEIIDDHRAGK
+IVVNLTGRLNKCGVISPRFDVQLKDLEKWQNNLLPSRQFGFIVLTTSAGIMDHEEARRKH
+TGGKILGFFF
+>sp|Q3ZCJ7|TBA1C_BOVIN Tubulin alpha-1C chain OS=Bos taurus OX=9913 GN=TUBA1C PE=1 SV=1
+MRECISIHVGQAGVQIGNACWELYCLEHGIQPDGQMPSDKTIGGGDDSFNTFFSETGAGK
+HVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNYARGHYTIGKEIIDLVLD
+RVRKLADQCTGLQGFLVFHSFGGGTGSGFTSLLMERLSVDYGKKSKLEFSIYPAPQVSTA
+VVEPYNSILTTHTTLEHSDCAFMVDNEAIYDICRRNLDIERPTYTNLNRLMSQIVSSITA
+SLRFDGALNVDLTEFQTNLVPYPRIHFPLATYAPVISAEKAYHEQLSVAEITNACFEPAN
+QMVKCDPRHGKYMACCLLYRGDVVPKDVNAAIATIKTKRTIQFVDWCPTGFKVGINYQPP
+TVVPGGDLAKVQRAVCMLSNTTAVAEAWARLDHKFDLMYAKRAFVHWYVGEGMEEGEFSE
+AREDMAALEKDYEEVGADSAEGDDEGDEY
+>sp|A7YW98|SYRC_BOVIN Arginine--tRNA ligase, cytoplasmic OS=Bos taurus OX=9913 GN=RARS PE=2 SV=1
+MDALVAHCSARLLQQEKEIKFLTAEVDRLKNYSCSEASADLEKLREENLKLKYRLNILRK
+SLQAERNKPTKTMININSCLEEVFGCAIKAAYPVLENPPLIVTPSQQPKFGDYQCNSAMG
+ICQMLKTKEQKVNPREIAENIVKHLPDNEYIEKVEIAGPGFINIHLRKGFVSQQLTNLLV
+NGVKIPSIGENKKVIVDFSSPNIAKEMHVGHLRSTIIGESMCRLFEFAGYNVLRLNHVGD
+WGTQFGMLIAHLQDKFPDYLTVSPPIGDLQAFYKESKKRFDTEEEFKKRAYQCVVLLQSK
+NPDIIKAWKLICDVSRQEFNKIYEALDISLIERGESFYQDRMHDIVKEFEDRGFVQVDDG
+RKIVFVPGCSVPLTIVKSDGGYTYDTSDLAAIKQRLFEEKADMIIYVVDNGQSLHFQTVF
+GAAQMIGWYDPAVTRVSHAGFGVVLGEDKKKFKTRSGETVRLIDLLEEGLKRSMDKLKEK
+ERDKVLTTEELKAAQTSVAYGCIKYADLSHNRLNDYIFSFDKMLDDRGNTAAYLLYAFTR
+IRSIARLANIDEEMLRKAAHETEIILDHEKEWKLGRCILRFPEVLQKILDDLLLHTLCDY
+IYELATTFTEFYDSCYCVEKDRQSGEVLKVNMWRMLLCEAVAAVMAKGFDILGIKPVQRM
+>sp|Q5E9C4|TBCD7_BOVIN TBC1 domain family member 7 OS=Bos taurus OX=9913 GN=TBC1D7 PE=2 SV=2
+MTEDSQRNFRSVYYEKVGFRGVEEKKSLEILLKDDRLDIEKLCTFSQRFPLPSMYRALVW
+KVLLGILPPHHESHVQVMTYRKEQYSDVLHALKVIRFVSDATPQSEVYLYMHRLESGKLP
+RSPSFPLEPEDEVFLAIAKAMEEMVEDSVDCYWIMRCFVNQLNSKYRDTLPQLPKAFEQY
+LNLEDSRLLSHLKACCAVSTLPYELWFKKCFAGCLPESSLQRIWDKVVSGSCKILVFVAV
+EILLTFKIKVMALNSAEKITKFLENIPQDSSDAIVSKAIDLWHKHCGTPVHSA
+>sp|P30367|IL4_BOVIN Interleukin-4 OS=Bos taurus OX=9913 GN=IL4 PE=2 SV=2
+MGLTSQLIPVLVCLLVCTSHFVHGHKCDITLAEIIKTLNILTTRKNSCMELPVADVFAAP
+KNTTEKETFCRVGIELRRIYRSHTCLNKFLGGLDRNLNSLASKTCSVNEAKTSTSTLKDL
+LERLKTIMKEKYSKC
+>sp|P56651|ITIH2_BOVIN Inter-alpha-trypsin inhibitor heavy chain H2 (Fragments) OS=Bos taurus OX=9913 GN=ITIH2 PE=1 SV=1
+LHYQEVKWRKLGSYEHRLHLKPGRLAKHELEVFNGYFVHFPAPENMIPIG
+>sp|P46282|IL12B_BOVIN Interleukin-12 subunit beta OS=Bos taurus OX=9913 GN=IL12B PE=2 SV=1
+MHPQQLVVSWFSLVLLASPIVAMWELEKNVYVVELDWYPDAPGETVVLTCDTPEEDGITW
+TSDQSSEVLGSGKTLTIQVKEFGDAGQYTCHKGGEALSRSLLLLHKKEDGIWSTDILKDQ
+KEPKAKSFLKCEAKDYSGHFTCWWLTAISTDLKFSVKSSRGSSDPRGVTCGAALLSAEKV
+SLEHREYNKYTVECQEGSACPAAEESLLIEVVVEAVHKLKYENYTSSFFIRDIIKPDPPK
+NLQLRPLKNSRQVEVSWEYPDTWSTPHSYFSLTFCVQVQGKNKREKKLFMDQTSAKVTCH
+KDANVRVQARDRYYSSFWSEWASVSCS
+>sp|P41563|IDH3A_BOVIN Isocitrate dehydrogenase [NAD] subunit alpha, mitochondrial OS=Bos taurus OX=9913 GN=IDH3A PE=1 SV=1
+MAGPAWISKVSRLLGAFHNQKQVTRGFAGGVKTVTLIPGDGIGPEISAAVMKIFDAAKAP
+IQWEERNVAAIQGPGGKWMIPPEAKESMDKNKMGLKGPLKTPIAAGHPSMNLLLRKTFDL
+YANVRPCVSIEGYKTPYHDVNIVTIRENTEGEYSGIEHVIVDGVVQSIKLITEAASKRIA
+EFAFEYARNNHRSNVTAVHKANIMRMSDGLFLQKCREVAENCKDIKFNEMYLDTVCLNMV
+QDPSQFDVLVMPNLYGDILSDLCAGLIGGLGVTPSGNIGANGVAIFESVHGTAPDIAGKD
+MANPTALLLSAVMMLRHMGLFDHAAKIETACFATIKDGKSLTKDLGGNSKCSDFTEEICR
+RVKDLD
+>sp|Q08DD6|IRF6_BOVIN Interferon regulatory factor 6 OS=Bos taurus OX=9913 GN=IRF6 PE=2 SV=1
+MALHPRRVRLKPWLVAQVDSGLYPGLIWLHRDSKRFQIPWKHATRHSPQQEEENTIFKAW
+AVETGKYQEGVDDPDPAKWKAQLRCALNKSREFNLMYDGTKEVPMNPVKIYQVCDIPQPQ
+GSIINPGSTGSAPWDEKDNDVDEEDEEDELDQSQHHVPIQDTFPFLNINGSPIAPASVGN
+CSVGNCSPEAVWPKTEPLEMEVPQAPIQPFYSSPELWISSLPMTDLDIKFQYRGKEYGQT
+MTVSNPQGCRLFYGDLGPMPDQEELFGPVSLEQVKFPGPEHITNEKQKLFTSKLLDVMDR
+GLILEVSGHAIYAIRLCQCKVYWSGPCAPSLVAPNLIERQKKVKLFCLETFLSDLIAHQK
+GQIEKQPPFEIYLCFGEEWPDGKPQERKLILVQVIPVVARMIYEMFSGDFTRSFDSGSVR
+LQISTPDIKDNIVAQLKQLYRILQTQESWQPMQPAPSMQLPTTLPAQ
+>sp|Q24JX8|KRA31_BOVIN Keratin-associated protein 3-1 OS=Bos taurus OX=9913 GN=KRTAP3-1 PE=3 SV=1
+MAYCAPYCCSVPTGPATTICSSDKFCRCGVCLPSTCPHEISLLQPTCCDNCPPPCCVPDT
+YVPTCWLLNSSHPTPGLSGINLTTFVQPGCDNVCEPRC
+>sp|A4FUZ0|KRT83_BOVIN Keratin, type II cuticular Hb3 OS=Bos taurus OX=9913 GN=KRT83 PE=2 SV=1
+MTCGFSTVGSGFGSRAFSCVSACGPRPGRCCITAAPYRGISCYRGLTGGFGSRSVCGGFR
+AGYCSRSFGYRSGGVGGLSPPCITTVSVNESLLTPLNLEIDPNAQCVKQEEKEQIKCLNN
+RFAAFIDKVRFLEQQNKLLETKLQFYQNRQCCESNLEPLFEGYIETLRREAECVEADSGR
+LSSELNHVQEVLEGYKKKYEEEVALRATAENEFVALKKDVDCAYIRKSDLEANSEALIQE
+IDFLRRLYEEEIRVLQANISDTSVIVKMDNSRGLNMDNIVAEIKAQYDDIASRSRAEAES
+WYRSKCEEIKATVIRHGETLRRTKEEINELNRLIQRLTAEVENAKCQNSKLEAAVTQAEQ
+QGEVALNDARCKLAGLEEALQKAKQDMACLLKEYQEVMNSKLGLDIEIATYRRLLEGEEQ
+RLCEGVGAVNVCVSSSRGGVVCGDLCVSGSRPVTGSVCSAPCSGNLAVSTGLCAPCGQLN
+TTCGGGSCSLGRC
+>sp|Q9TUX7|NPFF_BOVIN Pro-FMRFamide-related neuropeptide FF OS=Bos taurus OX=9913 GN=NPFF PE=3 SV=1
+MDARQAAALLLVLLLVTDWSHAEGPGGRDGGDQIFMEEDSGAHPAQDAQTPRSLLRSLLQ
+AMQRPGRSPAFLFQPQRFGRNTRGSWSNKRLSPRAGEGLSSPFWSLAAPQRFGKK
+>sp|Q95104|PDYN_BOVIN Proenkephalin-B OS=Bos taurus OX=9913 GN=PDYN PE=2 SV=1
+MVWQGLVLAACLLALPSVTADCLAQCSLCAVKTQDGPQPINPLVCSLECQAALQPAQEWE
+RCQGLLSFLTPFTVGLNGKEDLKSKTVLEESSSEPAKHIRPYLKELEKNRFLLSTPAEKN
+ALSSSLVEKLRGLSGRLGEDAESELMGDAQLNDGALEAEARDSNEEEPKEQVKRYGGFLR
+KYPKRSSEVTGKEAGEGEGGEVGHEDLYKRYGGFLRRIRPKLKWDNQKRYGGFLRRQFKV
+VTRSQEDPSAYYEELFDV
+>sp|Q6E804|NOD2_BOVIN Nucleotide-binding oligomerization domain-containing protein 2 OS=Bos taurus OX=9913 GN=NOD2 PE=2 SV=1
+MCAQDAFQTQRSQLVELLVSGSLEGFESILDRLLSREVLSWEDYEGLSLVGQPISHLARR
+LLDTIWNKGTWGCEQLTAAVREAQADSQPPELPSSWDPHSPHPARDLQSHRPAIVRRLYG
+HVEGVLDLTQQRGFISQYETDEIRRPIFTSSQRARRLLDLATVKANGLAAFLLQCIQELP
+VPLALPFEDAACKKYVSKLRTVISAQSRFLSTYDGAENLCLEEVYTENVLEIQMEVGMAG
+PSQQSPTTLGLEELFSTRDHFNKEADTVLVVGEAGSGKSTLLQQLHLLWASGRAFQEFLF
+VFPFSCRQLQCLVKPLSMRTLLFEHCCWPDLGPQDVFQVLLDHPERILLTFDGFDEFRFR
+FTDQERHCCPTAPTSVQSLLFNLLQGNLLKNARKVLTSRPSAVSASLRKHVRTELSLKGF
+SEEGIELYLRKRHREPGVADRLLCLLRATSALHGLCHLPVFSWMVSKCHEELLLQGRGSP
+KTTTDMYLLILRHFLLHASPLPLATHGLGPSLIQGRLPTLLHLGRLALWGLGTCCYVFSA
+KQLQAAHVDSEDLSLGFLVLAKRVVPGSTAPLEFLHITFQCFFAAFYLALSADTPPSSLR
+HLFQDHRPESSPLARVLPKLFLRGSRCREGSVAALLQGAEPHNLQITGAFLAGLLSQEHR
+SLLAECQASETALLRRWDCVRRCLTRSLREHFRSIPPALPGEAKSMHALPGFLWLIRSLY
+EMQEERLAREAVCRLNVGHLKLTFCGVGPAECAALAFVLRHLRRPVALQLDHNSVGDIGV
+EQLLPCLGVCKALYLRDNNISDRGICKLVEHALRCEQLQKLALFNNKLTDGCAHSMARLL
+ACKQNFLALRLGNNHITAAGAEVLAQGLRTNNSLQFLGFWGNQVGDEGAQALAAALGDHQ
+SLRWLSLVGNNIGSVGAQALALMLEKNMALEELCLEENHVQDEGVCFLAKGLARNSSLKV
+LKLSNNHISSLGAEALLRALEKNDTILEVWLRGNTFSPEEIEKLSHQDTRLLL
+>sp|P0C1N9|PIGY_BOVIN Phosphatidylinositol N-acetylglucosaminyltransferase subunit Y OS=Bos taurus OX=9913 GN=PIGY PE=3 SV=1
+MFLSLPMLTVLIPLVSLAGLFYSASVEDDFPQGCTSTTSLCFYSLLLPITIPVYVFFHLW
+TWMGIKLFRHN
+>sp|P23004|QCR2_BOVIN Cytochrome b-c1 complex subunit 2, mitochondrial OS=Bos taurus OX=9913 GN=UQCRC2 PE=1 SV=2
+MKLLTRAGSLSRFYSLKVAPKVKATEAPAGVPPHPQDLEFTRLPNGLVIASLENYAPASR
+IGLFIKAGSRYENSNNLGTSHLLRLASSLTTKGASSFKITRGIEAVGGKLSVTSTRENMA
+YTVECLRDDVDILMEFLLNVTTAPEFRRWEVAALQPQLRIDKAVALQNPQAHVIENLHAA
+AYRNALANSLYCPDYRIGKVTPVELHDYVQNHFTSARMALIGLGVSHPVLKQVAEQFLNI
+RGGLGLSGAKAKYHGGEIREQNGDSLVHAALVAESAAIGSAEANAFSVLQHVLGAGPHVK
+RGSNATSSLYQAVAKGVHQPFDVSAFNASYSDSGLFGFYTISQAASAGDVIKAAYNQVKT
+IAQGNLSNPDVQAAKNKLKAGYLMSVESSEGFLDEVGSQALAAGSYTPPSTVLQQIDAVA
+DADVINAAKKFVSGRKSMAASGNLGHTPFIDEL
+>sp|A5PK27|R144B_BOVIN E3 ubiquitin-protein ligase RNF144B OS=Bos taurus OX=9913 GN=RNF144B PE=2 SV=1
+MGSVGRLHCLTMTAAENPTPGDLALVPLVTCKLCLCEQSLDKMTTLQECRCIFCTACLKQ
+YMQLAIREGCGSPITCPDMVCLNHGTLQEAEIACLVPVDQFQLYQRLKFEREVHLDPCRT
+WCPVADCQTVCPVATSDPGQPVLVECPSCHLKFCSCCKDAWHAEVSCRDSQPGILPTEHG
+TLFGTETDAPIKQCPVCRVYIERNEGCAQMMCKNCKHTFCWYCLQNLDNDIFLRHYDRGP
+CRNKLGHSRASVMWNRTQVVGILVGLGIIALVTSPLLLLASPCIICCVCKSCRGKKKKHD
+PSTT
+>sp|Q2HJ56|PWP1_BOVIN Periodic tryptophan protein 1 homolog OS=Bos taurus OX=9913 GN=PWP1 PE=2 SV=1
+MNRSRQVTCVAWVRCGVAKETPDKVELSKEEVKRLIAEAKEKLQEEGGSDEQETGNPSED
+GMQSARTQARPREPLEDGDPEDDRTLDDDELAEYDLDKYDEEGDPDAETLGESLLGLTVY
+GSNDQDPYVTLKDTEQYEREDFLIKPSDNLIVCGRAEQDQCNLEVHVYNQEEDSFYVHHD
+ILLSAYPLSVEWLNFDPSPDDSTGNYIAVGNMTPVIEVWDLDIVDSLEPVFTLGSKLSKK
+KKKKGKKNSSADGHTDAVLDLSWNKLVRNVLASASADNTVILWDMSLGKPAASLAVHTDK
+VQTLQFHPFEAQTLISGSYDKSVALYDCRSPEESHRMWRFSGQIERVTWNHFSPCHFLAS
+TDDGFVYNLDARSDKPIFTLNAHNDEISGLDLSSQIKGCLVTASADKYVKIWDILGDRPS
+LVHSRDMKMGVLFCSSCCPDLPFIYAFGGQKEGLRVWDISTVSSVNEAFGRRERLVLGNA
+RTSSISGPFGNRSSETPMES
+>sp|P12661|RET3_BOVIN Retinol-binding protein 3 OS=Bos taurus OX=9913 GN=RBP3 PE=1 SV=1
+MVRKWALLLPMLLCGLTGPAHLFQPSLVLEMAQVLLDNYCFPENLMGMQGAIEQAIKSQE
+ILSISDPQTLAHVLTAGVQSSLNDPRLVISYEPSTLEAPPRAPAVTNLTLEEIIAGLQDG
+LRHEILEGNVGYLRVDDIPGQEVMSKLRSFLVANVWRKLVNTSALVLDLRHCTGGHVSGI
+PYVISYLHPGSTVSHVDTVYDRPSNTTTEIWTLPEALGEKYSADKDVVVLTSSRTGGVAE
+DIAYILKQMRRAIVVGERTVGGALNLQKLRVGQSDFFLTVPVSRSLGPLGEGSQTWEGSG
+VLPCVGTPAEQALEKALAVLMLRRALPGVIQRLQEALREYYTLVDRVPALLSHLAAMDLS
+SVVSEDDLVTKLNAGLQAVSEDPRLQVQVVRPKEASSGPEEEAEEPPEAVPEVPEDEAVR
+RALVDSVFQVSVLPGNVGYLRFDSFADASVLEVLGPYILHQVWEPLQDTEHLIMDLRQNP
+GGPSSAVPLLLSYFQSPDASPVRLFSTYDRRTNITREHFSQTELLGRPYGTQRGVYLLTS
+HRTATAAEELAFLMQSLGWATLVGEITAGSLLHTHTVSLLETPEGGLALTVPVLTFIDNH
+GECWLGGGVVPDAIVLAEEALDRAQEVLEFHRSLGELVEGTGRLLEAHYARPEVVGQMGA
+LLRAKLAQGAYRTAVDLESLASQLTADLQEMSGDHRLLVFHSPGEMVAEEAPPPPPVVPS
+PEELSYLIEALFKTEVLPGQLGYLRFDAMAELETVKAVGPQLVQLVWQKLVDTAALVVDL
+RYNPGSYSTAVPLLCSYFFEAEPRRHLYSVFDRATSRVTEVWTLPHVTGQRYGSHKDLYV
+LVSHTSGSAAEAFAHTMQDLQRATIIGEPTAGGALSVGIYQVGSSALYASMPTQMAMSAS
+TGEAWDLAGVEPDITVPMSVALSTARDIVTLRAKVPTVLQTAGKLVADNYASPELGVKMA
+AELSGLQSRYARVTSEAALAELLQADLQVLSGDPHLKTAHIPEDAKDRIPGIVPMQIPSP
+EVFEDLIKFSFHTNVLEGNVGYLRFDMFGDCELLTQVSELLVEHVWKKIVHTDALIVDMR
+FNIGGPTSSISALCSYFFDEGPPILLDKIYNRPNNSVSELWTLSQLEGERYGSKKSMVIL
+TSTLTAGAAEEFTYIMKRLGRALVIGEVTSGGCQPPQTYHVDDTDLYLTIPTARSVGAAD
+GSSWEGVGVVPDVAVPAEAALTRAQEMLQHTPLRARRSPRLHGRRKGHHRQSQGRAGSLG
+RNQGVVRPEVLTEAPSGQKRGLLQCG
+>sp|P52205|ROM1_BOVIN Rod outer segment membrane protein 1 OS=Bos taurus OX=9913 GN=ROM1 PE=1 SV=2
+MAPVLPLVLPLQPRIRLAQGLWLLSWLLVLVGGLTLLCSGHLLVQLWHLGTFLAPSCPFS
+ALPQVALAASAVALGTGLVGSGASRASLDAEQYPPWRGVLGPLLVAGTAGGGGLLVLALG
+LALALPGTLDTGLEEGLGSALVHYKDTEVPGRCQAKRLLDELQLRHHCCGRHGYKDWFGI
+QWVSNRYLDPNDPDVVDRIQSNVEGLYLIDGVPFSCCNPHSPRPCLQSQLSDPHAHPLFD
+PRQPNLNLWSQGCHEVLLGHLQGLASTLGNMLAVTFLLQTLVLLGLRYLQTALEGLGGVI
+DGEGEAQGYLFPAGLKDMLKTAWLQGAGPHRPAPGETPPEEKPPKECLPEA
+>sp|Q3T024|ROP1L_BOVIN Ropporin-1-like protein OS=Bos taurus OX=9913 GN=ROPN1L PE=2 SV=1
+MPLPDTMFCAQQIHIPPELTDILKQFTKAAIRTQPADVLQWSAGYFSALSRGDPLPVKDR
+IEMPMATQKTDTGLTQGLLKVLHKQCSHKEYVDLADLEQKWKNLCLPVEKFRALLQLDPC
+EDKIEWIKFLALGCSMLGGSLNTAMKHLCEILTTDPEGGPARIPFGTFSYVYRYLSGLDS
+DIPESDTEAYLSSLKENAAARKNGMIGLSDFFVLKRKI
+>sp|Q1RMT7|RIOK3_BOVIN Serine/threonine-protein kinase RIO3 OS=Bos taurus OX=9913 GN=RIOK3 PE=2 SV=1
+MDLVRVASPEPGPAAAWGPNKCPWATPQNTISCSLSDVMSEQLAKELQLEEEAAAFPEVT
+VAEGPFITGENIDTSSDLMLAQMLQMEFDREYDAQLRREEKKFNGDSKVCISFENYRKVH
+PYEDSDSSEDEVDWQDTRDDPYRPAKPIPTPKKGFIGKGKDITTKHDEVVCGRKNTARME
+NFAPGFQVGDGIGMDLKLSNHVFNALKQHAYSEERRSARLHEKKEHSTAEKAVDPKTRLL
+MYKMVNSGMLETITGCISTGKESVVFHAYGGSMEDGKEDSKVIPTECAIKVFKTTLNEFK
+NRDKYIKDDFRFKDRFSKLNPRKIIRMWAEKEMHNLTRMQRAGIPCPTVVLLKKHILVMS
+FIGHDQVPAPKLKEVKLSSEEMKDAYYQTLHLMQQLYDECTLVHADLSEYNMLWHAGKVW
+LIDVSQSVEPTHPHGLEFLFRDCRNVSQFFQKGGVKEALGERELFNAVSGLNISADNEAD
+FLAEIEALEKMNEDHVQKNGRKAASFLKDDGGPPILYDE
+>sp|Q3T062|RRP15_BOVIN RRP15-like protein OS=Bos taurus OX=9913 GN=RRP15 PE=2 SV=1
+MAAAVGDSRVSAGKKLKISLRKKRMKMVVASVASELEDKDKDASDNEGSLGSCGLEKDHF
+SSGDEAVEADNEDEAEPREDDNDSAAEATAGANAGWADAMARILSKKIPESKPTILVRNK
+ELEKEKEKLKQERLEKRKQLDKKREWEMMCRVKPDVVKDKETERNLQRIATRGVVQLFNA
+VQKHQKNVDEKVKEAGGSIRKRAKLISSVSKKDFISVLRGMDGSASEKNSAGKNSKAKQT
+EAKSEEGPGWTILRDDFMMGASMKDWDKESDGPDGSRLGCGSDSDT
+>sp|Q58DW0|RL4_BOVIN 60S ribosomal protein L4 OS=Bos taurus OX=9913 GN=RPL4 PE=2 SV=3
+MACARPLISVYSEKGESSGKNVTLPAVFKAPIRPDIVNFVHTNLRKNNRQPYAVSELAGH
+QTSAESWGTGRAVARIPRVRGGGTHRSGQGAFGNMCRGGRMFAPTKTWRRWHRRVNTTQK
+RYAICSALAASALPALVMSKGHRIEEVPELPLVVEDKVEGYKKTKEAVLLLKKLKAWNDI
+KKVYASQRMRAGKGKMRNRRRIQRRGPCIIYNEDNGIIKAFRNIPGITLLNVSKLNILKL
+APGGHVGRFCIWTESAFRKLDELYGTWRKAASLKSNYNLPMHKMLNTDLSRILKSPEIQR
+ALRAPRKKIHRRVLKKNPLKNLRIMLKLNPYAKTMRRNTILRQARNHKIRMDKAAAALEA
+KSDQKGVQGKKPVVGNKEKKAVGDKKLKKPVVGKKAAGTKKPAAEKKPTEKKPTSEEKKA
+AA
+>sp|Q0IIM1|RN168_BOVIN E3 ubiquitin-protein ligase RNF168 OS=Bos taurus OX=9913 GN=RNF168 PE=2 SV=2
+MAVPKESIPSLLECQCQICVEILFEPVTLPCNHTLCKPCFESTVEKASLCCPFCRRRVSS
+WARYRSRTNSLVNMELWEIIQKHYPKECKLRASGQESKEIVDDYQPVRLLSKPGELRREY
+EEEISKVEAERRACEEEENKASEEYIQKLLAEEEEEEKRQAEKRHREMEEQLKSDEELAR
+RLSLDINNFCEGSVLASPLNSRKSDPVTTKSQKKSKNKQTNTGDIQKYLSPKSQLGSASQ
+SEVVQEDRKSSMSKKIDDNSDVKSPTWQDTEVEEDMPTLSPQIYLEVQEQGAKSSVESPM
+PQLYTSDGEWYLEGKVETGPSNHEKGLCVINLEEPKARVPYSGDAATEPCGETESECTVS
+YMTQFLRNNTVGTENEESHLQISKGTSKRRNLEPLSEAIREPCFSAKRRKMFPKASSDQE
+ETEISLTQKLIDLEHLLFERHKQEKQDRLLALQLQEEVDQEQMRPDRQKGSPDGYQLRTV
+SSPPDKVLNGQRKNSRDRNSKRQTELEQPKPRTDSKNENHQQPSFKIQLKCSVNRRKIAN
+STNDNCNVSKTAHSLQPSKSQKSIFQMFQRVTK
+>sp|Q3T171|RL36_BOVIN 60S ribosomal protein L36 OS=Bos taurus OX=9913 GN=RPL36 PE=3 SV=3
+MALRYPMAVGLNKGHKVTKNVGKPRHSRRRGRLTKHTKFVRDMIREVCGFAPYERRAMEL
+LKVSKDKRALKFIKKRVGTHIRAKRKREELSNVLAAMRKAAAKKD
+>sp|Q56JZ1|RL13_BOVIN 60S ribosomal protein L13 OS=Bos taurus OX=9913 GN=RPL13 PE=2 SV=3
+MAPSRNGMILKPHFHKDWQRRVATWFNQPARKIRRRKARQAKARRIAPRPASGPLRPVVR
+CPTVRYHTKVRAGRGFSLEELRVAGIHKKVARTIGISVDPRRRNKCTESLQANVQRLKEY
+RSKLILFPRKPSAPKKGDSSAEELKLATQLTGPVMPIRNVYKKEKARVITEEEKNFKAFA
+SLRMARANARLFGIRAKRAKEAAEQDVEKKK
+>sp|Q29RY4|RNZ1_BOVIN Zinc phosphodiesterase ELAC protein 1 OS=Bos taurus OX=9913 GN=ELAC1 PE=2 SV=1
+MSMDVTFLGTGAAYPSPTRGASALVLRCEGECWLFDCGEGTQTQLMKSQLKAGRITKIFI
+THLHGDHFFGLPGLLCTISLQSGSMVTKQPIEIYGPVGLRDFIWRTMELSHTELVFPYVV
+HELVPTADQCPTEELQESVQVDKTDNPPKEGEGRTILLDSEENSYLLVDDEQFVVKAFRL
+FHRIPSFGFSVVEKKRPGKLNAQKLKDLGVPPGPAYGKLKNGISVVLENGVTISPQDVLK
+KPIVGRKICILGDCSGVVDDAGVKLCFEADLLIHEATLDDTQMDKAKEHGHSTPQMAATF
+AKLCQAKRLVLTHFSQRYKPVALAREGEADGIVELKKQAESVLDLQEVTLAEDFMVISIP
+IKK
+>sp|Q2KIS2|RM44_BOVIN 39S ribosomal protein L44, mitochondrial OS=Bos taurus OX=9913 GN=MRPL44 PE=2 SV=1
+MASGLTRLLLRGPRCLLATAGLTLIPPVRGVKKGFRAAFRFQKELERWRLLRCPPPPVRR
+SEKPNWDYHAEIQAFGPRLQETFSLDLLKTAFVNSCYIKSEEAKRQKLGIEKEAVLLNLK
+DNQELSEQGTSFSQTCLTQFFEDAFPDLPTEGVKSLVDYLTGEEVVCHVARNLAVEQLTL
+SADFPVPPAVLRQTFFAVIGALLHSSGPERTSLFIRDFLITQMTGKELFEIWKIINPMGL
+LVQELKKRNISVPESRLTRQSGSTTALPVYFVGLYCDKKLIAEGPGETVLVAEEEAARVA
+LRKLYGFTENRQPWDYSRPKEPVRAEKTIAAS
+>sp|A7YWU3|RPP40_BOVIN Ribonuclease P protein subunit p40 OS=Bos taurus OX=9913 GN=RPP40 PE=2 SV=2
+MGFGPYYFVKGLPLYELITHEFINTFVKKGSCYALTYNTNIDEDNTVALLPNGKLILSLD
+KDTYEETGLQGCPSQYSGRKTMRFIVSIDLMDLSSNLDSKKYKRVSWAFKEKKPLKFDFL
+LAWHQTGAEGSTMMSYFSGYGIQEHQPKIALSTTPDLRCPVLRSGLLGGEPEAACSAHEL
+FDWLGAVFSHADLNNEPYNFVSTYCCPQPSSVVAQASLCSVTGFLLPERICVLLEQLCRY
+FDEPKLAPWVTLSVQGFADSPVSWRESEHGFQKGGEHLYNFVIFNNRDYWLQMAVGADDD
+CPP
+>sp|Q95125|TA2R_BOVIN Thromboxane A2 receptor OS=Bos taurus OX=9913 GN=TBXA2R PE=2 SV=2
+MWPNASSLGPCFRPMNITLEERRLIASPWFAASFCLVGLASNLLALSVLMGARQGSSQSR
+SSFLTFLCGLVLTDFMGLLVTGAIVVTQHFVLFEWQAVDPGCSLCHFMGVIMVFFGLCPL
+LLGAAMASERFLGITRPFSRPATASQRRAWTTVGLVWASALALGLLPLLGVGHYTVQYPG
+SWCFLTLGTDPGDVAFGLLFALLGSISVGMSFLLNTISVATLCHVYHGQATAQQRPRDCE
+VEMMVQLMGIMVVASICWMPLLVFIAQTVLQSPPAMSPTGQLSRLTERQLLIYLRVATWN
+QILDPWVYILFRRAVIQRFYPRLSTRSRSLSLQPQLTRRSTIH
+>sp|A4IFK2|S35E3_BOVIN Solute carrier family 35 member E3 OS=Bos taurus OX=9913 GN=SLC35E3 PE=2 SV=1
+MASLADRVRGNGRIAAGLLLNLLVSICIVFLNKWIYVHYGFPNMSLTLVHFVVTWLGLYV
+CQKLDIFAPKSLPPSKLLLLALSFCGFVVFTNLSLQNNTIGTYQLAKAMTTPVIIVIQTL
+CYKKTFSTKIRLTLIPITLGVILNSYYDVKFNFLGTVFAALGVLVTSLYQVWVGAKQHEL
+QVNSMQLLYYQAPMSSAMLLVAVPFFEPVFAEGGIFGPWSVSALLMVLLSGVIAFMVNLS
+IYWIIGNTSPVTYNMFGHFKFCITLFGGYVLFKDPLSINQGLGMLCTLFGILAYTHFKLS
+EQEGSKSKLVQRP
+>sp|Q08DB5|STX5_BOVIN Syntaxin-5 OS=Bos taurus OX=9913 GN=STX5 PE=2 SV=1
+MIPRKRYGSKNTDQGVYLGLSKTQVLSPATAGSSSSDIAPLPPPVALVPPPPDTMSCRDR
+TQEFLSACKSLQSRQNGIQANKPALRAVRQRSEFTLMAKRIGKDLSNTFAKLEKLTILAK
+RKSLFDDKAVEIEELTYIIKQDINSLNKQIAQLQDFVRAKGSQSGRHLQTHSNTIVVSLQ
+SKLASMSNDFKSVLEVRTENLKQQRSRREQFSRAPVSALPLAPNHLGGGAVVLGAESRAS
+GDVAIDMMDSRTSQQLQLIDEQDSYIQSRADTMQNIESTIVELGSIFQQLAHMVKEQEET
+IQRIDENVLGAQLDVEAAHSEILKYFQSVTSNRWLMVKIFLILIVFFIIFVVFLA
+>sp|A1A4M4|TATD3_BOVIN Putative deoxyribonuclease TATDN3 OS=Bos taurus OX=9913 GN=TATDN3 PE=2 SV=1
+MGAVGVGLVDCHCHLSAPDFDHDLDDVLKKAKEANVMALVVVAEHSGEFEKIMQLSQRYN
+GFVLPCLGVHPVQGVSPEDQRSVTLKDLDVALPIIENYKDQLLAIGEVGLDFSPRFAGTD
+EQKEEQRQVLIRQVQLAKRLNLPLNVHSRSAGRPTISLLNEQGADKVLLHAFDGRPSVAM
+EGVKAGYFFSIPPSIIRSGQQKLVKQLPLTSICLETDSPALGPEKQVRNEPRNISISAEY
+IAQVKGVSVEEVIEVTTQNALKLFPKLQRLLPK
+>sp|Q3T106|SRSF7_BOVIN Serine/arginine-rich splicing factor 7 OS=Bos taurus OX=9913 GN=SRSF7 PE=2 SV=1
+MSRYGRYGGETKVYVGNLGTGAGKGELERAFSYYGPLRTVWIARNPPGFAFVEFEDPRDA
+EDAVRGLDGKVICGSRVRVELSTGMPRRSRFDRPPARRPFDPNDRCYECGEKGHYAYDCH
+RYSRRRRSRSRSRSHSRSRGRRYSRSRSRSRGRRSRSASPRRSRSVSLRRSRSASLRRSR
+SGSIKGSRSRSRSRSRSRSLSRPRSSRSKSRSPSPKRSRSPSGSPRRSASPERVD
+>sp|Q58CW5|SERC2_BOVIN Serine incorporator 2 OS=Bos taurus OX=9913 GN=SERINC2 PE=2 SV=1
+MGACLGACSLLSCASCLCGSAPCILCSCCPCSHNSTLSRLFFTVFLFLGVLVCVIMLSPG
+VESQLYKLPWVCNEGTGSHVVLQGHIDCGSLLGHRAVYRMCFAMAAFFFLFSLLMVCVRS
+SRDPRAAIQNGFWFFKFLIFVGITVGAFYIPDGSFSNIWFYFGVVGSFIFLLIQLLLLID
+FAHSWNQRWLCKAEECDSRAWYAGLFFFTLLFYALSITAVALLFVYYTQPGACYEGKVFI
+GLNLTLCVCVSIVAILPKIQDAQPNSGLLQASVITLYTMFVTWLALSNVPDQKCNPHLLT
+HFGNGTVLAGPEGYETHWWDAPSIVGLVVFILCTVFISLRSSDHRQVNSLMQTEECPPVL
+DATQQQVVSEGRAFDNEQDGVTYSYSFFHLCLVLASVHIMMTLTNWYRPGETRKMISTWT
+AVWVKICASWTGLLLYLWTLVAPLLLPNRDFS
+>sp|Q2YDJ8|KMT5A_BOVIN N-lysine methyltransferase KMT5A OS=Bos taurus OX=9913 GN=KMT5A PE=2 SV=1
+MARGRKMSKPRAVEAAAAAAAVAATAPGPEMVERRGPGRPRTNGENVFTGQSKIYTYMSP
+NKCSGMRSPLQEENSVAQYEVKCQGKPLAGIYRKRDEKRNSGNAIRSSMKAEEQKIKDAR
+RGPLAPFPNQKSEAAEPPKTPTSSCDTPNAAAAKQGLKKPVRGKQAPRKKAQGKTQQNRK
+LTDFYPVRRSSRKSKAELQSEERKRIDELIESGKEEGMKIDLIDGKGRGVIATKQFSRGE
+FVVEYHGDLIEITDAKKREALYAQDPSTGCYMYYFQYLSKTYCVDATRETNRLGRLINHS
+KCGNCQTKLHDIDGVPHLILIASRDIEAGEELLYDYGDRSRASIEAYPWLKH
+>sp|Q3SWW9|PP1B_BOVIN Serine/threonine-protein phosphatase PP1-beta catalytic subunit OS=Bos taurus OX=9913 GN=PPP1CB PE=2 SV=1
+MADGELNVDSLITRLLEVRGCRPGKIVQMTEAEVRGLCIKSREIFLSQPILLELEAPLKI
+CGDIHGQYTDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLL
+RGNHECASINRIYGFYDECKRRFNIKLWKTFTDCFNCLPIAAIVDEKIFCCHGGLSPDLQ
+SMEQIRRIMRPTDVPDTGLLCDLLWSDPDKDVQGWGENDRGVSFTFGADVVSKFLNRHDL
+DLICRAHQVVEDGYEFFAKRQLVTLFSAPNYCGEFDNAGGMMSVDETLMCSFQILKPSEK
+KAKYQYGGLNSGRPVTPPRTANPPKKR
+>sp|Q0VBY1|ODF2L_BOVIN Protein BCAP OS=Bos taurus OX=9913 GN=ODF2L PE=2 SV=1
+MEETANDGSHSEELFSHFESRSEKEGLPQDASESHLSCLKQDIQNEKTELEALFKEAELA
+TCSAELLLPLFKDTIEEISFENRGESLSNLLEKLTDNERENINLKNKVLEKETYIQELSS
+LFQNEKANALKASRFSQSVKVVHERLQSQIHKKEAENEKLKEHIKSLETQISEWNLQLKK
+NKYEAVAMKESSRQKTIALKKASKIYKQRIEHFTGDMENLTSQIREQEAKLSETVSASNA
+WKSHYEKIVIEKTELEVQIETMKKQIINLLEDLKKMEEHGKISCEEILRKLHSIEYENET
+LNLENTKLKTTLATLKDEIASVENELLELQEVEKQQKTLIEVYKTQIQKVQEAAEMVKSR
+CKNLLQENSLITKNKNKKLKKVDENHSLLTKLSLEEENYLIQLKCENLKQKLEQMDAENK
+ELEKKLANQEECLKHSNLKFKEKSAEYTALARQLEAALEEGRQKVSEEIEKMSSRERALQ
+VKIVDLENELRKKNEEQNQLVCKMNSKAQHQEVCLKEIQHSLEKSENQNESIKNYLQFLK
+TSYVTMFG
+>sp|P13271|QCR8_BOVIN Cytochrome b-c1 complex subunit 8 OS=Bos taurus OX=9913 GN=UQCRQ PE=1 SV=3
+MGRQFGHLTRVRHVITYSLSPFEQRAFPHYFSKGIPNVLRRTRACILRVAPPFVAFYLVY
+TWGTQEFEKSKRKNPAAYENDR
+>sp|P00130|QCR9_BOVIN Cytochrome b-c1 complex subunit 9 OS=Bos taurus OX=9913 GN=UQCR10 PE=1 SV=3
+MVAPTLTARLYSLLFRRTSTFALTIVVGALFFERAFDQGADAIYEHINEGKLWKHIKHKY
+ENKE
+>sp|Q5EAD6|RL15_BOVIN 60S ribosomal protein L15 OS=Bos taurus OX=9913 GN=RPL15 PE=2 SV=3
+MGAYKYIQELWRKKQSDVMRFLLRVRCWQYRQLSALHRAPRPTRPDKARRLGYKAKQGYV
+IYRIRVRRGGRKRPVPKGATYGKPVHHGVNQLKFARSLQSVAEERAGRHCGALRVLNSYW
+VGEDSTYKFFEVILIDPFHKAIRRNPDTQWITKPVHKHREMRGLTSAGRKSRGLGKGHKF
+HHTIGGSRRAAWRRRNTLQLHRYR
+>sp|Q08DJ0|RALYL_BOVIN RNA-binding Raly-like protein OS=Bos taurus OX=9913 GN=RALYL PE=2 SV=1
+MTGKTQTSNVTNKNDPKSINSRVFIGNLNTAIVKKVDIEAIFSKYGKIVGCSVHKGYAFV
+QYMSERHARAAVAGENARIIAGQPLDINMAGEPKPYRPKPGNKRPISALYRLESKEPFLS
+VGGYVFDYDYYRDDFYNRLFDYHGRVPPPPRAVIPLKRPRAAVTTTRRGKGVFSMKGGSR
+SAVSGSSSSGSKLKSDELQTIKKELTQIKTKIDSLLGRLEKIEKQQKAEAEAQKKQLEAS
+LELIQDECVSENADHSAEEPAEGAPDADGEELTDGVEEDFDEDGAHELFLQIK
+>sp|Q2TBV1|RFC3_BOVIN Replication factor C subunit 3 OS=Bos taurus OX=9913 GN=RFC3 PE=2 SV=1
+MSLWVDKYRPCSLGQLDYHKEQAAQLRNLVQCGDFPHLLVYGPSGAGKKTRIMCILRELY
+GVGVEKLRIEHQTITTPSKKKIEISTIASNYHLEVNPSDAGNSDRVVIQEMLKTVAQSQQ
+LETSSQKDFKVVLLTEVDKLTKDAQHALRRTMEKYMSTCRLILCCNSTSKVIPPIRSRCL
+AVRVPAPSIEDICHVLSTVCKKEGLNLPPQLAHRLAEKSCRNLRKALLMCEACRVQQYPF
+TADQEIPETDWEVYLRETANAIVSQQTPQRLLEVRGRLYELLTHCIPPEIIMKGLLSELL
+HNCDGQLKGEVAQMAAYYEHRLQLGSKAIYHLEAFVAKFMALYKKFMEDGLEGMIF
+>sp|Q3B7M9|PYGB_BOVIN Glycogen phosphorylase, brain form OS=Bos taurus OX=9913 GN=PYGB PE=2 SV=3
+MAKPLTDGERRKQISVRGLAGLGDVAEVRKSFNRHLHFTLVKDRNVATRRDYYLALAHTV
+RDHLVGRWIRTQQRYYERDPKRIYYLSLEFYMGRTLQNTMVNLGLQNACDEAIYQLGLDL
+EELEEIEEDAGLGNGGLGRLAACFLDSMATLGLAAYGYGIRYEFGIFNQKIVNGWQVEEA
+DDWLRYGNPWEKARPEYMLPVHFYGRVEHSPEGVRWLDTQVVLAMPYDTPVPGYKNDTVN
+TMRLWSAKAPNDFKLHDFNVGGYIEAVLDRNLAENISRVLYPNDNFFEGKELRLKQEYFV
+VAATLQDIIRRFKSSKFGCRDPVRTSFETFPDKVAIQLNDTHPALAIPELMRILVDVEKV
+DWDKAWEITKKTCAYTNHTVLPEALERWPVSMFEKLLPRHLDIIYAINQRHLDHVAALFP
+GDVDRLRRMSVIEEGDCKRINMAHLCVIGSHAVNGVARIHSEIVRQSVFKDFYELEPEKF
+QNKTNGITPRRWLLLCNPGLAETIVERIGEGFLTDLSQLKKLLPLVGDEALIRDVAQVKQ
+ENKVKFSAFLEKQYGVKVNPSSMFDVHVKRIHEYKRQLLNCLHVVTLYNRIKKDPTQAFV
+PRTVMIGGKAAPGYHMAKKIIKLVTSIGNIVNHDPIVGDRLKVIFLENYRVSLAEKVIPA
+ADLSQQISTAGTEASGTGNMKFMLNGALTIGTMDGANVEMAEEAGAENLFIFGLRVEDVE
+ALDRKGYNAHEYYDRLPELRQAVDQINGGFFSPREPDCFKDVVNMLLNHDRFKVFADYEA
+YVACQARVDQLYRNPKEWTKKVIRNIACSGKFSSDRTITEYAHDIWGAEPPALQTPPPSL
+PRD
+>sp|P21782|PTN_BOVIN Pleiotrophin OS=Bos taurus OX=9913 GN=PTN PE=1 SV=2
+MQTPQYLQQRRKFAAAFLAFIFILAAVDTAEAGKKEKPEKKVKKSDCGEWQWSVCVPTSG
+DCGLGTREGTRTGAECKQTMKTQRCKIPCNWKKQFGAECKYQFQAWGECDLNTALKTRTG
+SLKRALHNADCQKTVTISKPCGKLTKSKPQAESKKKKKEGKKQEKMLD
+>sp|A5PJ65|RER1_BOVIN Protein RER1 OS=Bos taurus OX=9913 GN=RER1 PE=2 SV=2
+MSEGDSVGDSVHGKPSVVYRFFTRLGQIYQSWLDKSTPHTAVRWVVTLGLSFIYMIRVYL
+LQGWYIVTYALGIYHLNLFIAFLSPKVDPSLMEDSDDGPSLPTKQNEEFRPFIRRLPEFK
+FWHAATKGILVAMVCTFFEAFNVPVFWPILVMYFIMLFCITMKRQIKHMIKYRYIPFTHG
+KRTYKGKEDAGKAFAS
+>sp|Q2M2S7|RPC10_BOVIN DNA-directed RNA polymerase III subunit RPC10 OS=Bos taurus OX=9913 GN=POLR3K PE=3 SV=1
+MLLFCPGCGNGLIVEEGQRCHRFACNTCPYVHNVTRKVTNRKYPKLKEVDDELGGAAAWE
+NVDSTAEPCPKCEHPRAYFMQLQTRYADEPMTTFYKCCNAQCGHRWRD
+>sp|A6QNR1|RRP36_BOVIN Ribosomal RNA processing protein 36 homolog OS=Bos taurus OX=9913 GN=RRP36 PE=2 SV=1
+MRRASSCGGAVAGSPSEAQDGGEDDGSLRNDTSHMSFEELLELQSQVGTKAYKQLVTGSS
+TKKQSSRPPVQKGCVADKHRPLEMSAKVRVPFLRQVVPISKKVARDPRFDDLSGEYNPEV
+FDKTYQFLDDIRAREKELVKKQLKKHRSGEEHEKLQHLLQRMEQQEMAQKERKRQQELRL
+ALKQERRAQAQQGHRPYFLKKSEQRQLVLAEKFKELKRSKKLESFLSRKRRRNAGKDRRH
+LPLSKE
+>sp|Q3ZCE8|RBM8A_BOVIN RNA-binding protein 8A OS=Bos taurus OX=9913 GN=RBM8A PE=2 SV=2
+MADVLDLHEAGGEDFAMDEDGDESIHKLKEKAKKRKGRGFGSEEGSRARMREDYDSVEQD
+GDEPGPQRSVEGWILFVTGVHEEATEEDIHDKFAEYGEIKNIHLNLDRRTGYLKGYTLVE
+YETYKEAQAAMEGLNGQDLMGQPISVDWCFVRGPPKGKRRGGRRRSRSPDRRRR
+>sp|Q2HJG2|PUF60_BOVIN Poly(U)-binding-splicing factor PUF60 OS=Bos taurus OX=9913 GN=PUF60 PE=2 SV=1
+MATATIALGTDSIKMENGQGTAAKLGLPPLTPEQQEALQKAKKYAMEQSIKSVLVKQTIA
+HQQQQLTNLQMAAVTMGFGDPLSPLQSMAAQRQRALAIMCRVYVGSIYYELGEDTIRQAF
+APFGPIKSIDMSWDSVTMKHKGFAFVEYEVPEAAQLALEQMNSVMLGGRNIKVGRPSNIG
+QAQPIIDQLAEEARAFNRIYVASVHQDLSDDDIKSVFEAFGKIKSCTLARDPTTGKHKGY
+GFIEYEKAQSSQDAVSSMNLFDLGGQYLRVGKAVTPPMPLLTPATPGGLPPAAAVAAAAA
+TAKITAQEAVAGAAVLGTLATPGLVSPALTLAQPLGALPQAVMAAQAPGVITGVTPARPP
+IPVTIPSVGVVNPILASPPTLGLLEPKKEKEEEELFPESERPEMLSEQEHMSISGSSARH
+MVMQKLLRKQESTVMVLRNMVDPKDIDDDLEGEVTEECGKFGAVNRVIIYQEKQGEEEDA
+EIIVKIFVEFSVASETHKAIQDLNGRWFAGRKVVAEVYDQERFDNSDLSA
+>sp|Q3ZBN8|TIM14_BOVIN Mitochondrial import inner membrane translocase subunit TIM14 OS=Bos taurus OX=9913 GN=DNAJC19 PE=3 SV=3
+MASTVVAVGLTIAAAGFAGRYALQAMKHMEPQVKQVFQSLPKTAFSGGYYRGGFEPKMTK
+REAALILGVSPTANKAKIRDAHRRIMLLNHPDKGGSPYIAAKINEAKDLLEGQAKK
+>sp|Q32PE0|RPAB2_BOVIN DNA-directed RNA polymerases I, II, and III subunit RPABC2 OS=Bos taurus OX=9913 GN=POLR2F PE=1 SV=1
+MSDNEDNFDGDDFDDVEEDEGLDDLENAEEEGQVNVEILPSGERPQANQKRITTPYMTKY
+ERARVLGTRALQIAMCAPVMVELEGETDPLLIAMKELKARKIPIIIRRYLPDGSYEDWGV
+DELIITD
+>sp|Q2KJ44|PTPA_BOVIN Serine/threonine-protein phosphatase 2A activator OS=Bos taurus OX=9913 GN=PTPA PE=2 SV=1
+MAEGERQTPSDSSEDAPPTTQNFIIPKKEIHTVPDMGKWKRSQAYADYIGFILTLNEGVK
+GKKLSFEYKVSEAIEKLVALLNTLDRWIDETPPVDQPSRFGNKAYRTWYAKLDQEAENLV
+ATVVPTNLAAAVPEVAVYLKESVGNSTRIDYGTGHEAAFAAFLCCLCKIGVLRVDDQIAI
+VFKVFNRYLEVMRKLQKTYRMEPAGSQGVWGLDDFQFLPFIWGSSQLIDHPYLEPRHFVD
+EKAVNENHKDYMFLECILFITEMKTGPFAEHSNQLWNISAVPSWSKVNQGLIRMYKAECL
+EKFPVIQHFKFGSLLPIHPVMSSC
+>sp|Q3SZQ6|RL32_BOVIN 60S ribosomal protein L32 OS=Bos taurus OX=9913 GN=RPL32 PE=2 SV=3
+MAALRPLVKPKIVKKRTKKFIRHQSDRYVKIKRNWRKPRGIDNRVRRRFKGQILMPNIGY
+GSNKKTKHMLPSGFRKFLVHNVKELEVLLMCNKSYCAEIAHNVSSKNRKAIVERAAQLAI
+RITNPNARLRSEENE
+>sp|Q2KIH4|RRS1_BOVIN Ribosome biogenesis regulatory protein homolog OS=Bos taurus OX=9913 GN=RRS1 PE=2 SV=1
+MEGQSVEELLAKAERDEAEKLQRITVLKELELEFDLGNLLASDRNPPTGLRHAGPTQEAE
+LRALARDNTQLLINQLWQLPTERVEEALVARLPEPSTRLPREKPVPRPRPLTRWQQFARL
+KGIRPKKKTNLVWDEVSGRWRRRWGYQRARDDTKEWLIEVPGNADPMEDQFAKRIQAKKE
+RVAKNELNRLRNLARAHKMQLPSAAGMHPTGHQSKEELGRAMQVAKVSTASVGRFQERLP
+KEKAPRGSGKKRKFQPLFGDFAAERKSQLEMLRVMNSKKPQMDITRATNKQMREEDQEEA
+AKRRKMSQKGKRKGGRQGPGSKRKGGTPSQGGKRKGGLGGKMNSGPPGLSGKRKGGQHQG
+GKRRK
+>sp|A0JNG4|RN207_BOVIN RING finger protein 207 OS=Bos taurus OX=9913 GN=RNF207 PE=2 SV=1
+MSGAIFTSLEGPGALDGTSGHPLVCPLCHAQYERPCLLDCFHEFCAGCLRGRAADGRLAC
+PLCQHQTVVKGPSGLPPVDRLLQFLVDSSGDGTEVVRCANCDLECGKQDAETTYFCNTCG
+QPLCARCRDETHRARMFARHDIVALGQRSRDVLQKCTLHAEPYVLFSTDKKSLLCIRCFR
+DMQGESRVHCVDLESAYVQGCERLQQAVLEVKALQTATREAIELLQAMVEEVRRSAAEEE
+AAIQALFSSMQDKLSERKALLLQAVQSLANKAEFLDLGYELMERLQGIVTRPHRLRPAQS
+SKITSDHRAEFARCLEPLLLLGPRRAAGAGGGTSTLTGGLGPKVLRGPGCPSPVGKMLGS
+PVQKPTLHRSISTKVLLAEGDASPFTEHCRHYEDSYRRLQAEMQNLKDQVQELHRDLTKH
+HSLIKAEIMGDILHKALQVDAQIASEYASVEGLRAVFQEIWEDSYQRVANEQEIYEAQLH
+DLLQLKQENAYLTTITKQITPYIRSIAKVKERLEPRFQVPVDEPSDHPQNTHDDGVNAEA
+PARVSTLKPAMEKEVS
+>sp|Q08DV5|RN220_BOVIN E3 ubiquitin-protein ligase RNF220 OS=Bos taurus OX=9913 GN=RNF220 PE=2 SV=1
+MDLHRAAFKMENSSYLPNPLASPALMVLASTAEASRDASIPCQQPRPFGVPVSVDKDVHI
+PFTNGSYTFASMYHRQGGVPGTFANRDFPPSLLHLHPQFAPPNLDCTPISMLNHSGVGAF
+RPFASTEDRESYQSAFTPAKRLKNCHDTESPHLRFSDADGKEYDFGTQLPSSSPGSLKVD
+DTGKKIFAVSGLISDREASSSPEDRNDRCKKKAAALFDSQAPICPICQVLLRPSELQEHM
+EQELEQLAQLPASKNSLLKDAMAPGTPKSLLLSASIKREGESPTASPHSSATDDLHHSDR
+YQTFLRVRANRQTRLNARIGKMKRRKQDEGQREGSCMAEDDAADIEHENSNRFEEYEWCG
+QKRIRATTLLEGGFRGSGFVMCSGKENPDSDADLDVDGDDTLEYGKPQYTEADVIPCTGE
+EPGEAKEREALRGAVLNGGPPSTRITPEFSKWASDEMPSTSNGESSKQEAMQKTCKNSDI
+EKITEDSAVTTFEALKARVRELERQLSRGDRYKCLICMDSYSMPLTSIQCWHVHCEECWL
+RTLGAKKLCPQCYTITAPGDLRRIYL
+>sp|A6QP92|TCHL1_BOVIN Trichohyalin-like protein 1 OS=Bos taurus OX=9913 GN=TCHHL1 PE=2 SV=2
+MPQLLRDILCVIETFHKYAREDAATLTCTELKQLIQSEFEDIFQPCAIHAVERNLNLLNI
+DSNGAISFDEFVLAIFSFLNVCYLDTQSLLNSEPRQVSKPEGMPHDMGLQVTNETDQWTE
+GTSQTQDKVVLPSGTASSTHLSLEERAVEHNRVDPQGHTTAHKLPVETSEHSDSKNQHLK
+GDEQSQKVDQDVSATGDSRTQRETSKPMAGSEQISSHTKGEGQNKEILQKGDILARKQSG
+TETGGRFGEQEGSLGILHSPLEETTQRPGGSSEDQEVAGEKGVKGHPKSQEPSVQGKDEP
+SSEHVDLPKQAAARKPSQTQKSAAAKDESRTAETPEPPIQEKEYETRDLSVQGENRNVSE
+TPMVRVERKGVRGPEVHQTVGQKQIEEKTQPPALEEPTEDKKYHELQESSKEKNAGEDSE
+THELNSEGGEQKDSESEGAISPGEEARHAEEGTAEALVNSKNAPEAEGTPGTRERIWELT
+TLENQSGEKNKMTTKIHDKLVKEDDGYQGEGSEPVATQNDERSPETPNSLTAEDGDSNSE
+TSDLFVQGDSQSQTNPFRGSVQGSDSNNPETQKHLALSEEKRVQEAAVLAVRGEDEQVTE
+EHAQEHEGQGSATTGRGPAVEPRGHSDTQVFIVRDENTKSLEPGIPGAPNAGITKQLSVR
+QLPTKKDSRKELKDQSPSTKKEEDGALEAQEDLVKSLDENNAVSQKTCPATLEEETESPQ
+QLAGEQSLSTKEHDPSVSESGLEERMQRDQELCLVERGAEHSSPLYEALQEKMLQQTNVT
+QGEHQNQAQTASVASPEIRRNQSSASLTNDSSDGLVFFIDRQALQKYIREFLPDEDPTGA
+QQISAPQALEDKQGRPQREELEPQKEASAPKQ
+>sp|A8PU71|SDHF1_BOVIN Succinate dehydrogenase assembly factor 1, mitochondrial OS=Bos taurus OX=9913 GN=SDHAF1 PE=3 SV=1
+MSRHSRLQRQVLSLYRELLRAGRGKPGAEARVRAEFRQHACLPRSDVLRIEYLYRRGRRQ
+LQMLRSGHATAMGAFVRTRGPTEESNGAGAPGTLSGEGDDPRKPLDSMRTPKTPLDGR
+>sp|Q0P5L5|SUMF1_BOVIN Formylglycine-generating enzyme OS=Bos taurus OX=9913 GN=SUMF1 PE=2 SV=1
+MAAPALGPARGCGAELTLVLLLSLFLLLGWAAGGEEAGPEAGAPSLVGSCGCGNPQRPGA
+QGSSAAAHRYSREANAPGSVPGGRPSPPTKMVPIPAGVFTMGTDDPQIKQDGEAPARRVA
+IDAFYMDAYEVSNAEFEKFVNSTGYLTEAEKFGDSFVFEGMLSEQVKSDIQQAVAAAPWW
+LPVKGANWRHPEGPDSTVLHRPDHPVLHVSWNDAVAYCTWAGKRLPTEAEWEYSCRGGLQ
+NRLFPWGNKLQPKGQHYANIWQGEFPVTNTGEDGFRGTAPVDAFPPNGYGLYNIVGNAWE
+WTSDWWTVHHSAEETINPKGPPSGKDRVKKGGSYMCHKSYCYRYRCAARSQNTPDSSASN
+LGFRCAADHLPTTG
+>sp|Q32KV6|SIL1_BOVIN Nucleotide exchange factor SIL1 OS=Bos taurus OX=9913 GN=SIL1 PE=2 SV=1
+MPHQGLLLFRMAPLCVLLSMLMVSCFNFCLCHKNSEFALTNPEKSSTKETERKETEEELE
+PKILEVFHPTHEWQALRPGQAVPAGSHVRLNLQTGAREVKLHDEDKFQTNLKGLKKGKRL
+DINTNTYTSQDLKNALAKFKEGAEMENSKEDQERQAKVKRLFRPIEELKKDFEELNVVIE
+TDMQIMVRLINKFNSSSSSLEEKIAALFDLEYYVHQMDNAQDLLSFGGLQVVINGLNSTE
+PLVKEYAAFVLGAAFSSNPKVQVEAIEGGALQKLLVILATEQPLTTKKKALFALCSLLRH
+FPYAQQQFLKLGGLQVLRSLVQEKGMEVLAVRVVTLLYDLVTEKMFAEEDAELTWDMSPK
+RLQQYRQVHLLPSLQEQGWCEITAHLLALPEHDAREKVLQTLGALLATCRDRFHQDTQLH
+RTLGTLQAEYQALAALELQEGEDEGYFRELLDSIDSLLTELR
+>sp|Q56K14|RLA1_BOVIN 60S acidic ribosomal protein P1 OS=Bos taurus OX=9913 GN=RPLP1 PE=3 SV=1
+MASVSELACIYSALILHDDEVTVTEDKINALIKAAGVNVEPFWPGLFAKALANVNIGSLI
+CNVGAGGPAPAAGAAPAGGPAPSTAAAPAEEKKVEAKKEESEESDDDMGFGLFD
+>sp|Q3B7L6|SRSF6_BOVIN Serine/arginine-rich splicing factor 6 OS=Bos taurus OX=9913 GN=SRSF6 PE=2 SV=1
+MPRVYIGRLSYNVREKDIQRFFSGYGRLLGIDLKNGYGFVEFEDSRDADDAVYELNGKEL
+CGERVIVEHARGPRRDRDGYSYGSRSGGGGYSSRRTSGRDKYGPPVRTEFRLIVENLSSR
+CSWQDLKDFMRQAGEVTYADAHKERTNEGVIEFRSYSDMKRALDKLDGTEINGRNIRLIE
+DKPRTSHRRSYSGSRSRSRSRRRSRSRSRRSSRSRSRSISKSRSRSRSRSKGRSRSRSKG
+RKSRSKSKSKPKSDRGSRSRSRSRSKDEYEKSRSRSRSRSRSPKENGKGDIKSKSRSRSQ
+SRSDSPLPAPPSKARSVSPPPKRASRSHSRSRSKSRSRSRSSSRD
+>sp|Q32P78|RPAB5_BOVIN DNA-directed RNA polymerases I, II, and III subunit RPABC5 OS=Bos taurus OX=9913 GN=POLR2L PE=1 SV=1
+MIIPVRCFTCGKIVGNKWEAYLGLLQAEYTEGDALDALGLKRYCCRRMLLAHVDLIEKLL
+NYAPLEK
+>sp|P39872|RL3_BOVIN 60S ribosomal protein L3 OS=Bos taurus OX=9913 GN=RPL3 PE=2 SV=2
+MSHRKFSAPRHGSLGFLPRKRSSRHRGKVKSFPKDDSSKPVHLTAFLGYKAGMTHIVREV
+DRPGSKVNKKEVVEAVTIVETPPMVIVGIVGYVETPRGLRTFKTIFAEHISDECKRRFYK
+NWHKSKKKAFTKYCKKWQDADGKKQLERDFSSMKKYCQVIRVIAHTQMRLLPLRQKKAHL
+MEVQVNGGTVAEKLDWARERLEQQVPVSQVFGQDEMIDVIGVTKGKGYKGVTSRWHTKKL
+PRKTHRGLRKVACIGAWHPARVAFSVARAGQKGYHHRTEINKKIYKIGQGYLIKDGKLIK
+NNASTDYDLSDKSINPLGGFVHYGEVTNDFVMLKGCVVGTKKRVLTLRKSLLVQTKRRAL
+EKIDLKFIDTTSKFGHGRFQTVEEKKAFMGPLKKDRIAKEEGA
+>sp|Q3SZA9|RM35_BOVIN 39S ribosomal protein L35, mitochondrial OS=Bos taurus OX=9913 GN=MRPL35 PE=2 SV=2
+MAASMFAGAVRAASGILRPLNILASSAYRNCTKNACLNSILSSRHFSHIQTPVVSSAPRL
+ITSVRNLTCGQTATVLNRMALLLPNVLKPPVRTVTYCSSRKGKRKTVKAVIYRFLRLHSG
+LWLRRKAGYKKKLWKKTVARKRRLREFVFCNKTQSKLLDKMTTSFWKRRNWYADDPYQMY
+HDRTNLKV
+>sp|P39873|RNBR_BOVIN Brain ribonuclease OS=Bos taurus OX=9913 GN=BRN PE=1 SV=3
+MALKSLVLLSLLVLVLLLVQVQPSLGKESAAAKFRRQHMDSGSSSSSNPNYCNQMMKRRR
+MTHGRCKPVNTFVHESLDDVKAVCSQKNITCKNGHPNCYQSKSTMSITDCRETGSSKYPN
+CAYKTSQKQKYITVACEGNPYVPVHFDGAVLLPATPVPSLPPPHRLL
+>sp|Q2TBT5|RNH2A_BOVIN Ribonuclease H2 subunit A OS=Bos taurus OX=9913 GN=RNASEH2A PE=1 SV=1
+MDLSELERDNTGRCRLSSPVPPVCLKEPCVLGVDEAGRGPVLGPMVYAICYCPLSRLEDL
+EALKVADSKTLSESERDRLFAKMEEDGDFVGWALDVLSPNLISTSMLGRVKYNLNALSHD
+TATGLVQFALDQGVNVAQVFVDTVGLPETYQERLQQRFPGIEVTVKAKADALYPVVSAAS
+ICAKVARDQAVKNWKFVEKLQDLDTDYGSGYPNDPKTKAWLRKHVDPVFGFPQFVRFSWR
+TAQSILESEAEDVKWEDSETGDPKGPGKIKSYFSESPQTCLRLPHRYFQERGLESATVL
+>sp|Q2KIB9|RPP29_BOVIN Ribonuclease P protein subunit p29 OS=Bos taurus OX=9913 GN=POP4 PE=2 SV=1
+MTSVVYHAFSQKEAKELDVQHSGAQRAEAFVRAFLKRSMPRMSQQALEDHLQRKAVVLEY
+FTHRKQKEKRKKSKGLSAKQRRELRLFDIKPEQQRYSLFLPLHELWKQYIRDLCNGLKPD
+TQPQMIQAKLLKADLHGAIVSVTKSKCPSYVGVTGILLQETKHVFKIITKEDRLKVIPKL
+NCVFTVEIDGFISYIYGSKFQLRSSERSAKKFKAKGTIDL
+>sp|Q5E9E6|RL10A_BOVIN 60S ribosomal protein L10a OS=Bos taurus OX=9913 GN=RPL10A PE=2 SV=3
+MSSKVSRDTLYEAVREVLHGNQRKRRKFLETVELQISLKNYDPQKDKRFSGTVRLKSTPR
+PKFSVCVLGDQQHCDEAKAVDIPHMDIEALKKLNKNKKLVKKLAKKYDAFLASESLIKQI
+PRILGPGLNKAGKFPSLLTHNENMVAKVDEVKSTIKFQMKKVLCLAVAVGHVKMTDDELV
+YNIHLAVNFLVSLLKKNWQNVRALYIKSTMGKPQRLY
+>sp|P61763|STXB1_BOVIN Syntaxin-binding protein 1 OS=Bos taurus OX=9913 GN=STXBP1 PE=1 SV=1
+MAPIGLKAVVGEKIMHDVIKKVKKKGEWKVLVVDQLSMRMLSSCCKMTDIMTEGITIVED
+INKRREPLPSLEAVYLITPSEKSVHSLISDFKDPPTAKYRAAHVFFTDSCPDALFNELVK
+SRAAKVIKTLTEINIAFLPYESQVYSLDSADSFQSFYSPHKAQMKNPILERLAEQIATLC
+ATLKEYPAVRYRGEYKDNALLAQLIQDKLDAYKADDPTMGEGPDKARSQLLILDRGFDPS
+SPVLHELTFQAMSYDLLPIENDVYKYETSGIGEARVKEVLLDEDDDLWIALRHKHIAEVS
+QEVTRSLKDFSSSKRMNTGEKTTMRDLSQMLKKMPQYQKELSKYSTHLHLAEDCMKHYQG
+TVDKLCRVEQDLAMGTDAEGEKIKDPMRAIVPILLDANVSTYDKIRIILLYIFLKNGITE
+ENLNKLIQHAQIPPEDSEIITNMAHLGVPIVTDSTLRRRSKPERKERISEQTYQLSRWTP
+IIKDIMEDTIEDKLDTKHYPYISTRSSASFSTTAVSARYGHWHKNKAPGEYRSGPRLIIF
+ILGGVSLNEMRCAYEVTQANGKWEVLIGSTHILTPQKLLDTLKKLNKTDEEISS
+>sp|Q2T9U1|SRP54_BOVIN Signal recognition particle 54 kDa protein OS=Bos taurus OX=9913 GN=SRP54 PE=2 SV=1
+MVLADLGRKITSALRSLSNATIINEEVLNAMLKEVCTALLEADVNIKLVKQLRENVKSAI
+DLEEMASGLNKRKMIQHAVFKELVKLVDPGVKAWTPTKGKQNVIMFVGLQGSGKTTTCSK
+LAYYYQRKGWKTCLICADTFRAGAFDQLKQNATKARIPFYGSYTEMDPVIIASEGVEKFK
+NENFEIIIVDTSGRHKQEDSLFEEMLQVANAIQPDNIVYVMDASIGQACEAQAKAFKDKV
+DVASVIVTKLDGHAKGGGALSAVAATKSPIIFIGTGEHIDDFEPFKTQPFISKLLGMGDI
+EGLIDKVNELKLDDNEALIEKLKHGQFTLRDMYEQFQNIMKMGPFSQILGMIPGFGTDFM
+SKGNEQESMARLKKLMTIMDSMNDQELDSTDGAKVFSKQPGRIQRVARGSGVSTRDVQEL
+LTQYTKFAQMVKKMGGIKGLFKGGDMSKNVSQSQMAKLNQQMAKMMDPRVLHHMGGMAGL
+QSMMRQFQQGAAGNMKGMMGFNNM
+>sp|Q17QH7|TFPT_BOVIN TCF3 fusion partner homolog OS=Bos taurus OX=9913 GN=TFPT PE=2 SV=1
+MELEQREGTMAAVGFEEFSAPPGSELALPPLFGGHILESELETEVEFVSGGLGGSGLRER
+DEEEEAARGRRRRQRELNRRKYQALGRRCREIEQVNERVLNRLHQVQRITRRLQQERRFL
+MRVLDSYGDDYRSSQFTIVLEDEGSQGTDAPTPGNAENEPPDKEGLSPPRRTPAPPEPGS
+PAPGEGPSGRKRRRAPRDTRRVGAALTPELAPIKVEEDFGFEADEALDSSWVSRGPDKLL
+PYPTLASPPFD
+>sp|Q0IIH7|ST14_BOVIN Suppressor of tumorigenicity 14 protein homolog OS=Bos taurus OX=9913 GN=ST14 PE=2 SV=1
+MKSERARRGAGGSGDLGAGFKYTSRPENMNGCEEGVEFLPANNSSKVEKGGPRRWVVLMA
+VLAAFLALSLLAGLLAWHFQDRNVRVQKIFNGYLSVRNENFLDAYENSNSTEFANLAKKV
+KEALKFLYSGIPVLGPYHKTSTVTAFSEGSVIAYYWSEFDIPKHLVKEAEQAMAEKRMVT
+VPPRARSMSSFVMTSVVAFPSDPRIIQNTQDNSCSFALHAQGSEPIRFSTPGFPDSPYPS
+HARCQWTLRGDADSVLSLTFRSFDVATCDERGSDLVTVYDTLSPVEPRAVVQLCGTYPPS
+YNLTFLSSQNVLLITLVTSTERRHPGFEAVFFQLPRMSSCGGYLRAAQGTFNSPYYPGHY
+PPNINCTWHIEVPDNKNVKVRFKAFFLQEPNVPVGSCTKDYVEINGEKYCGERPQFVASS
+RNNKITVHFHSDQSYTDTGFLAEFLSFDARDPCPGSFMCNTGRCIRKELRCDGWADCTDY
+SDELDCKCNATYQFTCRDKFCKPLFWVCDSVKDCEDGSDEEGCSCPPNTFKCGNGKCLPQ
+SQQCDRKDDCGDGSDEAKCQDGKAVPCTEHTHRCLNGLCVDKSNPQCDGNEDCTDGSDEK
+DCDCGRRSFTRQSRVVGGENSDQGEWPWQVSLHAQGHGHLCGASLISPSWMISAAHCFVD
+DRGFRYSEHSVWTAFLGLHDQSKRNAPGVQERGLQRIIKHPFFNDFTFDYDIALLQLDRP
+VEYSATIRPICLPAADYTFPTGKAIWVTGWGHTQEAGQGAMILQKGEIRVINQTTCEHLL
+PQQITPRMICVGYLSGGVDACQGDSGGPLSSPEEDGRMFQAGVVSWGEGCAQRNKPGVYT
+RLPVFRDWIKAQIGV
+>sp|Q3MHL6|T22D1_BOVIN TSC22 domain family protein 1 OS=Bos taurus OX=9913 GN=TSC22D1 PE=2 SV=1
+MKSQWCRPVAMDLGVYQLRHFSISFLSSLLGTENASVRLDNSSSGASVVAIDNKIEQAMD
+LVKSHLMYAVREEVEVLKEQIKELIEKNSQLEQENNLLKTLASPEQLAQFQAQLQTGSPP
+ATTQPQGTTQPPAQPASQGSGPTA
+>sp|Q2YDL1|STXB6_BOVIN Syntaxin-binding protein 6 OS=Bos taurus OX=9913 GN=STXBP6 PE=2 SV=1
+MSAKSAISKEIFAPLDERMLGAVQVKRRTKKKIPFLATGGQGEYLTYICLSVTNKKPTQA
+SITKVKQFEGSTSFVRRSQWMLEQLRQVKGIDPNRDSAEFDLLFENAFDQWVASTASEKC
+TFFQILHHTCQRYLTDRKPEFINCQSKIMGGNSILHSAADSVTSAVQKASQALNERGERL
+GRAEEKTEDLKNSAQQFAETAHKLAMKHKC
+>sp|Q5BIN4|TIM22_BOVIN Mitochondrial import inner membrane translocase subunit Tim22 OS=Bos taurus OX=9913 GN=TIMM22 PE=2 SV=1
+MAATAPKAGGSAPEAAASAEAPLQYSLLLQYLVGDKRQPRLLEPGSLGGIPSPAKSEEQK
+MIERAMESCAFKAALACVGGFVLGGAFGVFTAGIDTNVGFDPKDPYRTPTAREVLKDMGQ
+RGMSYAKNFAIVGAMFSCTECLVESYRGKSDWKNSVISGCITGGAIGFRAGLKAGVIGCG
+GFAAFSAAIDYYLR
+>sp|Q5E9S3|TCF21_BOVIN Transcription factor 21 OS=Bos taurus OX=9913 GN=TCF21 PE=2 SV=1
+MSTGSLSDVEDLQEVEMLDCDGLKMDSNKEFVTSNESTEESSNCEAGSPQKGRGGLGKRR
+KAPTKKSPLSGVSQEGKQVQRNAANARERARMRVLSKAFSRLKTTLPWVPPDTKLSKLDT
+LRLASSYIAHLRQILANDKYENGYIHPVNLTWPFMVAGKPESDLKEVVTASRLCGTTAS
+>sp|A7MB70|SEM3C_BOVIN Semaphorin-3C OS=Bos taurus OX=9913 GN=SEMA3C PE=2 SV=1
+MAFQAVCILVGVFVCSTYVKGSPQPQARVYLTFDELRETKTSEYFSLSQYPLDYRILLMD
+EDQDRMYVGSKDHILSLNINNISQEPLSVFWPASAIKVEECKMAGKDPTHGCGNFVRVIQ
+AFNRTHLYVCGSGAFSPVCAYLNRGRRSEDQVFMIDSKCESGKGRCSFNPNVNTVSVMIN
+EELFSGMYIDFMGTDAAIFRSLTKRNAVRTDQHNSKWLSEPMFVDAHVIPDGTDPNDAKV
+YFFFKEKLTDNSRSTKQIHSMIARICPNDTGGLRSLVNKWTTFLKARLVCSVTDEDGPET
+HFDELEDVFLLEMDNPRTTLVYGIFTTSSSVFKGSAVCVYHFSDIQTVFNGPFAHKEGPN
+HQLISYQGRIPYPRPGTCPGGAFTPNMRTTKEFPDDVVTFIRNHPLMYNSIYPVHRRPLI
+VRIGTDYKYTKIAVDRVNAADGTYNVLFLGTDRGTVQKVVVLPTNSSARSELILEELEVF
+KNHAPITTMKISSKKQQLYVSSNEGLAQVSLHRCHIYGSACADCCLARDPYCAWDGHSCS
+RFYPTGKRRSRRQDVRHGNPLTQCRGFNLKAYRNAAEIVQYGVKNNTTFLECAPKSPQAS
+IKWLLQKDKDRRKEVKLNERIIATSQGLLIRSVQDSDQGLYHCIATENSFKQTIAKINFK
+VLDSEMVAVVTDKWSPWTWASSVRALPFHPKDIMGAFSHSEMQMINQYCKDTRQQHQQGE
+ESQKMRGDYGKLKALINSRKSRNRRNQLPES
+>sp|Q3SWZ7|TCAB1_BOVIN Telomerase Cajal body protein 1 OS=Bos taurus OX=9913 GN=WRAP53 PE=2 SV=1
+MKTPEAPPLAPDCLPSDQAPAPARLSRQASPMDKNTDPELMPTPRDGDDPPQVSSDPMVG
+LALSQELEEGVPASLPTPLESGFGSPSELSSRVEEKELSENVSLPAEETNRPELGPGEDV
+EGVSEELTPEDEGYTIWNYNFSQVPRFLSGSWSEFITQPENFLKGCKWAPDGSCILTNSA
+DNILRIYNLPPELYNEGEQLEYAEMAPVLRMVEGDTIYDYCWYSLMSSAQPDTSYVASSS
+RENPIHIWDAFTGELRASFRSYNHLDELTAAHSLCFSPDGSQLFCGFNRTVRVFSTSRPG
+RDCEVRTTFAKRQGQSGIISCIAFSPTQPLYACGSYGRSLGLYTWEDGSPLALLGGHQGG
+ITHLCFHPDGNCFFSGARKDAELLCWDLRQLGHPLWSLSREVTTNQRIYFDLDPTGQFLV
+SGSTSGAVSVWDTGGAGLESKPEPVLSFQPQKDCTNGVSLHPSLPLLATASGQRVFPEPT
+ESGDEREEEVDLPLLSMRHVHLECQLQLWWCGGGPDTSISDAHQEEMGQGRTEGGGGEFT
+>sp|Q5E951|TBCB_BOVIN Tubulin-folding cofactor B OS=Bos taurus OX=9913 GN=TBCB PE=2 SV=1
+MEVTGLSAPTVNVFISSSLNSFRSQKRYSRSLTVAEFKCKLQLVVGSPASCMELELYGPD
+DKFCCKLDQDDALLGSYPVDDGCRIHVIDHSGARLGEYEDISKVEKYEISQEAYEQRQDS
+IRSFLKRNKLGRFNEEERAQQEAENSQRLIEEEAQASTIPVGSRCEVRTPGQPPRRGTVM
+YVGLTDFKPGYWIGIRYDEPLGKNDGSVNGKRYFECQAKYGAFVKPSVVTVGDFPEEDYG
+LDEM
+>sp|A4IF93|TBCC1_BOVIN TBCC domain-containing protein 1 OS=Bos taurus OX=9913 GN=TBCCD1 PE=2 SV=1
+MDQSRVLLWVKAEPFIVGALQIPPPSKFSLHYLRKISTYVRTRTIEGGYPRLSWSTWRHI
+ACGKLQLAKDLAWLYFEMFDSLAMKTPEERLEWSEILSNCMSEDEVEKQRNQLSVDTLQF
+LLFLHIQQLNKVSLRTSLIGEEWPSPRHRAQSPDLTEKSSCHNKNWNDYSHQAFVCDHLS
+DLLELLLDPEQLTASFHSTHSSLVSREAVVALSFLIEGTVSGARKIYPLYELALWHPLHA
+ETGFSKASKTFSFYKLEAWLRTCLTGNPFGTSACLKSGKKLAWAHQVEGTTKRAKIACNT
+HVAPRMHRMVVMSQVYKQTLAKSSDTLVGAHVKIHRCNESFIYLLSPLRSVTIEKCRNST
+FVLGPVQTALHLHSCDNVKVIAVCHRLSISSTTGCIFHILTPTRPLILSGNQRVTFAPFH
+THYPMLEDHMARTGLATVPNYWDNPMIVCRENSSPSVFRLLPPCEFYVFIIPFEMEGDTT
+EIPGGLPSAYQKALSQRKKKIQVWQKTVKEARLTKDQRKQFQVLVENKFYEWLINTGHRQ
+QLDSLVPPAAGSKQAAG
+>sp|A5D992|SPY1_BOVIN Protein sprouty homolog 1 OS=Bos taurus OX=9913 GN=SPRY1 PE=2 SV=1
+MDPQNQHGSGSSLVVIQQPALDNRQRLDYEREIQPAAILSLDQIKAIRGSNEYTEGPSVV
+KRPAPRTAPRQEKHERTHEIIPINVNNNYEHRPTSHLGHAGLSNNTRGPILSRSTSTGSA
+ASSGSNSSASSEQGLLGRSPPTRPIPGHRSERAIRTQPKQLIVDDLKGSLKEDLTQHKFI
+CEQCGKCKCGECTAPRTLPSCLACNRQCLCSAESMVEYGTCMCLVKGIFYHCSNDDEGDS
+YSDNPCSCSQSQCCSRYLCMGAMSLFLPCLLCYPPAKGCLKLCRGCYDWIHRPGCRCKNS
+NTVYCKLESCPSRGLGKPS
+>sp|P63296|SECR_BOVIN Secretin OS=Bos taurus OX=9913 GN=SCT PE=1 SV=1
+HSDGTFTSELSRLRDSARLQRLLQGLV
+>sp|Q0VCH6|S2540_BOVIN Solute carrier family 25 member 40 OS=Bos taurus OX=9913 GN=SLC25A40 PE=2 SV=1
+MDPESEGPAVITVTPLQQMFASCTGAILTSLMVTPFDVVKIRLQAQNNPFPKGKCFLYSN
+GLMDHLCVCEEEGNKAWYKKPGHFQGTLDAFLKIIRNEGIKSLWSGLPPTLVMAVPATVI
+YFTCYDQLTALLRSKLGENESRIPIVAGIVARLGAVTVISPLELIRTKMQSKKFSYEELH
+RFVSKKVSEDGWISLWRGWAPTILRDVPFSAMYWYNYEVLKKWLCAKSGLYEPTFMINFT
+SGALSGSFAAVVTLPFDVVKTQKQTQLWIYESQKISMPLQMSTWTIMKNIVAKNGFSGLF
+TGLIPRLIKIAPACAVMISTYEFGKSFFQKQNAQRQRY
+>sp|Q56JX6|RS28_BOVIN 40S ribosomal protein S28 OS=Bos taurus OX=9913 GN=RPS28 PE=3 SV=1
+MDTSRVQPIKLARVTKVLGRTGSQGQCTQVRVEFMDDTSRSIIRNVKGPVREGDVLTLLE
+SEREARRLR
+>sp|Q56JV1|RS26_BOVIN 40S ribosomal protein S26 OS=Bos taurus OX=9913 GN=RPS26 PE=3 SV=3
+MTKKRRNNGRAKKGRGHVQPIRCTNCARCVPKDKAIKKFVIRNIVEAAAVRDISEASVFD
+AYVLPKLYVKLHYCVSCAIHSKVVRNRSREARKDRTPPPRFRPAGAAPRPPPKPM
+>sp|Q05B58|WASC3_BOVIN WASH complex subunit 3 OS=Bos taurus OX=9913 GN=WASHC3 PE=1 SV=1
+MDEDGLPLMGSGIDLTKVPAIQQKRTVAFLNQFVVHTVQFLNRFSTVCEEKLADLSLRIQ
+QIETTLNILDAKLSSIPGLDDVTFEVSPVNVTRITNGTHSEATSEQSQQNSLQDSGPQES
+EVTPENILTVAKDPRYARYHKMVQVGVPVMAIRNKMISEGLDPDLLERPDAPVPDGEGEK
+NTEESSDSESSFSD
+>sp|Q0P595|SIR7_BOVIN NAD-dependent protein deacetylase sirtuin-7 OS=Bos taurus OX=9913 GN=SIRT7 PE=2 SV=1
+MAAGGLSRSERKAAERVRRLREEQQRERLRQVSRILRKAATERSAEEGRLLAESEDLVTE
+LQGRSRRREGLKRRQEEVCDDPEELQRKVRELASAVRNAKYLVVYTGAGISTAASIPDYR
+GPNGVWTLLQKGRSVSAADLSEAEPTLTHMSITRLHEQKLVQHVVSQNCDGLHLRSGLPR
+SAMSELHGNMYIEVCTACTPNREYVRVFDVTERTALHRHQTGRTCHKCGGQLRDTIVHFG
+ERGTLGQPLNWEAATEAASKADTILCLGSSLKVLKKYPHLWCMTKPPSRRPKLYIVNLQW
+TPKDDWAALKLHGKCDDVMQLLMDELGLEIPRYSRWQDPIFSLATPLRAGEEGSHSRKSL
+CRSREEPGPGDRGAPLSSAPILGGWFGRGCTKRTKRKKVT
+>sp|O18964|SYNJ1_BOVIN Synaptojanin-1 (Fragment) OS=Bos taurus OX=9913 GN=SYNJ1 PE=1 SV=2
+MAFSKGFRIYHKLDPPPFSLIVETRHKEECLMFESGAVAVLSSAEKEAIKGTYSKVLDAY
+GLLGVLRLNLGDIMLHYLVLVTGCMSVGKIQESEVFRVTSTEFISLRVDSSDEDRISEVR
+KVLNSGNFYFAWSASGVSLDLSLNAHRSLQEHTTDNRFSWNQSLHLHLKHYGVNCADWLL
+RLMCGGVEIRTIYAAHKQAKACLISRLSCERAGTRFNVRGTNDDGHVANFVETEQVVYLD
+DSVSSFIQIRGSVPLFWEQPGLQVGSHRVRMSRGFEANAPAFDRHFRTLKNLYGKQIIVN
+LLGSKEGEHMLSKAFQSHLKASEHAADIQMVNFDYHQMVKGGKAEKLHSVLKPQVQKFLD
+YGIFHFDGSEVQRCQSGTVRTNCLDCLDRTNSVQAFLGLEMLTKQLEALGLAEKPQLVTR
+FQEVFRSMWSVNGDSISKIYAGTGALEGKAKLKDGARSVSRTIQNNFFDSSKQEAIDVLL
+LGNTLNSDLADKARALLTTGSLRVSEQTLQSASSKVLKSMCENFYKYSKPKKIRVCVGTW
+NVNGGKQFRSIAFKNQTLTDWLLDAPKLAGIQEFQDKRSKPMDIFPIGFEEMVELNAGNI
+VNASTTNQKLWAAELQKTISRDNKYVLLASEQLVGVCLFVFIRPQHAPFIRDVAVDTVKT
+GMGGATGNKGAVAIRMLFHTTSLCFVCSHFAAGQSQVKERNDDFLEIARKLSFPMGRLLF
+SHDYVFWCGDFNYRIDLPNEEVKELIRQQNWDSLIAGDQLINQKNAGQIFRGFLEGKVTF
+APTYKYDLFSDDYDTSEKCRTPAWTDRVLWRRRKWPFDRSAEDLDLLNASFQDESKILYT
+WTPGTLLHYGRAELKTSDHRPVVALIDIDIFEVEAEERQNIYKEVIAVQGPPDGTVLVSI
+KSSLPENNFFNDALIDELLQQFTNFGEVILIRFVEDKMWVTFLEGSSALNVLNLNGKELL
+GRTITITLKSPDWIKTLEEEMSLEKINVPLPSSTSSTLLGEDAEVTADFDMEGDVDDYSA
+EVEEILPQHLQPSSSSALARPPVLHPGPVPASHLPYRRGPVPSLPVRPSRAPSRTPGPPA
+SQSSPVDTLPATQLQQKDSSQTLEPKRPPPPRPVAPPARPAPPQRPPPPSGARSPAPARE
+RVWSTRKAQERPRRDNLGGSQLPPQGGLPGPGLAGHSAARPIIPPRAGVISAPESHGRVS
+AGRLTPESQRKTXEVLKGPALLPEPLKPQAALPVPPSLAPPSQEMQEPLIAVAAPLAQSA
+LQPSLETPPQPPPRSRSSHSLPSDAPAAAAGATIRVTGEKQTGVSAVRLDCPLKSDPFED
+LSLN
+>sp|Q3SZQ3|SPT19_BOVIN Spermatogenesis-associated protein 19, mitochondrial OS=Bos taurus OX=9913 GN=SPATA19 PE=2 SV=1
+MIITTWIVYILARKGAGLPFPPKVSSDVEVTETEAVSVVQHWLKKTEEEASQEIKEKMST
+NFPPMQGQDVHVTRDVVKHHLSKSGLLANQSQEVLEERTRIQFIRWSHTRIFQVPSEARN
+EAMRDRIEQVRRSICHLSDEISQELRNRNSYSEC
+>sp|A6QQX5|TRI44_BOVIN Tripartite motif-containing protein 44 OS=Bos taurus OX=9913 GN=TRIM44 PE=2 SV=1
+MASGGGAAFEELPHDGTCDECEPDEAPGAEEVCRECGFCYCRHHAEAHGQKFPRHHLAEY
+VHCAAQAWTPGARGDGAGEEAVEAPVENEKALENEAGEGIESEEDSEPEEESETEEESED
+ESEEDSEEEMEDEQESEAEEDNQEEGESEAEGETEAESEFDPEIEMEAERVAKRKCPDHG
+LDLSTYCQEDKQLICVLCPVIGAHHGHHLSTLDEAFEELRSKDSGGLKAAMIELVERLKF
+KSSDPKVTRDQMKMFIQQEFKKVQKVIADEEQKALHLVDIQEAMATAHVTEILADIQSHM
+DRLMTQMAQAKEQLDTSNESAEPKAEGDEEEPGGTDED
+>sp|A6QLU7|TCAF2_BOVIN TRPM8 channel-associated factor 2 OS=Bos taurus OX=9913 GN=TCAF2 PE=2 SV=1
+MATTPAAAFEALMDGVTSWELPEGPVPSELLLTGEAAFPVMVNDKGQVLIAASFYGRGRL
+VVVSHEGYLLDAGLARFLLNAVRWLSPSPGAPVGVHPSLASLAHILEGSGVEAQVHPEPA
+EPLGVYCISAYNDTMTAELIQFVKRGGGLLIGGQAWHWASQHGSDQVLSEFPGNQVTSVA
+GVYFTDTYGVKGRFKVSKKVPKIPLQVRCGEDLRQDQQQLLEGISELDIGTKGLPSQLLV
+HGALAFPLGLDASLRCFLAAARYGRGRVVLAAHEGMLSAPSLGPFLLNAVRWLAKGQTGK
+VGVNTSLEKLHTLLLEHGLECSLEPHLTSGVCVYCCTAYSDKEAKQLQEFVAEGGGLLIG
+GHAWWWASQNPGRSALADFPGNVILNSFGLSILPWTLDPGCFPVPSADSLNYHFRKALSE
+FQATLNLEGGNLEKNWLAKLRVDGAAFLQIPAEGVPAYASLHRLLRKQLRLRLSGFPAVS
+RENPVAGDSCEAVVLCLATELARSGTDCSELAQGLGAWSCSSNLCPSEHTVEINARNPSD
+DAWMSTGLNLPNGQLTEVCLCEAAACAGLKLQIGCHTDNLMSASKLSRAPVVTHQCHMDR
+TEQLVSNLWGGLLYVIVPTGCNLGPMSITIKRAVPAPYYKLGETSLEAWRSCIQESPAPW
+GELATDNIILTVPTADLRALEDPEPLLRLWDEMMEAIARLAAQPFPFRRPERIVADVQIS
+AGWMHSGYPIMCHLESVSELIDETGMRSRGLWGPVHELGHNQQREQWEFPPHTTEATCNL
+WSVYVHETVLGIPRAQAHPALSPPERENRIKTHLEKGAPLCDWKVWTALETYLQLQEAFG
+WEPFTQLFAEYQTLSDIPNDNPGKMNLWVRKFSEKVQKNLAPFFEAWGWPVEKEVASSLA
+CLPEWEENPMRMYI
+>sp|A7E3U5|S38A7_BOVIN Putative sodium-coupled neutral amino acid transporter 7 OS=Bos taurus OX=9913 GN=SLC38A7 PE=2 SV=1
+MAQVSINRDLGEWGLSTDSGERARLLQSPSVDIAPKSEGEAPPGGVGGGTTSTLGAIFIV
+VNACLGAGLLNFPAAFSTAGGVAAGITLQMAMLVFIISGLVILAYCSQASNERTYQEVVW
+AVCGKLTGVLCEVAIATYTFGTCIAFLIIIGDQQDKIIAVMAKEPEGPGGSPWYTDRKFT
+ISLTAFLFILPLSIPREIGFQKYASFLSVVGTWYVTAIIIIKYIWPDKEMTPADILNRPA
+SWIAVFNAMPTICFGFQCHVSSVPVFNSMRQPEVKTWGGVVTAAMVIALAVYMGTGICGF
+LTFGDAVDPDVLLSYPSEDMAVAVARAFIILSVLTSYPILHFCGRAVIEGLWLRYQGMPV
+EEDVGRERRRRVLQTLVWFLLTLLLALFIPDIGKVISVIGGLAACFIFVFPGLCLIQAKL
+SEMEEVKPASWWAMVSYGVLLVTLGAFIFGQTTANAIFVDLLA
+>sp|A7MBE0|S22A1_BOVIN Solute carrier family 22 member 1 OS=Bos taurus OX=9913 GN=SLC22A1 PE=2 SV=1
+MLTVDDVLEQVGEFGWFQKQTFLILCLLSAAFAPIYVGIVFLAFTPDHRCRSPGVAELSR
+RCGWSLAEELNYTVPGPGPESQCLRYEVDWNQSTLGCLDPLASLATNGSPLPLGPCEQGW
+VYDTPGSSIVTEFNLVCDDSWKVDLFQSCVNLGFFLGSLGVGYIADRFGRKVCLLATTLT
+CASLGVLTAVAPDYTSLLIFRLLQGLVSKGSWTAGYTLITEFVGLGYRRTVAILYQMAFT
+VGLVLLSGLAYILPHWRWLQLAVSLPIFLLLFRFWFVPESPRWLLSQKRNTEAIKIMDHI
+AQKNGKLPPADLKMLSLEEDVTEKLSPSFIDLFRTPNLRKYTFILMYLWFTSSVVYQGLI
+MHVGATGGNLYLDFLYSALVEFPAGFIILVTIDRFGRRYPLATSNLAAGLACFLMIFIPH
+DLPWLNIMVACVGRMGITIVFQMVCLVNAELFPTFIRNLGMMVCSSLCDLGGVLTPFLVF
+RLMEVWQGSPLILFAALGLVAGGMTLLLPETKGVTLPETIEDAENLQRKAKPKENKIYLQ
+VQTSELNTQAAERDASQGTAQQK
+>sp|Q3ZBM5|SNX5_BOVIN Sorting nexin-5 OS=Bos taurus OX=9913 GN=SNX5 PE=2 SV=1
+MAAVPEVLQQQEEDRSKLRSVSVDLNVDPSLQIDIPDALSERDKVKFTVHTKTTLPTFQS
+PEFSVTRQHEDFVWLHDTLIETTDYAGLIIPPAPTKPDFDGPREKMQKLGEGEGSMTKEE
+FAKMKQELEAEYLAVFKKTVSSHEVFLQRLSSHPVLSKDRNFHVFLEYDQDLSVRRKNTK
+EMFGGFFKSVVKSADEVLFSGVKEVDDFFEQEKTFLINYYNRIKDSCAKADRMTRSHKNV
+ADDYIHTAACLHSLALEEPTVIKKYLLKVAELFEKLRKVESRVSSDEDLKLTELLRYYML
+NIEAAKDLLYRRTKALIDYENSNKALDKARLKSRDVKLAEAHQQECCQKFEQLSESAKDE
+LINFKRKRVAAFRKNLIEMSELEIKHARNNVSLLQSCIDLFKNN
+>sp|Q5EA68|S61A1_BOVIN Protein transport protein Sec61 subunit alpha isoform 1 OS=Bos taurus OX=9913 GN=SEC61A1 PE=2 SV=3
+MGIKFLEVIKPFCVILPEIQKPERKIQFKEKVLWTAITLFIFLVCCQIPLFGIMSSDSAD
+PFYWMRVILASNRGTLMELGISPIVTSGLIMQLLAGAKIIEVGDTPKDRALFNGAQKLFG
+MTITIGQSIVYVMTGMYGDPSEMGAGVCLLITIQLFVAGLIVLLLDELLQKGYGLGSGIS
+LFIATNICETIVWKAFSPTTVNTGRGMEFEGAIIALFHLLATRTDKVRALREAFYRQNLP
+NLMNLIATIFVFAVVIYFQGFRVDLPIKSARYRGQYNTYPIKLFYTSNIPIILQSALVSN
+LYVISQMLSARFSGNLLVSLLGTWSDTSSGGPARAYPVGGLCYYLSPPESFGSVLEDPVH
+AVVYIVFMLGSCAFFSKTWIEVSGSSAKDVAKQLKEQQMVMRGHRETSMVHELNRYIPTA
+AAFGGLCIGALSVLADFLGAIGSGTGILLAVTIIYQYFEIFVKEQSEVGSMGALLF
+>sp|O46385|SVIL_BOVIN Supervillin OS=Bos taurus OX=9913 GN=SVIL PE=1 SV=2
+MKRKERIARRLEGIETDTQPILLQSCTGLVTHRLLEEDTPRYMRATDPASPHIGRSNEEE
+ETSDSSLEKQTRSKQCTETSGIHADSPYSSGIMDTQSLESKAERIARYKAERRRQLAEKY
+GLTLDPEADSETPSRYSRSRKDPEAAEKRGVRSERSAESSRDAGSSYSRTELSGLRTCVA
+ESKDYGLHRSDGVSDTEVLLNAENQRRGQEPSATGLARDLPLAGEVSSSFSFSGRDSALG
+EVPRSPKAVHSLPSPSPGQPASPSHSTSDLPLPAEARASIGKPKHEWFLQKDSEGDTPSL
+INWPSRVKVREKLVREESARSSPELTSESLTQRRHQTAPGHYLAFQSENSAFDRVSGKVA
+SSARQPIRGYVQPAEPVHTITLVTSDTPESISEGSWVGPAPQTVTKPPPSKVLEGERRDT
+PVLHICESKAEDVLFSDALEKTRKTLAVLEDRGSGRSQEAPSGTEDLSQPAVGIVTAEPQ
+KESESLAHPPMAQQQPTERMGRSEMVMYVQSEAVSQGHRKEVPTRKHRVLTRSLSDYTGP
+PQLQALKAKAPAPKRDAESQTSKAELELGLLDTKVSVAQLRNAFLESARASRKPELHSRV
+EGSSEGPGVERERGSRKPRRYFSPGENRKTSERFRTQPITSAERKESDRSTSNSEMPAAE
+DEEKVDERARLSVAAKRLLFREMEKSFDEKSVPKRRSRNAAVEQRLRRLQDRSHTQPVTT
+EEVVIAAEPTPASCSVATHPVMTRHPSPTVAKSPVQPARTLQASAHQKALARDQTNESKD
+SAEQGEPDSSTLSLAEKLALFNKLSQPVSKAISTRNRLDMRQRRMNARYQTQPVTLGEVE
+QVQSGKLMAFSPTINTSVSTVASTVPPMYAGNLRTKPLPDDSFGATEQKFASSLENSDSP
+VRSILKSQGWQPSVEGAGSKAMLREFEETERKGGLTGGDGGVTKYGSFEEAELSYPVLSR
+VREGDNHKEAIYALPRKGSLELAHPPIAQLGDDLKEFSTPKSTMQASPDWKERQLFEEKV
+DLENVTKRKFSLKAAEFGEPTSEQTGAAAGKPAAPTATPVSWKPQDPSEQPQEKRYQSPC
+AMFAAGEIKAPAVEGSLDSPSKTMSIKERLALLKKSGEEDWRNRLNRKQEYGKASITSSL
+HIQETEQSLKKKRVTESRESQMTIEERKHLITVREDAWKTRGKGAANDSTQFTVAGRMVK
+RGLASPTAITPVASPVSSKARGTTPVSRPLEDIEARPDMQLESDLKLDRLETFLRRLNNK
+VGGMQETVLTVTGKSVKEVMKPDDDETFAKFYRSVDSSLPRSPVELDEDFDVIFDPYAPR
+LTSSVAEHKRAVRPKRRVQASKNPLKMLAAREDLLQEYTEQRLNVAFVESKRMKVEKLSA
+NSSFSEVTLAGLASKENFSNVSLRSVNLTEQNSNNSAVPYKKLMLLQVKGRRHVQTRLVE
+PRAPSLNSGDCFLLLSPHHCFLWVGEFANVIEKAKASELASLIQTKRELGCRATYIQTVE
+EGINTHTHAAKDFWKLLGGQASYQSAGDPKEDELYETAIIETNCIYRLMDDKLVPDDDYW
+GKIPKCSLLQSKEVLVFDFGSEVYVWHGKEVTLAQRKIAFQLAKHLWNGTFDYENCDINP
+LDPGECNPLIPRKGQGRPDWAIFGRLTEHNETILFKEKFLDWTELKRPNEKNASELAQHK
+DDARAEVKPYDVTRMVPVPQTTAGTVLDGVNVGRGYGLVEGDDRRQFEIASISVDVWHIL
+EFDYSRLPKQSIGQFHEGDAYVVKWKFIVSTAVGSRQKGEHSVRVAGKEKCVYFFWQGRQ
+STVSEKGTSALMTVELDEERGAQVQVLQGKEPPCFLQCFQGGMVVHSGRREEEEENTQSE
+WRLYCVRGEVPVEGNLLEVACHCSSLRSRTSMVVLNVHKALIYLWHGCKAQAHTKEVGRT
+AANKIKDQCPLEAGLHSSSKVTIHECDEGSEPLGFWDALGRRDRKAYDCMLQDPGNFNFT
+PRLFILSSSSGDFSATEFMYPARDPSVVNSMPFLQEDLYSAPQPALFLVDNHHEVYLWQG
+WWPIENKITGSARIRWASDRKSAMETVLQYCRGKNLKKPPPKSYLIHAGLEPLTFTNMFP
+SWEHREDIAEITEMDTEVSNQITLVEDVLAKLCKTIYPLADLLARPLPEGVDPLKLEIYL
+TDEDFEFALDMTRDEYNALPAWKQVNLKKAKGLF
+>sp|Q2T9X3|SPRY7_BOVIN SPRY domain-containing protein 7 OS=Bos taurus OX=9913 GN=SPRYD7 PE=2 SV=1
+MAASVFCCLRCCRDGGTGHIPLKEMPAVQLDTQHMGTDVVIVKNGRRICGTGGCLASAPL
+HQNKSYFEFKIQSTGIWGIGVATQKVNLNQIPLGRDVHSLVMRNDGALYHNNEEKNRLPA
+NSLPQEGDVVGITYDHVELNVYLNGKNMHCPASGIRGTVYPVVYVDDSAILDCQFSEFYH
+TPPPGFEKILFEQQIF
+>sp|Q08DE2|T185B_BOVIN Transmembrane protein 185B OS=Bos taurus OX=9913 GN=TMEM185B PE=2 SV=1
+MNPRGLFQDFNPSKFLIYACLLLFSVLLPLRLDGVIQWSYWAVFAPIWLWKLLVLAGASV
+GAGVWARNPRYRTEGEACVEFKAMLIAVGIHLLLLMFEVLVCDRVERGTHFWLLVFMPLF
+FVSPVSVAACVWGFRHDRSLELEILCSVNILQFIFIALRLDRIIHWPWLVVFVPLWILMS
+FLCLVVLYYIVWSLLFLRSLDVVAEQRRTHVTMAICWITIVVPLLIFEVLLVHRLDGHNM
+FSYISIFVPLWLSLITLMATTFRRKGGNHWWFGIRRDFCQFLLEIFPFLREYGNISYDLH
+HEDSEDAEETSAPEAPKIAPMFGKKARVVITQSPGKYVPPPPKLNIDMPD
+>sp|P35246|SFTPD_BOVIN Pulmonary surfactant-associated protein D OS=Bos taurus OX=9913 GN=SFTPD PE=1 SV=2
+MLLLPLSVLLLLTQPWRSLGAEMKIYSQKTMANACTLVMCSPPEDGLPGRDGRDGREGPR
+GEKGDPGSPGPAGRAGMPGPAGPIGLKGDNGSAGEPGPKGDTGPPGPPGMPGPAGREGPS
+GKQGSMGPPGTPGPKGDTGPKGGVGAPGIQGSPGPAGLKGERGAPGEPGAPGRAGAPGPA
+GAIGPQGPSGARGPPGLKGDRGTPGERGAKGESGLAEVNALRQRVGILEGQLQRLQNAFS
+QYKKAMLFPNGRSVGEKIFKTEGSEKTFQDAQQICTQAGGQLPSPRSAAENEALTQLATA
+QNKAAFLSMSDTRKEGTFIYPTGEPLVYSNWAPQEPNNDGGSENCVEIFPNGKWNDKVCG
+EQRLVICEF
+>sp|Q5E9M8|SFXN1_BOVIN Sideroflexin-1 OS=Bos taurus OX=9913 GN=SFXN1 PE=2 SV=3
+MSGELPPNINIKEPRWDQSTFIGRAKHFFTVTDPRNILLTNEQLEAARKVVHDYRQGIIP
+SGLTENELWRAKYIYDSAFHPDTGEKMILIGRMSAQVPMNMTITGCMMTFYRTTPAVLFW
+QWINQSFNAVVNYTNRSGDAPLTVNELGTAYVSATTGAVATALGLNALTKHVSPLIGRFV
+PFAAVAAANCINIPLMRQRELKVGIPVTDENGNRLGESANAAKQAITQVVVSRILMAAPG
+MAIPPFIMNTLEKKAFLKRFPWMSAPVQVGIVGFCLVFATPLCCALFPQKSSMSVTSLEA
+ELQARIRETYPELRRVYFNKGL
+>sp|Q2TA01|TM216_BOVIN Transmembrane protein 216 OS=Bos taurus OX=9913 GN=TMEM216 PE=2 SV=2
+MAPRGKRLSSTPLEILFFLNGWYYATYFLLELFIFLYKGLLLPYPTANLVLDVVMLFLYL
+GVEVIRLFFGTKGNLCQRKMPLGISVALTFPSTMMASYYLLLQTYVLRLEAIMNSILLFF
+CGSELLLEVLTLTAFSSMDRM
+>sp|Q2TBQ4|TM270_BOVIN Transmembrane protein 270 OS=Bos taurus OX=9913 GN=TMEM270 PE=2 SV=1
+MEAIPLVRSSLSGTLLVVVKLSALLIQNRAHLYNFLLLKIFLFNHWLLGLTQEAQGFHPP
+SKIAGCPVGRVLWAGLTLLEVPVCLALRVPRLVWAGLLGCARALGLGPKWLGAWEQLGLS
+AATWTDLFLSCLHSLMLAALLLLLLVWRLYQKAQCCSLGRLPRKALLQNRVVRRSLALLK
+SLYWWVESTAALTSWHLAYLITWTTCLASHLLQAAFEHTAQLAQAQEAEPQKALGLSSET
+PPPGPPAPGARPVLPEPGTPGE
+>sp|Q0VCH8|TMM65_BOVIN Transmembrane protein 65 OS=Bos taurus OX=9913 GN=TMEM65 PE=2 SV=1
+MSRLLPLLRSRTARSLRPGPAAAAAPRPPSWCCCGRGLLALAAPGGPRALGTHPKKEPIE
+ALNTAQGARDFIYSLHSSERSCLLKELHRFESIAIAQEKLEAQPPTPGQLRYVFIHNAIP
+FIGFGFLDNAIMIVAGTHIELSIGIILGISTMAAAALGNLVSDLAGLGLAGYVEALASRL
+GLSIPDLSPKQVDMWQTRVSSHLGKAVGVTIGCILGMFPLIFFGGGEDDEKLEKKN
+>sp|Q5E9U3|TMM79_BOVIN Transmembrane protein 79 OS=Bos taurus OX=9913 GN=TMEM79 PE=2 SV=1
+MTEPETLALLEVKGPETLEKSPPQALVPNGRKLEGEDEVESLGAESSRVGSSAESPTARE
+GTEDGLDSTVSEAATLPWGTGPQPSAPFPDPPGWRNIEPEPPESEPPTKLEELPEDEASL
+LPEKAARAFVPIDLQCIERRPQEDLVVCCEASEGEHRRTFLPPRATHPEPPECKWAEAVV
+KPPGHSCGGCGGCGGREALRAVASVGAALILFPCLLYGAYAFLPFDAPRLPTMSSRLIYT
+LRCGVFATFPIVLGILVYGLSLLCFAALRPFGEPRREVEIHRQYVAQSVQLFILYFFNLA
+VLSTYLPQDALKLLPLLTGLFAISRLIYWLTFAVGRSFRGFGYGLTFLPLLSMLLWNFYY
+MFVVEPERMLTASESRLDYPDHARSASDYRPRSRG
+>sp|Q9XSJ0|UCHL5_BOVIN Ubiquitin carboxyl-terminal hydrolase isozyme L5 OS=Bos taurus OX=9913 GN=UCHL5 PE=2 SV=1
+MTGNAGEWCLMESDPGVFTELIKGFGCRGAQVEEIWSLEPENFEKLKPVHGLIFLFKWQP
+GEEPAGSVVQDSRLDTIFFAKQVINNACATQAIVSVLLNCTHQDVHLGETLSEFKEFSQS
+FDAAMKGLALSNSDVIRQVHNSFARQQMFEFDAKTAAKEEDAFHFVSYVPVNGRLYELDG
+LREGPIDLGACNQDDWISAVRPVIEKRIQKYSEGEIRFNLMAIVSDRKMIYEQKIAELQR
+QLAEEPMDTDQGSNMLSAIQSEVAKNQMLIEEEVQKLKRYKIENIRRKHNYLPFIMELLK
+TLAEHQQLIPLVEKAKEKQNAKKAQETK
+>sp|A2VE40|SLD5_BOVIN DNA replication complex GINS protein SLD5 OS=Bos taurus OX=9913 GN=GINS4 PE=2 SV=1
+MAEEADLLGQDSDGDSEEVILTPAELIDRLEQAWMNEKFAPELLENKSEIVECVMEQLEH
+MEENLKRAKKGDLKVSIHQMEMERIRFVLSSYLRCRLMKIEKFFPHTLEKEKTRREEEPP
+ILSPEELVFAKEFLANTETYLKDTALKHMPPNLQKVDLLRTVPKPDLDAYVFLRVKERQE
+NILVEPENDEQRDYVIDLEEGSQHLMRYRTVAPLVASGAIQLI
+>sp|A5PKE4|TEAN2_BOVIN Transcription elongation factor A N-terminal and central domain-containing protein 2 OS=Bos taurus OX=9913 GN=TCEANC2 PE=2 SV=1
+MDKFVIRTPRIQSSPQKKDPGGKIYKQATIESLKRVVVIEDIKRWKTMLELPDQSKENLV
+EALRELKKKIPSREVLKSTKIGHTVNKMRQHSDSEVACLAREVYTEWRTFIEKHVNRPSI
+EVRSDAKTETFRKNAQKLLSEALELEMDHLLVENIERETFHLCSRLINGPYRRTVRALVF
+TLKHRAEIREQVKSGMLPVGTFVQTHKK
+>sp|Q2KID9|RT05_BOVIN 28S ribosomal protein S5, mitochondrial OS=Bos taurus OX=9913 GN=MRPS5 PE=1 SV=1
+MAAAVRAAGFLPALCGASAGRLWSRQLYLNTFPTASIWALKAVPSNGPSSSAGARGRCRS
+THLGPALQTQCCTPAPGNVTAQQYRSYSFFTKLTADELWKGALAETGAGARKGRGKRTKR
+KRRKDLNRGQIIGEGRRGFLWPGLNAPLMKSGAIQTITQRSKEEQEKVEADMVQQREEWD
+RKRKMKVKRERGWSGNSWGGISLGPPDPGPNGETYDDFDTRILEVRNVFNMTAKEGRKRS
+VRVLVAVGNGRGAAGFAIGKATERADAFRKAKNRAVHYLHYIERYEDHTIYHDISLTFKR
+THIKMKKQPRGYGLRCHRAITTICRLIGIKDMYAKVSGSVNMLSLTRGLFQGLSRQETHQ
+QLADKKSLHVVEFREECGPLPIVVASPQGALRKDPEPEDEVPDIKLDWDDVKAVQGMKRS
+VWSGLKRAAT
+>sp|Q17QQ2|TPMT_BOVIN Thiopurine S-methyltransferase OS=Bos taurus OX=9913 GN=TPMT PE=2 SV=1
+MGDSRALLDSEEYPNTEAQKDRVLTLEEWQEKWVNHKTGFHQEQGHQLLKKYLDTFLKGE
+KALRVFFPLCGKAVEMKWFADRGHSVVGVEISELGIRDFFTEQNLSYSEEPIMEIPGAKI
+FKSSSGNISLYCCNLFDLPRANIGKFDRIWDRGALVAVNPSDRKRYSDVMLSLTRPGFRY
+LLSVFSYDPTKHAGPPFYVTDGEVKKLFGSVCNIQCLEKVDVFEERHKSWGIDQIIERLY
+LFTEK
+>sp|Q1RMU1|RSPO3_BOVIN R-spondin-3 OS=Bos taurus OX=9913 GN=RSPO3 PE=2 SV=1
+MHLRLISWFFIILNFMEYIGSQNASRGRRQRRMHPNVSQGCQGGCATCSDYNGCLSCKPK
+LFFVLERIGMKQIGVCLSSCPSGYYGTRYPDINKCTKCKADCDTCFNKNFCTKCKSGFYL
+HLGKCLDNCPEGLEANNHTMECVSIVHCEASEWSPWSPCTKKGKTCGFKRGTETRVREII
+QHPSAKGNLCPPTSEARKCTVQRKKCPKGERGRKGRERKRKKPNKEESKDAIPDNKGLEP
+SRETPEQRENKQQQKKRKVQDKQQKSVSVSTVH
+>sp|Q2HJ95|ZDHC6_BOVIN Palmitoyltransferase ZDHHC6 OS=Bos taurus OX=9913 GN=ZDHHC6 PE=2 SV=1
+MGTFCSVVKFENLQELKRLCHWGPIIALGVIAICSAMAMIDSVLWYWPLHTTGGSVNFIM
+LINWTVMILYNYFNAMFVGPGFVPLGWKPENSQDSVYLQYCKVCQAYKAPRSHHCRKCNR
+CVMKMDHHCPWINNCCGYQNHASFTLFLLLAPLGCIHAAFIFVMTMYTQLYNRLSFGWNT
+VKIDMSAARRDPLPIIPFGLAAFAATLFALGLALGTTIAVGMLFFIQMKIILRNKTSIES
+WIEEKAKDRIQYYQLDEVFVFPYDMGSRWKNFKQVFTWSGVPEGDGLDWPIREGCHQYSL
+TIEQLKQKADKRVRSVRYKVIEDYSGTCCPLNRGIKTFFTSPCTEEPRIRLQKGEFILAT
+RGLRYWLYGDKILDDSVLEGVSRIRGWFPRNCVEKCPCDAETDQAPEGEKKNR
+>sp|Q3B7M4|ZN746_BOVIN Zinc finger protein 746 OS=Bos taurus OX=9913 GN=ZNF746 PE=2 SV=1
+MAEAAAAPISPWTMAATIQAMERKIESQAARLLSLEGRTGMAEKKLADCEKTAVEFGNQL
+EGKWAVLGTLLQEYGLLQRRLENVENLLRNRNFWILRLPPGSKGEAPKEWGKLDEWQKEL
+YKHVMRGNYETLVSLDYAISKPEVLSQIEQGKEPCSWRRAGPKVPDVPVDPSPGSGPPVP
+APDLLMQIKQEGELQLQEQQALGVEAWAAGQPDIGEEPWGLSQLDSGAGDVSTDAASGVH
+ANFSTTIPPTSWQADLPPHHPSSACSDGTLKLSTAASTEADVKIVIKTEVQEEEVVATPV
+HPTDLEAHGTLFGPGQATRFFPSPVQEGAWESQGSSFPSQDPVLGLREPARPERDLGDPT
+PTVPQDETSPGDWLFGGVRWGWNFRCKPPAGLNPRTGPEGLPFSSSDNGEAVLDPGQAPR
+PFNDPCKYPGRTKGFGHKPGLKKHPAAPPGGRPFTCATCGKSFQLQVSLSAHQRSCGGQP
+DGVPGAAGSARDGSGLRCGECGRCFTRPAHLIRHRMLHTGERPFPCTECEKRFTERSKLI
+DHYRTHTGVRPFTCTVCGKSFIRKDHLRKHQRNHAAGAKPARGQPLPPLPAVPPDPFKSP
+AAKGPLAPTDLVTDWTCGLSVLGPTDGGDL
+>sp|Q2KIB5|VA0E2_BOVIN V-type proton ATPase subunit e 2 OS=Bos taurus OX=9913 GN=ATP6V0E2 PE=3 SV=1
+MTAHSFALPVVIFTTFWGLIGIAGPWFVPKGPNRGVIITMLVATAVCCYLFWLIAILAQL
+NPLFGPQLKNETIWYVRFLWE
+>sp|A3KN12|PUR8_BOVIN Adenylosuccinate lyase OS=Bos taurus OX=9913 GN=ADSL PE=2 SV=1
+MAAAGDRGGREAACGHDSYRSPLASRYASPEMCFLFSDKYKFRTWRQLWLWLAEAEQTLG
+LPITDEQIQEMKSNLDNIDFRMAAEEEKQLRHDVMAHVHTFAHCCPKAASIIHLGATSCY
+VGDNTDLIILRNAFDLLLPKLARVISRLADFAKEQADLPTLGFTHFQPAQLTTVGKRCCL
+WIQDLCMDLQNLKRVRDELRFRGVKGTTGTQASFLQLFEGDDQKVEQLDKMVTEKAGFKR
+AFIITGQTYTRKVDIEVLSVLASLGASVHKICTDIRLLANLKEMEEPFEKQQIGSSAMPY
+KRNPMRSERCCSLARHLMALVMDPLQTASVQWFERTLDDSANRRICLAEAFLTADTVLNT
+LQNISEGLVVYPKVIERRVQQELPFMATENIIMAMVKAGGNRQDCREKIRVLSQQAAAVV
+KQEGGDNDLIERIQADAYFSPIHSQLDHLLDPSSFTGRASQQVQRFLEEEVCPLLKPYES
+VMKVKAELRL
+>sp|Q0VC82|SPS1_BOVIN Selenide, water dikinase 1 OS=Bos taurus OX=9913 GN=SEPHS1 PE=2 SV=1
+MSARESFNPESYELDKSFRLTRFTELKGTGCKVPQDVLQKLLESLQENHFQEDEQFLGAV
+MPRLGIGMDTCVIPLRHGGLSLVQTTDYIYPIVDDPYMMGRIACANVLSDLYAMGVTECD
+NMLMLLGVSNKMTDRERDKVMPLIIQGFKDAAEEAGTSVTGGQTVLNPWIVLGGVATTVC
+QPNEFIMPDNAVPGDVLVLTKPLGTQVAVAVHQWLDIPEKWNKIKLVVTQEDVELAYQEA
+MMNMARLNRTAAGLMHTFNAHAATDITGFGILGHAQNLAKQQRNEVSFVIHNLPVLAKMA
+AVSKACGNMFGLMHGTCPETSGGLLICLPREQAARFCAEIKSPKYGEGHQAWIIGIVEKG
+NRTARIIDKPRIIEVAPQVATQNVNPTPGATS
+>sp|A5PJZ1|SCMC1_BOVIN Calcium-binding mitochondrial carrier protein SCaMC-1 OS=Bos taurus OX=9913 GN=SLC25A24 PE=2 SV=1
+MLRWLRGFVLPTAACQDVEPPTRYETLFQKLDRNGDGVVDISELQEGLKSLGIPLGQDAE
+EKIFTTGDVNKDGKLDFEEFMKYLKDHEKKMKLAFKSLDKNNDGKIEASEIVQSLQILGL
+TISEQQAELILQSIDADGTMTVDWNEWRDYFLFNPVTDIEEIIRFWKHSTGIDIGDSLTI
+PDEFTEDEKKSGQWWRQLLAGGVAGAVSRTSTAPLDRLKVMMQVHGSKSAKMNIYGGFQQ
+MVKEGGIRSLWRGNGTNVIKIAPETAVKFWAYEQYKKLLTEEGQKIGTFERFVSGSMAGA
+TAQTFIYPMEVLKTRLAVGKTGQYSGMFDCAKKILKYEGMGAFYKGYVPNLLGIIPYAGI
+DLAVYELLKSHWLDNFAKDSVNPGVMVLLGCGALSSTCGQLASYPLALVRTRMQAQAMIE
+KSPQLNMVGLFRRILSKEGLPGLYRGITPNFMKVLPAVGISYVVYENMKQTLGVTQK
+>sp|Q0V7M4|SCMC2_BOVIN Calcium-binding mitochondrial carrier protein SCaMC-2 OS=Bos taurus OX=9913 GN=SLC25A25 PE=2 SV=1
+MLCLCLYVPLIGEAQTEFQYFESKGLPAELKSIFKLSVFIPSQEFSTYRQWKQKIVQAGD
+KDLDGQLDFEEFVHYLQDHEKKLRLVFKSLDKKNDGRIDAQEIMQSLRDLGVKISEQQAE
+KILKSMDKNGTMTIDWNEWRDYHLLHPVENIPEIILYWKHSTIFDVGENLTVPDEFTVEE
+RQTGMWWRHLVAGGGAGAVSRTCTAPLDRLKVLMQVHASRSNNMCIVGGFTQMIREGGAR
+SLWRGNGINVLKIAPESAIKFMAYEQIKRLIGSDQETLRIHERLVAGSLAGAIAQSSIYP
+MEVLKTRMALRKTGQYSGMLDCARKILAREGMAAFYKGYVPNMLGIIPYAGIDLAVYETL
+KNAWLQRYAVNSADPGVFVLLACGTMSSTCGQLASYPLALVRTRMQAQASMEGAPEVTMS
+SLFKQILRTEGAFGLYRGLAPNFMKVIPAVSISYVVYENLKITLGVQSR
+>sp|Q0VCW4|SDHL_BOVIN L-serine dehydratase/L-threonine deaminase OS=Bos taurus OX=9913 GN=SDS PE=2 SV=1
+MMSGRPLHMETPVRDSMTLSKVAGTTAYLKLDSAQPSGSFKIRGIGHLCKMWAERGCEHF
+VCSSAGNAGMAAAYAARKLGIPSTIVVPSTTPALTIQRLKNEGATVKVVGETLDEAIRVA
+KDLEKNNSGWVYVPPFDDPLIWEGHSSIVKELKETMTEKPGAIVLAVGGGGLLCGVVQGL
+AEVGWRDVPVITMETIGAESFHASTKAGKLVTLPCITSVAKALGVTTVAAQAMKVYREHP
+IFSEVVSDQEAVAALEKFVDDEKILVEPACGAALAAVYSNVIQKLQGEGKLRTPLSSLVV
+IVCGGSNISLAQLVALKKQLGMDGLSQ
+>sp|Q2KHU0|SERB_BOVIN Phosphoserine phosphatase OS=Bos taurus OX=9913 GN=PSPH PE=2 SV=1
+MVSHSELRNLFCSADAVCFDVDSTVIQEEGIDELAKFCGVEDAVSEMTRQAMGGAVPFKA
+ALTQRLALIQPSREQVQRLLAEHPPHLTPGIRELVSRLQERNVQVFLISGGFRSIVEHVA
+SKLNIPSTNVFANRLKFYFNGEYAGFDETQPTAESGGKGKVIKLLKEKFHFKKIVMVGDG
+ATDMEACPPADAFIGFGGNVIRQQVKDNAEWYITDFVELLGALEE
+>sp|P49907|SEPP1_BOVIN Selenoprotein P OS=Bos taurus OX=9913 GN=SELENOP PE=2 SV=2
+MWRGLGLALALCLLLTGGTESQGQSSYCKQPPPWSIKDQDPMLNSYGSVTVVALLQASUY
+LCILQASRLEDLRVKLEKEGYSNISYVVVNHQGISSRLKYVHLKNKVSEHIPVYQQEENQ
+PDVWTLLNGNKDDFLIYDRCGRLVYHLGLPYSFLTFTYVEDSIKTVYCEDKCGNCSLKAL
+EDEDVCKNVFLATKEKTAEASQRHHHPHPHSHPHPHPHPHPHPHPHPHHGHQLHENAHLS
+ESPKPDTPDTPENPPPSGLHHHHHRHKGPQRQGHSDNCDTPVGSESLQPSLPQKKLURKR
+CINQLLUQFPKDSESALSSCCCHCRHLVFEKTGSAITUQCTEKLPSLCSUQGLLAEENVI
+ESUQURLPPAAUQAAGQQLNPTEASTKUSUKNKAKMUKUPSN
+>sp|Q2KJH6|SERPH_BOVIN Serpin H1 OS=Bos taurus OX=9913 GN=SERPINH1 PE=2 SV=1
+MRALLLISTICLLARALAAEVKKPAAAAAPGTAEKLSPKAATLAERSAGLAFSLYQAMAK
+DQAVENILLSPVVVASSLGLVSLGGKAATASQAKAVLSAEQLRDDEVHAGLGELLRSLSN
+STARNVTWKLGSRLYGPSSVSFAEDFVRSSKQHYNCEHSKINFRDKRSALQSINEWAAQT
+TDGKLPEVTKDVERTDGALLVNAMFFKPHWDERFHHKMVDNRGFMVTRSYTVGVTMMHRT
+GLYNYYDDEKEKLQMVEMPLAHKLSSLIIIMPHHVEPLERLEKLLTKEQLKVWMGKMQKK
+AVAISLPKGVVEVTHDLQKHLAGLGLTEAIDKNKADLSRMSGKKDLYLASVFHATAFEWD
+TDGNPFDQDIYGREELRSPKLFYADHPFIFLVRDTQSGSLLFIGRLVRPKGDKMRDEL
+>sp|Q2KJE0|TAXB1_BOVIN Tax1-binding protein 1 homolog OS=Bos taurus OX=9913 GN=TAX1BP1 PE=2 SV=1
+MTSFQEVPLQTSNFAHVIFQNVAKSYLPNAHLECHYTLTPYIHPHPKDWVGIFKVGWSTA
+RDYYTFLWSPMPEHYVEGSAVNCELAFQGYYLPNDDGEFYQFCYVTHKGEIRGASTPFQF
+RAASPVEELLTMEDEGNSDMLVVTTKAGLLELKIEKTMKEKEELLKLIAVLEKETTQLRE
+QVGRMERELNHEKERGDQLQAEQKALTKVSQSLKMENEEFKKRYNDVTSKALQLEEDIVS
+VTHKAIEKETELDSLKDKLKKAQCEREQLECQLKTEKDEKELYKVHLKNTEIENTKLVSE
+VQTLKNLDGNKENMITHFKEEISRLQFSLAEKENLQRTFLLTTSSKEDTFILKEQLRKAE
+EQIQATRQEAVFLAKELSDAVNVRDKTMADLHTAHLENEKVKKQLTDALAELKLSAVNKD
+QEKTDTLEHELRREVEDLKLRLQMAADHYKEKFKECQRLQKQINKLSDQSANSNSVFTKK
+IGSQQKVNDASINTDPAATASTVDVKPLPSTAETDFDNLTKGQVSEMTKEIADKTEKYNK
+CKQLLQDEKTKCNKYADELAKMELKWKEQVKIAENIKLELAEVVDNYKLQLAEKEKEISG
+LTSYWENLSREKEHKRSVENQAERKLEGQNSQSPHQISQCLKTSSEKSGHVPAVSNTQPV
+LQYGNPYATPETRDGADGAFYPDEIQRPPVRVPSWGLEDNVVCSQPARNLSRPDGLEDPE
+DSKEDEKVPTAPDPPSQHLRGHGTGFCFDPSFDVQKKCPLCELMFPPNYDQSKFEEHVES
+HWKVCPMCSEQFPPDYDQQVFERHVQTHFDQNVLNFD
+>sp|Q0VCP4|SEPT8_BOVIN Septin-8 OS=Bos taurus OX=9913 GN=SEPTIN8 PE=2 SV=3
+MAATDLERFSNAEPEPRSLSLGGHVGFDSLPDQLVSKSVTQGFSFNILCVGETGIGKSTL
+MNTLFNTTFETEEASHHEECVRLRPQTYDLQESNVQLKLTIVDAVGFGDQINKDESYRPI
+VDYIDAQFENYLQEELKIRRSLFDYHDTRIHVCLYFITPTGHSLKSLDLVTMKKLDSKVN
+IIPIIAKADTISKSELHKFKIKIMGELVSNGVQIYQFPTDDEAVAEINAVMNAHLPFAVV
+GSTEEVKVGNKLVRARQYPWGVVQVENENHCDFVKLREMLIRVNMEDLREQTHSRHYELY
+RRCKLEEMGFQDSDGDSQPFSLQETYEAKRKEFLSELQRKEEEMRQMFVNKVKETELELK
+EKERELHEKFEHLKRLHQEEKRKVEEKRRELEEETNAFNRRKAAVEALQSQALHATSQQP
+LRKDKDKKKASGWSSIYSVTIP
+>sp|Q32PG5|SCND1_BOVIN SCAN domain-containing protein 1 OS=Bos taurus OX=9913 GN=SCAND1 PE=2 SV=1
+MAATEQSLAPAGSSAPPSGKEEGAGPSSGTEGDPAGSSSTPEAPSIPDSSNPSATVPEAN
+VKPPAAGSAALDLPIGPAPQGPAPVAEASPRSPPGPGGSRPGPETFRQRFRQFRYQDAAG
+PREAFRQLRELSRQWLRPDIRTKEQIVEMLVQEQLLAILPEAARARRLRRRTDVRITG
+>sp|Q9TS87|TAGL_BOVIN Transgelin OS=Bos taurus OX=9913 GN=TAGLN PE=1 SV=4
+MANKGPSYGMSREVQSKIEKKYDEELEERLVEWIVMQCGPDVGRPDRGRLGFQVWLKNGV
+ILSKLVNSLYPDGSKPVKVPENPPSMVFKQMEQVAQFLKAAEDYGVTKTDMFQTVDLFEG
+KDLAAVQRTLMALGSLAVTKNDGHYRGDPNWFMKKAQEHKREFTESQLQEGKHVIGLQMG
+SNRGASQAGMTGYGRPRQIIS
+>sp|Q5E9J9|STRAA_BOVIN STE20-related kinase adapter protein alpha OS=Bos taurus OX=9913 GN=STRADA PE=2 SV=1
+MSSFLPEGGCYELLTVIGKGFEDLMTVNLARYKPTGEYVTVRRINLEACSNEMVTFLQGE
+LHVSKLFSHPNILPYGATFIADNELWVVTSFMAYGSAKDLICTHFMDGMSELAIAYILQG
+ALKALDYIHHMGYVHRSVKASHVLISADGKVYLSGLRSNLSMISHGQRQRVVHDFPKYSI
+KVLPWLSPEVLQQNLQGYDAKSDIYSVGITACELANGHVPFKDMPATQMLLEKLNGTVPC
+LLDTSTIPAEELTMSTSRSAANSGLSESLAPSTPRTSNGDSPSHPYHRTFSPHFHHFVEQ
+CLQRNPDMRPSASTLLNHSFFKQIKRRASEALPELLRPVTPITTFEGRQSQDHSGIFGLV
+TNLEELEVDDWEF
+>sp|A6QQL0|S15A4_BOVIN Solute carrier family 15 member 4 OS=Bos taurus OX=9913 GN=SLC15A4 PE=2 SV=2
+MEGAGDERAPLLGARRTAFAGRRAACAAVLLTELLERAAFYGVTANLVLFLNGTAFGWEG
+AEASQALLLFMGLTYLVSPFGGWLADARLGRARAILLSLALYLLGMLAFPLLAAPATRSA
+LCGAPGPTNVRNCSAPPCTDTPTRYCAPAVLSALALVGLGVGAVKANITPFGADQVKDRG
+PEATRRFFNWFYWSINLGAIVSLGGIAYIQQNVSFVTGYAIPAVCIGVAFVVFLCGQTFF
+ITKPPDGSAFTDMFRILVYSCRPQKRIREHSPSGEGIGVFQQSSKHSLFDSCKMSRGGPF
+PEDKVEDVKALVKIVPVFLALIPYWTVYFQMQTTYVLQSLHLKIPEISSITTNPHTFPAA
+WLTMFDAVLILLLIPLKDKLVDPILKRNGLLPSSLKRIAVGMFFVMCSAFAAGILESKRL
+DLVKEKTINQTIGNVVYYAADLPIWWQVPQYVLIGVSEIFASIAGLEFAYSAAPKSMQSA
+IMGLFFFFSGVGSFVGSGLLALVSLKAIGWMSSHTDFGNINGCYLNYYFFLLAAIQGATL
+LLFLIVSVKYDRQRSRANGTPASRRT
+>sp|Q3ZBG7|SRP19_BOVIN Signal recognition particle 19 kDa protein OS=Bos taurus OX=9913 GN=SRP19 PE=2 SV=1
+MACAAARSPADQDRFICIYPAYLNNKKTIAEGRRIPISKAVENPTATEIQDVCAAVGLNV
+FLEKNKMYSREWNRDLQYRGRVRVQLKQEDGSLCLVQFPSRKSVMLYAAEMIPKLKTRTQ
+KTGGGDQSLQQGEGSKKGKGKKKK
+>sp|P13789|TNNT2_BOVIN Troponin T, cardiac muscle OS=Bos taurus OX=9913 GN=TNNT2 PE=1 SV=3
+MSDVEEAVEEYEEQEEAAEEEHEEAVEEEAGGEAEAGEPCTAEDGEEEEGREAEDGPVEE
+FKPKPRPFMPNLVPPKIPDGERVDFDDIHRKRMEKDLNELQTLIEAHFENRKKEEEELVS
+LKDRIEKRRAERAEQQRIRAEREKERQTRLAEERARREEEESRRKAEDEARKKKALSNMM
+HFGGYIQKAQTERKSGKRQTEREKKKKILAERRKVLAIDHLNEDQLREKAKELWQMIYDL
+EAEKFDLQEKFKQQKYEINVLRNRINDNQKVSKTRGKAKVTGRWK
+>sp|A7MBB3|T150B_BOVIN Modulator of macroautophagy TMEM150B OS=Bos taurus OX=9913 GN=TMEM150B PE=2 SV=1
+MWGYLSLLPMCLAFWAIAGIWTVFSLAVVNKAVNLTDGFPYISVCGNVPPQSCIFSQVLN
+IGAASAAWICILRYYQLRDWGVRKWHNQVILWTGLLCALGTSIVGNFQEKNQRATHLTGA
+FLAFFVGIVYFWLQLFLSWRMKNLPQPGAPWIGPLRLVLCSACFILEVAMVVLHSWSMRS
+VSAICEWVAAMLLFILFGLLAVDFSRLDSCTLCLQPGSGSLRPPPDSPTSLHVQL
+>sp|A7MB74|SGK1_BOVIN Serine/threonine-protein kinase Sgk1 OS=Bos taurus OX=9913 GN=SGK1 PE=2 SV=1
+MTVKTEAARDTLTYSRMRGMVAILIAFMKQRRMGLNDFIQKIANNSYACKHPEVQSILKI
+SPPQEPELMNANPSPPPSPSQQINLGPSSNPHAKPSDFHFLKVIGKGSFGKVLLARHKAE
+EAFYAVKVLQKKAILKKKEEKHIMSERNVLLKNVKHPFLVGLHFSFQTADKLYFVLDYIN
+GGELFYHLQRERCFLEPRARFYAAEIASALGYLHSLNIVYRDLKPENILLDSQGHIVLTD
+FGLCKENIEHNGTTSTFCGTPEYLAPEVLHKQPYDRTVDWWCLGAVLYEMLYGLPPFYSR
+NTAEMYDNILNKPLQLKPNITNSARHVLEGLLQKDRTKRLGAKDDFMEIKNHVFFSLINW
+EDLINKKITPPFNPNVSGPSDLRHFDPEFTEEPVPNSIGRSPDSLLLTASVKEAAEAFLG
+FSYAPPMDSFL
+>sp|P26452|RSSA_BOVIN 40S ribosomal protein SA OS=Bos taurus OX=9913 GN=RPSA PE=2 SV=4
+MSGALDVLQMKEEDVLKFLAAGTHLGGTNLDFQMEQYIYKRKSDGIYIINLKRTWEKLLL
+AARAIVAIENPADVSVISSRNTGQRAVLKFAAATGATPIAGRFTPGTFTNQIQAAFREPR
+LLVVTDPRADHQPLTEASYVNLPTIALCNTDSPLRYVDIAIPCNNKGAHSVGLMWWMLAR
+EVLRMRGTISREHPWEVMPDLYFYRDPEEIEKEEQAAAEKAVTKEEFQGEWTAPAPEFTA
+AQPEVADWSEGVQVPSVPIQQFPTEDWSAQPSTEDWSAAPTAQATEWVGTTTEWS
+>sp|Q863B3|SND1_BOVIN Staphylococcal nuclease domain-containing protein 1 OS=Bos taurus OX=9913 GN=SND1 PE=1 SV=1
+MASSAQSGGSSGGPAVPTVQRGIVKMVLSGCAIIVRGQPRGGPPPERQINLSNIRAGNLA
+RRAAVAQPDAKDTPDEPWAFPAREFLRKKLIGKEVCFTIENKTPQGREYGMIYLGKDTNG
+ENIAESLVAEGLATRREGMRANNPEQNRLAECEEQAKASKKGMWSEGNGSHTIRDLKYTI
+ENPRHFVDSHHQKPVNAIIEHVRDGSVVRALLLPDYYLVTVMLSGIKCPTFRREADGSET
+PEPFAAEAKFFTESRLLQRDVQIILESCHNQNILGTILHPNGNITELLLKEGFARCVDWS
+IAVYTRGAEKLRAAERFAKERRLRIWRDYVAPTANLDQKDKQFVAKVMQVLNADAIVVKL
+NSGDYKTIHLSSIRPPRLEGENTQDKNKKLRPLYDIPYMFEAREFLRKKLIGKKVNVTVD
+YIRPASPATDTVPAFSERTCATVTIGGINIAEALVSKGLATVIRYRQDDDQRSSHYDELL
+AAEARAIKNGKGLHSKKEVPIHRVADISGDTQKAKQFLPFLQRAGRSEAVVEYVFSGSRL
+KLYLPKETCLITFLLAGIECPRGARNLPGLVQEGEPFSEEATLFTKELVLQREVEVEVES
+MDKAGNFIGWLHIDGANLSVLLVEHALSKVHFTAERSAYYKSLLSAEEAAKQKKEKVWAH
+YEEQPVEELMPVLEEKERSASYKPVFVTEITDDLHFYVQDVETGTQLEKLMENMRNDIAS
+HPPVEGSYAPRRGEFCIAKFVDGEWYRARVEKVESPAKVHVFYIDYGNREILPSTRLGTL
+PPAFSTRVLPAQATEYAFAFIQVPQDEDARTDAVDSVVRDIQNTQCLLNVEHLSAGCPHV
+TLQFADSKGDVGLGLVKEGLVMVEVRKEKQFQKVITEYLNAQESAKSARLNLWRYGDFRA
+DDADEFGYSR
+>sp|Q0VCI2|STX19_BOVIN Syntaxin-19 OS=Bos taurus OX=9913 GN=STX19 PE=2 SV=1
+MKDRLPELKQRTKETELSKDKDVPTTEAEEQGVFLQQAVIYEREPVAERHLHEIQKLQES
+INNLTDNVQKFGQQQKSLVASMRRFSLLKKESSIAKEIKIQAEHINRGLDDLVKEVKKSE
+DESGPSSVVTRILKFQHAAMFRHFQQTMFTYNDTIAAKQEKCRTFIFRQLEVAGKELPEE
+EVNDMLHQGKWEVFNESLLTEISITKAQLSEIEQRHKELVNLENQIKDLRDLFIQISLLV
+EEQGESVNSIEMIVNGTKEYVNTTKEKFGLAVKYKKRNPCKILCCWCCPCCG
+>sp|P10462|S10A2_BOVIN Protein S100-A2 OS=Bos taurus OX=9913 GN=S100A2 PE=1 SV=1
+MSSPLEQALAVMVATFHKYSGQEGDKFKLSKGEMKELLHKELPSFVGEKVDEEGLKKLMG
+DLDENSDQQVDFQEYAVFLALITIMCNDFFQGSPARS
+>sp|Q3SWZ3|STX4_BOVIN Syntaxin-4 OS=Bos taurus OX=9913 GN=STX4 PE=2 SV=1
+MRDRTHELRQGDDSSDDEDKERVALVVHPGTARLGSPDDEFFQKVRTIRQTIVKLENKVR
+ELEKQQVTILATPLPEESMKQDLQNLRDEIKQLGRDIRAQLKAIEPQKEEADENYNSVNT
+RMRKTQHGVLSQQFVELINKCNLMQSEYREKNVERIRRQLKITNAGMVSDKELEQMLDSG
+QSEVFVSNILKDTQVTRQALNEISARHSEIQQLERSIRELHEIFTFLATEVEMQGEMINR
+IEKNILSSADYVERGQEHVKVALENQKKARKKKVFIAICLSITVLILVVIIVISTLV
+>sp|P81126|SNAB_BOVIN Beta-soluble NSF attachment protein OS=Bos taurus OX=9913 GN=NAPB PE=1 SV=1
+MDNAGKEREAVQLMAEAEKRVKASHSFLRGLFGGNTRIEEACEMYTRAANMFKMAKNWSA
+AGNAFCQAAKLHMQLQSKHDSATSFVDAGNAYKKADPQEAINCLNAAIDIYTDMGRFTIA
+AKHHITIAEIYETELVDIEKAIAHYEQSADYYKGEESNSSANKCLLKVAAYAAQLEQYQK
+AIEIFEQIGANTMDNPLLKYSAKDYFFKAALCHFIVDELNAKLALEKYEEMFPAFTDSRE
+CKLLKKLLEAHEEQNSEAYTEAVKEFDSISRLDQWLTTMLLRIKKSIQGDGEGDGDLK
+>sp|E1BI64|SETD6_BOVIN N-lysine methyltransferase SETD6 OS=Bos taurus OX=9913 GN=SETD6 PE=2 SV=1
+MATQAKRRRVAGPAGSDDDPAPVASFLSWCQRVGLELSPKVAVSRQGTVAGYGMVARESV
+QPGELLFAVPRAALLSQHTCSISGVLERERGALQSQSGWVPLLLALLHEMQAPASPWSPY
+FALWPELGRLQHPMFWPEEERRRLLQGTGVPEAVEKDLVNIRSEYYSIVLPFMDAHPDLF
+SPRVRSLELYRQLVALVMAYSFQEPLEEEEDEKEPNSPLMVPAADILNHLANHNANLEYS
+PTCLRMVAIQPIPKGHEIFNTYGQMANWQLIHMYGFAEPYPDNTNDTADIQMVTVREAAL
+QGTKVEAERLLLYERWDFLCKLEMVGEEGAFVIGREEVLTEEELATTLKVLCMPAEEFRA
+FKDQNGWEDDKSEEDSLTITDIPKLKASWRQLLRDSVLLTLQTYATDLKTEQDLLSNKEV
+YAALSWREQQALQVRYGQKMILHRLLELTR
+>sp|Q3ZCC3|TRAF6_BOVIN TNF receptor-associated factor 6 OS=Bos taurus OX=9913 GN=TRAF6 PE=2 SV=1
+MSLLHCENSCGSSQSESDCCAAMAASSCGTAAKDDSVSGTASTVTLSSSFMEEIQGYDVE
+FDPPLESKYECPICLMALREAVQTPCGHRFCKACIIKSIRDAGHKCPVDNEILLENQLFP
+DNFAKREILSLMVKCPNEGCLHKMELRHLEEHQAHCEFALMSCPQCQRPFQKCHLNIHIL
+KECPRRQVPCENCAVSMAFEDKEIHEQNCPLANVICEYCNTMLIREQMPNHYDLDCPTAP
+VPCTFSAFGCHEKMQRNHLARHLQENTQSHMRMMAQAVQTLSLAVAPVPQCTMPLYDSVP
+PTRPSSGRHSEVHNFQETIQQLEGRLVRQDHQIRELTAKMETQSMYVNELKRTIRTLEDK
+VAEIEAQQCNGIYIWKIGNFGMHLKSQEEEKPVVIHSPGFYTGKPGYKLCMRLHLQLPSA
+QRCANYISLFVHTMQGEYDSHLPWPFQGTIRLTILDQSEAAVRQNHEEIMDAKPELLAFQ
+RPTIPRNPKGFGYVTFMHLEALRQRTFIKDDTLLVRCEVSTRFDMGSLRREGFQPRSTDS
+GI
+>sp|Q29RN6|SOCS5_BOVIN Suppressor of cytokine signaling 5 OS=Bos taurus OX=9913 GN=SOCS5 PE=2 SV=1
+MDKVGKMWNNFKYRCQNLFGHEGGSRSENVDMNSNRCLSVKKKNISLGDSAPQQQSSPLR
+ENVALQLGLSPSKNSSRRNQNCAAEIPQIVEISIEKDNDSCVTPGTRLARRDSYSRHAPW
+GGKKKHSCSTKTQSSLDTDKKFGRTRSGLQRRERRYGVSSVHDMDSVSSRTVGSRSLRQR
+LQDTVGLCFPMRTYSKQSKPLFSNKRKIHLSELMLEKCPFPAGSDLAQKWHLIKQHTAPV
+SPHSTFFDTFDPSLVSTEDEEDRLRERRRLSIEEGVDPPPNAQIHTFEATAQVNPLYKLG
+PKLAPGMTEVNGDSCAVPQANCDSEEDTTTLCLQSRRQKQRQVSGDSHAHVSRQGAWKVH
+TQIDYIHCLVPDLLQITGNPCYWGVMDRYEAEALLEGKPEGTFLLRDSAQEDYLFSVSFR
+RYNRSLHARIEQWNHNFSFDAHDPCVFHSSTVTGLLEHYKDPSSCMFFEPLLTISLNRTF
+PFSLQYICRAVICRCTTYDGIDGLPLPSMLQDFLKEYHYKQKVRVRWLEREPVKAK
+>sp|Q32PH0|TPPC9_BOVIN Trafficking protein particle complex subunit 9 OS=Bos taurus OX=9913 GN=TRAPPC9 PE=1 SV=1
+MSVPDYMQCAEDHQTLLVVVQPVGIVSEENFFRIYKRISSVSQISVRDSQRALYIRYRHH
+YPPENSEWGDFQTHRKVVGLITITDCFSAKDWPQIFEKFHVQKEIYGSTLYDSRLFVFGL
+QGEIAEQPRTDVAFYPSYEDCATVEKRIEDFVESLFIVLESKRLDRATDKSGDKIPLLCV
+PFEKKDFVGLDTDSRHYKKRCQGRMRKHVGDLCLQAGMLQDSLVHYHMSVELLRSVNDFL
+WLGAALEGLCSASVIYHYPGGTGGKAGARRFPGSALPAEAANRHRPGALTTNGINADTST
+EIGRAKNCLSPEDIIEKYKEAISYYSKYKNAGVIELEACVKAVRVLAIQKRSMEASEFLQ
+NAVYINLRQLSEEEKIQRYSILSELYELIGFHRKSAFFKRVAAMQCVAPSISEPGWRACY
+KLLLETLPGYSLSLDPQDFNKGTHRGWAAVQMRLLHELVYASRRMGNPALSVRHLSFLLQ
+TMLDFLSDQEKKDVTQSLENYTSKCPGTMELLTLPDGLTLPPVPFTKLPIVRRVKLLDLP
+ASLRPQKMKSSLGPSVSAKSPFIYSPIIAHSRGEERSKKIDFQWVQGDVCEVQLMVYNPM
+PFELRVENMGLLTSGVEFESLPAALSLPAESGLYPVTLVGVPQTTGTITVSGYHTTVFGV
+FSDCLLDSLPGIKTSGSTVEVIPALPRLQISTSLPRSAHSLQPSSGDKISTNVSVQLYNG
+ETQQLVVRLENIGMEPLEKLEVTSKILTTKEKLYGDFLSWKLEDTLAQFPLQPGKVATFT
+ISIKVKLDFSCQENLLQDLSDDGISVSGFPLCSPFRQVVRPRVESKPVNAAEGGRPGEPC
+HVKTLEAVLNFKYSGGPGHVEGYYRNLALGLHVEVEPSVFFTRVSTLPATSTRQCHLLLD
+VFNATEHELSVGARSDEELILHAGECQRMAIQVDKFNFESFPESPADKGQFANSKHLEEE
+RQEARGLEINSKLDIHWTIPSLKRTGEASVEGLLNQLVLEHLQLAPLLWDVLVDGQPCDC
+QAAACRVGDPVRLEVRLTNRSPRSVGPFALSVVPFQDHQNGVHSYDLRHAVSFVGSGTFY
+LDKVQPSGQAACLGALLFLYTGDFFLHIRFHEDGPSKELPPSWFCLPSVHVRALEAQA
+>sp|Q29S03|SGCE_BOVIN Epsilon-sarcoglycan OS=Bos taurus OX=9913 GN=SGCE PE=2 SV=3
+MQLPWWWELGDPCAWTGQGRGTRRMSPATTGTFLLTVYTIFSKVHSDRNVYPSAGVLFVH
+VLEREYFKGEFPPYPKPGEISNDPITFNTNLMGYPDRPGWLRYIQRTPYSDGVLYGSPTA
+ENVGKPTVIEITAYNRRTFETARHNLIINIMSAEDFPLPYQAEFFIKNMNVEEMLASEVL
+GDFLGAVKNVWQPERLNAINITSALDRGGRVPLPINDMKEGVYVMVGADVPFSSCLREVE
+NPQNQLRCSQEMEPVITCDKKFRTQFYIDWCKISLVDKTKQVSTYQEVIRGEGILPDGGE
+YKPPSDSLKSRDYYTDFLVTLAVPSAVALVLFLILAYIMCCRREGVEKRNMQTPDIQLVH
+HSAIQKSTKELRDMSKNREIAWPLSTLPVFHPVTGEIIPPLHTDNYESTNMPLMQTQQNL
+PHQTQIPQQQTTGKWYS
+>sp|Q9MYV9|TRPC5_BOVIN Short transient receptor potential channel 5 (Fragment) OS=Bos taurus OX=9913 GN=TRPC5 PE=2 SV=1
+FIYCLVLLAFANGLNQLYFYYETRAIDEPNNCKGIRCEKQNNAFSTLFETLQSLFWSVFG
+LLNLYVTNVKARHEFTEFVGATMFGTYNVISLVVLLNMLIAMMNNSYQL
+>sp|Q3ZCC4|TIPIN_BOVIN TIMELESS-interacting protein OS=Bos taurus OX=9913 GN=TIPIN PE=2 SV=1
+MLEPQENGLTDLPDYEHIEDETFPPFPPPASPGREDGEGAEPEEESGRGAPVPVPPKRTV
+KRNIPKLNAERLISERGLPALRHVFEKAKFKGKGHEAEDLKTLIRHMEHWAHRLFPKLQF
+EDFIDRVECLGNKKEVQTCLKRIRLDLPILHEDFVSNNDEVEENNGHDVTATELDHFLTN
+SYGSVEFASESSRSLTEEEQQRIERNKQLALERRQAKLLSNSQSLGNDLSVNTPSTQTSE
+AGSTGEEQKEEESNGFNKDLLDSPHNAGAASTVNEEEQLKVEETQLDQSF
+>sp|Q9N0T1|STC1_BOVIN Stanniocalcin-1 OS=Bos taurus OX=9913 GN=STC1 PE=2 SV=2
+MLQNSAVLLVLVISASATHEAEQNDSVSLRKSRVAAQNSAEVIRCLNSALQVGCGAFACL
+ENSTCDTDGMYDICKSFLYSAAKFDTQGKAFVKESLKCIANGVTSKVFLAIRRCSTFQRM
+IAEVQEECYTKLNVCSVAKRNPEAITEVVQLPNHFSNRYYNRLVRSLLDCDEDTVSTIRD
+SLMEKIGPNMASLFHILQTDHCAHTQQRADFNRRRANEPQKLKVLLRNLRGEVASPSHIK
+RTSQESA
+>sp|A8SMG2|TEX43_BOVIN Testis-expressed protein 43 OS=Bos taurus OX=9913 GN=TEX43 PE=2 SV=2
+MASGKDTCPILPKLTNNCSDENSDKLANKCEIHLPRFSLKQGMIPRHYVMPWKQNMKFRN
+VNLKHAEACGIHAGPLEDSLFLDHSERLCHGEDRKVVLKKGPPEIKIADMPLHSPLSRYQ
+STVISHGFRRRLI
+>sp|Q28201|TKDP1_BOVIN Trophoblast Kunitz domain protein 1 OS=Bos taurus OX=9913 GN=TKDP1 PE=2 SV=2
+MRQLCLSSALLFLLVILVDSTPLNIHHIQDEGVETSHRRGPEKRSVIDVVTSIIDGVATG
+TKIVKNGAGLLTGLAEIITKAIKGQVMISRIQFDNHTQEELPTLNIEYSTLSEENKGVET
+SHRKGPEKRSVIDVVTSIIDGVATGTKIVTKGASILTGLAEIINKAIRGQVMISRIQFNN
+HTLEEFPKLNIEYSTLSEDNTGVETSRRRGPEKRSVIDVVTSIIDGVATGTKIVKKGTSI
+LTGLAEIINKAIKGQVMISGIQFNNHTLEEYQTLKIEYSALNEENKAASKPALCLEPKVT
+GGCNAVMTRYFYNAQNGLCEQFVYDGCEGNGNNFEKLEDCMKTCSQEAGSL
+>sp|Q5EAA5|TCTA_BOVIN T-cell leukemia translocation-altered gene protein homolog OS=Bos taurus OX=9913 GN=TCTA PE=3 SV=1
+MAEPWSGQSLQALPATVLGALGALGSEFLREWEAQDMRVTLFKLLLFWLVLSLLSIQLAW
+GFYGSTVTGLYHRPGLGGQNGSTPDGSTHFPSWETAANEPLKTHRE
+>sp|P51176|TGM2_BOVIN Protein-glutamine gamma-glutamyltransferase 2 OS=Bos taurus OX=9913 GN=TGM2 PE=1 SV=1
+MAEELVLERCDLELEANGRDHHTADLCRERLVVRRGQPFWLTLHFEGRNYEASVDSLTFC
+AVTGPDPSEEAGTKALFRLSDATEEGAWAAVAADQRDSTLSLHLSTPANAPVGHYRLSLE
+ASTGYQGSSFMLGQFTLLFNSWCPADAVYLDSDEERQEYVLTQQGFIYQGSAKFIKNIPW
+NFGQFEEGILDICLMLLDVNPKFLRNAGRDCSRRSSPVYVGRVVSGMVNCNDDQGVLLGR
+WDNNYADGISPMSWIGSVDILRRWKRDGCQRVKYGQCWVFAAVACTVLRCLGIPTRVVTN
+YNSAHDQNSNLLIEYFRNEFGEIQSDKSEMIWNFHCWVESWMTRPDLQPGYEGWQALDPT
+PQEKSEGTYCCGPVPVRAIKEGDLSTKYDAPFVFAEVNADVVDWIRQDDGSLHKSINHSL
+VVGLKISTKCVGRDDREDITHSYKYPEGSPEEREAFTRANHLNKLVNKEETGVAMRIRVG
+EGMNRGCDFDVFAHITNSTPEEHTGRLLLCARTVSYNGILGPECGTKDLLSLSLEPYSEK
+SIPLRILYEKYCDCLTESNLIKVRGLLIEPAANSYLLAERDIYLENPEIKIRILGEPKQN
+RKLVAEISLQNPLTVALSGCTFTVEGAGLIEEQKTVDVPDPVEAGEEVKVRVDLLPLYVG
+RHKLVVNFESDRLKAVKGFRNVIVGPS
+>sp|Q3ZBT1|TERA_BOVIN Transitional endoplasmic reticulum ATPase OS=Bos taurus OX=9913 GN=VCP PE=2 SV=1
+MASGADSKGDDLSTAILKQKNRPNRLIVDEAINEDNSVVSLSQPKMDELQLFRGDTVLLK
+GKKRREAVCIVLSDDTCSDEKIRMNRVVRNNLRVHLGDVISIQPCPDVKYGKRIHVLPID
+DTVEGITGNLFEVYLKPYFLEAYRPIRKGDIFLVRGGMRAVEFKVVETDPSPYCIVAPDT
+VIHCEGEPIKREDEEESLNEVGYDDIGGCRKQLAQIKEMVELPLRHPALFKAIGVKPPRG
+ILLYGPPGTGKTLIARAVANETGAFFFLINGPEIMSKLAGESESNLRKAFEEAEKNAPAI
+IFIDELDAIAPKREKTHGEVERRIVSQLLTLMDGLKQRAHVIVMAATNRPNSIDPALRRF
+GRFDREVDIGIPDATGRLEILQIHTKNMKLADDVDLEQVANETHGHVGADLAALCSEAAL
+QAIRKKMDLIDLEDETIDAEVMNSLAVTMDDFRWALSQSNPSALRETVVEVPQVTWEDIG
+GLEDVKRELQELVQYPVEHPDKFLKFGMTPSKGVLFYGPPGCGKTLLAKAIANECQANFI
+SIKGPELLTMWFGESEANVREIFDKARQAAPCVLFFDELDSIAKARGGNIGDGGGAADRV
+INQILTEMDGMSTKKNVFIIGATNRPDIIDPAILRPGRLDQLIYIPLPDEKSRVAILKAN
+LRKSPVAKDVDLEFLAKMTNGFSGADLTEICQRACKLAIRESIESEIRRERERQTNPSAM
+EVEEDDPVPEIRRDHFEEAMRFARRSVSDNDIRKYEMFAQTLQQSRGFGSFRFPSGNQGG
+AGPSQGSGGGTGGNVYTEDNDDDLYG
+>sp|Q06807|TIE2_BOVIN Angiopoietin-1 receptor OS=Bos taurus OX=9913 GN=TEK PE=2 SV=1
+MDSLAGLVLCGVSLLLSATVDGAMDLILINSLPLVSDAETSLTCIASGWRPHEPITIGRD
+FEALMNQHQDPLEVTQDATREWAKKVVWKREKASKINGAYFCEGRVRGQAIRIRTMKMRQ
+QASFLPATLTMTVDRGDNVNISFKKVLIKEEDAVIYKNGSFIHSVPRHEVPDILEVQVPH
+AQPQDAGVYSARYIGGNLFTSAFTRLIVRRCEAQKWGPECNRICTACMNNGICHEDTGEC
+ICPPGFMGRTCEKACEPHTFGRTCKERCSEPEGCKSFVFCLPDPYGCSCATGWKGLQCNE
+ACQPGYYGPDCKLRCSCTNGEKCDRFQGCLCSPGRQGLQCEKEGVPRMTPKIEDLPDHIE
+VNSGKFNPICKASGWPRPANEEMTLVKPDGTVLRPKDFNHTGHLSVATFTINRILPPDSG
+VWVCSVNTVSGMVEKPFNISVKVLPKPLNAPKVIDTGHNFAVINISSEPYFGDGPIKSKK
+LLYKPVNHYEAWRHIQVTNEIVTLNYLEPRTEYELCVQLVRRGEGGEGHPGPVRRFTTAS
+IGLPPPRGLSLLPKSQTTLNLTWQPIFPSSEDDFYVEVERRSVQMNSDQQNIKVPGNLTS
+VLLNNLHPREQYIVRARVNTKAQGEWSEDLIAWTLSDIVPPQPENIKIFNITDSSAVISW
+TILDGYSISAIIIRYKVQGKNEDQHIDVKIKNATITQYQLKGLEPQTVYQVDIFAENNIG
+SSNPTSSHELTTLSESQAPADLGGRKMLLIAILGSAGMTCLTVLLAFLIMLQLKRANVQR
+RMAQAFQNVREEPAVQFNSGTLALNRKAKNNPDPTIYPVLDWNDIKFQDVIGEGNFGQVL
+KARIKKDGLRMDAAIKRMKEYASKDDHRDFAGELEVLCKLGHHPNIINLLGACEHRGYLY
+LAIEYAPHGNLLDFLRKSRVLETDPAFAIANSTASTLSSQQLLHFAADVARGMDYLSQKQ
+FIHRDLAARNILVGENYVAKIADFGLSRGQEVYVKKTMGRLPVRWMAIESLNYSVYTTNS
+DVWSYGVLLWEIVSLGGTPYCGMTCAELYEKLPQGYRLEKPLNCDDEVYDLMRQCWREKP
+YERPSFAQILVSLNRMLEERKTYVNTTLYEKFTYAGIDCSAEEAA
+>sp|A1A4Q4|TMA7_BOVIN Translation machinery-associated protein 7 OS=Bos taurus OX=9913 GN=TMA7 PE=3 SV=1
+MSGREGGKKKPLKQPKKQAKEMDEEDKAFKQKQKEEQKKLEELKAKAAGKGPLATGGIKK
+SGKK
+>sp|A2VDT1|TP4A3_BOVIN Protein tyrosine phosphatase type IVA 3 OS=Bos taurus OX=9913 GN=PTP4A3 PE=2 SV=1
+MARMNRPAPVEVSYKNMRFLITHNPTNATLSSFIEDLKKYGATTVVRVCEVTYDKAPLEK
+DGITVVDWPFDDGAPPPGKVVEDWLSLLKNKFCDDPGSCVAVHCVAGLGRAPVLVALALI
+ESGMKYEDAIQFIRQKRRGAINSKQLTYLEKYRPKQRLRFKDPHAHKTKCCIM
+>sp|Q29RM1|TPC_BOVIN Mitochondrial thiamine pyrophosphate carrier OS=Bos taurus OX=9913 GN=SLC25A19 PE=2 SV=1
+MVGYDPKADDRDISNVEVAVAGSVSGLVTRVLISPLDVIKIRFQLQIERLSRSDPNAKYH
+GILQAGRQILQEEGPTAFWKGHIPAQLLSIGYGAVQFLSFEALTELVHRASVRDARDFSV
+HFLCGGLSACVATLAVHPVDVLRTRFAAQGEPRVYKTLRDAVVTMYRTEGPLVFYKGLNP
+TLIAIFPYAGFQFSIYSSLKRAYEWALPAEGKKNGNFKNLLCGSGAGVISKTLTYPLDLF
+KKRLQVGGFEQARASFGQVRSYKGLLDCAGQVLREEGAQGCFKGLSPSLLKAALSTGLVF
+FWYELFCNFFHHMRKADS
+>sp|Q17QF8|SCAM5_BOVIN Secretory carrier-associated membrane protein 5 OS=Bos taurus OX=9913 GN=SCAMP5 PE=2 SV=2
+MSEKVNNFPPLPKFIPLKPCFYQDFEADIPPQHLSMTKRLYYLWMLNSVTLAVNLVGCLA
+WLIGGGGATNFGLAFLWLILFTPCSYVCWFRPIYKAFKTDSSFSFMAFFFTFMAQLVISI
+IQAVGIPGWGVCGWIATISFFGTNIGSAVVMLIPTVLFTVMAVFSFIALSMVHKFYRGSG
+GSFSKAQEEWTTGAWKNPHVQQAAQNAAMGAAQGAMNQPQTQYSATPNYTYSNEM
+>sp|Q0VCT2|TM59L_BOVIN Transmembrane protein 59-like OS=Bos taurus OX=9913 GN=TMEM59L PE=2 SV=1
+MDSVALMPLLLLLLLQPPPATPAPPVRDPFALQLGDTQNCQLRCRDRYPKPQLAQEELGE
+DPIQSRRAKAYDRAVLTSACERGCRLFSICRFVARSSKPNATQAECEAACVEAYVKETEQ
+QACSEGCWSQNPEPEPEPELEQKRKVLEAPSGALSLLDLFSTLCNDLVNSAQGFVSSTWT
+YYLQTDNGKVVVFQTQPVVESLGHEGARLQRVEVTWRGSHPEALEVHVDPVGPLDKVRKA
+KIRVKTSSKAKVESDELQDNDFLSCMSRRSGLPRWILACCLFLSVLVMLWLSCSTLVTAP
+GQHLKFQPLTLEQHKGYMVEPEWSLYPPPSHAYADSPPPYKLKLDLTKL
+>sp|Q24K03|THUM1_BOVIN THUMP domain-containing protein 1 OS=Bos taurus OX=9913 GN=THUMPD1 PE=2 SV=1
+MAARIQQSPQPGGGKRKGKAQYVQAKRARRWDGGGPRQLEPGIQGILITCNMNERKCVEE
+AYSLLNEYGDDMYGPEKFADKDQQPSGSEGEDDDVEAALKKEVGDIKASTEMRLRRFQSV
+ESGANNVVFIRTLGIEPEKLVHHILQDIYKTKKKKTRVILRMLPISGTCKAFLEDMKKYA
+ETFLEPWFKAPNKGTFQIVYKSRNNSHMNREEVIKELAGIVGSLNSENKVDLSNPQYTVV
+VEIIKAVCCLSVVKDYMLFRKYNLQEVVKSAKDLSQLNPKQAAQTGNGKEAKLESGDKSS
+QNDPAEGKNNQQVVPENSEELGPTESISETHVVNEGVAKPELASQVTEGSESNENDL
+>sp|Q2T9W2|THUM3_BOVIN THUMP domain-containing protein 3 OS=Bos taurus OX=9913 GN=THUMPD3 PE=2 SV=1
+MSDVQEATNQLLDVNLCENQMSIQVTESGPRSESEHLQVTIGATVPTGFEQTAADEVREK
+LGSSCKISKDRGKIYFDITVDSLAQVHCLRSVDNLFVVVQEFKDYQFKETKEEVLKDFEE
+LAGKLPWSDPLKIWKINTCFKKKKTKRRKLNPNSSKQKIDNGRGDTTVEKDVKKELTNSV
+SDSQISDYYENPAIKEQVSTLIGDGLTSCKDETEENSKEEADPEVLKFRVTCNRAGEKHC
+FSSNEAARDFGGAVQDYFKWKADMTNFDVEVLLNIHDNEVVVGIALTEESLHRRNITHFG
+PTTLRSTLAYGMLRLCAPQPTDIIVDPMCGTGAIPIEGATEWSNCYHIAGDNNPLAVNRA
+ANNISSLLTKIQVKEGKLPLGLPIDTIQWDICNLPLRTGSVDIIVTDMPFGKRMGSKKRN
+WNLYPACLREMSRVCRPGTGRAVLLTQDKKCFAKALSGMGHLWRKVHTVWVNIGGLHAAV
+YLLKRTPQSFVHPSEEDGERCPCTQR
+>sp|P01251|THPS_BOVIN Splenin OS=Bos taurus OX=9913 GN=SP PE=1 SV=1
+PEFLEDPSVLTKEKLKSELVANNVTLPAGEQRKEVYVELYLQHLTALKR
+>sp|P00735|THRB_BOVIN Prothrombin OS=Bos taurus OX=9913 GN=F2 PE=1 SV=2
+MARVRGPRLPGCLALAALFSLVHSQHVFLAHQQASSLLQRARRANKGFLEEVRKGNLERE
+CLEEPCSREEAFEALESLSATDAFWAKYTACESARNPREKLNECLEGNCAEGVGMNYRGN
+VSVTRSGIECQLWRSRYPHKPEINSTTHPGADLRENFCRNPDGSITGPWCYTTSPTLRRE
+ECSVPVCGQDRVTVEVIPRSGGSTTSQSPLLETCVPDRGREYRGRLAVTTSGSRCLAWSS
+EQAKALSKDQDFNPAVPLAENFCRNPDGDEEGAWCYVADQPGDFEYCDLNYCEEPVDGDL
+GDRLGEDPDPDAAIEGRTSEDHFQPFFNEKTFGAGEADCGLRPLFEKKQVQDQTEKELFE
+SYIEGRIVEGQDAEVGLSPWQVMLFRKSPQELLCGASLISDRWVLTAAHCLLYPPWDKNF
+TVDDLLVRIGKHSRTRYERKVEKISMLDKIYIHPRYNWKENLDRDIALLKLKRPIELSDY
+IHPVCLPDKQTAAKLLHAGFKGRVTGWGNRRETWTTSVAEVQPSVLQVVNLPLVERPVCK
+ASTRIRITDNMFCAGYKPGEGKRGDACEGDSGGPFVMKSPYNNRWYQMGIVSWGEGCDRD
+GKYGFYTHVFRLKKWIQKVIDRLGS
+>sp|Q9XSC3|WDR44_BOVIN WD repeat-containing protein 44 OS=Bos taurus OX=9913 GN=WDR44 PE=1 SV=1
+MASESDTEEFFDAPEDVHLEGGDPIGYPGKVGISALKETENSAYKVGNESTVQELKQDVS
+KKIIESIIEESQKVIQLEDDSLDSKGKGQSDQATASPVTAGTELSNIPGLLAIDQVLQED
+SQKAESQDVSEETELESKQCFPSDDTCEKPVDETTKLTEISSTAQLNVPETVTEVLNKEE
+VEVKESDVLESASSHSLSTKDFAVVEEVAPAKPPRQLTPEPDIVASTKKPVPARPPPPAN
+FPPPRPPPPSRPAPPPRKKKSELEFEALKTPDLDVPKENITSDTLLTTNMASESTVKDSQ
+PSLDLASATSGDKIVTAQENGKAPDGQTIAGEVMGPQRPRSNSGRELTDEEILASVMIKN
+LDTGEEIPLSLAEEKLPTGINPLTLHIMRRTKEYVSNDAAQSDDEEKLQSQQTDTDGGRL
+KQKTTQLKKFLGKSVKRAKHLAEEYGERAVNKVKSVRDEVFHTDQDDPSSSDDEGMPYTR
+PVKFKAAHGFKGPYDFDQIKVVQDLSGEHMGAVWTMKFSHCGRLLASAGQDNVVRIWALK
+NAFDYFNNMRMKYNTEGRVSPSPSQESLNSSKSDTDTGVCSGTDEDPDDKNAPFRQRPFC
+KYKGHTADLLDLSWSKNYFLLSSSMDKTVRLWHISRRECLCCFQHIDFVTAIAFHPRDDR
+YFLSGSLDGKLRLWNIPDKKVALWNEVDGQTKLITAANFCQNGKYAVIGTYDGRCIFYDT
+EHLKYHTQIHVRSTRGRNKVGRKITGIEPLPGENKILVTSNDSRIRLYDLRDLSLSMKYK
+GYVNSSSQIKASFSHDFNYLVSGSEDKYVYIWSTYHDLSKFTSVRRDRNDFWEGIKAHNA
+VVTSAIFAPNPSLMLSLDVQSEKSEGNEKGEDAEVLETMPSGIMKTDNTEVLLSADFTGA
+IKVFINKRKNLS
+>sp|P62276|RS29_BOVIN 40S ribosomal protein S29 OS=Bos taurus OX=9913 GN=RPS29 PE=3 SV=2
+MGHQQLYWSHPRKFGQGSRSCRVCSNRHGLIRKYGLNMCRQCFRQYAKDIGFIKLD
+>sp|P26377|STP2_BOVIN Nuclear transition protein 2 OS=Bos taurus OX=9913 GN=TNP2 PE=2 SV=2
+MDTKTQSLPNTHAQPHSNSRPQSHACHHCSCSQHCQSRSRSRSCRSRSSSRRPRSHRSPT
+GRQGQSPGPSPPLRRHRHTMHSHQCPSRPVTHSCSHSKNRKNLEGKVIKRKQVKRSKQVY
+KRKRQSSGRKYN
+>sp|Q5TJ59|TLR3_BOVIN Toll-like receptor 3 OS=Bos taurus OX=9913 GN=TLR3 PE=2 SV=1
+MSRPLPYHIHFFSGLLTCWILCTSSAHKCTVRHEVADCSHLKLTQIPDDLPTNITVLNLT
+HNQLRRLPPANFTRYSQLTTLDGGFNSISKLEPELCQSLPWLEILNLQHNEISQLSDKTF
+IFCMNLTELHLMSNSIQKIKNDPFKNLKNLIKLDLSHNGLSSTKLGTQLQLENLQELLLS
+NNKISSLTPGEFDFLGNSSLKRLELSSNQIKEFSPGCFHTLGELSGLSLNNAKLSPSLTE
+KLCLELSNTSIENLSLSSNQLDTISHTTFDGLKQTNLTTLDLSRNSLRVMGNDSFAWLPH
+LEYLSLEYNNIEHLSSRSFYGLSNLRRLDLRRSFTRQSISLTSLPKIDDFSFQWLKCLEY
+LNMDDNNFPGIKRNTFTGLVRLKFLSLSNSFSSLRTLTNETFLSLAGCPLLLLDLTKNKI
+SKIQSGAFSWLGHLEVLDLGLNEIGQELTGQEWRGLDNIVEIYLSYNKYLELTTNSFTSV
+PSLQRLMLRRVALKNVDCSPSPFRPLPNLVILDLSNNNIANINDELLKGLEKLEILDLQH
+NNLARLWKHANPGGPVQFLKGLFHLHILNLGSNGFDEIPVEAFKDLRELKSIDLGMNNLN
+ILPQSVFDNQVSLKSLSLQKNLITSVQKTVFGPAFRNLSYLDMRFNPFDCTCESIAWFVN
+WINITHTNISELSNHYLCNTPPQYHGYPVMLFDVSPCKDSAPFELLFMININILLIFIFI
+VLLIHFEGWRISFYWNVSVHRVLGFKEIDRAEQFEYAAYIIHAYKDRDWVWKHSSPMEDE
+DHTLRFCLEERDFEAGVLELEAIVNSIRRSRKIIFVVTQNLLKDPLCKRFKVHHAVQQAI
+EQNLDSIILIFLEEIPDYKLNHALCLRRGMFKSHCILNWPVQKERVNAFHHKLKVALGSR
+NSAH
+>sp|A7MBJ2|SENP7_BOVIN Sentrin-specific protease 7 OS=Bos taurus OX=9913 GN=SENP7 PE=2 SV=1
+MDKGKAGRRRSSAEIVTEGKRKKPSSSELHKITKILNAKPEDVHVQSPLSKLRSSEHWDV
+SLQGWKRSLRNKVLSLDHKSKKGVRGHPVTSKISPERQLKVMLTNVLWTDLGRKFRKTLP
+RNDANLCDANKVQSDSLPSTSVDSLETCQKLEPLHQSLNLSERIPRVILTNVLGTKLGRK
+YIKTSSVTEANLGDTDNLQSEQLSSSSDGSLESCQNLNPHKNFFLSESSSQSSKTDNYAK
+QTSYTKEKRRDDDGISFMSDTQPKDLSSGSRDCDHLEVGSRNKDDTNSVSRMEPTLISRK
+RKKRLRSNLPDTHNPASLYKSAEQTKEQENDPAVFTELEKSSENYHEDPKLFEEVTYESI
+ESDFAQLPSDCGQELALSASPQMTSGCSAMETFESSISSDTVGNSTLVVKDENELNTIEK
+PVLSEHSEGNLSFISAEPIVVSSDEEGPTEQKSSEILKLQPKQDDAISHESESTSEPVVS
+ELPLITCESVQTSSELCSYNPVMENISCLIPKNEMDLQLDFIFTSVYIGKIKGASKGCVT
+FTTKYIKIPFQVSVHEISLLVDTTHLKRFGLWKNKDDDHSKKSHAILFLWVSSNYLQEIQ
+TQLENSVFSQQSKSTEFIFLELHSSISQREELKLKDIMTEISTTNGELELSYPLSWVQAL
+PLFQNLSSKESSFIHYYCASTCSFPAATTEEMKMKSVSQPSNTDTAKPTYTLLQKQSSGC
+YSLSITSNPDEEWREVRHTGPVQKLIVYPPPPTKGGLGVTNEDLECLEEGEFLNDVIIDF
+YLKYLILEKASDELVERSHIFSSFFYKCLTRKENNLTEDNPNLSMAQRRHKRVRTWTRHI
+NIFNKDYIFVPVNESSHWYLAVICFPWLEEVVYEDFPQTIPQYSQAEESHHDSRTIDNDL
+HTSSALSSGTEDSQSPEMNVTVPKKMCKRPCILILDSLKAASIQNTVQNLREYLEVEWEV
+KRKTHREFSKTNMVDLCPKVPKQDNSSDCGVYLLQYVESFFKDPIVNFELPIHLEKWFPR
+HVIKTKREDIRELILKLHLQQQKGSSS
+>sp|A6QLC7|TPC11_BOVIN Trafficking protein particle complex subunit 11 OS=Bos taurus OX=9913 GN=TRAPPC11 PE=2 SV=1
+MSPTQWDFPVELCCRPMAFVTLTGLDVVYNAVHRAVWDAFCANRRADRVPISFKVLPGDH
+EYPKCRPKRTSYEWYIPKGILKTGWMNKHLNLVPALVVVFYELDWDEPQWKEKQSECATR
+VEIVRQSLQGRNTKVAVVLIQKKTPLPPGEDVIASERAAALCNACELSGKSLFVLPHTDH
+LVGYIIRLENAFYEHAQTYYYTEIRRVKSHKEFLNKTTHQLLFVRHQFKIAFFSELKQDT
+QNALKNYRTAYNLVHELRAHETNILEIKTMAGFINYKICRLCFQHNTPLDAIAQFRKHID
+LCKKKIGSAELAFEHAAWMSKQFQAFGDLFDEAIKLGLTAIQTQNPGFYYQQAAYYAQER
+KQLAKALCNHEASVTYPNPDPLETQAGVLDFYGQRSWRQGVLSFDLSDPEKEKVGILAIQ
+LKERSVVHSEVIITLLSNAVAQFKKYKCPRMKSHLMVQMGEEYYYAKDYTKALKLLDYVM
+CDYRSEGWWTLLTSILTTALKCSYLMAQLKDYITYSLELLGRASTLKDDQKSRIEKNLIN
+VLMNESPDPEPDCDILAVKTAQKLWVDRISLAGSNVFTIGVQDFVPFVQCKAKFHAPSFH
+VDVPVQFDVYLKADCPHPIRFSKLCISFNNQEYNQFCVIEEASKASDVLENLTQGKMCLV
+PGKTRKFLFKFVAKTEDVGKKIEITSVDLVLGSEAGRCVVLNWQGGGGDAASAQEALQAS
+RSFKRRPRLPDSEVHWDGIVIQASTMIISRVPNISVHLRHDPPALTNEMYCLVVTVQSHE
+KSPIRDVKLTAGLKPGQDANLTQKTHVTLHGTELCDESYPALLTDIPIGDLQPGEQLEKA
+VYVRCGTVGSRMFLVYVSYLINTAIEGKETVCKCHKDETVTIETVFPFDVAVKFVSTKFE
+HLERVYADIPFLLMTDLLSASPWALTIVSSELQLAPSMTPVDQLESQVDRVVLQTGESAS
+ECFCLRCPSVGNVEGGVATGHYVISWKRTSATDGVPVISTVITLPHVIVENIPLHVNADL
+PSFGRVRESLPVRYHLQNKTDLVQDVEISVEPSDAFMFSGLKQIRLRILPGTEQEMLYNF
+YPLMAGYQQLPSLNINLLRFPNFTNQLLRRFIPTSIFVKPQGRLVDDASIAAA
+>sp|Q5KR49|TPM1_BOVIN Tropomyosin alpha-1 chain OS=Bos taurus OX=9913 GN=TPM1 PE=2 SV=1
+MDAIKKKMQMLKLDKENALDRAEQAEADKKAAEDRSKQLEDELVSLQKKLKATEDELDKY
+SEALKDAQEKLELAEKKATDAEADVASLNRRIQLVEEELDRAQERLATALQKLEEAEKAA
+DESERGMKVIESRAQKDEEKMEIQEIQLKEAKHIAEDADRKYEEVARKLVIIESDLERAE
+ERAELSEGKCAELEEELKTVTNNLKSLEAQAEKYSQKEDKYEEEIKVLSDKLKEAETRAE
+FAERSVTKLEKSIDDLEDELYAQKLKYKAISEELDHALNDMTSI
+>sp|A4IFK9|STMN3_BOVIN Stathmin-3 OS=Bos taurus OX=9913 GN=STMN3 PE=2 SV=1
+MASTISAYKEKMKELSVLSLICSCFYSQPHPNTVYQYGDMEVKQLDKRASGQSFEVILKS
+PSDLSPESPMLSSPPKRKDTSLEELQKRLEAAEERRKTQEAQVLKQLAERREHEREVLHK
+ALEENNNFSRLAEEKLNYKMELSKEIREAHLAALRERLREKELHAAEVRRNKEQREEMSG
+>sp|Q2KI04|SZRD1_BOVIN SUZ domain-containing protein 1 OS=Bos taurus OX=9913 GN=SZRD1 PE=2 SV=2
+MEDEEVAESWEEAADSGEIDRRLEKKLKITQKESRKSKSPPKVPIVIQDDSLPAGPPPQI
+RILKRPTSNGVVSGPNSASRPALPVKSLAQREAEYAEARKRILGSASPEEEQEKPILDRP
+TRISQPEDSRQPNNVIRQPLGPDGSQGFKQRR
+>sp|Q9GL65|TLR4_BOVIN Toll-like receptor 4 OS=Bos taurus OX=9913 GN=TLR4 PE=1 SV=2
+MMARARLAAALIPATAILSCLRTESWDPCVQVVPNISYQCMELNLYKIPDNIPISTKMLD
+LSFNYLRHLGSHNFSSFPELQVLDLSRCEIKIIEDDTFQGLNHLSTLILTGNPIQSLAWG
+AFSGLSSLQKLVAVETNLVSLNDFPIGHLKNLKELNVAHNFIHSFKLPEYFSNLPNLEHL
+DLSNNKIQNIYYEDVKVLHQMPLLNLSLDLSLNPLDFIEPGTFKEIKLNGLTLRSNFNSS
+HVMKTCIQGLAGLKTNRLVLGEFKNERKLQRFDRSFLEGLCNLTIEQFRIAYLDKFSGDD
+TDLFNCLANVSVISLLSISLGSLQALLKDFRWQHLEIINCDFDKFPALKLSSLKKFVFTD
+NKDISTFTEFQLPSLQYLDLKRNHLSFKGCCSHTDFGTTNLKHLDLSFNDVITLGSNFMG
+LEQLEHLDFQHSTLKQINAFSAFLSLRNLRYLDISYTNIRIVFHGIFTGLVSLQTLKMAG
+NSFQNNLLPDIFTELTNLTVLDLSKCQLEQVAQTAFHSLSSLQVLNMSHNKLLSLDTFLY
+EPLHSLRILDCSFNRIMASKEQELQNLPRSLTWLNLTQNAFACVCEHQSFLQWVKDQRQL
+LVGAEQMMCAEPLDMEDMPVLSFRNATCQLSKTIISVSVVTVLLVSVVGVLVYKFYFHLM
+LLAGCKKYGRGESIYDAFVIYSSQDEDWVRNELVKNLEEGVPPFQLCLHYRDFIPGVAIA
+ANIIQEGFHKSRKVIVVVSQHFIQSRWCIFEYEIAQTWQFLSSRAGIIFIVLQKLEKSLL
+RQQVELYRLLSRNTYLEWEDSVLGRHVFWRRLRKALLAGKPQSPEGTADAETNPQEATTS
+T
+>sp|A6QQL3|SEP14_BOVIN Septin-14 OS=Bos taurus OX=9913 GN=SEPTIN14 PE=2 SV=1
+MAEKPMCPSTQIPDDEDTQKEDNIRCLNMLGHFGFDCLAHQLVDKSVQQGFFFNILCVGE
+TGIGKSTLIDTLFNTSLKDKKSSHFYASVGLKIQTYELQENNVQLKLTVVKTVGYGDQIN
+KEASYQPIVDYLDAQFESYLQEELKIKRSLGNYRDSRVHVCLYFISPTGHSLKSLDILTM
+KNIDSKVNIIPVIAKADAISKSDLQTFKCAIMNELISNGIQMYQFPTDNETSTHMNSSMN
+GLLPFAVVGSTEEVKVGKRTVRGRQYPWGILQVENENHCDFVKLRDMLLCTNREDLKEQT
+HTRHYERYRRNRLHMMGFTDMGPNNQPVSFQEIYEAKRQELLEQCQREEEELKHKFMQRV
+KEKETAFKEAEKELQDKFEHLKKVQQEETMRLEEERRQLEEEILELCKMKASSGTLQSQV
+CTSVKKDKERKK
+>sp|Q08DK0|TM129_BOVIN E3 ubiquitin-protein ligase TM129 OS=Bos taurus OX=9913 GN=TMEM129 PE=2 SV=1
+MDSPEVTFTLAYLVFAVCFVFTPTEFHSAGLTVQNLLSGWLGSEDAAFVPYHLRRTAATL
+LCHSLLPLGYYVGMCFAASEKQLYYPSQTPETWRAFLLLALMLPAIACTLIYYWSRDHWA
+CHPLARTLALYALPRSGWQAVASSVNTEFRRIDKFATGVPGARVIVTDTWVMKVTTYRVH
+VAQQQDVRLTVTEAQQHELSPDSHLPVQLLTIRVASANPAVPAFHIRLNSTEYGELCEKL
+RAPIRSAANVVIHQSLGDLFLETFASLVEVNPAYSVPSSQDLEACIGCMQTQASVKLVKT
+CQEVAVGECQQCYCRPMWCLTCMGKWFASRQDPQRPDTWLASRVPCPTCRARFCVLDVCA
+VR
+>sp|Q0P5K4|TOX4_BOVIN TOX high mobility group box family member 4 OS=Bos taurus OX=9913 GN=TOX4 PE=2 SV=1
+MEFPGGNDNYLTITGPSHPFLSGAETFHTPSLGDEEFEIPPISLDSDPSLAVSDVVGHFD
+DLADPSSSQDGSFSAQYGVQTLDMPVGMTHGLMEQGGGLLSGGLTMDLDHSIGTQYSANP
+PVTIDVPMTDMTSGLMGHSQLTTIDQSELSSQLGLSLGGGTILPPAQSPEDRLSTTPSPT
+SSLHEDGVEEFRRQPPSQKTVVVEAGKKQKAPKKRKKKDPNEPQKPVSAYALFFRDTQAA
+IKGQNPNATFGEVSKIVASMWDSLGEEQKQVYKRKTEAAKKEYLKALAAYKDNQECQATV
+ETVDMDPAPPSQTPSPPPVAAADPASPAPASTEPPALSPSIVVNSTLSSYVANQASSGAG
+GQPNITKLIITKQMLPSSITMSQGGMVTVIPATVVTSRGLQLGQTSTATIQPSQQAQIVT
+RSVLQAAAAAAASMQLPPPRLQPPPLQQMPQPPTQQQVTILQQPPPLQAMQQPPPQKFRI
+NLQQQPPPLQVKIVPPPTLKMQTTLVPPPVESSPEQPVNNSPETHTVEETTPETICEMIT
+DVVPEVESPSQMDVELVSGSPMTLSPQPRCVRSGCENPPVVSKDWDNEYCSNECVVKHCR
+DVFLAWVASRNSNTVVFVK
+>sp|A7E320|UHRF1_BOVIN E3 ubiquitin-protein ligase UHRF1 OS=Bos taurus OX=9913 GN=UHRF1 PE=2 SV=1
+MWIQVRTMDGKVAHTVDSLSRLTKVEELRKKIQELFHVEPGLQRLFYRGKQMEDGHTLFD
+YDVRLNDTIQLLVRQSLVLPVPVPSSSGGSKERDSELSDTDSGCGLAQSESDKSSNSGEA
+ANEPEGKADEDECDETELGLYKVGEYVDARDTNMGAWFEAKVIRVTRKAPAHDQPSSSSS
+KPEDDIIYHVTYDDYPENGVVQMTSQNVRARARHTIKWEDLQVGQVVMVNYNPDLPKDRG
+FWYDAEILRKRETRTARELHANVRIGGDSLNDCRIVFVDEVFKIERPGEGNPMVENPMRR
+KSGPSCKHCKDDERKLCRMCACHVCGGKQDPDKQLMCDECDMAFHIYCLRPPLSSVPPEE
+EWYCPDCRIDSSEVVQAGEKLKESKKKAKMASATSSSQRDWGKGMACVGRTKECTIVPSN
+HFGPIPGIPVGTMWRFRVQVSESGVHRPHVAGIHGRSNHGAYSLVLAGGYEDDVDHGNSF
+TYTGSGGRDLSGNKRTAEQSCDQKLTNTNRALALNCFAPINDLKGAEAKDWRSGKPVRVV
+RNVKGRKHSKYAPIEGNRYDGIYKVVRYWPEKGKSGFLVWRFLLRRDDVEPGPWTKEGKD
+RIKKLGLTMQYPEGYLEALARKEKENSKQAALDKEEEDGEEGFTSPRKGKRKSKSAGGDG
+SSRGTPKKTKVEPYSLTTQQSSLIKEDKSNMKLWTEILKSLKDGPKFLSKVEETFQCICC
+QELVFRPITTVCQHNVCKDCLDRSFKAQVFSCPACRYDLGRSYAMTVNQPLQAVLSQLFP
+GYGSGR
+>sp|Q3ZBQ1|UBTD1_BOVIN Ubiquitin domain-containing protein 1 OS=Bos taurus OX=9913 GN=UBTD1 PE=2 SV=1
+MGNCVGRQRRERPTAPGHPRKRAGRNEPLKKERLKWKSDYPMTDGQLRSKRDEFWDTAPA
+FEGRKEIWDALKAAAYAAEANDHELAQAILDGASITLPHGTLCECYDELGNRYQLPIYCL
+SPPVNLLLEHTEEESLEPPEPTPSVRREFPLKVRLSTGKDVRLSASLPDTVGQLKRQLHT
+QEGIEPSWQRWFFSGKLLTDRTRLQETKIQKDFVIQVIINQPPPPQD
+>sp|Q2HJF1|RM53_BOVIN 39S ribosomal protein L53, mitochondrial OS=Bos taurus OX=9913 GN=MRPL53 PE=1 SV=1
+MAAALARLGLRSVKQVRVQFCPFEKNVESTRTFLQAVSSEKVRSTNLNCSVIADVRHDGS
+EPCVDVLFGDGHRLIMRGAHLTAQEMLSAFASHIQARGAAASGDKPSASTGR
+>sp|P79121|TIMP3_BOVIN Metalloproteinase inhibitor 3 OS=Bos taurus OX=9913 GN=TIMP3 PE=2 SV=1
+MTPWLGLVVLLGSWSLGDWGAEACTCSPSHPQDAFCNSDIVIRAKVVGKKLLKEGPFGTM
+VYTIKQMKMYRGFTKMPHVQYIHTEASESLCGLKLEVNKYQYLLTGRVYDGKMYTGLCNF
+VERWDQLTLSQRKGLNYRYHLGCNCKIKSCYYLPCFVTSKNECLWTDMFSNFGYPGYQSK
+HYACIRQKGGYCSWYRGWAPPDKSIINATDP
+>sp|Q0P5H7|SYRM_BOVIN Probable arginine--tRNA ligase, mitochondrial OS=Bos taurus OX=9913 GN=RARS2 PE=2 SV=1
+MACGFRRSIASQLSRVLDLPPENLIKSISAVPISRKEEVADFQLSVDSLLENNNDHSRPD
+IQIQAMRLAEKLKCDTVVSEISTGQGTVNFKINRELLTKTVLQQVIEDGSKYGLKSELFS
+GLPKKRIVVEFSSPNVAKKFHVGHLRSTIIGNFIANLKEALGHQVTRINYLGDWGMQFGL
+LGTGFQLFGYEEKLQSSPLQHLFEVYVQVNKEAADDKNVAKSAHEFFQRLELGDMQALAL
+WQKFRDLSIDEYMRIYQRLGVHFDEYSGESFYREKSQEVLKLLDSKGLLQKTLKGTAVVD
+LSGNGDPSSVCTVMRSDGTSLYATRDLAAAIDRMEKYNFDKMIYVTDKGQKKHFQQVFQI
+LQIMGYDWAERCQHVPFGVVQGMKTRRGDVTFLEDVLNEIRLRMLQNMASIKTTKELENP
+EETAEQVGLAALIIQDFRGFLLSDYQFSWDRVFQSRGDTGVFLQYTHARLHSLEETFGCG
+YLNDFNTACLQEPQSVSILQHLLRFDEVLYRSSQDLQPRHIVSYLLTLSHLAAVAHRTLH
+VRNSPPEVAGARLHLFRAVRSVLANGMKLLGITPVCRM
+>sp|Q29RU9|SOX_BOVIN Peroxisomal sarcosine oxidase OS=Bos taurus OX=9913 GN=PIPOX PE=2 SV=2
+MAAQRELYDAIVIGAGIQGCFTAYHLAKHSKKVLLLEQFFLPHSRGSSHGQSRIIRRAYP
+EDFYTQMMAECYSLWAQLEHEAGTQLYRQTGLLLLGMKENPELKIIQATLSRQGVEHQCL
+SSEELKQRFPNIRLARGEVGLLEVSGGVLYADKALRALQDAIRQLGGIVHDGEKVVEIKS
+GLPVMVKTTSRSYQAKSLIITAGPWTNRLLRPLGAELPLQTLRINVCYWQEKVPGSYSVS
+QAFPCFMGLGLSLAPHHIYGLPSREYPGLMKVCYHHGNNADPEERDCPAAFSDIQDVHIL
+SGFVRDHLPDLQPEPAVMEHCMYTNTPDGHFVLDRHPKYDNIVIGAGFSGHGFKLSPVVG
+KILYELSMKLTPSYDLTPFRISRFPSLGKAHL
+>sp|P17248|SYWC_BOVIN Tryptophan--tRNA ligase, cytoplasmic OS=Bos taurus OX=9913 GN=WARS PE=1 SV=3
+MADMSNGEQGCGSPLELFHSIAAQGELVRDLKARNAAKDEIDSAVKMLLSLKTSYKAATG
+EDYKVDCPPGDPAPESGEGLDATEADEDFVDPWTVQTSSAKGIDYDKLIVRFGSSKIDKE
+LVNRIERATGQRPHRFLRRGIFFSHRDMHQILDAYENKKPFYLYTGRGPSSEAMHVGHLI
+PFIFTKWLQDVFNVPLVIQMTDDEKYLWKDLTLDQAYGYAVENAKDIIACGFDINKTFIF
+SDLDYMGMSPGFYKNVVKIQKHVTFNQVKGIFGFTDSDCIGKISFPAIQAAPSFSNSFPQ
+IFRDRTDVQCLIPCAIDQDPYFRMTRDVAPRIGYPKPALLHSTFFPALQGAQTKMSASDP
+NSSIFLTDTAKQIKTKVNKHAFSGGRDTVEEHRQFGGNCDVDVSFMYLTFFLEDDDKLEQ
+IRRDYTSGAMLTGELKKELIEVLQPLIAEHQARRKEVTDEIVKEFMTPRKLSYDFQ
+>sp|Q32P71|TC1D2_BOVIN Tctex1 domain-containing protein 2 OS=Bos taurus OX=9913 GN=TCTEX1D2 PE=2 SV=2
+MSVAASFPKHTSFTMRASFAAADGLPETENNAGEPENTYILRPVFQQRFRPSVVKDCIHA
+VLKEELANAEYSPEEMPQLTKHLSENIKDKLKEMGFDRYKMVVQVVIGEQRGEGVFMAAR
+CFWDADTDNCTHDVFMNDSLFCVVAAFGCFYY
+>sp|Q5E969|TCIM_BOVIN Transcriptional and immune response regulator OS=Bos taurus OX=9913 GN=TCIM PE=3 SV=1
+MKAKPSHPAFSMSTSLRVSPSIHGYHFDTASRKKAVGNIFENIDQEALQRLFRNSGDKKA
+EERAKIIFAIDQDLEEKTRALMALKKRTKDKLFQFLKLRKYSIKVH
+>sp|Q9GMB8|SYSC_BOVIN Serine--tRNA ligase, cytoplasmic OS=Bos taurus OX=9913 GN=SARS PE=2 SV=3
+MVLDLDLFRVDKGGDPALIRESQEKRFKDPGLVDQLVKADSEWRRCRFRADNLNKLKNLC
+SKTIGEKMKKKEPVGNDESIPEDVLNLDDLTADTLTNLKVSQIKKVRLLVDEAILKCDAE
+RIKLEAERFESLREIGNLLHPSVPISDDEDADNKVERIWGDCTVRKKYSHVDLVVMVDGF
+EGEKGAVVAGSRGYFLKGVLVFLEQALIQFALRTLASRGYTPIYTPFFMRKEVMQEVAQL
+SQFDEELYKVIGKGSEKSDDNSYEEKYLIATSEQPIAALHRDEWLRPEDLPIKYAGLSTC
+FRQEVGSHGRDTRGIFRVHQFEKIEQFVYSSPHDNKSWEMFEEMIATAEEFYQSLGIPYH
+IVNIVSGSLNHAASKKLDLEAWFPGSGAFRELVSCSNCTDYQARRLRIRYGQTKKMMDKV
+EFVHMLNATMCATTRTICAILENYQTEKGILVPEKLKEFMPPGLQELIPFVKAAPIDQEP
+SKKQKKQHEGSKKKGAARDVALESQLQNMEVTDA
+>sp|Q0IIG6|TRBP2_BOVIN RISC-loading complex subunit TARBP2 OS=Bos taurus OX=9913 GN=TARBP2 PE=2 SV=2
+MSEEEQGSGTTTGCGLPSIEQMLAANPGKTPISLLQEYGTRIGKTPVYDLLKAEGQAHQP
+NFTFRVTVGDTSCTGQGPSKKAAKHKAAEVALKHLKGGSMLEPALEDSSSFSPLDSSLPE
+DVPVFTAAAAATPVPSAVPTRSSPMEVQPPVSPQQSECNPVGALQELVVQKGWRLPEYTV
+TQESGPAHRKEFTMTCRVERFIEIGSGTSKKLAKRNAAAKMLLRVHTVPLDARDGNEAEP
+EDDHFSIGVGSRLDGLRNRGPGCTWDSLRNSVGEKILSLRSCSLGSLGALGPACCSVLSE
+LSEEQAFHVSYLDIEELSLSGLCQCLVELSTQPATVCHGSAATREAARGEAARRALQYLK
+IMAGSK
+>sp|Q1KZG0|S39A4_BOVIN Zinc transporter ZIP4 OS=Bos taurus OX=9913 GN=SLC39A4 PE=1 SV=1
+MALLGRPGLGPLLAVLAALAASGTTTRPVHLLTLLSSGQGALDRVALGGLLNTLAARVHC
+ADGPCGKCLSVDDALALGRPEQPGAPSGQVLEPRHIARLSAAAALYLSDPAGTCAEVRAG
+RWAARADQLLVLLESSQALSVALTRLLQQIQARAAGQPTSQQACVDLPQLLGEAAGIGAP
+GSPGPVLAALLGHVWSGACFHALPTPQYFVDFVFRQHSSENPNITLDELAALMERLGLGG
+ATEPHDSHSDDSPLGKGQGPVPLAAPNSSASAWDTLCLSAQDIMAVYGMSEQAGVTPDDW
+ARLSPALLQQQLSGACSPQPTLPTQDQLSQAERYLYGSLATLLICLCSLLGLLLLSCASC
+STTSHYIIQAFLSMAVGALTGDALLHLMPKVLGLHTHDGEDHGFQPTWHLLAVLGGLYAF
+FLFERLFNLLLPLDPEDPKDGACSHGHSHGGHSHGVSLQLAPSDFRQPKQLHEGSRADLV
+AEESPELLSPEPRRRSPGLRLLPYVITLGDAVHNFADGLAVGAAFLSSWKTGLATSLAVF
+CHEVPHELGDFAALLHAGLSVRRALLLNVASALTAFIGLYVALAAGVGEDGETWILAVAA
+GLFLYVALCDMLPAMLNVRDRRPWLLFLLHNVGLLGGWTVLLLLSLYEDNIAF
+>sp|A6H784|SCO2_BOVIN Protein SCO2 homolog, mitochondrial OS=Bos taurus OX=9913 GN=SCO2 PE=2 SV=1
+MLLLARPPKAWHRLFQLQPLALLGTPGGKTQHVRYQLFSTPGPADTGRQGQPQGPGLRTR
+LLVTALVGAGLGGAWLALRAEKERGRQQQRTEALRQAAVGQGDFSLLDHRGRVRCKADFR
+GQWVLLYFGFTHCPDICPDELEKLVQVVRQLEAEPGLPPVQPLFITVDPERDTVAAMARY
+VQDFHPRLLGLTGSAEQIAQVSRSYRVYYSAGPKDEDQDYIVDHSIAIYLLSPDGLFTDY
+YSRARSAEQITDSVRRHMAAFRSVLR
+>sp|P83939|SCRN1_BOVIN Secernin-1 OS=Bos taurus OX=9913 GN=SCRN1 PE=1 SV=2
+MAVAPPSYCFVAFPPRAKDGLVVFGKNSARPRDEVQEVVYFSAADHEPESKVECTYISIN
+QVPRTHAIVISRPAWLWGAEMGANEHGVCIANEAINAREPAAETEALLGMDLVRLGLERG
+ATAKEALDVIVALLEEHGQGGNYYEDANSCHSFQSAFLIVDREEAWVLETVGKYWAAEKI
+TEGVKCICNQLSLTTKIDAEHPELRSYAQSQGWWMGEDEFNFSEVFSPADDHLTCCSGRD
+TLEKQEESITVQTMIDVLRDKASGVCVDSESFLTTASVVSVLPQNGSSPCIHYFTGTPDP
+SRSIFKPFIFVDDVKLVPKAQSPCFGDDDPAKKEPRFQEKPDRRHELYKAHEWARAVLES
+DEEQGQKLRKTMLELEKQGLEAMEEILTSSDPLDPTEVGDLFYDCVDTEIKFFK
+>sp|A4IF78|TFIP8_BOVIN Tumor necrosis factor alpha-induced protein 8 OS=Bos taurus OX=9913 GN=TNFAIP8 PE=2 SV=1
+MHFEAEESKEVATDVFNSKNLAVQAQKKILGKMASKSIATTLIDDTSSEVLDELYRVTKE
+YTQNKKEAEKIIKNLIKTVIKLAILYRNNQFNQDELALMEKFKKKVHQLAMTVVSFHQVD
+FTFDRNVLSKLLNECREMLHQIIQRHLTTKSHGRVNNVFDHFSDCDFLAALYNPFGNYKP
+HLQKLCDGINKMLDEENI
+>sp|A5D7C9|T150C_BOVIN Transmembrane protein 150C OS=Bos taurus OX=9913 GN=TMEM150C PE=2 SV=1
+MDGKKCSVWMFLPLVFTVFTSAGLWIVYFIAVEDDKIFPLNSAERKPGVKHAPYISIAGD
+EPPASCVFSQVMNMAAFLALVVAVLRFIQLKPKVLNPWLNISGLVALCLASFGMTLLGNF
+QLTNDEEIHNVGTSLTFGFGTLTCWIQAALTLKVNIKNEGRKVGIPRVILSASITLCVVL
+YFILMAQGIHMYAARVQWGLVMCFLSYFGTFAVEFRHYRYEIVCSEYQENFLSFSESLSE
+ASEYQTDQV
+>sp|A5D7H1|S39AD_BOVIN Zinc transporter ZIP13 OS=Bos taurus OX=9913 GN=SLC39A13 PE=2 SV=1
+MPGCPCPGIGMAGQRLLFLAALALELLGGAGGSQQALRSRGVAAACRLDSKESESWGALL
+SGERLETWICSLLGSLMVGLSGVFPLLVIPLEMGTTLRSEAGARRLKQLLSFALGGLLGN
+VFLHLLPEAWAYTNSASSGGERQSLQQQQQLGLWVIAGFLTFLVLEKLFFDSKGKEETSQ
+APSKDPAAAAALNGGHYLAQPAAEPGPSAVVRNIKVSGYLNLLANTIDNFTHGLAVAASF
+LVSKKIGLLTTMAILLHEIPHEVGDFAILLRAGFDRWSAAKLQLSTALGGLLGACFAICA
+QSPKGVGTGVGVVAVRRGALAEGHGRPDPGIRARLCSGPPRGMGGGRYTWPPSPPQPVRA
+PPPATEETVAWILPFTSGGFLYIALVNVLPDLLEEDDPWRSLQQVLLLCAGIVVMVLFSV
+FVE
+>sp|Q29RR5|TFP11_BOVIN Tuftelin-interacting protein 11 OS=Bos taurus OX=9913 GN=TFIP11 PE=2 SV=2
+MSLSHLYRDGEGHMDDDEDERENFEITDWDLQNEFNPNRQRHWQTKEEATYGVWAERDSD
+EERPSFGGKRARDYSAPVNFISAGLKKGAAEEAELEDSDDEEKPVKQDEFPKDFGPKKLK
+TGGNFKPSQKGFAGGTKSFMDFGSWERHTKGIGQKLLQKMGYVPGRGLGKNAQGIINPIE
+AKQRKGKGAVGAYGSERTTQSLQDFPVVDSEEEAEEEFQKELSQWRKDPSGSKKKPKYSY
+KTVEELKAKGRISKKLTAPQKELSQVKVIDMTGREQKVYYSYSQISHKHSVPDDGLPPQA
+QPPPPPGKEARAPGFALPELEHNLQLLIELTEQEIIRNDRQLQYERDVVVNLTHELEKAS
+GALQQEQRAIASLSEVLALVEECERRLQPGCSDPLTLDECARVFQTLRDKYYEEYRMSDR
+VDLAVAIVYPLMKDYFKEWDPLKDCTYGTETISQWKSLLENDQLLSHGGQDLSADAFHRL
+IWEVWMPFVRSIVAQWQPRNCDPMVDFLDSWAPLIPVWVLDNILEQLIFPKLQKEVESWN
+PLTDTVPIHSWVHPWLPLMQARLEPLYSPIRSKLASALQKWHPSDSSAKLILQPWKDVFT
+PGSWEAFMVKNIVPKLGMCLGELVINPHQQHMDAFYWVIDWEGMVSVSSLVGLLEKHFFP
+KWLQVLCSWLSNSPNYEEITKWYLGWKSMFSDQVLAHPSVKDKFNEALDIMNRAVSSNVG
+AYMQPGAREHIAYLTHTERRKDFQYEAMQERREAENMAQRGIGVAASAVPMNFKDLIETK
+AEEHNIVFMPVIGKRHEGKQLYTFGRIVIYIDRGVVFVQGEKTWVPTSLQSLIDMAK
+>sp|A4IFG4|T151B_BOVIN Transmembrane protein 151B OS=Bos taurus OX=9913 GN=TMEM151B PE=2 SV=1
+MPEDGGGDSGDVPEIIPDGEPLREEQRPLKQSLGSSLCRESHWKCLLLTLLIHACGAVVA
+WCRLATVPRLVLGPEAALARGGGGPPPTYPASPCSDGYLYIPLAFVSLLYLLYLAECWHC
+HVRSCQAPRTDASTVLALIRRLQQAPPCVWWKATSYHYVRRTRQITRYRNGDAYTTTQVY
+HERADSRTARGEFDYSAHGVRDVSKELVGLADHAATRLRFTKCFSFGSAEAEASYLTQRA
+RFFSANEGLDDYLEAREGMHLKDVDFRESLMVFADPRSPPWYARAWVFWLVSAATLSWPL
+RVVAAYGTAHVHYQVEKLFGASSPPPGAVPSGPPLSRVATVDFTELEWHICSNRQLVPSY
+SEAVVMGAGSGAYLRGCQRCRRSVSSNSLPPARPSGPRLPFSRSRLSLGAGGRATPGVFR
+SLSGGPLGRRGEDTEPLESPPCYEDALYFPVLIVHGDSGCQGDGQGAL
+>sp|A4IFL2|SMAGP_BOVIN Small cell adhesion glycoprotein OS=Bos taurus OX=9913 GN=SMAGP PE=3 SV=1
+MTSFPTTPPPAEELMATTILQATEALSPEAEASTALIAVVITVVFLTLLSVVILIFFYLY
+KNKGSYVTYEPADGEPGAVVLMENDSAKGREKEEYFI
+>sp|Q2HJ52|TBP_BOVIN TATA-box-binding protein OS=Bos taurus OX=9913 GN=TBP PE=2 SV=1
+MDQNNSLPPYAQGLASPQGAMTPGIPIFSPMMPYGTGLTPQPIQNTNSLSILEEQQRQQQ
+QQQQQQQQQQQQQQQQAAVAAVQQSTSQQATQGPSGQTPQLFHSQTLTTAPLPGTTPLYP
+SPMTPMTPITPATPASESSGIVPQLQNIVSTVNLGCKLDLKTIALRARNAEYNPKRFAAV
+IMRIREPRTTALIFSSGKMVCTGAKSEEQSRLAARKYARVVQKLGFPAKFLDFKIQNMVG
+SCDVKFPIRLEGLVLTHQQFSSYEPELFPGLIYRMIKPRIVLLIFVSGKVVLTGAKVRAE
+IYEAFENIYPILKGFRKTT
+>sp|A6QLP2|SAHH3_BOVIN Adenosylhomocysteinase 3 OS=Bos taurus OX=9913 GN=AHCYL2 PE=1 SV=1
+MSVQVVSAAAAAKVPEVELKDLSPSEAEPQLGLSTAAVSAMAPPAGGGDPEAPAPAAERP
+PAPGPGSGPAAALSPAAGKVPQASAMKRSDPHHQHQRHRDGGEALVSPDGTVTEAPRTVK
+KQIQFADQKQEFNKRPTKIGRRSLSRSISQSSTDSYSSAASYTDSSDDETSPRDKQQKNS
+KGNSDFCVKNIKQAEFGRREIEIAEQEMPALMALRKRAQGEKPLAGAKIVGCTHITAQTA
+VLMETLGALGAQCRWAACNIYSTLNEVAAALAESGFPVFAWKGESEDDFWWCIDRCVNVE
+GWQPNMILDDGGDLTHWIYKKYPNMFKKIKGIVEESVTGVHRLYQLSKAGKLCVPAMNVN
+DSVTKQKFDNLYCCRESILDGLKRTTDMMFGGKQVVVCGYGEVGKGCCAALKAMGSIVYV
+TEIDPICALQACMDGFRLVKLNEVIRQVDIVITCTGNKNVVTREHLDRMKNSCIVCNMGH
+SNTEIDVASLRTPELTWERVRSQVDHVIWPDGKRIILLAEGRLLNLSCSTVPTFVLSITA
+TTQALALIELYNAPEGRYKQDVYLLPKKMDEYVASLHLPTFDAHLTELTDEQAKYLGLNK
+NGPFKPNYYRY
+>sp|A0JNI4|SRR_BOVIN Serine racemase OS=Bos taurus OX=9913 GN=SRR PE=2 SV=1
+MCDQYCISFADVEKAHINIRDFIHLTPVLTSSILNQITGRNLFFKCELFQKTGSFKIRGA
+LNAIRGLISAHPEEKPRAVVAHSSGNHGQALSFAARLEGIPAYVIVPETAPNCKKLAIQA
+YGASIVYSEQSEESRENITKRIAEETEGIMVHPNQEPAVIAGQGTIAMEVLNQVPLVDAL
+VVPVGGGGMLAGIAVTVKALRPSVKVYAAEPLNADDCYQSKLKGELTPNPYPPETIADGI
+KSSIGLNTWPIIRDLVDDVFTVTEDEIKYATQLVWERMKLLIEPTAGVGVAVVLSQHFRT
+VPAEVKNICIVLSGGNVDLTSLTWVKKQDEKAAP
+>sp|P60902|S10AA_BOVIN Protein S100-A10 OS=Bos taurus OX=9913 GN=S100A10 PE=1 SV=2
+MPSQMEHAMETMMFTFHKFAGDKGYLTKEDLRVLMEKEFPGFLENQKDPLAVDKIMKDLD
+QCRDGKVGFQSFFSLIAGLTIACNDYFVVHMKQKGKK
+>sp|A7XYH9|SOBP_BOVIN Sine oculis-binding protein homolog OS=Bos taurus OX=9913 GN=SOBP PE=2 SV=1
+MAEMEKEGRPPENKRSRKPAHPVKREINEEMKNFAENTMNELLGWYGYDKVELKDGEDIE
+FRSYPTDGESRQHISVLKENSLPKPKLPEDSVISPYNISTGYSGLATGNGLSDSPAGSKD
+HGNVPIIVPLIPPPFIKPPAEDDVSNVQIMCAWCQKVGIKRYSLSMGSEVKSFCSEKCFA
+ACRRAYFKRNKARDEDGHAENFPQQHYAKETPRLAFKNNCELLVCDWCKHIRHTKEYLDF
+GDGERRLQFCSAKCLNQYKMDIFYKETQANLPAGLCSTLHPPMENKTEGTGVQLLTPDSW
+NIPLTDARRKAPSPVAAAGQSQGPGPSASTTVSPSDTANCSVTKIPTPVPKSMPISETPN
+IPPVSVQPPASIGPPLGVPPRSPPMVMTNRGPVPLPIFMEQQIMQQLRPPFIRGPPHHAS
+NPNSPLSNPMLPGIGPPPGGPRNLGPTSSPMHRPLLSPHIHPPSTPTMPGNPPGLLPPPP
+PGAPLPSLPFPPVSMMPNGPMPVPQMMNFGLPSLAPLVPPPTLLVPYPVIVPLPVPIPIP
+IPVPHVSDSKPPNGFSSNGENFIPSAPGDSSAAGGKPGGHSLSPRDSKQGSSKSADSPPG
+CSGQALSLAPAPAEHGRSEVVDLTRRAGSPPGAGGQLGFPGGVLQGPQDGVIDLTVGHRA
+RLHNVIHRALHAHVKAEREPGAAERRTCGGCRDGHCSPPAAGDPGPGAPAGPEAAAACNV
+IVNGTRGAAEGAKGAEPPPEQPPPPPPPPPAPPKKLLSPEEPAVSELESVKENNCASNCH
+LDGEAAKKLMGEEALAGGDKSDPNLNNPADEDHAYALRMLPKTGCVIQPVPKPAEKAAMA
+PCIISSPMLSAGPEDLEPPLKRRCLRIRNQNK
+>sp|Q3T0M2|SFXN4_BOVIN Sideroflexin-4 OS=Bos taurus OX=9913 GN=SFXN4 PE=2 SV=1
+MEPNVRFWITERQSFIQRFLQWTELLDPTNLVLSIEKIEKSRQLLLTNEDASRGDLEDKR
+IQEAWKRSLSTVHPDNSRLIPGPFRPAALLPFTAPTLFLSMLPVKSLKSMILPQASFYTY
+STAFNIVNGNASYDRRAHESLLLGAGVIVSSTFLGLFPRLLQVRLSMNSVLSRNFIPVII
+LAQLSGMNVIASRSLEPMRGIEVMDKEGNVIGYSRKAGTKAVKDTATSRVVLFGTSAFIP
+EVFAYFFKRTQFFLQNPWSLWTLKLSCTVLVMGLMVPVSFSVFPQIGRIQCNELEKEIQS
+ATEETELFYNRGV
+>sp|A6QPI6|TOM22_BOVIN Mitochondrial import receptor subunit TOM22 homolog OS=Bos taurus OX=9913 GN=TOMM22 PE=2 SV=1
+MAAAAAGPGAPLSADELLPKGDAEKPEEELEEEDDEELDETLSERLWGLTEMFPERVRSA
+AGATFDLSLFVAQKMYRFSRAALWIGTTSFMILVLPVVFETEKLQMEQQQQLQQRQILLG
+PNTGLSGGMPGALPSLPGKI
+>sp|P82917|RT18C_BOVIN 28S ribosomal protein S18c, mitochondrial OS=Bos taurus OX=9913 GN=MRPS18C PE=1 SV=2
+MAAVLGLCGGLGKRKFTRFPTAFVCLTNSGTRAVLWRSCSQCKQVTSSEDLPIPMENPYK
+EPLKKCILCEKRVDYKNVQLLSQFISPFTGCIYGRHITGLCGKKQREITKAIKRAQILGF
+MPVTYKDPAYLKDPKVCNIRYRE
+>sp|A7MB05|TM215_BOVIN Transmembrane protein 215 OS=Bos taurus OX=9913 GN=TMEM215 PE=2 SV=1
+MRPDDINPRTGLVVALVSVFLVFGFMFTVSGMKGETLGNIPLLAIGPAICLPGIAAIALA
+RKTEGCTKWPENELLWVRKLPCFRKPKDKEVVELLRTPSDLESGKGSSDELAKKAGLRGK
+PSLQGQGELPMASSITTPTPMEEGECQSPGQSGRREETSRYLDGYCPSGSSLTYSALDAK
+CSAWDRSEHPEPEDSIFFVPQDSIIVCSYKQNSPYDRYCCYINQSQGRWDHETIV
+>sp|Q3ZCD2|TMM54_BOVIN Transmembrane protein 54 OS=Bos taurus OX=9913 GN=TMEM54 PE=2 SV=1
+MCLRLGGLSVGDFRKVLMKTGLVLVVLGHVSFIAAALLHGTVLRYVAAPNDAVALQYCVV
+DILSVTSAIVVITSGISVIVLSRYLPSIPLRWTVFSSSVACALLSLTCALGLLASIAMTF
+ATQGRALLAACTFGGPERLALAPDCPFDPTRIYSSSLCLWGISLLFCVAESVFAVRSAQL
+AYQVLELRPWLGKSSHRMMQESPEPVEDPDLPSRTSSGPMTL
+>sp|Q2TBQ0|TFB1M_BOVIN Mitochondrial dimethyladenosine transferase 1 OS=Bos taurus OX=9913 GN=TFB1M PE=2 SV=2
+MASSRTLGTFRLPPLPTIREIIKLFRLQAVKQLSQNFLLDLRLTDKIVRKAGNLTNAYVY
+EVGPGPGGITRSILNAGVAELLVVEKDSRFIPGLQMLSDAAPGKLRIVHGDVLTFKIERA
+FPESLKRQWEDDPPNVHIIGNLPFSVSTPLIIKWLENVSQRNGPFAYGRTRMMLTFQKEV
+AERLTATTGSKQRSRLSIMAQYLCDVQHILTIPGQAFVPKPEVDSGVVHFTPLTRPRIKQ
+PFKLVEKVVQNAFQFRRKYCHRGLGMLFPEARRLESTGKLLELADVDPTLRPTQLTVSHF
+KSLCDVYRKMCDEDPHLFAYNFREELRQKSKKEDDKQSCRL
+>sp|O18875|SC6A8_BOVIN Sodium- and chloride-dependent creatine transporter 1 OS=Bos taurus OX=9913 GN=SLC6A8 PE=2 SV=1
+MANKSTENGIYSVSGEEKKGPLIAPGPDGAPAKGDGPAALGAPGSLLAVPPRETWTRQMD
+FIMSCVGFAVGLGNVWRFPYLCYKNGGGVFLIPYILIALIGGIPIFFLEISLGQFMKAGS
+INVWNICPLFKGLGYASMVIVFYCNTYYIMVLAWGFYYLVKSFTTTLPWATCGHTWNTPD
+CVEIFRHEDCANATMANLTCDQLADRRSPVIEFWENKVLRLSEGLEVPGALNWEVTLCLL
+TCWVLVYFCVWKGVKSTGKIVYFTATFPYVVLVVLLVRGVLLPGALDGIIYYLKPDWSKL
+ASPQVWIDAGTQIFFSYAIGLGALTALGSYNRFNNNCYKDAIILALINSGTSFFAGFVVF
+SILGFMATEQGVHISKVAESGPGLAFIAYPRAVTLMPVAPLWAALFFFMLLLLGLDSQFV
+GVEGFITGLLDLLPASYYFRFQREISVALCCTICFVIDLSMVTDGGMYVFQLFDYYSASG
+TTLLWQAFWECVVVAWVYGADRFMDDVACMIGYRPCPWMKWCWSFFTPLVCMGIFIFNVV
+YHEPLVYNNTYVYPWWGEAVGWAFALSSMLCVPLHLLGCLLRAKGTMAERWQHLTQPIWG
+LHHLEYRAQDSDVRGLTTLTPVSESSKVVVVESVM
+>sp|Q9MYW0|TRPC6_BOVIN Short transient receptor potential channel 6 OS=Bos taurus OX=9913 GN=TRPC6 PE=2 SV=2
+MNQSPAAFGPRRGGSPAVVAGAGARRNESQDYLLMDSELGDDAQPPLPAYGYYPCLRGTD
+SRLTHRRQTVLREKGRRLANRGPAYMFNDHSTSLSIEEERFLDAAEYGNIPVVRKMLEEC
+LSLNVNCVDYMGQNALQLAVANEHLEITELLLKKENLSRVGDALLLAISKGYVRIVEAIL
+SHPAFAEGKRLATSPSQSELQQDDFYAYDEDGTRFSHDVTPIILAAHCQEYEIVHTLLRK
+GARIERPHDYFCKCSECNQKQKHDSFSHSRSRINAYKGLASPAYLSLSSEDPVMTALELS
+NELAVLANIEKEFKNDYKKLSMQCKDFVVGLLDLCRNTEEVEAILNGDVEIHHSGGDHYR
+PNLSRLKLAIKYDVKKFVAHPNCQQQLLSIWYENLSGLRQQTMAVKFLVVLAVAVGLPFL
+ALVYWFAPCSKMGKIMRGPFMKFVAHAASFTIFLGLLVMNAADRFEGTKILPNETSTDHA
+KQLFRMKTSCFSWMEMLIISWVIGMIWAECKEIWTQGPKEYLFELWNMLDFGMLAIFAAS
+FIARFMAFWHASKAQSIIDANDTLKDLTKVTLGDNVKYYNLARIKWDPSDPQIISEGLYA
+IAVVLSFSRIAYILPANESFGPLQISLGRTVKDIFKFMVIFIMVFVAFMIGMFNLYSYYI
+GAKQNEAFTTVEESFKTLFWAIFGLSEVKSVVINYNHKFIENIGYVLYGVYNVTMVIVLL
+NMLIAMINSSFQEIEDDADVEWKFARAKLWFSYFEEGRTLPVPFNLVPSPKSLFYLLLRL
+KKWISELFQGHKKGFQEDAEMNKRNEGKKFGILGSHEDLSKLSLDRKQFAHSKQSSIRSS
+EDFQLNSFTNPPRQYQKIMKRLIKRYVLQAQIDKESDEVNEGELKEIKQDISSLRYELLE
+EKTQNSEDLAELIRKLGEKLSMEPNQEESNR
+>sp|Q2YDM5|TEPP_BOVIN Testis, prostate and placenta-expressed protein OS=Bos taurus OX=9913 GN=TEPP PE=2 SV=1
+MARIIDLVPWEDGSTHVYASPAILLPIPRRRNQLAGVKQQLYHPALPSLRRMDMDSVKAC
+LSDEHCQSTTYCRKDDFDNAYFTLLGVPNKPLQCLDITETGQRLRNRYHEGKLAPIAPGI
+NRVDWPCFTRAIEDWSRFVSSAGEFKLPCASKKVLS
+>sp|P18341|TGFB1_BOVIN Transforming growth factor beta-1 proprotein OS=Bos taurus OX=9913 GN=TGFB1 PE=1 SV=2
+MPPSGLRLLPLLLPLLWLLMLTPGRPVAGLSTCKTIDMELVKRKRIEAIRGQILSKLRLA
+SPPSQGDVPPGPLPEAILALYNSTRDRVAGESAETEPEPEADYYAKEVTRVLMVEYGNKI
+YDKMKSSSHSIYMFFNTSELREAVPEPVLLSRADVRLLRLKLKVEQHVELYQKYSNNSWR
+YLSNRLLAPSDSPEWLSFDVTGVVRQWLTRREEIEGFRLSAHCSCDSKDNTLQVDINGFS
+SGRRGDLATIHGMNRPFLLLMATPLERAQHLHSSRHRRALDTNYCFSSTEKNCCVRQLYI
+DFRKDLGWKWIHEPKGYHANFCLGPCPYIWSLDTQYSKVLALYNQHNPGASAAPCCVPQA
+LEPLPIVYYVGRKPKVEQLSNMIVRSCKCS
+>sp|A2VDL8|SC23A_BOVIN Protein transport protein Sec23A OS=Bos taurus OX=9913 GN=SEC23A PE=2 SV=1
+MTTYLEFIQQNEERDGVRFSWNVWPSSRLEATRMVVPVAALFTPLKERPDLPPIQYEPVL
+CSRTTCRAVLNPLCQVDYRAKLWACNFCYQRNQFPPTYAGISELNQPAELLPQFSSIEYV
+VLRGPQMPLIFLYVVDTCMEDEDLQALKESMQMSLSLLPPTALVGLITFGRMVQVHELGC
+EGISKSYVFRGTKDLSAKQLQEMLGLSKVPVTQATRGPQVQQPPPSNRFLQPVQKIDMNL
+TDLLGELQRDPWPVPQGKRPLRSSGVALSIAVGLLECTFPNTGARIMMFIGGPATQGPGM
+VVGDELKTPIRSWHDIEKDNAKYVKKGTKHFEALANRAATTGHVIDIYACALDQTGLLEM
+KCCPNLTGGYMVMGDSFNTSLFKQTFQRVFTKDMHGQFKMGFGGTLEIKTSREIKISGAI
+GPCVSLNSKGPCVSENEIGTGGTCQWKICGLSPTTTLAIYFEVVNQHNAPIPQGGRGAIQ
+FVTQYQHSSGQRRIRVTTIARNWADAQTQIQNIAASFDQEAAAILMARLAIYRAETEEGP
+DVLRWLDRQLIRLCQKFGEYHKDDPSSFRFSETFSLYPQFMFHLRRSPFLQVFNNSPDES
+SYYRHHFMRQDLTQSLIMIQPILYAYSFSGPPEPVLLDSSSILADRILLMDTFFQILIYH
+GETIAQWRKSGYQDMPEYENFRHLLQAPVDDAQEILHSRFPMPRYIDTEHGGSQARFLLS
+KVNPSQTHNNMYAWGQESGAPILTDDVSLQVFMDHLKKTCCVKCCLMC
+>sp|Q3SZ89|TECRL_BOVIN Trans-2,3-enoyl-CoA reductase-like OS=Bos taurus OX=9913 GN=TECRL PE=2 SV=1
+MFKRHKSLASERRRELISRGATRSILKDDMKNFHFLSQFILSAGPLKSTSAVKHSKTIHF
+EIEILDAQTKKQICIVDKVTQKSTIHDVKQKFHKACPQWYPSRVGLQLERGGPFLKDNLT
+IQSVAASSIVTLYFTDLGQQVSWTTVFLAEYTGPLLIYLLFYLRIPYIYNMKESSRRLCH
+PVVHLACFCHCIHYIRYLLETLFVHKVSSGHTSLKNLLKSCAFYWGFTSWIAYYINHPRY
+TPPSFGYRQVAISAINFLICEAGNHFINVVLSHPSHTGNNACFPSPNYNPFTWMFFLVSC
+PNYTYEIGSWISFTIMTQTLPVGIFTLLMSIQMSLWAKKKHKIYLKKFSSYMHRKSAMIP
+FIL
+>sp|Q3ZC10|SMD1_BOVIN Small nuclear ribonucleoprotein Sm D1 OS=Bos taurus OX=9913 GN=SNRPD1 PE=3 SV=1
+MKLVRFLMKLSHETVTIELKNGTQVHGTITGVDVSMNTHLKAVKMTLKNREPVQLETLSI
+RGNNIRYFILPDSLPLDTLLVDVEPKVKSKKREAVAGRGRGRGRGRGRGRGRGRGGPRR
+>sp|Q3SZ52|UB2V1_BOVIN Ubiquitin-conjugating enzyme E2 variant 1 OS=Bos taurus OX=9913 GN=UBE2V1 PE=2 SV=1
+MAATTGSGVKVPRNFRLLEELEEGQKGVGDGTVSWGLEDDEDMTLTRWTGMIIGPPRTIY
+ENRIYSLKIECGPKYPEAPPFVRFVTKINMNGVNSSNGVVDPRAISVLAKWQNSYSIKVV
+LQELRRLMMSKENMKLPQPPEGQCYSN
+>sp|Q2KJ28|PGPS1_BOVIN CDP-diacylglycerol--glycerol-3-phosphate 3-phosphatidyltransferase, mitochondrial OS=Bos taurus OX=9913 GN=PGS1 PE=2 SV=2
+MAAAAAAAAGPVFWRRLLGLLPGRPGLAALLGRLSDRLGRNPDRRRRRSPWLLLAPLLSP
+AVPVVTSPPCCLCAEGVHRFQWIRNLVPEFGVSSSHVRVLSSPAEFFELMKGQIKVAKRR
+VVMASLYLGIGPLEQELVDCLESTLEKSLQAKFPSGLRVSILLDFTRGSRGRKNSRTMLL
+PLLQRFPEQVRVSLFHTPNLRGLLRLLIPERFNETIGLQHIKVYLFDNNVILSGANLSDS
+YFTNRQDRYVFLQDCPEIADFFTELVDAVGDVSLQLQGDDTVQMVEGMVHPYKGDRAAYC
+RAANKRVMDVINSARMRQQMLHAQTFHSDPLLTQEDAAAAGDRRPAPDTWIYPLIQMKPF
+EIQIDEIVTETLLTEAERGAKVYLTTGYFNLTQAYMDLVLGTRAEYQILLASPEVNGFFG
+AKGVAGAIPAAYVHIERQFYSEVCSLGQQERVQLQEYWRRDWTFHAKGLWLYLAGSSLPC
+LTLIGSPNFGYRSVHRDLEAQIAIVTESRALQQQLHQEQEQLYRRAGVVSSATFEQPSRQ
+VKLWVKMVTPLIKNFF
+>sp|Q17QR6|MOT13_BOVIN Monocarboxylate transporter 13 OS=Bos taurus OX=9913 GN=SLC16A13 PE=2 SV=1
+MAYRAEPPDGGWGWMVVLSAFFQSALVFGVLRSFGVFFVEFVAAFEEPAARVSWIASIGI
+AVQQFGSPVGSALSTKFGPRPAVMTGGILTALGMLLASFATSLTHLYLSIGLLSGSGWAL
+TFTPTLACLSRYFSRRRSLAMGLALTGVGLSSFAFAPLFQWLLNHYAWRGALLLVSALSL
+HLVACGALLRPLSLAEDPVVGGPGAQITSLLRHGPFLRYTVALTLINTGYFIPYVHLVAH
+LRDLGWDPLPAAFLLSVAAISDLVGRVASGWLGDAVPGPVARLLMLWTTLTGVILALYPV
+AEAPTGLVALTMAYGFTSGALTPVAFSVLPELVGTGKIYCGLGLVQMVESIGGLLGAPLS
+GYLRDVTGNYTASFVVAGAFLLAGSGVLITLPHFFCFSAPTSKPQDLVTEALDTKVPLPE
+EGLGED
+>sp|Q3T093|NECP1_BOVIN Adaptin ear-binding coat-associated protein 1 OS=Bos taurus OX=9913 GN=NECAP1 PE=2 SV=1
+MASELEYESVLCVKPDVSVYRIPPRASNRGYRASDWKLDQPDWTGRLRITSKGKVAYIKL
+EDKVSGELFAQAPVEQYPGIAVETVTDSSRYFVIRIQDGTGRSAFIGIGFSDRGDAFDFN
+VSLQDHFKWVKQESEISKESQEMDSRPKLDLGFKEGQTIKLSIGNITTKKGGTSKPKTAG
+TGGLSLLPPPPGGKVTIPPPSSSVAISNHVTPPPIPKSNHGGSDADILLDLDSPAPITTP
+APAPVSASNDLWGDFSTASSSVPNQAPQPSNWVQF
+>sp|Q32LF0|NPT3_BOVIN Sodium-dependent phosphate transport protein 3 OS=Bos taurus OX=9913 GN=SLC17A2 PE=2 SV=1
+MDEKPSTRKSPEFCSLRYGLALIMHFSNFTMITQRVSLSIAIIAMVNRTQQPGLFNTSTE
+RPLAFTFNHSNRSIKEFNTAEVSVYEWSPETQGIIFSSINYGIILTLIPSGYLAGIFGAK
+QMLGAGLLISSLLTLFTPLTADFGVILVIVIRTVQGMAQGMAWTGQFTIWAKWAPPLERS
+KLTSIAGSGAAFGSFIILCVGGLISQALGWPFIFYIFGSIGCVCCLLWFTVIYDDPLHHP
+CISIREKEHIVSSLAQQSSSPRRSVPIKSMVRCLPLWAIFMGFFSHFWLCTIIITYLPTY
+ISSVLHVNIRDSGVLSSLPFIAAASCTILGGQLADFLLSRNLLRLITVRKLFSSLGLLLP
+SLCAVALPFVASSYTTTIILLILIPGTSNLCDSGFIINTLDVAPRYASFLMGISRGFGLI
+AGIISSTATGFLISQDSVSGWRNVFFLAAAVNMFGLVFYLTFGQAEIQHWAKERTLTRL
+>sp|Q58DV0|PELO_BOVIN Protein pelota homolog OS=Bos taurus OX=9913 GN=PELO PE=2 SV=2
+MKLVRKDIEKDNAGQVTLVPEEPEDMWHTYNLVQVGDSLRASTIRKVQTESSTGSVGSNR
+VRTTLTLCVEAIDFDSQACQLRVKGTNIQENEYVKMGAYHTIELEPNRQFTLAKKQWDSV
+VLERIEQACDPAWSADVAAVVMQEGLAHICLVTPSMTLTRAKVEVNIPRKRKGNCSQHDR
+ALERFYEQVVQAIQRHIHFDVVKCVLVASPGFVREQFCDYMFQQAVKTDNKVLLENRSKF
+LQVHASSGHKYSLKEALCDPTVASRLSDTKAAGEVKALDDFYKMLQHEPDRAFYGLKQVE
+KANEAMAIDTLLISDELFRHQDVATRSRYVRLVDSVKENAGTVRIFSSLHVSGEQLSQLT
+GIAAILRFPVPELSDQENDSSSEED
+>sp|Q32KL2|PSB5_BOVIN Proteasome subunit beta type-5 OS=Bos taurus OX=9913 GN=PSMB5 PE=1 SV=1
+MALASVLERPLSVNRRGFFGLGGRADLLDLGPGSPSDGLSLAAPSWGVPEEPRIEILHGT
+TTLAFKFRHGVIVAADSRATAGAYIASQTVKKVIEINPYLLGTMAGGAADCSFWERLLAR
+QCRIYELRNKERISVAAASKLLANMVYQYKGMGLSMGTMICGWDKRGPGLYYVDSEGNRI
+SGATFSVGSGSVYAYGVMDRGYSYDLEVEEAYDLARRAIYQATYRDAYSGGSVSLYHVRE
+DGWIRVSSDNVADLHDKYSGSTH
+>sp|Q3MHE4|MSH2_BOVIN DNA mismatch repair protein Msh2 OS=Bos taurus OX=9913 GN=MSH2 PE=2 SV=1
+MAVQPKDTLQLDSAAEVGFVRFFQGMPEKPTTTVRLFDRGDFYTAHREDALLAAREVFKT
+QGVVKYMGPAGAKTLESVVLSKMNFESFVKDLLLVRQYRVEVYKNRAGNKASKENDWYLA
+FKASPGNLSQFEDILFGNNDMSASIGVVGVKMSTVDGQRQVGVGYVDSTQRKLGLCEFPD
+NDQFSNLEALLIQIGPKECVMPGGETAGDMGKLRQVIQRGGILITERKRADFSTKDIYQD
+LNRLLKGKKGEQVNSAVLPEMENQVAVSSLSAVIKFLELLSDDSNFGQFELTTFDFSQYM
+KLDIAAVRALNLFQGSVEDTSGSQSLAALLNKCKTPQGQRLVNQWIKQPLMDKNRIEERL
+NLVEAFVEDAELRQNLQEDLLRRFPDLNRLAKKFQRQAANLQDCYRLYQGINQLPNVIQA
+LEKYEGKHQALFLAVFVTPLIDLRSDFSKFQEMIETTLDMDQVENHEFLVKPSFDPNLSE
+LREIMDDLEKKMQSTLVSAARDLGLDPGKQIKLDSSTQFGYYFRVTCKEEKVLRNNKNFS
+TVDIQKNGVKFTNSKLTSLNEEYTKNKTEYEEAQNAIVKEIVNISSGYVEPMQTLNDVLA
+QLDAVVSFAHVSDAAPVPYVRPVILEKGRGRITLKASRHACVEVQDEVAFIPNDVHFEKD
+KQMFHIITGPNMGGKSTYIRQTGVVVLMAQIGCFVPCEWAEVSIVDCILARVGAGDSQLK
+GVSTFMAEMLETASILRSATKDSLIIIDELGRGTSTYDGFGLAWAISEYIATKIGAFCMF
+ATHFHELTALANQIPTVNNLHVTALTTEETLTMLYQVKKGVCDQSFGIHVAELANFPRHV
+IECAKQKALELEEFQNIGKPQECDEMEPAAKRCYLEREQGEKIIQEFLSKVKQVPFTEMS
+EESITRKLKQLKAEVIAKNNSFVNEIISRIKVTA
+>sp|Q1RMU8|NPY1R_BOVIN Neuropeptide Y receptor type 1 OS=Bos taurus OX=9913 GN=NPY1R PE=2 SV=1
+MNSTSFSQVENHSIYYNFSEKNSRFLAFENDDCHLPLAMIFTLALAYGAVIILGVSGNLA
+LIIIILKQKEMRNVTNILIVNLSFSDLLVAIMCLPFTFVYTLMDHWVFGEAMCKLNPFVQ
+CVSITVSIFSLVLIAVERHQLIINPRGWRPNNRHAYVGIAVIWVLAVASSLPFLIYQVLT
+DEPFQNVTLDAFKDKYVCFDKFPSDSHRLSYTTLLLVLQYFGPLCFIFICYFKIYVRLKR
+RNSMMDKMRDNKYRSSEAKRINIMLLSIVVAFAVCWLPLTIFNTVFDWDHQIIATCNHNL
+LFLLCHLTAMISTCVNPIFYGFLNKNFQRDLQFFFSFCDFRSRDDDYETIAMSTMHTDVS
+KTSLKQASPVALKKIHTDDNEKI
+>sp|Q9BGI7|OPSR_BOVIN Long-wave-sensitive opsin 1 OS=Bos taurus OX=9913 GN=OPN1LW PE=1 SV=1
+MAHAWGPQRLAGGQPQANFEESTQGSIFTYTNSNSTRDPFEGPNYHIAPRWVYHLTSAWM
+VFVVIASVFTNGLVLAATMRFKKLRHPLNWILVNLAIADLAETIIASTISVVNQMYGYFV
+LGHPLCVVEGYTVSLCGITGLWSLAIISWERWMVVCKPFGNVRFDAKLAITGIAFSWIWA
+AVWTAPPIFGWSRYWPHGLKTSCGPDVFSGSSYPGVQSYMIVLMITCCFIPLSVIILCYL
+QVWLAIRAVAKQQKESESTQKAEKEVTRMVMVMIFAYCLCWGPYTFFACFAAAHPGYAFH
+PLVAALPAYFAKSATIYNPIIYVFMNRQFRNCILQLFGKKVDDSSELSSVSKTEASSVSS
+VSPA
+>sp|Q3SZE3|PLPP3_BOVIN Phospholipid phosphatase 3 OS=Bos taurus OX=9913 GN=PLPP3 PE=2 SV=1
+MQNYKYDKAIVAESKNGGSPALNNNPRKGGSKRVLLICLDLFCLFMAGLPFIIIETSTIK
+PYHRGFYCNDESIKYPQKTGETINDAVLTAVGIVIAILAIITGEFYRIYYLKEKSRSTIQ
+NPYVAALYKQVGCFLFGCAISQSFTDIAKVSIGRLRPHFLNVCNPDFSQINCSVGYIQNY
+RCRGEDSKVQEARKSFFSGHASFSMYTMLYLVLYLQARFTWRGARLLRPLLQFTLIMMAF
+YTGLSRVSDHKHHPSDVLAGFAQGALVACCIVFFVSDLFKTKTTLSLPPSAIRKDMLSPV
+DIDRSNHHNMV
+>sp|Q3T0X7|NSE1_BOVIN Non-structural maintenance of chromosomes element 1 homolog OS=Bos taurus OX=9913 GN=NSMCE1 PE=2 SV=2
+MQGNTRRTGVMTDVHRRFLQLLMTHGVLEECDVKRLQKHCYKVHDCNATVEKLEDFINTI
+NSVLESLYIEIKKGVTEDDGRPIYALVNLATTSVSKMASDFAENELDLFRKALELIIDSD
+TGFASSTNILNLVDQLKGKKMRKKEAEHVLQKFVQNKWLIEKEGEFTLHGRAILEMDQYI
+RETYPDAVKVCNICRSLLIQGQSCETCGIRMHLPCVAKYFQSSSEPHCPHCNDYWPHEVP
+EVFDPEKERETGMSRSNKRPSRSRQH
+>sp|O77834|PRDX6_BOVIN Peroxiredoxin-6 OS=Bos taurus OX=9913 GN=PRDX6 PE=1 SV=3
+MPGGLLLGDEAPNFEANTTIGRIRFHDYLGDSWGILFSHPRDFTPVCTTELGRAAKLAPE
+FAKRNVKMIALSIDSVEDHLAWSKDINAYNGEEPTEKLPFPIIDDKNRDLAIQLGMLDPA
+EKDEKGMPVTARVVFIFGPDKKLKLSILYPATTGRNFDEILRVIISLQLTAEKRVATPVD
+WKNGDSVMVLPTIPEEEAKKLFPKGVFTKELPSGKKYLRYTPQP
+>sp|Q1RMM5|NP1L5_BOVIN Nucleosome assembly protein 1-like 5 OS=Bos taurus OX=9913 GN=NAP1L5 PE=2 SV=1
+MADSQNQGSAEPSQAAAAAAAADAAAAAEEVMAEGGAQGGDSDSASSDSDGVVGQMAEEP
+QTPAENAPKPRNDFIESLPNSVKCRVLALKKLQKRCDKIEAKFDKEFQALEKKYNDIYKP
+LLAKIQELTGEMEGCAWTLEGDEEDDDDDEYEDEEEGEEEDEEEEEPAAEAAGTAAAKDE
+GPHSAVPDDAKK
+>sp|Q29626|PTGIS_BOVIN Prostacyclin synthase OS=Bos taurus OX=9913 GN=PTGIS PE=1 SV=1
+MSWAVVFGLLAALLLLLLLTRRRTRRPGEPPLDLGSIPWLGHALEFGKDAAGFLTRMKEK
+HGDIFTVLVGGRHVTVLLDPHSYDAVVWEPRSRLDFHAYAVFLMERIFDVQLPHYNPGDE
+KSKMKPTLLHKELQALTDAMYTNLRTVLLGDTVEAGSGWHEMGLLEFSYGFLLRAGYLTQ
+YGVEAPPHTQESQAQDRVHSADVFHTFRQLDLLLPKLARGSLSAGDKDRVGKVKGRLWKL
+LSPTRLASRAHRSRWLESYLLHLEEMGVSEEMQARALVLQLWATQGNMGPAAFWLLLFLL
+KNPEALAAVRGELETVLLGAEQPISQMTTLPQKVLDSMPVLDSVLSESLRLTAAPFITRE
+VVADLALPMADGREFSLRRGDRLLLFPFLSPQKDPEIYTDPEVFKYNRFLNPDGSEKKDF
+YKDGKRLKNYSLPWGAGHNQCLGKGYAVNSIKQFVFLVLTQFDLELITPDVDIPEFDLSR
+YGFGLMQPEHDVPVRYRIRP
+>sp|F1N4M2|MRFL_BOVIN Myelin regulatory factor-like protein OS=Bos taurus OX=9913 GN=MYRFL PE=2 SV=1
+MDVVGENEALQQFFEAQGANGTLGNPTLDTSLLEEFLGNDFDLGALQGQLPDTPPYSASD
+SCSPPQVKGACCPTLTPAARGTPAALLHSANAPGILPAHQSHSSSEMSNSGLPHRTFHNC
+YPDASHLMSPLDQSVSGGIGCSYHQQPLCHSPGVSLPPTKKRKCTQVLEDSGDCHVWTHH
+SRPMTGRSHSIEVQDLDSERQNMMLADQPSPALKWQPYRSVPWHSLLNSQYEKLPDVGYR
+VVTDKGFNFSPADEAFVCQKKNHFQITIHIQVWGSPKFVKTQMGLKPIEMFYLKPFGVKV
+EATNQIIAIEQSQADRSKKIFNPIKINLLADQVTKVTLGRLHFSETTANNMRKKGKPNPD
+QRYFMLVVGLYAANQDQFYLLSAHISERIIVRASNPGQFENDIDALWQRGQVPESVVCHG
+RVGINTDAPDEALVVCGNMKVMGTIMHPSDSRAKQNVQEVDTNEQLRRIAQMRIVEYDYK
+PEFASAMGINTAHQTGMIAQEVREILPRAVREVGDVTCENGETLENFLMVDKDQIFMENV
+GAVKQLCKLTNNLEERIEELEIWNRKLARLKRLSSSKSSASEASSISKFSRALSASSPKR
+TISKKNSKVSFSGKKQSCPNWVFQSLVITLIAVMAFCLKDQDRCTPSAPSSNLTSSQEPA
+LPSTASPSAPNTTLATTLPSFQVPEITFCEILPCQQTYCCPIWGTRRGLSSPMQRQLEEQ
+EPHQGPWAGRSTSFLAAEAQTNVDWRSDWIDTTISSIQIMEIQQIIDRRYCSRSLQCGSG
+NYYYHIPVNKYTPTNVKFSLEINTTEPLIVFQCKFTLGNMCFRSKIEAKGMESQQEVSQE
+MTQGYQHIWSLPVAPLFDSGYHFRVAAPDLADCSTDPYFAGKFFTDYFFYFYRHCV
+>sp|Q02371|NDUA3_BOVIN NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 3 OS=Bos taurus OX=9913 GN=NDUFA3 PE=1 SV=2
+MAERVAAFLKNVWAKEPVLVASFAIAGLAVILPTLSPYTKYSLMINRATPYNYPVPLRDD
+GNMPDVPSHPQDPQGPSLEWLKRL
+>sp|Q2T9U2|ODFP2_BOVIN Outer dense fiber protein 2 OS=Bos taurus OX=9913 GN=ODF2 PE=2 SV=1
+MSASSSGGSPRFPSCGKNGVTSLTQKKVLRTPCGAPSVTVTKSHKRGMKGDTVNVRRSVR
+VKTKVPWMPPGKSSARHVGCNWENPPHCLEITPPSSEKLVSVMRLSDLSTEDDDSGHCKM
+NRYDKKIDSLMNAVGCLKSEVKMQKGERQMAKRFLEERKEELEEVAQELAETEHENTVLR
+HNIERIKEEKDYTMLQKKHLQQEKECLMSKLVEAEMDGAAAAKQVMALKDTIGKLKSEKQ
+MTCSDINTLTRQKELLLQKLSTFEETNRTLRDLLREQHCKEDSERLMEQQGTLLKRLAEA
+DSEKARLLLLLQDKDKEVEELLQEIQCEKAQAKTASELSKSMETMRGHLQAQLRCKEAEN
+SRLCMQIKNLERSGNQHKAEVEAIMEQLKELKQKGERDKESLKKAIRAQKERAEKSEEYA
+EQLHVQLADKDLYVAEALSTLESWRSRYNQVVKDKGDLELEIIVLNDRVTDLVNQQQTLE
+EKMREDRDSLVERLHRQTAEYSAFKLENERLKASFAPMEDKLNQAHIEVQQLKASVKNYE
+GMIDNYKSQVMKTRLEADEVAAQLERCDKENKILKDEMNKEIEAARRQFQSQLADLQQLP
+DILKITEAKLAECQDQLQGYERKNIDLTAIISDLRSRVRDWQKGSHELARAGARLPR
+>sp|A5D7P0|MPIP3_BOVIN M-phase inducer phosphatase 3 OS=Bos taurus OX=9913 GN=CDC25C PE=2 SV=1
+MSAEFFSSKREEGSLASGPSFRSNQRKILNLLLERDASFSISSDLPTTPVEKKLFGDSAN
+LSILSGGTPKRCLDLSNLSSGEMSATQLTASADLDETGHLESTGPEQVRLAGMNYRQHLI
+KCSPAQLFCSTPNALEHGRRKKDAICGSSANKENDNGNLVENEMKHLGSPITTVSKLHKN
+PELAEDQAEEISDELMEFSLEDQEKAKPPLNWSSLYRSSSLPDSLNSPSLKQVVKFKDST
+IPDKLKKKYCSNQKELGKGLGLKKMVSLCDINMTQMLEEDSNQGPLIGDFSKVCALPTVS
+GKHQDLKYVNPETVAALLSGEFQGLIEKFYIIDCRYPYEYLGGHIQGALNLHSQEELYNF
+FLKKPIVPWDNQKRIVIVFHCEFSSERGPRMCRSLREEDRTLNQYPALYYPELYILKGGY
+RDFFPEYMELCEPQSYCPMHHQDHKAELLRCRNQSKAWEGERQLQEQIALLVKDVSP
+>sp|Q58D63|MOB3A_BOVIN MOB kinase activator 3A OS=Bos taurus OX=9913 GN=MOB3A PE=2 SV=2
+MSNPFLKQVFNKDKTFRPKRKFEPGTQRFELHKKAQASLNAGLDLKLAVQLPAGEELNDW
+VAVHVVDFFNRVNLIYGTISDGCTERSCPIMSGGPKYEYRWQDENKFRRPTALSAPRYMD
+LLMDWIEVQINNEDVFPTNVGTPFPKNFLQVVKKILSRLFRVFVHVYIHHFDRIAQLGSE
+AHVNTCYKHFYYFVTEFGLIDTKELEPLKEMTARMCH
+>sp|A6QPY8|PIN4_BOVIN Peptidyl-prolyl cis-trans isomerase NIMA-interacting 4 OS=Bos taurus OX=9913 GN=PIN4 PE=2 SV=1
+MPPKGKSGSGKGGKGKAASGSESSEKKAQGPKGGGNAVKVRHILCEKHGKILEAMEKLKS
+GMKFNEVAAQYSEDKARQGGDLGWMTRGSMVGPFQEAAFALPISVLDKPVFTDPPVKTKF
+GYHIIMVEGRK
+>sp|Q3T124|OSTA_BOVIN Organic solute transporter subunit alpha OS=Bos taurus OX=9913 GN=SLC51A PE=2 SV=1
+MEPDRTQIRLDPRYTADLLEILKTNYSVPSACFSYPPTAAQLLRALGPVDISLMVIMTLF
+VLGSIAIFLEAAVYLHKNTRCPIKRKTLIWCSSSPTIVSAFSCFGLWIPRALTLVEMAIT
+TFYSMCFYLLMQAMVEGFGGKEAVLRTLKDTPVMIHTGPCCCCCPCCPRIKITRKRLQLL
+LLGPIQYAFFKISLTLVGLFLIPDGIFDPSDISEGSTALWINTFLGVSTLSALWTIGIIF
+RQARLHLGEQNIGAKFVLFQALLILSALQPSIFSVLASGGQIACSPPFSSKIRSQVMNCH
+LLILESFLITVLTRIYYRRKDDKLGYEPFSSPDQDLNLKA
+>sp|Q58CT4|MF13A_BOVIN Transmembrane protein 180 OS=Bos taurus OX=9913 GN=MFSD13A PE=2 SV=1
+MRLGGPWAWLLGLPTAVVYGSLALFVSVLHNVFLLYYVDTFVSVYKIDKAAFWVGETVFL
+LWNSLNDPLFGWLSDRQFLSSQPRSGAGLSSRAVVLARVRALGWHGPLLALSFLAFWVPW
+APAGLQFLLCLCLYDGFLTLVDLHHHALLADLALSAHDRTHLNFYCSLFSAAGSLSVFAS
+YAFWNKEDFSSFRAFCLALATGSGLGFVGAARLLRRRVEAAGREPGCPAMAVNDGLCEEE
+LLVGGEEAGSITLGQYLQQLARHRNFLWFVGMDLVQVFHCHFNSNFFPLFLEHLLSDHIS
+LSTGSFLLGISYVAPHLNNLYFLPLCRRWGVYAVVRGLFLLKLGLSLLMLLAGPDHPGLL
+CLFIASNRVFTEGTCKLLTLVVTDLVDEDLVLNHRKQAASALLFGMVALVTKPGQTFAPL
+LGTWLLCFYTGHDLFQQHPPAPVGSAQPWPEPPAPPPAQAPPLRQGCFYLLVLVPIACAL
+LQLFTWSQFTLHGRRLHMVKAQRQSLSRAQTLDVKMV
+>sp|P15696|IFNT1_BOVIN Interferon tau-1 OS=Bos taurus OX=9913 GN=IFNT1 PE=2 SV=2
+MAFVLSLLMALVLVSYGPGRSLGCYLSEDHMLGARENLRLLARMNRLSPHPCLQDRKDFG
+LPQEMVEGNQLQKDQAISVLHEMLQQCFNLFYTEHSSAAWNTTLLEQLCTGLQQQLEDLD
+ACLGPVMGEKDSDMGRMGPILTVKKYFQGIHVYLKEKEYSDCAWEIIRVEMMRALSSSTT
+LQKRLRKMGGDLNSL
+>sp|Q59HJ6|LONM_BOVIN Lon protease homolog, mitochondrial OS=Bos taurus OX=9913 GN=LONP1 PE=1 SV=1
+MAGGTGCVRLWGAARCWTLRRPLLAAAGGRVPTAARAWLPRGRRACDASPPWALWGQSPA
+AAGHWRGLWEANNRSGGGAFSGGEDASEGGAEDGASGVGGSAGGGEGPVITALTPMMIPD
+VFPHLPLIAVTRNPVFPRFIKIVEVKNKKLVELLRRKVHLAQPYAGVFLKKDDNNESDVV
+ENLDEIYHTGTFVQIHEMQDLGDKLRMIVMGHRRVHINRQLEVEPEEPEGENKQKLRKKP
+KRGKKEAEEDGATKRPLEVVVGPGPSPAGEVLMVEVENVAHEDFQVTEEVKALTAEIVKT
+IRDIIALNPLYRESVLQMMQAGHRVVDNPIYLSDMGAALTGAESHELQEVLEETNIPKRL
+YKALSLLKKEFELSKLQQRLGREVEEKIKQTHRKYLLQEQLKIIKKELGLEKDDKDAIEE
+KFRERLKELVVPKHVMDVVDEELSKLGLLDNHSSEFNVTRNYLDWLTSIPWGKHSDENLD
+LARAQAVLEEDHYGMEDVKKRILEFIAVSQLRGSTQGKILCFYGPPGVGKTSIARSIARA
+LNREYFRFSVGGMTDVAEIKGHRRTYVGAMPGKIIQCLKKTKTENPLVLIDEVDKIGRGY
+QGDPSSALLELLDPEQNANFLDHYLDVPVDLSKVLFICTANITETIPEPLRDRMEMINVS
+GYVAQEKLAIAERYLVPQARALCGLDESKAKLSSDVLTLLIKQYCRESGVRNLQKQVEKV
+LRKSAYKIVSGEAEFVEVTPENLQDFVGKPVFTVERMYDVTPPGVVMGLAWTAMGGSTLF
+VETSLRRPRDRDSDKGDKDGSLEVTGQLGEVMKESARIAYTFARAFLMQHDSANKFLVTS
+HIHLHVPEGATPKDGPSAGCTIVTALLSLALDRPVRQNLAMTGEVSLTGKVLPVGGIKEK
+TIAAKRAGVTCIVLPAENKKDFYDLAAFITEGLEVHFVEHYREIFDIAFPEERAEALAVE
+R
+>sp|Q0VCP1|KAD4_BOVIN Adenylate kinase 4, mitochondrial OS=Bos taurus OX=9913 GN=AK4 PE=2 SV=1
+MASKLLRAVILGPPGSGKGTVCQRIAQNFGLQHLSSGHFLRENIKANTEVGDMAKQYIEK
+GLLVPDHVITRLMLLELENRRGEHWLLDGFPRTLVQAEALDRLCDLDLVITLNIPFETLK
+DRLSRRWIHPPSGRVYNLDFNPPHVHGMDDVTGEPLVQQEDDKPEAVAARLRQYKDVAKP
+VIELYKSRGVLHQFSGTETNKIWPYVYTLFSNKITPVQSKEAY
+>sp|P16386|LIPS_BOVIN Hormone-sensitive lipase OS=Bos taurus OX=9913 GN=LIPE PE=1 SV=2
+MDLRTMTQSLVTLAEDNMAFFSSQGPGETARRLTGVFAGIREQALGLEPALGRLLSVAHL
+FDLDTETPANGYRSLVHTARCCLAHLLHKSRYVASNRRSIFFRTSHNLAELEAYLAALTQ
+LRALAYYAQRLLTTNQPGRLFFEGDERVIADFLREYVTLHKGCFYGRCLGFQFTPAIRPF
+LQTISIGLVSFGEHYKRNETGISVTASSLFTDGRFAIDPELRGAEFERIIQNLDVHFWKA
+FWNITEIQVLSSLANMASTTVRVSRLLSLPPVAFEMPLTSDPELTVTISPPLAHTGPGPV
+LVRLISYDLREGQDSKELSSFVRSEGPRSLELRLRPQQAPRSRALVVHIHGGGFVAQTSK
+SHEPYLKSWAQELGAPILSIDYSLAPEAPFPRALEECFYAYCWAVKHCALLGSTGERICL
+AGDSAGGNLCFTVSLRAAAYGVRVPDGIMAAYPATMLQSTASPSRLLSLMDHLLPLSVLS
+KCVSTYAGAETEDHPDSDQKALGVMGLVQRDTALLLRDLRLGASSWLNSFLELGGQKSHL
+KSVSKTEPMRRSVSEAALTQPEGSLGTDSLKSLKLHDLGLRNSSDTTDTPELSLSAETLG
+PSAPSTINFLLGSEDGSEMSKAPEELNNKDRVRGVGAAFPEGFHPRRCSQGAMWMPLYSA
+PIVKNPSMSPLLAPDSMLQTLPPVHIVACALDPMLDDSVMFARRLRGLGQPVTLRVAEDL
+PHGFLSLAALCRETRQAAALCVERIRLILNLPGPPV
+>sp|P02318|HSP1_BOVIN Sperm protamine P1 OS=Bos taurus OX=9913 GN=PRM1 PE=1 SV=2
+MARYRCCLTHSGSRCRRRRRRRCRRRRRRFGRRRRRRVCCRRYTVIRCTRQ
+>sp|O02740|GUC2F_BOVIN Retinal guanylyl cyclase 2 OS=Bos taurus OX=9913 GN=GUCY2F PE=2 SV=1
+MFLAPWPFSHLMLWFVTLGRQRGQHGLASFKLLWCLWLLVLMSLPLQVWAPPYKIGVVGP
+WTCDPLFSKALPEIAAQLATERINKDPALDLGHSLEYVIFNEDCQASRALSSFISHHQMA
+SGFIGPANPGYCEAASLLGNSWDKGIFSWACVNYELDSKNSHPTFSRTLPSPIRVLLTVM
+KYFQWAHAGVISSDEDIWVHTAYRVASALRSRGLPVGVVLTTGQDSQSIQKALQQIRQAD
+RIRIIIMCMHSTLIGGETQTHLLEWAHDLQMTDGTYVFVPYDTLLYSLPYKHTPYKVLRN
+NPKLREAYDAVLTITVESQEKTFYQAFEEAAARGEIPEKLESDQVSPLFGTIYNSIYFIA
+QAMNNAMKENGWASAASLVQHSRNVQFYGFNQLIRTDANGNGISEYVILDTNWKEWELHS
+TYTVDMETELLRFGETPIHFPGGRPPRADAQCWFADGRICQGGINPTFALMVCLALLIAL
+LSINGFAYFIRHRINKIQLIKGPNRILLTLEDVTFINPHFGSKRGSHASVSFQITSEVQS
+GRSPRLSFSSGSLTPATCENSNIAIYEGDWVWLKKFPSGNFGDIKSVESSASDIFEMMKD
+LRHENINPLVGFFYDSGVFAIVTEFCSRRSLEDILMNQDVKLDWMFKSSLLLDLIKGMKY
+LHHREFAHGRLKSRNCVVDGRFVLKVTDYGFNDILETLRLSQEEPSAEELLWTAPELLRA
+PRGSRLRSFAGDVYSFAIIMQEVMVRGTPFCMMDLPAKEIIERIKKPPPVYRPVVPPEHA
+PPECLQLMKQCWAEAAEQRPTFDEIFNQFKTFNKGKKTNIIDSMLRMLEQYSSNLEDLIQ
+ERTEELEIEKQKTEKLLTQMLPPSVAESLKKGCTVEPEGFDLVTLYFSDIVGFTTISAMS
+EPIEVVDLLNDLYTLFDAIIGSHDVYKVETIGDAYMVASGLPKRNGMRHAAEIANMSLDI
+LSSVGTFKMRHMPEVPVRIRIGLHSGPVVAGVVGLTMPRYCLFGDTVNTASRMESTGLPY
+RIHVSHSTVTILRTLGEGYEVELRGRTELKGKGTEETFWLVGKKGFTKPLPVPPPVGKDG
+QVGHGLQSVEIAAFQRRKQKSSW
+>sp|A7YWH3|KCD19_BOVIN BTB/POZ domain-containing protein KCTD19 OS=Bos taurus OX=9913 GN=KCTD19 PE=2 SV=1
+MEEPGMPHESAEDLFHFNVGGWHFSVPRSKLAQFPDSLLWKEASALTSSENQRLFIDRDG
+STFRHVHYYLYTSKLSFSSCAELNLLYEQALGLQLMPLLQTLDNLKEGKHHLRVRPADIP
+VAERASLNYWRTWKCISKPSEFPIKSPAFTGLHDKAPLGLMDTPLLDTEEEVHYCFLPLD
+LVAKHPSLVTEDNLLWLAETMALIECECSEFRFIVNFLRSQKILLPDNFSNIDVLEAEVE
+ILEIPELSEAVRLYRMNMGGCSRTSCPPPSPGKGGRSAGLESVKPLYMMALGLLVKYPDS
+ALGQLRIESTLDGSRLYITGNGVLFQHVKNWLGTCRLPLTETVSEVYELCAFLDKRDITY
+EPMKVALKTHLEPRTLAPMDVLNEEWTAEITVYSSQQIIKVYVGSHWYATTLQTLLKYPE
+LLSNPQRVYWITYGQTLLIYGDGQMFRHILNFLRLGKLFLPTEFKEWPLLCQEVEEYHIP
+SLSEALVQCEAYKSWIQEKESENEEAFPIRRLHVVTEGPGSLVEFSRDTKETMACVPMEF
+QDCNDRTMWNKAKGTLARSSQIEEAEQYSQTIQMSLCRGAKRAGNPSTYSHCPGLCANPR
+HWGGHPESPPKKKCTTVNLTQKPETKDPPVTPMQKLISLVREWDMVNCKQWEFQSLPAPR
+NSSLEEATLQHSSGSEAVSQPSTSASWKGHSTASEKDPGPQAGAGAGTKDKGPEPNFNPY
+FPMKRAVALKDWGKQRSKERENPAFELTLPEASEGDNTGVILKVTHPPVVGSDGSCMFFE
+DSIIYTTHMDHLRRTPPPASPQPREVTFLSFSLSWEEMFYAQKCHCFLTDIILDSIRQND
+PKAITAKVVSLVNQLWTLHISPKQFVVDLLAITGFKDDRHTQERLYSWVELTLPFARKYG
+RCVDLLIQRGLSKSVSYSVLSKYLQDG
+>sp|P06643|HBE4_BOVIN Hemoglobin subunit epsilon-4 OS=Bos taurus OX=9913 GN=HBE4 PE=2 SV=2
+MVHFTTEEKAAVASLWAKVNVEVVGGESLARLLIVYPWTQRFFDSFGNLYSESAIMGNPK
+VKAHGRKVLNSFGNAIEHMDDLKGTFADLSELHCDKLHVDPENFRLLGNMILIVLATHFS
+KEFTPQMQASWQKLTNAVANALAHKYH
+>sp|Q3T0T5|JUPI1_BOVIN Jupiter microtubule associated homolog 1 OS=Bos taurus OX=9913 GN=JPT1 PE=2 SV=3
+MTTTTTFKGVDPNSRNSSRVLRPPGGGSNFSLGFDEPTEQPVRRNKMASSIFGTPEENPP
+SWAKSAGAKSSGGREDAESSGPQRRNSSEANSGDFLDLKGEGDVHENVDTDLQASLGQSE
+EKPVPAAPVPSPVAPAPVPSRRNPPGGKSSLVLG
+>sp|A2VE29|ITIH5_BOVIN Inter-alpha-trypsin inhibitor heavy chain H5 OS=Bos taurus OX=9913 GN=ITIH5 PE=2 SV=1
+MLPLLGLCFALPLCAGLLEEARSWEDTSDQVGLRIPRQVRLLQRLKTKPLMTEFSVKSTI
+ISRYAFTTVACRMLNRGSEDQEVTFQMQIPAAAFITNFTALIGDKVYQGEITEREKRNGD
+KVKEKRNKTIEDNGEKGTETFRASAVLPSKDKAAFLLSYEELLQRRLGKYEHVVSVRPQQ
+LVGRLTVEVTVLERPGIAELEVLGLQNSRQRGSGRGPDDSGPPPSTVINQNETFAKVVFK
+PSVVQQAKIAQNGILGDFIVRYDVNREQSIGDIQVLDGYFVHYFAPKDLPPLPKNVVFVL
+DSSASMVGTKLRQTKDALFTILHDLRPQDHFNIVGFSNRIKVWKDHLVSVTPNSIRDGKV
+YIHHMSPSGGTDINGALQRGIQLLNDYVAHNDIEDRSVSLVVFLTDGKPTVGETHTFKIL
+NNTREATRGRVCIFTVGIGADVDFKLLEKLSLENCGLTRRVHEDHDARAQLIGFYDEIRT
+PLLSDIRVDYPPSLVERATRTLFPNYFNGSEIVIAGKLVDRAMDRLHVEVTASNSKKFVV
+LKKDVPVEPRKVGIDVSQSPRPRGRGQEEPNHLERLWSYLTLKELLSAWLQSIEEPERER
+LRQEAQALAVNHHFLIPFTSMTLKSAPRTEAPEAAYGMSSTSMATGPETVMQSLRGTHLQ
+PGPAVKKPYNPRIKISKTSADGDPHFVVDFPLSNLTVCFNIDGEPGHILRLVSDHADSGV
+TVNGELIGAPAPPNGHKKQRTYFRTITILVNKPERSYLEITPSRVIVDGGDRLVLPCNQS
+AVVGRRGLEVSVSANASVTISIQGTVAFVVLLHLYKKPAPYQRNHLGFYIANGQGLSSNC
+HGLLGQFLNQEARLIGLSKSPAHPPVPEAGERSEAVLEVKGRQVPVVWKQRRIYNGEEQV
+DCWFARNNAAGLIDGEYKGYLAAHPFDSETAFGPGLSQGL
+>sp|A4FUY1|HECAM_BOVIN Hepatocyte cell adhesion molecule OS=Bos taurus OX=9913 GN=HEPACAM PE=2 SV=1
+MKREREAPSRAFSALRLAPFVYLLLIQTEPLEGVNITSPVRLIHGTVGKAALLSVQYSST
+SSDKPVVKWQLKRDKPVTVVQSIGTEVIGTLRPDYRDRIRLFENGSLLLSDLQLADEGTY
+EVEISITDDTFTGEKTINLTVDVPISRPQVLVASTTVLELSEAFTLNCSHENGTKPSYTW
+LKDGKPLLNDSRMLLSPDQKVLTITRVLMEDDDLYSCVVENPISQGRSPPVKITVYRRSS
+LYIILSTGGIFLLVTLVTVCACWKPSKKSGKKRKLEKQNSMEYMDQSDDRLKPEADTLPR
+SGEQERKNPMALYILKDKDSPEPEENPASEPRSAAEPGPPGYSVSPAVPGRSPGLPIRSA
+RRYPRSPARSPATGRTHSSPPRAPGSPGRSRSASRTLRTAGVHLIREQDEAGPVEISA
+>sp|Q3SYS7|IQCF1_BOVIN IQ domain-containing protein F1 OS=Bos taurus OX=9913 GN=IQCF1 PE=2 SV=1
+METDQPKTVDENTENKPQENKGLVENSLPSEPSPTLEKAEAQQENKAATKGADDADKRSG
+VVTKPQKKPPVSDPEAVKIQAWWRGTLVRRTLLHAALRVWIIQAWWRVKLARLQDSRRRA
+ALENFTRKEWAAVRLQSWVRMWRIRRRYCRLLDAARIIQAYWRCHSCTSRGFIKGYYRVT
+ANQLHLELEILLGSGPCIVTECIPLPIKQ
+>sp|Q71SV0|LAP4B_BOVIN Lysosomal-associated transmembrane protein 4B OS=Bos taurus OX=9913 GN=LAPTM4B PE=1 SV=1
+MKMVAPWTRFYSNSCCLCCHVRTGTILLGVWYLILNAVVLLILLSALADPDHYHFSSSEL
+GGDFEFMDDANMCIAIAISVLMILICAMATYGAYKQRAAWIIPFFCYQIFDFALNTLVAV
+TVLVYPNSIQEYIRQLPPDFPYKDDIMSVNPTCLVLIILLFISIILAFKGYLISCVWNCY
+RYINGRNSSDVLVYVTSNDSTVLLPPYDDATVNSATKEPPPPYVSA
+>sp|A6QP35|KR108_BOVIN Keratin-associated protein 10-8 OS=Bos taurus OX=9913 GN=KRTAP10-8 PE=2 SV=1
+MADTCCSRTCVVAASTLSVCSSDLSCGNQVSSPSACIGSSWQVDDCQETCCEPTCCAPSC
+CAPAPRLTLICAPVNCESSPCCQPACSSSSPCQQACCVPVCCRPVCCTPVCCRPVCCRPV
+CCTPVCCRPVCCRPVCCRPVCCRPVCCTPVCCRPMCCEASPCSAPSSCCRPSSSVSLLCR
+PVCRPTCCVPTFFCQPSCCHPASSVSLLCQPSCSSSAC
+>sp|Q0P5H1|PCYXL_BOVIN Prenylcysteine oxidase-like OS=Bos taurus OX=9913 GN=PCYOX1L PE=2 SV=1
+MAHAARLLAALAALLAAAATGDARPSKIAVVGAGIGGSAVAHFLQQHFGPRVQIDVFEKG
+TVGGRLATISVNKQHYESGAASFHSLSLHMQGFVKQLGLRHRREVGGRSAIFNGENFVLE
+ETDWYLLNLFRLWWHYGISFLRLQMWVEEVMEKFMRIYKYQAHGYAFSGVEELLYSLGES
+AFVNMTQRSVAESLLQVGVTQRFIDDVVSAVLRASYGQSAAMPAFAGAMSLAGAQGSLWS
+VEGGNKLVCSGLLKLTKANVIHATVTTVTLQPTEGKPLYRVRYENEAGTGSDNYDIVVIA
+TPLHLDNSSTIAFEGFDPPIDVVQGSFQPTIVSLVHGYLNSSYFGFPDPKLFPFANILTT
+DFPTFFCALDNICPVNISASFRRKQPQEAAVWRVQSPQPLLRSQLKTLFRSYYSVQTAEW
+QAHPVHSPHTPLPRFTLHDQLFHLNALEWAASSVEVTAVAAKNVALLAFNRWYQDLDKID
+QKDLMHKVKTEL
+>sp|Q2TBH0|ODF3A_BOVIN Outer dense fiber protein 3 OS=Bos taurus OX=9913 GN=ODF3 PE=2 SV=1
+MAEEVWVGTWRPHRPRGPIMALYSSPGPKYLIPPTTGFVKQTPTKLRAPAYSFRGAPMLL
+AENCSPGPRYSVNPKILRTGKDLGPAYSILGRYHTKTTLTPGPGDYFPEKSTKHVFDSAP
+SHSISARTKTFRVDSTPGPAAYMLPMVMGPHTIGKVSQPSFSIKGRSKLGSFSDDLHKTP
+GPAAYRQTDVQVTKFKAPQYTMAARVEPPGDKTLKPGPGAHSPEKVTMTRPCAPVVSFGI
+KHSDYMTPLVVDVD
+>sp|Q2KI14|NAA10_BOVIN N-alpha-acetyltransferase 10 OS=Bos taurus OX=9913 GN=NAA10 PE=2 SV=1
+MNIRNARPEDLMNMQHCNLLCLPENYQMKYYFYHGLSWPQLSYIAEDENGKIVGYVLAKM
+EEDPDDVPHGHITSLAVKRSHRRLGLAQKLMDQASRAMIENFNAKYVSLHVRKSNRAALH
+LYSNTLNFQISEVEPKYYADGEDAYAMKRDLTQMADELRRHLELKEKGRHVVLGSIENKM
+EGKGNSLPSSGEACREEKGLVAEDSGGDSKDLSEVSETTESTDVKDSSEASDSAS
+>sp|Q2KII7|PEX16_BOVIN Peroxisomal membrane protein PEX16 OS=Bos taurus OX=9913 GN=PEX16 PE=2 SV=2
+MEKLRLLGLRYQEYVTRHPAATAQLETAVRGLSYLLAGRFADSHELSELVYSASNLLVLL
+NDGILRKELRKKLPMSLSQQRLLTWLSVLECVEVFMEMGATKVWGEVGRWLVIALIQLAK
+AVLRMFLLIWFKAGLQTSPPIVPLDREIQAQSRDGDHSSGSQEQSYVGKRSNRVVRTLQN
+TPSLHSRHWGAPQQREELGVAPTPLGLQETIAESLHIARPLLHLLSLGLWGQRSWTPWLL
+SGVVDVTSLSLLSDRKGLTRRERLELRRRTILLLYYLLRSPFYDRFSEAKILFLLQLLAD
+HVPGIGLVTRPLMDYLPNWQKIYFYSWG
+>sp|Q0IIB1|PHYD1_BOVIN Phytanoyl-CoA dioxygenase domain-containing protein 1 OS=Bos taurus OX=9913 GN=PHYHD1 PE=2 SV=1
+MACLSPSQLQKFQEDGFLVLEGFLSADECEAMQRRIDEIVAKMDVPLHCRTEFSTQEEEQ
+LRAQGSTDYFLSSGDKIRFFFEKGVFDKQGNFLVPPEKSINKIGHALHALDPIFRCVTHS
+HKVQALARSLGLQMPVVVQSMYIFKQPHFGGEVAPHQDASFLYTEPLGRVLGLWIALEDA
+MLENGCLWFIPGSHTGGVSRRMVRTPAGSVPGTSFLGSEPIRDNSLFVPTPVLRGALVLI
+HGEVVHKSEQNLSDRSRQAYTFHLMEAAGTIWSPDNWLQPTPELPFPPLYT
+>sp|Q5E9J8|RIC8A_BOVIN Synembryn-A OS=Bos taurus OX=9913 GN=RIC8A PE=2 SV=1
+MEPRAVADALETGEEDVVMEALRAYNRENSQSFTFDDAQQEDRKRLAKLLVSVLEQGLPP
+SRRVIWLQSIRILSRDRSCLDSFTSRRSLQALACYAGISASQGSVPEPLNMDVVLESLKC
+LCNLVLSSPVAQALAAEAGLVVRLAERVGLCRQSSFPHDVQFFDLRLLFLLTALRTDVRQ
+QLFQELQGVRLLTRALELTLGMTEGERHPELLPPQETERAMEILKVLFNITFDSIKREVD
+EEDAALYRHLGTLLRHCVMLAAAGDRTEELHGHAVNLLGNLPVKCLDVLLTLEPHEGSLE
+FLGVNMDVIRVLLSFMEKRLHQTHRLKESVAPVLSVLTECARMHRPARKFLKAQVLPPLR
+DVRTRPEVGELLRNKLVRLMTHLDTDVKRVAAEFLFVLCSESVPRFIKYTGYGNAAGLLA
+ARGLMAGGRPEGQYSEDEDTDTDEYKEAKASINPVTGRVEEKPPNPMEGMTEEQKEHEAM
+KLVNMFDKLSRHRVIQPMGMSPRGQLTSLQDAMCETMEGQLSSDPDSDPD
+>sp|Q3T054|RAN_BOVIN GTP-binding nuclear protein Ran OS=Bos taurus OX=9913 GN=RAN PE=2 SV=3
+MAAQGEPQVQFKLVLVGDGGTGKTTFVKRHLTGEFEKKYVATLGVEVHPLVFHTNRGPIK
+FNVWDTAGQEKFGGLRDGYYIQAQCAIIMFDVTSRVTYKNVPNWHRDLVRVCENIPIVLC
+GNKVDIKDRKVKAKSIVFHRKKNLQYYDISAKSNYNFEKPFLWLARKLIGDPNLEFVAMP
+ALAPPEVVMDPALAAQYEHDLEVAQTTALPDEDDDL
+>sp|P09851|RASA1_BOVIN Ras GTPase-activating protein 1 OS=Bos taurus OX=9913 GN=RASA1 PE=1 SV=1
+MMAAEAGGEEGGPVTAGAAGGGAAAASGAYPAVCRVKIPAALPVAAAAPFPGLAEAGVAA
+TLGGGAALGSGFLGAGSVAGTPGGVGLSAGGAAAGVAGVAAAAAGAGGEMAFAKGTTSLP
+TETFGAGGGFPPLPPPPPQLPTLGAGLGTVDEGDSLDGPEYEEEEVAIPLTAPPTNQWYH
+GKLDRTIAEERLRQAGKSGSYLIRESDRRPGSFVLSFLSQTNVVNHFRIIAMCGDYYIGG
+RRFSSLSDLIGYYSHVSCLLKGEKLLYPVAPPEPVEDRRRVRAILPYTKVPDTDEISFLK
+GDMFIVHNELEDGWMWVTNLRTDEQGLIVEDLVEEVGREEDPHEGKIWFHGKISKQEAYN
+LLMTVGQACSFLVRPSDNTPGDYSLYFRTSENIQRFKICPTPNNQFMMGGRYYNSIGDII
+DHYRKEQIVEGYYLKEPVPMQDQEQVLNDAVDGKEIYNTIRRKTKDAFYKNIVKKGYLLK
+KGKGKRWKNLYFILEGSDAQLIYFESEKRATKPKGLIDLSVCSVYVVHDSLFGRPNCFQI
+VVQHFSEEHYIFYFAGETPEQAEDWMKGLQAFCNLRKSSPGTSNKRLRQVSSLILHIEEA
+HKLPVKHFTNPYCNIYLNSVQVAKTHAREGQNPVWSEEFVFDDLPPDINRFEITLSNKTK
+KSKDPDILFMRCQLSRLQKGHATDEWFLLSSHIPLKGIEPGSLRVRARYSMEKIMPEEEY
+SEFKELILQKELHVVYALSHVCGQDRTLLASILLKIFLHEKLESLLLCTLNDREISMEDE
+ATTLFRATTLASTLMEQSMKATATQFVHHALKDSILRIMESKQSCELSPSKLEKNEDVNT
+NLAHLLNILSELVEKIFMASEILPPTLRYIYGCLQKSVQHKWPTNTTMRTRVVSGFVFLR
+LICPAILNPRMFNIISDSPSPIAARTLTLVAKSVQNLANLVEFGAKEPYMEGVNPFIKSN
+KHRMIMFLDELGNVPELPDTTEHSRTDLCRDLAALHEICVAHSDELRTLSNERGAQQHVL
+KKLLAITELLQQKQNQYTKTNDVR
+>sp|Q58DW6|RAB25_BOVIN Ras-related protein Rab-25 OS=Bos taurus OX=9913 GN=RAB25 PE=2 SV=1
+MGNRAEEDYNFVFKVVLIGESGVGKTNLLSRFTRNEFSHDSRTTIGVEFSTRTVMLGTAA
+IKAQIWDTAGLERYRAITSAYYRGAVGALLVFDLTKHQTYAVVERWLKELYDHAEATIVV
+MLVGNKSDLSQSREVPTEEARMFAENNGLLFLETSALDSTNVELAFETVLKEIFAKVSKQ
+RQNNARTNAVTLGSGPAGQELGPGEKRACCISL
+>sp|Q148F6|ROGDI_BOVIN Protein rogdi homolog OS=Bos taurus OX=9913 GN=ROGDI PE=2 SV=1
+MATVMAATAAERAVLEEEFRWLLHDEVHAVLRQLQDILKEASLRFTLPGSGTEGPTKQEN
+FILGSCGTDQVKGVLTLQGDALSQADVNLKMPRNNQLLHFAFREDKQWKLQQIQDARNHV
+SQAIYLLANRDESYQFRTGAEVLKLMDAVMLQLTRARNRLTTPATLTLPEIAASGLTRMF
+APTLPSDLLVNVYINLNKLCLTVYQLHTLQPNSTKNFRPAGGAVLHSPGAMFEWGTQRLE
+VSHVHKVESVIPWLNDALVFFTVSLQLCQQLKDKISVFSSYWSCRPF
+>sp|Q1JPG1|RS10B_BOVIN Radial spoke head 10 homolog B OS=Bos taurus OX=9913 GN=RSPH10B PE=2 SV=2
+MVKEKKKADKKGDKSARSPSSPSDYPEFAKQDGNAPRQDASPSAAPALDVQPKDPGVKRE
+VKSESLPNEDTTQYEEPVLTKLIVESYEGEKVRGLYEGEGFAIFQGGCTYQGMFSEGLMH
+GQGTYIWADGLKYEGDFVKNIPMNHGIFTWPDGSTYEGEVVGGMRHGFGMFKCSTQPVSY
+IGHWCHGKRHGKGSIYYNQEGTSWYEGDWIHNIRKGWGIRCYKSGNIYEGQWENNVRHGE
+GRMRWLTTNEEYTGQWKHGVQNGLGTHTWFLKRIPYSQYPLRNEYVGEFVNGYRHGHGKF
+YYASGAMYEGEWVSNKKHGMGRLTFKNGRVYDGPFSKDHMVAFPNLEGEVMSYPDSTSEC
+AFGCQWCRPSASAEIMRKLDGNESNSLLGSSLELDLSLLLKMYPERDQSEEKKQVEYAIL
+RNITELRRIYNFYSSLGCDRSLDNTFLMTKLHFWRFLKDCKFHHHNITLADMDRVLGANN
+DIPVEEIHSPFTTLLLRTFLNYLLQLAYHIHHKEYQDRSPSLFLCFKKLMNENIRPNACR
+VKGRLFCEAQRTLYSMTYVDKCWEIYTAHCRPNAAPPHELTMSTRHFLWMLRSFKIINKE
+LTATKFVEVIAEDNPSMYDGIDSNFELELVFLEFFEALLSFALICVPEPPTKFCSDFPND
+DLSVNKAGSTYPVTAQNTQNRSPSAVASQESDIQFSSTKSSSSKLGVLVDISKIRKSEPK
+IKKSVSDGTTSKVNFKSAGKGLTFLLSQSAHKHEETLKEKVKENRLHNEAMALQRKMENE
+ELEARLNSLREEEAKRQDYEVDITVIKEPVDAPSSSFTPSPPKEDTVVSSKSITSKKKKK
+>sp|Q08DP6|RHG10_BOVIN Rho GTPase-activating protein 10 OS=Bos taurus OX=9913 GN=ARHGAP10 PE=2 SV=1
+MGLQPLEFSDCYLDSPWFRERIRAHEAELERTNKFIKELIKDGKNLIAATKTLSAAQRKF
+AHSLRDFKFEFIGDAETDDERCIDASLREFSNFLKNLEEQREIMALSVTETLIKPLEKFR
+KEQLGAVKEEKKKFDKETERNYSLIDKHLNLSAKKKDSHLQEADIQVEQNRQHFYELSLE
+YVCKLQEIQERKKFEFVEPMLSFFQGMFTFYHQGHELAKDFNHYKMELQINIQNTRNRFE
+GTRSEVEELMNKIRQNPKDHKRASQFTAEGYLYVQEKRPPPFGSSWVKHYCMYRKAAKKF
+TMIPFEHRSGGKLGDGEVFFLKECIRRHTDSIDRRFCFDVEAADRPGISLTMQAFSEEER
+KQWLEVLGGKEALFPSFNRAIIPRPEGSAQLDKMGFTILRKCIRAVETRGINDQGLYRVV
+GVSSKVQRLLSMLMDVKTCNEVDLENSVDWEVKTITSALKQYLRSLPEPLMTYELHGDFI
+VPAKSGSPESRVNAIHFLVHKLPEKNKEMLDILVKHLTNVSNHSKQNLMTVANLGVVFGP
+TLMRPQEETVAAIMDLKFQNIVVEILIENHEKIFRTPPDATLPEPGPLSAPPNAPPRQSK
+RQGQRTKRPVAVYNLCLELEDGDRPSLPKEDTPPSSLDSLSSPSPTTATALGHPGPDRNH
+LLTDGGSFGDWAPTAPSQARSSAVQWLNPQSPTTPSCSPAVTPPSPKLPPVPLSLPATVA
+DKPPESIISRKARAVYPCEAEHSSELSFEIGAIFEDVQTSREPGWLEGTLNGKRGLIPQN
+YVKLL
+>sp|A5D7I4|EXT1_BOVIN Exostosin-1 OS=Bos taurus OX=9913 GN=EXT1 PE=2 SV=1
+MQAKKRYFILLSAGSCLALLFYFGGLQFRASRSHSRREEHSGRNGLHHPSPDHFWPRFPD
+ALRPFVPWDQLENEDSSVHVSPRQKREANSSIYKGKKCRMESCFDFTLCKKNGFKVYVYP
+QQKGEKIAESYQNILAAIEGSRFYTSDPSQACLFVLSLDTLDRDQLSPQYVHNLRSKVQS
+LHLWNNGRNHLIFNLYSGTWPDYTEDVGFDIGQAMLAKASISTENFRPNFDVSIPLFSKD
+HPRTGGERGFLKFNTIPPLRKYMLVFKGKRYLTGIGSDTRNALYHVHNGEDVVLLTTCKH
+GKDWQKHKDSRCDRDNTEYEKYDYREMLHNATFCLVPRGRRLGSFRFLEALQAACVPVML
+SNGWELPFSEVINWNQAAVIGDERLLLQIPSTIRSIHQDKILALRQQTQFLWEAYFSSVE
+KIVLTTLEIIQDRIFKHISRNSLIWNKHPGGLFVLPQYSSYLGDFPYYYANLGLKPPSKF
+TAVIHAVTPLVSQSQPVLKLLVAAAKSQYCAQIIVLWNCDKPLPAKHRWPATSVPVIVIE
+GESKVMSSRFLPYDNIITDAVLSLDEDTVLSTTEVDFAFTVWQSFPERIVGYPARSHFWD
+NSKERWGYTSKWTNDYSMVLTGAAIYHKYYHYLYTHYLPASLKNMVDQLANCEDILMNFL
+VSAVTKLPPIKVTQKKQYKETMMGQTSRASRWADPDHFAQRQSCMNTFASWFGYMPLIHS
+QMRLDPVLFKDQVSILRKKYRDIERL
+>sp|P04896|GNAS2_BOVIN Guanine nucleotide-binding protein G(s) subunit alpha isoforms short OS=Bos taurus OX=9913 GN=GNAS PE=1 SV=1
+MGCLGNSKTEDQRNEEKAQREANKKIEKQLQKDKQVYRATHRLLLLGAGESGKSTIVKQM
+RILHVNGFNGEGGEEDPQAARSNSDGEKATKVQDIKNNLKEAIETIVAAMSNLVPPVELA
+NPENQFRVDYILSVMNVPDFDFPPEFYEHAKALWEDEGVRACYERSNEYQLIDCAQYFLD
+KIDVIKQDDYVPSDQDLLRCRVLTSGIFETKFQVDKVNFHMFDVGGQRDERRKWIQCFND
+VTAIIFVVASSSYNMVIREDNQTNRLQEALNLFKSIWNNRWLRTISVILFLNKQDLLAEK
+VLAGKSKIEDYFPEFARYTTPEDATPEPGEDPRVTRAKYFIRDEFLRISTASGDGRHYCY
+PHFTCAVDTENIRRVFNDCRDIIQRMHLRQYELL
+>sp|Q17QM8|DUS14_BOVIN Dual specificity protein phosphatase 14 OS=Bos taurus OX=9913 GN=DUSP14 PE=2 SV=1
+MSSRGHSTLPRTLMAPRMISEGDLGGIAQITSSLFLGRGSVASNRHLLQARGITCIVNAT
+IEIPNFNWPQFEYVKVPLADMPHAPIGLYFDTVADKIHSVSRKHGATLVHCAAGVSRSAT
+LCIAYLMKFHNVCLLEAYNWVKARRPVIRPNVGFWRQLIDYERQLFGKSTVKMVQTPYGI
+VPDVYEKESRHLLPYWGI
+>sp|A5PKJ4|MK07_BOVIN Mitogen-activated protein kinase 7 OS=Bos taurus OX=9913 GN=MAPK7 PE=2 SV=1
+MAEPLKEDDGEDGSGEPPGPVKAEPAGTAASVAAKNLALLKARSFDVTFDVGDEYEIIET
+IGNGAYGVVSSARRRLTGQQVAIKKIPNAFDVVTNAKRTLRELKILKHFKHDNIIAIKDI
+LRPTVPYGEFKSVYVVLDLMESDLHQIIHSSQPLTLEHVRYFLYQLLRGLKYMHSAQVIH
+RDLKPSNLLVNENCELKIGDFGMARGLCTSPAEHQYFMTEYVATRWYRAPELMLSLHEYT
+QAIDLWSVGCIFGEMLARRQLFPGKNYVHQLQLIMTVLGTPSPAVIQAVGAERVRAYIQS
+LPPRQPVPWETVYPGADRQALSLLGRMLRFEPSARVSAAAALRHPFLAKYHDPDDEPDCA
+PPFDFAFDREALTRERIKEAIVAEIEDFHARREGIRQQIRFQPSLQPVASEPGCPDVEMP
+SPWAPSGDCAMESPPPAPLPCPGPAPDTIDLTLQPPPPASEPAPPKKEGAISDNTKAALK
+AALLKSLRSRLRDGPSAPLEAPEPRKPVTAQERQREREEKRRRRQERAKEREKRRQERER
+KERGAGVSGGPSADPLAGLVLSDNDRSLLERWTRMAQPPAPAPATARPPSPPAGPATQPT
+GPLPQPACPPPAPAAGPAAPQTTAASGLLAPQPLVPPPGLPGPSALSVLPYFPSGPPPPD
+PGGAPQPSTSESPDVTLVTQQLSKSQVEDPLPPVFSGTPKGSGAGYGVGFDLEEFLNQSF
+DMGVADGPQDGQADSASLSASLLADWLEGHGMNPADIESLQREIQMDSPMLLADLPDLQE
+P
+>sp|P28053|MMP1_BOVIN Interstitial collagenase OS=Bos taurus OX=9913 GN=MMP1 PE=1 SV=1
+MPRLPLLLLLLWGTGSHGFPAATSETQEQDVETVKKYLENYYNLNSNGKKVERQRNGGLI
+TEKLKQMQKFFGLRVTGKPDAETLNVMKQPRCGVPDVAPFVLTPGKSCWENTNLTYRIEN
+YTPDLSRADVDQAIEKAFQLWSNVTPLTFTKVSEGQADIMISFVRGDHRDNSPFDGPGGN
+LAHAFQPGAGIGGDAHFDDDEWWTSNFQDYNLYRVAAHEFGHSLGLAHSTDIGALMYPSY
+TFSGDVQLSQDDIDGIQAIYGPSQNPTQPVGPQTPEVCDSKLTFDAITTIRGEVMFFKDR
+FYMRTNPLYPEVELNFISVFWPQLPNGLQAAYEVADRDEVRFFKGNKYWAVKGQDVLRGY
+PRDIYRSFGFPRTVKSIDAAVSEEDTGKTYFFVANKCWRYDEYKQSMDAGYPKMIAEDFP
+GIGNKVDAVFQKGGFFYFFHGRRQYKFDPQTKRILTLLKANSWFNCRKN
+>sp|P13384|IBP2_BOVIN Insulin-like growth factor-binding protein 2 OS=Bos taurus OX=9913 GN=IGFBP2 PE=1 SV=2
+MQPRLGGPALLLLPPLLLLLLLGAGGGDCGARAEVLFRCPPCTPESLAACKPPPGAAAGP
+AGDARVPCELVREPGCGCCSVCARLEGERCGVYTPRCGQGLRCYPNPGSELPLRALVHGE
+GTCEKHGDAEYSASPEQVADNGEEHSEGGLVENHVDGNVNLMGGGGGAGRKPLKSGMKEL
+AVFREKVTEQHRQMGKGGKHHLGLEEPKKLRPPPARTPCQQELDQVLERISTMRLPDERG
+PLEHLYSLHIPNCDKHGLYNLKQCKMSLNGQRGECWCVNPNTGKLIQGAPTIRGDPECHL
+FYNEQQGARGVHTQRMQ
+>sp|A5PK61|H3C_BOVIN Histone H3.3C OS=Bos taurus OX=9913 GN=H3F3C PE=2 SV=1
+MARTKQTARKSTGGKAPRKQLVTKAARKSAPSTGGMKKPHRYRPGTVALREIRRHQKSTE
+LLIRKLPFQRLVREIAQDFKTDLRFQSAAIGALQEASEAYLVGLFEDTNLCAIHAKRVTV
+MPRDIQLARRIRGERA
+>sp|Q2HJ73|HIBCH_BOVIN 3-hydroxyisobutyryl-CoA hydrolase, mitochondrial OS=Bos taurus OX=9913 GN=HIBCH PE=2 SV=1
+MGLQGLCRLMSRFNSYKRTNIILQHLKMSNHTDAAAEVLLERKGCAGVITLNRPRFLNTL
+TLGMIRQIYAQLKKWEQDPKTFLIIIKGAGEKAFCAGGDIRALSEARNTNQKMLQDLFRE
+EYILNNAIDSCQKPYIALIHGITMGGGVGVSVHGQFRVATEKSVFAMPETAIGLFPDVGG
+GYFLPRLQGKLGYFLALTGFRLKGRDVYTAGIATHFVDFEKLGMLEEDLLALKSPSKENI
+ADVLETYHAKSKTDQDKPFILEEHMDKINSWFSANTVEQIVDNLQQDGSSFALEQLKVIK
+KMSPTSLKITLRQLMEGSSKTLPEVLIMEYRLSQACMKGHDFHEGVRAVLIDKDQSPKWK
+PADLKEVTDEDLNDYFKSLGSNDLKF
+>sp|Q6TNJ1|KRIT1_BOVIN Krev interaction trapped protein 1 OS=Bos taurus OX=9913 GN=KRIT1 PE=2 SV=1
+MGNPENIEDAYVAVIRPKNAASLNSREYRAKSYEILLHEVPIEGQKKKRKKVLLETKLQG
+NSEITQGISDYVVETTEPISPANQGIRGKRVVLMKTFPLEGEKVGREAALFIVPSVVKDN
+TKYTYTPGCPIFYCLQDIMRVCSESSTHFATLTARMLIALDKWLDERHTQSHFIPALFRP
+SPLERIKTNVINPAYATESGQAENSLHMGYSALEIKSKMLALEKADTCIYNPLFGSDLQY
+TNRVDKVVINPYFGLGAPDYSKIQIPKQEQWQRSRSSVTEDKERQWVDDFPLHRSACEGD
+SELLNRLLNERFSVNQLDSDHWAPIHYACWYGKVEATRILLEKGKCNPNLLNGQLSSPLH
+FAAGGGHAEIVQILLNHPEIDRHITDQQGRSPLNICEENKQNNWEEAAKLLKEAINKPYE
+KVRIYRMDGSYRSVELKHGNNTTVQQIMEGMRLSQETQQYFTIWICSENLSLQLKPYHKP
+LQHVRDWPEILAELTNLDPQRETPQLFLRRDVRLPLEVEKKIEDPLAILILFDEARYNLL
+KGFYTAPDAKLITLASLLLQIVYGNYESKKHKQGFLNEENLKSIVPITKLKSKAPHWTNR
+ILHEYKNLSTSEGVSKEMHHLQRMFLQNCWEIPTYGAAFFTGQIFTKASPSNHKVIPVYV
+GVNIKGLHLLNMETKALLISLKYGCFMWQLGDADSCFQIHSMENKMSFIVHTKQAGLVVK
+LLVKLNGQLMPMERNS
+>sp|Q29RT6|KI20A_BOVIN Kinesin-like protein KIF20A OS=Bos taurus OX=9913 GN=KIF20A PE=2 SV=1
+MSQGILSPPAGLLSDEEVVVSPMFESTAADLGSVIRKDLLSDCSVISTSLEDKQVPSEDG
+TEKVKVYLRVRPLLPSELERQEDQGCVCIENMETLALQAPKDSFAQKSNERGIGQATHRF
+TFSQIFGPEVGQASFFNLTVKEMVKDVLKGQNWLIYTYGVTNSGKTYTIQGTIKDGGILP
+RSLALIFNSLQGQLHPTPNLKPLFSNEVMWLDSKQIRQEELKKLALLNGGLQEEELSTSL
+KKSVYIDSRMGTSTSFDSGIAGLSSSSQFPSSSQLDEMSHRWAQPDTVPVSVPADLRFSI
+WISFFEIYNELLYDLLEPPSQQRKRQTLRLCEDQNGNPYVKDLNWIHVQDAEEAWKLLKV
+GRKNQSFASTHLNQNSSRSHSIFSIRILHLQGEGDIIPKISELSLCDLAGSERCKDQKSG
+ERLKEAGNINTSLHTLGRCIAALRQNQQNRSKQNLVPFRDSKLTRVFQGFFTGRGRSCMI
+VNVNPCASTYDETLHVAKFSAIASQLVHAPPVQLGFPSIHSFLKEHSLRASPSLETGAKT
+DPGLGDDIENEVDISTYGKEELLQVVEAMKALLLKERQEKLRLEVQLRDEICNEMVEQMQ
+QREQWCSEHLDTQKELLEELYEDKLTILKESLTSFYQEELQERDEKIKELEALLQEARQQ
+QVAHQPSGSELSLRRSQRLTSVSTQQFHEIKAKLEQCKAELNSTTEELQKYQKMLEPPPS
+AKPFIVDVDKKLEEGQKNIRLLRTELQKLGESLQSAERACCHNTGAGKLRQALATCDDIL
+IKQDQTLAELQNNMTLVKLDLRKKAACIAEQYHTVLKLQGQASTKKRLGANQENQQPNQQ
+PPGKKPFLRNLLPRTPTCQSSTDCSPYARILRSRRSPLLKSGPFGKKY
+>sp|P00517|KAPCA_BOVIN cAMP-dependent protein kinase catalytic subunit alpha OS=Bos taurus OX=9913 GN=PRKACA PE=1 SV=3
+MGNAAAAKKGSEQESVKEFLAKAKEDFLKKWENPAQNTAHLDQFERIKTLGTGSFGRVML
+VKHMETGNHYAMKILDKQKVVKLKQIEHTLNEKRILQAVNFPFLVKLEFSFKDNSNLYMV
+MEYVPGGEMFSHLRRIGRFSEPHARFYAAQIVLTFEYLHSLDLIYRDLKPENLLIDQQGY
+IQVTDFGFAKRVKGRTWTLCGTPEYLAPEIILSKGYNKAVDWWALGVLIYEMAAGYPPFF
+ADQPIQIYEKIVSGKVRFPSHFSSDLKDLLRNLLQVDLTKRFGNLKNGVNDIKNHKWFAT
+TDWIAIYQRKVEAPFIPKFKGPGDTSNFDDYEEEEIRVSINEKCGKEFSEF
+>sp|Q0VD15|ORML3_BOVIN ORM1-like protein 3 OS=Bos taurus OX=9913 GN=ORMDL3 PE=2 SV=1
+MNVGTAHSEVNPNTRVMNSRGIWLSYVLAIGLLHVVLLSIPFVSVPVVWTLTNLIHNMGM
+YIFLHTVKGTPFETPDQGKARLLTHWEQMDYGVQFTASRKFLTITPIVLYFLTSFYTKYD
+QIHFILNTVSLMSVLIPKLPQLHGVRIFGINKY
+>sp|Q1JQ92|POP5_BOVIN Ribonuclease P/MRP protein subunit POP5 OS=Bos taurus OX=9913 GN=POP5 PE=2 SV=1
+MVRFKHRYLLCEVVSDDPRCRLTLEDRVLGTLVRDTIARVHGTFGAAACSIGFAVRYLNA
+YTGIVLLRCRKEFYRLVWSALPFITSLENKGHRYPCFLNTLHVGGTIRTCQKFLIQYNRR
+QLLILLQNCTDEGEREAIQKSVTKSCLLEEESAGEELSDSGGEETAEPME
+>sp|Q2KI78|NDP_BOVIN Norrin OS=Bos taurus OX=9913 GN=NDP PE=2 SV=1
+MRNHVLAASFSMLSLLALMGDTDSKTESSFMMDSDPQRCMRHHYVDSISHPLYKCSSKMV
+LLARCEGHCSQASRSEPLVSFSTVLKQPFRSSCHCCRPQTSKLKALRLRCSGGMRLTATY
+RYILSCHCEECSS
+>sp|Q2T9N4|PMF1_BOVIN Polyamine-modulated factor 1 OS=Bos taurus OX=9913 GN=PMF1 PE=2 SV=1
+MAEASSVNVGSGCAEKGPEELSQEPARPGTNISRVKLFDTMVDTFLQKLVAAGSFQRFTD
+CYKRFYQLQPEMTQRIYDKFVTQLQTSIQEEISEIKAEGNLEAVLIALDAIVEESKDRKE
+QAWRPSGIPEKDLRSAMAPYLLQQRDALQRRVQRQEAENRQLADAVLAGRRQLEELQLQA
+QARQQAWQALHREQKELLAVLKEPE
+>sp|A7MB28|NDRG3_BOVIN Protein NDRG3 OS=Bos taurus OX=9913 GN=NDRG3 PE=2 SV=1
+MDELQDVQLTEIKPLLNDKNGTRNFQDFDCQEHDIETAHGMVHVTIRGLPKGNRPVILTY
+HDIGLNHKSCFNAFFNFEDMQEITQHFAVCHVDAPGQQEGAPSFPTGYQYPTMDELAEML
+PPVLTHLNLKSIIGIGVGAGAYILSRFALNHPELVEGLVLINVDPCAKGWIDWAASKLSG
+LTTNVVDIILSHHFGQEELQANLDLIQTYRLHIAQDINQENLQLFLGSYNGRKDLEIERP
+ILGQNDNKSKTLKCSTLLVVGDSSPAVEAVVECNSRLNPVNTTLLKMADCGGLPQVVQPG
+KLTEAFKYFLQGMGYIPSASMTRLARSRTHSTSSSIGSGESAFSRSVASNQSDGTQESSE
+SPDVLDRHQTMEVSC
+>sp|Q32KY9|NSE2_BOVIN E3 SUMO-protein ligase NSE2 OS=Bos taurus OX=9913 GN=NSMCE2 PE=2 SV=1
+MPGRSTSSSSSGSTGFISFSGVESALSSLKTFQSCISSGMDTASSVALDLVETQTEVSSE
+YSMDKAMVEFAMMDRELNHYLKAVQSTINHVKEERSEKIPDLKLLVEKKFLALQNKNSDA
+DFQNNEKFVQFKQQLKELKKQYGLQSDREADITEGVDEDMIVTQSQTNFICPITQLEMKK
+PVKNKVCGHTYEEEAIVRMIESKHERKKKACCPKIGCSHVDMRMSDLIQDEALRRAIESH
+KKRRRQSN
+>sp|A6QLT4|MTM1_BOVIN Myotubularin OS=Bos taurus OX=9913 GN=MTM1 PE=2 SV=2
+MASAPTSKYNSHSLENESIKRTSRDGVNRDVGETLPRLPGEIRITDKEVIYICPFNGPIK
+GRVYITNYRLYLRSLETDSALILDVPLGVISRIEKMGGATSRGENSYGLDITCKDLRNLR
+FALKQEGHSRRDMFEILTRYAFPLAHSLPIFAFLNEEKFNVDGWTVYNPVEEYRRQGLPN
+HHWRITFINKCYKLCDTYPALLVVPYRASDEDLRRVATFRSRNRIPVLSWIHPENKTVIV
+RCSQPLVGMSGKRNKEDERYLDVIRETNRQVNKLTIYDARPNVNAVANKATGGGYESDDV
+YHNAELFFLDIHNIHVMRESLKKVKDIVYPNVEESHWLSSLESTHWLEHIKLVLTGAIQV
+ADRVSSGKSSVVVHCSDGWDRTAQLTSLAMLMLDSFYRSIEGFEILVQKEWISFGHKFAS
+RIGHGDKNHADADRSPIFLQFIDCVWQMSKQFPTAFEFNERFLITILDHLYSCRFGTFLY
+NCESAREKQKVTERTVSLWSLINSNKDKFKNPFYTKEINRVLYPVASMRHLELWVNYYIR
+WNPRIKQQQPNPVEQRYMELLALRDEYIKRLDELQLANSAKLSDPSASPSSPSQMMPHVQ
+THF
+>sp|Q3SZM5|NEUA_BOVIN N-acylneuraminate cytidylyltransferase OS=Bos taurus OX=9913 GN=CMAS PE=2 SV=1
+MDSVEKGAATSVSNPRGRPSRGRPPKLQRNSRGGQGRGVEKPPHMAALILARGGSKGIPL
+KNIKHLAGVPLIGWVLRAALDSGVFQSIWVSTDHDEIENVAKQFGAQVHRRSSEASKDSS
+TSLDAIIEFLNYHNEVDIVGNIQATSPCLHPTDLQKVAEMIREEGYDSVFSVVRRHQFRW
+GEIQKGVREMTEPLNLNPAKRPRRQDWDGELYENGSFYFAKRHLIEMGYLQGGKMAYYEM
+RAEHSVDIDVDIDWPIAEQRVLRYGYFGKEKLKEIKLFVCNIDGCLTNGHIYVSGDQKEI
+ISYDVKDAIGISLLKKSGIEVRLISERACSKQTLSSLKLDCKMEVNVPDKLAVVDEWRKE
+MGLCWKEVAYLGNEVSDEECLKKVGLSGVPADACAAAQKAVGYICKSSGGRGALREFAEH
+IFLLMEKVINSCQK
+>sp|A7MB43|MTMR9_BOVIN Myotubularin-related protein 9 OS=Bos taurus OX=9913 GN=MTMR9 PE=2 SV=1
+MEFAELIKTPRVDNVVLHRPFYPAVEGTLCLTGHHLILSSRQDNTEELWLLHSNIDAIDK
+RFVGPLGTIIIKCKDFRIIQLDIPGMEECLNIASSIEALSTLDSITLMYPFFYRPMFEVI
+EDGWHSFLPEQEFELYSSTISEWRLSYVNKEFSVCPSYPPAVIVPKAIDDDALRKVATFR
+HGGRFPVLSYYHKKNGMVIMRSGQPLTGTNGRRCKEDEKLINATLRAGKRGYIIDTRPLN
+IAQQARAKGGGFEQEAHYPQWRRIHKSIDRYHILQESLIKLVESCNDQTQNMDRWLSKLE
+ASNWLTHIKEILTTACLAAQCLDREGASILIHGTEGTDSTLQVTSLAQIILEPRSRTIRG
+FEALIEREWLQAGHPFQQRCAQSAYCNSKQKWESPVFLLFLDCVWQILRQFPCSFEFNEN
+FLIMLFEHAYASQFGTFLGNNESERCKLKLQQKTMSLWSWVNRPSELSKFTNPLFEANNL
+VIWPSVAPQSLQLWEGIFLRWNRSSKYLDEAYEEMVNIIEYNKELQAKVNLLRRQLAELE
+TEDGVQESP
+>sp|Q28172|PRLR_BOVIN Prolactin receptor OS=Bos taurus OX=9913 GN=PRLR PE=1 SV=1
+MKENAASRVVFILLLFLSVSLLNGQSPPEKPKLVKCRSPGKETFTCWWEPGADGGLPTNY
+TLTYHKEGETLIHECPDYKTGGPNSCYFSKKHTSIWKMYVITVNAINQMGISSSDPLYVH
+VTYIVEPEPPANLTLELKHPEDRKPYLWIKWSPPTMTDVKSGWFIIQYEIRLKPEKATDW
+ETHFTLKQTQLKIFNLYPGQKYLVQIRCKPDHGYWSEWSPESSIQIPNDFPVKDTSMWIF
+VAILSAVICLIMVWAVALKGYSMVTCILPPVPGPKIKGFDVHLLEKGKSEELLRALESQD
+FPPTSDCEDLLMEFIEVDDCEDQQLMPRPSKEHTEQGVKPMHLDLDSDSGRGSCDSPSLL
+SEKCDEPQAHPSKFHTPEGPEKLENPETNLTCLQAPQSTSVEGKIPYFLANGPKSSTWPF
+PQPPSLYSPRYSYHNIADVCELALGMAGTTATSLDQTDQHALKASKTIETGREGKATKQR
+ESEGCSSKPDQDTVWPRPQDKTPLISAKPLEYVEIHKVSQDGVLALFPKQNEKFGAPEAS
+KEYSKVSRVTDSNILVLVPDPQAQNLTLLEEPAKKAPPALP
+>sp|A4FUH5|NDUF4_BOVIN NADH dehydrogenase [ubiquinone] 1 alpha subcomplex assembly factor 4 OS=Bos taurus OX=9913 GN=NDUFAF4 PE=2 SV=1
+MGAAVARAVRNFNLENRAEREISRMKPSPAPRHPSTKNLLLEQMSSHPEIKGEIDRKDDK
+LLSLLKDVYVDSQDPVSSLQVKDATARQKPKEFRLPKDHQFDMMDVKNIPKGKISIVEAL
+TLLNNHKLYPDTWTAKKIAEEYHLEQQDVNSLLKYFVTFEVKIFPPEGKKAIESK
+>sp|P17694|NDUS2_BOVIN NADH dehydrogenase [ubiquinone] iron-sulfur protein 2, mitochondrial OS=Bos taurus OX=9913 GN=NDUFS2 PE=1 SV=2
+MAALRALCRLRGAAAQVLRPGAGVRLPIQPSRGARQWQPDVEWAEQYGGAVMYPTKETAH
+WKPPPWNDVDPPKDTLVSNLTLNFGPQHPAAHGVLRLVMELSGEMVRKCDPHIGLLHRGT
+EKLIEYKTYLQALPYFDRLDYVSMMCNEQAYSLAVEKLLNIQPPPRAQWIRVLFGEITRL
+LNHIMAVTTHALDIGAMTPFFWMFEEREKMFEFYERVSGARMHAAYVRPGGVHQDLPLGL
+MDDIYEFSKNFSLRIDELEEMLTNNRIWRNRTVDIGIVTAEDALNYGFSGVMLRGSGIQW
+DLRKTQPYDVYDQVEFDVPIGSRGDCYDRYLCRVEEMRQSIRIISQCLNKMPPGEIKVDD
+AKVSPPKRAEMKTSMESLIHHFKLYTEGYQVPPGATYTAIEAPKGEFGVYLVSDGSSRPY
+RCKIKAPGFAHLAGLDKMSKGHMLADVVAIIGTQDIVFGEVDR
+>sp|Q0VCM4|PYGL_BOVIN Glycogen phosphorylase, liver form OS=Bos taurus OX=9913 GN=PYGL PE=2 SV=1
+MAKPLTDQEKRRQISIRGIVGVENVAELKKGFNRHLHFTLVKDRNVATPRDYFFALAHTV
+RDHLVGRWIRTQQYYYEKCPKRVYYLSLEFYMGRTLQNTMINLGLQNACDEAIYQLGLDM
+EELEEIEEDAGLGNGGLGRLAACFLDSMATLGLAAYGYGIRYEYGIFNQKIRDGWQIEEA
+DDWLRHGNPWEKARPEFMLPVHFYGRVEHTEAGTKWTDTQVVLALPYDTPVPGYLNNTVN
+TMRLWSARAPNDFNLRDFNVGDYIQAVLDRNLAENISRVLYPNDNFFEGKELRLKQEYFV
+VAATLQDVIRRFKASKFDSSNSTKTAFDAFPDQVAIQLNDTHPSLAIPELMRIFVDIEKL
+PWSKAWEITQKTFAYTNHTVLPEALERWPVELVEKLLPRHLQIIYEINQKHLDKIAALFP
+KDVDRLRRMSLIEEEGGKRINMAHLCIVGSHAVNGVAKIHSDIVKTQVFKDFSELEPDKF
+QNKTNGITPRRWLLLCNPGLAELIAEKIGEDYVKDLSQLTKLNSFLGDDIFLREISNVKQ
+ENKLKFSQFLEKEYKVKINPSSMFDVQVKRIHEYKRQLLNCLHVVTMYNRIKKDPKKLFV
+PRTVIIGGKAAPGYYMAKLIIKLITSVAEVVNNDPVVGSKLKLIFLENYRVSLAEKVIPA
+TDLSEQISTAGTEASGTGNMKFMLNGALTIGTMDGANVEMAEEAGEENLFIFGMRIEDVA
+ALDKKGYEAKEYYEALPELKLAIDQIDKGFFSPKQPDLFKDLVNMLFYHDRFKVFADYEA
+YVKCQEKVSQLYMNPKAWNIMVLKNIAASGKFSSDRTIKEYARDIWNMEPSDIKISLSSD
+PSGGANKANGK
+>sp|Q3T051|RL39_BOVIN 60S ribosomal protein L39 OS=Bos taurus OX=9913 GN=RPL39 PE=3 SV=3
+MSSHKTFRIKRFLAKKQKQNRPIPQWIRMKTGNKIRYNSKRRHWRRTKLGL
+>sp|Q3T0Q3|RPB3_BOVIN DNA-directed RNA polymerase II subunit RPB3 OS=Bos taurus OX=9913 GN=POLR2C PE=1 SV=1
+MPYANQPTVRITELTDENVKFIIENTDLAVANSIRRVFIAEVPIIAIDWVQIDANSSVLH
+DEFIAHRLGLIPLTSDDIVDKLQYSRDCTCEEFCPECSVEFTLDVRCNEDQTRHVTSRDL
+ISNSPRVIPVTSRNRDNDPNDYVEQDDILIVKLRKGQELRLRAYAKKGFGKEHAKWNPTA
+GVAFEYDPDNALRHTVYPKPEEWPKSEYSELDEDESQAPYDPNGKPERFYYNVESCGSLR
+PETIVLSALSGLKKKLSDLQTQLSHEIQSDVLTIN
+>sp|Q5E9J6|RNF34_BOVIN E3 ubiquitin-protein ligase RNF34 OS=Bos taurus OX=9913 GN=RNF34 PE=2 SV=1
+MKAGATSMWASCCGLLNEVMGTGAVRGQQSGFAGGTGPFRFTPNSDFSAYPPASAEGPNI
+VCKACGLSFSVFRKKHVCCDCKKDFCSVCSVLQENLRRCSTCHLLQETAFQRPQLMRLKV
+KDLRQYLILRNIPIDTCREKEDLVDLVLCHHRLGSEDDLDTSSLNSSRSQTSSFFTHSFF
+SNYTAPSATASSFQGELMGGDRTLGSGALAQEPSEIASANTEDDEDDDDDDDDDDDDDEE
+NLEDRTPGLTKKRVRASLSDLSSLEDVEGMSVRQLKEILARNFVNYSGCCEKWELVEKVN
+RLYKENEENQKSYGERLQLQDEEDDSLCRICMDAVIDCVLLECGHMVTCTKCGKRMSECP
+ICRQYVVRAVHVFKS
+>sp|A4IF90|RHG15_BOVIN Rho GTPase-activating protein 15 OS=Bos taurus OX=9913 GN=ARHGAP15 PE=2 SV=1
+MQKSTNSDIPVETLNPTRQGTGAVQMRIKNANSHHDRLSQSKSMILTEVGKVTEPISRHR
+RNHSQHILKDVIPPLEQLMVEKEGYLQKAKIADGGKKLRKNWTTSWIVLSSRKIEFYKES
+KQQALSNMKTGNKPESVDLCGAHIEWAKEKSSRKNVFQITTLSGNEFLLQSDIDFIILDW
+FHAIKNAIDRLPKDPSSHSRNLELFKIQRSSSTELLSHYDSDTKEQKPEHRKSLMFRLHH
+SASDTSDKNRVKSRLKKFITRRPSLKTLQEKGLIKDQIFGSHLHTLCEREKSTVPRFVKQ
+CIEAVEKRGLDVDGIYRVSGNLATIQKLRFIVNQEEKLNLDDSQWEDIHVVTGALKMFFR
+DLPEPLFPYSFFEQFVEAIKKQDNNTRIEAIKSLVQKLPPPNRDTMKVLFGHLTKIVARA
+SKNLMSTHSLGIVFGPTLLRAEDESGNMAVHMVYQNQIAELMLSAYDQIFS
+>sp|Q0VCP2|PX11A_BOVIN Peroxisomal membrane protein 11A OS=Bos taurus OX=9913 GN=PEX11A PE=2 SV=1
+MDAFIRFTNQTQGRDRLFRATQYTCMLLRYLLEPKADNEKVVMKLKKLESSVSTGRKWFR
+LGNVVHALQATQQSVRATDLVPRICLTLASLNRVIYFICDTVLFVRSTGLASGVNKEKWR
+RWAARYYYYSLLLSLVRDLYEVSLQMKQVAHDRAKREKSPSQDTLGYSVADEETEWLQSL
+LLLLFHSLKRHPPLFLDTVKNFCDILNPLDQLGIYKSNPGIIGLGGLVSSVAGIITVAYP
+QMKLKTQ
+>sp|Q32P73|RPB9_BOVIN DNA-directed RNA polymerase II subunit RPB9 OS=Bos taurus OX=9913 GN=POLR2I PE=1 SV=1
+MEPDGTYEPGIVGIRFCQECNNMLYPKEDKENRILLYACRNCDYQQEADNSCIYVNKITH
+EVDELTQIIADVSQDPTLPRTEDHPCQKCGHKEAVFFQSHSARAEDAMRLYYVCTAPHCG
+HRWTE
+>sp|A6QP24|INKA2_BOVIN PAK4-inhibitor INKA2 OS=Bos taurus OX=9913 GN=INKA2 PE=2 SV=1
+MDCYLRRLKQELMSMKEVGDGLQDQMNCMMGALQELKLLQVQTALEQLEISGGGPAPGSP
+ESPWTQLEPPQWEGSRGPVRPGACSPSNQASLGSTSSGKFPSHRSVCGRELATPPRTPLP
+EPQPSAQQGPELAEPDDWTSTLMSRGRNRQPLVLGDNVFADLVGNWLDLPELEKGGEKGE
+TGEAGEPKGGRGQPRELGRRFALTANIFRKFLRSVRPDRDRLLKEKPGWVTPTASEPRAG
+RSQKVKKRSHSKGSGHCPFPGASEPRRGENASTGCPKALESSPSGFDINTAVWV
+>sp|Q9N285|MTCH2_BOVIN Mitochondrial carrier homolog 2 OS=Bos taurus OX=9913 GN=MTCH2 PE=2 SV=2
+MADAASQVLLGSGLTILSQPLMYVKVLIQVGYEPLAPTVGRNIFGRQVCQLPGLFCYAQH
+IASIDGKRGLFTGLTPRLCSGILGTVVHGKVLQHYQECDKAEESGSGNVQKEVSSSFDRV
+IKETTREMMARSAATLITHPFHVITLRSMVQFIGRESKYCGLCDSIATIYREEGILGFFA
+GLIPRLLGDIISLWLCNSLAYLVNTYALDSGVSTMNEMKSYSQAVTGFFASMLTYPFVLV
+SNLMAVNNCGLAGGCPPYAPIYSSWIDCWCMLQKEGNMSRGNSLFFRKVPFGKTYCCDLR
+MLI
+>sp|O97583|NDST2_BOVIN Bifunctional heparan sulfate N-deacetylase/N-sulfotransferase 2 OS=Bos taurus OX=9913 GN=NDST2 PE=2 SV=1
+MLKLWKVVRPARQLELHRLILLLIAFSLGSMGFLAYYVSTSPKAKEPLPLPLGDCSSSGA
+AGGPGPVRPPVPPRPPRPPETARTEPVVLVFVESAYSQLGQEIVAILESSRFRYSTELAP
+GRGDMPTLTDHTRGRYVLVIYENLLKYVNLDAWSRELLDRYCVEYGVGIIGFFRAHEHSL
+LSAQLKGFPLFLHSNLGLRDYQVNPTAPLLHLTRPSRLEPGPLPGDDWTIFQSNHRTYEP
+VLLGSLRPAEPPVPGPVARRARLPTVVQDLGVHDGIQRVLFGHGLSFWLHKLVFRDAGGY
+LTGKGLLWDLDRYILVDIDDIFVGKEGTRMKVADVEALLTTQNKLRTLVPNFTFNLGFSG
+KFYHTGTEEEDAGDDMLLNHRREFWWFPHMWSHMQPHLFHNRSVLADQMRLNKQFALEHG
+IPTDLGYAVAPHHSGVYPIHTQLYEAWKSVWGIQVTSTEEYPHLRPARYRRGFIHNGIMV
+LPRQTCGLFTHTIFYNEYPGGSRELDRSIRGGELFLTVLLNPISIFMTHLSNYGNDRLGL
+YTFESLVRFLQCWTSLRLQTLPPVPLGRKYFDLFPQERSPLWQNPCDDKRHKDIWSKEKT
+CDRLPKFLIVGPQKTGTTAIHFFLSLHPAVTSSFPSPSTFEEIQFFNGPNYHKGIDWYMD
+FFPVPSNASTDFLFEKSATYFDSEVVPRRGAALLPRAKIITVLTNPADRAYSWYQHQRAH
+GDPVALNYTFYQVITASSQDPPALRSLQNRCLVPGYYSTHLQRWLTYYPSGQLLIVDGQE
+LRTNPAASMEIIQKFLGITPFLNYTRTLRFDEDKGFWCQGLEGGKTRCLGKSKGRKYPDM
+DAESRLFLTDFFRNHNLELSKLLSRLGQPVPSWLREELQHSSSG
+>sp|Q1LZD9|PSPC1_BOVIN Paraspeckle component 1 OS=Bos taurus OX=9913 GN=PSPC1 PE=2 SV=1
+MMLRGNLKQVRIEKNPARLRALESAAGEGDPAALALALPGEPPVPAAPAGEDRPADEGGF
+TIDIKSFLKPGEKTYTQRCRLFVGNLPTDITEDDFKRLFERYGEPSEVFINRDRGFGFIR
+LESRTLAEIAKAELDGTILKSRPLRIRFATHGAALTVKNLSPVVSNELLEQAFSQFGPVE
+KAVVVVDDRGRATGKGFVEFAAKPPARKALERCGDGAFLLTTTPRPVIVEPMEQFDDEDG
+LPEKLMQKTQQYHKEREQPPRFAQPGTFEFEYASRWKALDEMEKQQREQVDRNIREAKEK
+LEAEMEAARHEHQLMLMRQDLMRRQEELRRLEELRNQELQKRKQIQLRHEEEHRRREEEM
+IRHREQEELRRQQEGFKPNYMENREQEMRMGDMGPRGAINMGDAFSPAPAGNQGPPAMMG
+MNMNNRGTIPGPPMGPGPAMGPEGAANMGTPMMPDNGAVHNDRFPQGPPSQMGSPMGSRT
+GSETPQAPMSGVGPVSGGPGGFGRGSQGANFEGPNKRRRY
+>sp|P12401|PLRP2_BOVIN Placental prolactin-related protein 2 OS=Bos taurus OX=9913 GN=PRP2 PE=2 SV=1
+MVETRLGWEAGGGLGAPGGPGGKLGGLGLAVGGTHSWSGLLKRVDRREARAQPRLLVLGC
+LLCQGISCPSCGPDMFVSLQKSLIDVFINAASLSHDFHNLSTIMFNEFDEKYAQGKLYYI
+NATKSCHTNSFHTPEERDKAQQMNNEDLSKWTLVLLYSWNNPLYYLLLELRNMKNLSEAV
+ISSAMEIENMSEKLQAFIESQFRKIIVPVLKMIHEVSNTWSRFSSMTFSDEDRSISEYYN
+LFYCLRRDSRKVDMYIKILTCRTRKTC
+>sp|Q2HJ41|PRP18_BOVIN Pre-mRNA-splicing factor 18 OS=Bos taurus OX=9913 GN=PRPF18 PE=2 SV=1
+MDVLKSEILRKRQLVEDRNLLVENKKYFKRSELAKKEEEAYFERCGYKIQPKDEDQKPLT
+SSNPVLELELAEEKLPMTLSRQEVIRRLRERGEPIRLFGETDYDAFQRLRKIEILTPEVN
+KGLRNDLKAALDKIDQQYLNELVGGQEPGEEDTQNDLKVHEENTTIEELEALGESLGKGD
+DHKDMDIITKFLKFLLGVWAKELNAREDYVKRSVQGKLNSATQKQTESYLRPLFRKLRKR
+NLPADIKESITDIIKFMLQREYVKANDAYLQMAIGNAPWPIGVTMVGIHARTGREKIFSK
+HVAHVLNDETQRKYIQGLKRLMTICQKHFPTDPSKCVEYNAL
+>sp|Q08E00|RASLC_BOVIN Ras-like protein family member 12 OS=Bos taurus OX=9913 GN=RASL12 PE=2 SV=1
+MASVFGKPRAGGGQQSAPLEVNLAILGRRGAGKSALTVKFLTRRFISEYDPNLEDTYSSE
+ETVDHQPVHLRVMDTADLDTPRNCERYLNWAHAFLVVYSVDSRQSFEGSSSYLELLALHA
+KETQRSFPALLLGNKLDMAQYRQVTQAEGAALAGRFGCLFFEVSACLDFEHVQHVFHEAV
+REARRELEKNSLARPLFISEERAVHHQAPLTARHGLASCTFNTLSTTSLKEMPAVAQAKL
+VTVKSSRAQSKRKAPTLTLLKGFKIF
+>sp|P00744|PROZ_BOVIN Vitamin K-dependent protein Z OS=Bos taurus OX=9913 GN=PROZ PE=1 SV=1
+AGSYLLEELFEGHLEKECWEEICVYEEAREVFEDDETTDEFWRTYMGGSPCASQPCLNNG
+SCQDSIRGYACTCAPGYEGPNCAFAESECHPLRLDGCQHFCYPGPESYTCSCARGHKLGQ
+DRRSCLPHDRCACGTLGPECCQRPQGSQQNLLPFPWQVKLTNSEGKDFCGGVLIQDNFVL
+TTATCSLLYANISVKTRSHFRLHVRGVHVHTRFEADTGHNDVALLDLARPVRCPDAGRPV
+CTADADFADSVLLPQPGVLGGWTLRGREMVPLRLRVTHVEPAECGRALNATVTTRTSCER
+GAAAGAARWVAGGAVVREHRGAWFLTGLLGAAPPEGPGPLLLIKVPRYALWLRQVTQQPS
+RASPRGDRGQGRDGEPVPGDRGGRWAPTALPPGPLV
+>sp|Q08DA4|PHTF1_BOVIN Putative homeodomain transcription factor 1 OS=Bos taurus OX=9913 GN=PHTF1 PE=2 SV=1
+MASNERDAISWYQKKIGAYDQQIWEKSIEQTQIKGFKNKPKKMGHIKADLIDVDLIRGST
+FAKAKPEIPWTSLTRKGLVRVVFFPLFSSWWIQVTSLRIFVWLLLLYLMQVIALVLYFMM
+PIVNVSEVLGPLCLMLLMGTVHCQIVSTQITRPSGNNGNRRRRKLRKTVNGDGSRENGNN
+SSDKARGVETLESAPLNGSFWRTLFGNRMKRVKLICNRGTETDYDSGCLHPIIKKRQCRP
+EIRMWQTREKAKFSDGEKGRRESFRRLGNGISDDLSSDDDGEAQTQMMILRRSVEGASSD
+NGCEIKSRKSILSRHLNTQVKKTTSKWCSVVRDSDSLAESEFESAAFSQGSRSGMSGGSR
+SLNMLRRDSESTRHDSETEDMLWDDLLHGPECRSSVTSDSEGAHVSSLHSGTKRDPKEDV
+FQQNHLFWLQNSSPASDRVSAIIWEGNECKKMDMSVLEISGIIMSRVNAYQQGVGYQMLG
+NIVTIGLAFFPFLHRLFREKNLDQLKSISAEEILTLFCGAPPVTPIIILSIINFFERLCL
+TWMFFFMMCVAERTYKQRFLFAKLFSHITSARKARKYEIPHFRLKKVENIKIWLSLRSFL
+KRRGPQRSVDVVVSSVFLLTLSIAFICCAQVLRGHKTFLNDAYNWEFLIWESALLLFLLR
+LASLGSETNKKYSNVSILLTEQINLYLKMEKKPNKKEQLTLVNNVLKLSTKLLKELDTPF
+RLYGLTMNPLIYNITRVVILSAVSGVISDLLGFNIRLWKIKS
+>sp|Q2HJ49|MOES_BOVIN Moesin OS=Bos taurus OX=9913 GN=MSN PE=2 SV=3
+MPKTINVRVTTMDAELEFAIQPNTTGKQLFDQVVKTIGLREIWFFGLQYQDTKGFFTWLK
+LNKKVTAQDVRKESPLLFKFRAKFYPEDVSEELIQDITQRLFFLQVKEDILNDDIYCPPE
+TAVLLASYAVQSKYGDFNKEVHKSGYLAGDRLLPQRVLEQHKLNKDQWEERIQVWHEEHR
+GMLREDAVLEYLKIAQDLEMYGVNYFSIKNKKGSELWLGVDALGLNIYEQNDRLTPKIGF
+PWSEIRNISFNDKKFVIKPIDKKAPDFVFYAPRLRINKRILALCMGNHELYMRRRKPDTI
+EVQQMKAQAREEKHQKQMERALLENEKKKREMAEKEKEKIEREKEELMERLKQIEEQTKK
+AQQELEEQTRRALELEQERKRAQSEAEKLAKERQEAEEAKEALLQASQDQKKTQEQLALE
+MAELTARISQLEMARQKKESEAVEWQQKAQMVQEDLEKTRAELKTAMSTPHVAEPAENEQ
+DEQDENGAEASAELRADAMAKDRSEEERTTEAEKNERVQKHLKALTSELANARDESKKTA
+NDMIHAENMRLGRDKYKTLRQIRQGNTKQRIDEFESM
+>sp|Q2YDN6|RPF2_BOVIN Ribosome production factor 2 homolog OS=Bos taurus OX=9913 GN=RPF2 PE=2 SV=1
+MDALDRVVKPKTKRAKRFLEKREPKLSENIKNAMLIKGGNANSTVTQVLRDVYALKKPYG
+ILYKKKNITRPFEDQTSLEFFSKKSDCSLFMFGSHNKKRPNNLVIGRMYDYHVLDIIELG
+IEKFVSLKDIKNSKCPEGTKPMLIFAGDDFDVTEDYRRLKSLLIDFFRGPTVSNIRLAGL
+EYVLHFTALNGKIYFRSYKLLLKKSGCRTPRIELEEMGPSLDLVLRRTHLASDDLYKLSM
+KMPKALKPKKKKNISHDTFGTTYGRIHMQKQDLSKLQTRKMKGLKKRPAERKAEDEENKS
+KRIKKN
+>sp|Q3ZBW4|PCNA_BOVIN Proliferating cell nuclear antigen OS=Bos taurus OX=9913 GN=PCNA PE=2 SV=1
+MFEARLVQGSILKKVLEALKDLINEACWDISSSGVNLQSMDSSHVSLVQLTLRSEGFDTY
+RCDRNLAMGVNLTSMSKILKCAGNEDIITLRAEDNADTLALVFEAPNQEKVSDYEMKLMD
+LDVEQLGIPEQEYSCVVKMPSGEFARICRDLSHIGDAVVISCAKDGVKFSASGELGNGNI
+KLSQTSNVDKEEEAVAIEMNEPVQLTFALRYLNFFTKATPLSPTVTLSMSADVPLVVEYK
+IADMGHLKYYLAPKIEDEEGS
+>sp|Q08DH5|R7BP_BOVIN Regulator of G-protein signaling 7-binding protein OS=Bos taurus OX=9913 GN=RGS7BP PE=2 SV=1
+MSSAPNGRKKRPSRSTRSSIFQISKPPLQTGDWERRGSGSESAHKSQRALDDCKMLVQEF
+NTQVALYRELVISIGDVSVSCPSLREEMHKTRTKGCEMARQAHQKLAAISGPEDGEIHPE
+ICRLYIQLQCCLEMYTTEMLKSICLLGSLQFHRKGKEPGGGSKSLDCKIEECAETPALED
+SSPSPVDIQQHSWQVSTDIENTERDMREMKNLLSKLRETMPLPLKNQDDSSLLNLTPYPL
+VRRRKRRFFGLCCLVSS
+>sp|P61284|RL12_BOVIN 60S ribosomal protein L12 OS=Bos taurus OX=9913 GN=RPL12 PE=2 SV=1
+MPPKFDPNEIKVVYLRCTGGEVGATSALAPKIGPLGLSPKKVGDDIAKATGDWKGLRITV
+KLTIQNRQAQIEVVPSASALIIKALKEPPRDRKKQKNIKHSGNITFDEIVNIARQMRHRS
+LARELSGTIKEILGTAQSVGCNVDGRHPHDIIDDINSGAVECPAS
+>sp|Q3MHH6|PYRD2_BOVIN Pyridine nucleotide-disulfide oxidoreductase domain-containing protein 2 OS=Bos taurus OX=9913 GN=PYROXD2 PE=2 SV=1
+MTICGRGLRRAVGASPRPTWRRALSDTRGRLKPEYDAVVVGAGHNGLVAAAYLQRFGVNT
+AVFERRHVIGGAAVTEEIVPGFKFSRASYLLSLLRPQIYSELELKKHGLRLHLRNPYSFT
+PMLEEGTGGKVPRSLLLGTDMVENQKQIAQFSKKDAQAFPKYEAFMDRLALAIDPLLDSA
+PVDLEAFQRGSLLQRLKSLSTLKPLWQAGCILGAQLPQYYQVLTAPAAKVLDQWFESEPL
+KATLATDAVIGAMTNPYIPGSGYVLLHHVMGSLEGVRGAWGYVQGGMGALSDAIASSATA
+HGVSIFTEKTVAKVQVSSGGRVQGVVLQDGSEVRSKVVLSNASPQITFLKLTPQEWLPEE
+FVARIAQLDTKSPVTKINVAVNRLPDFLAAPNTPGDQPLPHHQCSIHLNCEDTLLVHQAF
+EDTLDGLPSKRPLIELCIPSSLDPTLAPPGCHVVSLFTQYTPYTLAGGKAWDEQQRNTYA
+DRVFDCIEAYAPGFKGSVVGRDILTPPDLERVFGLPGGNIFHCAMSLDQLYFARPVPLHS
+SYCSPLRGLYLCGSGAHPGGGVMGAAGRNAAHVVFRDLRSM
+>sp|Q17QB7|RAB30_BOVIN Ras-related protein Rab-30 OS=Bos taurus OX=9913 GN=RAB30 PE=2 SV=1
+MSMEDYDFLFKIVLIGNAGVGKTCLVRRFTQGLFPPGQGATIGVDFMIKTVEINGEKVKL
+QIWDTAGQERFRSITQSYYRSANALILTYDITCEESFRCLPEWLREIEQYASNKVITVLV
+GNKIDLAERREVSQQRAEEFSEAQDMYYLETSAKESDNVEKLFLDLACRLISEARQNTLV
+NNVSSPLPGEGKSISYLTCCNFN
+>sp|O02769|MTR1A_BOVIN Melatonin receptor type 1A (Fragment) OS=Bos taurus OX=9913 GN=MTNR1A PE=3 SV=1
+YPLALASIVNDGWSLSSLHCQLSGFLMGLSVIGSVFNITGIAINRYCCICHSLRYNKLYS
+STNSLCYVFLIWMLTLVAIVPNLCVGTLQYDPRIYSCTFTQSVSSAYTIAVVVFHFIVPM
+LVVIFCYLRIWALVLQVRWRVKPDNKPKLKPQDFRNFVTMFVVFVLFAICWAPLNFIGLV
+VASEPASMAPRIPEWLFVASYYMGYFNSCLNAIIYGLLNQNFRQEYRKIIVSLCTTKMFF
+VDSSNHVAHRIKRKPSP
+>sp|P38657|PDIA3_BOVIN Protein disulfide-isomerase A3 OS=Bos taurus OX=9913 GN=PDIA3 PE=2 SV=1
+MRLRRLALFPGLALLLAAARLAAASDVLELTDDNFESRITDTGSSGLMLVEFFAPWCGHC
+KKLAPEYEAAATRLKGIVPLAKVDCTANTNTCNKYGVSGYPTLKIFRDGEESGAYDGPRT
+ADGIVSHLKKQAGPASVPLKSEEEFEKFISDKDASVVGFFKDLFSEAHSEFLKAASNLRD
+NYRFAHTNVESLVNKYDDDGEGITLFRPSHLTNKFEDKTVAYTEQKMTSGKIKRFIQENI
+FGICPHMTEDNKDLLQGKDLLIAYYDVDYEKNAKGSNYWRNRVMMVAKKFLDAGQKLHFA
+VASRKTFSHELSDFGLESTTGEIPVVAVRTAKGEKFVMQEEFSRDGKALERFLEDYFDGN
+LKRYLKSEPIPESNDGPVKVVVAENFDEIVNNENKDVLIEFYAPWCGHCKNLEPKYKELG
+EKLRKDPNIVIAKMDATANDVPSPYEVRGFPTIYFSPANKKQNPKKYEGGRELSDFISYL
+KREATNPPVIQEEKPKKKKKAQEDL
+>sp|A7YVH9|PYRD1_BOVIN Pyridine nucleotide-disulfide oxidoreductase domain-containing protein 1 OS=Bos taurus OX=9913 GN=PYROXD1 PE=2 SV=1
+MEAPCSPPTAGKFVVVGGGIAGVTCAEQLAINFPSEDILLITASPVIKAVTNFKQVSKVL
+EEFDVEEQPSTMLENRFPNIKVIESGVKQLKSKEHCVLTEDGNQHIYKKLCLCAGAKPKL
+ICEGNPYVLGIRDTDSAQEFQKQLTKAKRIMIIGNGGIALELVYEIEGCEVIWVIKDKAI
+GNTFFDAGAAEFLTSKLIDEKPEAKIAQKRTRYTTEGRKKETQARASAGNVGSALGPDWH
+EGLDLKGTKEFSHKIHIETMCEVKKIYLQEEFRISEKKSLTFPRDHHDQSVTTDKEIWPV
+YVELTNEKIYGCDFIVSATGVTPNTEPFLCGNNFDVGEDGGLKVDDHMHTSLPDIYAAGD
+ICTAAWHPSPVWQQMRLWTQARQMGWYAAKCMAAASVGESIDMDFSFELFAHVTKFFNYK
+VVLLGKYNAQGLGSNHELLLRCTKGQEYIKAVLQNGRMMGAVLIGETDLEETFENLILNQ
+MNLSAYGEDLLDPNIDIEDYFD
+>sp|A1A4L8|MOCS3_BOVIN Adenylyltransferase and sulfurtransferase MOCS3 OS=Bos taurus OX=9913 GN=MOCS3 PE=2 SV=2
+MAAREEVLALQAEVAQREEELSSLKQRLAAALSTGQESARSVPVSPLPPRAALSREEIRR
+YSRQLVLPELGMQGQLRLAAAAVLVVGCGGLGCPLAQYLAAAGVGRLGLVDYDVVEASNL
+ARQVLHGEALAGQAKVFSAAAALRRLNSAVECVPYAQALTPATALDLVRRYDVVADCSDN
+APTRYLVSDACVLAGRPLVSASALRFEGQLTVYHYGGGPCYRCVFPRPPPAETVTSCADG
+GVLGAVTGVLGCLQALEVLKTAAGLGPSYSGRLLLFDALRGDFRCIRLRRRRPDCAACGE
+RPTVTDLQDYESFCGSSATDKCRSLRLLSPEERISIMDYKRLLDSRSPHLLLDVRPQVEV
+DICRLPHALHIPLKSLERRDAESLKVLGEAIREGKQGAQEGASVPIYVICKLGNDSQKAV
+KILQSWADLDSLTVKDVVGGLMAWAAKIDGTFPQY
+>sp|Q1LZA4|PIGW_BOVIN Phosphatidylinositol-glycan biosynthesis class W protein OS=Bos taurus OX=9913 GN=PIGW PE=2 SV=1
+MSQKQMKEAFVSNQNGTSVLEITEGLCLPALCILCRGLLIILSQQLCSSLHNSRTRFLVD
+FAFLIVPLVTTLTIFSSFVLLEYLVAIILGAGLLYEIYCRRTCYARMPFQKICEKFLKVS
+LESEHIPAISCFRVVNSAFTAVAILAVDFPLFPRRYAKTELYGTGAMDYGVGGFIFGSAM
+VSPEVRRKYTKGSRFCYLTKSLYSLWPLVFLGVGRLVAIKSVDYQEHLTEYGVHWNFFFT
+LIAVKLITSLLLLICPLNRSWVVAISIAALYQLALDFTPLKSLILYGTDGSGTRVGLLNA
+NREGIISVLGYVAVHMAGVQTGLYVLKKRSHIKDWIKVACCILLTAIGLFISLYIVQVNV
+EVASRRMANLAFCIWIVASCLILLSSLLLGDIILSFAKFVIKEAAVPCSWKLIQSPTANK
+KHLESIVFDAKRKEPTLCLITAMNRNQLLFFLLSNVTTGLVNLSIDTLHSSTPWALCLLN
+LYMFTNCLIIYVLHLQDKTIKFW
+>sp|Q148H4|KRT81_BOVIN Keratin, type II cuticular Hb1 OS=Bos taurus OX=9913 GN=KRT81 PE=2 SV=1
+MTCGSGFRGRAFSCVSACGPRPGRCCITAAPYRGISCYRGLTGGFGSRSICGGFRAGSFG
+RSFGYRSGGVGGLNPPCITTVSVNESLLTPLNLEIDPNAQCVKQEEKEQIKCLNNRFAAF
+IDKVRFLEQQNKLLETKLQFYQNRQCCESNLEPLFNGYIETLRREAECVEADSGRLSSEL
+NSLQEVLEGYKKKYEEEVALRATAENEFVALKKDVDCAYLRKSDLEANVEALIQEIDFLR
+RLYEEEIRVLQAHISDTSVIVKMDNSRDLNMDNIVAEIKAQYDDIASRSRAEAESWYRSK
+CEEIKATVIRHGETLRRTKEEINELNRVIQRLTAEVENAKCQNSKLEAAVTQAEQQGEAA
+LNDAKCKLAGLEEALQKAKQDMACLLKEYQEVMNSKLGLDIEIATYRRLLEGEEQRLCEG
+VGSVNVCVSSSRGGVVCGDLCVSGSRPVTGSVCSAPCSGNLAVSTGLCAPCGPCNSVTSC
+GLGGISSCGVGSCASVCRKC
+>sp|Q1JPJ0|NDOR1_BOVIN NADPH-dependent diflavin oxidoreductase 1 OS=Bos taurus OX=9913 GN=NDOR1 PE=2 SV=2
+MPSARLLVLFGSQTGTAQDVSERLGREARRRQLSCRVEELDSYPVVNLINEPLVIFVCAT
+TGQGDPPDNMKSFWRFIFRRSLPSTALRQMDFAVLGLGDSSYAKFNFVAKKLHRRLLQLG
+GSALLPVCLGDDQHELGPDAAIDPWLQDLWEKVLGPHPVPLNLDLSPPGVLWPSKFTLQF
+LKDTPSSGPEELCAAGTDPQGPPSELQPFLAPMVSNQRVTGPSHFQDVRLIEFDISGSGI
+SFAAGDLVLIQPENTASHVQQFCQALGLDPEQHFTLQPREPGVTCPTRLPQPCSVRRLVS
+QYLDIASVPRRSFFELLACLSPHELEREKLWEFGSARGQEELCEYCTRPRRTALEVLCDF
+PHTAAAVPPDYLLDLLPLIRPRAFSIASSLRAHPSRLQILVAVVQYQTRLREPRRGLCSS
+WLASLDPAQGPVRVPLWVRSGGLTFPKTPDVPVIMVGPGTGVAPFRAAIQERVAQGETGN
+VLFFGCRRRDQDFYWEAEWEQLQARGCLTLVTAFSREQEQKVYVQHRLRALGPLVWELLD
+GRGAHFYLAGNAKYMPADVCDTLLSIFREEGGLSDPDAAAYLAQLQRTLRFQTETWA
+>sp|Q2HJH9|PDCD5_BOVIN Programmed cell death protein 5 OS=Bos taurus OX=9913 GN=PDCD5 PE=2 SV=3
+MAEQELEALRKQRLAELQAKHGDPGDAAQQEAKHREAEMRNSILAQVLDQSARARLSNLA
+LVKPEKTKAVENYLIQMARYGQLSGKVSEQGLIEILEKVSQQTEKKTTVKFNRRKVMDSD
+EDDDY
+>sp|P09487|PPBT_BOVIN Alkaline phosphatase, tissue-nonspecific isozyme OS=Bos taurus OX=9913 GN=ALPL PE=1 SV=2
+MISPFLLLAIGTCFASSLVPEKEKDPKYWRDQAQQTLKNALRLQTLNTNVAKNVIMFLGD
+GMGVSTVTAARILKGQLHHSPGEETKLEMDKFPYVALSKTYNTNAQVPDSAGTATAYLCG
+VKANEGTVGVSAATQRSQCNTTQGNEVTSILRWAKDAGKSVGIVTTTRVNHATPSASYAH
+SADRDWYSDNEMPPEALSQGCKDIAYQLMHNIKDIEVIMGGGRKYMFPKNRTDVEYELDE
+KARGTRLDGLNLIDIWKSFKPKHKHSHYVWNRTDLLALDPHSVDYLLGLFEPGDMQYELN
+RNNATDPSLSEMVEMAIRILNKNPKGFFLLVEGGRIDHGHHEGKAKQALHEAVEMDQAIG
+QAGAMTSVEDTLTVVTADHSHVFTFGGYTPRGNSIFGLAPMVSDTDKKPFTAILYGNGPG
+YKVVGGERENVSMVDYAHNNYQAQSAVPLRHETHGGEDVAVFAKGPMAHLLHGVHEQNYI
+PHVMAYAACIGANRDHCASASSSGSPSPGPLLLLLALLPLGSLF
+>sp|P56717|OREX_BOVIN Orexin-A OS=Bos taurus OX=9913 GN=HCRT PE=1 SV=1
+QPLPDCCRQKTCSCRLYELLHGAGNHAAGILTL
+>sp|Q3B8S0|NH2L1_BOVIN NHP2-like protein 1 OS=Bos taurus OX=9913 GN=SNU13 PE=2 SV=3
+MTEADVNPKAYPLADAHLTKKLLDLVQQSCNYKQLRKGANEATKTLNRGISEFIVMAADA
+EPLEIILHLPLLCEDKNVPYVFVRSKQALGRACGVSRPVIACSVTIKEGSQLKQQIQSIQ
+QSIERLLV
+>sp|Q08DZ8|PRIPO_BOVIN DNA-directed primase/polymerase protein OS=Bos taurus OX=9913 GN=PRIMPOL PE=2 SV=1
+MKRKWEATLKQIEERASHYERKPLSSVYRPRLSKPEEPPSIWKLFHRQTQAFNFVKSCKQ
+EVHVFALECKVGDGQRIYLVTTYTQLWFYYKSRRNLLHCYEVIPENAVCKLYFDLEFNKL
+ANPGADGKKMVALLIEHVCKALQEFYTVNCSAEDVLNLDSSTEEKFSRHLIFQLHDVAFK
+DNIHVGNFVRKILQPAFHLIASEDEDMTPETTGHEFTHFSETPSEQGTCFSKMSTDIDVG
+ESQTSNSEKLGRLGSAQQSSPDLSFLIVKNDMGEKRLFVDLGVYTRNRNFRLYKSSKIGK
+YVALEVAEDNKFFPIQSKNISKENQYFLSSLVSNVRFSDALRILTCDVPQSKQRVQCFSR
+TGTSVEAIEGFQCSPYPEIDQFVLSLVNKNGIKGGIRRWNYFFPEELLVYDICKYRWCEN
+IGRAHRSNNIMILVDLKNEVWYQKCHDPVCKAENFKSDCFPLPAEVCLLSLLKEGEEFTT
+DTTADTETKSPHGPSSSVLSKGAFSDADWDNGIDDTYILEATEDAELAEAAENSLLAYNR
+MDEIPDELLIEVLQE
+>sp|Q9BGI2|PRDX4_BOVIN Peroxiredoxin-4 OS=Bos taurus OX=9913 GN=PRDX4 PE=2 SV=1
+MEAPPPPPPLPATTLAPGRSRKLLLLPLLLFLLRAEAVRGFEAEERPRTREEECHFYAGG
+QVYPGEVSRVSVAEHSLHLSKAKISKPAPYWEGTAVINGEFKELKLTDYRGKYLVFFFYP
+LDFTFVCPTEIIAFGDRIDEFRSINTEVVACSVDSQFTHLAWINTPRRQGGLGSINIPLL
+ADLNHQISKDYGVYLEDSGHTLRGLFIIDDKGILRQITLNDLPVGRSVDETLRLVQAFQY
+TDKHGEVCPAGWKPGSETIIPDPAGKLKYFDKLN
+>sp|Q01321|NDUA4_BOVIN Cytochrome c oxidase subunit NDUFA4 OS=Bos taurus OX=9913 GN=NDUFA4 PE=1 SV=1
+MLRQIIGQAKRHPSLIPLFIFIGAGGTGAALYVTRLALFNPDVSWDRKNNPEPWNKLGPN
+DQYKFYSVNVDYSKLKKEGPDF
+>sp|A7YVD7|NDUF6_BOVIN NADH dehydrogenase (ubiquinone) complex I, assembly factor 6 OS=Bos taurus OX=9913 GN=NDUFAF6 PE=2 SV=1
+MAASTLGSAWGPLRLGVPGLCRRRPPRGLWARARRLSEPVASGRSVAAGSGLGASGTDRY
+CLELLRKRDYEGYLCSLLLPAESRSSAFALRAFNVELAQIKDSVSEKTIGLMRMQFWKKT
+VDDIYSDNPPHQPVAIELWKAVRRHNLTKRWLMKIIDEREKNLDDKAYRNIQELENYAEN
+TQSSLLYLTLEILGIKDLHADHAASHIGKAQGIVTCLRATPYHGSRRRVFLPMDICMLHG
+VSQEDFLRKSQDRNVRDVVYDVASQAHLHLKHARSFHRSIPVKAFPAFLQTVALEDYLKK
+IQQVDFDLFHPSLQRKNTLLPLSLYIQSWRKRY
+>sp|Q32KW0|NFYB_BOVIN Nuclear transcription factor Y subunit beta OS=Bos taurus OX=9913 GN=NFYB PE=2 SV=1
+MTMDGDSSTTDASQLGISADYIGGSHYVIQPHDDTEDSMNDHEDTNGSKESFREQDIYLP
+IANVARIMKNAIPQTGKIAKDAKECVQECVSEFISFITSEASERCHQEKRKTINGEDILF
+AMSTLGFDSYVEPLKLYLQKFREAMKGEKGIGGAVTATDGLSEELTDEAFTNQLPAGLIT
+ADGQQQNVMVYTTSYQQISGVQQIQFS
+>sp|Q2HJ93|PHF11_BOVIN PHD finger protein 11 OS=Bos taurus OX=9913 GN=PHF11 PE=2 SV=1
+MDTAGPSAPESTRGASWSEAQAARDIVPLPTGVFQVAERLQKRICALCPKDLECSVLYFA
+QSENIAAHENCLLYSSALVECEDYDPSNNDRNFDVESVKKEIKRGRKLKCTFCGKKGATV
+GCDLKSCFKNYHFFCAKNDHAVLQADGRTGIYKVFCQQHADPQNDLPSVKPLSGVFHSHY
+SEQTKPRHACFSGVKRKRGRSKRHHVQPPERMALKKEKDGRHTDAIVKAAFLKKCKEAGL
+LDALFEEILDKLHLIQERLMDETTAESDYEEIGTSLFDCRLFEDTLVNFQAAIENQIHQS
+EERRRQLKEEIELLQDLKQTLCSGLQSSSTSDSSLSS
+>sp|Q17QU4|RB39B_BOVIN Ras-related protein Rab-39B OS=Bos taurus OX=9913 GN=RAB39B PE=2 SV=1
+MEAIWLYQFRLIVIGDSTVGKSCLIRRFTEGRFAQVSDPTVGVDFFSRLVEIEPGKRIKL
+QIWDTAGQERFRSITRAYYRNSVGGLLLFDITNRRSFQNVHEWLEETKVHVQPYQIVFVL
+VGHKCDLDTQRQVTRHEAEKLAAAYGMKYIETSARDAINVEKAFTDLTRDIYELVKRGDI
+TIQEGWEGVKSGFVPNVVHSSEEVVKSERRCLC
+>sp|Q8HZJ5|RB27B_BOVIN Ras-related protein Rab-27B OS=Bos taurus OX=9913 GN=RAB27B PE=2 SV=3
+MTDGDYDYLIKLLALGDSGVGKTTFLYRYTDNKFNPKFITTVGIDFREKRVAYNTQGPNG
+PTGKAFKVHLQLWDTAGQERFRSLTTAFFRDAMGFLLMFDLTSQQSFLNVRNWMSQLQAN
+AYCENPDIVLIGNKADLPDQREVNERQARDLAEKYSIPYFETSAATGQNVEKAVETLLDL
+IMKRMEQCVEKTHIPDTVNGSSSGKLDGEKSAEKKCAC
+>sp|Q3SZD1|PEX19_BOVIN Peroxisomal biogenesis factor 19 OS=Bos taurus OX=9913 GN=PEX19 PE=2 SV=1
+MAAAEGDGGVRAEADRELEELLESALDDFDKAKPSPAPPPTTTAPDASGPQKRSPGDTAK
+DALFASQEKFFQELFDSELASQATAEFEKAMKELAEEEPHLVEQFQKLSEAAGRVGSDAT
+SQQEFTSCLKETLSGLAKNATDLQNSGMSEEELTKAMEGLGMDEGDGEGTILPIMQSIMQ
+NLLSRDVLYPSLKEITEKYPEWLRAHRDSLPPEQFEKYQEQHSVMGKICEQFEAETPTDS
+EATQKARFEVVLDLMQQLQDLGHPPKELAGEMPPGLNFDLDALNLSGPPGANGEQCLIM
+>sp|P82708|RET5_BOVIN Retinol-binding protein 5 OS=Bos taurus OX=9913 GN=RBP5 PE=1 SV=2
+MPPNLTGYYRFVSQKNLEDYLQALNVNMALRKIALLLKPDKEIDQRGNHMTVKTLSTFRN
+YVLEFEVGVEFEEDLRTVDGRKCQTIVTWEEEQLVCVQKGEVPNRGWRLWLEEEMLYQEV
+TARDAVCQCVFRKVK
+>sp|P79334|PYGM_BOVIN Glycogen phosphorylase, muscle form OS=Bos taurus OX=9913 GN=PYGM PE=1 SV=3
+MSRPLTDQEKRKQISVRGLAGVENVTELKKNFNRHLHFTLVKDRNVATPRDYYFALAYTV
+RDHLVGRWIRTQQHYYEKDPKRIYYLSLEFYIGRTLQNTMVNLALENACDEATYQLGLDM
+EELEEIEEDAGLGNGGLGRLAACFLDSMATLGLAAYGYGIRYEFGIFNQKISGGWQMEEA
+DDWLRYGNPWEKARPEFTLPVHFYGRVEHTSQGAKWVDTQVVLAMPYDTPVPGYRNNVVN
+TMRLWSAKAPNDFNLKDFNVGGYIQAVLDRNLAENISRVLYPNDNFFEGKELRLKQEYFV
+VAATLQDIIRRFKSSKFGCLDPVRTNFDAFPDKVAIQLNDTHPSLAIPELMRILVDQERL
+EWEKAWEVTVKTCAYTNHTVLPEALERWPVHLIETLLPRHLQIIYEINQRFLNRVAAAFP
+GDVDRLRRMSLVEEGAVKRINMAHLCIAGSHAVNGVARIHSEILKKTIFKDFYELEPHKF
+QNKTNGITPRRWLVMCNPGLAEIIAERIGEEYIADLDQLRKLLSYVDDESFIRDVAKVKQ
+ENKLKFSAYLEKEYKVHINPNSLFDIQVKRIHEYKRQLLNCLHVITLYNRIKKEPNKFFV
+PRTVMIGGKAAPGYHMAKMIIKLITAIGDVVNHDPVVGDRLRVIFLENYRVSLAEKVIPA
+ADLSEQISTAGTEASGTGNMKFMLNGALTIGTMDGANVEMAEEAGEENFFIFGMRVEDVE
+RLDQKGYNAQEYYDRIPELRHVIDQLSSGFFSPKQPDLFKDIVNMLMHHDRFKVFADYEE
+YIKCQERVSALYKNPREWTRMVIRNIATSGKFSSDRTIAQYAREIWGVEPTRQRMPAPDE
+KI
+>sp|Q762I5|RETN_BOVIN Resistin OS=Bos taurus OX=9913 GN=RETN PE=3 SV=1
+MKALSFLFIPVLGLLVCGQSLCPIDKAISEKIQEVTTSLVPGAVRIIGLDCRSVTSRGSL
+VTCPSGFAVTGCTCGSACGSWDVRAETTCHCQCAGMDWTGARCCRLHIQ
+>sp|Q3T0F4|RS10_BOVIN 40S ribosomal protein S10 OS=Bos taurus OX=9913 GN=RPS10 PE=2 SV=1
+MLMPKKNRIAIYELLFKEGVMVAKKDVHMPKHPELADKNVPNLHVMKAMQSLKSRGYVKE
+QFAWRHFYWYLTNEGIQYLRDYLHLPPEIVPATLRRSRPETGRPRPKGLEGERPARLTRG
+EADRDTYRRSAVPPGADKKAEAGAGSATEFQFRGGFGRGRGQPPQ
+>sp|Q8MIT6|ROCK1_BOVIN Rho-associated protein kinase 1 (Fragment) OS=Bos taurus OX=9913 GN=ROCK1 PE=1 SV=1
+NSKSQMDKDYYQLQAVLEAERRDRGHDSEKIGDLQARITSLQEEVKHLKHNLERVEGERK
+EAQDMLNHSEKEKNNLEIDLNYKLKSLQQRLEQEVNEHKVTKARLTDKHQSIEEAKSVAM
+CEMEKKLKEERDAREKAENRVVQIEKQCSMLDVDLKQSQQKLEHLIENKDRMEDEVKNLT
+LQLEQESNKRLLLQNELKTQAFEADNLKGLEKQMKQEINTLLEAKRLLEFELAQLTKQYR
+GNEGQMRELQDQLEAEQYFSTLYKTQVKELKEEIEEKNRENLKKIQELQSEKETLATQLD
+LAETKAESEQLARGLLEEQYFELTQESKKAASRNRQEITDKDHTLSRLEETNSMLTKDIE
+LLRKENEELTDKMRKAEEEYKLKKEEEINILKAAFEKNINTERTLKTQAVNKLAEIMNRK
+DFKIDRKKANTQDLRKKKKKK
+>sp|Q9MYM4|LYAG_BOVIN Lysosomal alpha-glucosidase OS=Bos taurus OX=9913 GN=GAA PE=2 SV=1
+MMRWPPCSRPLLGVCTLLSLALLGHILLHDLEVVPRELRGFSQDEIHQACQPGASSPECR
+GSPRAAPTQCDLPPNSRFDCAPDKGITPQQCEARGCCYMPAEWPPDAQMGQPWCFFPPSY
+PSYRLENLTTTETGYTATLTRAVPTFFPKDIMTLRLDMLMETESRLHFTIKDPANRRYEV
+PLETPRVYSQAPFTLYSVEFSEEPFGVVVRRKLDGRVLLNTTVAPLFFADQFLQLSTSLP
+SQHITGLAEHLGSLMLSTNWTKITLWNRDIAPEPNVNLYGSHPFYLVLEDGGLAHGVFLL
+NSNAMDVVLQPSPALSWRSTGGILDVYIFLGPEPKSVVQQYLDVVGYPFMPPYWGLGFHL
+CRWGYSTSAITRQVVENMTRAYFPLDVQWNDLDYMDARRDFTFNKDHFGDFPAMVQELHQ
+GGRRYIMIVDPAISSSGPAGTYRPYDEGLRRGVFITNETGQPLIGQVWPGLTAFPDFTNP
+ETLDWWQDMVTEFHAQVPFDGMWIDMNEPSNFVRGSVDGCPDNSLENPPYLPGVVGGTLR
+AATICASSHQFLSTHYDLHNLYGLTEALASHRALVKARGMRPFVISRSTFAGHGRYSGHW
+TGDVWSNWEQLSYSVPEILLFNLLGVPLVGADICGFLGNTSEELCVRWTQLGAFYPFMRN
+HNALNSQPQEPYRFSETAQQAMRKAFTLRYVLLPYLYTLFHRAHVRGETVARPLFLEFPE
+DPSTWTVDRQLLWGEALLITPVLEAEKVEVTGYFPQGTWYDLQTVPMEAFGSLPPPAPLT
+SVIHSKGQWVTLSAPLDTINVHLRAGHIIPMQGPALTTTESRKQHMALAVALTASGEAQG
+ELFWDDGESLGVLDGGDYTQLIFLAKNNTFVNKLVHVSSEGASLQLRNVTVLGVATAPQQ
+VLCNSVPVSNFTFSPDTETLAIPVSLTMGEQFVISWS
+>sp|F1N2W9|MCM9_BOVIN DNA helicase MCM9 OS=Bos taurus OX=9913 GN=MCM9 PE=3 SV=2
+MNSDQVTLVGQVFESYVSEYHKNDILLILKERDEDAHYPVVVNAMTLFETNMEIGEYFNA
+FPNEVLTIFDNALRRSALTILQSLSQPEGLSMKQNLHARISGLPVCPELVREHIPKTKDV
+GHFLSVTGTVIRTSLVKILEFERDYMCNKCKHVFVVQADFEQYYTFFRPSSCPSLENCDS
+SKFTCLSDLSSPTRCRDYQEIKIQEQVQRLSVGSIPRSMQVILEDDLVDSCKSGDDITIY
+GVVMQRWKPFKQDVRCEVEIVLKANYIQVNNEESAGVNMDEEVRKEFEDFWEHYKSDPFA
+GRNEILASLCPQVFGMYLVKLAVAMVLAGGIQRTDATGTRVRGESHLLLVGDPGTGKSQF
+LKYAAKITPRSVLTTGIGSTSAGLTVTAVKDSGEWNLEAGALVLADAGLCCIDEFNSLKE
+HDRTSIHEAMEQQTISVAKAGLVCKLNTRTTILAATNPKGQYDPRESVSVNIALSSPLLS
+RFDLILVLLDTKNEDWDRIISSFILENKGYPSKSEKLWSMEKMKSYFCLIRKLQPTLSDE
+GNQVLLRYYQMQRQSDSRNAARTTIRLLESLIRLAEAHARLMFRDTVTLEDAVTVVSVME
+SSMQGGALLGGVNALHTSFPENPLQQYQTQCELILEKLELPNLLSEELRRLERLQNWSVD
+QSQPQAMEAETIPGSLGGDARKESNFRTSTQQEVNCSAHRFSIGGSFGGSPLLGPSSHLG
+PKGPASGKHSEEHRNSQDGSLDWFDSVATHPIEPKNTAPVSPSPKTSRGAMALKICNNRS
+QGKEDREAGQRSKLETEPLPAAGETETPLRPGNREGERPRKAATVSEAAVSADEPDSVLT
+HHVPRKLHKLHKARAQELCRNPTRPPLQPTSPSHPQPTPIQSPERVLETPKRKRQKSHAQ
+AQEPHQESVESLGAPVAKLAKFTFKQKSKLTHSPEDRGPVSPGTSKPVVQSPENPQRRAK
+RGAALPGKGPEKLASRIRSSAQPQDETRGVSPQPPYKDRPEEKRERAPAKGTVQPELELG
+NDMGRFFLASERVRKEEVSCSNKSSKVHACTLAKLANFSFTSPSESKSESPPPPQSKNPS
+EGGPSCVATATALGRKRKTFQLDTSTEKLSLSKTSLFTLPELDDEPLDFDWDEEMRKKP
+>sp|Q0VCF9|LSM12_BOVIN Protein LSM12 homolog OS=Bos taurus OX=9913 GN=LSM12 PE=2 SV=2
+MAAPPGEYFSVGSQVSCRTCQEQRLQGEVVAFDYQSKMLALKCPSSSGKPNHADILLINL
+QYVSEVEIINDRTETPPPLASLNVSKLASKARTEKEEKLSQAYAISAGVSLEGQQLFQTI
+HKTIKDCKWQEKNIVVMEEVVITPPYQVENCKGKEGSALSHVRKIVEKHFRDVESQKILQ
+RSQAQQPQKEAALSS
+>sp|Q2M2S9|LYRM7_BOVIN Complex III assembly factor LYRM7 OS=Bos taurus OX=9913 GN=LYRM7 PE=3 SV=1
+MGQAAKVLQLFKTLHRTRQQVFKNDARALEAARKKINEEFKCNKTETSPKKIEELIKIGS
+DVELILRTSVIQGIHTDHNTLKLVPRKDLLIENVPYCDAPTQKQ
+>sp|Q9N0V4|GSTM1_BOVIN Glutathione S-transferase Mu 1 OS=Bos taurus OX=9913 GN=GSTM1 PE=1 SV=3
+MPMILGYWDIRGLAHAIRLLLEYTDTNYEERQYSVGDAPDYDRSQWLNEKFKLGLDFPNL
+PYLIDGTHKLTQSNAILRYIARKHNLCGETEEEMIRVDILENQVMDVRLAMARICYSPDF
+EKLKPGFLKEIPEKIKLFSEFLGKRPWFAGDKLTYVDFLVYDVLDMHRIFEPKCLDAFPN
+LKDFISRFEGLKKISAYMKSSRFLPGPLFMKLAVWGNK
+>sp|P11116|LEG1_BOVIN Galectin-1 OS=Bos taurus OX=9913 GN=LGALS1 PE=1 SV=2
+MACGLVASNLNLKPGECLRVRGEVAADAKSFLLNLGKDDNNLCLHFNPRFNAHGDVNTIV
+CNSKDAGAWGAEQRESAFPFQPGSVVEVCISFNQTDLTIKLPDGYEFKFPNRLNLEAINY
+LSAGGDFKIKCVAFE
+>sp|A7YY55|HACD3_BOVIN Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase 3 OS=Bos taurus OX=9913 GN=HACD3 PE=2 SV=1
+MENQVLTPHVYWAQRHHELYLRVELSDVQNPAISITENVLHFKAQGHGAKGDNVYEFHLE
+FLDLVKPEPVYKLTQRQVNITVQKKESQWWERLTKQEKRPLFLAPDFDRWLDESDAEMEL
+RAKEEEQLNKLRLESQGSPETLTSLKKGYLFMYNLVQFLGFSWIFVNMTVRFFILGKESF
+YDTFHTVADMMYFCQMLAAVESINAAIGVTKSPVVPSLFQLLGRNFILFIIFGTMEEMQN
+KAVVFFVFYIWSTVEIFRYPFYMLSCIDMDWKVLTWLRYTVWIPLYPMGCLAEAVSVIQS
+IPVFNETGRFSFTLPYPVKIKVRFSFFLQIYLILLFLGLYVNFRYLYKQRRRRFGQKKKK
+IH
+>sp|Q148H5|K2C71_BOVIN Keratin, type II cytoskeletal 71 OS=Bos taurus OX=9913 GN=KRT71 PE=2 SV=1
+MSRQFTCKSGAAAKGGFSGCSAVLSGGSTSSYRAGGKGLSGGFGSRSLYNLGGVRSISFN
+VASGSGKSGGYGFGRGRASGFAGSMFGSVALGPMCPTVCPPGGIHQVTVNESLLAPLNVE
+LDPEIQKVRAQEREQIKALNNKFASFIDKVRFLEQQNQVLETKWELLQQLDLNNCKNNLE
+PILEGYISNLRKQLETLSGDRVRLDSELRSVRDVVEDYKKRYEEEINRRTAAENEFVLLK
+KDVDAAYANKVELQAKVDSMDQEIKFFKCLYEAEIAQIQSHISDMSVILSMDNNRDLNLD
+SIIDEVRAQYEDIALKSKAEAEALYQTKFQELQLAAGRHGDDLKNTKNEISELTRLIQRI
+RSEIENVKKQASNLETAIADAEQRGDNALKDARAKLDELEAALHQSKEELARMMREYQEL
+MSLKLALDMEIATYRKLLESEECRMSGEFPSPVSISIISSTSGSGGYGFRPSSVSGGYVA
+NSGSCISGVCSVRGGESRSRSSTTDYKDALGKGSSLSAPSKKASR
+>sp|Q06285|LYSC1_BOVIN Lysozyme C-1 OS=Bos taurus OX=9913 GN=LYZ1 PE=2 SV=2
+MKALIILGFLFLSVAVQGKVFERCELARTLKKLGLDGYKGVSLANWLCLTKWESSYNTKA
+TNYNPGSESTDYGIFQINSKWWCNDGKTPNAVDGCHVSCSELMENDIAKAVACAKQIVSE
+QGITAWVAWKSHCRDHDVSSYVEGCTL
+>sp|Q2KIE2|HAX1_BOVIN HCLS1-associated protein X-1 OS=Bos taurus OX=9913 GN=HAX1 PE=2 SV=1
+MSLFDLFRGFFGFSGPRSHRDPFFGGMTRDEDEDDEEEEEEGVTWGRGNSRFEGPQSPEE
+FSFGFSFSPGGGMRFHDNFGFDDLVRDFNNIFSEMGAWTLPSRPPELPGPESETPGERRQ
+EGQTLRDSMLKYPDSHQPKIFGGGLESDARSESSKPAPDWGPQRPFHRFDDTWPVTPHSR
+AREDNDLDTQVSQEGLGPVLQPQPKSYFKSVSVTKITKPDGTVEERRTVVDSEGRKETTV
+THQEAGSSPRDGPESPTPPSLDDSSSILDLFLGRWFRSR
+>sp|A2VE02|JCAD_BOVIN Junctional protein associated with coronary artery disease homolog OS=Bos taurus OX=9913 GN=JCAD PE=2 SV=1
+MYSVEDLLISHGYKLSRKLPAPHEDDGERRQPARTTVPAGPGLLNGCDDGPAALPRGKAS
+PGTGLLSDPESRRLGPRGHGERPSTAAAARISEAGFYHQPVLAWSSQPLTGRSHAYWRRR
+EQEAREDPGGRGPVPSLPTHPREGPWEVGGRSEHVMKKAVWEDELGMAGPARWQDVSVRS
+WNQPPRLGRQMSDGVGEKLFQDLYPFMLGEHGLTSQSKGKSQSLPRVLSPESLSCMEVPI
+PLSDGHLPGVPKVPLQPPNCASNLESTRNPKKAGTSAPLPQPRFGRPLKPPSYGSQPHSK
+AGAENGSYTDSRQLDPGAAHSARTNSARQDLYGPDPGLEPPVYVPPPSYKSPPQPAAHPC
+PEEAVSRHEGRGRRVPQHPMERPAAGGQPLSGSRGAGSEWGASPCSPVGVPPQPHHTTAY
+DGSILIIPFDDPRIRHIKLPRPHGFWEDVKLDGAVPAPDPRSLQQEGAVWGPSGKERGPA
+PADPSPSWLWGQPPRNGENGSSPDQRDPCIVTQRKQPDVSGSPQEYPESLVSSPSPQGES
+SCEMQTQLRKFEAGLQPKRSSKKKTSETIFCLVSIPVKSESQLPGTDTNNNDLKQSASLQ
+EQSVLSLSSTDLELQALTGSMATRTELPRPDPGGPGRGRQADDLRFPSPAKHRALAWPGP
+WPGHHFRDQQTQTSFAHEPQSLQPLPGERPGGSPDPVLPPRCLDPAPFEVQMHMALASSD
+PNQRPGAHSPKSQGSLSPSSNSAFSGSSWPRNQGPVPRASLGQQGSDGPGRRASPVSRGE
+VIKGETTGPCNSRQLFGQFLLKPVSRRPWDLISQLESFNKELQEEEGSSGSSSDGSGSED
+SDTELPWGSCARPAPQLPGLLKDIVPEDPRTRPGRVKSKSESWSEEGRPRSPRPWQAEGR
+GSVWLSPPGSWIAEDGDREVEDRVAQLAVSPRPVKRAISSGLNDAKPEPPPDPAEQREPL
+PSQELPGSRGAVELSAAGPPRAGGGEQGSTRAPLSLAGKSRGLSAPDLRSVGLTLVQEHS
+TSQLAGSPGDANAIEIPPNESLEARAARILGIEVAVESLLPGAQRAGQRRHPEPDGSALR
+PESPRQEAAASLAQPNESTAPADAFYGRRKCGWTKSPLFVGERDSTRQVPRASEPADVDG
+AVPTKAPETPPSPLESQPFLPKDVETKPPFRSTLFHFIERTPNLAFSEKKLRNTSRVIES
+LQEKLVSPPRKADPDRLTRMKEVSSVSRMRLLTSRAADSAEEPKAERGPGAWLGGLVAPS
+AGHKLSDPQGALSLEADGHPAARRENGGRDFWCPDSYDPSRVERV
+>sp|Q58CU3|HBAP1_BOVIN HSPB1-associated protein 1 OS=Bos taurus OX=9913 GN=HSPBAP1 PE=2 SV=1
+MAARPGAITNADSASGGGEEEGKHVKPFTPEKAKEIIMCLQQPAVFYNMVFDWPAQHWTA
+KHLSEVLHGKQIRFRMGTKSTDTAPQFETACNYVEATLEEFLSWNSDQSSISGAFRDYDH
+SKFWAYADYKYFVSLFEDKTDIFQDVIWSDFGFPGRNGQESTLWIGSLGAHTPCHLDTYG
+CNLVFQVQGRKRWHLFPPEDTPFLYPTRIPYEESSVFSKINVVNPDLKRFPQFRKARRHM
+VTLSPGQVLFVPRHWWHYVESIDPVTVSINSWIELEEDHQTRVEEAITRMLVCALKTAEN
+PHNTRAWLNPTELEETSHEINCHYLNGAISAFFNHYGTSEVVETQALRTNREYTIKEELN
+MHSHVGVEQAGGHNLSSETVKQEAASPFGLDLVPVTPSSEEPSSERGGIFENDGEDFVSK
+NGKSFGKRQRMMSESENAVVEQIASDRTVAVSQTFVSTDDLLDCLVNPQVTRIVAQLLIQ
+GRST
+>sp|Q2KHU9|HSPB3_BOVIN Heat shock protein beta-3 OS=Bos taurus OX=9913 GN=HSPB3 PE=2 SV=1
+MAKIILRHLIETPVRYEQEFEARGLEDCRLDHALYALPGPTTVDLGKARAAQAPPVDAAE
+MPPQRGESRFQVLLDVVQFLPEDIIIQTFEGWLLIKAQHGTRMDEHGFISRSFTRQYKLP
+DGIETKDLSAILCHDGILVVEVKDSAGTK
+>sp|Q24K02|IDE_BOVIN Insulin-degrading enzyme OS=Bos taurus OX=9913 GN=IDE PE=2 SV=1
+MRYRLAWLLHSALPSTFRSVLGARLPPSERLCGFQKKTYSKMNNPAIKRIGHHIIKSHED
+KREYRGLELANGIKVLLVSDPTTDKSSAALDVHIGSLSDPPNIAGLSHFCEHMLFLGTKK
+YPKENEYSQFLSEHAGSSNAFTSGEHTNYYFDVSHEHLEGALDRFAQFFLCPLFDESCKD
+REVNAVDSEHEKNVMNDAWRLFQLEKATGNPKHPFSKFGTGNKYTLETRPNQEGIDVRQE
+LLKFHSTYYSSNLMAICVLGRESLDDLTNLVVKLFSEVENKNVPLPEFPEHPFQEEHLKQ
+LYKIVPIKDIRNLYVTFPIPDLQKYYKSNPGHYLGHLIGHEGPGSLLSELKSKGWVNTLV
+GGQKEGARGFMFFIINVDLTEEGLLHVEDIILHMFQYIQKLRAEGPQEWVFQECKDLNAV
+AFRFKDKERPRGYTSKIAGILHYYPLEEVLTAEYLLEEFRPDLIEMVLDKLRPENVRVAI
+VSKSFEGKTDRTEEWYGTQYKQEAIPDEVIKKWQNADLNGKFKLPMKNEFIPTNFEILSL
+EKEATPYPSLIKDTAMSKLWFKQDDKFFLPKACLNFEFFSPFAYVDPLHCNMAYLYLELL
+KDSLNEYAYAAELAGLSYDLQNTIYGMYLSVKGYNDKQPILLKKIIEKMATFEIDEKRFE
+IIKEAYMRSLNNFRAEQPHQHAMYYLRLLMTEVAWTKDELKEALDDVTLPRLKAFIPQLL
+SRLHIEALLHGNITKQAALGIMQMVEDTLIEHAHTKPLLPSQLVRYREVQLPDRGWFVYQ
+QRNEVHNNCGIEIYYQTDMQSTSENMFLELFCQIISEPCFNTLRTKEQLGYIVFSGPRRA
+NGIQGLRFIIQSEKPPHYLESRVEAFLITMEKSIEDMTEEAFQKHIQALAIRRLDKPKKL
+SAECAKYWGEIISQQYNFDRDNIEVAYLKTLTKEDIIKFYKEMLAVDAPRRHKVSVHVLA
+REMDSCPVVGEFPCQNDINLSQAPALPQPEVIQNMTEFKRGLPLFPLVKPHINFMAAKL
+>sp|Q29RJ0|KCD18_BOVIN BTB/POZ domain-containing protein KCTD18 OS=Bos taurus OX=9913 GN=KCTD18 PE=2 SV=1
+MEGHKAEEEVLDILRLNVGGCIYTARRESLCRFKDSMLASMFSGRFPLKTDESGACVIDR
+DGHLFKYLLDYLHGEVHIPTEEQTRVALQEEADYFGIPYPYSLSDHLANEMETYSLRSNI
+ELKKALTDFCDSYGLVCNKPTVWVLHYLNTSGASCESRIIGVYSTKTDGTDAIDKQLGGR
+IHSKSIFKREAGNNVQYIWSDYSVAELKKMMDAFDAWEGKGVSYWRVPHELIECWTLEER
+PLLGSLSHMAPIRKRRLIVNEEEEEGVNCKTGPKPVRFLGPSTSTQIKVKNSASIRVSPA
+SAPQPWPRATANLAAGGRAVQRSAQSKVPTPVGTGLPEHPQAAQGPGAPENGATHLPPAK
+VLLSDKTATPHRVIKLRRTPLCATGSSLPACTTPRPAGPPEPPPDALSPLGTWTENGQDQ
+TK
+>sp|Q5XQN5|K2C5_BOVIN Keratin, type II cytoskeletal 5 OS=Bos taurus OX=9913 GN=KRT5 PE=1 SV=1
+MSRQSTVSFRSGGGRSFSTASAITPSVSRTSFTSVSRSGGGGGGGFGRVSLGGAYGAGGF
+GSRSLYNLGGSKRISISASGGGFRNRFGAGAGGGYGFGGGAGSGFGFGGGAGGGGFGLGG
+GAGFGGGFGGPGFPVCPPGGIQEVTVNQSLLTPLNLQIDPTIQRVRTEEREQIKTLNNKF
+ASFIDKVRFLEQQNKVLDTKWALLQEQGTKTVRQNLEPLLEQYINNLRRQLDGIVGERGR
+LDSELRNMQDLVEDFKNKYEDEINKRTTAENEFVMLKKDVDAAYMNKVELEAKVDALMDE
+INFMKMFFDAELSQMQTHVSDTSVVLSMDNNRSLDLDSIIAEVKAQYEDIANRSRTEAES
+WYQTKYEELQQTAGRHGDDLRNTKHEISEMNRMIQRLRSEIDNVKKQCANLQNAIADAEQ
+RGELALKDARSKLAELEDALQKAKQDMARLLREYQELMNTKLALDVEIATYRKLLEGEEC
+RLSGEGVGPVNISVVTNTVSSGYGGGSGFGGGLGGGLGGGLGGGLGGGLGGGLGSGLGGG
+GSSSFYSSSSGGVGLGGGLSVGGSGFSASSGRSLGFGSGGGSSSSVKFVSTTSSSRKSFK
+S
+>sp|Q1JP61|KDM8_BOVIN Bifunctional peptidase and arginyl-hydroxylase JMJD5 OS=Bos taurus OX=9913 GN=KDM8 PE=2 SV=1
+MAGPSTLWETLQALLPHTKEELKLELGEKVEGSVLMLLQEAAELFLGGQRRECLQTCEVL
+LDYSWEKLNTGPWQHVDKDWRRVYAFGCLLKAVCLCEPPGDAASVAAALKACDMGLLMGA
+AILGDILLKVAAVLQKYLLSGKRPAPGPSQEPPGTKKARNDHVPIPDVTTERTVPRLHCP
+SLQYFKKHFLVPGRPVILEGVANHWPCMKKWSLEYIQEVAGCRTVPVEVGSRYTDEEWSQ
+TLMTVNEFISKYIREEPKDIGYLAQHQLFDQIPELKQDISIPDYCCLGDGEEEEITINAW
+FGPQGTVSPLHQDPQQNFLAQVMGRKYIRLYSPQESEALYPHDTHLLHNTSQVDVENPDL
+EKFPRFAEAPFLSCVLSPGEVLFIPVKHWHYVRALDLSFSVSFWWS
+>sp|P68102|IF2A_BOVIN Eukaryotic translation initiation factor 2 subunit 1 OS=Bos taurus OX=9913 GN=EIF2S1 PE=2 SV=2
+MPGLSCRFYQHKFPEVEDVVMVNVRSIAEMGAYVSLLEYNNIEGMILLSELSRRRIRSIN
+KLIRIGRNECVVVIRVDKEKGYIDLSKRRVSPEEAIKCEDKFTKSKTVYSILRHVAEVLE
+YTKDEQLESLFQRTAWVFDDKYKRPGYGAYDAFKHAVSDPSILDSLDLNEDEREVLINNI
+NRRLTPQAVKIRADIEVACYGYEGIDAVKEALRAGLNCSTETMPIKINLIAPPRYVMTTT
+TLERTEGLSVLNQAMAVIKEKIEEKRGVFNVQMEPKVVTDTDETELARQLERLERENAEV
+DGDDDAEEMEAKAED
+>sp|Q3ZBA3|PKHA2_BOVIN Pleckstrin homology domain-containing family A member 2 OS=Bos taurus OX=9913 GN=PLEKHA2 PE=2 SV=1
+MPYVDRQNRICGFLDIEENENSGKFLRRYFILDTQANCLLWYMDNPQNLAIGAGAVGSLQ
+LTYISKVSIATPKQKPKTPFCFVINALSQRYFLQANDQKDLKDWVEALNQASKITVPKAG
+NLPLTTEVLKSLATPLALEKKPQVAYKTEIIGGVVVHTPINQNGGDGQEVGEPGSHAILR
+RSQSYIPTTGCRGPTGPPLIKSGYCVKQGNVRKSWKRRFFALDDFTICYFKCEQDREPLR
+TIFLKDVLKTHECLVKSGDLLMRDNLFEIITSSRTFYVQADSPEDMHSWIKEIGAAVQAL
+KCHPREMSFSRSISLTRPGSSSLSGGPNSILCRGRAPGEERKTLCKAPSLASSWQPWTPV
+PQAGEKLLPTEETAEDSLFTPRLGESSTSAVLPSSRIRHRSEPQHPKEKPFVFNLDDENI
+RTSDV
+>sp|Q56JX3|RL31_BOVIN 60S ribosomal protein L31 OS=Bos taurus OX=9913 GN=RPL31 PE=2 SV=1
+MAPAKKGGEKKKGRSAINEVVTREYTINIHKRIHGVGFKKRAPRALKEIRKFAMKEMGTP
+DVRIDTRLNKAVWAKGIRNVPYRIRVRLSRKRNEDEDSPNKLYTLVTYVPVTTFKNLQTV
+NVDEN
+>sp|P62998|RAC1_BOVIN Ras-related C3 botulinum toxin substrate 1 OS=Bos taurus OX=9913 GN=RAC1 PE=1 SV=1
+MQAIKCVVVGDGAVGKTCLLISYTTNAFPGEYIPTVFDNYSANVMVDGKPVNLGLWDTAG
+QEDYDRLRPLSYPQTDVFLICFSLVSPASFENVRAKWYPEVRHHCPNTPIILVGTKLDLR
+DDKDTIEKLKEKKLTPITYPQGLAMAKEIGAVKYLECSALTQRGLKTVFDEAIRAVLCPP
+PVKKRKRKCLLL
+>sp|P81134|RENR_BOVIN Renin receptor OS=Bos taurus OX=9913 GN=ATP6AP2 PE=1 SV=2
+MAVLVVFLSFLVADVFGNEFSILRSPGSVVFRNGNWPIPGERIPDVAALSMGFSVKEDLS
+WPGLAVGNLFHRPRATVMVMVKGVDKLALPPGSVISYPLENAVPFSLDSVANSIHSLFSE
+ETPVVLQLAPSEERVYMVGKANSVFEDLSVTLRQLRNRLFQENSVLTSLPLNSLSRNNEV
+DLLFLSELQVLRDISSLLSRHKHLAKDHSPDLYSLELAGLDEIGKHYGEDSEQFRDASKI
+LIDALQKFADDMYNLYGGNAVVELVTVRSFDTSLVRKTRNILETKQVKDPSTTYNLAYKY
+NFEYPVVFNLVLWIMIGLALTLIVTCYNIWNMDPGYDSIIYRMTNQKIRMD
+>sp|P62833|RAP1A_BOVIN Ras-related protein Rap-1A OS=Bos taurus OX=9913 GN=RAP1A PE=1 SV=1
+MREYKLVVLGSGGVGKSALTVQFVQGIFVEKYDPTIEDSYRKQVEVDCQQCMLEILDTAG
+TEQFTAMRDLYMKNGQGFALVYSITAQSTFNDLQDLREQILRVKDTEDVPMILVGNKCDL
+EDERVVGKEQGQNLARQWCNCAFLESSAKSKINVNEIFYDLVRQINRKTPVEKKKPKKKS
+CLLL
+>sp|Q08DE8|RAB7B_BOVIN Ras-related protein Rab-7b OS=Bos taurus OX=9913 GN=RAB7B PE=2 SV=1
+MNPRKKVDLKLIIIGALGVGKTSLLHRYVHKTFYEDYQTTLGASILSKIIILEDTTLKLQ
+IWDTGGQERFRSMVSTFYKGSDGCVLAFDVTDLESFEALETWRGDVLAKTIPMEQPYPMV
+VLGNKIDLEDRQVPQEVAQGWCKEKDIPYFEVSAKNDINVVQAFEMLASRALSRYRSILE
+SYLTDSIKLSPEDQPKSRCC
+>sp|Q17R06|RAB21_BOVIN Ras-related protein Rab-21 OS=Bos taurus OX=9913 GN=RAB21 PE=2 SV=1
+MAAAGGGGAAAGRTYSFKVVLLGEGCVGKTSLVLRYCENKFNDKHITTLQASFLTKKLNI
+GGKRVNLAIWDTAGQERFHALGPIYYRDSNGAILVYDITDEDSFQKVKNWVKELRKMLGN
+EICLCIVGNKVDLEKERHVSIQEAESYAESVGAKHYHTSAKQNKGIEELFLDLCKRMIET
+AQVDERAKGNGSSQPGAARRGVQIIDDEPQAQSVGGGCCSSG
+>sp|Q32KL4|RMD1_BOVIN Regulator of microtubule dynamics protein 1 OS=Bos taurus OX=9913 GN=RMDN1 PE=2 SV=1
+MALAVRFWCLFPVGRSAAWGLRLPAGAAGSRGQRVPWRLGASEAMGNSGTFKRSLLFSAL
+SYLGFETYQVISQAVLVHAAAKVFEIEEVLEQADYLYESGETEKLYQLLTQYKESEDAEL
+LWRLARASRDVAQLSGTSEEEKKFLVYEALEYAKRALEKNESSCAAHKWYAICIGDVGDY
+EGIKAKIANAYIIKEHFEKAIELNPKDATSIHLMGIWCYTVAEMPWYQRRIAKVLFATPP
+GSTYEEALGYFHRAEQVDPNFYSKNLLLLGKTYLKLHNKKLAAFWLTKAKDYPAHTEEDK
+QIQTEAAQLLRGFSDKN
+>sp|F1MRW8|RN169_BOVIN E3 ubiquitin-protein ligase RNF169 OS=Bos taurus OX=9913 GN=RNF169 PE=3 SV=3
+MSGRAKVAGEEALVGVAAPQPVPAGILDECTNVTVSQPPSPPRPEESDCAGCLETPGEAA
+ALPCGHSLCRGCAQRAADAAGPCCPRCRARGAGWARRRARDDWQADAEVLGERARRGPPE
+RCRPRRDGGAAAAGPRPEQESRAAPAEPEFIFRAPIKLSKPGEFREEYESLRKLREEKLQ
+EEKTSEDQIHKLLPEDTEIGKRKMDEQKKRDEPVVLKTNLEHCPARLSDSENEEPSRGKM
+IQTHRSAFVSKSSSYSLAFLAGNLNSKMERSQSCSDTGQDRAKSRLRAAPTSKAKATAMT
+PTSNPIIGVLLSTRNHRCLSAPDLTVEKRLPFSSLSALASLHKPERSISPESNDSISEEL
+NHFKPIVCSPCTPPKRLPDGRVLSPLIIKSTPRNLNRSLQKQTSYEASPRILKKWEQIFQ
+ERQIKKTLSKATLTSLAPETGDDLLVSEVTQSNKEKPLLALNTRLSSGQVLSECTGPTAP
+DLDYFSSVSQTKAEQGSDRKKNTEIPLETCCSSELPVGASGTSLEREQSERSGSSPDAKL
+DKTRITASMKISAVNSVLPKNSVLGGVLKTKKQLKTVNHFDLPNGVLADNLGDEPLPSLR
+RGRKRRCKTKHLEQNGSLKKLRQSSGEVGLAPTDPVLREMEQKLQQEEEDRQLALQLQRM
+FDNERRTVSRRKGSVDQYLLRSSSMAGAK
+>sp|Q32L03|MED11_BOVIN Mediator of RNA polymerase II transcription subunit 11 OS=Bos taurus OX=9913 GN=MED11 PE=3 SV=1
+MATYSLANERLRALEDIEREIGAILQNAGTVILELSKEKTNERLLDRQAAAFTASVQHVE
+AELSAQIRYLTQVATGQPHEGSSYSSRKDCQMALKRLDYARLKLSEVARTCEQMLEN
+>sp|A2VDY4|HPF1_BOVIN Histone PARylation factor 1 OS=Bos taurus OX=9913 GN=HPF1 PE=2 SV=1
+MVGGGAKRRLRGEGPQCEKPVDMKKSKSCEADVPGDLRKEVESHYRLPLPEDFYHFWRFC
+EGLDPEQPADSLSASLGLRLVGPYDILAGKHKIKKKSASLNFNLHWRFYYDPPEFQTIII
+GDSKTQFHMGYFRDSPDELPVFVGTNEAKKNCIIVQSGDNVFAAVKLFLMKKLKEVTDKK
+KSSLLKTIDEKLTEAARELGFSLEQRTVRMKQRDKKVVTKTFHGAGLVVPVDKNDVGYRE
+LPETDASLRRICGTIVEAPSDADRLQAFAPVQEMMTYVQFANDECDYGMGLELGLDLFCH
+GSHYFHKVAGQLLPLAYNLLKRNLFAEIIEAHLANRSQDDVDQLAA
+>sp|A7MB80|GTF2I_BOVIN General transcription factor II-I OS=Bos taurus OX=9913 GN=GTF2I PE=2 SV=1
+MAQVAVSTLPVEDEESSESRMVVTFLMSALESMCKELAKSKAEVACIAVYETDVFVVGTE
+RGRAFVNTRKDFQKDFVKYCVEEEEKAAEMHKMKSTAQANRMSVDAVEIETLRKTVEDYF
+CFCYGKALGKSTVVPVPYEKMLRDQSAVVVQGLPEGVAFKHPENYDLATLKWILENKAGI
+SFIIKRPFLEPKKHLGGRVMVTDAERSMISPSGSCGPVKVKTEPSEDSGISLEMAAVTVK
+EESEDPDYYQYNIQAGPSETDDVDDKLPLSKSLQGSHHSSEGNEGTEMEVPAEDDDYPPP
+AKRPKSSEPPQPPVTEPANAGKRKVREFNFEKWNARITDLRKQVEELFERKYAQAIKAKG
+PVTIPYPLFQSHVEDLYVEGLPEGIPFRRPSTYGIPRLERILLAKERIRFVIKKHELLNS
+TREDLQLDKPASGVKEEWYARITKLRKMVDQLFCKKFAEALGSTEAKAVPYQKFEAHPND
+LYVEGLPENIPFRSPSWYGIPRLEKIIQVGNRIKFVIKRPELLTHSTTEVTQPRTNTPVK
+EDWNVRITKLRKQVEEIFNLKFAQALGLTEAVKVPYPVFESNPEFLYVEGLPEGIPFRSP
+TWFGIPRLERIVRGSNKIKFVVKKPELVISYLPPGMASKINTKALQSPKRPRSPGSNSKV
+PEIEVTVEGPNNSNPQTSAVRTPTQTNGSNVPFKPRGREFSFEAWNAKITDLKQKVENLF
+NEKCGEALGLKQAVKVPFALFESFPEDFYVEGLPEGVPFRRPSTFGIPRLEKILRNKAKI
+KFIIKKPEMFETAIKESTSSSKSPPRKINSSPSVNTTASGVEDLNIIQVTIPDDDNERLS
+KVEKARQLREQVNDLFSRKFGEAIGMGFPVKVPYRKITINPGCVVVDGMPPGVSFKAPSY
+LEISSMRRILDSAEFIKFTVIRPFPGLVINNQLVDQNESEGPVIQESAEPTQLEVPATEE
+IKETDGNSQIKQEPDPTW
+>sp|Q2YDM7|LSG1_BOVIN Large subunit GTPase 1 homolog OS=Bos taurus OX=9913 GN=LSG1 PE=2 SV=1
+MGRRRAPEGGTLGRALIRQQVQRSRSHRHTDSWLHTSELNDGYDWGRLNLQSVTEQSSLD
+DFLATAELAGTEFVAEKLNIKFVPPEARTGLLSFEENQRIKKLHEENKQFLCIPRRPKWD
+QKTSPEELKQAEKDNFLEWRRQLVWLEEEQNLILTPFERNLDFWRQLWRVIERSDIVVQI
+VDARNPLLFRCEDLECYVKTIDDNKENVILINKADLLTAEQRSAWAEFFKKENVKVIFWS
+ALAEAIKLMGNSKGDVNGDTGEAITAEFENSSCDEAEILHKETEHLSLGEAASSEEDESE
+YEDCQEEEEDWQTCLEDSSSSDEEACGQDCKEGHTVDSEAQGRNTPQKRQIHNFSHLVSK
+QELLEVFKQLHSGKKVKDGQLTVGLVGYPNVGKSSTINTILGNKKVSVSATPGHTKHFQT
+LYVEPGLCLCDCPGLVMPSFVSTKAEMICSGILPIDQMRDHVPPVSLVCQNIPRHVLEAT
+YGIDIIKPREDEDPRRPPTSEELLTAYGCMRGFMTAHGQPDQPRSARYILKDYVNGKLLY
+CHPPPGRDPVTFQYQHQRLLEKKVNGGEIKLQVVRNKKVYQIENVVDKAFFHQENVRALT
+KGVQAVMGYKPGSGLVTAAAVSSERGAGKPWKKHGNRNKKEKSRRLYKHLDM
+>sp|Q1JPH6|IF4H_BOVIN Eukaryotic translation initiation factor 4H OS=Bos taurus OX=9913 GN=EIF4H PE=2 SV=1
+MADFDTYDDRAYSSFGGGRGSRGSAGGHGSRSQKELPTEPPYTAYVGNLPFNTVQGDIDA
+IFKDLSIRSVRLVRDKDTDKFKGFCYVEFDEVDSLKEALTYDGALLGDRSLRVDIAEGRK
+QDKGGFGFRKGGPDDRGFRDDFLGGRGGSRPGDRRTGPPMGSRFRDGPPLRGPNMDFREP
+TEEERAQRPRLQLKPRTVATPLNQVANPNSAIFGGARPREEVVHKEQE
+>sp|A7Z064|HMDH_BOVIN 3-hydroxy-3-methylglutaryl-coenzyme A reductase OS=Bos taurus OX=9913 GN=HMGCR PE=2 SV=2
+MLSRLFRMHGLFVASHPWEVIVGTVTLTICMMSMNMFTGNNKICGWNYECPKLEEDVLSS
+DIIILTITRCIAILYIYFQFQNLRQLGSKYILGIAGLFTIFSSFVFSTVVIHFLDKELTG
+LNEALPFFLLLVDLSRASALAKFALSSNSQDEVRENIARGMAILGPTFTLDALVECLVIG
+VGTMSGVRQLEIMCCFGCMSVLANYFVFMTFFPACVSLVLELSRESREGRPIWQLSHFAR
+VLEEEENKPNPVTQRVKMIMSLGLVLVHAHSRWIADPSPQNSTADNSKVSLGLDENVSKR
+IEPSVSLWQFYLSKMISMDIEQVITLSLALLLAVKYIFFEQAETESTLSLKNPITSPVVT
+QKKITDDCCRRDPVLVRNDQKFHAMEEETRKNRERKVEVIKPLLAENDTSHRATFVVGNS
+SLLGTSLELETQEPEMELPVEPRPNEECLQILENAEKGAKFLSDAEIIQLVNAKHIPAYK
+LETLMETHERGVSIRRQLLSKKLPEPSSLQYLPYRDYNYSLVMGACCENVIGYMPIPVGV
+AGPLCLDGKEFQVPMATTEGCLVASTNRGCRAIGLGGGASSRVLADGMTRGPVVRFPRAC
+DSAEVKAWLETPEGFTVIKEAFDSTSRFARLQKLHMSVAGRNLYIRFQSRSGDAMGMNMI
+SKGTEKALSKLQEYFPEMQILAVSGNYCTDKKPAAINWIEGRGKSVVCEAVIPAKVVREV
+LKTTTEAMIEVNINKNLVGSAMAGSIGGYNAHAANIVTAIYIACGQDAAQNVGSSNCITL
+MEASGPTNEDLYISCTMPSIEIGTVGGGTNLLPQQACLQMLGVQGACRDNPGENARQLAR
+IVCGTVMAGELSLMAALAAGHLVRSHMIHNRSKINLQDLQGTCTKKAA
+>sp|Q2KIZ3|MCEE_BOVIN Methylmalonyl-CoA epimerase, mitochondrial OS=Bos taurus OX=9913 GN=MCEE PE=2 SV=1
+MARVLKVAAASAAGLFPRLRTPVSTVRTSASLSSHPGAGPVWNLGRLNHVAVAVPDLEKA
+RAFYKNVLGAEVGEPVPLPEHGVSVVFVNLGNTKMELLHPLGSDSPIAGFLKKNKAGGMH
+HVCIEVDNINVAVMDLKEKKIRILSEEAKIGAHGKPVIFLHPSDCGGVLVELEQA
+>sp|Q2KHZ4|MIA40_BOVIN Mitochondrial intermembrane space import and assembly protein 40 OS=Bos taurus OX=9913 GN=CHCHD4 PE=2 SV=1
+MAYCRQEGKDRIIFVTKEDHETPSNAELVADDPNDPYEEHGLILPNGDINWNCPCLGGMA
+SGPCGEQFKAAFSCFHYSKEDVKGSDCVDQFRAMQECMQKYPDLYPQEEEEEEEQPADPL
+PEAASEASATKEAAASS
+>sp|A4IFJ6|IKZF5_BOVIN Zinc finger protein Pegasus OS=Bos taurus OX=9913 GN=IKZF5 PE=2 SV=1
+MGEKKPEPLDFVKDFQEYLTQQTHHVNMISGSVSGDKEAEALQGAGTDGDQNGLDHPSVE
+VSLDENSGMLVDGFERTFDGKLKCRYCNYASKGTARLIEHIRIHTGEKPHRCHLCPFASA
+YERHLEAHMRSHTGEKPYKCELCSFRCSDRSNLSHHRRRKHKMVPIKGTRSSLSSKKMWG
+VLQKKTSNLNYSRRALINLSPPSMVVQKPDYLNDFTHEIPNIQTDSYESMAKTTPTGGLP
+RDPQELMVDNPLNQLSTLAGQLSSLPPENQNPASPDVVPCAEEKPFMMQQPSAQAVVSAV
+SASLPQSSSPASPEPRPPHGQRNYSPVAGPSSEPSAHTSTPSMGNSQPSTPAPTLPVQDP
+QLLHHCQHCDMYFADNILYTIHMGCHGYENPFQCNICGCKCKNKYDFACHFARGQHNQH
+>sp|Q3ZBI5|LRC33_BOVIN Transforming growth factor beta activator LRRC33 OS=Bos taurus OX=9913 GN=NRROS PE=2 SV=1
+MELLPLWLCLGFHFLTVEWRHRSGMVTAASQGGCELLDGVADCRGRNLASVPSDLPPSSR
+TLLLDANPLRTLGNNSLQRYPFLESLSLHDCYLERIGHVAFQEQARLRSLALPDNALSES
+YKETAAALHGLRGLRMLDLSGNSLTEDMAALMLQNLSSLESVSLARNIIMRLDESVFEGL
+GHLRELDLQRNYIFEIEGGAFDGLTQLRHLNLAYNNLPCLVDFSLTQLRSLNVSYNVLEW
+FLASGGEAAFELETLDLSHNQLLFFPLLPQCSKLHTLLLRDNNMGFYRDLYNTSSPQEMV
+AQFLLVDGNVTNITTVNLWEEFASSDLSSLRFLDMSQNQFQYLPDGFLKKMPSLSHLNLK
+QNCLVTLHIREHEPPGALVELDLSQNQLSELHLAPGLPGCLRSLQSFNLSSNQLLGVPAG
+LFANARNLATVDMSHNQISLCPLPASLDPGGTPGCVDFRNVASLQSLSLEDCGLEALQDC
+SFQGTALTHLDLSGNWGVLNGSIAPLWDVAPTLQVLSLRNVGLTSSFTELDFSAFENLRS
+LDLSGNALTSFPRFGGSLALQTLDLRRNLLTALPQRAVSEQLAGSLRTIYLSQNPYDCCG
+VEDWGALQRLHIVADLAMVTCNLSSKVIRLTELPAGVPQVCKWERVDMGLLYLVLILPSC
+LTLLVACTITFLTFRKPLLQVIKSRCHWSSIY
+>sp|A4IFD0|KAD5_BOVIN Adenylate kinase isoenzyme 5 OS=Bos taurus OX=9913 GN=Ak5 PE=2 SV=1
+MNTNEAKEYLARREIPQLFESLLNGLMCSKPEDPVEYLESCLQKVKELGGCDKVKWDTFV
+SQEKKTLPPLNGGQSRRSFLRNVMPENSNFPYRRYDRLPPIHQFSIESDTDLSETAELIE
+EYEVFDPTRPRPKIILVIGGPGSGKGTQSLKIAERYGFQYISVGELLRKKIHSTSSNRKW
+SLIAKIITTGELAPQETTITEIKQKLMQMPDEVGIVIDGFPRDVAQALSFEDQICTPDLV
+VFLACTNQRLKERLLKRAEQQGRPDDNLKATQRRLMNFKQNAAPLVKYFQEKGLIMTFDA
+DRDEDEVFYDISMAVDSKLFPNKEAAAGSSDLDPSMMLDTGEVIDTGSDYEDQGDDQLNV
+FGEDTMGGFMEDLKKCKIIFMIGGPGSGKGTQCGKLAEKYGFTHLSTDELLQNELSSESG
+RSKLIRDIMERGELVPSGIILELLKEAMVASLSNTKGFLIDGYPREVKQGEEFGRRIGDP
+HLVICMDCSADTMTNRLLQRSRNSPQADDNTTTIAKRLETYYRASIPVVAYYETKTQLHK
+INAEGTPEEVFLQLCTAIDSIF
+>sp|Q0VD00|KCD15_BOVIN BTB/POZ domain-containing protein KCTD15 OS=Bos taurus OX=9913 GN=KCTD15 PE=2 SV=1
+MPHRKERPSGSSLHAHGSTGTAEGGSMSRLSLTRSPVSPLAAQGIPLPAQLTKSNAPVHI
+DVGGHMYTSSLATLTKYPDSRISRLFNGTEPIVLDSLKQHYFIDRDGEIFRYVLSFLRTS
+KLLLPDDFKDFSLLYEEARYYQLQPMVRELERWQQEQEQRRRSRACDCLVVRVTPDLGER
+IALSGEKALIEEVFPETGDVMCNSVNAGWNQDPTHVIRFPLNGYCRLNSVQVLERLFQRG
+FSVAASCGGGVDSSQFSEYVLCREERRPQPTPTAVRIKQEPLD
+>sp|P27595|HXK1_BOVIN Hexokinase-1 OS=Bos taurus OX=9913 GN=HK1 PE=2 SV=1
+MIAAQLLAYYFTELKDDQVKKIDKYLYAMRLSDETLLDIMNRFKKEMKNGLSRDFNPTAT
+VKMLPTFVRSIPDGSEKGDFIALDLGGSSFRILRVQVNHEQNRPVHMESEVYDTPENIMH
+GSGSQLFDHVLECLGDFMEKKKIKDKKLPVGFTFSFPCRQSKIDQAILITWTKRFKARGA
+EGNYVVKLLDKAIKKRGDYDANIVAVVNDTVGTMIDCGYDDQHCEVGLIIGTGTNACYME
+ELRQIDFGWGDDGRMCINTEWGDLGDDGSLEDIRKEFDREFRRGSLNPGKQRFEKMVSGR
+YMEDVVRLVLVKMAKEGLLFEGRITPELLTRGKFNTSDVSAIEKDKEGLHNAKEILTRLG
+VERSDDDCVSVQHVCTIVSFRSANLVAATLGAILNRLRDNKSTPRLRTTVRVDGSLYKTH
+PQYSRRFHKTLRRLVPDSDVRFLLSESGTGKGAAMVTAVAYRLAEQHRQIEETLAHFRLS
+KQTLMEVKKRLRTEMEMGLRKETNSNATVNMLPSFLRSIPDGTEDGDFLALDLGGTNFRV
+LLVKIRSGKKSTVEMHNKIYRIPIEIMQGTGEELFDHIVSCISDFLDYMGIKGPRMPLGF
+TFSFPCQQTSLDAGILITWTKGFKATDCVGHDVVTLLRDAVKRREEFDLDVVAVVNDTVG
+TMMTCAYEEPTCEVGLIVGTGSNACYMEEMKNVEMVEGNQRQMCINMEWGAFGDNGCSDD
+IRTDFDKVVDEYSLNSGNQRFENMISGIYLGEIVRNILIDFTKKGFLFRGQISEPLKTRG
+IFETKFLSQIESDRLALLQVRAILQQLGLNSTCDDSILVKTVCGVVSKRAAQLCGAGMAA
+VVEKIRENRGLDRLNVTVGVDGTLYKLHPQFSRIMHQTVKELSPKCNVSFLLSEDGSGKG
+AALITAVGVRLRGESAIS
+>sp|P50595|LEP_BOVIN Leptin OS=Bos taurus OX=9913 GN=LEP PE=2 SV=1
+MRCGPLYRFLWLWPYLSYVEAVPIRKVQDDTKTLIKTIVTRINDISHTQSVSSKQRVTGL
+DFIPGLHPLLSLSKMDQTLAIYQQILTSLPSRNVVQISNDLENLRDLLHLLAASKSCPLP
+QVRALESLESLGVVLEASLYSTEVVALSRLQGSLQDMLRQLDLSPGC
+>sp|A6QLY4|ISOC1_BOVIN Isochorismatase domain-containing protein 1 OS=Bos taurus OX=9913 GN=ISOC1 PE=2 SV=1
+MAAAEPAVPALPGGGGAGAGAPSGTVPVLFCFSVFARPAAVPHGAGYELLIQKFLSLYGD
+QIDMHRKFVVQLFAEEWGQYVDLPKGFAVSERCKVRLVPLQIQLTTLGNLTPSSTVFFCC
+DMQERFRPAIKYFGDIISVGQRLLQGARILGIPVIVTEQYPKGLGSTVQEIDLTGVKLVL
+PKTKFSMVLPEVEAALAEIPGVRSVVLFGVETHVCIQQTALELVGRGIEVHIVADATSSR
+SMMDRMFALERLARTGIIVTTSEAVLLQLVADKDHPKFKEIQNLIKASAPESGLLSKV
+>sp|P01000|IAC1_BOVIN Acrosin inhibitor 1 OS=Bos taurus OX=9913 PE=1 SV=1
+EIYFEPDFGFPPDCKVYTEACTREYNPICDSAAKTYSNECTFCNEKMNNDADIHFNHFGE
+CEY
+>sp|O77784|IDH3B_BOVIN Isocitrate dehydrogenase [NAD] subunit beta, mitochondrial OS=Bos taurus OX=9913 GN=IDH3B PE=2 SV=2
+MAALSRVRWLTRALVAAPNPGAWRSLCTSTVAQASSRTQGEDVRVEGAFPVTMLPGDGVG
+PELMHAVKEVFKAASVPVEFQEHHLSEVQNMASEEKLEQVLSSMKENKVAIIGKIHTPME
+YKGELASYDMRLRRKLDLFANVVHVKSLPGYKTRHNNLDLVIIREQTEGEYSSLEHESAR
+GVIECLKIVTRTKSQRIAKFAFDYATKKGRGKVTAVHKANIMKLGDGLFLQCCEEVAELY
+PKIKFEKMIIDNCCMQLVQNPYQFDVLVMPNLYGNIIDNLAAGLVGGAGVVPGESYSAEY
+AVFETGARHPFAQAVGRNIANPTAMLLSASNMLRHLNLEHHSNMIAEAVKKVIKVGKVRT
+RDMGGYSTTTDFIKSVIGHLHPYGG
+>sp|A6QQC6|HECA2_BOVIN HEPACAM family member 2 OS=Bos taurus OX=9913 GN=HEPACAM2 PE=2 SV=1
+MWLRVFTAFLSFTAGACSGLKVAVPSHTVHGIRGQALYLPVHYGFHTPASDIQVIWLFER
+PHTMPKYLLGSVNKSVVPDLEYQHKFTMMPPNASLLINPLQFTDEGNYIVKVNIQGNGTL
+SASQKIQVTVDDPVTKPVVQIQPSSGAVEYVGNMTLTCLVEGGSRRVYQWLKNGRPVHTS
+STNSFSLQNSSLHIAPVTKEDIGNYSCLVKNPVSRMESDIIMPTIYYGPYGLRVNSDRGL
+KVGEVFTVDIGEAILFDCSADSYPPNTYSWIQRTNNATYVIKHGPRLEVASEKIAQKTTD
+YMCCAYNNITGRRDETHFTVIITSVGIEKLAQKGKSLSPLASITGISLFLIISMCLLFLW
+KKFQPYKVIKQKLEGRPETEYRKARTFSGHEDALDDFGIYEFVAFPDASGVARMPARSVP
+ACDGVPGQDLHSTIYEVIHHIPAQQQDHPESSSQDGEEDACLDRHDEAGLQELGHCKEQD
+KGKHSRAKQCI
+>sp|Q148C9|HEBP1_BOVIN Heme-binding protein 1 OS=Bos taurus OX=9913 GN=HEBP1 PE=2 SV=1
+MLGMIKNSLFGSVETWPWQVLSKGSKGDVFYEERACEGGKFATVEVTDKPVDEALREAMP
+KVMKYVGGSNDKGLGMGMTVPISFAVFPSDDGDLQKKLKVWFRIPNKFQSDPPAPSDDSI
+KIEDREGITVYSTQFGGYAKAADYAAQAAQLRSALESTAKYQTDFYFCTGYDPPMKPYGR
+RNEVWLVKSSE
+>sp|A6QNP3|PPR3B_BOVIN Protein phosphatase 1 regulatory subunit 3B OS=Bos taurus OX=9913 GN=PPP1R3B PE=2 SV=1
+MAVDIECRYSCMAPSLRRERFAFQIAPKPSKPLRPCIQLSGKNEASGTVAPTVQEKKVKK
+RVSFADNQGLALTMVKVFSEFDDPLDIPLNITELLDSIVSLTTAESESFVLDFSQPSADY
+LDFRNRLQTDHVCLENCVLKDRSIAGTVKVQNLAFEKTVKVRMTFDTWKSFTDFPCWYVK
+DTYAGSDKDTFSFDISLPEKIQSYERMEFAVCYECNGQTYWDSNKGKNYRIIRAELQSTQ
+GTAQPPNGPDFEIAFDQFGSPRCSYGLFPEWPSYLGYEKLGPYY
+>sp|Q3T0T1|PSB10_BOVIN Proteasome subunit beta type-10 OS=Bos taurus OX=9913 GN=PSMB10 PE=1 SV=1
+MQKTVLEPQRGFSFENCERNAALQRALPGLRVPHARKTGTTIAGLVFQDGVILGADTRAT
+NDSVVADKICEKIHFIAPKIYCCGAGVAADAEMTTRMAASNMELHALSTGRECRVATVTR
+MLRQTLFRYQGYVGASLIVGGVDFTGPQLYSVHPHGSYSRLPFTALGSGQDAAIAVLEDR
+FQPNMTLEAAQELLVEAITAGILGDLGSGGNVDACVITAAGAKMLRALSSPTKPIERSSQ
+YRFAPGTTPVLSQTVVPLTLELVEETVQAMDVE
+>sp|Q1RMV0|PEX5_BOVIN Peroxisomal targeting signal 1 receptor OS=Bos taurus OX=9913 GN=PEX5 PE=2 SV=1
+MAMRELVEAECGGANPLMKLAGHFTQDKALRQEGLRPGPWPPGAPASEAVSKPLGVASED
+ELVAEFLQDQNAPLVSRAPQTFKMDDLLAEMQEIEQSNFRQAPQRAPGVADLALSENWAQ
+EFLAAGDAVDVTQEYNETDWSQEFISEVTDPLSVSPARWAEEYLEQSEEKLWLGEPEGTA
+AADRWYDEYQPEEDLQHTASDFVAKVDDPKLANSEFLKFVRQIGEGQVSLESGAGSGRAQ
+AEQWAAEFIQQQGTSEAWVDQFTRPVNTSALDMEFERAKSAIESDVDFWDKLQAELEEMA
+KRDAEAHPWLSDHDDLTSASYDKGYHFEEENPLRDHPQPFEEGLRRLQEGDLPNAVLLFE
+AAVQQDPKHMEAWQYLGTTQAENEQELLAISALRKCLELKPDNRTALMALAVSFTNESLQ
+RQACETLRDWLRYTPAYAHLVAPGEEGAGGVGLGSSKRILGSLLSDSLFLEVKELFLAAV
+RLDPTSIDPDVQCGLGVLFNLSGEYDKAVDCFTAALSVRPDDYLLWNKLGATLANGNQSE
+EAVAAYRRALELQPGYIRSRYNLGISCINLGAHREAVEHFLEALNMQRKSRGPRGEGGAM
+SENIWSTLRLALSMLGQSDAYGAADARDLPTLLAMFGLPQ
+>sp|Q95114|MFGM_BOVIN Lactadherin OS=Bos taurus OX=9913 GN=MFGE8 PE=1 SV=2
+MPCPRLLAALFCSSGLFAASGDFCDSSLCLHGGTCLLNEDRTPPFYCLCPEGFTGLLCNE
+TEHGPCFPNPCHNDAECQVTDDSHRGDVFIQYICKCPLGYVGIHCETTCTSPLGMQTGAI
+ADSQISASSMHLGFMGLQRWAPELARLHQTGIVNAWTSGNYDKNPWIQVNLMRKMWVTGV
+VTQGASRAGSAEYLKTFKVAYSTDGRQFQFIQVAGRSGDKIFIGNVNNSGLKINLFDTPL
+ETQYVRLVPIICHRGCTLRFELLGCELNGCTEPLGLKDNTIPNKQITASSYYKTWGLSAF
+SWFPYYARLDNQGKFNAWTAQTNSASEWLQIDLGSQKRVTGIITQGARDFGHIQYVAAYR
+VAYGDDGVTWTEYKDPGASESKIFPGNMDNNSHKKNIFETPFQARFVRIQPVAWHNRITL
+RVELLGC
+>sp|Q2YDK4|HMGN4_BOVIN High mobility group nucleosome-binding domain-containing protein 4 OS=Bos taurus OX=9913 GN=HMGN4 PE=3 SV=3
+MPKRKAKGDAKGDKGKVKDEPQRRSARLSAKPALPKPEPRPKKAPAKKGEKLAKGRKGKA
+EVSKDGNNPAKNRDASTVQSQKAEGTGDAK
+>sp|P02253|H12_BOVIN Histone H1.2 OS=Bos taurus OX=9913 GN=HIST1H1C PE=1 SV=2
+MSETAPAAPAAAPPAEKTPVKKKAAKKPAGARRKASGPPVSELITKAVAASKERSGVSLA
+ALKKALAAAGYDVEKNNSRIKLGLKSLVSKGTLVQTKGTGASGSFKLNKKAATGEAKPKA
+KKAGAAKPKKAAGAAKKTKKATGAATPKKTAKKTPKKAKKPAAAAVTKKVAKSPKKAKAA
+KPKKAAKSAAKAVKPKAAKPKVAKPKKAAPKKK
+>sp|Q6B411|LYSM_BOVIN Lysozyme C, milk isozyme OS=Bos taurus OX=9913 PE=2 SV=1
+MKALLIVGLLLLSVAVQGKKFQRCELARTLKKLGLDGYRGVSLANWVCLARWESNYNTRA
+TNYNRGDKSTDYGIFQINSRWWCNDGKTPKAVNACRIPCSALLKDDITQAVACAKRVVRD
+PQGIKAWVAWRNKCQNRDLRSYVQGCRV
+>sp|Q3T123|MED7_BOVIN Mediator of RNA polymerase II transcription subunit 7 OS=Bos taurus OX=9913 GN=MED7 PE=2 SV=1
+MGEPQQVSALPPPPMQYIKEYTDENIQEGLAPKPPPPIKDSYMMFGNQFQCDDLIIRPLE
+SQGIERLHPMQFDHKKELRKLNMSILINFLDLLDILIRSPGSIKREEKLEDLKLLFVHVH
+HLINEYRPHQARETLRVMMEVQKRQRLETAERFQKHLERVIEMIQNCLASLPDDLPHSEA
+GMRVKTEPMDTDDSNNCIGQNEQQRENSGHRRDQIIEKDAALCVLIDEMNERP
+>sp|A5D7J1|LYRM9_BOVIN LYR motif-containing protein 9 OS=Bos taurus OX=9913 GN=LYRM9 PE=3 SV=1
+MAPLPGAELVQTPLQLYRYLLRCCRQLPTKGIQEHYKHAVRQSFRVHSDEDNPERIQQII
+KRAIEDADWILNKYKKQN
+>sp|P02754|LACB_BOVIN Beta-lactoglobulin OS=Bos taurus OX=9913 GN=LGB PE=1 SV=3
+MKCLLLALALTCGAQALIVTQTMKGLDIQKVAGTWYSLAMAASDISLLDAQSAPLRVYVE
+ELKPTPEGDLEILLQKWENGECAQKKIIAEKTKIPAVFKIDALNENKVLVLDTDYKKYLL
+FCMENSAEPEQSLACQCLVRTPEVDDEALEKFDKALKALPMHIRLSFNPTQLEEQCHI
+>sp|Q8MI28|LBN_BOVIN Limbin OS=Bos taurus OX=9913 GN=EVC2 PE=2 SV=1
+MKFSKEIEVFNPPLASSASSGPWVHSVFAFTHSWPRKTLFKRDSAVTHRLYGDISRDFQG
+TSENGVIFQKCAVVSVQSEWPSAHVRLFVNNTRTPTAANLSDLFLLDNITGLTIRESAGN
+QTSRGFQAFRKKFLQVGDSFSVSYTASLEARDVGSGDILLLPAQLSFQSSSPNRTQLKAP
+FTITAEEKITVLPNHGLHAAGFCVAFILSLVLTWAVLFFMVRYQCVKGSSLTRHQVQHHE
+NKLEHSQFTSADGVNEDLALNDQMIDILSSEDPGSMLQALEELEIATLNRADSDLEACRT
+QISKDIIALLLKNLTSSGQLSPQVERRMGAVFKKQFLLLEKEIQEEYDRKMVALTAECDL
+ETRKKTESQYQREMAAMEEAEEVLKRVSERSAVECSSLLRTLHGLEQEHLRRSLALQQEE
+DLAKAHRQLAIFQRNELHNIFFTQIKSAIFKGELKPEAAKMLLQDYSKIQESVEELMDFF
+QASKRYHLSKRFGHREYLVQNIQSSETRMQGLLSTASAQLTLLIQKHERAGYLDEDQMQV
+LLERAQTEVFSIKQKLDNDLKQEKKKLHQKLIIKRRREMLQKHKEQRREQLSIAEASGAA
+EDAGQYLGQWRGLMAEHSAALEELQERLDQAALDELRALTLSLSEKATEELRRLQNSGMT
+QELLKRGVPWLFLQQILEEHSRDLAARAERLEGEERDRGQEGVQSVRQRLKDDALEASTE
+EQAELRHWEHLIFTKLCSSAFSLSEEELLGMRQEVHGCFAQMDRSLALPKIRARVLLQRF
+QTAWREAEFLKLDQAMTAPELQPQSKARKPRSKSRSKIDLLKKCTEDKIQLFKEQAPEDL
+VEKVRGELLRERVQQLEAQEGLFAESLVSLQFQKAARMARTLWAYTALLSIQDLLLEELN
+SSETLTKSACMQILESHSPELQELERKLEDQLAHQEAAQLQRALDSWQQWAGEGPALLQE
+PEETDSERHVSAVLQRALSKGQKLLEYHQQSLREEQEDSVVLEDLLENMETDTFVTLYGQ
+ELRLASYLSKLTMLPGGTLRRLLTVALPAASQAELLAVLDSVGQKHQDHSVENDGSRVQA
+DLGRRGKHQGWWQALESKLRGELINRGLEKMLWAQKRKESILKKTCPPLRERVIFSGKRS
+WPHLSLESTDELTPVPIVGAEAVDLLNTGEKLFIFRNPKEPEISLHVPPRKKKKNFLNAK
+KAAWALGLN
+>sp|Q58CT8|LAX1_BOVIN Lymphocyte transmembrane adapter 1 OS=Bos taurus OX=9913 GN=LAX1 PE=2 SV=1
+MDVTTSAWSETTRRISEPSTLQGTLGSLDKAEDHSSSIFSGFAALLAILLVVAVICVLWC
+CGKRKKRQVPYLRVTIMPLLTLPRPRQRAKNIYDLLPRRQEELGRHPSRSIRIVSTESLL
+SRNSDSPSSEHVPSRAGDALHMHRAHTHAMGYAVGIYDNAMRPQMCGNLAPSPHYVNVRA
+SRGSPSTSSEDSRDYVNIPTAKEIAETLASASNPPRNLFILPGTKELAPSEEIDEGCGNA
+SDCTSLGSPGTENSDPLSDGEGSSQTSNDYVNMAELDLGTPQGKQLQGMFQCRRDYENVP
+PGPSSNKQQEEEVTSSNTDHVEGRTDGPETHTPPAVQSGSFLALKDHVACQSSAHSETGP
+WEDAEETSSEDSHDYENVCAAEAGARG
+>sp|Q2TBI7|IQCC_BOVIN IQ domain-containing protein C OS=Bos taurus OX=9913 GN=IQCC PE=2 SV=1
+MERERLVLKVTALQACIRGFLVRRQFQSLRGEYEAIVQEIEGDLGTLQWTEGWIPRPRFL
+PKKAKSCQTWKATGERAPNPEQELWSRFACKETEKETIREDMILKKSGESFTNASSLPCR
+DASAWSQDEQSRRTGKPSQETRDVSRKNDPEAAGPGLFHSQTELQELQNHRSHLAMELLW
+LQQAINSRKEYLVLKQTLRSPEVNQNRHEPSLCQEHGGQSCEKAGSQPGPPLEDQPYRGR
+TPGEPGHVDASCWRLRSQAHKFPEGLATPDKITAGAKYRDPSYRWPGPQLPTLSEYQATG
+KRLTKELDCGEEISGETCLQLTTLLEDESHKELKSKGSCPGKARTQLPTLHKDPNIEDNS
+PRGPCPKELDWQRAVPQELGVSEDHVTWDGSLAEHGGLDLWKNKPPKGQTPSDKSSIERS
+PSESSHEGWQNQRAVPFRSRPPEKLSTGSGHTGEDHWRGRQWKTGPAG
+>sp|Q29RP8|KMT5B_BOVIN Histone-lysine N-methyltransferase KMT5B OS=Bos taurus OX=9913 GN=KMT5B PE=2 SV=1
+MKWLGESKNMVVNGRRHGGKLSNDHQQNQSKLQHSGKDNVKAGRNAGERRSSRCNGNSGF
+EGQSRYVPSSGMSAKELCENDDLATSLVLDPYLGFQTHKMNTSAFPPRSSRHFSKSDSFS
+HNNPVRFRPIKGRQEELKEVIERFKKDEHLEKAFKCLTSGEWARHYFLNKNKMQEKLFKE
+HVFIYLRMFATDSGFEILPCNRYSSEQNGAKIVATKEWKRNDKIELLVGCIAELSEIEEN
+MLLRHGENDFSVMYSTRKNCAQLWLGPAAFINHDCRPNCKFVSTGRDTACVKALRDIEPG
+EEISCYYGDGFFGENNEFCECYTCERRGTGAFKSRVGLPAPAPVINSKYGLRETDKRLNR
+LKKLGDSSKNSDSQSVSSNTDADTTQGRNDASK
+>sp|Q3T0G5|PLPHP_BOVIN Pyridoxal phosphate homeostasis protein OS=Bos taurus OX=9913 GN=PLPBP PE=2 SV=1
+MWKAGSMSAELGIGFALRAVNERVQQAVARRPRDLPAIQPRLVAVSKTKPADMVIEAYSH
+GQRTFGENYVQELLEKASNPQILSSCPEIKWHFIGHLQKQNVNKLMAVPNLSMLETVDSV
+KLADKVNSAWQKKGSPERLKVMVQINTSGEASKHGLPPAEMAALVEHINAKCPSLEFVGL
+MTIGSFGHDLSQGPNPDFQVLLSLREELCRKLGAPPEQVELSMGMSVDFQHAIEVGSTNV
+RIGSTIFGERDYSKKTDKPAAELQAPEEVAQAH
+>sp|P02192|MYG_BOVIN Myoglobin OS=Bos taurus OX=9913 GN=MB PE=1 SV=3
+MGLSDGEWQLVLNAWGKVEADVAGHGQEVLIRLFTGHPETLEKFDKFKHLKTEAEMKASE
+DLKKHGNTVLTALGGILKKKGHHEAEVKHLAESHANKHKIPVKYLEFISDAIIHVLHAKH
+PSDFGADAQAAMSKALELFRNDMAAQYKVLGFHG
+>sp|P07552|QCR10_BOVIN Cytochrome b-c1 complex subunit 10 OS=Bos taurus OX=9913 GN=UQCR11 PE=1 SV=2
+MLTRFLGPRYRQLARNWVPTASLWGAVGAVGLVWATDWRLILDWVPYINGKFKKDD
+>sp|Q0VC09|RIBC1_BOVIN RIB43A-like with coiled-coils protein 1 OS=Bos taurus OX=9913 GN=RIBC1 PE=2 SV=1
+MYQVDLPPDPKEVAAIEARRNQEREQQSRFFNVRTRVMGVDVEALNNQVEERKLQEATER
+SKEAAYGTNQVRYDLVAQMLEKEQAERTRRLAKKVQNFREQRQKLRNRCELDFWNSNQLW
+REFPAYLGDNAPYYGQASLQCFSGEDLERATYLRMQQEQFQYSLERQLQEQQQARVDENC
+ADMLNDQLRLAMDMRAAQLAKLEESCRIAMMAATASANKAQAVKLAEQQGQEHQRQQEAN
+LVEVQNQITSDLLTENPQVAQNPVAPHRVLPYCWKGMTPEQRATIRKVQETQHHEKEAQR
+QAEQALDAKWESQAINLAQAAKELEEQERELCAEFRRGLGSFNQQLAMEQNAQQNYLNSI
+IYTNQPTAQYHLQFNTSSR
+>sp|Q58CY6|PXL2B_BOVIN Prostamide/prostaglandin F synthase OS=Bos taurus OX=9913 GN=PRXL2B PE=2 SV=1
+MSTVDLARVGACVLKHAVTGEAVELRNLWQEQACVVAGLRRFGCMVCRWIARDLSNLKGL
+LDQHGVRLVGVGPEALGLQEFLDGGYFAGELYLDESKQFYKELGFKRYNSLSILPAALGK
+PVREVAAKAKAVGIQGNLSGDLLQSGGLLVVAKGGDKVLLHFVQKSPGDYAPLESILQAL
+GISAEVGPSELPQCDEEACSR
+>sp|Q1LZE2|RHNO1_BOVIN RAD9, HUS1, RAD1-interacting nuclear orphan protein 1 OS=Bos taurus OX=9913 GN=RHNO1 PE=2 SV=1
+MPPRKKRRQAAQKPQLLFHQQPLEAPKHRCRFPQLPVVTHTRQVPSKPVDHNTITSWVSP
+QFDTTAESWFPGKRKHHHRDHARRSSRKSTSSRFPCLTFETPQSSASSATPGILASRDGP
+SQPEKDISGRPLVPMLSPQSCRELSAHTFPDFPCVFIPPDIQTPESPGQGEPIPSELREN
+SLPSCSLHTSTPKSPEPGPVLVTDTPEEKYGIKVTWRRRRHLFAYLRERGKLSRSQFLVK
+D
+>sp|Q5E9V4|RRNAD_BOVIN Protein RRNAD1 OS=Bos taurus OX=9913 GN=RRNAD1 PE=2 SV=1
+MPGVSARRLSHEERRQLAVNLTRVVTLYRSILDAYIIEFFTDNLWGTLPCSWQEALDGLN
+PPQLATLLLGMPREGEVARYRSVWPLTLLALKSTAYALAFTRTPGFQTPSEFLENPSQSS
+RLTAPFRKHVRPKKQHEIRRLGELVKKLSDLTGCTQVVDVGSGQGHLSRFMSLGLGLMVK
+SIEGDQRLVERAQRLDQELLQTLEKEEKRNPKVVQTGPRHPPHHVVRWVDPTTLCEELLL
+PLETSPQSRARLLLTGLHACGDLSVALLKHFCCCPEVVALASVGCCYMKLSDPGGYPLSQ
+WVAGLPGYELPYRLREGACHALEEYAERLQKAGPSLRTHCYRAALETVIRCAQPELRRPG
+VQGIPRVHELKIEEYVQRGLQRVGLDPHLPLNVAALRAHQAQENRVVAFFSLALLLAPLV
+ETLILLDRLLYLQEQGFHAELLPIFSPELSPRNLVLVATKGPLGEAFSLLETEDN
+>sp|A5PJC7|IGFR1_BOVIN IGF-like family receptor 1 OS=Bos taurus OX=9913 GN=IGFLR1 PE=2 SV=1
+MGPLRLLPTAVLLLAQAAPWEASQHCGRLEYWNPDNRCCGSCLQRFGPPPCADLEFSENC
+GLDDAGNHVMHPFQECPPGQCNRNSAELCSLCGGGATAPIPSGSRGGTGRPCREPVPNKE
+PCPLTPGKSSILSSQEPSSPGIPSVSWTSEHKAPQQAWPSLSFALFLVLVLLVTSAIILL
+ALQRHHRRLDQGKAVQHPYPSLVCSDLDTHTRFLHLSSPASLETSEARDSWKEVSLSPLL
+GREMPSLESQPLSRLLDELEVLEELILLLDPEPGPGGRMACGTTRHLAARYGLPAAWSTF
+AYSLRPSRSPLRALIEMVVAREPSASLGQLGTHLAQIGRADALQVLSKLGSSGACLA
+>sp|Q1LZ83|LACB2_BOVIN Endoribonuclease LACTB2 OS=Bos taurus OX=9913 GN=LACTB2 PE=2 SV=1
+MAATLQRIERLSSRVIRVLGCNPGPMTLQGTNTYLVGTGPRRILIDTGEPSIPEYISCLK
+QALTEFNTAIQEIIVTHWHRDHTGGIGDICKSINNDTTYCVKKLPRNPERKEIIGNGEQQ
+YVYVKDGDIIKTEGATLRVVYTPGHTDDHMALVLEEENALFSGDCILGEGTTVFEDLYDY
+MNSLKELLKIKAKVIYPGHGPVIHNAEAKILQYISHRNIREQQILTVFHENFEKSFTAME
+LVKSIYKDTPEHLHKMAQHNVLLHLKKLEKEGKIVSNTDPEKKWKAHL
+>sp|Q32L04|LELP1_BOVIN Late cornified envelope-like proline-rich protein 1 OS=Bos taurus OX=9913 GN=LELP1 PE=3 SV=1
+MSSDDKNKPGEPKNEPKQCDPGCEQKCETKCQPSCLKRLLQRCSEKCQPPKCPPPKCTPC
+PPCPPSCPPPPKCPPPCPPPCPPPCPPPCPPKPCVKSCPPKCPPPCPPPE
+>sp|F1MMS9|ITA3_BOVIN Integrin alpha-3 OS=Bos taurus OX=9913 GN=ITGA3 PE=3 SV=1
+MGPGPSRAAGVLRPLLGMLALMVAASNRAASAFNLDTRFLVVKEAGNPGSLFGYSVALHR
+QTERQQRYLLLAGAPRDLAVPDGYTNRTGAVYLCPLTAHKNDCERMDIKEKSNPNHIIED
+MWLGVTVASQGPAGRVLVCAHRYTQVLWSGSEDQRRMVGKCYVRGNDLELDARDDWQTYH
+NEMCNSNTDYLETGMCQLGTSGGFTQNTVYFGAPGAYNWKGNSYMIQRKDWDLSEYSYKD
+PEDQGNLYIGYTMQVGSAILHPTNITIVTGAPRHQHVGAVFLLSQEAGGDLRRRQVLEGT
+QVGAYFGSAIALADLNNDGWQDLLVGAPYYFERKEEVGGAIYIFMNQAGTSFPDHPSLLL
+HGPSRSAFGFSVASIGDVNQDGFQDIAVGAPFEGLGKVYIYHGSSRGLLRQPQQVIHGEQ
+LGLPGLATFGYSLSGQMDVDENFYPDLLVGSLSDRIVLLRARPVINILHKTLVAKPSILD
+PAFCTATSCVQVELCFAYNQSAGNPNYRRNITLAYTLEADRDRRPPRLHFARSQSAVFHG
+FFSMPEMRCQTLELLLMDNVRDKLRPITISMNYSLPLRLPDRPQLGLGSLDAYPVLNQAQ
+ALENHTEVQFQKECGQDNRCDSNLQMRAAFVSELGQRLSRLQYRRDLRKLLLSINVTNTP
+SRKRAGEDAHEALLTLEVPPTLLLSSVRPPGACQANETIVCELGNPFKRNQRMELLIAFE
+VIGVTLHTRELQAQLQLSTSSHQDDLRPMTLPLLVDYTLQASLSMVNHRLQSFFGGTVMG
+ESGMKTVEDVGSPLKYEFQVGPMGEGLAALGTLVLGLEWPYEVSNGKWLLYPTEITVHGN
+GSWHCQPPGDLINPLNLTLSVPGDGPPSPQRRRRQLDPGGGQGPPPVTLAAAKKAKSEIQ
+LSCGSDHTHCVWLECPIPDAPVITNVTIQARVWNSTFIEDYRDFDRVRVASWATLFLRTG
+VPTINMENKTVRFSVDIDSDLVEELPAEIELWLVLVAVSAGLLLLGLIILLLWKCGFFKR
+ARTRALYEAKRQKAEMKSQPSETERLTEDY
+>sp|Q0VCA3|LETM1_BOVIN Mitochondrial proton/calcium exchanger protein OS=Bos taurus OX=9913 GN=LETM1 PE=2 SV=1
+MASILLRSCRGRGPARLPPPRSAAPRGPAGDLACLSGASAVGLMSYVPLPLGSCAPVRPV
+YLSLRADPLGCWTLRPKRACAVLAGPRLLPVRCWHSSRPLGDDSVVEKSLRSLKDKNKKL
+EEGGPVYSPPAQAAVRKPLGQRVLDELRHYYHGFRLLWIDTKIAARMLWRILHGHSLTRR
+ERRQFLRICADLFRLVPFLFFVVVPFMEFLLPVAVKLFPNMLPSTFETQSSKEERLKKEL
+RVKLELAKFLQDTIEEMALKNKAAKGSATKDFSVFFQKIRETGERPSNEEIMRFSKLFED
+ELTLDNLTRPQLVALCKLLELQSIGTNNFLRFQLTMRLRSIKADDKLIAEEGVDSLNVKE
+LQAACRARGMRALGVTEDRLRGQLKQWLELHLHQEIPTSLLILSRAMYLPETLSPADQLK
+STLQTLPEIVAKEAQVKVAEVEGEQVDNKAKLEATLQEEAAIQQEHREKELQRKSQAAVA
+QAAKEVEPEVVAEGAPGRPVAELQPEEPAVTLPSEVLKDSAPVLEGLKEEEITQEEIDVL
+SNACSKLKEQKKSLTKEKEELELLKGDVQDYSQDLQEIKKELSKTGEEMYVEESKASKRL
+TKRVQQMIGQMDSLLAQLEADQKAGRLGPAAEAAPAGETVISVSELINAMKQIKHIPESK
+LLSLASALDDNKDGKVDIDDLVKVIELVDKEDVHISTSQVAEIVATLEKEEKVEEKEKAK
+EKAEKEAAEVQN
+>sp|Q0V8R5|IL16_BOVIN Pro-interleukin-16 OS=Bos taurus OX=9913 GN=IL16 PE=2 SV=1
+MDYSLETTAEDPWVRISDCIKNLFSPIMSENHNHVPLQPDAPLGEEDGMRGHPDGAAAKL
+DTTGGGPKAYKQAGGSTVKKGPPVAPKPAWFRQSLKGLRNRVPDSRREMAASCQPTPTSR
+ERPGPPLRASSSIKQRISSFETFSSAHPPDRGAQRLSLQASSSSGEAAELPRKQEGERAS
+GPSGQGAPPTMEQQRPEPEQLPPASPATSEASNPGVSGHPPLEQQPGQKTLSSDPDPLSR
+LLATQMEGPRGPVVKMPSQRARSFPLSRTQSCEMKPLDEKTSKLYSISSQVSSAVMKSLL
+CLPSSLSFGQIPCSPKEGVLPPTAFSEDPTNSSAEASASDTSFSLNLSELREYTEGLSES
+TEANDCDHCTSQPGQSVISLLSTEELKKLIEEVKVLDEATLKQLDSIHVTILHKEEGAGL
+GFSLAGGADLENKVITVHRVFPNGLASQEGTIQKGNEVLSINGKSLKGATHNDALAILRQ
+AREPRQAVIVTRRPTLEATTDLNSSTDSSGSASVTSDVSIESAEATVCTVTLEKTSAGLG
+FSLEGGKGSLHGDKPLTVNRIFKGLASEQSDTVQPGDEIVHLAGTAMQGLTRFEAWNIIK
+ALPDGPVTIVLRRKSLQSKGTPAAGDP
+>sp|P04260|K2C4_BOVIN Keratin, type II cytoskeletal 59 kDa, component IV (Fragment) OS=Bos taurus OX=9913 PE=2 SV=1
+RLRSEIDHVKKQCASLQSAIADAEQRGELALKDARSKLADLEDALQKAKQDMARLLKEYQ
+ELMNVKLALDVEIATYRKLLEGEECRLSGEGVGQVNISVVQSTVSGGYGGAGGYGGASGL
+GSGLGVSGGSGYSYSSGHSLGGGFSSGSGRAIGCGFGSSGGSSSTIKYTTTTSSSSRKGY
+KH
+>sp|A3KN27|K2C74_BOVIN Keratin, type II cytoskeletal 74 OS=Bos taurus OX=9913 GN=KRT74 PE=2 SV=1
+MSRQLNIKSGGDKGGFSGHSAVVLRKVGGSAASYRAPSKGAGAAFGSRSLYSLCRGDLCV
+PLKVAGSSVRTGGYNFRLGSGYGGVRASSFAGSMFGSVVLGPVCPSMCPPGGIHQVTVNK
+SLLAPLNVELDPEIQKVRAQEREQIMALNNKFASFIDKVRFLEQQNQVLGTKWELLQQMD
+LNNCRKNLEPILEGYIGNLRKQLEMLSGDRLRLDSELKGMRDLVEDYKKRYEVEINQRTA
+AENDFVVLKKDADAAYTVKVELQAKVDSLDKDIKFLKCLYDAEVAQIQTHTSETSVILSM
+DNNRYLDLDSIIAEVRAQYEDIALKSKAEAEALYQSKIQELQLAAGRHGDDLKHTKNEMS
+ELNRLIQRIRCEIANVKKQAFSVCSKPAPCPLQCANLETAIADAEQRGDSALKDARAKLD
+ELEAAMHQAKEELARMLREYQELMSLKLALDMEIATYSKLLEGEECWMSGENPSSVSISV
+ISSSASSFGYHPGSSASTDLGASTMASTGTSSSSSTQSGQTRAKGARVGDPKDSQDKSTP
+VSSRARKAAR
+>sp|Q1RMS6|INT7_BOVIN Integrator complex subunit 7 OS=Bos taurus OX=9913 GN=INTS7 PE=2 SV=1
+MASNSTKSFLADAGYGEQELDANSALMELDKGLRSGKLGEQCEAVVRFPRLFQKYPFPIL
+INSAFLKLADVFRVGNNFLRLCVLKVTQQSEKHLEKILNVDEFVKRIFSVIHSNDPVARA
+ITLRMLGSLASIIPERKNAHHSIRQSLDSHDNVEVEAAVFAAANFSAQSKDFAVGICNKI
+SEMIQGLATPVDLKLKLIPILQHMHHDAILASSARQLLQQLVTAYPSTRMVIVSLHTFTL
+LAASSLVDTPKQIQLLLQYLKNDPRKAVKRLAIQDLKLLANKTPHTWSRENIQALCECAL
+QTPYDSLKLGMLSVLATLSGTIAVKHYFSIASGNVGSSPRSSDLVKLAQECCYHNNRGIA
+AHGVRVLTNITVSCQEKDLLALEQDAVFGLESLLVLCSQDDSPGAQATLKIALNCMVKLA
+KGRPHLSQSVVETLLTQLHSAQDTARILMCHCLAAIAMQLPVLGDGMLGDLVELYKVIGR
+SATDKQQELLVSLATVIFVASQKALSAEVKAVIKQQLESVSNGWTVYRIARQASRMGNHD
+MARELYQTLLTQVASEHFYFWLNSLKEFSHAEQCLTGLQEENYSSALSCIAESLKFYHKG
+IASLTAASTPLNPLSFQCEFVKLRIDLLQAFSQLICTCNSLKTSPPPAIATTIAMTLGND
+LQRCGRISNQMKLSMEEFRSLASRYGDLYQASFDADSATLRNVELQQQSCLLISHAIEAL
+ILDPESASFQEYGSTGAAHADSEYERRMMSVYNHVLEEVESLNRKYTPVSYMHTACLCNA
+IISLLKVPLSFQRYFFQKLQSTSIKLALSPSPRNPAEPIAVQNNQQLALKVEGVVQHGSK
+PGLFRRIQSVCLNVSSTLQSKSGQDYKIPIDNMTNEMEQRVEPHNDYFSTQFLLNFAILG
+THNITVESSVKDANGIVWKTGPRTTIFVKSLEDPYSQQIRLQQQQAQQPLQQQQQRNAYT
+RF
+>sp|Q3ZCH9|HDHD2_BOVIN Haloacid dehalogenase-like hydrolase domain-containing protein 2 OS=Bos taurus OX=9913 GN=HDHD2 PE=2 SV=1
+MATRRALKAVLVDLSGTLHIEDAAVPGAQEALKRLRATSVMVRFVTNTTKESKQDLLERL
+KKLEFDISEDEIFTSLTAARNLVEQKQVRPMLLVDDRALPDFKGIQTSDPNAVVIGLAPE
+HFHYQILNQAFRLLLDGAPLIAIHKARYYKRKDGLALGPGPFVTALEYATDTKATVVGKP
+EKTFFLEALRGTGCEPEETVMIGDDCRDDVGGAQNAGMRGILVKTGKYRAADEDKINPAP
+YLTCESFPHAVDHILQHLL
+>sp|P69206|MORN_BOVIN Morphogenetic neuropeptide OS=Bos taurus OX=9913 PE=1 SV=1
+QPPGGSKVILF
+>sp|A4FV72|PPIE_BOVIN Peptidyl-prolyl cis-trans isomerase E OS=Bos taurus OX=9913 GN=PPIE PE=2 SV=1
+MATTKRVLYVGGLAEEVDDKVLHAAFIPFGDITDIQIPLDYETEKHRGFAFVEFELAEDA
+AAAIDNMNESELFGRTIRVNLAKPMRIKEGSSRPVWSDDDWLKKFSGKTLEENKEEEGSE
+PPKVETQEGEPAVKKARSNPQVYMDIKIGNKPAGRIQMLLRSDVVPMTAENFRCLCTHEK
+GFGFKGSSFHRIIPQFMCQGGDFTNHNGTGGKSIYGKKFDDENFILKHTGPGLLSMANSG
+PNTNGSQFFLTCDKTDWLDGKHVVFGEITDGLDVLRQIEAQGSKDGKPKQKVIISDCGEY
+V
+>sp|Q3MHF7|MTAP_BOVIN S-methyl-5'-thioadenosine phosphorylase OS=Bos taurus OX=9913 GN=MTAP PE=1 SV=1
+MSSGATPAAVKIGIIGGTGLDDPEILEGRTEKYVDTPFGKPSDALVLGKIKNVDCVLLAR
+HGRQHTIMPSKVNYQANIWALKEEGCTHVIVTTACGSLKEEIQPGDIIIIDQFIDRTTRR
+LQTFYDGNHSCARGVCHIPMAEPFCPKTREVLIETAKKLGLRCHSKGTMITIEGPRFSSR
+AESIMFQTWGADVINMTTVPEVVLAKEAGICYASIAMATDYDCWKEHEEAVSVDRVLKTL
+KENANKAKSLLLTTIPQIGSMEWSETLHNMKKMAQFSVLLPRH
+>sp|Q02379|NDUS5_BOVIN NADH dehydrogenase [ubiquinone] iron-sulfur protein 5 OS=Bos taurus OX=9913 GN=NDUFS5 PE=1 SV=2
+MPFFDVQKRLGVDLDRWMTIQSAEQPHKIPSRCHAFEKEWIECAHGIGSIRAEKECKIEF
+EDFRECLLRQKTMKRLHAIRRQREKLIKEGKYTPPPHHSGQEEPRS
+>sp|P42026|NDUS7_BOVIN NADH dehydrogenase [ubiquinone] iron-sulfur protein 7, mitochondrial OS=Bos taurus OX=9913 GN=NDUFS7 PE=1 SV=1
+MAALAALRLLHPILAVRSGVGAALQVRGVHSSMAADSPSSTQPAVSQARAVVPKPAALPS
+SRGEYVVAKLDDLINWARRSSLWPMTFGLACCAVEMMHMAAPRYDMDRFGVVFRASPRQS
+DVMIVAGTLTNKMAPALRKVYDQMPEPRYVVSMGSCANGGGYYHYSYSVVRGCDRIVPVD
+IYVPGCPPTAEALLYGILQLQKKIKREKRLRIWYRR
+>sp|Q5E9E1|PDLI1_BOVIN PDZ and LIM domain protein 1 OS=Bos taurus OX=9913 GN=PDLIM1 PE=2 SV=3
+MTTLQIVLQGPGPWGFRLVGGKDFEQPLAISRVTPGSKAAIGNLCVGDVITAIDGENTSN
+MTHLEAQNKIKGCTDNMTLTVARSEQKIWSPLVTEEGKRHPYKMNLASEPQEALHIGSAH
+NRSAVPFTASPAFSSAPRVITNQYNNPAGLYSSENISSFNNALESKTAASGQENGRALDH
+SQLPSGLVIDKESEVYKMLQEKQELNEPPKQSTSFLVLQEILESEEKGDPNKPSGFRSVK
+APVTKVAASIGNAQKLPMCDKCGTGIVGVFVKLRERHRHPECYVCTDCGTNLKQKGHFFV
+EDQIYCEKHARERVTPPEGYDVITVFPK
+>sp|Q2TA25|PLK1_BOVIN Serine/threonine-protein kinase PLK1 OS=Bos taurus OX=9913 GN=PLK1 PE=2 SV=2
+MSAAATAGKLGRAPADPGKAPGVAAPGASTAAPPAKEIPEVLVDPRSRRRYLRGRFLGKG
+GFAKCFEISDADTKEVFAGKIVPKSLLLKPHQKEKMSMEISIHRSLAHQHVVGFHGFFED
+NDFVFVVLELCRRRSLLELHKRRKALTEPEARYYLRQIVLGCQYLHGNRVIHRDLKLGNL
+FLNEDLEVKIGDFGLATKVEYDGERKKTLCGTPNYIAPEVLSKKGHSFEVDVWSIGCIMY
+TLLVGKPPFETSCLKETYLRIKNNEYSIPKHINPVASSLIKKMLQPDPTARPTIHELLND
+EFFTSGYIPARLPITCLTIPPRFSIAPSSLDPSNRKPLTVLNKGMENPMPERPREKEEPV
+VREASEPVDCHLSDMLQQLHSVNASKPSERGLVRQEEAEDPACIPIFWVSKWVDYSDKYG
+LGYQLCDNSVGVLFNDSTRLILYSDGDSLQYIERDGSESYLTVSSHPNSLIKKITLLKYF
+RNYMSEHLLKAGANITPREGDELARLPYLRTWFRTRSAIILHLSNGCVQINFFQDHTKLI
+LCPLMAAVTYIDEKRDFRTYRLSLLEEYGCSKELASRLRYARAMVDKLLSSRSAANRLKA
+SS
+>sp|Q863H2|NCTR1_BOVIN Natural cytotoxicity triggering receptor 1 OS=Bos taurus OX=9913 GN=NCR1 PE=2 SV=1
+MPSTLAVFLVLGLGLSQRSSTQKQMLSKPAIWVRPSFMIPKGRSATIGCRGAGEAMEYQL
+HFEGGLSALKRPKSSPVMNWVKFPIPAMTSHTAGQYRCSYRSGELWSELSDPLELVVTGL
+YDTPTLSVEPRSEVTSGENVTFHCQLATATSTFFLLKKGRSSRPQLRYGNLRAEFHLGPV
+TPAHGGTYRCFGSYNNHAWSFPSKPVKLLVKVPGDAGDTTFAPTEHTSSDYWDSYELTTG
+TQSQKDHLLWNHMIQNLIRLGLAVLVLVALMGFLLEDWLHRRKSQERAHRASRRGCRRRL
+RAQRALET
+>sp|Q05B81|PCFT_BOVIN Proton-coupled folate transporter OS=Bos taurus OX=9913 GN=SLC46A1 PE=2 SV=1
+MEGRANSPGEPRAWPTRSVLCRGCVEPLVFLANFALVLQGPVTTQYLWHRFSADLGYNGT
+RHRDSCSNHSVDPIAQEVETLTSHWTLYMNVGGFLVGLFSSTLLGAWSDCVGRRPLLVLA
+SLGLLLQTVLSIFVVQLHLHIGYLVLGRILCALLGDFSGLLAASFASVADVSSSRTRTIR
+MALLEACIGVAGMLASFIGGFLLQEQVYVNPFWLALAVLTVMTLYAAFCFGETVKERTPT
+RLFTLRHHRSVIQLYVTQAPEKSRKHLALYSLAIFVMITVHLGAQDILTLYELSAPLCWD
+SRLISYGSAAQQLPYLTSLLGLRLLQYCLADTWVAEIGLVFNILGMMVFAFATITPLMFT
+GYGLLFLSLVVTPIIRAKLSRLVRQSEQGALFSALACVNGLAMLMASGIFNSLYPATLNL
+MKGFPFLLAAGLLFIPAILMGILERDNHCPEFQEFSQSP
+>sp|A6H7B0|PLLP_BOVIN Plasmolipin OS=Bos taurus OX=9913 GN=PLLP PE=2 SV=1
+MAEFPSKVNTRTSSPAQGGGAVVSTLSPDLGFVRSSLGALMLLQLVLGLLVWALIADTPY
+HLYPSYGWVMFVAVFLWLVTIIFFVLYLFQLHMKLYMVPWPLVLMVFNVGATVLYITAFI
+TCSASVELTSLKGSQPYNQRAAASFFSCLVMIAYGVSAFLSFQAWRGVGSNAATSQMAGG
+YA
+>sp|Q29RM9|RGS4_BOVIN Regulator of G-protein signaling 4 OS=Bos taurus OX=9913 GN=RGS4 PE=2 SV=1
+MCKGLAGLPASCLRSAKDMKHRLGFLLQKSDSCEHNSSHSKKDKVVICQRVSHEEVKKWA
+ESLENLISHECGLAAFKAFLKSEYSEENIDFWISCEEYKKIKSPSKLSPKAKKIYNEFIS
+VQATKEVNLDSCTREETSRNMLEPTITCFDEAQRKIFNLMEKDSYRRFLKSRFYLDLVNL
+SSCGSEKQKGAKSSTDCASLVPQCA
+>sp|A0JNL8|RES18_BOVIN Regulated endocrine-specific protein 18 OS=Bos taurus OX=9913 GN=RESP18 PE=2 SV=1
+MQRQLWLGGFRGLWLLVCFLLLNSRLGGSSDVSGHDGQSQVGVGQLWPLPGFTTPVFKHL
+QVLLQQIMPHDLFWKDDMTQEVMTQKMGRTSKLHPEDPCVRSGPAAFPTRTPGVRGKQEE
+KLRLLFPKSPMVKVNKDQCFTSKVVSKVLKHEVANPVKGFFESPPTVGHNLVAD
+>sp|P02777|PLF4_BOVIN Platelet factor 4 OS=Bos taurus OX=9913 GN=PF4 PE=1 SV=1
+ESSFPATFVPLPADSEGGEDEDLQCVCLKTTSGINPRHISSLEVIGAGTHCPSPQLLATK
+KTGRKICLDQQRPLYKKILKKLLDGDES
+>sp|P02690|MYP2_BOVIN Myelin P2 protein OS=Bos taurus OX=9913 GN=PMP2 PE=1 SV=2
+MSNKFLGTWKLVSSENFDEYMKALGVGLATRKLGNLAKPRVIISKKGDIITIRTESPFKN
+TEISFKLGQEFEETTADNRKTKSTVTLARGSLNQVQKWDGNETTIKRKLVDGKMVVECKM
+KDVVCTRIYEKV
+>sp|A5D7V5|MO2R1_BOVIN Cell surface glycoprotein CD200 receptor 1 OS=Bos taurus OX=9913 GN=CD200R1 PE=2 SV=1
+MPCTWITSDLQLRLILTLFFVAECLSAGMEGTKTSNNSMQQLDNGNHSSVSTTSSTERKQ
+STVTLYAEVKTSLSVLVDTKAVLTCPPVLWPSVLVVTWEIVLRDKPPCFGAYRRDTNQTT
+RGNCTDKRITWASRPDENPALQVDPVAITHDGNYTCQIVTSDGNFHHEYHLQVLVPPEVT
+LIQTEKGTAVCKAAAGKPAAQISWTPEGDCDTEQGPYWGDGTVTVQSTCRWGSRHVLNVS
+CSVSHLAGNKSLSIQLSQGAEIPAHLKNLYITAPIFIILIVVGSIWLLKISGCRKCKLKK
+TEHTPVVQEDEMEPYASYTEKNNPLYDITNRVKTSQVLQSEVDGMNLHTIYVPRV
+>sp|Q3ZC23|RNK_BOVIN Ribonuclease kappa OS=Bos taurus OX=9913 GN=RNASEK PE=3 SV=1
+MASLLCCGPKLAACGIVLSAWGVIMLIMLGIFFNVHSAVLIEDVPFTEKDFENGPQNIYN
+LYEQVSYNCFIAASLYLLLGGFSFCQVRLNKRKEYMVR
+>sp|Q08DI5|RAP2C_BOVIN Ras-related protein Rap-2c OS=Bos taurus OX=9913 GN=RAP2C PE=2 SV=1
+MREYKVVVLGSGGVGKSALTVQFVTGTFIEKYDPTIEDFYRKEIEVDSSPSVLEILDTAG
+TEQFASMRDLYIKNGQGFILVYSLVNQQSFQDIKPMRDQIVRVKRYEKVPLILVGNKVDL
+EPEREVMSSEGRALAQEWGCPFMETSAKSKSMVDELFAEIVRQMNYSSLPEKQDQCCTTC
+VVQ
+>sp|Q3MHP2|RB11B_BOVIN Ras-related protein Rab-11B OS=Bos taurus OX=9913 GN=RAB11B PE=2 SV=3
+MGTRDDEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFNLESKSTIGVEFATRSIQVDGKTI
+KAQIWDTAGQERYRAITSAYYRGAVGALLVYDIAKHLTYENVERWLKELRDHADSNIVIM
+LVGNKSDLRHLRAVPTDEARAFAEKNNLSFIETSALDSTNVEEAFKNILTEIYRIVSQKQ
+IADRAAHDESPGNNVVDISVPPTTDGQKPNKLQCCQNL
+>sp|P43033|LIS1_BOVIN Platelet-activating factor acetylhydrolase IB subunit alpha OS=Bos taurus OX=9913 GN=PAFAH1B1 PE=1 SV=2
+MVLSQRQRDELNRAIADYLRSNGYEAAYSVFKKEAELDMNEELDKKYAGLLEKKWTSVIR
+LQKKVMELESKLNEAKEEFTSGGPLGQKRDPKEWIPRPPEKYALSGHRSPVTRVIFHPVF
+SVMVSASEDATIKVWDYETGDFERTLKGHTDSVEDISFDHSGKLLASCSADMTIKLWDFQ
+GFECIRTMHGHDHNVSSVAIMPNGDHIVSASRDKTIKMWEVQTGYCVKTFTGHREWVRMV
+RPNQDGTLIASCSNDQTVRVWVVATKECKAELREHEHVVECISWAPESSYSSISEATGSE
+TKKSGKPGPFLLSGSRDKTIKMWDVSTGMCLMTLVGHDNWVRGVLFHSGGKFILSCADDK
+TLRVWDYKNKRCMKTLNAHEHFVTSLDFHKTAPYVVTGSVDQTVKVWECR
+>sp|Q17QR8|HARB1_BOVIN Putative nuclease HARBI1 OS=Bos taurus OX=9913 GN=HARBI1 PE=2 SV=1
+MAIPITVLDCDLLLYGRGHRTLDRFKLDDVTDEYLMSMYGFPRQFIYYLVELLGASLSRP
+TQRSRAISPETQILAALGFYTSGSFQTRMGDAIGISQASMSRCVANVTEALVERASQFIH
+FPADEASVQALKDEFYGLAGIPGVIGVVDCMHVAIKAPNAEDLSYVNRKGLHSLNCLMVC
+DIRGALMTVETSWPGSLQDCVVLQQSSLSSQFEAGMHKESWLLGDSSFFLRTWLMTPLHI
+PETPAEYRYNMAHSATHSVIEKTFRTLCSRFRCLDGSKGALQYSPEKSSHIILACCVLHN
+ISLEHGMDVWSSPVTGPVEQPPEEEYEHMESLDLEADRIRQELMLTHFS
+>sp|Q2TBP2|HINFP_BOVIN Histone H4 transcription factor OS=Bos taurus OX=9913 GN=HINFP PE=2 SV=1
+MPPPGKVPRKENLGLQCEWGSCSFVCSAMEEFCEHVTQHLQQHLQGSGEEEEEEEDLLEE
+EFSCLWRECGFCSPDNSADLIRHVYFHCYHTKLKQWGLQALQSQADLSPCILDFQSRNLI
+PDIPDHFLCLWEHCENSFDNPEWFYRHVEAHSQCCEYQVVGKDNNVVLCGWKGCTCTFKD
+RFKLREHLRSHTQEKVVACPTCGGMFANNTKFLDHIRRQSSLDQQHFQCSHCSKRFATER
+LLRDHMRNHVNHYKCPLCDMTCPLPSSLRNHMRFRHSEARPFKCDCCDYSCKNLIDLRKH
+LDTHSKEPAYSCDFENCTFSARSLYSIKSHYRKVHEGDSEPRYRCHVCDKCFTRGNNLTV
+HLRKKHQFKWPSGHPRFRYKEHEDGYMRLQLVRYESVELTQQLLRQPQEGSGLGASLNES
+SLQDIILETVPGEPGPQEEAEEEGGGGEGIALPASQGTSSPIIHVVNQTNAQGEREVVYY
+VLFEAPGEPPPASEPPSGGVMGELQGAAEEPEVQMV
+>sp|O97711|HYAS2_BOVIN Hyaluronan synthase 2 OS=Bos taurus OX=9913 GN=HAS2 PE=2 SV=1
+MHCERFLCILRIIGTTLFGVSLLLGITAAYIVGYQFIQTDNYYFSFGLYGAFLASHLIIQ
+SLFAFLEHRKMKKSLETPIKLNKTVALCIAAYQEDPDYLRKCLQSVKRLTYPGIKVVMVI
+DGNSEDDLYMMDIFSEVMGRDKSATYIWKNNYHVKGPGETDESHKESSQHVTQLVLSNKS
+ICTMQKWGGKREVMYTAFRALGRSVDYVQVCDSDTMLDPASSVEMVKVLEEDPMVGGVGG
+DVQILNKYDSWISFLSSVRYWMAFNIERACQSYFGCVQCISGPLGMYRNSLLHEFVEDWY
+NQEFMGSQCSFGDDRHLTNRVLSLGYATKYTARSKCLTETPIEYLRWLNQQTRWSKSYFR
+EWLYNAMWFHKHHLWMTYEAVITGFFPFFLIATVIQLFYRGKIWNTLLFLLTVQLVGLIK
+SSFASCLRGNIVMVFMSLYSVLYMSSLLPAKMFAIATINKAGWGTSGRKTIVVNFIGLIP
+VSVWFTILLGGVIFTIYKESKKPFSESKQTVLIVGTLLYACYWVMLLTLYVVLINKCGRR
+KKGQQYDMVLDV
+>sp|A7YY54|JUND_BOVIN Transcription factor jun-D OS=Bos taurus OX=9913 GN=JUND PE=2 SV=1
+METPFYGDEALSGLGGGGSSSGGGGSFASPGRLFPGAPPTAAPGSMMKKDALTLSLSEQV
+AAALKPAAAPPPGPLRTDGAPGTAPPDGLLASPELGLLKLASPELERLIIQSNGLVTTTP
+TSTQFLYPKVAASEEQEFAEGFVKALEDLHKQNQLSAGAASAAAAAGGPSGTAAGAAPPS
+ELAPAAATPEAPVYANLSSYAGGTGSAGGAATVAFAAEPVPFPPPPPPGTLGPPRLAALK
+DEPQTVPDVPSFGESPPLSPIDMDTQERIKAERKRLRNRIAASKCRKRKLERISRLEEKV
+KTLKSQNTELASTASLLREQVAQLKQKVLSHVNSGCQLLPQHQVPAY
+>sp|Q2KHZ2|HBS1L_BOVIN HBS1-like protein OS=Bos taurus OX=9913 GN=HBS1L PE=2 SV=1
+MARHRNVRGYNYDEDFEDDDLYGQSVEDDYCISPSTAAQFIYSRRDKPSAVEPVEEYDYE
+DLKEFSSSFVNHQLSGIDQARLYSCLDHMREVLGDAVPDDILIEAVLKNKFDVQKALSVV
+LEQDKVQNLKVRSEGAISTGKIAKGKSIDSQSSQSESEIVPKVTKMTVSGKKQTMGFEVP
+RVTAEENGHSFHTPQKGHSSEDTSLVSSDALESASKSALPSHTIQASEEQSSTPTPVKKS
+GKLRQQIDIKAELEKRQGGKQLLNLVVIGHVDAGKSTLMGHLLYLLGDVNKRTMHKYEQE
+SKKAGKASFAYAWVLDETGEERERGVTMDVGMTKFETKTKVITLMDAPGHKDFIPNMITG
+AAQADVAVLVVDASRGEFEAGFETGGQTREHGLLVRSLGVTQLAVAVNKMDQVNWQQERF
+QEITGKLGHFLKQAGFKESDVAFIPTSGLSGENLITRSQSSELTKWYKGLCLLEQIDSFK
+PPQRSIDKPFRLCVSDVFKDQGSGFCVTGKIEAGYIQTGDRLLAMPPNETCTAKGITLHD
+EPVDWAAAGDHVSLTLVGMDIIKINVGCIFCVPKEPIKVCTRFRARILIFNIEIPITKGF
+PVLLHYQTVSEPAVIKRLISVLNKSTGEVTKKKPKLLTKGQNALVELQTQRPVALELYKD
+FKELGRFMLRYSGSTIAAGVVTEIKD
+>sp|Q58DJ0|IRF5_BOVIN Interferon regulatory factor 5 OS=Bos taurus OX=9913 GN=IRF5 PE=2 SV=1
+MNQPAPAALLPPRRVRLKPWLVAQVNSCQYPGLQWVNGEKKLFYIPWRHATRHGPSHDGD
+NTIFKAWAKETGKYTEGVDEADPAKWKANLRCALNKSRDFRLIYDGPRDMPPQPYKVYEV
+CSNGPAPAESQPSEDNAEEEEEEELQKMLPGLSITEAVQPGPAMAPYSLPKEDVKWPPTL
+QPPVVLAPPAPGPNLLVPAPGNAADFGEVFSEVLPSSQPQPGSLSTSLAPTGEQLLPDLL
+ISPHMLPLTDLEIKFQYRGRPPRALTISNPQSCRLLYSQLEATQEQVELFGPVSLEQVRF
+PSPEDIPSEKQRFYTNQLLDVLDRGLILQIQGQDLYAIRLCQCKVFWSGPCASAQGSHPN
+PIQREVKTKLFSLEDFLNELILFQKGQTNTPPPFEIFFCFGEEWPDCKPREKKLITVQVV
+PVAARMLLEMFSGELSWSADSIRLQISNPDLKDRMVEQFKELHHIWLSQQHLQPVAQTPA
+MPGLSAAQGPWPMHPVGMQ
+>sp|Q1LZH7|KANK2_BOVIN KN motif and ankyrin repeat domain-containing protein 2 OS=Bos taurus OX=9913 GN=KANK2 PE=2 SV=1
+MAQVLHVSAPFPGTPGPASPPAFPSKEPDVPYSVETPYGYRLDLDFLKYVDDIEKGHTLR
+RVAVQRRPRLGSLPRGPGSWWTSTESLCSNASGDSRHSAYSYCGRGFYPQYGALETRGGF
+NPRVERTLLDARRRLEDQAAAPAGLGSLTPSAAGSTSSLVGVGLPPPTPRGSGLSTPVPP
+SAGHLAHVREQMAGALRKLRQLEEQVKLIPVLQVKLSVLQEEKRQLTVQLKSQKFLGHPA
+GARGRGELCLDLPEAPEDPVTLETRSVGTWVRERDLGMPDGEAALAAKVAVLETQLKKAL
+QELQAAQARQADLPPQAWPPPDSPVRVDTVRVVQGPREVEVAASTAAGAPAQRAQSLEPY
+GAGLRALATSNGAESPPVFRSHEVMETVFPAPTASTSNVHQVKKISITERSCDGAAGHPQ
+APAESSLSPPESEGATQAQPEKNTGQVPAHDDTTIKEPIRQAACHESEFEEAGGAGGAQA
+GLRSIMKQKEEPTDPEAHRRSLQFVGVNGSISPRYESSSEDSSTAENFSDNESTENEAPE
+PEERVPSVAEAPQLRPKETAKAKTSREESQLPQESLHTPTAEGASGSSAKDEIRMELSPD
+LISACLALEKYLENPKALTERELKVAYTTVLQEWLRLACRSDAHPELVRRHLVTFRAMSA
+RLLDYVVNIADSNGNTALHYSVSHANFPVVQQLLDSGVCQVDKQNRAGYSPIMLTALATL
+KTQDDIQTILQLFRLGDVNAKASQAGQTALMLAVSHGRVDVVKALLACEADVNVQDDDGS
+TALMCACEHGHKEITALLLAVPSCDISITDRDGSTALMVALDAGHSEIASMLYSRMNIKC
+SFAPMSDDESPASSSAEE
+>sp|Q3SYS8|I22R1_BOVIN Interleukin-22 receptor subunit alpha-1 OS=Bos taurus OX=9913 GN=IL22RA1 PE=2 SV=1
+MRTLLTILAAGSLLAHITEDTSDLLQHVKFQSSNFENILTWDGRPESPPDTVYSVQYKTY
+GEEEWLEKEGCQRITQKSCNLTMETSNLTELYYARVTATDGAGRSATKMTNRFSSLQHTS
+IKPPDVTCIPKVRSIQMIVHPTYTPIRAQNGHQLTLENIFQDLLYHLKLRINHTYQMHLE
+GKQREFEFVGLTPDTEFLGTIMICIPNLFKESTPYMCRVKTLPDRTWTYSFSGAFLFSLG
+FLVAGLCYLSYRYITKPPPPPSSLNVQHILPFRPLQFIQEHTLIPVFDLSGSGGLAQPVQ
+YSEVKVSNPTEPPGPPPRHSLPEIAYLGQPDLPVLRPSGGPPHQALPVLSYAPQAAPEGR
+PSSYAPQGALEAKPPSYTPQAVSETQLPSYTPRATPDNWPPSYGMCGEGSGRDSPPVTRS
+GPKHLGTKGQLQKEVPAGSCSPTGLSLQEVTPLAMEDPQEAKSSHQCLRVHTDSDSDSDT
+IGQREPGTRSSLKGQLPLLSSVQIEGHPGCLPLQTPSLPCSPTDKGPSPWGLLESLVCPS
+DEDPVSKTEAESPGLQAPDLESPTELDSLFRGLALTVQWES
+>sp|Q58DU5|PSA3_BOVIN Proteasome subunit alpha type-3 OS=Bos taurus OX=9913 GN=PSMA3 PE=1 SV=3
+MSSIGTGYDLSASTFSPDGRVFQVEYAMKAVENSSTAIGIRCKDGVVFGVEKLVLSKLYE
+EGSNKRLFNVDRHVGMAVAGLLADARSLADIAREEASNFRSNFGYNIPLKHLADRVAMYV
+HAYTLYSAVRPFGCSFMLGSYSVNDGAQLYMIDPSGVSYGYWGCAIGKARQAAKTEIEKL
+QMKEMTCRDVVKEVAKIIYIVHDEVKDKAFELELSWVGEITNGRHEIVPKDVREEAEKYA
+KESLKEEDESDDDNM
+>sp|P52175|NDKA2_BOVIN Nucleoside diphosphate kinase A 2 OS=Bos taurus OX=9913 GN=NME1-2 PE=1 SV=3
+MANSERTFIAIKPDGVQRGLMGEIIKRFEQKGFRLVAMKFMRASEDLLKEHYIDLKDRPF
+FAGLVKYMHSGPVVAMVWEGLNVVKTGRVMLGETNPADSKPGTIRGDFCIQVGRNIIHGS
+DSVESAEKEIALWFRPEELVNYKSCAQNWIYE
+>sp|Q5E964|PSD13_BOVIN 26S proteasome non-ATPase regulatory subunit 13 OS=Bos taurus OX=9913 GN=PSMD13 PE=2 SV=1
+MKDVPGFLQQSQSSGPGQAAVWHRLEELYTKKLWHQLTLQVLDFVQDPCFAQGDGLIKLY
+ENFISEFEHRVNPLSLVEIILHVVRQMTDPNVALSFLEKTREKVKSSDEAVILCKTAIGA
+LKLNIGDLQVTKETIEDVEEMLSNLPGVTSVHSRFYDLSSKYYQTIGNHASYYKDALRFL
+GCVDIKDLPVSEQQERAFTLGLAGLLGDGVFNFGELLMHPVLESLRDTDRQWLIDTLYAF
+NSGNVERFQTLKTAWGQQPDLAANEAQLLRKIQLLCLMEMTFTRPANHRQLTFEEIARSA
+KITVNEVELLVMKALSVGLVKGSIDEVDKRVHMTWVQPRVLDLQQIKGMKDRLEFWCTDV
+KSMEMLVEHQAHDILT
+>sp|Q4JM65|NANOG_BOVIN Homeobox protein NANOG OS=Bos taurus OX=9913 GN=NANOG PE=2 SV=1
+MSVGPACPQSLLGPEASNSRESSPMPEESYVSLQTSSADTLDTDTVSPLPSSMDLLIQDS
+PDSSTSPRVKPLSPSVEESTEKEETVPVKKQKIRTVFSQTQLCVLNDRFQRQKYLSLQQM
+QELSNILNLSYKQVKTWFQNQRMKCKKWQKNNWPRNSNGMPQGPAMAEYPGFYSYHQGCL
+VNSPGNLPMWGNQTWNNPTWSNQSWNSQSWSNHSWNSQAWCPQAWNNQPWNNQFNNYMEE
+FLQPGIQLQQNSPVCDLEATLGTAGENYNVIQQTVKYFNSQQQITDLFPNYPLNIQPEDL
+>sp|P00129|QCR7_BOVIN Cytochrome b-c1 complex subunit 7 OS=Bos taurus OX=9913 GN=UQCRB PE=1 SV=3
+MAGRPAVSASSRWLEGIRKWYYNAAGFNKLGLMRDDTIHENDDVKEAIRRLPENLYNDRV
+FRIKRALDLSMRQQILPKEQWTKYEEDKSYLEPYLKEVIRERKEREEWAKK
+>sp|Q9TU25|RAC2_BOVIN Ras-related C3 botulinum toxin substrate 2 OS=Bos taurus OX=9913 GN=RAC2 PE=2 SV=1
+MQAIKCVVVGDGAVGKTCLLISYTTNAFPGEYIPTVFDNYSANVMVDSKPVNLGLWDTAG
+QEDYDRLRPLSYPQTDVFLICFSLVSPASYENVRAKWFPEVRHHCPSTPIILVGTKLDLR
+DDKDTIEKLKEKKLAPITYPQGLALAKEIDSVKYLECSALTQRGLKTVFDEAIRAVLCPQ
+PTRPQKRPCSIL
+>sp|Q32PB9|RL38_BOVIN 60S ribosomal protein L38 OS=Bos taurus OX=9913 GN=RPL38 PE=3 SV=4
+MPRKIEEIKDFLLTARRKDAKSVKIKKNKDNVKFKVRCSRYLYTLVITDKEKAEKLKQSL
+PPGLAVKELK
+>sp|Q29RI9|MAT2B_BOVIN Methionine adenosyltransferase 2 subunit beta OS=Bos taurus OX=9913 GN=MAT2B PE=2 SV=1
+MVGREKELSIHFVPGDCRLVEEEVNIPNRRVLITGATGLLGRAVYKEFQQNNWHAVGCGF
+RRARPKFEQVNLLDSNAVHHIIYDFQPHVIVHCAAERRPDVVENHPDAASQLNVDASGNL
+AKEAAAIGAFLIYISSDYVFDGTNPPYREEDIPNPLNLYGKTKLEGEKAVLENNLGAAVL
+RIPVLYGEVERLEESAVTIMFDKVQFSNKSANMDHWQQRFPTHVKDVATVCRQLAEKRML
+DPSIKGTFHWSGNEQMTKYEMACAIADAFNLPSSHLRPITDSPVVGAQRPRNAQLDCSRL
+ETLGIGQRTPFRIGIKESLWPFLIDKRWRQTVFH
+>sp|Q58CV6|KLDC3_BOVIN Kelch domain-containing protein 3 OS=Bos taurus OX=9913 GN=KLHDC3 PE=2 SV=2
+MLRWTVHLEGGPRRVNHAAVAVGHRVYSFGGYCSGEDYETLRQIDVHIFNAVSLRWTKLP
+PVRPAARGQAPVVPYMRYGHSTVLIDDTVFLWGGRNDTEGACNVLYAFDVNTHKWSTPRV
+SGTVPGARDGHSACVLGKTMYIFGGYEQLADCFSNDIHKLDTSTMTWTLICTKGNPARWR
+DFHSATMLGSHMYVFGGRADRFGPFHSNNEIYCNRIRVFDTRTEAWLDCPPTPVLPEGRR
+SHSAFGYNGELYIFGGYNARLNRHFHDLWKFNPVSFTWKKIEPKGKGPCPRRRQCCCIVG
+DKIVLFGGTSPSPEEGLGDEFDLIDHSDLHILDFSPSLKTLCKLAVIQYNLDQSCLPHDI
+RWELNAMTTNSNISRPIVSSHG
+>sp|A6H7J1|INSM1_BOVIN Insulinoma-associated protein 1 OS=Bos taurus OX=9913 GN=INSM1 PE=2 SV=1
+MPRGFLVKRSKKSTPVSYRIRGGEDGDRALLLLPGCGGARASPPAPGPGPVPGPLQPPPP
+TERAHAALAAALACAPGPPPPPPGLRAAHFGNPEAAHPAPLYSPTRPVSREHEKHKYFER
+SFNLGSPVSAESFPTPAALLVGGGGGGGGGGANGAGGGGTCSGDPLLFAPAELKMGTAFS
+AAAEAARGPGPGPPLPPAAALRPPGKRPSPPASAAAAAEPPAKVAKAPGSKKPKAIRKLH
+FEDEVTTSPVLGLKIKEGPVEAPRGRAGGAARPLGEFICQLCKEEYADPFALAQHKCSRI
+VRVEYRCPECAKVFSCPANLASHRRWHKPRPAPAAARACEPETPARAEAREATGGGGSDR
+DTPSPGGVSESGSEDGLYECHHCAKKFRRQAYLRKHLLAHHQALQAKGAPPPAPPAEDLL
+ALYPGPDEKVPQEAAGDGEAAGVLGLSASAECHLCPVCGETFPSKGAQERHLRLLHAAQV
+FPCKYCPATFYSSPGLTRHINKCHPSENRQVILLQVPVRPAC
+>sp|Q9N181|NMT2_BOVIN Glycylpeptide N-tetradecanoyltransferase 2 OS=Bos taurus OX=9913 GN=NMT2 PE=2 SV=1
+MAEDSESAASQQSLELDDQDTCGIDGDNEEETEHAKGSPGGDLGAKKKKKKQKRKKEKPN
+SGGTKSDSASDSQEIKIQPPSKNSTIPVQKLQDIQRAMELLSACQGPARNIDEAAKHRYQ
+FWDTQPVPKLNEVITSHGAIEADKENVRQEPYSLPQGFMWDTLDLGNAEVLRELYTLLNE
+NYVEDDDNMFRFDYSPEFLLWALRPPGWLLQWHCGVRVSSNKKLVGFISAIPANIRIYDS
+VKKMVEINFLCVHKKLRSKRVAPVLIREITRRVNLEGIFQAVYTAGVVLPKPVATCRYWH
+RSLNPRKLVEVKFSHLSRNMTLQRTMKLYRLPDATKTSGLRPMEPRDIKAVQELTNTYLK
+QFHLAPVMDEEEVAHWFLPQEHIIDTFVVENSSGKLTDFLSFYTLPSTVMHHPAHKSLKA
+AYSFYNIHTETPLLDLMSDALIIAKLKGFDVFNALDLMENKTFLEKLKFGIGDGNLQYYL
+YNWRCPGTESEKVGLVLQ
+>sp|P54149|MSRA_BOVIN Mitochondrial peptide methionine sulfoxide reductase OS=Bos taurus OX=9913 GN=MSRA PE=1 SV=2
+MLSATRRALQLFHSLFPIPRMGDSAAKIVSPQEALPGRKEPLVVAAKHHVNGNRTVEPFP
+EGTQMAVFGMGCFWGAERKFWTLKGVYSTQVGFAGGYTPNPTYKEVCSGKTGHAEVVRVV
+FQPEHISFEELLKVFWENHDPTQGMRQGNDHGSQYRSAIYPTSAEHVGAALKSKEDYQKV
+LSEHGFGLITTDIREGQTFYYAEDYHQQYLSKDPDGYCGLGGTGVSCPLGIKK
+>sp|A6H767|NP1L1_BOVIN Nucleosome assembly protein 1-like 1 OS=Bos taurus OX=9913 GN=NAP1L1 PE=2 SV=1
+MADIDNKEQSELDQDLDDVEEVEEEETGEETKIKARQLTVQMMQNPQILAALQERLDGLV
+ETPTGYIESLPRVVKRRVNALKNLQVKCAQIEAKFYEEVHDLERKYAVLYQPLFDKRFEI
+INAIYEPTEEECEWKPDEEDEISEELKEKAKVEDEKKDEEKEDPKGIPEFWLTVFKNVDL
+LSDMVQEHDEPILKHLKDIKVKFSDAGQPMSFVLEFHFEPNEYFTNEVLTKTYRMRSEPD
+DSDPFSFDGPEIMGCTGCQIDWKKGKNVTLKTIKKKQKHKGRGTVRTVTKTVSNDSFFNF
+FAPPEVPESGDLDDDSEAILAADFEIGHFLRERIIPRSVLYFTGEAIEDDDDDYDEEGEE
+ADEEGEEEGDEENDPDYDPKKDQNPAECKQQ
+>sp|Q58DC7|MT21E_BOVIN Protein-lysine methyltransferase METTL21E OS=Bos taurus OX=9913 GN=METTL21E PE=2 SV=1
+MILFNLLETQLTRVHSFQEMIKKASVYRHPLANHLMDPEVQKESREDGDDRTVVAEIMRR
+CFVPAFVTTIPWEGFHFAGHEIRINEATDCYGAVVWPSALVLCYFLETNVKQYNLVDKNV
+IEIGAGTGLVSIVASLLGAHVTATDLPELLGNLQYNISRNTKTKAKHLPQVKELSWGVAL
+DKNFPRASINFDYILAADVVYAHPFLEELLVTFDHLCKETTVILWVMKFRLEKENKFVDR
+FEQLFDLEEISSFPSLNIKLYKAMKKNQKSACYPQRRMWKAKPISGRLLL
+>sp|A5PJ93|MZB1_BOVIN Marginal zone B- and B1-cell-specific protein OS=Bos taurus OX=9913 GN=MZB1 PE=2 SV=1
+MRLSLLLLLPLLGAWAIPGGFGDEASLTATAPELDDEEKFSTHIPTHLRCDACRAVAYQM
+WQHLTKAEAKLLPLDSGGRRELSESVYTDVLDQSCSQTWQGYGVGEVDQVKRLMGPGLST
+GAQPSIMVMIMEGLWPTRLSKTCFHYLGEFGEDQIYEAHQQGRGTLEALLCGGPRGACSE
+KAPDTRTEL
+>sp|P03892|NU2M_BOVIN NADH-ubiquinone oxidoreductase chain 2 OS=Bos taurus OX=9913 GN=MT-ND2 PE=1 SV=1
+MNPIIFIIILLTIMLGTIIVMISSHWLLVWIGFEMNMLAIIPIMMKNHNPRATEASTKYF
+LTQSTASMLLMMAVIINLMFSGQWTVMKLFNPMASMLMTMALAMKLGMAPFHFWVPEVTQ
+GIPLSSGLILLTWQKLAPMSVLYQIFPSINLNLILTLSVLSILIGGWGGLNQTQLRKIMA
+YSSIAHMGWMTAVLPYNPTMTLLNLIIYIIMTSTMFTMFMANSTTTTLSLSHTWNKTPIM
+TVLILATLLSMGGLPPLSGFMPKWMIIQEMTKNNSIILPTFMAITALLNLYFYMRLTYST
+TLTMFPSTNNMKMKWQFPLMKKMTFLPTMVVLSTMMLPLTPMLSVLE
+>sp|Q29432|PAG1_BOVIN Pregnancy-associated glycoprotein 1 OS=Bos taurus OX=9913 PE=1 SV=1
+MKWLVLLGLVAFSECIVKIPLRRLKTMRNVVSGKNMLNNFLKEHAYSLSQISFRGSNLTT
+HPLRNIKDLVYMGNITIGTPPQEFQVVFDTASSDLWVPSDFCTSPACSTHVRFRHLQSST
+FRLTNKTFRITYGSGRMKGVVVHDTVRIGNLVSTDQPFGLSIEEYGFEGRIYDGVLGLNY
+PNISFSGAIPIFDKLKNQRAISEPVFAFYLSKDEREGSVVMFGGVDHRYYEGELNWVPLI
+QAGDWSVHMDRISIERKIIACSDGCKALVDTGTSDIVGPRRLVNNIHRLIGAIPRGSEHY
+VPCSEVNTLPSIVFTINGINYPVPGRAYILKDDRGRCYTTFQENRVSSSTETWYLGDVFL
+RLYFSVFDRGNDRIGLARAV
+>sp|Q28042|OVGP1_BOVIN Oviduct-specific glycoprotein (Fragment) OS=Bos taurus OX=9913 GN=OVGP1 PE=1 SV=1
+LLLCVGLLLVLKHHDGAAHKLVCYFTNWAFSRPGPASILPRDLDPFLCTHLVFAFASMSN
+NQIVPKDPQDEKILYPEFNKLKERNRGLKTLLSIGGWNFGTVRFTTMLSTFSNRERFVSS
+VIALLRTHGFDGLDLFFLYPGLRGSPARDRWTFVFLLEELLQAFKNEAQLTMRPRLLLSA
+AVSGDPHVVQKAYEARLLGRLLDFISVLSYDLHGSWEKVTGHNSPLFSLPGDPKSSAYAM
+NYWRQLGVPPEKLLMGLPTYGRTFHLLKASQNELRAQAVGPASPGKYTKQAGFLAYYEIC
+CFVRRAKKRWINDQYVPYAFKGKEWVGYDDAISFGYKAFFIKREHFGGAMVWTLDLDDFR
+GYFCGTGPFPLVHTLNNLLVNDEFSSTPSPKFWFSTAVNSSRIGPEMPTMTRDLTTGLGI
+LPPGGEAVATETHRKSETMTITPKGEIATPTRTPLSFGRHTAAPEGKTESPGEKPLTTVG
+HLAVSPGGIAVGPVRLQTGQKVTPPGRKAGVPEKVTTPSGKMTVTPDGRAETLERRL
+>sp|Q27981|NRAM1_BOVIN Natural resistance-associated macrophage protein 1 OS=Bos taurus OX=9913 GN=SLC11A1 PE=2 SV=1
+MSGDTGPPKQGGTRYGSISSPPSPEPQQAPPGGTYLSEKIPIPDTESGTFSLRKLWAFTG
+PGFLMSIAFLDPGNIESDLQAGAVAGFKLLWVLLWATVLGLLCQRLAARLGVVTGKDLGE
+VCHLYYPKVPRILLWLTIELAIVGSDMQEVIGTAIAFSLLSAGRIPLWGGVLITVVDTFF
+FLFLDNYGLRKLEAFFGFLITIMALTFGYEYVVAQPAQGALLQGLFLPSCPGCGQPELLQ
+AVGIIGAIIMPHNIYLHSSLVKSREVDRSRRADIREANMYFLIEATIALSVSFLINLFVM
+AVFGQAFYKQTNQAAFNICADSSLHDYAPIFPRNNLTVAVDIYQGGVILGCLFGPPALYI
+WAVGLLAAGQSSTMTGTYAGQFVMEGFLKLRWSRFARVLLTRSCAILPTVLLAVFRDLRD
+LSGLNDLLNVLQSLLLPFAVLPILTFTSMPALMQEFANGLVSKVITSSIMVLVCAVNLYF
+VISYLPSLPHPAYFSLVALLAAAYLGLTTYLVWTCLITQGATLLAHSSHQRFLYGLPEED
+QEKGRTSG
+>sp|P05402|PLRP1_BOVIN Placental prolactin-related protein 1 OS=Bos taurus OX=9913 GN=PRP1 PE=1 SV=2
+MAPAPSFRGHQWTYNPVRGSCLLLLLLMSNLLLCQGKSCPSCGPDVFVSLRKSFTDRFMN
+AASLSHDFYNLSTIMFNEFDEKYAQGKLYYINVTKSCHTNSFHAPEERDIVQQTNIEDLS
+KWTLVLLYSWNNPLHHLVTELQHMKELSNAFLSSATRFENMSEKLQAFIERQFSKIIVPV
+LNTMIQARSSWTGLPSLMSSDEDRRHSEFYNLFYCLRRDSRKVDMYIKILTCRTHKTC
+>sp|Q02375|NDUS4_BOVIN NADH dehydrogenase [ubiquinone] iron-sulfur protein 4, mitochondrial OS=Bos taurus OX=9913 GN=NDUFS4 PE=1 SV=1
+MAAVSMSVALRQALWGRRVATVAAVSVSKVSTRSLSTSTWRLAQDQTRDTQLITVDEKLD
+ITTITGVPEEHIKTRKARIFVPARNNMQSGVNNTKKWKMEFDTRERWENPLMGWASTADP
+LSNLVLTFSTKEDAVAFAEKNGWSYDVEERKVPKPKSKSYGANFSWNKRTRVSTK
+>sp|P15246|PIMT_BOVIN Protein-L-isoaspartate(D-aspartate) O-methyltransferase OS=Bos taurus OX=9913 GN=PCMT1 PE=1 SV=2
+MAWKSGGASHSELIHNLRKNGIIKTDKVFEVMLATDRSHYAKCNPYMDSPQSIGFQATIS
+APHMHAYALELLFDQLNEGAKALDVGSGSGILTACFARMVGPSGKVIGIDHIKELVDDSI
+NNVRKDDPMLLSSGRVQLVVGDGRMGYAAEAPYDAIHVGAAAPVVPQALIDQLKPGGRLI
+LPVGPAGGNQMLEQYDKLQDGSVKMKPLMGVIYVPLTDKEKQWSRWK
+>sp|P31800|QCR1_BOVIN Cytochrome b-c1 complex subunit 1, mitochondrial OS=Bos taurus OX=9913 GN=UQCRC1 PE=1 SV=2
+MAASAVCRAAGAGTRVLLRTRRSPALLRSSDLRGTATYAQALQSVPETQVSQLDNGLRVA
+SEQSSQPTCTVGVWIDAGSRYESEKNNGAGYFVEHLAFKGTKNRPGNALEKEVESMGAHL
+NAYSTREHTAYYIKALSKDLPKAVELLADIVQNCSLEDSQIEKERDVILQELQENDTSMR
+DVVFNYLHATAFQGTPLAQSVEGPSENVRKLSRADLTEYLSRHYKAPRMVLAAAGGLEHR
+QLLDLAQKHFSGLSGTYDEDAVPTLSPCRFTGSQICHREDGLPLAHVAIAVEGPGWAHPD
+NVALQVANAIIGHYDCTYGGGAHLSSPLASIAATNKLCQSFQTFNICYADTGLLGAHFVC
+DHMSIDDMMFVLQGQWMRLCTSATESEVLRGKNLLRNALVSHLDGTTPVCEDIGRSLLTY
+GRRIPLAEWESRIAEVDARVVREVCSKYFYDQCPAVAGFGPIEQLPDYNRIRSGMFWLRF
+>sp|Q0IIG7|RAB5A_BOVIN Ras-related protein Rab-5A OS=Bos taurus OX=9913 GN=RAB5A PE=2 SV=1
+MANRGATRPNGPNTGNKICQFKLVLLGESAVGKSSLVLRFVKGQFHEFQESTIGAAFLTQ
+TVCLDDTTVKFEIWDTAGQERYHSLAPMYYRGAQAAIVVYDITNEESFARAKNWVKELQR
+QASPNIVIALSGNKADLANKRAVDFQEAQSYADDNSLLFMETSAKTSMNVNEIFMAIAKK
+LPKNEPQNPGANSTRGRGVDLTEPTQPTRSQCCSN
+>sp|Q1RMR4|RAB15_BOVIN Ras-related protein Rab-15 OS=Bos taurus OX=9913 GN=RAB15 PE=2 SV=1
+MAKQYDVLFRLLLIGDSGVGKTCLLCRFTDNEFHSSHISTIGVDFKMKTIEVDGIKVRIQ
+IWDTAGQERYQTITKQYYRRAQGIFLVYDISSERSYQHIMKWVSDVDEYAPEGVQKILIG
+NKADEEQKRQVGREQGQQLAREYGMDFYETSACTNLNIKESFTRLTELVLQAHRKELEGL
+RTRANHELALAELEEDEGKPEGPANSSKTCWC
+>sp|Q3MHL3|RBBP4_BOVIN Histone-binding protein RBBP4 OS=Bos taurus OX=9913 GN=RBBP4 PE=1 SV=3
+MADKEAAFDDAVEERVINEEYKIWKKNTPFLYDLVMTHALEWPSLTAQWLPDVTRPEGKD
+FSIHRLVLGTHTSDEQNHLVIASVQLPNDDAQFDASHYDSEKGEFGGFGSVSGKIEIEIK
+INHEGEVNRARYMPQNPCIIATKTPSSDVLVFDYTKHPSKPDPSGECNPDLRLRGHQKEG
+YGLSWNPNLSGHLLSASDDHTICLWDISAVPKEGKVVDAKTIFTGHTAVVEDVSWHLLHE
+SLFGSVADDQKLMIWDTRSNNTSKPSHSVDAHTAEVNCLSFNPYSEFILATGSADKTVAL
+WDLRNLKLKLHSFESHKDEIFQVQWSPHNETILASSGTDRRLNVWDLSKIGEEQSPEDAE
+DGPPELLFIHGGHTAKISDFSWNPNEPWVICSVSEDNIMQVWQMAENIYNDEDPEGSVDP
+EGQGS
+>sp|Q2KIY1|PXMP2_BOVIN Peroxisomal membrane protein 2 OS=Bos taurus OX=9913 GN=PXMP2 PE=2 SV=3
+MAPAASKLRAEAGLGPLPRRALSQYLRLLRLYPVLTKAATSGILSALGNFLAQLIEKKQK
+KENCSQKLDVSGPLRYAIYGFFFTGPLGHFFYLLMERWIPSEVPLAGIKRLLLDRLLFAP
+AFLSLFFLVMNFLEGQDTAAFAAKMKSGFWPALRMNWRVWTPVQFININYIPVQFRVLFA
+NLVALFWYAYLASLGK
+>sp|Q3T0F5|RAB7A_BOVIN Ras-related protein Rab-7a OS=Bos taurus OX=9913 GN=RAB7A PE=2 SV=1
+MTSRKKVLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVMVDDRLVTMQ
+IWDTAGQERFQSLGVAFYRGADCCVLVFDVTAPNTFKTLDSWRDEFLIQASPRDPENFPF
+VVLGNKIDLENRQVATKRAQAWCYSKNNIPYFETSAKEAINVEQAFQTIVRNALKQETEV
+ELYNEFPEPIKLDKNDRTKPSAEGCSC
+>sp|Q0P5B3|RBTN1_BOVIN Rhombotin-1 OS=Bos taurus OX=9913 GN=LMO1 PE=2 SV=1
+MMVLDKEDGVPMLSVQPKGKQKGCAGCNRKIKDRYLLKALDQYWHEDCLKCACCDCRLGE
+VGSTLYTKANLILCRRDYLRLFGTTGNCAACSKLIPAFEMVMRARDNVYHLDCFACQLCN
+QRFCVGDKFFLKNNMILCQMDYEEGQLNGTFDSHVQ
+>sp|P21457|RECO_BOVIN Recoverin OS=Bos taurus OX=9913 GN=RCVRN PE=1 SV=3
+MGNSKSGALSKEILEELQLNTKFTEEELSSWYQSFLKECPSGRITRQEFQTIYSKFFPEA
+DPKAYAQHVFRSFDANSDGTLDFKEYVIALHMTSAGKTNQKLEWAFSLYDVDGNGTISKN
+EVLEIVTAIFKMISPEDTKHLPEDENTPEKRAEKIWGFFGKKDDDKLTEKEFIEGTLANK
+EILRLIQFEPQKVKEKLKEKKL
+>sp|Q9N117|RELN_BOVIN Reelin (Fragment) OS=Bos taurus OX=9913 GN=RELN PE=2 SV=1
+ENVQFQWKQENLQVGEVYEACWALDNILIINSAHRQVVLEDNLDPVDTGNWL
+>sp|A4FUH0|RL22L_BOVIN 60S ribosomal protein L22-like 1 OS=Bos taurus OX=9913 GN=RPL22L1 PE=2 SV=1
+MAPKKDKKPKKSTWKFNLDLTHAVEDGIFDSGNFEQFLREKVKVNGKTGNLGNVVHIERF
+KNKIIVVSEKQFSKRYLKYLTKKYLKKNNLRDWLRVVASDKETYELRYFQISQDEDESES
+ED
+>sp|Q08DF2|RN112_BOVIN RING finger protein 112 OS=Bos taurus OX=9913 GN=RNF112 PE=2 SV=1
+MPRSALSVISFCHRLGKQERKRSFMGNSSNSWSHTPFPKLELGLGSRPTAPREPPACSIC
+LERPREPISLDCGHDFCPRCFSTHRVPGCGPPCCPECRKTCKRRKGLRGLGERMRLLPQR
+PLPAAALQETCAVRAEPLLLVRINASGGLILRMGAINRCLKHPLARDTPVCLLAVLGGPH
+SGKTFLLNHLLQGLPGLASGEGSWPRSAGSGQGFRWGANGLSRGIWMWSHPFLLGKEGRK
+VAVFLVDTGDVMSPELSRETRTRLCALTSMLSSYQILTASQELKDTDLEHLETFVHVAEV
+MGRHYGMVPIQHLDLLVRDSSHPSKAWQGHVGDVIQKSSGKYPKVQGLLQGRRARCYLLP
+APGRRWASRGHGSSGDDDAGRLRAYVADVLSAAPQHAKSRCPGYWSEGRPAARGDRRLLT
+GQQLAQEVKNLSGWMGRTGPSCASPDEMAAQLHDLRTVEAAKKEFEEYVRQQDAATKRIF
+SALRVLPDTMRNLLSAQKDTLLARHGATLLCTGREQTLEALEAELQAEAKAFMDSYTVRF
+CGHLAAVGGAVGAGLMGLAGGVVGAGMAAAALAAEAGMVAAGAAVGATGAAVVGGGVGAG
+LAATVGCMEKEEDERVQEGDREPLLQEE
+>sp|Q3SZE9|TBCC_BOVIN Tubulin-specific chaperone C OS=Bos taurus OX=9913 GN=TBCC PE=2 SV=1
+METGGLSAAALANGDLGSQRERTLVPERLQKREHERQLEVERRKQKRQDQEVEEEKSDFF
+AAAFARERSAVEELLESGESVERLEEAAARLQGLQKLINDSVLFLAAYDLRQAQEVLARL
+QAALAKRRQELQPKKRFAFKTRKKDAASATQVASAPDAPAAEGSLTSPPPLKEEGDFDSS
+WICGFSNLQSQVLEKRAEELHQQDVLLTQLRNCTIKLYGNPNTLRLTKAQGCTLLCGPVS
+TSVFLEDCSDCVLAVACQQLRVHTTKDTRIFLQVTSRAIMEDCTGIQFAPYTWSYPGIDK
+DFEGSGLDKNKNNWNDVDDFNWLARDVASPNWNVLPEEERRIQWD
+>sp|Q5EAD2|SERA_BOVIN D-3-phosphoglycerate dehydrogenase OS=Bos taurus OX=9913 GN=PHGDH PE=2 SV=3
+MAFANLRKVLISDSLDPCCRKILQDGGLQVVEKQNLSKEELIAELQDCEGLIVRSATKVT
+SDIINAAEKLQVVGRAGTGVDNVDLEAATRKGILVMNTPNGNSLSAAELTCGMIMCLARQ
+IPQAAASMKDGKWERKKFMGTELNGKVLGILGLGRIGREVATRMQSFGMKTIGYDPIISP
+EVSASFGVQQLPLEQIWPLCDFITVHTPLLPSTTGLLNDSTFAQCKKGVCVVNCARGGIV
+DEGALLRALQSGQCAGAALDVFTEEPPRDRALVNHENVISCPHLGASTKEAQSRCGEEIA
+LQFVDMVKGKALAGVVNAQALASIFCPHTKPWVSLAKALGALMQAWAGSPKGAIQVVTQG
+SSLKNSGSCLAPAVIIGLLKDASQQSNVNLVNAMLLVKEAGLDVTTSHNPATPREQDFGE
+CLLTVALAGAPYQAVGLVQGTKPVLQALNGAVFRPEVPLHPGQPLLMFRAQASNPAMLPT
+MIGLLAEAGVQLLSYQSSVVSDGETWHVMSISSLLPSLAPWKPHVTEAFQFCF
+>sp|A6QR06|TADA1_BOVIN Transcriptional adapter 1 OS=Bos taurus OX=9913 GN=TADA1 PE=2 SV=1
+MATFVSELEAAKKNLSEALGDNVKQYWANLKLWFKQKISKEEFDLEAHRLLTQDNVHSHN
+DFLLAILTRCQILVSTPEGAGSLPWTGGSAAKPGKPKGKKKLSSVRQKFDHRFQPQNPLS
+GAQQFVAKDPQDDDDLKLCSHTMMLPTRGQLEGRMIVTAYEHGLDNVTEEAVSAVVYAVE
+NHLKDILSSVVSRRKAYRLRDGHFKYAFGSNVTPQPYLKNSVVAYNSLIESPPALSAPFA
+GQNPASHPPPDDAEQQAALLLACSGDTLPASLPPVNMYDLFEALQVHREVIPTHTVYALN
+IERIIMKLWHPNHEELQQDKVHRQRLAAKEGLLFC
+>sp|A3KN24|RWDD3_BOVIN RWD domain-containing protein 3 OS=Bos taurus OX=9913 GN=RWDD3 PE=2 SV=1
+MAEPVRQELSALAAIFCGPDEWEVLSLSETDGAVFRILTKAEGFMDTDIPLQLVFHLPLS
+YPYCLPGIVVNSKHLTRAQCEIVKEKLLEQAETLLLEPMVHELVLWIQENLRHILKCPEA
+GGGSEKCSSAASMTVDDGLWMTLLHLDHMRAKAKYVKTVEKWASDLRLTGRLMFMGKIIL
+ILLQGDRNDIKEYLILQKTCKVDVDSSGKKCKEKMISVLFETKVQTEHKRFLAFEVKEYS
+SLDELQKEFETTGLKKLFSECVLRLVK
+>sp|Q0II64|SUN3_BOVIN SUN domain-containing protein 3 OS=Bos taurus OX=9913 GN=SUN3 PE=2 SV=2
+MSGRPNSRGSSRLFRAPSEDASSGSSGSAVLPQEENPNASGLTRSWKAVMGMVFILTLLL
+LGFINHMKLKEKAFPQKSRQIYAVIAEYGSRLYNYQARLRMPKEQLELLKKESQTLENNF
+REILFLIEQIDVLKALLRDMQDGLHNYSWNADIDPAEGWNHTEVIDEEMSNLVNYILKKL
+REDQVQMADYALKSAGASVVEAGTSESYKNNKAKLYWHGIGFLNYEMPPDIILQPDVHPG
+KCWAFPGSQGHALIKLARKIIPTAVTMEHISEKVSPSGNISSAPKEFSVYGVLKQCEGEE
+IFLGQFVYNKTGTTVQTFALQHEVPEFLLCVKLKILSNWGHPNYTCLYRFRVHGTPKDDS
+>sp|P00792|PEPA_BOVIN Pepsin A OS=Bos taurus OX=9913 GN=PGA PE=1 SV=2
+MSVVKIPLVKKKSLRQNLIENGKLKEFMRTHKYNLGSKYIREAATLVSEQPLQNYLDTEY
+FGTIGIGTPAQDFTVIFDTGSSNLWVPSIYCSSEACTNHNRFNPQDSSTYEATSETLSIT
+YGTGSMTGILGYDTVQVGGISDTNQIFGLSETEPGSFLYYAPFDGILGLAYPSISSSGAT
+PVFDNIWDQGLVSQDLFSVYLSSNEESGSVVIFGDIDSSYYSGSLNWVPVSVEGYWQITV
+DSITMNGESIACSDGCQAIVDTGTSLLAGPTTAISNIQSYIGASEDSSGEVVISCSSIDS
+LPDIVFTINGVQYPVPPSAYILQSNGICSSGFEGMDISTSSGDLWILGDVFIRQYFTVFD
+RGNNQIGLAPVA
+>sp|P00745|PROC_BOVIN Vitamin K-dependent protein C (Fragment) OS=Bos taurus OX=9913 GN=PROC PE=1 SV=1
+XTSLLLFVTIWGISSTPAPPDSVFSSSQRAHQVLRIRKRANSFLEELRPGNVERECSEEV
+CEFEEAREIFQNTEDTMAFWSFYSDGDQCEDRPSGSPCDLPCCGRGKCIDGLGGFRCDCA
+EGWEGRFCLHEVRFSNCSAENGGCAHYCMEEEGRRHCSCAPGYRLEDDHQLCVSKVTFPC
+GRLGKRMEKKRKTLKRDTNQVDQKDQLDPRIVDGQEAGWGESPWQAVLLDSKKKLVCGAV
+LIHVSWVLTVAHCLDSRKKLIVRLGEYDMRRWESWEVDLDIKEVIIHPNYTKSTSDNDIA
+LLRLAKPATLSQTIVPICLPDSGLSERKLTQVGQETVVTGWGYRDETKRNRTFVLSFIKV
+PVVPYNACVHAMENKISENMLCAGILGDPRDACEGDSGGPMVTFFRGTWFLVGLVSWGEG
+CGRLYNYGVYTKVSRYLDWIYGHIKAQEAPLESQVP
+>sp|Q58DI5|PLPP6_BOVIN Phospholipid phosphatase 6 OS=Bos taurus OX=9913 GN=PLPP6 PE=2 SV=1
+MQSPRRNAEGRPLGTCDPSSSGSPAHGGGSRFEFQSLLSSRMPGADPTSARLRASESPVH
+RRGSFPLAGAGSSQALPPQLPEEDRIDLNPSFLGIALRSLLAIDLWLSKKLGVCAGESSS
+WGSMRPLMKLLEISGHGIPWLLGTLYCLSRSDSWAGREVLMNLLFALLLDLLLVSLIKGL
+VRRRRPAHNQMDMFFTISVDKYSFPSGHTTRAALVSRFILNHLVLAIPLRVLVVLWAFIL
+GLSRVMLGRHNVTDVAFGFFLGYMQYSIVDYCWLSPRTAPVLFVLWNQP
+>sp|Q0P594|PP2AB_BOVIN Serine/threonine-protein phosphatase 2A catalytic subunit beta isoform OS=Bos taurus OX=9913 GN=PPP2CB PE=1 SV=1
+MDDKAFTKDLDQWVEQLNECKQLNENQVRTLCEKAKEILTKESNVQEVRCPVTVCGDVHG
+QFHDLMELFRIGGKSPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPERITILRGNHES
+RQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALVDGQIFCLHGGLSPSIDTLDHI
+RALDRLQEVPHEGPMCDLLWSDPDDRGGWGISPRGAGYTFGQDISETFNHANGLTLVSRA
+HQLVMEGYNWCHDRNVVTIFSAPNYCYRCGNQAAIMELDDTLKYSFLQFDPAPRRGEPHV
+TRRTPDYFL
+>sp|P07514|NB5R3_BOVIN NADH-cytochrome b5 reductase 3 OS=Bos taurus OX=9913 GN=CYB5R3 PE=1 SV=3
+MGAQLSTLGHVVLSPVWFLYSLIMKLFQRSTPAITLENPDIKYPLRLIDKEVISHDTRRF
+RFALPSPEHILGLPVGQHIYLSARIDGNLVIRPYTPVSSDDDKGFVDLVIKVYFKDTHPK
+FPAGGKMSQYLESMKIGDTIEFRGPNGLLVYQGKGKFAIRPDKKSDPVIKTVKSVGMIAG
+GTGITPMLQVIRAIMKDPDDHTVCHLLFANQTEKDILLRPELEELRNEHSARFKLWYTVD
+KAPEAWDYSQGFVNEEMIRDHLPPPEEEPLVLMCGPPPMIQYACLPNLDRVGHPKERCFA
+F
+>sp|Q58DT4|P5CR1_BOVIN Pyrroline-5-carboxylate reductase 1, mitochondrial OS=Bos taurus OX=9913 GN=PYCR1 PE=2 SV=1
+MSVGFIGAGQLAFALAKGFTAAGVVAAHKIMASSPDMDLATVSALRKMGVNLTHHNKETV
+QHSDVLFLAVKPHIIPFILDEIAANIEARHIVVSCAAGVTISSIEKKLTAFQPAPKVIRC
+MTNTPVVVREGATVYATGTHAQVEDGRLLEQLMSSVGFCTEVEEDLIDAVTGLSGSGPAY
+AFTALDALADGGVKMGLPRRLAVRLGAQALLGAAKMLLDSEQHPGQLKDNVCSPGGATIH
+ALHVLESGGFRSLLIKAVEASCTRTRELQSMADQEKVSPAAIKKTILDKVKLDSPPGTSL
+APSGHSKLLPRSMAPAGKQD
+>sp|P35722|NEUG_BOVIN Neurogranin OS=Bos taurus OX=9913 GN=NRGN PE=1 SV=3
+MDCCTESACSKPDDDILDIPLDDPGANAAAAKIQASFRGHMARKKIKSGERGRKGPGPGG
+PGGAGGARGGAGGGPSGD
+>sp|Q2T9R6|NIT2_BOVIN Omega-amidase NIT2 OS=Bos taurus OX=9913 GN=NIT2 PE=2 SV=1
+MATFRLALIQLQVSSIKSENLTRACGLIREASKQGAQIVSLPECFNSPYGTKYFPDYAEK
+IPGDSTQKLSEVAKECSMYVIGGSIPEKDAGKLYNTCAVFGPDGTLLVKHRKLHLFDIDV
+PGKITFQESETLSPGDSFSLFDTPYCRVGLGICYDIRFAELAQIYAQRGCQLLVYPGAFN
+LTTGPAHWELLQRGRAVDNQVYVATASPARDEKASYVAWGHSTVVNPWGEVLAKAGTEET
+IVYADIDLKKLAEIRQQIPIFSQKRSDLYEVEAKKS
+>sp|Q32KU3|MORN2_BOVIN MORN repeat-containing protein 2 OS=Bos taurus OX=9913 GN=MORN2 PE=4 SV=1
+MNGFGRLEHFSGAIYEGHFKDNMFHGLGTYTFPNGAKYTGNFNENRVEGEGQYTDIQGLE
+WCGNFHFTAAPGLRLKLHM
+>sp|Q58DC8|ORC1_BOVIN Origin recognition complex subunit 1 OS=Bos taurus OX=9913 GN=ORC1 PE=2 SV=2
+MAYYSARLRTRQTYSWVGRPLLDQKLHYQTYKEMSMKREDYSTEIHIQVGQFVLIEGDDD
+ENPYVAKLVELFEDDSELYSKKRARVQWFIRFCEVPVCKQHLLGRKPGTQEIFWYDNPTC
+NSNISVETIIGSVRVVALAPDEVMPIDLKNKKTFFVKLSWNEKKFKPLPPEVFAQLNKLQ
+EDNHRYQKPMQAKTKSAESPSWTTTEHAVKRIESRHSTSKSRHTASHPVTPRARKRLELS
+SFPRTPNTRISPAASCASLDSPGRMKRKVAFSEVMSPSKRSLPDGFQTSSPALKAPEKTG
+EIQHSCTKDAKKTSPDHGMILRARAPALKITETSEERTLTPIGGGRKSSVVPSVILKPEY
+IKRREGKEPEVQDEAPSTSRIRRKSSVLTLNRIRQQLRFLGNSKSDENDEEFLPAAEISD
+CDSEEEEASTTPLPRRTPNSVSRNLRSSMKSSLQTPSKTPKKTPEPRTPRDATPRIRSRN
+LTAQGPTNMLEEARLRLHVAAVPESLPCREQEFQDIYNFVESKLLDQTGGCMYISGVPGT
+GKTATVHEVICCLQQAAQANEVPPFQYIEVNGMKLTEPHQVYVQILQKLTGKRATANHAA
+ALLAKRFCTQGSSQETTVLLVDELDLLWTQKQDVMYNLFDWPTHKEARLVVLTIANTMDL
+PERIMMNRVSSRLGLTRMCFQPYTHSQLRQILLSRLRHVKAFEDDAIQLVARKVAALSGD
+ARRCLDICRRATEICEFSCQKPDSPGLVTTAHLLEAIDEMFSSSYITAIKNSSVLEQSFL
+RAILAEFRRSGLEEATFQQVYIQHVALCRMEGLPYPTMSETMAVCSRLGACRLLLVEPSR
+NDVLRRVRLNVSQDDVLYALKEE
+>sp|P79393|PI2R_BOVIN Prostacyclin receptor OS=Bos taurus OX=9913 GN=PTGIR PE=3 SV=1
+MADSCRNLTYVRDSVGPATSTLMFVAGVVGNGLALGILGARRHSRPSAFAVLVTGLGVTD
+LLGTCFLSPAVFAAYARNSSLLGLARGRPALCDAFAFAMTFFGLASTLILFAMAVERCLA
+LSHPYLYAQLDGPRRARLALPAIYAFCTIFCSLPFLGLGQHQQYCPGSWCFIRMRSAEPG
+GCAFLLAYASLVALLVAAIVLCNGSVTLSLCRMYRQQRRHQARCPRPRAGEDEVDHLILL
+ALMTGIMAVCSLPLTPQIRGFTQAIAPDSSEMGDLLAFRFNAFNPILDPWVFILFRKSVF
+QRLKLWFCCLYSRPAQGDSRTSLSQSASGRKDSSAPPALEGKKGNWVPLSAWGEGQGGPL
+PAVQLPTSTVGTPSKAGSEAACSLC
+>sp|A6QPY0|OST48_BOVIN Dolichyl-diphosphooligosaccharide--protein glycosyltransferase 48 kDa subunit OS=Bos taurus OX=9913 GN=DDOST PE=2 SV=2
+MATRAARVWSGWWLLLLPLLGLAGASGPRTLVLLDNLNLRETHSLFFRSLKDRGFVLTFK
+TADDPSLSLIKYGEFLYDNLIIFSPSVEDFGGNINVETISAFIDGGGSVLVAASSDIGDP
+LRELGSECGIEFDEEKTAVIDHHNYDVSDLGQHTLIVADTENLLKAPTIVGKSSLNPILF
+RGVGMVADPDNPLVLDILTGSSTSYSFFPDKPITQYPHAVGKNTLLIAGLQARNNARVIF
+SGSLDFFSDAFFNSAVQKAAPGSQRYSQTGNYELAVALSRWVFKEEGVLRVGPVSHHRVG
+ETAPPNAYTVTDLVEYSIVIEQLSDGKWVPFDGDDIQLEFVRIDPFVRTFLKRKGGKYSV
+QFKLPDVYGVFQFKVDYNRLGYTHLYSSTQVSVRPLQHTQYERFIPSAYPYYASAFSMML
+GLFIFSVVFLHMKEKEKSD
+>sp|Q5EA99|PK1IP_BOVIN p21-activated protein kinase-interacting protein 1 OS=Bos taurus OX=9913 GN=PAK1IP1 PE=2 SV=1
+MEVVAGCYEQVLFGFAVHPEPVGDGHRERWAPVADFTHHAHTASLSAVAVNSRFVVTGSK
+DETIHIYDMKKKVDHGALMHHNGTITCLKFHGNRHLISGAEDGLICVWDARRWECLKSIR
+AHKGHVTFLSIHPSGRLALSVGTDKTLRTWNLVEGRSAFIKNIKQSAHIVEWSPKGEKYV
+VVILNRIDVYQLDTASVSGTITNERRVSSVTFLSESVLTVAGDEEVVRFFDCDSLTCLSE
+FKAHENRVKDMFSFETPEHHVLVTASSDGFIKMWKLKQDKKVSPSLLCEINTNARLTCLG
+VWLDRRTDTKESPPAAAEPAPVSKEQSRRNKEESGHAVQEEEKQPKPDTEKCSLTGDSNK
+PTRGNSLVSAKKRKTVEMLEKKRKKKKIRMMQ
+>sp|Q0VCI6|PIHD1_BOVIN PIH1 domain-containing protein 1 OS=Bos taurus OX=9913 GN=PIH1D1 PE=2 SV=1
+MADSKMLVPELNDAETMGAETSRFEELLLQASKELQQAQTSRPESTQIQPQPGFCIKTNS
+AEGKVFINICHSPSIPPPADLTEDELLQMLEEDQAGFRIPMSLGEPHAELDAKGQGCTAY
+DVAVNSDFFRRMQNSDFLRELVITIAREGLEDKYGLQLNPEWRILKNRPFLGSISQQNIR
+SQQRPRIQELGNLHTPSSPRPEAGPEKPHLSLWLEAPDLLLAEIDLPKLDGALGLSLEVG
+ENRLVMGGPQQLYHLDTYIPLRINCDESKAAFHQKRKQLMVAMPLLSVPS
+>sp|Q2YDI5|RM48_BOVIN 39S ribosomal protein L48, mitochondrial OS=Bos taurus OX=9913 GN=MRPL48 PE=1 SV=1
+MNGALGKVLCLKNDTIFKQAFSLLRFRTSGENPVYSAGGILLTTSRHYRSKPTHGIGRYK
+HLVKPEEPKKKKGKVEIRAINVGTDYEYGTLNIHLIAYDMALTESYAQYVHNLCNHLSIK
+VEESYAMPTKTMEVLQLQDQGNKMLLDSVLTTHERVVQISGLNATFAEIFLEIIQSNLPE
+GVKLSVREHTEEDFKGRFKARPELEELLAKLN
+>sp|Q3SZP8|TAD2A_BOVIN Transcriptional adapter 2-alpha OS=Bos taurus OX=9913 GN=TADA2A PE=2 SV=1
+MDRLGSFSSDPSDKPPCRGCSSYLMEPYIKCAECGPPPFFLCLQCFTRGFEYKKHQSDHT
+YEIMTSDFPVLDPSWTAQEEMALLEAVMDCGFGNWQDVANQMCTKTKEECEKHYMKHFIN
+NPLFASTLLNLKQAEEAKTADTAIPFHSADDPPRPTFDSLLSRDMAGYMPARADFIEEFD
+NYAEWDLRDIDFVEDDSDILHALKMAVVDIYHSRLKERQRRKKIIRDHGLINLRKFQLME
+RRYPKEVQDLYETMRRFARIVGPVEHDKFIESHALEFELRREIKRLQEYRTAGITNFCSA
+RTYDHLKKTREEERLKRTMLSEVLQYIQDSSACQQWLRRQADIDSGLSPSVPMTSNSGRR
+SAPPLNLTGLPGTEKLNEKEKELCQMVRLVPGAYLEYKSALLNECNKQGGLRLAQARALI
+KIDVNKTRKIYDFLIREGYITKA
+>sp|Q17R01|FXL14_BOVIN F-box/LRR-repeat protein 14 OS=Bos taurus OX=9913 GN=FBXL14 PE=2 SV=1
+METHISCLFPELLAMIFGYLDVRDKGRAAQVCTAWRDAAYHKSVWRGVEAKLHLRRANPS
+LFPSLQARGIRRVQILSLRRSLSYVIQGMANIESLNLSGCYNLTDNGLGHAFVQEIGSLR
+ALNLSLCKQITDSSLGRIAQYLKGLEVLELGGCSNITNTGLLLIAWGLQRLKSLNLRSCR
+HLSDVGIGHLAGMTRSAAEGCLGLEQLTLQDCQKLTDLSLKHISRGLTGLRLLNLSFCGG
+ISDAGLLHLSHMGSLRSLNLRSCDNISDTGIMHLAMGSLRLSGLDVSFCDKVGDQSLAYI
+AQGLDGLKSLSLCSCHISDDGINRMVRQMHGLRTLNIGQCVRITDKGLELIAEHLSQLTG
+IDLYGCTRITKRGLERITQLPCLKVLNLGLWQMTDSEKVR
+>sp|Q28110|FCGR2_BOVIN Low affinity immunoglobulin gamma Fc region receptor II OS=Bos taurus OX=9913 GN=FCGR2 PE=2 SV=1
+MGIPSFLAFPAARRNRAHCTPWHPWGHMLLWTALLFLAPVSGKPDLPKAVVTIQPAWINV
+LREDHVTLTCQGTSFSAGNLTTWFHNGSSIHTQKQPSYSFRAGSNDSGSYRCQREQTSLS
+DPVHLDVISDWLLLQTPSLVFQEGEPIMLRCHSWRNQPLNKITFYQDRKSKIFSYQRTNF
+SIPRANLSHSGQYHCTAFIGKMLHSSQPVNITVQESSSSGPSSMTAVAIGTCFAAVAIVA
+AIITWFRLRRKPISAGLTDAENDAARTEAENTVTYSLLSHPDVAEEDSESDYQKRL
+>sp|P60519|GBRL2_BOVIN Gamma-aminobutyric acid receptor-associated protein-like 2 OS=Bos taurus OX=9913 GN=GABARAPL2 PE=1 SV=1
+MKWMFKEDHSLEHRCVESAKIRAKYPDRVPVIVEKVSGSQIVDIDKRKYLVPSDITVAQF
+MWIIRKRIQLPSEKAIFLFVDKTVPQSSLTMGQLYEKEKDEDGFLYVAYSGENTFGF
+>sp|Q0II76|GBRR2_BOVIN Gamma-aminobutyric acid receptor subunit rho-2 OS=Bos taurus OX=9913 GN=GABRR2 PE=2 SV=4
+MPYFSRLILFLFCLVVLVESRKPKKRRWTGQLETSKPSHLYKKNPDMTKIRHGKPQPLLR
+VDDHDFTMRPAFGGPAIPVGVDVQVESLDSISEVDMDFTMTLYLRHYWKDERLAFPSASN
+KSMTFDGRLVKKIWVPDVFFVHSKRSFIHDTTTDNIMLRVFPDGQVLYSMRITVTAMCNM
+DFSHFPLDSQTCSLELESYAYTDEDLMLYWKNGDESLKTDEKISLSQFLIQKFHTTSRLA
+FYSSTGWYNRLYINFTLRRHIFFFLLQTYFPATLMVMLSWVSFWIDRRAVPARVSLGITT
+VLTMSTIITGVNASMPRVSYIKAVDIYLWVSFVFVFLSVLEYAAVNYLTTVQERKERKLQ
+EKFPCMCGMLHSRTMMLDGSYSESEANSLAGYPRSHILPEEERQDKIVVHLALSNESSSS
+RKKGLLKGQVGLRIFQNTHAIDKYSRLIFPASYIFFNLIYWSVFA
+>sp|Q9GK68|GDF9_BOVIN Growth/differentiation factor 9 OS=Bos taurus OX=9913 GN=GDF9 PE=2 SV=1
+MALPNKFFLWFCCFAWLCFPISLDSQPSRGEAQIVARTALESEAETWSLLKHLDGRHRPG
+LLSPLLNVLYDGHREPPRLQPDDRALSYMKRLYKAYATKEGTPKSNRSHLYNTVRLFTPC
+AQHKQAPGDQAAGTLPSVDLLFNLDRVTVVEHLFKSVLLYTFNNSISFPFPVKCICNLVI
+KEPEFSSKTLPRAPYSFTFNSQFEFRKKYKWIEIDVTAPLEPLVASHKRNIHMSVNFTCV
+KDQLQHPSARDSLFNMTLLLAPSLLLYLNDTSAQAFHRWHSLHPKRKPSQDPDQKRGLSA
+CPMGEEAAEGVRLSRHRRDQESVSSELKKPLVPASFNLSEYFKQFLFPQNECELHDFRLS
+FSQLKWDNWIVAPHKYNPRYCKGDCPRAVGHRYGSPVHTMVMNIIHEKLDSSVPRPSCVP
+AKYSPLSVLAIEPDGSIAYKEYEDMIATKCTCR
+>sp|Q0P5I0|MEN1_BOVIN Menin OS=Bos taurus OX=9913 GN=MEN1 PE=2 SV=1
+MGLKAAQKTLFPLRSIDDVVRLFAAELGREEPDLVLLSLVLGFVEHFLAVNRVIPTNVPE
+LTFQPSPAPDPPGGLTYFPVADLSIIAALYARFTAQIRGAVDLSLYPREGGVSSRELVKK
+VSDVIWNSLSRSYFKDRAHIQSLFSFITGTKLDSSGVAFAVVGACQALGLRDVHLALSED
+HAWVVFGPNGEQTAEVTWHGKGNEDRRGQTVNAGVAERSWLYLKGSYMRCDRKMEVAFMV
+CAINPSIDLHTDSLELLQLQQKLLWLLYDLGHLERYPMALGNLADLEELEPTPGRPDPLT
+LYHKGIASAKTYYRDEHIYPYMYLAGYHCRNRNVREALQAWADTATVIQDYNYCREDEEI
+YKEFFEVANDVIPNLLKEAASLLEAGEERPGEQTQGTQSQGSALQDPECFAHLLRFYDGI
+CKWEEGSPTPVLHVGWATFLVQSLGRFEGQVRQKVRIVSREAEAAEAEEPWGEEAREGRR
+RGPRRESKPEEPPPPKKPALDKGPGAGQGAVPGPPRKPPGTVPGTARGAEGGSAAPVPAP
+AASPPPEGPVLTFQSEKMKGMKELLVATKINSSAIKLQLTAQSQVQMKKQKVSTPSDYTL
+SFLKRQRKGL
+>sp|Q5BIR6|MED17_BOVIN Mediator of RNA polymerase II transcription subunit 17 OS=Bos taurus OX=9913 GN=MED17 PE=2 SV=1
+MSGVRAVRISIESACEKQVQEVGLDGTETYLQPLSMSQNLARLAQRIDFSQGSGSEEEEA
+AGAEGDAQDWAGAGSSADQDDEEGLVKFQPSLWPWDSVRNNLRSALTEMCVLYDVLSIVR
+DKKFMTLDPVSQDALPPKQNPQTLQLISKKKSLAGAAQILLKGAERLTKSVTENQENKLQ
+RDFNSELLRLRQHWKLRKVGDKILGDLSYRSAGSLFPHHGTFEVIKNTDIDLDKKIPEDY
+CPLDVQIPSDLEGSAYIRVSIQKQAPDIGDLGTVNLFKRPLPKSKPGSPHWQTKLEAAQN
+VLLCKEIFAQLSREAVQIKSQIPHIVVKNQIISQPFPSLQLSISLCHSSNDKKSPKSATE
+KQSPEDHLYVLEHNLHLLIREFHKQTLSSIMMPHPASAPFGHKRMRLSGPQAFDKNEINS
+IQSSEGLLEKIIKQAKHIFLRSRTAATIDSLASRIEDPQIQAHWSNINDVYESSVKVLIT
+SQGYEQICKSIQLQLNIGVEQIRVVHRDGRVITLSHEGQELQDFLLSQMSQHQVHAVQQL
+AKVMGWQVLSFSNHVGLGPVESIGNASAITVASPNGDYAISVRNGPESGSKIMVQFPRNQ
+CKDLPKSDVLQDSKWNHLRGPFKEVQWNKMEGRNFVYKMELLMSALSPCLL
+>sp|Q32LG3|MDHM_BOVIN Malate dehydrogenase, mitochondrial OS=Bos taurus OX=9913 GN=MDH2 PE=1 SV=1
+MLSALARPAGAALRRSFSTSAQNNAKVAVLGASGGIGQPLSLLLKNSPLVSRLTLYDIAH
+TPGVAADLSHIETRATVKGYLGPEQLPDCLKGCDVVVIPAGVPRKPGMTRDDLFNTNATI
+VATLTAACAQHCPEAMICIISNPVNSTIPITAEVFKKHGVYNPNKIFGVTTLDIVRANAF
+VAELKDLDPARVNVPVIGGHAGKTIIPLISQCTPKVEFPQDQLTTLTGRIQEAGTEVVKA
+KAGAGSATLSMAYAGARFVFSLVDAMNGKEGVVECSFVKSQETDCPYFSTPLLLGKKGIE
+KNLGIGKVSPFEEKMIAEAIPELKASIKKGEEFVKNMK
+>sp|A6QQT9|ILVBL_BOVIN Acetolactate synthase-like protein OS=Bos taurus OX=9913 GN=ILVBL PE=2 SV=2
+METAVAAAPAWGFFSSFLLLAFGTLVAALLGAAHRLGLFYQLMHKVDTASTRHGGENVAA
+VLKAHGVRFLFTLVGGHISPLLVACEKLGIRVVDTRHEVTAVFAADAVARLTGTVGVAAV
+TAGPGLTNTVTAVKNAQIAQSPVLLLGGAASTLLQNRGALQAIDQIALFRPLCKFCASVR
+RVRDIIPTLRAAMAAAQSGTPGPVFVELPLDVLYPYFMVQKEMVPAKPPKGLMSRAVHWY
+LANSLANLFAGAWEPQPEGPLPLDIPQASPQQVQRCVEILSRAKKPLMLIGSQALLPPTS
+SDKLRVAVETLGIPCFLAGMARGLLGRNHPLHFRQNRRAALKKADVVVLAGAVCDFRLSY
+GRVLSRSSKIIVVNRDRKEMLINSDIFWKPQEAVQGDVGSFVVKLVEGLRGQMWASDWAE
+ELRQADQQKEQAFREKALMPVAQHLNPVRVLQLVEDTLPDNSILVVDGGDFVGTAAYLVQ
+PRGPLRWLDPGAFGTLGVGAGFALGAKLCRPDAEVWCLFGDGAFGYSLIEFDTFVRHKIP
+VMALIGNDAGWTQISREQVPSLGSNVACGLAYTDYHKAAQGLGAQGLLLSRENEDQVVKV
+LRDAQQWCQDGHPVVVNILIGRTDFRDGSIAM
+>sp|Q3T0U1|MESD_BOVIN LRP chaperone MESD OS=Bos taurus OX=9913 GN=MESD PE=2 SV=1
+MAASGWARAAVIFLCACDLLLLLLLPPRAFATEGPAETPGEATPPPRKKKKDIRDYNDAD
+MARLLEQWEKDDDIEEGDLPEHKRPSAPIDFSQIDPGKPESILKMTKKGKTLMMFVTVSG
+NPTEKETEEITSLWQGSLFNANYDVQRFIVGSDRAIFMLRDGGYAWEIKDFLVSQDRCAD
+VTLEGQVYPGKGGGSKEKNQTKQEKGKKKKERDLKPRASKEDNRAGSKKEEL
+>sp|P00975|IBPS_BOVIN Serum basic protease inhibitor OS=Bos taurus OX=9913 PE=1 SV=1
+TERPDFCLEPPYTGPCKAAMIRYFYNAKAGFCETFVYGGCRAKSNNFKSAEDCMRTCGGA
+>sp|Q769I5|MET_BOVIN Hepatocyte growth factor receptor OS=Bos taurus OX=9913 GN=MET PE=2 SV=1
+MKAPAVLAPGILVLLFTFVQKSNGECKEALVKSRMNVNMQYQLPNFTAETSIQNVVLHKH
+HIYLGAINYIYVLNDKDLQKVAEYKTGPVLEHPDCFPCQDCSHKANLSGGVWKDNINMAL
+LVDTYYDDQLISCGSVHRGTCQRHVLPPNNTADIESEVHCMYSPQADEETNQCPDCVVSA
+LGTKVLLSEKDRFINFFVGNTINSSYLPDYILHSISVRRLKETQDGFKFLTDQSYIDVLP
+ELRDSYPIKYVHAFESNHFIYFLTVQRETLDAQTFHTRIIRFCSADSGLHSYMEMPLECI
+LTEKRRKRSTKQEVFNILQAAYVSKPGAQLARQIGASLNDDILYGVFAQSKPDSSEPMNR
+SAVCAFPVKYVNEFFNKIVNKNNVRCLQHFYGPNHEHCFNRTLLRNSSGCEVRNDEYRTE
+FTTALPRVDLFTGQFNQVLLTSISTFIKGDLTIANLGTSEGRFMQVVVSRSGSLTPHVNF
+HLDSHPVSPEVIVEHPLNQNGYTLVVTGKKITKIPLNGLGCEHFQSCSQCLSAPSFVQCG
+WCHDKCVRLEECSSGTWTQETCLPTIYKVFPTSAPLEGGTTLTVCGWDFGFKRNNKFDLK
+KTRVLLGNESCTLTLTESTTNMLKCTVGPAMNEHFNMSIVISNSRGSVEYSAFSYVDPII
+TSISPNYGPKTGGTLLTLTGKHLNSGNSRHISIGGKTCTLKSVSHSILECYTPAQSAPTE
+FSVKLKIDLANREVNSFIYREDPIVYEIHPTKSFISGGSTITGVGKNLNSVSVLRMVINV
+HEAGRNFTVACQHRSNSEIICCTTPSIEQLNLQLPLKTKAFFMLDGIHSKYFDLIYVHNP
+VFKPFEKPVMISVGNENVLEIKGNDIDPEAVKGEVLKVGNKSCENIHSHSEAVLCTVPSD
+LLKLNSELNIEWKQAISSTVLGKVIVQPDQNFTGLIVGVVSISIILLLLLGLFLWLKKRK
+QIKDLGSELVRYDARVHTPHLDRLVSARSVSPTTEMVSNESVDYRATFPEDQFPNASQNG
+SCRQVQYPLTDLSPILTSGDSDISSPLLQNTIHIDLSALNPELVQAVQHVVIGPSSLIVH
+FNEVIGRGHFGCVYHGTLLDNDDKKIHCAVKSLNRITDIGEVSQFLTEGIIMKDFSHPNV
+LSLLGICLRSEGSPLVVLPYMKHGDLRNFIRNETHNPTVKDLIGFGLQVAKGMEYLASKK
+FVHRDLAARNCMLDEKFTVKVADFGLARDVYDKEYYSVHNKTGAKLPVKWMALESLQTQK
+FTTKSDVWSFGVLLWELMTRGAPPYPDVNTFDITVYLLQGRRLLQPEYCPDPLYEVMLKC
+WHPKAELRPSFSELVSRISVIFSTFIGEHYVHVNATYVNVKCVAPYPSLLSSQDNVSGED
+DDDT
+>sp|Q2TLZ3|MACOI_BOVIN Macoilin OS=Bos taurus OX=9913 GN=MACO1 PE=2 SV=1
+MKRRNADCSKLRRPLKRNRITEGIYGSTFLYLKFLVVWALVLLADFVLEFRFEYLWPFWL
+FIRSVYDSFRYQGLAFSVFFVCVAFTSNIICLLFIPIQWLFFAASTYVWVQYVWHTERGV
+CLPTVSLWILFVYIEAAIRFKDLKNFHVDLCRPFAAHCIGYPVVTLGFGFKSYVSYKMRL
+RKQKEVQKENEFYMQLLQQALPPEQQMLQKQEKEAEEAAKGLPDMDSSILIHHNGGIPAN
+KKLSTTLPEIEYREKGKEKDKDAKKHNLGINNNNILQPVDSKIQEIEYMENHINSKRLNN
+DLVGSTENLLKEDSCTASSKNYKNASGVVNSSPRSHSATNGSIPSSSSKNEKKQKCTSKS
+PSAHKDLMENCIPNNQLSKPDALVRLEQDIKKLKADLQASRQVEQELRSQISSLSSTERG
+IRSEMGQLRQENELLQNKLHNAVQMKQKDKQNISQLEKKLKAEQEARSFVEKQLMEEKKR
+KKLEEATAARAVAFAAASRGECTETLRNRIRELEAEGKKLTMDMKVKEDQIRELELKVQE
+LRKYKENEKDTEVLMSALSAMQDKTQHLENSLSAETRIKLDLFSALGDAKRQLEIAQGQI
+LQKDQEIKDLKQKIAEVMAVMPSITYSAAASPLSPVSPHYSSKFVETSPSGLDPNASVYQ
+PLKK
+>sp|Q5E9J1|HNRPF_BOVIN Heterogeneous nuclear ribonucleoprotein F OS=Bos taurus OX=9913 GN=HNRNPF PE=2 SV=3
+MMLGPEGGEGFVVKLRGLPWSCSVEDVQNFLSDCTIHDGVAGVHFIYTREGRQSGEAFVE
+LESEDDVKLALKKDRESMGHRYIEVFKSHRTEMDWVLKHSGPNSADTANDGFVRLRGLPF
+GCTKEEIIQFFSGLEIVPNGITLPVDPEGKITGEAFVQFASQELAEKALGKHKERIGHRY
+IEVFKSSQEEVRSYSDPPLKFMSVQRPGPYDRPGTARRYIGIVKQAGLERMRSGAYSAGY
+GGYEEYSGLSDGYGFTTDLFGRDLSYCLSGMYDHRYGDGEFTVQSTTGHCVHMRGLPYKA
+TENDIYNFFSPLNPVRVHIEIGPDGRVTGEADVEFATHEEAVAAMSKDRANMQHRYIELF
+LNSTTGASNGAYSSQMMQGMGVSTQSTYSGLESQSVSGCYGAGYGGQNSMGGYD
+>sp|P58754|LY96_BOVIN Lymphocyte antigen 96 OS=Bos taurus OX=9913 GN=LY96 PE=1 SV=1
+MFPFMLFSTLFSSIFTEPREKMWICNSSDASLWYNYCDDMKFPISVKVEPCVTIKGTKGK
+LHLYYIARRDIQKLYLNLHISIKSMTLPMRKEVICREYGGDYSFCGALKGETVNTTIPFS
+FQGIRFSPGQYHCVVEAISGNSEEMLFCLNFTIIHYSSLN
+>sp|Q95L39|LOXL1_BOVIN Lysyl oxidase homolog 1 OS=Bos taurus OX=9913 GN=LOXL1 PE=1 SV=1
+MALALTGWQLVWGACVCVLVHGQQAPPGQGSDPGRWRQLIQWENNGQVYSLLNSGAEYVP
+PGPQGSEANSRVLLAGAPQAPPRRRGGLRRRQAPSLPLPGRVGSDTVRGQARHPFGFGQV
+PDNWREVAVGDSTGMARARTSVSQQRHGGSASSVSASASAFASTYRQPSSFPQQQFPYPQ
+APFVSQYETYDPSTRTYDQGYVYYRSASGGLGAAAVASAGVVYPFQPRARYEEYGGGGGE
+EQPEYPPQGFYPAAPERPYAPQPADGLDRRYSHSLYHEGTAGLEPAYPDPGPDAAQPNGG
+GGGGTYGGGGGDPRLGWYPPYGNMPPEAYSPPRVVEPQPPFRVLEPPYLPVRSSDAPPPG
+SERNGAQQGRLSVGSVYRPNQNGRGLPDLVPDPNYVQASTYVQRAHLYSLRCAAEEKCLA
+STAYAPEATDYDVRVLLRFPQRVKNQGTADFLPNRPRHTWEWHSCHQHYHSMDEFSHYDL
+LDAATGKKVAEGHKASFCLEDSTCDFGNLKRYACTSHTQGLSPGCYDTYNADIDCQWIDI
+TDVQPGNYILKVHVNPKYIVLESDFTNNVVRCNIHYTGRYVSTTNCKIVQS
+>sp|Q9TTK8|KCRU_BOVIN Creatine kinase U-type, mitochondrial OS=Bos taurus OX=9913 GN=CKMT1 PE=2 SV=1
+MAGPFSRLLSARPGLRLLALAGAGSLAAGFLLRSEPVRAASERRRLYPPSAEYPDLRKHN
+NCMASHLTPAVYARLCDKTTPTGWTLDQCIQTGVDNPGHPFIKTVGMVAGDEETYEVFAE
+LFDPVIQERHNGYDPRTMKHTTDLDASKIRSGYFDERYVLSSRVRTGRSIRGLSLPPACT
+RAERREVERVVVDALSGLKGDLAGRYYRLSEMTEAEQQQLIDDHFLFDKPVSPLLTAAGM
+ARDWPDARGIWHNNEKSFLIWVNEEDHTRVISMEKGGNMKKVFERFCRGLKEVERLIQER
+GWEFMWNERLGYILTCPSNLGTGLRAGVHIKLPLLSKDSRFPKILENLRLQKRGTGGVDT
+AATGSVFDISNLDRLGKSEVELVQLVIDGVNFLIDCERRLERGQDIRIPPPLPNKH
+>sp|P07994|INHA_BOVIN Inhibin alpha chain OS=Bos taurus OX=9913 GN=INHA PE=1 SV=1
+MWLQLLLLLLAPQGGHGCHGLELDRELVLAKVRALFLDALGPPPVTGEGGDPGVRRLHRR
+HAVGGFMRRGSEPEDQDVSQAILFPAAGASCGDEPDAGEAEEGLFTYVFQPSQHTRSRQV
+TSAQLWFHTGLDRQETAAANSSEPLLGLLVLTSGGPMPVPMSLGQAPPRWAVLHLATSAF
+PLLTHPVLALLLRCPLCSCSTRPEATPFLVAHTRAKPPSGGERARRSTPPLPWPWSPAAL
+RLLQRPPEEPAAHADCHRAALNISFQELGWDRWIVHPPSFIFYYCHGGCGLSPPQDLPLP
+VPGVPPTPVQPLSLVPGAQPCCAALPGTMRPLHVRTTSDGGYSFKYEMVPNLLTQHCACI
+>sp|Q5E9T8|KIME_BOVIN Mevalonate kinase OS=Bos taurus OX=9913 GN=MVK PE=2 SV=1
+MLSEVLLVSAPGKVILHGEHAVVHGKVALAVALNLRTFLRLQPHSNGRVGLNLPNIGVRR
+AWDVASLQLLDTSFLGHGDSAALTAKHVEKLKEVAGFPKDCVDPEHLAVLAFLYLYLSIC
+QSQRALPSLDITVWSELPTGAGLGSSAAYSVCLAAALLTACEEIPNPLKDGEAAGRWTEE
+NLELINKWAFQGERVIHGNPSGVDNAVSTWGGALRYQQGKISSLKRPPVLKILLINTKVP
+RSTKVLVANVRSRLLKFPEIVAPLLTSIDAISLECERVLGEMAAAPTPEHYLTLEELIDM
+NQHHLNALGVGHASLDQLCQVTTAHGLHSKLTGAGGGGCGITLLRPDVERPAVEATKRAL
+SGCGFDCWETSVGAPGVSVHTAASLDASVQQGLDSL
+>sp|A7E300|RHG07_BOVIN Rho GTPase-activating protein 7 OS=Bos taurus OX=9913 GN=DLC1 PE=2 SV=1
+MARPLRAPLRRSFSDHIRDSTARALDVIWKNTRDRRLAEIEAKEACDWLRAAGFPQYAQL
+YEDLLFPIDISSVKREHDFLDRDAIEALCRRLNTLNKCAVMKLEISPHRKRSEDSDEDEP
+CAISGKWTFQRDSKRWSRLEEFDVFSPKQDPIPGSPDAVHLKSAPSHENMQTDLSDRQEV
+ASVHSTGSLTTHAPQRGEAAPARTNSVLSVCSSGTFVGNDDSFCSLPSPKELSSFSFSMK
+GHEKAAKSKTHSLLKRMESLKLKGSHHSKHKAPSKLGLIISGPILQEGVDEEKLKQLNCV
+EISALNGNHINVPMVRKRSISSSTQTSSSSSQSETSSNVSTPSPVTRTRSLSACNKRGGM
+YLEGFDPFNQSTFNNVMEQNCKNRESYPEDTVFYIPEDHKPGTFPKALSNGSFSPSGNNS
+SVNWRTGSFHGPGHISLRRENSSPKELKRRNSSSSVSSRLSIYDNVPGSILYSSSGDLAD
+LENEDIFPELDDILYHVKGMQRIVNQWSEKFSDEGDSDSALDSVSPCPSSPKQIHLDVDN
+DRATPSDLDSTGNSLNEPEEPSDIPERRDSGVGASLTRSNRHRLRWHSFQSSHRPSLNSV
+SLQINCQSVAQMNLLQKYSLLKLTALLEKYTPSNKHGFSWAVPKFMKRIKVPDYKDRNVF
+GVPLTVNVQRTGQPLPQSIQQAMRYLRNHCLDQVGLFRKSGVKSRIQALRQMNESTIDCV
+NYEGQSAYDVADMLKQYFRDLPEPLMTNKLSETFLQIYQYVPKDQRLQAIKAAIMLLPDE
+NREVLQTLLYFLSDVTAAVKENQMTPTNLAVCLAPSLFHLNTLKRENSSPRVMQRKQSLG
+KPDQKDLNENLAATQGLAHMIAECKKLFQVPEEMSRCRNSYTEQELKPLTLEALGRLCND
+DSADYQHFLQDCVDSLFKEVKEKFKGWVSYSTSEQAELSYKKVSEGPPLRLWRATIEVPA
+TPEEILKRLLKEQHLWDVDLLDSKVIEILDSQTEIYQYVQNSMAPHPARDYVVLRTWRTN
+LPKGACALLLTSVDHDRAPVVGVRVNVLLARYLIEPCGSGKSKLTYMCRADLRGHMPEWY
+TKSFGHLCAAEVVKIRDSFSHQNTETKDTKSR
+>sp|Q3SYS1|RM13_BOVIN 39S ribosomal protein L13, mitochondrial OS=Bos taurus OX=9913 GN=MRPL13 PE=1 SV=1
+MASLSRAPQQWATFARVWYLLDGKMQPPGKLAALASVRLQGLHKPVYHQLSDCGDHVVIM
+NTRHIAFSGNKWEQKVYSSHTGYPGGFKQVTAAQLHRKDPVAIVKLAIYGMLPKNLHRRT
+LMQRLHLFPDEDIPEDILKNLTEELPQPRKVPRRLDEYTQEEIEAFPRVWSPPEDYRL
+>sp|Q148K5|PX11B_BOVIN Peroxisomal membrane protein 11B OS=Bos taurus OX=9913 GN=PEX11B PE=2 SV=1
+MDAWVRFSAQSQARERLCRAAQYACSLLGHALQKHGASPELQKQIRQLEGHLSLGRKLLR
+LGNSADALESAKRAVHLSDVVLRFCITVSHLNRALYFACDNVLWAGKSGLAPRVDQEKWA
+QRSFRYYLFSLIMNLSRDAYEIRLLMEQESSACSRRLKGSGGVSGGIEPGGPGGPGIPGG
+GLPQVALKLRLRVLLLARVLRGHPPLLLDVVRNACDLFIPLDKLGLWRCGPGIVGLCGLV
+SSILSILTLICPWLRLKP
+>sp|P63048|RL40_BOVIN Ubiquitin-60S ribosomal protein L40 OS=Bos taurus OX=9913 GN=UBA52 PE=1 SV=2
+MQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYN
+IQKESTLHLVLRLRGGIIEPSLRQLAQKYNCDKMICRKCYARLHPRAVNCRKKKCGHTNN
+LRPKKKVK
+>sp|Q2T9T3|RPAB1_BOVIN DNA-directed RNA polymerases I, II, and III subunit RPABC1 OS=Bos taurus OX=9913 GN=POLR2E PE=1 SV=1
+MDDEEETYRLWKIRKTIMQLCHDRGYLVTQDGLDQTLEEFKAQFGGKPSEGRPRRTDLTV
+LVAHNDDPTDQMFVFFPEEPKVGIKTIKVYCQRMQEENITRALIVVQQGMTPSAKQSLVD
+MAPKYILEQFLQQELLINITEHELVPEHVVMTKEEVTELLARYKLRENQLPRIQAGDPVA
+RYFGIKRGQVVKIIRPSETAGRYITYRLVQ
+>sp|Q2HJ86|TBA1D_BOVIN Tubulin alpha-1D chain OS=Bos taurus OX=9913 GN=TUBA1D PE=1 SV=1
+MRECISVHVGQAGVQIGNACWELYCLEHGIQPDGQMPSDKTIGGGDDSFNTFFSETGAGK
+HVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLITGKEDAANNYARGHYTIGKELIDLVLD
+RIRKLADQCTGLQGFLIFHSFGGGTGSGFTSLLMERLSVDYGKKSKLEFSIYPAPQVSTA
+VVEPYNSILTTHTTLEHSDCAFMVDNEAIYDICRRNLDIERPTYTNLNRLIGQIVSSITA
+SLRFDGALNVDLTEFQTNLVPYPRIHFPLATYAPVISAEKAYHEQLSVAEITNACFEPAN
+QMVKCDPRHGKYMACCLLYRGDVVPKDVNAAIATIKTKRTIQFVDWCPTGFKVGINYQPP
+TVVPGGDLAKVQRAVCMLSNTTAIAEAWARLDHKFDLMYAKRAFVHWYVGEGMEEGEFSE
+AREDMAALEKDYEEVGMDSVEGEGEEEEGDEY
+>sp|Q0IIF6|FAIM1_BOVIN Fas apoptotic inhibitory molecule 1 OS=Bos taurus OX=9913 GN=FAIM PE=2 SV=1
+MASGDDSPIFEDDESPPYSLEKMTDLVAVWEVALSDGVHKIEFEHGTTSGKRVVYVDGKE
+VIRKEWMFKLVGKETFCVGAAKTKATINIDAVSGFAYEYTLEINGKSLKKYMENRSKTTN
+TWVLHLDSEDFRVVLEKDTLDVWCNGKKMETAGEFVDDGTETHFNIGNHDCYIKAVSSGK
+RKEGIIHSLIVDNREIPEIVE
+>sp|O46521|CY24A_BOVIN Cytochrome b-245 light chain OS=Bos taurus OX=9913 GN=CYBA PE=2 SV=3
+MGQIEWAMWANEQALASGLILITGGIVATAGQFTQWYLGAYSIAAGVLVCLLEYPRGKRS
+KGSTMERCGQKYLTRVVKLFGPLTRNYYIRAFLHLGLAVPAGFLLATILGTACLAIASGI
+YLLAAIRGEQWSPIEPKPKERPQIGGTIKQPPSNPPPRPPAEARKKPSEEAAGVPTGGPQ
+ENPMPVNDEVV
+>sp|Q3T0V7|EDF1_BOVIN Endothelial differentiation-related factor 1 OS=Bos taurus OX=9913 GN=EDF1 PE=2 SV=1
+MAESDWDTVTVLRKKGPTAAQAKSKQAILAAQRRGEDVETSKKWAAGQNKQHSITKNTAK
+LDRETEELHHDRVTLEVGKVIQQGRQSKGLTQKDLATKINEKPQVIADYESGRAIPNNQV
+LGKIERAIGLKLRGKDIGKPIEKGPRAK
+>sp|P19687|GCYA1_BOVIN Guanylate cyclase soluble subunit alpha-1 OS=Bos taurus OX=9913 GN=GUCY1A1 PE=1 SV=1
+MFCAKLKDLQITGDCPFSLLAPGQVPREPLGEATGSGPASTPGQPGVCPGVPDKNPPGRL
+PRRKTSRSRVYLHTLAESICKLIFPEFERLNLALQRTLAKHKIKENRKSLEREDFEKIVV
+DQAIAAGVPVEIIKESLGEELFKICYEEDEYILGVVGGTLKDFLNSFSTLLKQSSHCQEA
+EKKGRFEDASILCLDKDPDVLYVYYFFPKRITSLILPGIIKAAARILYETEVEVSSTPSR
+FHQDCREFVDQPCELYSVHIRSARPHPPPGKPVSSLVIPASLFCKTFPFHFMLDRDMSIL
+QLGHGIRRLMSRRDVQGKPHFDEYFEILTPKISQTFSGIMTMLNMQFLVRVRRWDNSMKK
+SSRVMDLKGQMIYMVESSSILFLGSPCVDRLEDFTGRGLYLSDIPIHNALRDVVLIGEQA
+RAQDGLKKRLGKLKATLEQAHQALEEEKRKTVDLLCSIFPSEVARQLWQGHAVQAKRFGN
+VTMLFSDIVGFTAICSQCSPLQVITMLNALYTRFDRQCGELDVYKVETIGDAYCVAGGLH
+KESDTHAVQIALMALKMMELSHEVVSPHGEPIKMRIGLHSGSVFAGVVGVKMPRYCLFGN
+NVTLANKFESCSVPRKINVSPTTYRLLKDCPGFVFTPRSREELPPNFPSDIPGICHFLEA
+YQQGTTSKPWFQKKDVEEANANFLGKASGID
+>sp|A7MB89|FEM1C_BOVIN Protein fem-1 homolog C OS=Bos taurus OX=9913 GN=FEM1C PE=2 SV=1
+MDLKTAVFNAARDGKLRLLTKLLASKSKEEVSSLISEKTNGATPLLMAARYGHLDMVEFL
+LEQCSASIEVGGSVNFDGETIEGAPPLWAASAAGHLKVVQSLLNHGASVNNTTLTNSTPL
+RAACFDGHLEIVKYLVEHKADLEVSNRHGHTCLMISCYKGHKEIAQYLLEKGADVNRKSV
+KGNTALHDCAESGSLDIMKMLLMYCAKMEKDGYGMTPLLSASVTGHTNIVDFLTHHAQTS
+KTERINALELLGATFVDKKRDLLGALKYWKKAMNMRYSDRTNIISKPVPQTLIMAYDYAK
+EVNSAEELEGLIADPDEMRMQALLIRERILGPSHPDTSYYIRYRGAVYADSGNFKRCINL
+WKYALDMQQNNLDPLSPMTASSLLSFAELFSFMLQDRAKGLLGTTVTFDDLMGILCKSVL
+EIERAIKQTQCPADPLQLNKALSIILHLICLLEKVPCTLEQDHFKKQTIYRFLKLHPRGK
+NNFSPLHLAVDKNTTCVGRYPVCKFPSLQVTAILIECGADVNVRDSDDNSPLHIAALNNH
+PDIMNLLIKSGAHFDATNLHKQTASDLLDEKEIAKNLIQPINHTTLQCLAARVIVNHRIY
+YKGHIPEKLETFVSLHR
+>sp|Q2TBR5|F166B_BOVIN Protein FAM166B OS=Bos taurus OX=9913 GN=FAM166B PE=2 SV=1
+MASTFIPGLNPQNPHYIPGYTGHCPLLRFSMGQTYGQMTGQLLRGSPGLAWPPAHRTLLP
+PIQPPRSPEPRRRSLPVRPGHERLSSSMVPGYTGFVPQAQFIFAKNCSQVWAEALNGFTQ
+RNGGQGSQELPKEAKGEKDVEKDQEPKPEVEKEPELGQEAEQASPYSMDDRDPRKFFMSG
+FTGYVPRARFLFGSSFPVLSNQALQEFGEMKSPGRSQKDPKHLPALSRTYPQHLGLLPKY
+GGYVPGYKFQFGRTYGHLTQDALGLSTLQKQLLV
+>sp|Q92180|GCNT1_BOVIN Beta-1,3-galactosyl-O-glycosyl-glycoprotein beta-1,6-N-acetylglucosaminyltransferase OS=Bos taurus OX=9913 GN=GCNT1 PE=2 SV=1
+MLRKLWRRKLFSFPTKYYFLFLAFSVVTFTVLRIHQKTEFVNFGHLELFEENPSSNINCT
+KILQGDVDEIQKVKLESLTVKFKKRARWTNYDYINMTGDCASFIKKRKYITEPLSKEEAG
+FPIAYSIVVHHKIEMLDRLLRAIYMPQNFYCIHVDAKSEKSFLAAAVGIASCFSNVFVAS
+QLESVVYASWSRVQADLNCMQDLYQMNAGWKYLINLCGMDFPIKTNLEIVRKLKLLMGEN
+NLETEKMPSHKKERWKKHYEVVNGKLTNMGTDKIHPPLETPLFSGSAHFVVSREYVEYVL
+QNQNIQKFMEWAKDTYSPDEYLWATIQRIPEVPGSLSLSYKYDTSDMQAIARFVKWQYFE
+GDVSKGAPYPPCSVHVRSVCVFGAGDLNWLLHVHHLFANKFDTDIDLFAIQCLDEHLRHK
+ALETLKP
+>sp|Q58DT5|ELMD3_BOVIN ELMO domain-containing protein 3 OS=Bos taurus OX=9913 GN=ELMOD3 PE=2 SV=1
+MNENFHSFHEKELRDGQVESVSAGSSPPCDKDSSALLAFRGISISELKNHSVLQALTAEA
+NAWEPRVVSTEVLQAQEEWEAVESIHPETGSRASMDQPGQLISFSEALQHFQTVDLSSFK
+KRIQPTIRRTGLAALRHYLFGPPKLHQGLREERDLVLTIAQCGLDSQDPMHGRVLQTIYK
+KLTGSKFDCALHGDHWEDLGFQGTNPATDLRGAGFLALLHLLYLVMDSKTLLMAREILRL
+SRHHIQQFPFCLMSVNITRIAIQALREECLSRECNRQQKVIPVVNSFYAATFLRLAHIWR
+TQHKTISDSGFVLKDLEMSAKKSPRRLLKTLETYLAGVSKGQASLLGTQKCSGPQAPHSK
+DLTFTGVCDLPSHLSEGTWLI
+>sp|P05008|IFNAB_BOVIN Interferon alpha-B OS=Bos taurus OX=9913 GN=IFNAB PE=3 SV=1
+MAPAWSFLLALLLLSCNAICSLGCHLPHTHSLPNRRVLTLLRQLRRVSPSSCLQDRNDFA
+FPQEALGGSQLQKAQAISVLHEVTQHTFQLFSTEGSATTWDESLLDKLHAALDQQLTDLQ
+ACLRQEEGLRGAPLLKEGSSLAVRKYFHRLTLYLQEKRHSPCAWEVVRAEVMRAFSSSTN
+LQEKFRRKD
+>sp|Q1JPG0|MIGA2_BOVIN Mitoguardin 2 OS=Bos taurus OX=9913 GN=MIGA2 PE=2 SV=1
+MAFRRTEGMSMIQALAMTVAEIPVFLYTTFGQSAFSQLRLTPGLRKVLFATALGTVALAL
+AAHQLKRRRRKKKQVGPEMGGEHLGTVPLPILMARKVPSVKKGYSNRRVQSPSSKSNDTL
+SGISSIEPSKHSGSSHSLASMVVVNSSSPTAACSGPWETRGIEESVTTADGNAESLYMQG
+MELFEEALQKWEQALSVGQRGDSGSTPTPGDGLRNPETASEALSEPESQRKEFAEKLESL
+LHRAYHLQEEFGSTFPADSMLLDLERTLMLPLTEGSLRLRADDGDSLTSEDSFFSATELF
+ESLQVGDYPIPLSRPAAAYEEALQLVKEGKVPCRTLRTELLGCYSDQDFLAKLHCVRQAF
+EGLLEDKSHQLFFGEVGRQMVTGLMTKAEKSPKGFLESYEEMLSYALRPETWATTRLELE
+GRGVVCMSFFDIVLDFILMDAFEDLENPPSSVLAVLRNRWLSDSFKETALATACWSVLKA
+KRRLLMVPDGFISHFYSVSEHVSPVLAFGFLGPKPQLSEVCAFFKHQIVQYLTDMFDLDN
+VRYTSVPALAEDILQLSRRRSEILLGYLGVPAASSIGLNGVLPRENGPPEALQ
+>sp|A4IF94|MF14B_BOVIN Hippocampus abundant transcript-like protein 1 OS=Bos taurus OX=9913 GN=MFSD14B PE=2 SV=1
+MNAEPPEEKAASEAEAGAMPEKRAGSRAAGGNSLQGFGRPSVYHAAIVIFLEFFAWGLLT
+TSMLTVLHETFPQHTFLMNGLIQGVKGLLSFLSAPLIGALSDVWGRKPFLLGTVFFTCFP
+IPLMRISPWWYFAMISISGVFSVTFSVIFAYVADVTQEHERSTAYGWVSATFAASLVSSP
+AIGAYLSASYGDSLVVLVATVVALLDICFILLAVPESLPEKMRPLSWGARISWKQADPFA
+SLKKVGKDSTILLICITVFLSYLPEAGQYSSFFLYLRQVIGFGSIKIAAFIAMVGILSIV
+AQTVFLTSLMRSLGNKNTVLLGLGFQMFQLAWYGFGSQAWMMWAAGIVAAVSSITFPAVS
+TLVSQNADSNQQGVAQGIITGIRGLCNGLGPALYGFIFYMFHVELTELEPELISNNAALQ
+GAVIPGPPFLFGACIVFMSFLVAVFIPEYSKGGIQKHSNSISGSLANTPERGSDEDIEPL
+LQDSSIWELSSLEEPGHQCTEL
+>sp|Q3ZBH9|MCM7_BOVIN DNA replication licensing factor MCM7 OS=Bos taurus OX=9913 GN=MCM7 PE=2 SV=1
+MALKDYVLEKDKVKKFLQEFYQDDESGKKQFKYGNQLVQLAHREQVAMYVDLDDIAEDDP
+ELVDSICENTKRYARLFADAVQELLPQYKEREVVNKDVLDVYIEHRLMMEQRSRDPGAAR
+SPQNQYPPELMRRFELYFQGPSSNKPRVIREVRADSVGKLVTVRGIVTRVSEVKPRMVVA
+TYTCDQCGAETYQPIQSPTFMPLIMCPSQECQTNRSGGRLYLQTRGSKFIKFQEMKMQEH
+SDQVPVGNIPRSITVLVEGENTRIAQPGDHVSVTGIFLPILRTGFRQMVQGLLSETYLEA
+HRIVKMSKSEEDESGAGELTREELRQITEEDFYEKLAASIAPEIYGHEDVKKALLLLLVG
+GVDQSPRGMKIRGNINICLMGDPGVAKSQLLSYIDRLAPRSQYTTGRGSSGVGLTAAVLR
+DSVSGELTLEGGALVLADQGVCCIDEFDKMAEADRTAIHEVMEQQTISIAKAGILTTLNA
+RCSILAAANPAYGRYNPRRSLEQNIQLPAALLSRFDLLWLIQDRPDRDNDLRLAQHITYV
+HQHSRQPPAQFEPLDMKLMRRYIAMCREKQPAVPESLADYITAAYVEMRREAWASKDATY
+TSARTLLAILRLSTALARLRMVDTVEKEDVNEAIRLMEMSKDSLLGDKGQTARTQRPADV
+IFATVRELVSEGQSVRFSEAEQRCISRGFTPAQFQAALDEYEELNVWQVNTARTRITFV
+>sp|P67983|MT1A_BOVIN Metallothionein-1A OS=Bos taurus OX=9913 GN=MT1A PE=1 SV=1
+MDPNCSCPTGGSCSCAGSCTCKACRCPSCKKSCCSCCPVGCAKCAQGCVCKGASDKCSCC
+A
+>sp|Q3ZBA8|NDRG2_BOVIN Protein NDRG2 OS=Bos taurus OX=9913 GN=NDRG2 PE=2 SV=1
+MAELREVQITEEKPLLPGQTPEVAKTHSVETPYGSVTFTVYGTPKPKRPAILTYHDVGLN
+YKSCFQPLFQFADMQEIIQNFVRVHVDAPGMEEGAPVFPLGYQYPSLDQLADMIPCILQY
+LNFSTIIGIGVGAGAYVLSRYALTHPDTVEGLVLINIDPNAKGWMDWAAHKLTGLTSSIS
+EMILGHLFSQEELSGNSELIQKYRNIIAHAPNLDNIELYWNSYNNRRDLNFVRGGDTTLK
+CPVMLVVGDQAPHEDAVVECNSKLDPTQTSFLKMADSGGQPQLTQPGKLTEAFKYFLQGM
+GYMASSCMTRLSRSRTASLTSAASIDGNRSRSRTLSQSSESGTLSSGPPGHTMEVSC
+>sp|Q01061|PDE1B_BOVIN Calcium/calmodulin-dependent 3',5'-cyclic nucleotide phosphodiesterase 1B OS=Bos taurus OX=9913 GN=PDE1B PE=1 SV=1
+MELSPRSPPEMLESDCPSPLELKSAPSKKMWIKLRSLLRYMVKQLENGEVNIEELKKNLE
+YTASLLEAVYIDETRQILDTEDELQELRSDAVPSEVRDWLASTFTQQTRAKGPSEEKPKF
+RSIVHAVQAGIFVERMFRRTYTSVGPTYSTAVLNCLKNVDLWCFDVFSLNRAADDHALRT
+IVFELLTRHNLISRFKIPTVFLMTFLDALETGYGKYKNPYHNQIHAADVTQTVHCFLLRT
+GMVHCLSEIEVLAIIFAAAIHDYEHTGTTNSFHIQTKSECAILYNDRSVLENHHISSVFR
+MMQDDEMNIFINLTKDEFVELRALVIEMVLATDMSCHFQQVKSMKTALQQLERIDKSKAL
+SLLLHAADISHPTKQWSVHSRWTKALMEEFFRQGDKEAELGLPFSPLCDRTSTLVAQSQI
+GFIDFIVEPTFSVLTDVAEKSVQPTGDDDSKSKNQPSFQWRQPSLDVEVGDPNPDVVSFR
+STWTKYIQENKQKWKERAASGITNQMSIDELSPCEEEAPASPAEDEHNQNGNLD
+>sp|P21758|MSRE_BOVIN Macrophage scavenger receptor types I and II OS=Bos taurus OX=9913 GN=MSR1 PE=1 SV=1
+MAQWDDFPDQQEDTDSCTESVKFDARSVTALLPPHPKNGPTLQERMKSYKTALITLYLIV
+FVVLVPIIGIVAAQLLKWETKNCTVGSVNADISPSPEGKGNGSEDEMRFREAVMERMSNM
+ESRIQYLSDNEANLLDAKNFQNFSITTDQRFNDVLFQLNSLLSSIQEHENIIGDISKSLV
+GLNTTVLDLQFSIETLNGRVQENAFKQQEEMRKLEERIYNASAEIKSLDEKQVYLEQEIK
+GEMKLLNNITNDLRLKDWEHSQTLKNITLLQGPPGPPGEKGDRGPPGQNGIPGFPGLIGT
+PGLKGDRGISGLPGVRGFPGPMGKTGKPGLNGQKGQKGEKGSGSMQRQSNTVRLVGGSGP
+HEGRVEIFHEGQWGTVCDDRWELRGGLVVCRSLGYKGVQSVHKRAYFGKGTGPIWLNEVF
+CFGKESSIEECRIRQWGVRACSHDEDAGVTCTT
+>sp|Q2KID7|OSTC_BOVIN Oligosaccharyltransferase complex subunit OSTC OS=Bos taurus OX=9913 GN=OSTC PE=2 SV=1
+MESLYRVPFLVLECPNLKLKKPPWVHMPSAMTVYALVVVSYFLITGGIIYDVIVEPPSVG
+SVTDEHGHQRPVAFLAYRVNGQYIMEGLASSFLFTMGGLGFIILDRSNAPNIPKLNRFLL
+LFIGFVCVLLSFFMARVFMRMKLPGYLMG
+>sp|Q2KI62|PTCD3_BOVIN Pentatricopeptide repeat domain-containing protein 3, mitochondrial OS=Bos taurus OX=9913 GN=PTCD3 PE=1 SV=1
+MASVASARWLRVSCGLCVPLTARRAGPCGRTPSSRFYSGSAAVPKDEGADIAGTEEVVIP
+KKKTWDKVAVLQALASTVHRDTTAAPYAFQDDPYLIPTSSVESHSFLLAKKSGENAAKFI
+INSYPKYFQKDIAEPHIPCLMPEYFEPQIEEISEAALQERIKLKKVKASVDIFDQLLQAG
+TTVSLETTNSLLDLLCYYGNQEPSTNYNFQQHEQTEELEEAEEGDNMKSKKKAGHQLGVT
+WRARNHAERIFALMPEKNAHSYCTMIRGMVKHRAHTQALSMYTELLNNRLRADVHTFNSL
+IEATALVVNAKFEEKWNNILDLLKQMVAQNVKPNLQTFNTILKCLRRFYAFGKLPALQTF
+REMKAIGIEPSLATYHHIIQLFYQHESPSKGSSLIIYDIMDEITGKTFSPKDPDDDMFFQ
+SAMRVCSSLRDLELAYQVHGLLNTGDNRKFIGPDPRRNFYYSKFFSLLCLMEQIDVTLKW
+YKDLIPSVFFPHSQTLIDLLQALDVANRLEMIPQIWKDSKEYGHTFRSDLKEEILMLMAR
+DQHPPELQAAFADCAADIKSTYESQDARQTASEWPANSLNYIAILFLRAGRTQEAWKMLG
+LFRKHNKIPRNELLNEFMDSAKASSSPAQAVEVVKLANSFSLPICEGLTQRLTADFTLSQ
+EQKEALGDLTALTSDSESDSDSDTSKDK
+>sp|Q2YDE4|PSA6_BOVIN Proteasome subunit alpha type-6 OS=Bos taurus OX=9913 GN=PSMA6 PE=1 SV=1
+MSRGSSAGFDRHITIFSPEGRLYQVEYAFKAINQGGLTSVAVRGKDCAVIVTQKKVPDKL
+LDSSTVTHLFKITENIGCVMTGMTADSRSQVQRARYEAANWKYKYGYEIPVDMLCKRIAD
+ISQVYTQNAEMRPLGCCMILIGIDEEQGPQVYKCDPAGYYCGFKATAAGVKQTESTSFLE
+KKVKKKFDWTFEQTVETAITCLSTVLSIDFKPSEIEVGVVTVENPKFRILTEAEIDAHLV
+ALAERD
+>sp|Q3SZJ4|PTGR1_BOVIN Prostaglandin reductase 1 OS=Bos taurus OX=9913 GN=PTGR1 PE=2 SV=1
+MVHAKSWTLKKHFVGYPTNSDFELKTVELPPLKDGEVLLEALYLTVDPYMRIMAKSLKEG
+DMMMGEQVARVVESKNSAFPTGTIVLAPSGWTTHSISNGEKLEKVLAEWPDTLPLSLALG
+TVGMPGLTAYFGLLDICGVKGGETVLVSAAAGAVGSIVGQIAKLKGCKVVGTAGSDEKVA
+WLKKHGFDVALNYKTVKSLEEALKEAAPEGYDCYFDNVGGEFSNVAITQMKKFGRIAICG
+AISVYNRTSPLSPGPSPEIIIFKELHLQGFVVYRWQGEVRQKALRDLLKWVSEGKIQYHE
+HVTEGFENMPAAFIGLLKGENLGKAIVKA
+>sp|Q1LZF7|PTH1R_BOVIN Parathyroid hormone/parathyroid hormone-related peptide receptor OS=Bos taurus OX=9913 GN=PTH1R PE=2 SV=1
+MGAARIAPGLALLLCCPVLSSAYALVDADDVMTKEEQIFLLHRAQAQCEKRLKEVLQRPA
+DIMESDKGWASASTSGKPKKEKPSGKLHPESEEDKEVPTGSRPRGRPCLPEWDHILCWPL
+GAPGEVVAMPCPDYIYDFNHKGHAYRRCDRNGSWELVPGHNRTWANYSECVKFLTNETRE
+REVFDRLGMIYTVGYSVSLASLTVAVLILAYFRRLHCTRNYIHMHLFLSFMLRAVSIFVK
+DAVLYSGTALDEAERLTEEELRAIAQAPPPPAAAAGYVGCRVAVTFFLYFLATNYYWILV
+EGLYLHSLIFMAFFSEKKYLWGFTVFGWGLPAIFVAVWVSVRATLANTGCWDLSSGNKKW
+IIQVPILASIVLNFILFINIVRVLATKLRETNAGRCDTRQQYRKLLKSTLVLMPLFGVHY
+IVFMATPYTEVSGTLWQVQMHYEMLFNSFQGFFVAIIYCFCNGEVQAEIKKSWSRWTLAL
+DFKRKARSGSSSYSYGPMVSHTSVTNVGPRTGLGLPLSPRLLPAATTNGHPPLPGHTKSG
+SPALQATPPAVAAPKEDGFLNGSCSGLDEEACAPERPPVLLQEEWETVM
+>sp|Q3T166|MPTX_BOVIN Mucosal pentraxin OS=Bos taurus OX=9913 GN=MPTX PE=2 SV=1
+MEKLLLGVLLLAFLPEGMTQKDLRGKVFIFPEQSDTAYVTLIPRVRKPLRSFTLCLKAFT
+DLTRPYSLFSYSTKSKDNELLLFVNKVGEYELHIGNTKVTFKVPRPPYGPVHLCVSWESV
+SGIAELWMNSRPVGRKGLRRGYTLGQDARIILGQEQDSFGGKFDAKQSFVGEIWDVSLWD
+HVVSLKNLCFTCYTSNILNWKALIYQAKGYVVVKPKL
+>sp|Q2HJ75|RITA1_BOVIN RBPJ-interacting and tubulin-associated protein 1 OS=Bos taurus OX=9913 GN=RITA1 PE=2 SV=1
+MKTPVELAISGMQTLHVQHRGRSGYRVKVRPSYVDETLFGSPAGTRPVPPDFDPPWMKKA
+NRSRGVGTGVSQALGANGSCESTSSSGSTPTLTPRKKNKYRLISHTPSYCDESLFGSRQE
+GAGWEAKWMARGDAAKLHALFWTPPATPRGSHSPRPRETPVRCVHPADLSKTEHRVMASS
+RRLSVDGLDTPRPLRRERSHSLTHPNVPSTGHTPASSPCTSGPRDPRPAPSGVTFRSPLV
+TPRAGSVSVSVPTTPRQGGATQKTKPPWK
+>sp|Q5PXY7|RABP2_BOVIN Cellular retinoic acid-binding protein 2 OS=Bos taurus OX=9913 GN=CRABP2 PE=2 SV=3
+MPNFSGNWKIIRSENFEDLLKVLGVNVMLRKIAVAAASKPAVEIKQEGDTFYIKTSTTVR
+TTEINFKIGEEFEEQTVDGRPCKSLVKWESENKMVCEQRLLKGEGPKTSWTRELTNDGEL
+ILTMTADDIVCTRVYVRE
+>sp|Q0IIG8|RAB18_BOVIN Ras-related protein Rab-18 OS=Bos taurus OX=9913 GN=RAB18 PE=2 SV=1
+MDEDVLTTLKILIIGESGVGKSSLLLRFTDDTFDPELAATIGVDFKVKTISVDGNKAKLA
+IWDTAGQERFRTLTPSYYRGAQGVILVYDVTRRDTFVKLDNWLNELETYCTRNDIVNMLV
+GNKIDKENREVDRNEGLKFARKHSMLFIEASAKTCDGVQCAFEELVEKIIQTPGLWESEN
+QNKGVKLTHREEGQGGGACGGYCSVL
+>sp|Q3T003|RL18A_BOVIN 60S ribosomal protein L18a OS=Bos taurus OX=9913 GN=RPL18A PE=2 SV=1
+MKASGTLREYKVVGRCLPTPKCHTPPLYRMRIFAPNHVVAKSRFWYFVSQLKKMKKSSGE
+IVYCGQVFEKSPLRVKNFGIWLRYDSRSGTHNMYREYRDLTTAGAVTQCYRDMGARHRAR
+AHSIQIMKVEEIAASKCRRPAVKQFHDSKIKFPLPHRVLRRQHKPRFTTKRPNTFF
+>sp|Q3T114|RIDA_BOVIN 2-iminobutanoate/2-iminopropanoate deaminase OS=Bos taurus OX=9913 GN=RIDA PE=2 SV=3
+MSSLVRKIISTAKAPAAIGPYSQAVLVDRTIYISGQLGMDPASGQLVPGGVAEEAKQALT
+NIGEILKAAGCDFTNVVKATVLLADINDFSTVNDVYKQYFQSSFPARAAYQVAALPKGGR
+VEIEAIAVQGPLTTASL
+>sp|Q148E0|PXL2C_BOVIN Peroxiredoxin-like 2C OS=Bos taurus OX=9913 GN=PRXL2C PE=2 SV=1
+MAAPSEAPVTRQVSGHAAPAPVPSGPASWQPLAAAVAELPVLDASGRPVLFGELFRERRA
+IVVFVRHFLCYICKEYVEDLAKIPKSFLQEANVTLIVIGQSSYHHIEPFCKLTGYSHEIY
+VDPEREIYKRLGMKRGEEIASSGQSPHVKSNILSGSIRSLWRAVTGPLFDFQGDPAQQGG
+TLILGPGNNIHFIHHDRNRLDHKPINSVLQLVGVQHVDFTSRPSVIHV
+>sp|Q2HJI8|RAB8B_BOVIN Ras-related protein Rab-8B OS=Bos taurus OX=9913 GN=RAB8B PE=2 SV=1
+MAKTYDYLFKLLLIGDSGVGKTCLLFRFSEDAFNTTFISTIGIDFKIRTIELDGKKIKLQ
+IWDTAGQERFRTITTAYYRGAMGIMLVYDITNEKSFDNIKNWIRNIEEHASSDVERMILG
+NKCDMNDKRQVSKERGEKLAIDYGIKFLEASAKSSMNVEEAFFTLARDIMTKLNRKMNDS
+NSSGAGGPVKITENRSKKTSFFRCLLL
+>sp|Q2TBL4|RPC3_BOVIN DNA-directed RNA polymerase III subunit RPC3 OS=Bos taurus OX=9913 GN=POLR3C PE=2 SV=1
+MTQAEIKLCSLLLQEHFGEIVEKIGVHLIRTGSQPLRVIAHDTGTSLDQVKKALCVLIQH
+NLVTYQVHKRGVVEYEAQCNRVLRMLRYPRYIYTAKTLYSDTGELIVEELLLNGKMTMSA
+VVKKVADRLTETMEDGKTMDYAEVSNTFVRLVDTHFVQRCPLVPATENSDTGPPPPAPTL
+VVSEKDMYLVPKLSLIGKGKRRRSSDEDATGEPKAKRPKQTTDNKEPIPDDGIYWQANLD
+RFHQHFRDQAIVSAVANRMDQTSSEIVRTMLRMSEVTTPSGAPFTQPLSSNEIFRSLPVG
+YNISKQVLDQYLTLLADDPLEFVGKSGDSGGGMYVINLHKALGSLATATLESVVQERFGS
+RCARIFRLVLQKKHLEQKQVEDFAMIPAKEAKDMLYKMLSENFISLQEIPKTPDHAPSRT
+FYLYTVNILSAARMLLHRCYKSVANLIERRQFETKENKRLLEKSQRVEAIIASMQATGAE
+EAQLQEIEEMITAPERQQLETLKRNVNKLDACEIQVDETIFLLESYIESTMKR
+>sp|A5D7J5|RHOU_BOVIN Rho-related GTP-binding protein RhoU OS=Bos taurus OX=9913 GN=RHOU PE=2 SV=1
+MPPQQGDPAFPGRCEAPPVPPRRERGVRGPGAPGGRGRAGGPEGHGVKCVLVGDGAVGKT
+SLVVSYTTNGYPTEYIPTAFDNFSAVVSVDGRPVKLQLCDTAGQDEFDKLRPLCYTNADI
+FLLCFSVVGPSSFQNVSEKWVPEIRCHCPKAPIILVGTQSDLREDVKVLIELDKCKEKPV
+PEEAARLCAEEIKATSYIECSALTQKNLKEVFDAAIVAGIQYSDSQQQPKKSKSRTPDKM
+KTLSKSWWKKYCCFV
+>sp|Q3MIC0|RL37A_BOVIN 60S ribosomal protein L37a OS=Bos taurus OX=9913 GN=RPL37A PE=3 SV=3
+MAKRTKKVGIVGKYGTRYGASLRKMVKKIEISQHAKYTCSFCGKTKMKRRAVGIWHCGSC
+MKTVAGGAWTYNTTSAVTVKSAIRRLKELKDQ
+>sp|P80929|ANG2_BOVIN Angiogenin-2 OS=Bos taurus OX=9913 GN=ANG2 PE=1 SV=1
+QNDAYRGFLRKHYDPSPTGHDDRYCNTMMERRNMTRPCKDTNTFIHGNSDDIRAVCDDRN
+GEPYRNGLRRSRSPFQVTTCRHRGGSPRPPCRYRAFRANRVIVIRCRDGFPIHLEENFIP
+PRP
+>sp|P04038|COX6C_BOVIN Cytochrome c oxidase subunit 6C OS=Bos taurus OX=9913 GN=COX6C PE=1 SV=2
+MSTALAKPQMRGLLARRLRFHIVGAFMVSLGFATFYKFAVAEKRKKAYADFYRNYDSMKD
+FEEMRKAGIFQSAK
+>sp|A4FV68|2A5E_BOVIN Serine/threonine-protein phosphatase 2A 56 kDa regulatory subunit epsilon isoform OS=Bos taurus OX=9913 GN=PPP2R5E PE=1 SV=1
+MSSAPTTPPSVDKVDGFSRKSVRKARQKRSQSSSQFRSQGKPIELTPLPLLKDVPSSEQP
+ELFLKKLQQCCVIFDFMDTLSDLKMKEYKRSTLNELVDYITISRGCLTEQTYPEVVRMVS
+CNIFRTLPPSDSNEFDPEEDEPTLEASWPHLQLVYEFFIRFLESQEFQPSIAKKYIDQKF
+VLQLLELFDSEDPRERDYLKTVLHRIYGKFLGLRAFIRKQINNIFLRFVYETEHFNGVAE
+LLEILGSIINGFALPLKAEHKQFLVKVLIPLHTVRSLSLFHAQLAYCIVQFLEKDPSLTE
+PVIRGLMKFWPKTCSQKEVMFLGELEEILDVIEPSQFVKIQEPLFKQIAKCVSSPHFQVA
+ERALYYWNNEYIMSLIEENSNVILPIMFSSLYRISKEHWNPAIVALVYNVLKAFMEMNST
+MFDELTATYKSDRQREKKKEKEREELWKKLEDLELKRGLRRDGIIPT
+>sp|Q3T0V9|DEOC_BOVIN Deoxyribose-phosphate aldolase OS=Bos taurus OX=9913 GN=DERA PE=2 SV=1
+MSAHQQGTELDLSWISKIQVNKPAVLRRAEQIQARRPVKKEWQAAWLLKAVTCIDLTTLS
+GDDTASNIQRLCYKAKYPIREDLLKALNMHDKGITTAAVCVYPARVCDAVRALKAAGCDI
+PVASVATGFPAAQTHLKTRLEEIRLAVEDGATEIDVVINRTLVLTGQWKALYDEIRQFRK
+ACGEAHLKTILATGELGSLTNVYKASMIAMMAGSDFIKTSTGKETVNATFPVAIVMLRAI
+RDFFWKTGNKVGFKPAGGIRSAKDSLVWLSLIKEELGDEWMKPELFRIGASTLLADIERQ
+IYHHVTGRYAAYHDLPMS
+>sp|A5PKK9|FAP20_BOVIN Fanconi anemia core complex-associated protein 20 OS=Bos taurus OX=9913 GN=FAAP20 PE=2 SV=2
+MEATRRSRLSLSRRRPPLGVRPRSNTAGSLPDGGECAKLWTELLRTASADLNVDGELPPL
+PAFPDQEPRRSPERPPPETFTVGTETFFWTPFPAPSPGRGGNSGGSDLVLSAVRGRTGSP
+QQYAAPELRGTPSAEEQPSEEQPSQRQSSVDGAMTLQSCPMCQVDFAPGLAQLDIDGHLA
+QCLADSTDDIEW
+>sp|A4IF87|GNPAT_BOVIN Dihydroxyacetone phosphate acyltransferase OS=Bos taurus OX=9913 GN=GNPAT PE=2 SV=1
+MDHSSSSNSCFSVGSTSPGAVVLLYSKELKKWDEFEDVLEERRHVSDLKFAMKCYTPLVY
+KGITPCKPSDIKCSVLNSEEIHYVIKQLSKESLQPVEVLREEACEILDEMSHKLRLGAIR
+FFAFALSKIFKQIFSKVRVNEEGIQKLQRAIQEHPVVLLPSHRSYIDFLMLSFLLYNYDL
+PVPVIAAGMDFLGMKMVGELLRMSGAFFMRRTFGGNKLYWAVFSEYVKTMLRNGYAPVEF
+FLEGTRSRSAKTLTPKFGLLNIVMEPFFKREVFDTYLVPISISYDRILEETLYAYELLGV
+PKPKESTTGLLKARRILSEKFGNIHVYFGDPVSLRSLAAGRMGRSPYNLVPRYIPQKQSE
+EMHTFVTEVAYKMQLLQIQNLVLSPWPLIVAVLLQNRPSIDFDALLEKTLWLKGLTQAFG
+GFLTWPDNEPAEAVIQSSILLHSNIVSLVKDRVILKMECGDSELVDGLIFQHITLLMCLA
+YRNQLLNVFVRPSLVAMALQMTPGFRKEDVYSCFRFLCSVFSDEFIFLPGNALKDFEEGC
+YLLCKSEAIQVMTRDILVTEKGNSVLEFLIGLFKPFVESYQIICKYLLNEEEDYFTEKQY
+FIRVRKFTSQLLDQGASQCYDVLSSDVQKNALAAFVRLGVVEKKKVNNDYIFNVNEPATT
+KLEEMLGCKTPVGKPATAKL
+>sp|Q3ZBV2|DD19A_BOVIN ATP-dependent RNA helicase DDX19A OS=Bos taurus OX=9913 GN=DDX19A PE=2 SV=1
+MATDSWALAVDEQEAAVKSMSNLQIKEEKVKPDTNGVIKTNATPEKTDEEEKEDRAAQSL
+LNKLIRSNLVDNTNQVEVLQRDPNSPLYSVKSFEELRLKPQLLQGVYAMGFNRPSKIQEN
+ALPMMLAEPPQNLIAQSQSGTGKTAAFVLAMLSRVEPAERYPQCLCLSPTYELALQTGKV
+IEQMGKFHPELKLAYAVRGNKLERGQKISEHIVIGTPGTVLDWCSKLKFIDPKKIKVFVL
+DEADVMIATQGHQDQSIRIQRMLPRNCQMLLFSATFEDSVWKFAQKVVPDPNIIKLKREE
+ETLDTIKQYYVLCNSRDEKFQALCNIYGAITIAQAMIFCHTRKTASWLAAELSKEGHQVA
+LLSGEMVVEQRAAVIERFREGKEKVLVTTNVCARGIDVEQVSVVINFDLPVDKDGNPDNE
+TYLHRIGRTGRFGKRGLAVNMVDSKHSMNILNRIQEHFNKKIERLDTDDLDEIEKIAN
+>sp|P42659|DPP6_BOVIN Dipeptidyl aminopeptidase-like protein 6 OS=Bos taurus OX=9913 GN=DPP6 PE=1 SV=1
+MASLYQRFTGKINTSRSFPAPPEASRLLGGQGPEEDGAGPKPLGAQAPAAAPRERGGGGG
+AGGRPRFQYQARSDCDDEDELVGSNPPQRNWKGIAIALLVILVICSLIVTSVILLTPAED
+NSLSQKKKVTVEDLFSEDFKIHDPEAKWISDKEFIYREQKGSVILRNVETNTSTVLIEGK
+KIESLRAIRYEISPDREYALFSYNVEPIYQHSYTGYYVLSKIPHGDPQSLDPPEVSNAKL
+QYAGWGPKGQQLIFIFENNIYYCAHVGKQAIRVVSTGKEGVIYNGLSDWLYEEEILKTHI
+AHWWSPDGTRLAYATINDSRVPVMELPTYTGSVYPTAKPYHYPKAGCENPSISLHVIGLN
+GPTHDLEMTPPDDPRMREYYITMVKWATSTKVAVNWLSRAQNVSILTLCDATTGVCTKKH
+EDESEAWLHRQNEEPVFSKDGRKFFFVRAIPQGGQGKFYHITVSSSQPNSSNDNIQSITS
+GDWDVTKILSYDEKRSQIYFLSTEDLPRRRQLYSASTVGSFNRQCLSCDLVDNCTYFSAS
+FSPGADFFLLKCEGPGVPTVSVHNTTDKKKMFDLETNEHVQKAISDRQMPKVEYRKIETD
+DYNLPIQILKPATFTDTAHYPLLLVVDGTPGSQSVAEKFAVTWETVMVSSHGAVVVKCDG
+RGSGFQGTRLLHEVRRRLGSLEEKDQMEAVRVMLKEPYIDKTRVAVFGKDYGGYLSTYLL
+PAKGDGQAPVFSCGSALSPITDFKLYASAFSERYLGLHGLDNRAYEMAKVAHRVSALEGQ
+QFLVIHATADEKIHFQHTAELITQLIKGKANYSLQIYPDESHYFSSAALQQHLHRSILGF
+FVECFRIQDKLPAVTAREDEEED
+>sp|A6QQ71|FKBP6_BOVIN Inactive peptidyl-prolyl cis-trans isomerase FKBP6 OS=Bos taurus OX=9913 GN=FKBP6 PE=2 SV=1
+MSSSRPLNGLSRLDAEDRSPYQRLSQRMLDISGDRGVLKDVIREGAGELVTPDASVLVKY
+SGYLEHMDKPFDSNCFRKTPRLMKLGEDITLWGMELGLLSMRRGELARFLFKPTYAYGTL
+GCPPLIPPNTTVLFEIELLDFLDSAESDKFCALSAEQQSQFPLQKVLKVAATEREFGNYL
+FRQNRFYDAKVRYKRALLLLHRRTAPPEEQHLVETAKLLVFLNLSFTYLKLERPTMALRY
+GEQALIIDRKNAKALFRCGQACLLMTEYQKARDFLVRAQREQPFNHDINNELKKLASYYR
+DYMDKEREMCHRMFASGDNGSTVGEN
+>sp|Q32PG9|FXL12_BOVIN F-box/LRR-repeat protein 12 OS=Bos taurus OX=9913 GN=FBXL12 PE=2 SV=1
+MATFADLPDSVLLEIFSYLPVRDRIRISRVCHHWKKLVDDRWLWRHVDLTLYTMRPKVMW
+HLLRRYMASRLHSLRMGGYLFSGSQAPQLSPALMRALGQKCPNLKRLCLHVANLSMVPIT
+SLPCTLRTLELHSCEISMAWLHKEQDPTVLPLLECIVLDRVPAFRDEHLQGLTRFRALRS
+LVLGGTYRVTETGLDMGLQELNYLQRLEVLGCTLSADSTLLAISRHLRDVRKIRLTVRGL
+SAPGLSVLEGMPALESLCLLGPLVTPEMPSPQEILASCLTMPKLRVLELQGLGWEGQEAE
+RILSKGLPHCMVIVRALPKESMDWWM
+>sp|P0DPE1|EFMT4_BOVIN EEF1A lysine methyltransferase 4 OS=Bos taurus OX=9913 GN=EEF1AKMT4 PE=2 SV=1
+MACLGPSAQVPELPEKNCGYREVQYWDQRYQGAADSAPYEWFGDFSCFRDLLEPELRPLD
+RILVLGCGNSALSYEIFLGGFPDVTSVDYSSVVVAAMRARYAHVPTLRWETMDVRALGFP
+SGSFDVVLEKGTLDALLTGEQDPWTVSSEGVHTVDQVLNEVSRVLVPAGRFISLTSAAPH
+FRTRHYAQAHYGWSLRHATYGNGFQFHFYLMQKGKELSVAQLAVGAQILSPPRPPTPSCF
+LQDSDHEDFLSAIQL
+>sp|P63217|GBG5_BOVIN Guanine nucleotide-binding protein G(I)/G(S)/G(O) subunit gamma-5 OS=Bos taurus OX=9913 GN=GNG5 PE=1 SV=1
+MSGSSSVAAMKKVVQQLRLEAGLNRVKVSQAAADLKQFCLQNAQHDPLLTGVSSSTNPFR
+PQKVCSFL
+>sp|Q56JZ5|EIF3H_BOVIN Eukaryotic translation initiation factor 3 subunit H OS=Bos taurus OX=9913 GN=EIF3H PE=2 SV=1
+MASRKEGTGSSATSSSSTTGAAGKGKGKGGSGDSAVKQVQIDGLVVLKIIKHYQEEGQGT
+EVVQGVLLGLVVEDRLEITNCFPFPQHTEDDADFDEVQYQMEMMRSLRHVNIDHLHVGWY
+QSTYYGSFVTRALLDSQFSYQHAIEESVVLIYDPIKTAQGSLSLKAYRLTPKLMEVCKEK
+DFSPEALKKGNITFEHMFEEVPIVIKNSHLINVLMWELEKKSAVADKHELLSLASSNHLG
+KTLQLLMDRVDEMSQDIVKYNTYMRNTSKQQQQKHQYQQRRQQENMQRQSRGEPPLPEED
+LSKLFKPHQPPARMDSLLIAGQINTYCQNIKEFTAQNLGKLFMAQALQEYNS
+>sp|Q3T197|CX056_BOVIN UPF0428 protein CXorf56 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MPKVVSRSVVCSDTRDREEYDDGEKPLHVYYCLCGQMVLVLDCQLEKLPMRPRDRSRVID
+GAKHAHKFCNTEDEETIYLRRPEGIERQYRKKCAKCGLPLFYQSQPKNAPVTFIVDGAVV
+KFGQGFGKTNIYTQKQEPPKKVMMTKRTKDMGKFSSVTVSTIDEEEEEIEAREVADSYAQ
+NAKVIEKQLERKGMSKRRLQELAELEAKKAKMKGTLIDNQFK
+>sp|P25285|GCST_BOVIN Aminomethyltransferase, mitochondrial OS=Bos taurus OX=9913 GN=AMT PE=1 SV=1
+MVSRLSSRLQALASAPCRSLSCAQDVLHRTPLYDFHLAHGGKMVAFAGWSLPVQYRDSHV
+NSHLHTRQHCSLFDVSHMLQTKIFGCDRVKLMESLVVGDIAELKPNQGTLSLFTNEAGGI
+LDDLIVTSASEGHLYVVSNAGCREKDLTLMQDKVRELQNKGSDVALEVMDNALLALQGPT
+AAQVLQAGVADDLRKLPFMTSAVMEVFGVSGCRVTRCGYTGEDGVEISVPAAEAVHLAAA
+LLKNPEVKLAGLAARDSLRLEAGLCLYGNDIDEHTTPVEGSLSWTLGKRRRAAMDFPGAS
+VIVPQLKSKAQRRRVGLMCDGAPVRAQSPILSPEGTVIGAVTSGCPSPCLKKNVAMGYVP
+YEYSRPGTPLLVEVRRKQQPAVVSKMPFVSTNYYILK
+>sp|E1BP36|MMS19_BOVIN MMS19 nucleotide excision repair protein homolog OS=Bos taurus OX=9913 GN=MMS19 PE=2 SV=3
+MAAAAALEAVAPLGTLWGLVQDFVMGQQEGPADQVAADVKSGSYTVLQVVEALGSSLENP
+EPRTRARGIQLLSQVLLQCHSLLLEKEVVHLILFYENRLKDHHLVIPSVLQGLRALSLCV
+TLPPGLAVSVLKAIFQEVHVQSLPQVDRHTVYSIITNFMRAREEELKGLGADFTFGFIQV
+MDGEKDPRNLLVAFHIVYDLISRDYSLGPFVEELFEVTSCYFPIDFTPPPNDPHGIQRED
+LILSLRAVLASTPRFAEFLLPLLIEKVDSEILSAKLDSLQTLNACCAVYGQKELKDFLPS
+LWASIRREVFQTASERVEAEGLAALNSLTACLSRSVLRADAEDLLDSFLSNILQDCRHHL
+CEPDMKLVWPSAKLLQAAAGASARACDHVTSNVLPLLLEQFHKHSQSNQRRTILEMILGF
+LKLQQKWSYEDKDERPLSGFKDQLCSLMFMALTDPNTQLQLVGIRTLTVLGAQPDLLSSG
+DLELAVGHLYRLSFLEEDSQSCRVAALEASGTLATLYPMAFSSHLVPRLAEDLCTEESDL
+ARADGPTRCSRHPRCLQALSAISTHPSIVKETLPLLLQHLCQMNRGSVSPGTSEVIAVCQ
+SLQQVAENCQRDPESCWYFHQTAVPCLLALVVQASAPEKEHSVLKKVLLEDEVLATMASV
+IATATTHLSPDLASQSVAHIVPLFLDGNISFLPENSFSGRFQPFQDGSSGQRRLVALLMA
+FVCSLPRNVEIPQLNRLMGELLELSCCQSCPFSSTAAAKCFAGLLNKHPAGQQLDEFLQL
+AVDKVEAGLSSGPCRSQAFTLLLWVTKALVLRYHPLSSCLTERLMGLLSDPELGPAAADG
+FSLLMSDCTDVLTRAGHAEVRIMFRQRFFTDNVPALVRGFHAAPQDVKPNYLKGLSHVLN
+RLPKPVLLPELPTLLSLLLEALSCPDSVVQLSTLSCLQPLLLEAPQVMSLHVDTLITKFL
+NLSASPSMAVRIAALQCMHALTRLPTPVLLPYKPQVIRALAKPLDDKKRLVRKEAVSARG
+EWFLLGSPGS
+>sp|Q6VE48|MCP_BOVIN Membrane cofactor protein OS=Bos taurus OX=9913 GN=CD46 PE=1 SV=2
+MRASCTPLKAPLRRPERLASSGRFAWVLLLAPLLLLPTSSDACDDPPRFVSMKPQGTLKP
+SYSPGEQIVYECHLGFQPVTPGQVLALVCQDNNTWSSLQEGCKKRRCPTLADPTNGQVIL
+VNGSTEFGSEVHYVCNNGYYLLGTNISYCEVSSGTGVNWSDNPPTCEKILCQPPPEIQNG
+KYTNSHKDVFEYNEVVTYSCDPSNGPDEYSLVGESKLTCIGNGEWSSQPPQCKVVKCVYP
+AIEHGTIVSGFGPKYYYKATVVLKCNEGFNLYGNSVVVCGENSTWEPELPKCIKGHPPRP
+TDASPPNGAEGLGAGYIVLVIVAVLIGVGLLLCLYCCFCRQRKKGIYVTGESHRQDILFS
+L
+>sp|Q2KJJ0|PRP6_BOVIN Pre-mRNA-processing factor 6 OS=Bos taurus OX=9913 GN=PRPF6 PE=2 SV=1
+MNKKKKPFLGMPAPLGYVPGLGRGATGFTTRSDIGPARDANDPVDDRHAPPGKRTVGDQM
+KKSQAADDDDEDLNDTNYDEFNGYAGSLFSSGPYEKDDEEADAIYAALDKRMDERRKERR
+EQREKEEIEKYRMERPKIQQQFSDLKRKLAEVTEEEWLSIPEVGDARNKRQRNPRYEKLT
+PVPDSFFAKHLQTGENHTSVDPRQTQFGGLNTPYPGGLNTPYPGGMTPGLMTPGTGELDM
+RKIGQARNTLMDMRLSQVSDSVSGQTVVDPKGYLTDLNSMIPTHGGDINDIKKARLLLKS
+VRETNPHHPPAWIASARLEEVTGKLQVARNLIMKGTEMCPKSEDVWLEAARLQPGDTAKA
+VVAQAVRHLPQSVRIYIRAAELETDIRAKKRVLRKALEHVPNSVRLWKAAVELEEPEDAR
+IMLSRAVECCPTSVELWLALARLETYENARKVLNKARENIPTDRHIWITAAKLEEANGNT
+QMVEKIIDRAITSLRANGVEINREQWIQDAEECDKAGSVATCQAVMRAVIGIGIEEEDRK
+HTWMEDADSCVAHNALECARAIYAYALQVFPSKKSVWLRAAYFEKNHGTRESLEALLQRA
+VAHCPKAEVLWLMGAKSKWLAGDVPAARSILALAFQANPNSEEIWLAAVKLESENNEYER
+ARRLLAKARSSAPTARVFMKSVKLEWVLGNLVAAQELCEEALKHYEDFPKLWMMKGQIEE
+QEELVEKAREAYNQGLKKCPHSTPLWLLLSRLEEKVGQLTRARAILEKSRLKNPKNPGLW
+LESVRLEYRAGLKNIASTLMAKALQECPNSGVLWSEAIFLEARPQRKTKSVDALKKCEHD
+PHVLLAVAKLFWSERKITKAREWFHRTVKIDSDLGDAWAFFYKFELQHGTEEQREEVRRR
+CENAEPRHGELWCATSKDIANWQRKIGEILVLVAARIKNTF
+>sp|Q1LZ94|RBTN2_BOVIN Rhombotin-2 OS=Bos taurus OX=9913 GN=LMO2 PE=2 SV=1
+MSSAIERKSLDPSEEPVDEVLQMPPSLLTCGGCQQNIGDRYFLKAIDQYWHEDCLSCDLC
+GCRLGEVGRRLYYKLGRKLCRRDYLRLFGQDGLCASCDKRIRAYEMTMRVKDKVYHLECF
+KCAACQKHFCVGDRYLLINSDIVCEQDIYEWTKINGMI
+>sp|Q0P5J9|RPR1A_BOVIN Regulation of nuclear pre-mRNA domain-containing protein 1A OS=Bos taurus OX=9913 GN=RPRD1A PE=2 SV=2
+MSAFSEAALEKKLSELSNSQQSVQTLSLWLIHHRKHSRPIVTVWERELRKAKPNRKLTFL
+YLANDVIQNSKRKGPEFTKDFAPVIVEAFKHVSSETDESCKKHLGRVLSIWEERSVYEND
+VLEQLKQALYGDKKPRKRTYEQIKVDENENCSSLGSPSEPPQTLDLVRALQDLENAASGD
+AAVHQRIASLPVEVQEVSLLDKITDKESGERLSKMVEDACMLLADYNGRLAAEIDDRKQL
+TRMLADFLRCQKEALAEKEHKLEEYKRKLARVSLVRKELRSRIQSLPDLSRLPNVTGSHM
+HLPFAGDIYSED
+>sp|Q0VCQ4|RRFM_BOVIN Ribosome-recycling factor, mitochondrial OS=Bos taurus OX=9913 GN=MRRF PE=2 SV=1
+MALGLRCFRLVHPAFCNSLAALTRPVSEVTLQTVRGRQNDHGQCMAYAAVPVRHFATKKA
+KAKGKGQSQTRMNLNTALVEDIINLEEVDEEMKSVIEALKDNFNKTVNIRTSPGALDNIT
+VVTADGKLPLNQISQISMKSPQLILVNMASFPECTAAAIKAIRESGMNLNPEVEGTLIRV
+PIPKVTREHREMLVKLAKQNTNKAKDSLRKVRTNAINKVKKSKDKASEDTIRLIEKQISQ
+MADDTVAELDRHLAVKTKELLG
+>sp|Q8MKI3|TNNT3_BOVIN Troponin T, fast skeletal muscle OS=Bos taurus OX=9913 GN=Tnnt3 PE=2 SV=1
+MSDEEVEHVEEEYEEEEEAQEEAPPPPAEVPEVHEEVHEVHEPEEVQEEEKPRPRLTAPK
+IPEGEKVDFDDIQKKRQNKDLMELQALIDSHFEARKKEEEELVALKERIEKRRAERAEQQ
+RIRAEKERERQNRLAEEKARREEEDAKRRAEDDLKKKKALSSMGANYSSYLAKADQKRGK
+KQTAREMKKKVLAERRKPLNIDHLSEDKLRDKAKELWDTLYQLETDKFEYGEKLKRQKYD
+ITNLRSRIDQAQKHSKKAGTAPKGKVGGRWK
+>sp|A0JND4|SBNO2_BOVIN Protein strawberry notch homolog 2 OS=Bos taurus OX=9913 GN=SBNO2 PE=2 SV=1
+MLAVGPAMDGELPPHEAPPAGSVLYSPPPLQTPLCGPSVQDTMLHYPWWNSFSPTTYPAF
+SSESHQFMNSSFIMGQPCTDPSYGPAATAPSFPPKSSDFPQDSSYLDDLSNASIFSSSVD
+SLSDIADTPDFPPADSLSQVPTIWDVSTGPSAHDKLFPPSGTFTGLEDPVSSLSSTPLLI
+SYQAQSQPEEDDEAEEDEAEELGHAETYADYVPSKSKIGRQHPDRVVETSTLSSVPPPDI
+TYTLALPSSDSGALSALQLEAITYACQQHEVLLPSGQRAGFLIGDGAGVGKGRTVAGVIL
+ENYLRGRKKALWFSVSNDLKYDAERDLRDIAAPGIAVHALSKIKYGDNTTSEGVLFATYS
+ALIGESQAGGQHRTRIRQILEWCGEAFDGVIVFDECHKAKNASSTKMGKAVLDLQNKLPL
+ARVVYASATGASEPRNMIYMSRLGIWGEGTPFRTFEEFLHAIEKRGVGAMEIVAMDMKVS
+GMYIARQLSFSGVTFRIEEIPLTPAFERIYNRAALLWAEALGVFQQAADWIGLESRKSLW
+GQFWSAHQRFFKYLCVAAKVHRLVELAQEELAQDKCVVIGLQSTGEARTREVLGEKEGQL
+DGFVSAAEGVFLSLIQKHFPSTKRRRERGAGSKRKRRPRGRGAKAPRLVYEAAGVIRISD
+DSSTESDGGLDSDFHSSPESLLDDDVVIVDAIGLPADDRGPLCPPQRDLHGPGVLERVER
+LKQDLLAKVQALGRELPVNTLDELIDQLGGPECVAEMTGRKGRVVSRPDGTVAFESRAEQ
+GLSIDHVNLREKERFMSGEKLVAIISEASSSGVSLQADRRVQNQRRRVHMTLELPWSADR
+AIQQFGRTHRSNQVSAPEYIFLISELAGERRFASIVAKRLESLGALTHGDRRATESRDLS
+KYNFENKYGARALSCVLTTILSQTESKVPLPQGYPGGDAAFFRDMKQGLLSVGIGGRESR
+SGCLDVEKDCSISKFLNRILGLEVHKQNALFQYFSDTFDHLIAADKREGKYDMGILDLAP
+GIDEIYEESQQVFLAPGHPQDGQVVFYKISVDRGLKWEEAYARSLELTGTHDGFYLSYKV
+RGNKPSCLLAQQNRGKLFTVYKPNIGRQSQLESLDSLSRRFRRVTAEEAREPWESSYTFS
+LTHCSHTTWNRHCRLVQEGKDCAQGLRLRHHYMLCGALLRVWGRIAAVMADVTSSSYLQI
+VRLKTKDKKKQVGIKIPEVCVRRVLQELQLMDADVKRKQARTRGLLAPPSTPRPLALPFG
+PGEVLDLTYSPPAQAFPAPSPFTFPALGPGPGGQLLGAPDTPDAPADPVALLHQGCEINF
+KEVLEDMLRSLNAAPPAEPPGPLGPLGAGAAGAPAGGAPERQSVIQFSPPFPNS
+>sp|Q1HE26|SMAD4_BOVIN Mothers against decapentaplegic homolog 4 OS=Bos taurus OX=9913 GN=SMAD4 PE=2 SV=1
+MDNMSITNTPTSNDACLSIVHSLMCHRQGGESETFAKRAIESLVKKLKEKKDELDSLITA
+ITTNGAHPSKCVTIQRTLDGRLQVAGRKGFPHVIYARLWRWPDLHKNELKHVKYCQYAFD
+LKCDSVCVNPYHYERVVSPGIDLSGLTLQSNAPPSMLVKDEYVHDFEGQPSLSTEGHSIQ
+TIQHPPSNRASTETYSTPALLAPSESNATSTTNFPNIPVASTSQPASILAGSHSEGLLQI
+ASGPQPGQQQNGFTGQPATYHHNSTTTWTGGRTAPYTPNLPHHQNGHLQHHPPMPPHPGH
+YWPPVHNELAFQPPISNHPAPEYWCSIAYFEMDVQVGETFKVPSSCPIVTVDGYVDPSGG
+DRFCLGQLSNVHRTEAIERARLHIGKGVQLECKGEGDVWVRCLSDHAVFVQSYYLDREAG
+RAPGDAVHKIYPSAYIKVFDLRQCHRQMQQQAATAQAAAAAQAAAVAGNIPGPGSVGGIA
+PAISLSAAAGIGVDDLRRLCILRMSFVKGWGPDYPRQSIKETPCWIEIHLHRALQLLDEV
+LHTMPIADPQPLD
+>sp|A8E4M4|MCRI1_BOVIN Mapk-regulated corepressor-interacting protein 1 OS=Bos taurus OX=9913 GN=MCRIP1 PE=3 SV=1
+MTSSPVSRVVYNGKRNSSHRSPPNSSEIFTPAHEENVRFIYEAWQGVERDLRSQMSGSER
+GLVEEYVEKVPNPSLKTFRPIDLSDLKRRNTQDAKKS
+>sp|Q1RMQ4|LYNX1_BOVIN Ly-6/neurotoxin-like protein 1 OS=Bos taurus OX=9913 GN=LYNX1 PE=3 SV=1
+MTPLLALFLVALVGLPVAQALDCHVCAYNGENCFNPMRCPAMVSYCMTTRTYYTPTRMKV
+SKSCVPSCFETVYDGYSKHASTTSCCQYDLCNGAGLATPATLALALILLATLWGLF
+>sp|Q32LA7|H2AV_BOVIN Histone H2A.V OS=Bos taurus OX=9913 GN=H2AFV PE=2 SV=3
+MAGGKAGKDSGKAKAKAVSRSQRAGLQFPVGRIHRHLKTRTTSHGRVGATAAVYSAAILE
+YLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDSLIKATIAGGGVIPHIHKSLIG
+KKGQQKTA
+>sp|A5PKL6|GSTCD_BOVIN Glutathione S-transferase C-terminal domain-containing protein OS=Bos taurus OX=9913 GN=GSTCD PE=2 SV=1
+MKGIKKSLTEEYLYLDFSHQKEGCIFPLHTSVTLFLLSYCDCTVFKVFLVLPGESTGISL
+LKNVPSEGVEIQTISRQELPPIVQSCCLPAVVERPDNFCRAGLAVVLRHIIQKSYEADPS
+KKEILELLGFKKTCLKACAEVSQWTRLCELTVPLAVENFLKESFDQPPTIPAAILELEKK
+LSEPVRVHNDDKLRRQKLKQQKAAGVGPSLAKEKTKSKGHRQETSEEGDSSSASLELKVA
+FSKLTIHKEPASANREPSYVRKAKASDLPPLDHVFAEGLYFTLADIVLLPCIHHFLVIIC
+RKLSGKLVEFPLVAAWYQRIQEVPRVQTAASQCGIQFISLPELQTTSSQQPPNLDEAPSA
+EEQNDPSFIGGPRPTMTKLMEKGIEVMFSPHPCPTWTLDWDTLPAAVSPKEGKMSSDRAL
+RKQQQLNNLVYMVTNQAKPGDRIVDFCSGGGHVGIVLAHVLPSCQVILIENKELSLIRAK
+KRSDELGLSNIWFIQANMEYFTGMFNIGVALHACGVATDMVIEHCLKTRASFVTCPCCYG
+FIQNTSKVNFPKSELFKKTLSYKEHMILCRFADQTAVQLPPQRRLIGKQCMCLVDLDRAR
+AAEEHGFSVQVISMEPESCSPKNNMIVGVPI
+>sp|Q1LZB4|IFT46_BOVIN Intraflagellar transport protein 46 homolog OS=Bos taurus OX=9913 GN=IFT46 PE=2 SV=1
+MADNSSDEYEDENNKEKKKTSQLTPQRGFSENDDDDDDDDSSETDSDDDDEEHGAPLEGA
+YDPADYEHLPVSAEVKELFQYISRYTPQLIDLDHKLKPFIPDFIPAVGDIDAFLKVPRPD
+GKPDNLGLLVLDEPSTKQSDPTVLSLWLTENSKQHNITQHMKVKSLEDAEKNPKAIDTWI
+ESISELHRSKPPATVHYTRPMPDIDTLMQEWSPEFEELLGKVSLPTAEIDCSLAEYIDMI
+CAILDIPVYKSRIQSLHLLFSLYSEFKNSQHFKALAEGKTAFTPPSNSNSQAGDAETLTF
+S
+>sp|Q6EWQ7|IF5A1_BOVIN Eukaryotic translation initiation factor 5A-1 OS=Bos taurus OX=9913 GN=EIF5A PE=2 SV=3
+MADDLDFETGDAGASATFPMQCSALRKNGFVVLKGRPCKIVEMSTSKTGKHGHAKVHLVG
+IDIFTGKKYEDICPSTHNMDVPNIKRNDFQLIGIQDGYLSLLQDSGEVREDLRLPEGDLG
+KEIEQKYDCGEEILITVLSAMTEEAAVAIKAMAK
+>sp|Q95KV1|IKKA_BOVIN Inhibitor of nuclear factor kappa-B kinase subunit alpha OS=Bos taurus OX=9913 GN=CHUK PE=1 SV=1
+MERPPGLRPGAGGPWEMRERLGTGGFGNVCLYQHRELDLKIAIKSCRLELSTKNRERWCH
+EIQIMKKLNHANVVKACDVPEELNFLINDVPLLAMEYCSGGDLRKLLNKPENCCGLKESQ
+ILSLLSDIGSGIRYLHENKIIHRDLKPENIVLQDVGGKIMHKIIDLGYAKDVDQGSLCTS
+FVGTLQYLAPELFENKPYTATVDYWSFGTMVFECIAGYRPFLHHLQPFTWHEKIKKKDPK
+CIFACEEMTGEVRFSSHLPQPNSLCSLIVEPMENWLQLMLNWDPQQRGGPVDLTLKQPRC
+FVLMDHILNLKIVHILNMTSAKIISFLLPPDESLHSLQSRIERETGINTGSQELLSEMGI
+SLDPRKPASQCVLDGVRGCDSYMVYLFDKSKTVYEGPFASRSLSDCVNYIVQDSKIQLPI
+IQLRKVWAEAVHYVSGLKEDYSRLFQGQRAAMLSLLRYNTNLTKMKNTLISASQQLKAKL
+EFFHKSIQLDLERYSEQMTYGISSEKMLKAWKEMEEKAIHYAEVGVIGYLEDQIMSLHTE
+IMELQKSPYGRRQGDLMESLEQRAIDLYKQLKHRPSDHSYSDSTEMVKIIVHTVQSQDRV
+LKELFGHLSKLLGCKQKIIDLLPKVEMALSNIKEADSTVMFMQGKRQKEIWHLLKIACTQ
+SSARSLVGSSLEGVTPQLPPTSAEREHPLSCVVTPQDGETLAQMIEENLNCLGHLSTIIH
+EANEKQGNNMMSLDWSWLTE
+>sp|Q0VCE2|HAND1_BOVIN Heart- and neural crest derivatives-expressed protein 1 OS=Bos taurus OX=9913 GN=HAND1 PE=2 SV=1
+MNLVGSYAHHHHHHHHHHPHPAHPMLHEPFLFGPASRCHQERPYFQSWLLSPADAAPDFP
+AGGPPPAAAAAAASYGPDARPGQSPGRLEALGGRLGRRKGSGPKKERRRTESINSAFAEL
+RECIPNVPADTKLSKIKTLRLATSYIAYLMDVLAKDAQAGDPEAFKAELKKADGGRESKR
+KRELQQHEGFPPALGPGEKRIKGRTGWPQQVWALELNQ
+>sp|Q05037|KCNA4_BOVIN Potassium voltage-gated channel subfamily A member 4 OS=Bos taurus OX=9913 GN=KCNA4 PE=1 SV=1
+MEVAMVSAESSGCNSHMPYGYAAQARARERERLAHSRAAAAAAVAAATAAVEGGGGSGGS
+QHHHHPSRGACTSHDPQSGRGSRRRRRPHPEKKKVHHRQSSFPHCSDLMPSGSEEKILRD
+LSEEDEEEDDEEDEEEEGRFYYSEEDHGEECSYTDLLAQDDGGGGGGGSGGGGYSSVRYS
+DCCERVVINVSGLRFETQMKTLAQFPETLLGDPEKRTQYFDPLRNEYFFDRNRPSFDAIL
+YYYQSGGRLKRPVNVPFDIFTEEVKFYQLGEEALLKFREDEGFVREEEDRALPENEFKKQ
+IWLLFEYPESSSPARGIAIVSVLVILISIVIFCLETLPEFRDDRDLIMALSTGGHGGLLN
+DTSAPHPENSGHTIFNDPFFIVETVCIVWFSFEFVVRCFACPSQALFFKNIMNIIDIVSI
+LPYFITLGTDLAQQQGGGNGQQQQAMSFAILRIIRLVRVFRIFKLSRHSKGLQILGHTLR
+ASMRELGLLIFFLFIGVILFSSAVYFAEADEPTTHFQSIPDAFWWAVVTMTTVGYGDMKP
+ITVGGKIVGSLCAIAGVLTIALPVPVIVSNFNYFYHRETENEEQTQLTQNAVSCPYLPSN
+LLKKFRSSTSSSLGDKSEYLEMEEGVKESLCAKEKCQGKGDDSETDKNNVSNAKAVETDV
+>sp|Q04467|IDHP_BOVIN Isocitrate dehydrogenase [NADP], mitochondrial OS=Bos taurus OX=9913 GN=IDH2 PE=1 SV=2
+MAGYLRVVRSLCRASGSGSAWAPAALTAPNLQEQPRRHYADKRIKVAKPVVEMDGDEMTR
+IIWQFIKEKLILPHVDVQLKYFDLGLPNRDQTNDQVTIDSALATQKYSVAVKCATITPDE
+ARVEEFKLKKMWKSPNGTIRNILGGTVFREPIICKNIPRLVPGWTKPITIGRHAHGDQYK
+ATDFVVDRAGTFKVVFTPKDGSGPKEWEVYNFPAGGVGMGMYNTDESISGFAHSCFQYAI
+QKKWPLYMSTKNTILKAYDGRFKDIFQAIFEKHYKTEFDKHKIWYEHRLIDDMVAQVLKS
+SGGFVWACKNYDGDVQSDILAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYREHQK
+GRPTSTNPIASIFAWTRGLEHRGKLDGNQDLIRFAQTLEKVCVETVESGAMTKDLAGCIH
+GLSNVKLNEHFLNTSDFLDTIKSNLDRALGQQ
+>sp|A5PKG7|KCTD5_BOVIN BTB/POZ domain-containing protein KCTD5 OS=Bos taurus OX=9913 GN=KCTD5 PE=2 SV=1
+MAENHCELLPPAPGGLGAGLGGGLCRRCSAGLGALAQRPGSVSKWVRLNVGGTYFLTTRQ
+TLCRDPKSFLYRLCQADPDLDSDKDETGAYLIDRDPTYFGPVLNYLRHGKLVINKDLAEE
+GVLEEAEFYNITSLIKLVKDKIRERDSKTSQVPLKHVYRVLQCQEEELTQMVSTMSDGWK
+FEQLVSIGSSYNYGSEDQAEFLCVVSKELHNSPHGPASEPSEKAKILQERGSRM
+>sp|A7E3Q8|PLST_BOVIN Plastin-3 OS=Bos taurus OX=9913 GN=PLS3 PE=2 SV=1
+MDEMATTQISKDELDELKEAFAKVDLNSNGFICDYELHELFKEANMPLPGYKVREIIQKL
+MLDGDRNKDGKISFDEFVYIFQEVKSSDIAKTFRKAINRKEGICALGGTSELSSEGTQHS
+YSEEEKYAFVNWINKALENDPDCRHVIPMNPNTDDLFKAVGDGIVLCKMINLSVPDTIDE
+RAINKKKLTPFIIQENLNLALNSASAIGCHVVNIGAEDLRAGKPHLVLGLLWQIIKIGLF
+ADIELSRNEALAALLRDGETLEELMKLSPEELLLRWANFHLENSGWQKINNFSADIKDSK
+AYFHLLNQIAPKGQKEGEPRIDINMSGFNETDDLKRAESMLQQADKLGCRQFVTPADVVS
+GNPKLNLAFVANLFNKYPALTKPENQDIDWTLLEGETREERTFRNWMNSLGVNPHVNHLY
+ADLQDALVILQLYERIKVPVDWSKVNKPPYPKLGANMKKLENCNYAVELGKHPAKFSLVG
+IGGQDLNDGNQTLTLALVWQLMRRYTLNVLEDLGDGQKANDDIIVSWVNRTLNEAGKSTS
+IQSFKDKTISSSLAVVDLIDAIQPGCINYDLVKSGTLTEDDKHNNAKYAVSMARRIGARV
+YALPEDLVEVKPKMVMTVFACLMGRGMKRV
+>sp|Q58DG1|MYG1_BOVIN UPF0160 protein MYG1, mitochondrial OS=Bos taurus OX=9913 PE=2 SV=1
+MGHRFLRGFLRVLLPPLPLRSPHLKLSLEPVAPSKRPRSHLMAPPRIGTHNGTFHCDEAL
+ACALLRLLPEYREAEIVRTRDPEKLAACDIVVDVGGEYDPQRHRYDHHQRSFTETMSSLS
+PGKPWQTKLSSAGLIYLHFGHKLLAQLLGTSEEDGMVGTLYDKMYENFVEEVDAVDNGIS
+QWEEGEPRYLLTTTLSARVARLNPTWNQPNQDTEAGFKRAMDLVREEFLQRLDFYQNSWL
+PARTLVEEALAKRFQVDPSGEIIELEKGGCPWKEHLYQLELGLSPAGTIAFVIYTDQAGQ
+WRVQCVPKEPHSFQSRLPLLEPWRGLRDEALDQISGIPGCIFVHASGFIGGHRTREGALS
+MARATLAQRPAPTPAPNPMVQ
+>sp|O02811|PI4KA_BOVIN Phosphatidylinositol 4-kinase alpha OS=Bos taurus OX=9913 GN=PI4KA PE=2 SV=2
+MAAAAARGGGGGGGGGSFGSGAGGGASRGFYFNTVLSLARSLAVQRPASLEKVQKLLCMC
+PVDFHGIFQLDERRRDAVIALGIFLIESDLQHKDSVVPYLLRLLKGLPKVYWVEESTARK
+GRGTLPVAESFSFCLVTLLSDVAYRDPSLRGEILEALLQVLHVLLGMCQALEIQEKEYLC
+KHAVPCLLGIVRAFGRHSSSEDSILSRLFPRAPAHSPRVPEELEGVRRRSFNDFRSILPS
+SLLTVCQEGSLKRKASSASSVAQVSPERGAPPPSPPGGSAFHCLEASYSPNGSTSESDYY
+FSAVSSSFSVSPLFNGVTYKEFSIPLEMLRELLNLVKKIVEEPVLKSLDAVVTGVIEASP
+SADLCYGAFSDPLYVAVLRMLRDTLYYMRDLPTSFVKEVHDFVLEQFNSSQGELQKILHD
+ADRAHSELSPLKLRCQASAACVDLMVWAVKDEQGAENLCVKLSEKLQSKTSSKVIIAHLP
+LLICCLQGLGRLCERFPVVVHSVTPSLRDFLVVPSPVLVKLYKCHSQYHTVAGNDIKISV
+TNEHAESTLNVASGKKSQPSMYEQLRDIAIDNVCRCLKAGLTVDPVIVEAFLASLSNRLY
+ISQESDKDAHLIPDHTIRALGHIAVALRDTPKVMEPILQILQQKFCQPPSPLDVLIIDQL
+GCLVITGNQYIYQEVWNLFQQISVKASSVVYSATKDYKDHGYRHCSLAVINALANIAANI
+QDEHLVDELLMNLLELFVQLGLEGKRASERASEKGPALKASSSAGNLGVLIPVIAVLTRR
+LPPIKEPKPRLQKLFRDFWLYSVLMGFAVEGSGLWPEEWYEGVCEIATKSPLLTFPSKEP
+LRSVLQYNSAMKNDTVTPAELSELRSTIVNLLDPAPEVSALIGKLDFAMSTYLLSVYRLE
+YMRVLRSSDPARFQVMFCYFEDKAIQKDKSGMMQCVIAVADKVFDAFLNMMAEKAKTKEN
+EEELERHAQFLLVSFNHVHKRIRRVADKYLSGLVDKFPHLLWSGTVLKTMLDILQTLSLS
+LSADIHKDQPYYDIPDVPYRITVPDTYEARESIVKDFAARCGMILQEAMKWAPTVTKSHL
+QEYLSKHQNWVSGLSQHTGLAMATESVLHYAGYNKQSTSLGATQLTERPACVKKDYSNFM
+ASLNLRNRYAGEVYGMIRFSDATGHTSDLNKMMVQELKAALGAGDAQQYTQAMFKLTAML
+ISSRDCDPQLLHHLCWGPLQMFNEHGMETALACWEWLLAGKNGVEVPFMREMAGAWQMTV
+EQKFGLFSAEMKEADPLAASEASQPKPCAPEVTPHYIWIDFLVQRFEIAKYCSSDQVEIF
+CSLLQRSLSLSIGGTAGSMNRHVAAIGPRFKLLTLGLSLLHADVLPNATIRNVLREKIYS
+TAFDYFSCPPRFPTQGEKRLREDISVMIKFWTAMFSDKKYLTASQLVPPDNQDTRSNLDI
+AVGSRQQATQGWINTYPLSSGMSTISKKSGMSKKTNRGSQLHKYYMKRRTLLLSLLATEI
+ERLITWYNPLSAPELELDQAGESSVANWRSKYISLSEKQWKDNVNLAWSISPHLAVQLPA
+RFKNTEAIGNEVTRLVRLDPGAVSDVPEAIKFLVTWHTIDADAPELSHVLCWAPADPPTG
+LSYFSSMYPPHPLTAQYGVKVLRSFPPDAILFYIPQIVQALRYDKMGYVREYILWAASQS
+QLLAHQFIWNMKTNIYVDEEGHQKDPDIGDLLEQLVEEITGSLSGPAKDFYQREFDFFNK
+ITNVSAIIKPYPKGDERKKACLSALSEVKVQPGCYLPSNPEAIVLDIDYKSGTPMQSAAK
+APYLAKFKVKRCGVSELEKEGLRCRSDPEEEGSMQEADGQKISWQAAIFKVGDDCRQDML
+ALQIIDLFKNIFQLVGLDLFVFPYRVVATAPGCGVIECIPDCTSRDQLGRQTDFGMYDYF
+TRQYGDESTLAFQQARYNFIRSMAAYSLLLFLLQIKDRHNGNIMLDKKGHLIHIDFGFMF
+ESSPGGNLGWEPDIKLTDEMVMIMGGKMEATPFKWFMEMCVRGYLAVRPYMDAVVSLVTL
+MLDTGLPCFRGQTIKLLKHRFSPNMTEREAANFILKVIQNCFLSNRSRTYDMIQYYQNDI
+PY
+>sp|P07435|OBP_BOVIN Odorant-binding protein OS=Bos taurus OX=9913 PE=1 SV=2
+AQEEEAEQNLSELSGPWRTVYIGSTNPEKIQENGPFRTYFRELVFDDEKGTVDFYFSVKR
+DGKWKNVHVKATKQDDGTYVADYEGQNVFKIVSLSRTHLVAHNINVDKHGQTTELTELFV
+KLNVEDEDLEKFWKLTEDKGIDKKNVVNFLENEDHPHPE
+>sp|Q58D79|RPH3L_BOVIN Rab effector Noc2 OS=Bos taurus OX=9913 GN=RPH3AL PE=2 SV=1
+MADTIFGSGCDQWVCPNDRQLALRAKLHTGWSVHTYQTEKQRKSQSLSPAEVEAILQVIQ
+RAERLDILEQQRVGRLVERLETMRRNVMGNGLSQCLLCGEVLGFLGSSSVFCKDCRKKVC
+TKCGIEASPSQKRPLWLCKICSEQREVWKRSGAWFYKGIPKFILPLKIPGQADHPSFRPL
+PVEPAEQEPRSTETSRVYTWARGRVVSSDSDSDSDLSSSSLDDRLRPAGVRDPKGNKPWG
+ESGGSVESLKMGPTRPASCLSGSQSSLASETGTGSADPQGGPRTLAGPRGPR
+>sp|A6QL92|S35F5_BOVIN Solute carrier family 35 member F5 OS=Bos taurus OX=9913 GN=SLC35F5 PE=2 SV=1
+MVPPRHHPGAGRPGALSSSPPFRLRSSKFSGIALQDLRKAFKIRLQMVCVFIMNRMNSQS
+SGFTQRKRMALGIVILLLVDVIWVASSELTSYVFTQYNKPFFSTFAKTSMFVLYLLGFIV
+WKPWRQQCTRGFRGKHATFFADAEGYFAACTTDTTMNSSLSEPLYVPVKFHDLPSEKPEN
+TNIDTEKIPKKSRVRFSNIMEIRQLPSSHALEAKLSRMSYPTVKEQESLLKTVGKLTATQ
+VAKISFFFCFVWFLANFSYQEALSDTQVAIVNILSSTSGLFTLILAAMFPSNSGDRFTLS
+KLLAVILSIGGVVLVNLSGSEKSPGRNTIGSIWSLVGAMLYAVYIVMIKRKVDREDKLDI
+PMFFGFVGLFNLLLLWPGFFLLHYTGFEDFEFPNKVVLMCIVINGLIGTVLSEFLWLWGC
+FLTSSLIGTLALSLTIPLSIIADMCMQKVQFSWLFFAGAIPVFFSFFIATLLCHYNNWDP
+VMVGIRRIFAFICRKHRIQKVPEDSEQCESLIPMHGVSQEDGAS
+>sp|Q32L50|SBSPO_BOVIN Somatomedin-B and thrombospondin type-1 domain-containing protein OS=Bos taurus OX=9913 GN=SBSPON PE=2 SV=2
+MRTLWMALCVLARLWPGALAGCADAGRCCPGRDPACFASGWRQDRVYGTCFCDQACRLTG
+DCCFDYARACPARPCIVGEWSPWSGCASQCRPTARVRRRAVQQEPQNGGEPCPALEERAG
+CLEYATPQGEDCGHAFVPAFITTSAFNKERTRQAASPHWTTSTEDAGYCMEFKTESLTHH
+CALENRPLTRWMQYLREGYTVCVDCQPPAMNSVSLRCSGDGLDSDGNQTLHWQAIGNPRC
+QGTWKKVRRVEQCSCPAVHSFIFI
+>sp|Q5I598|MTHR_BOVIN Methylenetetrahydrofolate reductase OS=Bos taurus OX=9913 GN=MTHFR PE=2 SV=1
+MVNEPRGNGSPGPRWEGSSSGSESSRTSSRCSTPGLDPERCERLREKMKRKMDSGDKWFS
+LEFFPPRTAQGAVNLISRFDRMGAGGPLFVDVTWHPAGDPGSDKETSSMVIASTAVNYCG
+LETILHMTCCHQSREEITGHLNKAKQLGLKNILALRGDPIGDQWEEEEGGFNYATDLVKH
+IRNEFGDYFDVCVAGYPKGHPEGESFEADLKHLKEKVAAGADFIITQLFFEAETFFRFVK
+ACSEIGITCPVLPGIFPIQGYHSLRQLVKLSKLEVPQQIKDVIEPIKDNDAAIRNYGIEQ
+AVSLCQELLASGLVPGLHFYTLNREVATIEVLKRLGLWIEDPRRPLPWALSAHPKRRVED
+VRPIFWASRPKSYIYRTQEWDEFPNGRWGNSSSPAFGELKDYYLFYLKSKSPKEELLKMW
+GEELTSEESVFQVFAHHLSGEPNQNGYKVTCLPWNDEPLAAETSLMKEELLRVNRRGILT
+INSQPNINGKPSSDPIVGWGPSGGYVFQKAYLEFFTSRETVEALLQVLKKYELRVNYHIV
+DVKGENITNAPELQPNAVTWGIFPGREIIQPTVVDPVSFMFWKDEAFALWIEQWGKLYEE
+ESPSRMIIQYIHDNYFLVNLVDNEFPLDNCLWQVVEDTFELLSRPPQDKRETEAL
+>sp|Q5E950|NHP2_BOVIN H/ACA ribonucleoprotein complex subunit 2 OS=Bos taurus OX=9913 GN=NHP2 PE=2 SV=1
+MTKIKADPDGPEAQADACCGERTYHELLVNLNPIAQPLASRRLTRKLYKCIKKAVKQKQI
+RRGVKEVQKFINKGEKGIMVLAGDTLPIEVYCHLPVMCEDRNLPYVYIPSKTDLGAAAGS
+KRPTCVIMVKPHEEYQEAYDECLEEVQALPPPM
+>sp|Q3SYT0|OBRG_BOVIN Leptin receptor gene-related protein OS=Bos taurus OX=9913 GN=LEPROT PE=2 SV=1
+MAGVKALVALSFSGAIGLTFLMLGCALEDYGVYWPLFVLIFHAISPIPHFIAKRATYDSD
+ATSSACRELAYFFTTGIVVSAFGFPVILARVSVIKWGACGLVLAGNAVIFLTIQGFFLVF
+GRGDDFSWEQW
+>sp|Q3SZE2|PFD1_BOVIN Prefoldin subunit 1 OS=Bos taurus OX=9913 GN=PFDN1 PE=2 SV=1
+MAAPVDLELKKAFTELQAKVIDTQQKVKLADVQIEQLNRTKKHAHLTDTEIMTLVDETNM
+YEGVGRMFILQSKEAIHNQLLEKQKIAEEKIKELEQKKSYLERSVKEAEDNIREMLMARR
+AQ
+>sp|Q0VD34|PHYIP_BOVIN Phytanoyl-CoA hydroxylase-interacting protein OS=Bos taurus OX=9913 GN=PHYHIP PE=2 SV=1
+MELLSTPHSIEVSNITCDSFRISWTMENSDLERVTHYFIDLNKKENKNSNKFKHRDVPTK
+LVAKAVPLPMTVRGHWFLSPRTEYSVAVQTAVKQSDGEYLVSGWSETVEFCTGDYAKEHL
+AQLQEKAEQIAGRMLRFSVFYRNHHKEYFQHARTHCGNMLQPYLKDNSGSHGSPTSGMLH
+GVFFSCNTEFNTGQPPQDSPYGRWRFQIPAQRLFNPSTNLYFADFYCMYTAYHYAILVLA
+PKGSLGDRFCRDRLPLLDIACNKFLTCSVEDGELVFRHAQDLILEIIYTEPVDLSLGTLG
+EISGHQLMSLSTADAKKDPSCKTCNISVGR
+>sp|P13608|PGCA_BOVIN Aggrecan core protein OS=Bos taurus OX=9913 GN=ACAN PE=1 SV=3
+MTTLLLVFVTLRVITAAISVEVSEPDNSLSVSIPEPSPLRVLLGSSLTIPCYFIDPMHPV
+TTAPSTAPLAPRIKWSRISKEKEVVLLVATEGRVRVNSAYQDKVTLPNYPAIPSDATLEI
+QNMRSNDSGILRCEVMHGIEDSQATLEVVVKGIVFHYRAISTRYTLDFDRAQRACLQNSA
+IIATPEQLQAAYEDGFHQCDAGWLADQTVRYPIHTPREGCYGDKDEFPGVRTYGIRDTNE
+TYDVYCFAEEMEGEVFYATSPEKFTFQEAANECRRLGARLATTGQLYLAWQGGMDMCSAG
+WLADRSVRYPISKARPNCGGNLLGVRTVYLHANQTGYPDPSSRYDAICYTGEDFVDIPES
+FFGVGGEEDITIQTVTWPDVELPLPRNITEGEARGSVILTAKPDFEVSPTAPEPEEPFTF
+VPEVRATAFPEVENRTEEATRPWAFPRESTPGLGAPTAFTSEDLVVQVTLAPGAAEVPGQ
+PRLPGGVVFHYRPGSSRYSLTFEEAKQACLRTGAIIASPEQLQAAYEAGYEQCDAGWLQD
+QTVRYPIVSPRTPCVGDKDSSPGVRTYGVRPPSETYDVYCYVDRLEGEVFFATRLEQFTF
+WEAQEFCESQNATLATTGQLYAAWSRGLDKCYAGWLADGSLRYPIVTPRPACGGDKPGVR
+TVYLYPNQTGLLDPLSRHHAFCFRGVSAAPSPEEEEGSAPTAGPDVEEWMVTQVGPGVAA
+VPIGEETTAIPGFTVEPENKTEWELAYTPAGTLPLPGIPPTWPPTGEATEEHTEGPSATE
+VPSASEKPFPSEEPFPPEEPFPSEKPFPPEELFPSEKPFPSEKPFPSEEPFPSEKPFPPE
+ELFPSEKPIPSEEPFPSEEPFPSEKPFPPEEPFPSEKPIPSEEPFPSEKPFPSEEPFPSE
+EPSTLSAPVPSRTELPSSGEVSGVPEISGDFTGSGEISGHLDFSGQPSGESASGLPSEDL
+DSSGLTSTVGSGLPVESGLPSGEEERITWTSAPKVDRLPSGGEGPEVSGVEDISGLPSGG
+EVHLEISASGVEDISGLPSGGEVHLEISASGVEDLSRIPSGEGPEISASGVEDISGLPSG
+EEGHLEISASGVEDLSGIPSGEGPEVSASGVEDLIGLPSGEGPEVSASGVEDLSRLPSGE
+GPEVSASGVEDLSGLPSGEGPEVSVSGVEDLSRLPSGEGPEVSASGVEDLSRLPSGEGPE
+ISVSGVEDISILPSGEGPEVSASGVEDLSVLPSGEGHLEISTSGVEDLSVLPSGEGHLET
+SSGVEDISRLPSGEGPEVSASGVEDLSVLPSGEDHLEISASGVEDLGVLPSGEDHLEISA
+SGVEDISRLPSGEGPEVSASGVEDLSVLPSGEGHLEISASGVEDLSRLPSGGEDHLETSA
+SGVGDLSGLPSGREGLEISASGAGDLSGLTSGKEDLTGSASGALDLGRIPSVTLGSGQAP
+EASGLPSGFSGEYSGVDLESGPSSGLPDFSGLPSGFPTVSLVDTTLVEVVTATTAGELEG
+RGTIDISGAGETSGLPFSELDISGGASGLSSGAELSGQASGSPDISGETSGLFGVSGQPS
+GFPDISGETSGLLEVSGQPSGFYGEISGVTELSGLASGQPEISGEASGILSGLGPPFGIT
+DLSGEAPGIPDLSGQPSGLPEFSGTASGIPDLVSSAVSGSGESSGITFVDTSLVEVTPTT
+FKEEEGLGSVELSGLPSGELGVSGTSGLADVSGLSSGAIDSSGFTSQPPEFSGLPSGVTE
+VSGEASGAESGSSLPSGAYDSSGLPSGFPTVSFVDRTLVESVTQAPTAQEAGEGPSGILE
+LSGAPSGAPDMSGDHLGSLDQSGLQSGLVEPSGEPASTPYFSGDFSGTTDVSGESSAATS
+TSGEASGLPEVTLITSELVEGVTEPTVSQELGQRPPVTYTPQLFESSGEASASGDVPRFP
+GSGVEVSSVPESSGETSAYPEAEVGASAAPEASGGASGSPNLSETTSTFHEADLEGTSGL
+GVSGSPSAFPEGPTEGLATPEVSGESTTAFDVSVEASGSPSATPLASGDRTDTSGDLSGH
+TSGLDIVISTTIPESEWTQQTQRPAEARLEIESSSPVHSGEESQTADTATSPTDASIPAS
+AGGTDDSEATTTDIDECLSSPCLNGATCVDAIDSFTCLCLPSYQGDVCEIQKLCEEGWTK
+FQGHCYRHFPDRATWVDAESQCRKQQSHLSSIVTPEEQEFVNNNAQDYQWIGLNDKTIEG
+DFRWSDGHSLQFENWRPNQPDNFFATGEDCVVMIWHEKGEWNDVPCNYQLPFTCKKGTVA
+CGEPPVVEHARIFGQKKDRYEINALVRYQCTEGFIQGHVPTIRCQPSGHWEEPRITCTDP
+ATYKRRLQKRSSRPLRRSHPSTAH
+>sp|P10949|RAB3C_BOVIN Ras-related protein Rab-3C OS=Bos taurus OX=9913 GN=RAB3C PE=2 SV=3
+MRHEAPMQMASAQDARYGQKDSSDQNFDYMFKLLIIGNSSVGKTSFLFRYADDSFTSAFV
+STVGIDFKVKTVFKNEKRIKLQIWDTAGQERYRTITTAYYRGAMGFILMYDITNEESFNA
+VQDWSTQIKTYSWDNAQVILVGNKCDMEDERVISTERGQHLGEQLGFEFFETSAKDNINV
+KQTFERLVDIICDKMSESLETDPAITAAKQNTRLKETPPPPQPNCGC
+>sp|Q29RK2|PYC_BOVIN Pyruvate carboxylase, mitochondrial OS=Bos taurus OX=9913 GN=PC PE=2 SV=2
+MLKFQTVRGSLRLLAIRRTSTATAASPNVRRLEYKPIKKVMVANRGEIAIRVFRACTELG
+IRTVAVYSEQDTGQMHRQKADEAYLIGRGLAPVQAYLHIPDIIKVAKENNVDAVHPGYGF
+LSERADFAQACQDAGVRFIGPSPEVVRKMGDKVEARAIAIAAGVPVVPGTDAPITSLHEA
+HEFSNTYGFPIIFKAAYGGGGRGMRVVHSYEELEENYTRAYSEALAAFGNGALFVEKFIE
+KPRHIEVQILGDQYGNILHLYERDCSIQRRHQKVVEIAPAAHLDPQLRTRLTSDSVKLAK
+QVGYENAGTVEFLVDRHGKHYFIEVNSRLQVEHTVTEEITDVDLVHAQIHVAEGRSLPDL
+GLRQENIRINGCAIQCRVTTEDPARSFQPDTGRIEVFRSGEGMGIRLDNASAFQGAVISP
+HYDSLLVKVIAHGKDHPTAATKMSRALAEFRVRGVKTNIPFLQNVLNNQQFLAGTVDTQF
+IDENPELFQLRPAQNRAQKLLHYLGHVMVNGPTTPIPVKASPSPTDPIVPVVPIGPPPTG
+FRDILLREGPEGFARAVRNHEGLLLMDTTFRDAHQSLLATRVRTHDLKKISPYVAHSFNK
+LFSIENWGGATFDVAMRFLYECPWRRLQELRELVPNIPFQMLLRGANAVGYTNYPDNVVF
+KFCEVAKENGMDIFRVFDSLNYLPNLLLGMEAAGSAGGVVEAAISYTGDVSDPSRTKYSL
+QYYMGLAEELVRAGTHILCIKDMAGLLKPTACTMLVSSLRDRFPDLPLHIHTHDTSGAGV
+AAMLACAHAGADVVDVAADSMSGMTSQPSMGALVACTRGTPLDTGVPLERVFDYSEYWEG
+ARGLYAAFDCTATMKSGNSDVYENEIPGGQYTNLHFQAHSMGLGSKFKEVKKAYVEANQM
+LGDLIKVTPSSKIVGDLAQFMVQNGLTRAEAEAQAEELSFPRSVVEFLQGYIGIPHGGFP
+EPLRSKVLKDLPRVEGRPGASLPPLDLQALEKELTERHGEEVTPEDVLSAAMYPDVFAHF
+KDFTATFGPLDSLNTRLFLQGPKIAEEFEVELERGKTLHIKALAISDLNRAGQRQVFFEL
+NGQLRSILVKDTQAMKEMHFHPKALKDVKGQIGAPMPGKVIDIKVAAGAKVTKGQPLCVL
+SAMKMETVVTSPVEGTVRKVHVTKDMTLEGDDLILEIE
+>sp|Q2T9X1|RPC8_BOVIN DNA-directed RNA polymerase III subunit RPC8 OS=Bos taurus OX=9913 GN=POLR3H PE=2 SV=1
+MFVLVEMVDTVRIPPWQFERKLNDSIDEELNKKLANKVMYNVGLCICLFDITKLEDAYVF
+PGDGASHTKVHFRYVVFHPFLDEILIGKIKGCSPEGVHVSLGFFDDILIPPESLQQPAKF
+DEAEQVWVWEYETEEGAHDLYMDIGEEVRFRVVDESFVDTSPTGPSSAEAASSSEELPKK
+EAPYTLMGSISEPGLGLLSWWTSS
+>sp|P82943|REG1_BOVIN Regakine-1 OS=Bos taurus OX=9913 PE=1 SV=2
+MRVSLAALAFLLTLAVLHSEANEEPAGNMRVCCFSSVTRKIPLSLVKNYERTGDKCPQEA
+VIFQTRSGRSICANPGQAWVQKYIEYLDQMSK
+>sp|Q5E9A4|RAE1L_BOVIN mRNA export factor OS=Bos taurus OX=9913 GN=RAE1 PE=2 SV=1
+MSLFGTTSGFGSGGTSMFGSTTTDNHNPMKDIEVTSSPDDSIGCLSFSPPTLPGNFLIAG
+SWANDVRCWEVQDSGQTIPKAQQMHTGPVLDVCWSDDGSKVFTASCDKTAKMWDLNSNQA
+IQIAQHDAPVKTVHWIKAPNYSCVMTGSWDKTLKFWDTRSSNPMMVLQLPERCYCADVIY
+PMAVVATAERGLIVYQLENQPSEFRRIESPLKHQHRCVAIFKDKQNKPTGFALGSIEGRV
+AIHYINPPNPAKDNFTFKCHRSNGTNTSAPQDIYAVNGIAFHPVHGTLATVGSDGRFSFW
+DKDARTKLKTSEQLDQPISACCFNHNGNIFAYASSYDWSKGHEFYNPQKKNYIFLRNAAE
+ELKPRNKK
+>sp|Q7YR75|RM12_BOVIN 39S ribosomal protein L12, mitochondrial OS=Bos taurus OX=9913 GN=MRPL12 PE=1 SV=1
+MLPSATSLLRGPCLGLRAAALRLVRQQVPHVCAVRLMRCSSHRRGEALTGAPLDNAPKEY
+PPKIQQLVQDIASLTLLEISDLNELLKKTLKIQDVGLMPMGGMVPGAAPAPTAPEAAEED
+VPKQKERTHFTVRLTEAKPVDKVKLIKEIKNYVQGINLVQAKKLVESLPQEIKANVAKAE
+AEKIKAALEAVGGTVVLE
+>sp|A4FUC0|RM37_BOVIN 39S ribosomal protein L37, mitochondrial OS=Bos taurus OX=9913 GN=MRPL37 PE=1 SV=1
+MALASGPARRVLARPWGLGLEGCGVPRRGAYEWGVRSTRKPEPPPLDRVYEIPGLEPITF
+AGKMHFMPGLARPVFPPWDPGWTHPKFRRLPPLQEHPLYKDEVCYIFHQRCRLLEGVKQA
+LWLTKTKLIEGLPEKVLSLADNPRNHIENQDERVLNVISHARLWHSTEDIPKRETYCPVI
+VDSLIQLCKSQILKHPSLARRICAQKNMLSTTWKRESTLIQVHGSSGAQLNAKDPLPPIA
+SREEVEATKNHVLETFSPISPTISLQECHIYDVNDDTGFREGYPYPCPHTLYLLESANLR
+AHRFQPDQLRAKMILFAFGNALAQARLLYGNDPKVLEQPVVVQSVGTDGRVFQFLVLQLN
+TTDLASEEGIKNLVWVDSDQLLYQHFWCLPVIKKKVVVEPVGPTGFQPETFRKFLALYLH
+GAV
+>sp|Q3T0L3|RM17_BOVIN 39S ribosomal protein L17, mitochondrial OS=Bos taurus OX=9913 GN=MRPL17 PE=1 SV=1
+MRLSFAAAISHGRVYRRLGLGPESRIHLLQNLLTGLVRHERIEASWARVDELRGYAEKLI
+DYGKLGDTNERAMRMADFWLTEKDLIPKLFQVLAPRYQGQNGGYTRMLQIPNRNQQDRAK
+MAVIEYKGNCLPPLPLPRRDSNLTLLNQLLRGLRQDQEASTRSSHPAQTPEV
+>sp|Q5E9Y2|STX17_BOVIN Syntaxin-17 OS=Bos taurus OX=9913 GN=STX17 PE=2 SV=1
+MSEDEEKVKLRRLEPAIQKFTKIVIPTDLERLRKHQINIEKYQRCRVWDKLHEEHINAGR
+TVQQLRSNIREMEKLCLKVRKDDLGLLKRMIDPVKEEASAATAEFLQLHLESVEELKKQF
+NDEETFLQPSLTRSMTVGGTFHSTEDEADPQSMTQIYALPEIPRDQNAAESWETLEADLI
+ELSQLVTDFSLLVNSQQEKIDSIEDHVNTAAVNVEEGTKNLGKAAKYKLAALPVAGALIG
+GVVGGPIGLLAGFKVAGIAAALGGGVLGFTGGKLIQRRKQKMMEKLASSCPDLPSQTDKK
+CS
+>sp|Q0IIE2|SHC1_BOVIN SHC-transforming protein 1 OS=Bos taurus OX=9913 GN=SHC1 PE=2 SV=1
+MNKLSGGGGRRTRVEGGQLGGEEWTRHGSFVNKPTRGWLHPNDKVMGPGVSYLVRYMGCV
+EVLQSMRALDFNTRTQVTREAISLVCEAVPGAKGATRRRKPCSRPLSSILGRSNLKFAGM
+PITLTVSTSSLNLMAADCKQIIANHHMQSISFASGGDPDTAEYVAYVAKDPVNQRACHIL
+ECPEGLAQDVISTIGQAFELRFKQYLRNPPRLVTPHDRMAGFDGSAWDEEEEEPPDHQYY
+NDFPGKEPPLGGVVDMRLREGALPGAARPTPPSAQTPSHLGATLPVGQPAGGDPEARRQM
+PPPPPSSGRELFDDPSYVNVQNLDKARQAGAGAGPPNPTINGSAPRDLFDMKPFEDALRM
+PPPPQSTAMAEQLRGEPWFHGKLSRREAEALLQVNGDFLVRESTTTPGQYVLTGLQSGQP
+KHLLLVDPEGVVRTKDHRFESVSHLISYHMDNHLPIISAGSELCLQQPVERKL
+>sp|Q29463|TRY2_BOVIN Anionic trypsin OS=Bos taurus OX=9913 PE=2 SV=1
+MHPLLILAFVGAAVAFPSDDDDKIVGGYTCAENSVPYQVSLNAGYHFCGGSLINDQWVVS
+AAHCYQYHIQVRLGEYNIDVLEGGEQFIDASKIIRHPKYSSWTLDNDILLIKLSTPAVIN
+ARVSTLLLPSACASAGTECLISGWGNTLSSGVNYPDLLQCLVAPLLSHADCEASYPGQIT
+NNMICAGFLEGGKDSCQGDSGGPVACNGQLQGIVSWGYGCAQKGKPGVYTKVCNYVDWIQ
+ETIAANS
+>sp|A6QP06|SYTL2_BOVIN Synaptotagmin-like protein 2 OS=Bos taurus OX=9913 GN=SYTL2 PE=2 SV=1
+MIDLSFLTEEEQEAIMKVLQRDAALKRAEEERVRHLPEKVKDDQQLKNMSGQWFYEAKAK
+RHRDRIHGADIIRVSMRKKRPQVADEQSKDRANRAKESWVNNVHKDAFLPPELTGVVEEP
+EEDVAPASPSSSVVNPVSTMIDASQENTRKSAISPAKPRKNPFNSSMLPEDHLSQQTKNE
+QSKNGKTGLFQTSKEGELSESKEESSILDISSQKLEKPKQTLPGPESGFPIKAPVPKPRK
+MIYKSQDLKQDDNQPFPRQRTDSLTTRGAPRGILKRNSSSSSTDSETVRFHQNFEPKSKI
+VSLGLTIHERISEKEHSLEDDSPSNSLEPLKHVRFSAVKDELPQSSGLVHGREVGEFSVL
+ESDRLKNGTEDAGLTDEVWNDPQPSQYTNGLPFQSSASSPSPSKNETSQPTTSGSFPINE
+HPSSKEFLTTRAQSTENSHTINEHKTSSSELSKNPADELSCTEPESSQVPDCSSRDHQQG
+SEEEPSPVLKILERSAARKMPSKSLEDISSDSSNQAKVDNLPEELVRSAEDDQKADQEPD
+TNECIPGISTVSSQPDNQFSHPDKLKRMSKSVPAFLQDESDDRETDTASEGSYQLSRHKK
+SPSSLTNLSSNSGMTSLSSVSGSVMSVYSGDFGNLEVKGSIQFAIDYVDSLKELHVFVAQ
+CKDLAAADIKKQRSDPYVKTYLLPDKGKMGKKKTLVVKKTLNPVYNEILRYKINKQILKT
+QKLNLSVWHRDTFKRNSFLGEVELDLETWDWDNKQNKQLKWYPLKRKTAPVPLEAENRGE
+MKLALQYVPEPVPGKKLPTTGEVHIWVKECLDLPLLRGSHLNSFVKCTILPDTSRKSRQK
+TRAVGKTTNPVFNHTMVYDGFRPEDLTEACVELTVWDHYKLTNQFLGGLRIGFGTGKSYG
+TEVDWMDSTSEEVALWEKMVKSPNTWIEAILPLRMLLIAKISK
+>sp|Q3T0D7|SAR1A_BOVIN GTP-binding protein SAR1a OS=Bos taurus OX=9913 GN=SAR1A PE=2 SV=1
+MSFIFEWIYNGFSSVLQFLGLYKKSGKLVFLGLDNAGKTTLLHMLKDDRLGQHVPTLHPT
+SEELTIAGMTFTTFDLGGHEQARRVWKNYLPAINGIVFLVDCADHPRLMESKVELNALMT
+DETISNVPILILGNKIDRTDAISEEKLREIFGLYGQTTGKGNVTLKELNARPMEVFMCSV
+LKRQGYGEGFRWLSQYID
+>sp|P49877|IFNAG_BOVIN Interferon alpha-G OS=Bos taurus OX=9913 GN=IFNAG PE=2 SV=1
+MAPAWSLLLALLLLSCNAICSLGCHLPHTHSLANRRVLTLLRQLRRVSPSSCLQDRNDFA
+FPQEALGGSQLQKAQAISVLHEVTQHTFQFFSVEGSAVVWDESLLDKLRDALDQQLTDLQ
+FCLRQEEGLRGAPLLKEDSSLAVRKYFHRLTLYLQEKRHSPCAWEVVRAEVMRAFSSSTN
+LQERFRRKD
+>sp|P19879|MIME_BOVIN Mimecan OS=Bos taurus OX=9913 GN=OGN PE=1 SV=2
+MKTLQSTLLLFLFVPLIKPAPPSQQDSRIIYDYGTDNLEETFFSQDYEDKYLDGKSTKEK
+ETMIIVPDEKSFQLQKDENITPLPPKKENDEMPTCLLCVCLSGSVYCEEVDIDAVPPLPK
+ESAYLYARFNKIKKLTAKDFADIPNLRRLDFTGNLIEDIEDGTFSKLSLLEELTLAENQL
+LKLPVLPPKLTLFNAKYNKIKSRGIKANTFKKLHNLSFLYLDHNALESVPLNLPESLRVI
+HLQFNNITSITDDTFCKANDTSYIRDRIEEIRLEGNPVILGKHPNSFICLKRLPIGSYI
+>sp|Q08DW2|KPRA_BOVIN Phosphoribosyl pyrophosphate synthase-associated protein 1 OS=Bos taurus OX=9913 GN=PRPSAP1 PE=2 SV=1
+MNAARTGYRVFSANSTAACTELAKRITERLGAELGKSVVYQETNGETRVEIQESVRGQDI
+FIIQTIPRDVNTAVMELLIMAYALKTACARNIIGVIPYFPYSKQSKMRKRGSIVCKLLAS
+MLAKAGLTHIITMDLHQKEIQGFFSFPVDNLRASPFLLQYIQEEIPNYRNAVIVAKSPDA
+AKRAQSYAERLRLGLAVIHGEAQCAEMDMDDGRHSPPMVKNATVHPGLELPLMMAKEKPP
+ITVVGDVGGRIAIIVDDIIDDVESFVAAAEILKERGAYRIYVMATHGILSAEAPRLIEES
+SIDEVVVTNTVPHEVQKLQCPKIKTVDISLILSEAIRRIHNGESMAYLFRNITVDD
+>sp|F1MDL2|INTU_BOVIN Protein inturned OS=Bos taurus OX=9913 GN=INTU PE=3 SV=2
+MASLPLCGSVRSPEGLPGDPSSQEDRQDYDPEDPVSGSGSYSPTSTDSNDLEPEWLDSVQ
+KNGELFYLELSEDEEESLLPETPTVNHVRFSENEIIIEEDDYREGKKYEPKLKRFTKILK
+SKKLLPKRYNKKNSNASGPVSILKHQSNQKMGVIVQQRHKDVNIYVNPKKLTVTKAKEQL
+KLLEVLVGIIHQTKWSWRRTGKQGGGERLVVHGLLPGGSAMKSGQVLIGDVLVAVNDVEV
+TSENIERVLSCIPGPMQVKLTFENAYAMKKETTQPRQKKAQLNTSDLVKLLWGEEVEGIQ
+QNILNTPHIVMYLTLQLDSETSKEEQEILYHYPVSEASQKLQSVRGIFLTLCDMLENVTG
+TQVTSSSLLLNRKQIHIAYWKETDKLLLIGLPAEEVPLPQLRNMIEDVAQTLKFMYGSLD
+SAFCQVENVPRLDHFFSLFFQRALQPTKLHSSASPSTQQYDASSAVLLDNLPGVRWLTLP
+QEIKLELDTALSDLEAADFAELSEDYYDMRRLYTILGSSLFYKGYLICSHLPKDDLIDIA
+VYCRHYCLLPLAAKQRIGQLVIWREVFPRHHLQPSADSNTEVFQEPEGRYFLLIVGLRHY
+MLCVLLEAGGCASRAIGNPGPDCIYVDQVKTTLHQLEGVDSRINERLASSPTPCLSCADW
+FLAGSHEKLDNLTTSPILSRLHGASRVATSPTCRRTLFSDYSLKTRKPSPSRSGGPDSGL
+EGEGVGLSPHTTESQGSHGSEETGALLKVTKKKSALPNPFHLGNLKKDLSEKELDIYNTV
+KLTSGPENTLFHYVALETVQGIFITPTHEEVAQLSGSIHPQLIKNFHQCCLSIRAVFQQT
+VAKEKKKALNGKDHSGSTNSVSSLNPVKEHGVLFECSPENWTDQRKAPPVMAYWVVGRLF
+LHPKLQELYVCFHDSVTEIAIEMAFKLFFGLTL
+>sp|A6QL94|IZUM3_BOVIN Izumo sperm-egg fusion protein 3 OS=Bos taurus OX=9913 GN=IZUMO3 PE=2 SV=1
+MGDLWLLLLLPLSLAAFHGVKGCLECDPKFIEEVKSLLGKLVPPEVPGRTHMLERQMKEM
+IRLSFKVSHRDKMLRVLAVQKVVDLRTWLKIELDKLSKEKWKGVFILQGRLLDIRKNLDS
+KLEKLLKKFSEVACSEDCVVTEGPILDCWTCLRITSRCFRGEYCEEDDPKKAESREIGLF
+LILLAEGVILGGVLLLFHFCISHQRKMKAIRRSLKTYLEKKLEELMGIKDEKEKDFRGRE
+>sp|Q2T9M7|P20D1_BOVIN N-fatty-acyl-amino acid synthase/hydrolase PM20D1 OS=Bos taurus OX=9913 GN=PM20D1 PE=2 SV=1
+MARPSVCLLASLSALLLGIAAVSRSKGLRGTESQREPRIPSQFSQEQRIAMKEALKGAIQ
+IPTVSFSPKELNTTALAEFGEYIRKVFPTVFHTSFIRHEVVGNYSHLFTIKGSDPSMQPY
+ILLAHIDVVPAPDKGWDVPPFSGLERDGFIYGRGTLDNKNYLMAILQALELLLIRNYIPR
+RSFFIALGHDEEISGINGAQKISALLQARGVQLAFVVDEGSFILDGFIPYLKKPFAMVSV
+SEKGAINLMLQVNTTTGHSSAPPKETSIGILAAAVSRLEQTPMPNMFGSGPLMTAVEQLA
+NEFPFPTNIVLNNLWLFRPLVSRLMERNYITNSLVRTTTALTMFNAGVKVNVIPPVAEAI
+INFRLHPAQTVQEVLKLAKDIVADDRIQFHVLDAFDPLPISPSDDQALGYQLLRQTIHSV
+FPEVNIVAPGTCIGNTDSRHYLNLTTGIYRFNPIYLQPQDFSSIHGINEKISVQAYETQV
+KFVFEFIQNGDTDEETVPHLHEL
+>sp|Q58DA5|NTRI_BOVIN Neurotrimin OS=Bos taurus OX=9913 GN=NTM PE=2 SV=1
+MGVCGSLFLPWKCLVVVSLRLLFLVPTGVPVRSGDATFPKAMDNVTVRQGESATLRCTID
+NRVTRVAWLNRSTILYAGNDKWCLDPRVVLLSNTQTQYSIEIQNVDVYDEGPYTCSVQTD
+NHPKTSRVHLIVQVSPKIVEISSDISINEGNNISLTCIATGRPEPTVTWRHISPKAVGFV
+SEDEYLEIQGITREQSGYYECSASNDVAAPVVRRVKVTVNYPPYISEAKGTGVPVGQKGT
+LQCEASAVPSAEFQWYKDDKRLVEGKKGVKVENRPFLSKLIFFNVSEHDYGNYTCVASNK
+LGHTNASITLFGPGAVSEVSNGTSSRRAGCLWLLPLLVLHLLLKF
+>sp|P62964|RABP1_BOVIN Cellular retinoic acid-binding protein 1 OS=Bos taurus OX=9913 GN=CRABP1 PE=1 SV=2
+MPNFAGTWKMRSSENFDELLKALGVNAMLRKVAVAAASKPHVEIRQDGDQFYIKTSTTVR
+TTEINFKVGEGFEEETVDGRKCRSLPTWENENKIHCTQTLLEGDGPKTYWTRELANDELI
+LTFGADDVVCTRIYVRE
+>sp|Q3SZJ2|RIPK2_BOVIN Receptor-interacting serine/threonine-protein kinase 2 OS=Bos taurus OX=9913 GN=RIPK2 PE=2 SV=1
+MSAEPVCSALPAIPYHKLADLRYLSRGASGTVSSARHADWRVQVAVKHLHIHSPLLDSER
+NDVLREAEILHKARFSYILPILGICNEPEFLGIVTEYMPNGSLNELLHRKIEYPDVPWPL
+RFRILHEIALGVNYLHNMNPPLLHHDLKTQNILLDNEFHVKIADFGLSKWRMMSLSQSRS
+SKSAPEGGTIVYMPPENYEPGQKARASVKHDIYSYAIIIWEVLSRKQPFEDVTNPLQIMY
+SVSQGHRPDTNEESLPFDIPHRALMISLIESGWAQNPDERPSFLKCLIELEPVLRTFEEI
+TFLEAVIQLKKTKLQNASRTVHLSDKKKRELSPNIPVNSGPREESCGSSQLHKTSGSPGT
+SRSLSAPQDKDFLSAKTQDFSALHQCSVNHSRNSDFCVDCQVAFCDHRTAPCSLAIVNPL
+SAEGNSGRFQPGIAQQWIQSKREDIVSQMTEACLNQSLDALLSRDLIMKEDYELISTKPT
+RTSKVRQLLDTTDIQGEEFARVIVQKLKDNKQMGLQPYPEILVLSQSPSLNFFHNKSHKK
+>sp|Q56K10|RS15_BOVIN 40S ribosomal protein S15 OS=Bos taurus OX=9913 GN=RPS15 PE=2 SV=3
+MAEVEQKKKRTFRKFTYRGVDLDQLLDMSYEQLMQLYSARQRRRLNRGLRRKQHSLLKRL
+RKAKKDAPPMEKPEVVKTHLRDMIILPEMVGSMVGVYNGKTFNQVEIKPEMIGHYLGEFS
+ITYKPVKHGRPGIGATHSSRFIPLK
+>sp|Q9TTJ5|RGN_BOVIN Regucalcin OS=Bos taurus OX=9913 GN=RGN PE=2 SV=1
+MSSIKIECVLRENCHCGESPVWEEASNSLLFVDIPAKKVCRWDSLSKQVQRVTVDAPVSS
+VALRQSGGYVATVGTKFCALNWEDQSAVVLATVDKEKKNNRFNDGKVDPAGRYFAGTMAE
+ETAPAVLERRQGSLYSLFPDHHVEKYFDQVDISNGLDWSMDHKIFYYIDSLSYSVDAFDY
+DLQTGKISNRRSVYKLEKEEQIPDGMCIDVEGKLWVACYNGGRVIRLDPETGKRLQTVKL
+PVDKTTSCCFGGKDYSEMYVTCARDGLDSKGLLQQPEAGGIFKITGLGVKGIPPYPYTG
+>sp|Q2T9P3|RGP1_BOVIN RAB6A-GEF complex partner protein 2 OS=Bos taurus OX=9913 GN=RGP1 PE=2 SV=1
+MIEVVAELSRGPVFLAGEALECVVTVTNPLPPTATSASSEALAWASAQIHCQFHASESRV
+ALPPPDSSQPDVQPESQTVLLPHRGERGQCILSTPPKILFCDLRLDPGESKSYSYSEVLP
+VEGPPSFRGQSVKYVYKLTIGCQRVNSPITLLRVPLRVLVLTGLQDVRFPQDEAVAPSSP
+FLEEDEGGKKDSWLTELAGERLMAATSCRSLHLYNISDGRGKVGTFGIFKSVYRLGEDVV
+GTLNLGEGTVACLQYSVSLQTEERVQPEYQRRRGAGGAPSVSHITHARHQESCLHTTRTS
+FSLPIPLSSTPGFCTAIVSLKWRLHFEFVTSREPGLVLLPPVEQPEPATWTGPEQVPVDT
+FSWDLPIKPEASHTFLSVQPASYCYSVRSHIRAI
+>sp|Q5E9N3|RNF25_BOVIN E3 ubiquitin-protein ligase RNF25 OS=Bos taurus OX=9913 GN=RNF25 PE=2 SV=1
+MAVSASVAAGDEDWVLPSEVEVLESIYLDELQVVKGNGRSSPWEIYITLHPATAEDQDSQ
+YVCFTLVLQVPTQYPHEVPQISIRNPRGLSDEQIHKISQALSHVAEAGLGTAMLYELIEK
+GKEILTDNNIPHGQCVICLYGFQEKEAFTKTPCYHYFRCHCLARYIQHMEHELQAQGRER
+EQERQHAAPEQAVGVQCPVCREPLVYDLASLKAAPEPQQPMELYQPDAESLRQQEERKRL
+YQRQQERGGIIDLEAERNRYFISLQQPPAPLEPESAIDVSRGSHQPSTLATKPSTTSATH
+TALSVSLPLASQYTCEKTPGAGPHLPKLGETQKAVLDPRRASRGPWRQPERRHLKGGECN
+TLKGTSDTQKLQSPEGPLKESMDLKPESHNQGGKGPPQDKGPGEWQGPPPRRTRDCAHWE
+RAKNRTPASSYPRLPRGRGAYRPGPRREPVSLESEDGS
+>sp|Q3MHI7|RF1M_BOVIN Peptide chain release factor 1, mitochondrial OS=Bos taurus OX=9913 GN=MTRF1 PE=2 SV=1
+MNRRHLFAWLFRHLSLNGHLQCHVHRHSHQLTQIPLDTRLWVFRRNRNHTVHRLLNKNCS
+RRYCHQDTSMLWKHKALQKYMEDLNKEYQTLDHCLHHISASEGDRRSLTRRHAELAPLAV
+IYKEIQEAEQAIEELESMCKSLNKQDEKQLQELALEERQTIAQKINMLYSELFQSLLPKE
+KYDKNDVILEVTSGRTTGGDICQQFTREIFDMYQNYSSYKHWRFELLNYTPADYGGLHHA
+AARISGDNVYKHLKYEGGIHRVQRIPEVGLSSRMQRIHTGTMSVIVLPHPDEVDVKVDPK
+DLRIDTFRAKGAGGQHVNTTDSAVRLVHIPTGLVVECQQERSQIKNKEIALRVLRARLYQ
+QIIEKDKCQQRSARKLQVGTRAQSERIRTYNFTQDRVTDHRIAYEVRNIKEFLCGEKCLD
+QLIQRLLQSADEEAITEFLDENLKSVK
+>sp|P42899|RLA2_BOVIN 60S acidic ribosomal protein P2 OS=Bos taurus OX=9913 GN=RPLP2 PE=3 SV=1
+MRYVASYLLAALGGNSSPSAKDIKKILDSVGIEADDDRLNKVISELHGKNIEDVIAQGIG
+KLASVPAGGAVAVSAAPGSAAPAAGSAPAAAEEKKEEKKEESEESDDDMGFGLFD
+>sp|Q1JQ99|RM14_BOVIN 39S ribosomal protein L14, mitochondrial OS=Bos taurus OX=9913 GN=MRPL14 PE=1 SV=1
+MAFSSGLWGPCVHMSRAFSQRCFSTTGSLGAIQKMTRVRVVDNSALGNTPYHRPPRCIHV
+YNKNGVGKVGDRILLAIKGQKKKALIVGHRMPGPTMTPRFDSNNVVLIEDNGNPVGTRIK
+TPIPTSLRQREGEFSKVLAIAQNFV
+>sp|A8YXZ5|RBIS_BOVIN Ribosomal biogenesis factor OS=Bos taurus OX=9913 GN=RBIS PE=3 SV=2
+MAKNKLRGPKSRNVFHIASQKNFKAKNKAKPVTTNLKKINIMNEEKVNRVNKAFVNVQKE
+LAHFAKSISLEPLQKELIPQQRHESKPVNVDEATRLMALL
+>sp|Q3T087|RL11_BOVIN 60S ribosomal protein L11 OS=Bos taurus OX=9913 GN=RPL11 PE=2 SV=3
+MAQDQGEKENPMRELRIRKLCLNICVGESGDRLTRAAKVLEQLTGQTPVFSKARYTVRSF
+GIRRNEKIAVHCTVRGAKAEEILEKGLKVREYELRKNNFSDTGNFGFGIQEHIDLGIKYD
+PSIGIYGLDFYVVLGRPGFSIADKKRRTGCIGAKHRISKEEAMRWFQQKYDGIILPGK
+>sp|Q5E9X6|SPSB1_BOVIN SPRY domain-containing SOCS box protein 1 OS=Bos taurus OX=9913 GN=SPSB1 PE=2 SV=1
+MGQKVTGGIKTVDMRDPTYRPLKQELQGLDYCKPTRLDLLLDMPPVSYDVQLLHSWNNND
+RSLNVFVKEDDKLIFHRHPVAQSTDAIRGKVGYTRGLHVWQITWAMRQRGTHAVVGVATA
+DAPLHSVGYTTLVGNNHESWGWDLGRNRLYHDGKNQPSKTYPAFLEPDETFIVPDSFLVA
+LDMDDGTLSFIVDGQYMGVAFRGLKGKKLYPVVSAVWGHCEIRMRYLNGLDPEPLPLMDL
+CRRSVRLALGKGRLGEIHALPLPASLKAYLLYQ
+>sp|Q08E08|SCN4B_BOVIN Sodium channel subunit beta-4 OS=Bos taurus OX=9913 GN=SCN4B PE=2 SV=1
+MPGARDQGAARARWLGIGLLGLFLLPVSLSLEVSVGKATTIYAVNGTEILLPCTFSSCFG
+FENLHFWWSYNSSDTYKILIDGTVKNEKSDPKVKLKDDDRITLEGSTKEKMNNISISLKN
+LEFSDTGKYTCHVKNPKENDFQHQATIFLQVVDKLEEVDNTVTLIILGVVGGVIGLLIFI
+LLVKKFIAFIIKKTQEKKKECLVSSSGNDNTENGLPGSKAEEKAPTKV
+>sp|Q03255|SRY_BOVIN Sex-determining region Y protein OS=Bos taurus OX=9913 GN=SRY PE=3 SV=2
+MFRVLNDDVYSPAVVQQQTTLAFRKDSSLCTDSHSANDQCERGEHVRESSQDHVKRPMNA
+FIVWSRERRRKVALENPKMKNSDISKQLGYEWKRLTDAEKRPFFEEAQRLLAIHRDKYPG
+YKYRPRRRAKRPQKSLPADSSILCNPMHVETLHPFTYRDGCAKTTYSQMESQLSRSQSVI
+ITNSLLQKEHHSSWTSLGHNKVTLATRISADFPCNKSLEPGLSCAYFQY
+>sp|P33567|SYUB_BOVIN Beta-synuclein OS=Bos taurus OX=9913 GN=SNCB PE=1 SV=1
+MDVFMKGLSMAKEGVVAAAEKTKQGVTEAAEKTKEGVLYVGSKTKEGVVQGVASVAEKTK
+EQASHLGGAVFSGAGNIAAATGLVKKEEFPTDLKPEEVAQEAAEEPLIEPLMEPEGESYE
+EQPQEEYQEYEPEA
+>sp|P58875|S14L2_BOVIN SEC14-like protein 2 OS=Bos taurus OX=9913 GN=SEC14L2 PE=1 SV=2
+MSGRVGDLSPKQKEALAKFRENVQDVLPALPNPDDYFLLRWLRARNFNLQKSEAMLRKHV
+EFRKQKDIDNIMSWQPPEVVQQYLSGGMCGYDLEGSPIWYDIIGPLDAKGLLLSASKQDL
+FKTKMRDCELLLQECVRQTEKMGKKIEATTLIYDCEGLGLKHLWKPAVEAYGEFLCMFEE
+NYPETLKRLFIVKAPKLFPVAYNLVKPFLSEDTRKKIQVLGANWKEVLLKYISPDQLPVE
+YGGTMTDPDGNPKCKSKINYGGDIPKKYYVRDQVKQQYEHSVQISRGSSHQVEYEILFPG
+CVLRWQFMSDGSDIGFGIFLKTKVGERQRAGEMREVLPSQRYNAHLVPEDGSLTCSDPGI
+YVLRFDNTYSFIHAKKVSFTVEVLLPDKALEEKMQQLGAVTPK
+>sp|Q17QV3|SUMO3_BOVIN Small ubiquitin-related modifier 3 OS=Bos taurus OX=9913 GN=SUMO3 PE=3 SV=1
+MSEEKPKEGVKTENDHINLKVAGQDGSVVQFKIKRHTPLSKLMKAYCERQGLSMRQIRFR
+FDGQPINETDTPAQLEMEDEDTIDVFQQQTGGSRVASCLLGSGL
+>sp|Q1RMX6|CC190_BOVIN Coiled-coil domain-containing protein 190 OS=Bos taurus OX=9913 GN=CCDC190 PE=2 SV=1
+MERHMVRGPLHKHFDLERKSAKQAEARLSQRLQRLEDICLYHVKSLTREQRQLQKELQRL
+QQDIIKKKLCSYFGNRSQKRPEDVLTLSSQGGQKHRALQANKASALTTNTTQGRSKTKSQ
+MPPFHHIGPKDPMKSKQQALSQNNRTSHFIEEKLQAQEKESINPPKGKGSNESISLLCHN
+QDVSTHTVDGGPGSGPAGDSEPGHSDETRSNDASTRPDHNPAKQTPLDPVEGGGDFKDKP
+QTSTYLELFEKARKAHYLRHRVPPESERLLSIAEIFGHKESSQSRAEKGCENRVTI
+>sp|Q08E43|ASB8_BOVIN Ankyrin repeat and SOCS box protein 8 OS=Bos taurus OX=9913 GN=ASB8 PE=2 SV=1
+MSSSMWYIMQSIQSKYSLSERLIRTIAAIRSFPHDNVEDLIRGGADVNCTHGTLKPLHCA
+CMVSDADCVELLLEKGAEVNALDGYNRTALHYAAEKDEACVEVLLEYGANPNALDGNRDT
+PLHWAAFKNNAECVRALLESGASVNALDYNNDTPLSWAAMKGNLESVSILLDYGAEVRVI
+NLKGQTPISRLVALLVRGLGTEKEDSCFELLHRAVGHFELRKNGTMPREVAKDQQLCEKL
+TVLCSAPGTLKTLSRYAVRRSLGLQYLPDAVKGLPLPASLKEYLLLIE
+>sp|Q9XSK2|CD63_BOVIN CD63 antigen OS=Bos taurus OX=9913 GN=CD63 PE=2 SV=4
+MAVEGGMKCVKFLLYVLLLVFCACAVGLIAVGVGTHLVLNQTITHGATPSFLLPVVIIAV
+GAFLFLVAFVGCCGACKENYCLMITFAIFLSLIMLVEVAAAIAGYVFRDKVRSEFNKDFR
+QQMKNYPKDNQTASILDKMQKDFECCGAANYTDWEKILAVTNKVPDSCCVNITHNCGINF
+VVKDIHTEGCVEKIAAWLRKNVLVVAAAALGIAFVEILGIVLACCLVKSIRSGYEVM
+>sp|Q3T046|BDH2_BOVIN 3-hydroxybutyrate dehydrogenase type 2 OS=Bos taurus OX=9913 GN=BDH2 PE=2 SV=1
+MGRLDGKVIVLTAAAQGIGRAAALAFAKEGAKVIATDINDSKLQELDKYPGIHTRVLDVT
+KKKQIDQFANDIERLDVLFNVAGFVHHGTILDCEETDWDFSMNLNVRSMYLMIKAFLPKM
+MAQKSGNIINMSSVASSIKGVVNRCVYSTTKAAVIGLTKSVAADFIQQGIRCNCVCPGTV
+DTPSLQERIQARPNPEEALSDFLKRQKTGRFATAEEVALLCVYLASDESAYITGNPVIID
+GGWSL
+>sp|A4IFA7|CBR4_BOVIN Carbonyl reductase family member 4 OS=Bos taurus OX=9913 GN=CBR4 PE=2 SV=1
+MDKVCAVFGGSRGIGRAVARLMAQRGYRLAIVARNLEGARAAAGDLGGDHLALSCDVAKE
+HDVQNTFEEIEKNLGRVNFLVNAAGINRDNLLVRTNTEDMLSQLHTNLLGSMLTCRAALK
+TMIKQQRGSIVNVGSVVGLKGNSGQSVYSASKGGLVGFSRALAKEVAKKKIRVNVVAPGF
+IHTDMTKDLNEELLKKNIPLGRFGDALDVAQAAVFLLESPYVTGHVLVVDGGLQLTM
+>sp|P35526|CLIC5_BOVIN Chloride intracellular channel protein 5 OS=Bos taurus OX=9913 GN=CLIC5 PE=2 SV=1
+MNDENYSTTIYNRVQTERVYEDSDPAENGGPLYDEVHEDVRREDNLYVNELENQEYDSVA
+VYPVGRQGRTSASLQPETGEYVLPDEPYSKAQDPHPGEPTADEDISLEELLSPTKDHQSD
+SEEPQASDPEEPQASDPEEPQGPDPEEPQENGNEMEADLPSPSSFTIQNSRAFSTREISP
+TSYSADDVSEGNESASASPEINLFVKAGIDGESIGNCPFSQRLFMILWLKGVVFNVTTVD
+LKRKPADLHNLAPGTHPPFLTFNGDVKTDVNKIEEFLEETLTPEKYPRLAAKHRESNTAG
+IDIFVKFSAYIKNTKQQSNAALERGLTKALKKLDDYLNTPLPEEIDADTRGDDEKGSRRK
+FLDGDELTLADCNLLPKLHVVKIVAKKYRNYDFPAEMTGLWRYLKNAYARDEFTNTCAAD
+SEIELAYADVAKRLSRS
+>sp|P13605|FMOD_BOVIN Fibromodulin OS=Bos taurus OX=9913 GN=FMOD PE=1 SV=2
+MQWASILLLAGLCSLSWAQYEEDSHWWFQFLRNQQSTYDDPYDPYPYEPYEPYPYGGEEG
+PAYAYGSPPQPEPRDCPQECDCPPNFPTAMYCDNRNLKYLPFVPSRMKYVYFQNNQISSI
+QEGVFDNATGLLWIALHGNQITSDKVGKKVFSKLRHLERLYLDHNNLTRIPSPLPRSLRE
+LHLDHNQISRVPNNALEGLENLTALYLHHNEIQEVGSSMKGLRSLILLDLSYNHLRKVPD
+GLPSALEQLYLEHNNVFSVPDSYFRGSPKLLYVRLSHNSLTNNGLASNTFNSSSLLELDL
+SYNQLQKIPPVSTNLENLYLQGNRINEFSISSFCTVVDVMNFSKLQVLRLDGNEIKRSAM
+PADAPLCLRLASLIEI
+>sp|Q08DM2|DPH2_BOVIN 2-(3-amino-3-carboxypropyl)histidine synthase subunit 2 OS=Bos taurus OX=9913 GN=DPH2 PE=2 SV=1
+MESTFSSPAEAALQREAGSAGLRTSLGDLDRVYELERVVGFVRDLGCQRVALQFPDQLLG
+DAGAVAALLEEATGSKMFILGDTAYGSCCVDVLGAEQAGAQALVHFGPACLSPPARPLPV
+AFVLGQRSVALELCAKAFEAQNPDPTAPVVLLSEPSCAHALEALATLLRPRYLDLLVSSP
+ALPLPAGSFNPNPEPLERFGRRFPLAPGRCLEEYGAFYVGGSEAISDPDLDPDLSRLLLG
+WAPGRPFSSCCPDTGRTQDEGVRAGRLRARRRYLIERARDARVVGLLAGTLGVARHREAL
+AHLRNLTQAAGKRSYVLALGRPTPAKLANFPEVDVFVLLACPLGTLAPQPSGSFFRPVLA
+PCELEAACNPAWPPPGLAPHLTHYTDLLPGSPFHVPLPPPDSELWDAPDVSLITGDLRPP
+PVWKPSDDPGCSALTPKPQLELAESSPAASFLSSRSWKGLQPSLGQTPVTGAVSGRRGIA
+IAYEDEGNS
+>sp|Q8HYJ9|FMO3_BOVIN Dimethylaniline monooxygenase [N-oxide-forming] 3 OS=Bos taurus OX=9913 GN=FMO3 PE=2 SV=1
+MVKKVAIIGAGISGLASIRNCLEEGLEPTCFEKGEDIGGLWKFSDHVEEGRASIYRSVFT
+NSSKEMTCFPDFPFPDDFPNFMHNSKLQEYITMFAKEKNLLKYIQFKTIVSSVNKRPDFQ
+TTGQWDVITEKDGKKESAVFDAVMICSGHHVYPNIPKESFPGIKLFKGKCFHSRDYKEPG
+IFKGKRVLVIGLGNSGCDIASELSHIAEKVIISSRSGSWVMSRVWDEGYPWDMLFITRFE
+TFLKNTLPTVISNWWYMKQMNARFKHENYGLMPLNSTLRKEPVFNDELPACILCGIVTIK
+PNVKEFTEDSAIFEDGTVFKAIDYVIFATGYSYAYPFLDDSIIKSRDNEVTLFKGIFPPP
+LEKPTLAVIGLVQSLGAAIPTTDLQSRWAVQVIKGTCPLPSVKDMMNDIDEKMGKKLKLF
+GKSDTIQTDYVVYMDELASFIGAKPNIPWLFLTDPKLALEVYFGPCTPYQFRLVGPGKWP
+GARNAILTQWDRLLKPMTTRVVGSPLKPCLFCNWFRPVLISVVSIAALIVLF
+>sp|Q3B7M2|GLO2_BOVIN Hydroxyacylglutathione hydrolase, mitochondrial OS=Bos taurus OX=9913 GN=HAGH PE=2 SV=3
+MVLGRGLLGRWSVAELGAVCARLGLGPALLGSLHHLGLRKSLTVDQGTMKVELLPALTDN
+YMYLLIDEDTKEAAIVDPVQPQKVVETARKHGVKLTTVLTTHHHWDHAGGNEKLVKLEPG
+LKVYGGDDRIGALTHKVTHLSTLQVGSLHVKCLSTPCHTSGHICYFVTKPNSPEPPAVFT
+GDTLFVAGCGKFYEGTADEMYKALLEVLGRLPADTRVYCGHEYTINNLKFARHVEPDNTA
+VREKLAWAKEKYSIGEPTVPSTIAEEFTYNPFMRVREKTVQQHAGETEPVATMRAIRKEK
+DQFKMPRD
+>sp|A4FUF0|GLYR1_BOVIN Putative oxidoreductase GLYR1 OS=Bos taurus OX=9913 GN=GLYR1 PE=2 SV=1
+MAAVSLRLGDLVWGKLGRYPPWPGKIVNPPKDLKKPRGKKCFFVKFFGTEDHAWIKVEQL
+KPYHAHKEEMIKINKGKRFQQAVDAVEEFLRRAKGKDQTSSHSSADDKNRRNSSEERSRP
+ISGDEKRKLSLSEGKVKKNMGEGKKRVPSGSSERGSKSPLKRAQEQSPRKRGRPPKDEKD
+LSIPESSTVKGMMAGPMATFKWQPNVSEPVKDADPHFHHFLLSQTEKPAVCYQAITKKLK
+ICEEETGSTSIQAADSTAVNGSVTPTDKKIGFLGLGLMGSGIVSNLLKMGHTVTVWNRTA
+EKCDLFIQEGARLGRTPAEVVSTCDITFACVSDPKAAKDLVLGPSGVLQGIRPGKCYVDM
+STVDADTVTELAQVIVSRGGRFLEAPVSGNQQLSNDGMLVILAAGDRGLYEDCSSCFQAM
+GKTSFFLGEVGNAAKMMLIVNMVQGSFMATIAEGLTLAQVTGQSQQTLLDILNQGQLASI
+FLDQKCQNILQGNFKPDFYLKYIQKDLRLAIALGDAVNHPTPMAAAANEVYKRAKALDQS
+DNDMSAVYRAYIH
+>sp|Q58CX2|FAKD3_BOVIN FAST kinase domain-containing protein 3, mitochondrial OS=Bos taurus OX=9913 GN=FASTKD3 PE=2 SV=2
+MALVTLRRNLYHLSDFRIHGALAALKTQQVNHVHKTVKEHLCPWFWSQHPGPIRVRFHHA
+HCKKFHSENGNDLHPVGEPGFSQVHNWDRFEHSVKNVDEQMFYRKLNSFTSSGEILRFVS
+TLETLPDTMMAGALHRICEVERKDGDQRLPKEILESSAFQALCDRFGRDPSDLSNAGLVT
+AFQALTLLCGDPQSHLLMNLEAECQHRLERGGLDVHSLCILGETLIKLHGPGCSTLDLII
+YQLQGESLETFTPEDIVTVYRLLQASPEKADQQQRFLNKINHFSLSLVSNLSPKLMSQML
+TALVVLDQTQALPLVIKLSKYVVRHIARFTSEELRKVLEALIYFGHSDRFFTETLEQHVA
+SLCLTLDPELVSRVMEYCSRKLILSEPIFNAVAETFVCQAEKFSPSQTAKLIEPFGKLNY
+LPPNASALFRKLENMLLTRFNHFPPKTLLRLLHSCSLIESHPVNFMAKIFSPYFLQQLQG
+AESYLDRLSLAQLTQLFLTSILECPFYKGPKLLPKFQVKSFLTPCSSLETPMDFHLYKSV
+MIGLIDLLGARLYFSSKVLTPYCYTIDVEIKLDEDGFVLPFTIDEDVHKRVALCIDGPKR
+FCLNSKHLLGKEATKQRHLRLLGYQVVQIPYYEIEMLKSRLELVDYLQGKLFSQNSGGHW
+>sp|Q29RZ1|GALD1_BOVIN Glutamine amidotransferase-like class 1 domain-containing protein 1 OS=Bos taurus OX=9913 GN=GATD1 PE=2 SV=1
+MASERLPSRPACLLVASGAAEGVSAQSFLHCFTLASAAFNLQVATPGGKTMDFVDVNESN
+ARWVQDFRLKAYASPAKLESIDGARYHALLIPSCPGALVDLASSGSLARILQHFHSESKP
+ICAVGHGVAALCCATSEDRSWVFQGYSVTGPSVYELVRAPGFAHLPLIVEDFVKDAGACF
+SASEPDAMHVVLDRHLVTGQNASSTVPAVQNLLFLCGSRK
+>sp|P05009|IFNAC_BOVIN Interferon alpha-C OS=Bos taurus OX=9913 GN=IFNAC PE=2 SV=1
+MAPAWSFRLALLLLSCNAICSLGCHLPHTHSLANRRVLMLLGQLRRVSPSSCLQDRNDFA
+FPQEALGGSQLQKAQAISVLHEVTQHTFQLFSTEGSATMWDESLLDKLRDALDQQLTDLQ
+FCLRQEEELQGAPLLKEDSSLAVRKYFHRLTLYLQEKRHSPCAWEVVRAQVMRAFSSSTN
+LQESFRRKD
+>sp|E1BBG2|MILK1_BOVIN MICAL-like protein 1 OS=Bos taurus OX=9913 GN=MICALL1 PE=1 SV=2
+MAGPRGALLAWCRRQCEGYRGVDIRDLSSSFRDGLAFCAILHRHRPDLLDFDSLSKDNVF
+ENNRLAFEVAEKELGIPALLDPNDMVSMSVPDCLSIMTYVSQYYNHFAGSGPAGVSSPRK
+GLVLSSPPSEASTPADPGDRAQGEECSSGSLSKQGSHRTPSSTCAACQQHVHLVQRYLAD
+GKLYHRHCFRCRRCSSTLLPGAYRNGPEEGTFVCAEHCARLGPSGRSGARPGTPPQPKQQ
+QLTEEAKEVEGGSPSPKATAGAEADVPKASPEGRPQVPTKPRVPGRPQELASPPASRPTP
+APRKASESTAPTPPTPRPRSSLQQENLVEQGGGSGLVNGKLQEPPIPKPRGTPKLSERTP
+APRKDPPWITLVQAEPKKKPAPLPPSSSPGPPPGQEGRQVENGGVDKAAPRGPEPKPYNP
+FEEEEEEPPAAPSPAPGPAPTPPESTPKSLHPWYGITPTSSPKTKKRPAPRAPSTSPLTL
+HASRLSRSEPPSATPSPALSVESLSSESSSQAPSEELLEPPVVPKSSSEPAVHAPGTPGT
+SASLSANSSLSSSGELVQPSMDRTPQASPGLAPNSRGSPGPPPAKPCSGTAPTPLVLVGD
+KSPAPSPGTSSPQLQVKSSCKENPFNRKPSPTASPSVKKATKGSKPARPPAPGHGFPLIK
+RKVQSDQYIPEEDIHGEIDTIERQLDALEHRGVLLEEKLRGGVNEGREDDMLVDWFKLIH
+EKHLLVRRESELIYVFKQQNLEQRQADVEYELRCLLNKPEKDWTEEDRGREKVLMQELVT
+LIEQRNAIVNCLDEDRQREEEEDKMLEAMIKKKEFQKETEPEGKKKGKFKTMKVLKLLGN
+KRDTKSKCPGDRS
+>sp|P00711|LALBA_BOVIN Alpha-lactalbumin OS=Bos taurus OX=9913 GN=LALBA PE=1 SV=2
+MMSFVSLLLVGILFHATQAEQLTKCEVFRELKDLKGYGGVSLPEWVCTTFHTSGYDTQAI
+VQNNDSTEYGLFQINNKIWCKDDQNPHSSNICNISCDKFLDDDLTDDIMCVKKILDKVGI
+NYWLAHKALCSEKLDQWLCEKL
+>sp|A7MBI0|PACN1_BOVIN Protein kinase C and casein kinase substrate in neurons protein 1 OS=Bos taurus OX=9913 GN=PACSIN1 PE=2 SV=1
+MSGSYDEASLAPEETTDSFWEVGNYKRTVKRIDDGHRLCNDLMSCVQERAKIEKAYAQQL
+TDWAKRWRQLLEKGPQYGSLERAWGAIMTEADKVSELHQEMKNSLLNEDLEKVKNWQKDA
+YHKQIMGGFKETKEAEDGFRKAQKPWAKKMKELEAAKKAYHLACKEEKLAVTREMNSKTE
+QSVTPEQQKKLQDKVDKCKQDVQKTQEKYEKVLDDVGKTTPQYMEGMEQVFEQCQQFEEK
+RLVFLKEVLLDIKRHLNLAESSSYVQVYRELEQAIRGADAQDDLRWFRSTSGPGMPMNWP
+QFEEWNPDLPHTAAKKEKQPKKAEGAALTNAAGVVESTSQAGDRGSVSSYDRGQTYATEW
+SDDESGNPFGGSEANGGSNPFDEDAKGVRVRALYDYDGQEQDELSFKAGDELTKLGEEDE
+QGWCRGRLDSGQLGLYPANYVEVV
+>sp|Q0II41|ODFP4_BOVIN Outer dense fiber protein 4 OS=Bos taurus OX=9913 GN=ODF4 PE=2 SV=1
+MNIRSLERAGRAGKQDGVAVSPGQEEEVQNCVSTHDSNWPVIKDHSVKLHRVSPLLLQCR
+ITHSSRWIAQVLASELSLLAFILLVVMVFSKKWLCFERIRFYQHWTMNVTTKIYTSVHIM
+SLGLLHIYKSKSYSNSEWESFKLWTNHPAFGVAKITFCLALGLGIILTIWLHLPYIPGLQ
+KLPFFGWIGTVMSFCEGAL
+>sp|Q2KJ94|RAD51_BOVIN DNA repair protein RAD51 homolog 1 OS=Bos taurus OX=9913 GN=RAD51 PE=2 SV=1
+MAMQMQLEANADTSVEEESFGPQPISRLEQCGINANDVKKLEEAGFHTVEAVAYAPKKEL
+INIKGISEAKADKILTEAAKLVPMGFTTATEFHQRRSEIIQITTGSKELDKLLQGGIETG
+SITEMFGEFRTGKTQICHTLAVTCQLPIDRGGGEGKAMYIDTEGTFRPERLLAVAERYGL
+SGSDVLDNVAYARGFNTDHQTQLLYQASAMMVESRYALLIVDSATALYRTDYSGRGELSA
+RQMHLARFLRMLLRLADEFGVAVVITNQVVAQVDGAAMFAADPKKPIGGNIIAHASTTRL
+YLRKGRGETRICKIYDSPCLPEAEAMFAINADGVGDAKD
+>sp|Q58DQ3|RL6_BOVIN 60S ribosomal protein L6 OS=Bos taurus OX=9913 GN=RPL6 PE=2 SV=3
+MAGEKAEKPDTKEKKPEAKKADAGKKAKKVEKVKKVKKGKPHCSRNPVLVRGIGRYSRSA
+MYSRKALYKRKYSAAKSKVEKKKKVRVLATVTKPVGGDKNGGTRVVKLRKMPRYYPTEDV
+PRKLLSHGKKPFSKHVRKLRASITPGTILIILTGRHRGKRVVFLKQLGSGLLLVTGPLSL
+NRVPLRRTHQKFVIATSTKIDISGVKIPEHLTDTYFKKKKLRKPRHQEGEIFDTEREKYE
+ITEQRKVDQKAVDSQILRRIKAVPQLQGYLRSVFALTNGIYPHKVVF
+>sp|Q3ZCI8|REEP4_BOVIN Receptor expression-enhancing protein 4 OS=Bos taurus OX=9913 GN=REEP4 PE=2 SV=1
+MVSWMICRLVVLVFGMLYPAYASYKAVKTKNIREYVRWMMYWIVFALFMAVETFTDIFIS
+WFPFYYEIKMAFVLWLLSPYTRGASMLYRKFVHPSLSRHEKEIDTYIVQAKERSYETVLS
+FGKRGLNIAASAAVQAATKSQGALAGKLRSFSMQDLRTIPDGPAPTYQDPLYLEDQVPHR
+RPPIGYRAGGLRDSDTDNECWSDTEVVPQPPARPREKPLGRSQSLRVIKRKPLAREGTSR
+SLKVRTRKKTAPSDMDS
+>sp|A0JN61|RPC9_BOVIN DNA-directed RNA polymerase III subunit RPC9 OS=Bos taurus OX=9913 GN=CRCP PE=2 SV=1
+MEVKDANAALLSNYEVFQLLTDLKEQRKESGKNKHSSGQQNLNTITYETLKYISKTPCKH
+QSPEIVREFLTAMKSHKLTKAEKLQLLNHRPVTAVEIQLMVEESEERLTEEQIEALLHTV
+TSILPAGPEAEQQQNASDDVAMDEEDPA
+>sp|Q2YDD6|SNG4_BOVIN Synaptogyrin-4 OS=Bos taurus OX=9913 GN=SYNGR4 PE=2 SV=1
+MHIPESLQDLADSEAVQFLKRPKAITRIFAGVFSLIVFSSLLTDGYQNKTDNSELHCVLN
+SNSTACSIAVGAGLLAFLSSLAFLALDAHEVRLASTRFKTAFQLLDLILAVIWAGVWAVG
+FCFLANQWHRSPPRYFLLGSNSAKAAITFSFFSILVWIFQAYLAFQELRNDAPVPYKRSL
+DEGGVVLTSLSPPSAASPVNTPTTGPHGPSYASSSLSPYLSTPKAPRLAMMPDN
+>sp|Q3MHH1|SHQ1_BOVIN Protein SHQ1 homolog OS=Bos taurus OX=9913 GN=SHQ1 PE=2 SV=1
+MLTPAFDLSQDPDFLTVAIRVPYARVSEFDVYFEGVDFKFYAKPYFLRLTLPGRIVENGN
+EQGSYDADKGIFTIRLPKETPGQHFEGLNMLTALLAPRKSRTAKPLVEEIGASEVSEGGV
+EDDDDEFDWEIEQSPYEEVPESALNPNCRYGFGNLRSGVFQRLQDELSDVIDIKDPDFTS
+AAERRQKRLAAELAKFDPDHYLADFMEDEAVKQVLEYNPWWSDLYSEMMTSLGKSQEEEN
+LAALVSFSEEEKYQLRKFVNKSYLLDKKAHRQVYYSLIDILLAYCYETRVTEGERNVESA
+WNIRKLSPTLCWFETWTNVHEILVSFGRRVLCYPLYRHFKLVMKTYRDTIKILQLGKSAV
+LKCLLDIHKIFQENDPAYILNDLYISDYCVWIQKAKSKKLAALAEALKKASLTKAQLGLE
+LEELEAAAFLVQEEEATSKAGVHSTSRQQTPSSSSETSGSEESEGSASLETEESDSEQEE
+PGGGESETGHSLLGPFVEESSMALLLNDGGMCRSTTFLGSDGGRGQPLASPGAPIAFGPL
+IQELGEQLETSVQISEPKDSAAGQQDSVLERESRQTPED
+>sp|Q3SYV1|TM263_BOVIN Transmembrane protein 263 OS=Bos taurus OX=9913 GN=TMEM263 PE=3 SV=1
+MNQTDKNQQEIPSYLSDEPPEGSMKDHPQQQPGMLSRVTGGIFSVTKGAVGATIGGVAWI
+GGKSLEVTKTAVTTVPSMGIGLVKGGVSAVAGGVTAVGSAVVNKVPLTGKKKDKSD
+>sp|Q32KP2|LRC23_BOVIN Leucine-rich repeat-containing protein 23 OS=Bos taurus OX=9913 GN=LRRC23 PE=2 SV=1
+MSDEDDLEDFETDQDDLEREDDEKETEEWEDYRKEGEESEDWISTPLTEDMMKEGLSLLC
+KTGNGLAHAYVKLEIKDRDLTDIHLLRSYIHLRYVDVSENHLTDLSPLNHLTNLLWLKAD
+GNQLRSARLNELPYLQIASFAYNQITDTEGISHPRLASLDLKGNRIHMVTGLDPQKLISL
+HTLELRGNQLNSTLGINLPKLKNLFLAQNMLKKVEGLENLSNLTTLHLRDNQIETLSGFS
+KEMKSLQYLNLRGNMVADLGELAKLRDLPRLRALVLLDNPCTDENDYRQEALVQIAHLER
+LDKEFYEEEERAEADEIRQRMKEEQEQEAEVEPESELDQSST
+>sp|Q5E981|ID3_BOVIN DNA-binding protein inhibitor ID-3 OS=Bos taurus OX=9913 GN=ID3 PE=3 SV=1
+MKALSPVRGCYEAVCCLSERSLAIARGRGKSPAAEEPLSLLDDMNHCYSRLRELVPGVPR
+GTQLSQVEILQRVIDYILDLQVVLAEPAPGPPDGPHLPIQTAELAPELVISNDQRSFCH
+>sp|O46419|LIPT_BOVIN Lipoyltransferase 1, mitochondrial OS=Bos taurus OX=9913 GN=LIPT1 PE=1 SV=1
+MLIPFSMKNCFQLLCNLKVPAAGFKNTVKSGLILQSISNDVYHNLAVEDWIHDHMNLEGK
+PVLFLWRNSPTVVIGRHQNPWQECNLNLMREEGVKLARRRSGGGTVYHDMGNINLTFFTT
+KKKYDRMENLKLVVRALKAVHPHLDVQATKRFDLLLDGQFKISGTASKIGRNAAYHHCTL
+LCGTDGTFLSSLLKSPYQGIRSNATASTPALVKNLMEKDPTLTCEVVINAVATEYATSHQ
+IDNHIHLINPTDETVFPGINSKAIELQTWEWIYGKTPKFSVDTSFTVLHEQSHVEIKVFI
+DVKNGRIEVCNIEAPDHWLPLEICDQLNSSLIGSKFSPIETTVLTSILHRTYPGDDELHS
+KWNILCEKIKGIM
+>sp|Q2T9M9|JHY_BOVIN Jhy protein homolog OS=Bos taurus OX=9913 GN=JHY PE=2 SV=1
+MSHSKFIPTVSIQSPVHHTNIKVQSTEPSFKKEDLHLISKDSLESDSESPTQKIKSQSDL
+EDQIQDNDMEPDSLEEENLSETEEEASRKAAQRAKKENLHTQDAAAINSRQPTEDKYSHI
+RYDPNWKSKKEEGKLLPVEALPESVDSSTENLTLNPLYPSKEPSMDLSAGKGEQKSPRST
+ASLLGSEFVSPNYELSTHRTEPFSVLSDSDPEEKSSNLSRYLKSSSSRSEAFLPGSRGPR
+RRKSKQYFVEKNKLTLGLPTPRMDSYLQLHNKKRGEGHLEQISYPVRVTDKTSIQNARET
+GNVAIDPEDKWHQRAQQLKDYQEHWSQYEHEKSSSGPRGQSSETTNGQQPSRKPAKHKIR
+KQRRHRHGPKSLVTEELVVSQGNQNNTPRHQQNPNKPIDTEVTQETVVIMNATNDDLQYS
+SVLRSQDPTVTSNQYAPLHQISDKVLYKNPARYYPVTNANRERGHNDQEEKRFSYQQLHI
+DTLSDMHLNYLHELNKKHPSGSQKGSQSVSNINRQASTEKKKQPKLAYTETKYKNLEILW
+KFHSSSEEQPAKASPDSRLSQIMEQHQQALLQLTEVQPHEGASPGLTLPPILPRVESESQ
+LSSERSQRNQVKISRSNSESYLFQLEKGKKHRKRSSIKSSKLKGYQNRDVKLGGLGPDLE
+SIRDKMQKLIQQKEYAKQVKEYNMKALSIPSKPQTAITENKSAVPRQKALEYAKTIPKPK
+PSNLSDQASKEKKTPTHAGKEDTLPEISLLEVLQNRHEREKQAVAAFKVLHIV
+>sp|Q32L31|HMGB3_BOVIN High mobility group protein B3 OS=Bos taurus OX=9913 GN=HMGB3 PE=2 SV=2
+MAKGDPKKPKGKMSAYAFFVQTCREEHKKKNPEVPVNFAEFSKKCSERWKTMSGKEKSKF
+DEMAKADKVRYDREMKDYGPAKGGKKKKDPNAPKRPPSGFFLFCSEFRPKIKSANPGISI
+GDVAKKLGEMWNNLSDSEKQPYINKAAKLKEKYEKDVADYKSKGKFDGAKGAAKVARKKV
+EEEDEEDEEEEEEEEEEEDE
+>sp|Q2KIC5|ITPA_BOVIN Inosine triphosphate pyrophosphatase OS=Bos taurus OX=9913 GN=ITPA PE=2 SV=1
+MAASLAGKKIVFVTGNAKKLEEVIQILGDKFPCTLVAQKIDLPEYQGEPDEISIRKCQEA
+ARQVQGPVLVEDTCLCFNALGGLPGPYIKWFLEKLKPEGLHQLLEGFQDKSAYALCTFAF
+STGDPNEPVRLFRGRTMGRIVVPRGCRDFGWDPCFQPDGYEQTYAEMPKAEKNTISHRFR
+ALLALQEYFSSLTPGVGDDHPSWGSGEG
+>sp|Q2KIN5|HEM3_BOVIN Porphobilinogen deaminase OS=Bos taurus OX=9913 GN=HMBS PE=2 SV=1
+MSGNGNAAAIAEEDTPKMRVIRVGTRKSQLARIQTDSVVATLKALYPGLQFEIIAMSTTG
+DKILDTALSKIGEKSLFTKELEHALERNEVDLVVHSLKDLPTVLPPGFTIGAVCKRESPY
+DAVVFHPKFVGKTLETLPEKSVVGTSSLRRAAQLQRKFPHLEFKSIRGNLNTRLRKLDEL
+QEFSAIILATAGLQRMGWQNRVGQILHPEECMYAVGQGALGVEVRAKDQDILDLVGVLHD
+PETLLRCIAERSFLRHLEGGCSVPVAVHTAIKDGQLYLTGGVWSLNGAETMQDTMQTTIH
+VPVQHEDGPEDDPQLVGITARNIPRQPQLAAENLGISLATLLLNKGAKNILDVARQLNEA
+H
+>sp|P21327|INPP_BOVIN Inositol polyphosphate 1-phosphatase OS=Bos taurus OX=9913 GN=INPP1 PE=1 SV=2
+MSDILQELLRVSEKAANIARACRQQETLFQLLIEEKKEGEKNKKFAVDFKTLADVLVQEV
+IKENMENKFPGLGKKIFGEESNEFTNDLGEKIIMRLGPTEEETVALLSKVLNGNKLASEA
+LAKVVHQDVFFSDPALDSVEINIPQDILGIWVDPIDSTYQYIKGSADITPNQGIFPSGLQ
+CVTVLIGVYDIQTGVPLMGVINQPFVSQDLHTRRWKGQCYWGLSYLGTNIHSLLPPVSTR
+SNSEAQSQGTQNPSSEGSCRFSVVISTSEKETIKGALSHVCGERIFRAAGAGYKSLCVIL
+GLADIYIFSEDTTFKWDSCAAHAILRAMGGGMVDLKECLERNPDTGLDLPQLVYHVGNEG
+AAGVDQWANKGGLIAYRSEKQLETFLSRLLQHLAPVATHT
+>sp|Q08DK3|KLH20_BOVIN Kelch-like protein 20 OS=Bos taurus OX=9913 GN=KLHL20 PE=2 SV=3
+MEGKPMRRCTNIRPGETGMDVTSRCTLGDPNKLPEGVPQPARMPYISDKHPRQTLEVINL
+LRKHRELCDVVLVVGAKKIYAHRVILSACSPYFRAMFTGELAESRQTEVVIRDIDERAME
+LLIDFAYTSQITVEEGNVQTLLPAACLLQLAEIQEACCEFLKRQLDPSNCLGIRAFADTH
+SCRELLRIADKFTQHNFQEVMESEEFMLLPANQLIDIISSDELNVRSEEQVFNAVMAWVK
+YSIQERRPQLPQVLQHVRLPLLSPKFLVGTVGSDPLIKSDEECRDLVDEAKNYLLLPQER
+PLMQGPRTRPRKPIRCGEVLFAVGGWCSGDAISSVERYDPQTNEWRMVASMSKRRCGVGV
+SVLDDLLYAVGGHDGSSYLNSVERYDPKTNQWSSDVAPTSTCRTSVGVAVLGGFLYAVGG
+QDGVSCLNIVERYDPKENKWTRVASMSTRRLGVAVAVLGGFLYAVGGSDGTSPLNTVERY
+NPQENRWHTIAPMGTRRKHLGCAVYQDMIYAVGGRDDTTELSSAERYNPRTNQWSPVVAM
+TSRRSGVGLAVVNGQLMAVGGFDGTTYLKTIEVFDPDANTWRLYGGMNYRRLGGGVGVIK
+MTHCESHIW
+>sp|Q5E9D6|HDHD3_BOVIN Haloacid dehalogenase-like hydrolase domain-containing protein 3 OS=Bos taurus OX=9913 GN=HDHD3 PE=2 SV=1
+MAHRLQLRLLTWDVKDTLLRLRHPVGVEYATKARAHGLEVEATALGQAFKQAYKAQSQSF
+PNYGLGHGLTSHQWWLDLVQQTFHQAGVRDAQAVAPIAEQLYKDFSSPSTWQVLEGAEAT
+LRGCRKRGLKLAVVSNFDRRLEDILEGVGLREHFDFVLTSEAAGWPKPDPRIFHEALHLA
+QVEPAVGAHIGDSYQRDYKGARAVGMHSFLVAGPEPLDPAVKDSVPQEHFLPSLSHLLPA
+LDYLEGSSARL
+>sp|A4IFB4|KCTD7_BOVIN BTB/POZ domain-containing protein KCTD7 OS=Bos taurus OX=9913 GN=KCTD7 PE=2 SV=1
+MVVVTGREPDSRRPDGAMSSSDAEDDFLEPATPTATQAGHSLPLLPQEFPEVVPLNIGGA
+HFTTRLSTLRRYEDTMLAAMFSGRHYIPTDAEGRYFIDRDGAHFGDVLNFLRSGDLPPRE
+RVRAVYKEAQYYAIGPLLEQLENMQPLKGEKVRQAFLGLMPYYKDHLERIVEIARLRAVQ
+RKARFAKLKVCVFKEEMPITPYECPLLNSLRFERSESDGQLFEHHCEVDVSFGPWEAVAD
+VYDLLHCLVTDLSAQGLTVDHQCIGVCDKHLINHYYCKRPIYEFKITWW
+>sp|P23709|NDUS3_BOVIN NADH dehydrogenase [ubiquinone] iron-sulfur protein 3, mitochondrial OS=Bos taurus OX=9913 GN=NDUFS3 PE=1 SV=1
+MAAAVAAAARGCWQRLVGSAAPARVAGRPSVLLLPVRRESSAADTRPTVRPRNDVAHKQL
+SAFGEYVAEILPKYVQQVQVSCFNELEICIHPDGVIPVLTFLRDHSNAQFKSLADLTAVD
+IPTRQNRFEIVYNLLSLRFNSRIRVKTYTDELTPIESSVPVYKAANWYEREIWDMFGVFF
+ANHPDLRRILTDYGFEGHPFRKDFPLSGYVELRYDDEVKRVVAEPVELAQEFRKFDLNSP
+WEAFPAYRQPPESLKLEAGDTKPEAK
+>sp|Q02368|NDUB7_BOVIN NADH dehydrogenase [ubiquinone] 1 beta subcomplex subunit 7 OS=Bos taurus OX=9913 GN=NDUFB7 PE=1 SV=2
+MGAHLARRYLGDASVEPDPLRMPTFPPDYGFPERKEREMVATQQEMNDAQLVLQQRDYCA
+HYLIRFLKCKRDSFPNFLACKHERHDWDYCEHLDYVKRMKEFERERRLLQRKKRREQREA
+DMAKGLGPGEVAPEVAL
+>sp|Q28139|NCKX1_BOVIN Sodium/potassium/calcium exchanger 1 OS=Bos taurus OX=9913 GN=SLC24A1 PE=1 SV=2
+MGKLIRMGAQERRSLWPKRLHWSRPLFLLGMLIIGSTYQYLTSPQGLPTLWAAVSSQHPV
+KVASRDLSNKEMMMVSSETSKSSSEMEVEAWAPEATAGRDGTPPGIARKNTPSTPRGTAS
+ITPAIPNNYSPTPTGTGKVKEDTSATPSGVLNHYTQSRPMVNSYTRLTARGEVKSSRPTQ
+SRGKEEKYSPSPLGRMVNSYAPSTLMTMPRSHGITPRTTVKDREIMATKKMLATNPSKRL
+VEKTTPTPLKGITDNTPTFLLSDLETDTLTSPRNVVEKKTLTTPRRVDSNSSTNHQGLVG
+KNNLTTPQGMVLEHTAAVSEGQVTISTMTRSSPTETKASTDAWKVRNPLPRTSAPIIRIS
+SATFRGLLKNPSKAPSTPAAPRVRANPTIQVRHCLVVEPAPVAPTAPSPSWTTAVIPGIP
+SPSGQPDLYPKAEYPRDLFSVEERRQGWVVLHIFGMMYVFVALAIVCDEYFVPALGVITD
+KLQISEDVAGATFMAAGGSAPELFTSLIGVFISHSNVGIGTIVGSAVFNILFVIGTCALF
+SREILNLTWWPLFRDITFYIFDLMMLILFFLDSLIAWWESVLLLLAYAFYVFTMKWNQQL
+ELWVKEQLNKRPVAKVMALGDLSKPGDGTVVVDEQQDNKKLKLSSMLTRGSSSASLHNST
+IRSTIYQLMLHSLDPLGEARPSKDKEEETLIPEAKATPQAKAESKPEEEPAKLPEVTVTP
+APAPDVKGDQEEDPGSQGVGAEAENTGERTGGEAEAPAEGENGERSGGDAALGGESEGKA
+ENESEGDIPAERRGDDEDEGEIQAEGGEVKGDEDEGEIQAGEGGEVEGDEDEGEIQAGEG
+GEVEGDEDEGEIQAGEAGEVEGDEDEGEIQAGEGGEVEGDEDEGEIQAGEAGEVEGDEDE
+GEIQAGEGGEVKGDEGEIQAGEAGEVEGEDGEVEGGEDEGEIQAGEGGEGETGEQELNAE
+IQGEAKDDEEGVDGEGGGDGGDSEDEEEEDEEEEDEEEEEEEEEEEEEENEQPLSLEWPE
+TRRKQAIYLFLLPIVFPLWLTVPDVRRLEAKKFFVITFLGSILWIAMFSYLMVWWAHQVG
+ETIGISEEIMGLTILAAGTSIPDLITSVIVARKGLGDMAVSSSVGSNIFDITVGLPLPWM
+LFSLINGLQPVAVSSNGLFCAIVLLFLMLLFVISSIALCKWRMNKILGFTMFLLYFVFLI
+ISVMLEDRIISCPVSV
+>sp|Q3SYT8|NCPR_BOVIN NADPH--cytochrome P450 reductase OS=Bos taurus OX=9913 GN=POR PE=2 SV=3
+MADSNMDAGTTTSEMVAEEVSLFSTTDVILFSLIVGVMTYWFLFRKKKEEVPEFTKIQTT
+TSSVKDRSFVEKMKKTGRNIIVFYGSQTGTAEEFANRLSKDAHRYGMRGMAADPEEYDLA
+DLSSLPEIEKALAIFCMATYGEGDPTDNAQDFYDWLQETDVDLSGVKYAVFALGNKTYEH
+FNAMGKYVDKRLEQLGAQRIFDLGLGDDDGNLEEDFITWREQFWPAVCEHFGVEATGEES
+SIRQYELMVHTDMDMAKVYTGEMGRLKSYENQKPPFDAKNPFLAVVTTNRKLNQGTERHL
+MHLELDISDSKIRYESGDHVAVYPANDSALVNQLGEILGADLDIIMSLNNLDEESNKKHP
+FPCPTSYRTALTYYLDITNPPRTNVLYELAQYASEPTEHEQLRKMASSSGEGKELYLRWV
+LEARRHILAILQDYPSLRPPIDHLCELLPRLQARYYSIASSSKVHPNSVHICAVAVEYET
+KTGRINKGVATSWLRAKEPAGENGGRALVPMYVRKSQFRLPFKATTPVIMVGPGTGVAPF
+IGFIQERAWLRQQGKEVGETLLYYGCRRSDEDYLYREELAGFHKDGALTQLNVAFSREQP
+QKVYVQHLLKKDKEHLWKLIHEGGAHIYVCGDARNMARDVQNTFYDIVAEQGAMEHAQAV
+DYVKKLMTKGRYSLDVWS
+>sp|P61223|RAP1B_BOVIN Ras-related protein Rap-1b OS=Bos taurus OX=9913 GN=RAP1B PE=2 SV=1
+MREYKLVVLGSGGVGKSALTVQFVQGIFVEKYDPTIEDSYRKQVEVDAQQCMLEILDTAG
+TEQFTAMRDLYMKNGQGFALVYSITAQSTFNDLQDLREQILRVKDTDDVPMILVGNKCDL
+EDERVVGKEQGQNLARQWNNCAFLESSAKSKINVNEIFYDLVRQINRKTPVPGKARKKSS
+CQLL
+>sp|Q2HJH2|RAB1B_BOVIN Ras-related protein Rab-1B OS=Bos taurus OX=9913 GN=RAB1B PE=2 SV=1
+MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDTYTESYISTIGVDFKIRTIELDGKTIKLQ
+IWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESYANVKQWLQEIDRYASENVNKLLVG
+NKSDLTTKKVVDNTTAKEFADSLGIPFLETSAKNATNVEQAFMTMAAEIKKRMGPGAASG
+GERPNLKIDSTPVKQAGGGCC
+>sp|Q5E973|RL18_BOVIN 60S ribosomal protein L18 OS=Bos taurus OX=9913 GN=RPL18 PE=2 SV=3
+MGVDIRHNKDRKVRRKEPKSQDIYLRLLVKLYRFLARRTNSTFNQVVLKRLFMSRTNRPP
+LSLSRMIRKMKLPGREGKTAVVVGTITDDVRVQEVPKLKVCALRVSSRARSRILKAGGKI
+LTFDQLALDSPKGCGTVLLSGPRKGREVYRHFGKAPGTPHSHTKPYVRSKGRKFERARGR
+RASRGYKN
+>sp|Q2KJ58|R3GEF_BOVIN Guanine nucleotide exchange factor for Rab-3A OS=Bos taurus OX=9913 GN=RAB3IL1 PE=2 SV=1
+MWSGQPHPDEGHPPPLEAVPVPWKSVGPCKSHRESLGGLPETPAGEEAQGEEGPAATQLD
+VSRLRSSSMEIREKGSEFLKEELHKAQKELKLKDEECERLSKVREQLEQELEELTASLFE
+EAHKMVREANMKQAASEKQLKEARGKIDMLQAEVTALKTLVITSTPASPNRELHPQLLSP
+TKAGPRKGHLRHKSTSSALCPAVCPVAGHILTPDKEGKEVDTTLFAEFQAWRESPTLDKT
+SPFLERVYREDVGPCLDFTMQELSALVRAAVEDNTLTIEPVASQTLPAVKVAAVDCGHTN
+GFRAPIDTTCALSGLACACRHRIRLGDSESHYYISPSSRARITAVCNFFTYIRYIQQGLV
+RQDAEPMFWEITRLRKEMSLAKLGFFPHEA
+>sp|Q3T0T8|RGS5_BOVIN Regulator of G-protein signaling 5 OS=Bos taurus OX=9913 GN=RGS5 PE=2 SV=1
+MCKGLAALPHSCLERAKEIKIKLGILLQKPESAIDLVIPYNEKPEKPAKTQKPSLDEALQ
+WRDSLDKLLQNNYGLASFKSFLKSEFSEENLEFWMACEDYKKIKSPVKMAETAKKIYEEF
+IQVEAPKEVNIDHFTKEITVKNLVEPSPSSFDVAQKRIHALMEKDSLPRFVRSEFYQEFI
+K
+>sp|P00669|RNS_BOVIN Seminal ribonuclease OS=Bos taurus OX=9913 GN=SRN PE=1 SV=2
+MALKSLVVLPLLVLVLLLVRVQPSLGKESAAAKFERQHMDSGNSPSSSSNYCNLMMCCRK
+MTQGKCKPVNTFVHESLADVKAVCSQKKVTCKNGQTNCYQSKSTMRITDCRETGSSKYPN
+CAYKTTQVEKHIIVACGGKPSVPVHFDASV
+>sp|Q29RJ2|TBC24_BOVIN TBC1 domain family member 24 OS=Bos taurus OX=9913 GN=TBC1D24 PE=2 SV=1
+MHPPAYNCFVDRDKMDSAIPDLGPKELSCTELQELKQLARQGYWARSYALRGQVYQRLIR
+DIPCRTVTPDASVYRDIVGKIVGKHSSASLPLPEFVDNTQVPSYCLNSKGEGAVRKILLC
+ISNQFPDVSFCPALPAVVALLLHYSADEAECFEKACRILACNDSSRKLVDQSFLAFESSC
+MTFGDLVNKYCQAAHKLMVAVSEDVLQVYADWQRWLFGELPLSYFARVFDVFLVEGYKVL
+YRVALAILKFFHKVRAGQPLESDNVKQDIRAFVRDIAKTVSPEKLLEKAFAIRLFSRKEI
+QLLQMANEKALKQKGITVKQKSVSLSKRQFVHLAVHADNFHSEIVGVKEMRDIWSWVPER
+FALCQPLLLFSSLQHGYSLTRFYFQCEGREPTVLLIKTTQKEVCGAYLSTDWSERNKFGG
+KLGFFGTGECFVFRLQPEVQRYEWVVIKHPELTKPAPLEPTTVPPSPSHSVSSEPADRLS
+PFLATRHFNLPSKTESLFMAGGSDCLIIGHVAGDWR
+>sp|A2VE58|SNG3_BOVIN Synaptogyrin-3 OS=Bos taurus OX=9913 GN=SYNGR3 PE=2 SV=1
+MEGGSFGAGRAGAALDPVSFARRPQTLLRVASWVFSIAVFGPIVNEGYVNADSGPELRCV
+FNGNAGACRFGVALGLGAFLACSCFLLLDVRFQQISSVRDRRRAVLLDLGFSGLWSFLWF
+VGFCFLTNQWQRTAPGPGTAQAGDAARAVITFSFFSILSWVALTVKALQRFRLGTDMSLF
+ATEQLGAGAGQTYPGYPVGSGVEGTDTYQSPPFTETLDTSPKGYQVPAY
+>sp|Q2KI13|SGSM3_BOVIN Small G protein signaling modulator 3 OS=Bos taurus OX=9913 GN=SGSM3 PE=2 SV=1
+MSGSHVPSANGPFSALTPSMWPQEILAKYAQEEATVEQPEFRYDEFGFRVDKEDADGIPY
+SGQLLEDPPQRLRWQAHLEFTHNHDVGDLTWDKIAVSLPRSEKLRSLVLAGVPHSMRPQL
+WMRLSGALQKKRNSELSYREIVKNSSNDETIAAKQIEKDLLRTMPSNACFAHVSGVGVPR
+LRRVLRALAWLYPEIGYCQGTGMVAACLLLFLEEDDAFWMMCAIIEDLLPASYFSTTLLG
+VQTDQRVLRHLIVQYLPRLDRLLQEHDIELSLITLHWFLTAFASVVHIRLLLRLWDLFFY
+EGSLVLFQATLGMLRLKEDELIQSENSASIFNTLSDIPSQLEDADLLLAEAMRLAGSLTA
+VAVETQRRKHLAYLLADQGQLLGAPATTGLSQVVRRRTQRRKSGITSLLFGEDDLEAMKA
+KNIKQTELVADLREAILRVARHFQCTDPKNCNVELTPDYSMESHQRDHESYVACSRGHPR
+RAKALLDFERHDDDELGFRKNDIITIVSQKDEHCWVGELNGLRGWFPAKFVEVLDERSKE
+YSIAGDDAVTEGVTDLVRGTLCPALKALLEHGLKKPSLLGGACHPWLFIEEAAGREVERD
+FDSVYSRLVLCKTYRLDEDGKVLTPEELLYRAVQSVNVTHDAAHAQMDVKLRSLICVGLN
+EQVLHLWLEVLCSSLPTVEKWYQPWSFLRSPGWVQIKCELRVLCCFAFSLSQDWELPAKR
+EEEKKPLKEGVQDMLVKHHLFSWDIDG
+>sp|Q17Q87|SNN_BOVIN Stannin OS=Bos taurus OX=9913 GN=SNN PE=3 SV=1
+MSIMDHSPTTGVVTVIVILIAIAALGALILGCWCYLRLQRISQSEDEESIVGDGETKEPF
+LLVQYSAKGPCVERKAKLTPNGPEVHS
+>sp|Q2T9T0|PPR32_BOVIN Protein phosphatase 1 regulatory subunit 32 OS=Bos taurus OX=9913 GN=PPP1R32 PE=2 SV=1
+MMGKLPLGVVSPYVKMSSGGCTDPLKFYATSYCTAYGREDFKPRVGSHRGTGYKSNYRPV
+VFYQPHLDALDNTATGEQGCNNFQTVTSQSYRPLEVPDGTHPLPWNLHQTNSGYSREKAS
+AVTPIKEVRKVHFDTQDYGPQAITGLEPKDAPLLHQQQNKGSLEWENAGHGPRFMTSEYN
+SKYLKEPSHQPDLLQKNSVGAKEETGFTEESNKNPIVFQPPSQALPGDPVLLPGRSVTKS
+DFLPISHPHGDEFLPVLARGSERETGFSRVNERTLNPRVPPPCPEPSSMNHWQFQSPQRM
+QQTNVALLGRETVGNKEPSGFSLNNPSYVRSPYDPDMDNRYLTTYNQGYFENIPKGLDRE
+GWTRGGLQPQKPGGYALNQPVTRLEATPTPTESLRRLHPHLGRTLISVDPFYRTAPPSGH
+VSRFTAPN
+>sp|P04394|NDUV2_BOVIN NADH dehydrogenase [ubiquinone] flavoprotein 2, mitochondrial OS=Bos taurus OX=9913 GN=NDUFV2 PE=1 SV=3
+MFLSAALRARAAGLAAHWGKHIRNLHKTAVQNGAGGALFVHRDTPENNPETPFDFTPENY
+KRIEAIVKNYPEGHKAAAVLPVLDLAQRQNGWLPISAMNKVAEILQVPPMRVYEVATFYT
+MYNRKPVGKYHIQVCTTTPCMLRNSDSILEAIQKKLGIKVGETTPDKLFTLIEVECLGAC
+VNAPMVQINDNYYEDLTPKDIEEIIDELKAGKIPKPGPRSGRFSCEPAGGLTSLTEPPKG
+PGFGVQAGL
+>sp|Q29RQ9|ORML1_BOVIN ORM1-like protein 1 OS=Bos taurus OX=9913 GN=ORMDL1 PE=2 SV=1
+MNVGVAHSEVNPNTRVMNSRGMWLTYALGVGLLHIVLLSIPFFSVPVAWTLTNVIHNLGM
+YVFLHAVKGTPFETPDQGKARLLTHWEQLDYGVQFTSSRKFFTISPIILYFLASFYTKYD
+TTHFILNTASLLSVLIPKMPQLHGVRIFGINKY
+>sp|Q2YDH0|MUC24_BOVIN Sialomucin core protein 24 OS=Bos taurus OX=9913 GN=CD164 PE=2 SV=1
+MSGLSRPLLLAVGCLAALCVITAAGNTTLAPNVTTASSPPPTTTTVPVSPTTLSPLPVTT
+PAPDICGSRNSCVSCVDGNATCFWIECKGKSYCSDNSTAGDCKVVNTTGFCSVPTTTPTP
+TNSTAKTTTLPSTTTTSTTATTSGTTNTTLSPTIQPTRKSTFDAASFIGGIVLVLGVQAV
+IFFLYKFCKSKERNYHTL
+>sp|Q148I3|KISHA_BOVIN Protein kish-A OS=Bos taurus OX=9913 GN=TMEM167A PE=3 SV=1
+MSAIFNFQSLLTVILLLICTCAYIRSLAPSLLDRNKTGLLGIFWKCARIGERKSPYVAVC
+CIVMAFSILFIQ
+>sp|P03898|NU3M_BOVIN NADH-ubiquinone oxidoreductase chain 3 OS=Bos taurus OX=9913 GN=MT-ND3 PE=1 SV=1
+MNLMLALLTNFTLATLLVIIAFWLPQLNVYSEKTSPYECGFDPMGSARLPFSMKFFLVAI
+TFLLFDLEIALLLPLPWASQTANLNTMLTMALFLIILLAVSLAYEWTQKGLEWTE
+>sp|Q3MHW6|MOT1_BOVIN Monocarboxylate transporter 1 OS=Bos taurus OX=9913 GN=SLC16A1 PE=2 SV=2
+MPPAVGGPVGYTPPDGGWGWAVVIGAFISIGFSYAFPKSITVFFKEIEGIFNATTSEVSW
+ISSIMLAVMYGGGPISSVLVNKYGSRPVMIVGGILSGSGLIAASFCNTVQELYFSVGVIG
+GLGLAFNLNPALTMIGKYFYKRRPLANGLAMAGSPVFLSTLAPLNQAFFMIYGWRGSFLI
+LGGLLLNCCVAGALMRPIGPKPTTAEKEKSKGSLQEAGKYETKKGASDANTDLIGGNPKE
+EKKSIFQTLNTFLDLSLFKHRGFLLYLSGNVLMFFGLFTPLVFLSNYGKSKHYSSEKAAF
+LLSILAFVDMVARPSMGLVANTKWVRPRVQYFFAASIIANGLCHLAAPLSSTYIELCIYA
+GFFGFAFGWLSSVLFETLMDLVGPQRFSSAVGLVTIVECCPVLLGPPVLGRLNDIYGDYK
+YTYWACGIILIVAGIYLFIGMGINYRLLEKEQKAEKQQKKESKDEETNVDVAEKPKEVID
+AAESPEHKATEEDPKEAESPV
+>sp|Q2YDP3|NC2A_BOVIN Dr1-associated corepressor OS=Bos taurus OX=9913 GN=DRAP1 PE=2 SV=1
+MPSKKKKYNARFPPARIKKIMQTDEEIGKVAAAVPVIISRALELFLESLLKKACQVTQSR
+NAKTMTTSHLKQCIELEQQFDFLKDLVASVPDMQGDGEDNHMDGDKGPRRGRKSGSSGRK
+NGGMGSKGKDKKLSGTDSEQEDESEDTDSDGEEETPQVPPQASHPPAHFQSPPTPFMPFT
+STLPVPPAPPGASAPDAEEEEDYDS
+>sp|A7E371|PRCA1_BOVIN Protein PROCA1 OS=Bos taurus OX=9913 GN=PROCA1 PE=2 SV=2
+MWVRTTLRIERWTKEKTEDDTSSWDESSTDINRLPSWGRGHLLASVESSTDASTLSSEGE
+FKNTDRCCWKHKCAGHIVRPFSPDCVHHDVHLHSLSHCDCDSRLKDCSEKTNSSSGDVGP
+TCSRDVDSTCFDIIQSPCFELIPEEECVERFWYGWCKSYRPVSVAVIHHPIHHECGADDL
+NQEEEEEEEEESKPPIPTQVGPTPTDSPTDTGMSMITGAPDSAAPITIWRSESPTGKSQG
+NRVIKKIKKKKEKDKEEETDEKEKAKVKKKVKKGKLMKKKSPVKSESPPDLSRSLSPREL
+ARMSESSPDSRQDLESEDSYNDPGREEPSSEDIVESSSPRKREKNGVQVKKPGLKTSPVK
+KINKRRSPPASNPNLS
+>sp|Q2KIH7|PH4H_BOVIN Phenylalanine-4-hydroxylase OS=Bos taurus OX=9913 GN=PAH PE=2 SV=1
+MSALVLESRALGRKLSDFGQETSYIEGNSDQNAVSLIFSLKEEVGALARVLRLFEENDIN
+LTHIESRPSRLRKDEYEFFTNLDQRSVPALANIIKILRHDIGATVHELSRDKKKDTVPWF
+PRTIQELDNFANQVLSYGAELDADHPGFKDPVYRARRKQFADIAYNYRHGQPIPRVEYTE
+EEKKTWGTVFRTLKSLYKTHACYEHNHIFPLLEKYCGFREDNIPQLEEVSQFLQSCTGFR
+LRPVAGLLSSRDFLGGLAFRVFHCTQYIRHGSKPMYTPEPDICHELLGHVPLFSDRSFAQ
+FSQEIGLASLGAPDEYIEKLATIYWFTVEFGLCKQGDSIKAYGAGLLSSFGELQYCLSDK
+PKLLPLELEKTAVQEYTITEFQPLYYVAESFNDAKEKVRNFAATIPRPFSVHYDPYTQRI
+EVLDNTQQLKILADSISSEVEILCSALQKLK
+>sp|P04116|MYPR_BOVIN Myelin proteolipid protein OS=Bos taurus OX=9913 GN=PLP1 PE=1 SV=3
+MGLLECCARCLVGAPFASLVATGLCFFGVALFCGCGHEALTGTEKLIETYFSKNYQDYEY
+LINVIHAFQYVIYGTASFFFLYGALLLAEGFYTTGAVRQIFGDYKTTICGKGLSATVTGG
+QKGRGSRGQHQAHSLERVCHCLGKWLGHPDKFVGITYALTVVWLLVFACSAVPVYIYFNT
+WTTCQSIAAPSKTSASIGTLCADARMYGVLPWNAFPGKVCGSNLLSICKTAEFQMTFHLF
+IAAFVGAAATLVSLLTFMIAATYNFAVLKLMGRGTKF
+>sp|P19111|PPBI_BOVIN Intestinal-type alkaline phosphatase OS=Bos taurus OX=9913 GN=ALPI PE=1 SV=2
+MQGACVLLLLGLHLQLSLGLVPVEEEDPAFWNRQAAQALDVAKKLQPIQTAAKNVILFLG
+DGMGVPTVTATRILKGQMNGKLGPETPLAMDQFPYVALSKTYNVDRQVPDSAGTATAYLC
+GVKGNYRTIGVSAAARYNQCKTTRGNEVTSVMNRAKKAGKSVGVVTTTRVQHASPAGAYA
+HTVNRNWYSDADLPADAQMNGCQDIAAQLVNNMDIDVILGGGRKYMFPVGTPDPEYPDDA
+SVNGVRKRKQNLVQAWQAKHQGAQYVWNRTALLQAADDSSVTHLMGLFEPADMKYNVQQD
+HTKDPTLQEMTEVALRVVSRNPRGFYLFVEGGRIDHGHHDDKAYMALTEAGMFDNAIAKA
+NELTSELDTLILVTADHSHVFSFGGYTLRGTSIFGLAPSKALDSKSYTSILYGNGPGYAL
+GGGSRPDVNDSTSEDPSYQQQAAVPQASETHGGEDVAVFARGPQAHLVHGVEEETFVAHI
+MAFAGCVEPYTDCNLPAPTTATSIPDAAHLAASPPPLALLAGAMLLLLAPTLY
+>sp|Q2T9P4|NASP_BOVIN Nuclear autoantigenic sperm protein OS=Bos taurus OX=9913 GN=NASP PE=2 SV=2
+MAAESTATAAITAELVSADKIEEDAPAPSTSADKVESLDVDSEAKKLLGLGQKHLVMGDI
+PAAVNAFQEAASLLGKKYGETANECGEAFFFYGKSLLELARMENGVLGNALEGVHVEEEE
+GEKTEEESLVENNDNIDEEAREELREQVYDAMGEKEAQKTEDKSLVKPEMDKEQETEMEK
+GGREDMDIGEPAEELQEKVKSAPDQLTETTEEGKGAAAPEGLSEAEVTSKKPDQEIPGAE
+EGKSVSETDVQEECREKGGQGEVIVSIEEKPKEASKEQPVVTLEKQGTPVEIEAVKPVDM
+GGDEPKEQVAASESERGKAILEQLVGQELPSAEESPEVTTQAADASAAEAGSEVSEKPGG
+QDTVLPQDGAVNGLSAAGDHASTKPQTNAEGLIGTKDGSALEKVRAELVPSQETKLSVEE
+SEAAGDGVETEVAQGATEQSPEDKVKIAANEEAQDKEEQMKEGEETEGSEEEDKENDKAE
+ETLNDSALENKSLQENEEEEIGNLELAWDMLDLAKIIFKRQDTKEAQLYAAQAHLKLGEV
+SVESENYLQAVEEFQACLNLQEQYLEAHDRLLAETHYQLGLAYGYNSQYDEAVAQFSKSI
+EVIEKRMAVLNEQMKEAEGSPTEYEKEIEELKELLPEIREKIEDAKESQRSGNVAELALK
+ATLVESSTSGFTPSGGSSSVSMIASRKPTDGASSSNCVTDISHLVRKKRKPEEESPRKDD
+AKKAKQEPEVNGGSGDTISTGTEVAENMEEEAENKAESRAAVEGTVEAGATVESTAC
+>sp|Q5E9F9|PRS7_BOVIN 26S proteasome regulatory subunit 7 OS=Bos taurus OX=9913 GN=PSMC2 PE=2 SV=3
+MPDYLGADQRKTKEDEKDDKPIRALDEGDIALLKTYGQSTYSRQIKQVEDDIQQLLKKIN
+ELTGIKESDTGLAPPALWDLAADKQTLQSEQPLQVARCTKIINADSEDPKYIINVKQFAK
+FVVDLSDQVAPTDIEEGMRVGVDRNKYQIHIPLPPKIDPTVTMMQVEEKPDVTYSDVGGC
+KEQIEKLREVVETPLLHPERFVNLGIEPPKGVLLFGPPGTGKTLCARAVANRTDACFIRV
+IGSELVQKYVGEGARMVRELFEMARTKKACLIFFDEIDAIGGARFDDGAGGDNEVQRTML
+ELINQLDGFDPRGNIKVLMATNRPDTLDPALMRPGRLDRKIEFSLPDLEGRTHIFKIHAR
+SMSVERDIRFELLARLCPNSTGAEIRSVCTEAGMFAIRARRKIATEKDFLEAVNKVIKSY
+AKFSATPRYMTYN
+>sp|P58280|MT1_BOVIN Metallothionein-1 OS=Bos taurus OX=9913 GN=MT1 PE=1 SV=1
+MDPNCSCPTGGSCTCAGSCKCKACRCPSCKKSCCSCCPVGCAKCAQGCVCKGASDKCSCC
+A
+>sp|F1MHT9|NLRC4_BOVIN NLR family CARD domain-containing protein 4 OS=Bos taurus OX=9913 GN=NLRC4 PE=3 SV=3
+MNFIKENSQVLIQRMGMTVIKQILDELFVWNVMNYEEVNVICGEKFEQDAARGVIHMILK
+KGSEACNLFLKSLEKWNYPLFQELHGLSLFHQMSEEDLDDLAQELKYFYQSPSFLNFYPL
+GEDIDIMFNLKSTFTEPVLWKKDQHHHRLEQLTLSGLLDTLQSPCIIEGESGKGKSTLLQ
+RIAMLWASGECQALTKFKLVFFLRLSRAQGGLFETLSDQLLDIPDVISKQTFMARLLKLR
+QRVLFLLDGYNEFKAQNCPEIEALIKENHRFKNMVIVTTTTESLRHIRQFGALIAEVGDM
+TESSAQALIQEVLRKEFAEDLLLQIQKSRCLRNLMKTPLFVVITCAIQMGKSEFHSHTQT
+TLFCTFYDLLINKNRHKRKGLAPSEVTQSLDHCGDLALEGVFSRRFDFEPDDLSNVNEDV
+LLTTGLLCKYTAQRFKPKYKFFHQSFQEYTAGRRLSSLLTSGEPAEVTKGNGHLQKMVSI
+SDITSKYSNLLLYTCGSSAEATRTVLKHLSSVYQHGSLLGLSVTKRPLWRQESMQNMKST
+TVQEILKAININSFTECGINLFHESISTSSLSKEFEDFFRGKSLYINSENIPDYLFDFFE
+DLPNCASALDFVKLDFYGGAVRDISGNQDQEFSGTYIPSRAVSLFFNWKQEFKTLDVTLR
+DFCKLSKKDIKYLEKIFSSATSLRLHIKRCVGMAGSLSSVLSTCKNIHSLIVEASPLTLE
+DEQHITSVTNLQTLGVHDLQIQRLPGGLTDNLGNLKNLMKLILDNIQMNEEDALKLAEGL
+TNLKKMCLLRLTHLSDIGEGMDYIVKSLSAEPCDLKEIQLVSCCLSGNAVKTLAQNLHNL
+ARLSILDLSENHLEKDGKEALQQLIDRLHILEQLTVLMLPWCGDVRVSLARLLEQLERVP
+QLVKLGLKNWRLTDAEIRILGVFFEKNPLENFQQLDLAGNCVSSDGWLAFMSGFENLKEL
+VFFDFSTKGLLPDASLVRKLSHVLSKLTFLQEVQLVGWQLDDDDVSVLKGAFKLVIA
+>sp|Q58DA0|PSMD4_BOVIN 26S proteasome non-ATPase regulatory subunit 4 OS=Bos taurus OX=9913 GN=PSMD4 PE=2 SV=1
+MVLESTMVCVDNSEYMRNGDFLPTRLQAQQDAVNIVCHSKTRSNPENNVGLITLANDCEV
+LTTLTPDTGRILSKLHTVQPKGKITFCTGIRVAHLALKHRQGKNHKMRIIAFVGSPVEDN
+EKDLVKLAKRLKKEKVNVDIINFGEEEVNTEKLTAFVNTLNGKDGTGSHLVTVPPGPSLA
+DALISSPILAGEGGAMLGLGASDFEFGVDPSADPELALALRVSMEEQRQRQEEEARRAAA
+ASAAEAGIATAGTEDSDDALLKMTISQQEFGRTGLPDLSSMTEEEQIAYAMQMSLQGAEF
+GQAESADMDASSAMDTSEPTKEEDDYDVMQDPEFLQSVLENLPGVDPNNEAIRNAMGSLA
+SQATKDGKKDKKEEDKKEEDKK
+>sp|F1MF21|PARI_BOVIN PCNA-interacting partner OS=Bos taurus OX=9913 GN=PARPBP PE=3 SV=2
+MAGLNQKSILDMIKEFRRNWHTLCNSERTTVCGADSMLLALQLSMAENNKQHSGEFTVSL
+SDIILTWKYFLHEKLNLPVENIKVIDHYEDIRKIYDDFLKNSNMLDLIDVYKKCSDLTSN
+CENYANISPSRLLDFLSGREYAVDDETDFSEPVSPMSKHNQENEKVQLLAKKIIYSYLNL
+LVNSKNDLALAHILNIPDRGLGREAFTDLKHAAREKQMSIFLVATSFIRTIELGGKGYAP
+SPSDPLRAHIKGLSNFINFIDKLDEILGEVSNPSIAGGRILSVIKMQLIKGQNSRDPFYK
+AVEEVAQDLDLRIKNIINSQQGDVALSTTDISPARPKSHTINHGTAYCGRDTVKALLVLL
+DEEAASAPTKNKAELLYDNENTIHPNGTSVLTLFRCRSPTQVDNSPMKPLRERIYKSMEE
+KKIKMKQTSIRSQFACTYKDDCMISKDKWNNVNSASEPLCVLHMENDLSEGVNSSVGRPT
+IGTSSGNVHLGRSEKEKVARKSSSLTGNTSSKRKQVDLDDENILCDNGNEPLQHKIVKIP
+KTSKDLQNKLDGKLLRVAKSNRCTTKDKLITGQTKLTQFFRL
+>sp|P01302|PAHO_BOVIN Pancreatic prohormone OS=Bos taurus OX=9913 GN=PPY PE=1 SV=2
+MAAAHRCLFLLLLSTCVALLLQPPLGALGAPLEPEYPGDNATPEQMAQYAAELRRYINML
+TRPRYGKRDKEGTLDFLECGSPHSAVPRYGKRDKEGTLDFLECGSPHSAVPRWVFSLSCV
+PRCLGQENGGV
+>sp|P56449|OXYR_BOVIN Oxytocin receptor OS=Bos taurus OX=9913 GN=OXTR PE=2 SV=1
+MEGAFAANWSAEAVNGSAAPPGTEGNRTAGPPQRNEALARVEVAVLCLILFLALSGNACV
+LLALRTTRHKHSRLFFFMKHLSIADLVVAVFQVLPQLLWDITFRFYGPDLLCRLVKYLQV
+VGMFASTYLLLLMSLDRCLAICQPLRSLSRRTDRLAVLVTWLGCLVASAPQVHIFSLREV
+ADGVFDCWAVFIQPWGPKAYITWITLAVYIVPVIVLATCYGLISFKIWQNLRLKTAAAAA
+EAAAGAEGEAADWAGRAILARVSNVKLISKAKIRTVKMTFIVVLAFIVCWTPFFFVQMWS
+VWDADAPKEASPFIIAMLLASLNSCCNPWIYMLFTGHLFQELVQRFLCCSFRRLKGSRPG
+ETSVSKKSNSSTFVLSQYSSSQRRCSQPSTL
+>sp|P46200|MYB_BOVIN Transcriptional activator Myb OS=Bos taurus OX=9913 GN=MYB PE=2 SV=1
+MARRPRHSIYSSDEDDEDIEMCDHDYDGLLPKSGKRHLGKTRWTREEDEKLKKLVEQNGT
+DDWKVIANYLPNRTDVQCQHRWQKVLNPELIKGPWTKEEDQRVIELVQKYGPKRWSVIAK
+HLKGRIGKQCRERWHNHLNPEVKKTSWTEEEDRIIYQAHKRLGNRWAEIAKLLPGRTDNA
+IKNHWNSTMRRKVEQEGYLQESSKASQPAVTTSFQKNSHLMGFTHAPPSAQLPPAGQPSV
+NSDYPYYHISEAQNVSSHVPYPVALHVNIVNVPQPAAAAIQRHYNDEDPEKEKRIKELEL
+LLMSTENELKGQQALPTQNHTCSYPGWHSTTIADHTRPHGDSAPVSCLEEHHSTPSLPAD
+PGSLPEESASPARCMIFHQSTILDNVKNLLEFAETLQFIDSFLNTSNNHENLDLEMPSLT
+STPLNGHKLTVTTPFHRDQTVKIQKENTIFRTPAIKRSILEGSPRTPTPFKHALTAQEIK
+YGPLKMLPQTPSHLVEDLQDEIKQESDESGIVAEFQENGQPLLKKIKQEVESPTDKAGNF
+FCSNHWEGDSLNTQLFTQASPVADMPNILTSSVLMTPVSEDEDNVLKAFTVPKSRSLASP
+LQPCNGAWESASCGKTDDQMTASGQSRKYVNAFSTRTLVM
+>sp|Q02376|NDUC1_BOVIN NADH dehydrogenase [ubiquinone] 1 subunit C1, mitochondrial OS=Bos taurus OX=9913 GN=NDUFC1 PE=1 SV=1
+MAPSALLRPFWKLLAPARFPSVSSSRSKFYIQEPPHGSPNWLKVGLTLGTSVFLWIYLIK
+QHNEDVLEYKRRNGLE
+>sp|Q148M6|MXRA8_BOVIN Matrix remodeling-associated protein 8 OS=Bos taurus OX=9913 GN=MXRA8 PE=2 SV=1
+MELRAWVLLWRLVLLQSSAVLLSSGPSGPATSDRSVVSESTVSWAAGARAVLRCQSPRMV
+WTQDRLHDRQRVVHWDLSGSKAGGPARRLVDMYSTGEQRVGEQRLGEQRVGEQRVYEPRD
+RGRLLLPPSAFHDGNFSLFIRAVEETDEGLYTCNLHHHYCHLYESLAVSLEVTDDPRAAG
+AHWDGEKEVLAVERGAPALLTCVNRAHVWTDRHLEEAQQVVHWDRQPPGVPHDRADRLLD
+LYASGERRAYGPPFLRDRVAVEADAFARGDFSLLIDPVEPADEGTYSCHLHHHYCGLHER
+RVFHLRVTEPAARPPPPPRDSPGNGSSHSGAPGPGARDPTLTRGRSVINVIVPEGRAHFF
+QQLGYVLATLLLFILLLITVVLATRQRRRGGYEYSNKKSKSKGKDINMAEFAVASGDQSL
+YRSEDIRLDYKNNILKERAEVAHSLPPAKNIDLDKEFRKEYCK
+>sp|A0JNJ5|MYL1_BOVIN Myosin light chain 1/3, skeletal muscle isoform OS=Bos taurus OX=9913 GN=MYL1 PE=2 SV=1
+MAPKKDVKKPAAAAAPAPAPAPAPAPAPAPPKEEKIDLSAIKIEFSKQQQDEFKEAFLLF
+DRTGECKITLSQVGDVLRALGTNPTNAEVKKVLGNPSNEEMNAKKIEFEQFLPMLQAISN
+NKDQGTYEDFVEGLRVFDKEGNGTVMGAELRHVLATLGEKMKEEEVEALMAGQEDSNGCI
+NYEAFVKHIMSN
+>sp|P81265|PIGR_BOVIN Polymeric immunoglobulin receptor OS=Bos taurus OX=9913 GN=PIGR PE=2 SV=1
+MSRLFLACLLAIFPVVSMKSPIFGPEEVTSVEGRSVSIKCYYPPTSVNRHTRKYWCRQGA
+QGRCTTLISSEGYVSDDYVGRANLTNFPESGTFVVDISHLTHKDSGRYKCGLGISSRGLN
+FDVSLEVSQDPAQASHAHVYTVDLGRTVTINCPFTRANSEKRKSLCKKTIQDCFQVVDST
+GYVSNSYKDRAHISILGTNTLVFSVVINRVKLSDAGMYVCQAGDDAKADKINIDLQVLEP
+EPELVYGDLRSSVTFDCSLGPEVANVPKFLCQKKNGGACNVVINTLGKKAQDFQGRIVSV
+PKDNGVFSVHITSLRKEDAGRYVCGAQPEGEPQDGWPVQAWQLFVNEETAIPASPSVVKG
+VRGGSVTVSCPYNPKDANSAKYWCHWEEAQNGRCPRLVESRGLIKEQYEGRLALLTEPGN
+GTYTVILNQLTDQDTGFYWCVTDGDTRWISTVELKVVQGEPSLKVPKNVTAWLGEPLKLS
+CHFPCKFYSFEKYWCKWSNRGCSALPTQNDGPSQAFVSCDQNSQVVSLNLDTVTKEDEGW
+YWCGVKEGPRYGETAAVYVAVESRVKGSQGAKQVKAAPAGAAIQSRAGEIQNKALLDPSF
+FAKESVKDAAGGPGAPADPGRPTGYSGSSKALVSTLVPLALVLVAGVVAIGVVRARHRKN
+VDRISIRSYRTDISMSDFENSRDFEGRDNMGASPEAQETSLGGKDEFATTTEDTVESKEP
+KKAKRSSKEEADEAFTTFLLQAKNLASAATQNGPTEA
+>sp|Q0P5B1|PEX13_BOVIN Peroxisomal membrane protein PEX13 OS=Bos taurus OX=9913 GN=PEX13 PE=2 SV=1
+MASQPPPPPKPWETRRIPGTGPGPGPGPTFQSAELGPTLLTRPGQPTLTRVPPPILPRPS
+QQTGSGNLNTFRPAYSSFSSGYGAYGNSFYGSYSPYSYGYNGLGYNRLRIDDLPPSRFVQ
+QAEESSRGAFQSIESIVHAFASVSMMMDATFSAVYNSFRAVLDVANHFSRLKIHFTKVFS
+AFALVRTIRYLYRRLQWMIGLRRGLENEDLWAESEGTVACLGAEDRAANSAKSWPIFLFF
+AVILGGPYLIWKLLSTHSDEVTDSTNWASGEDDHVVARAEYDFVAVSEEEISFRAGDMLN
+LALKEQQPRVRGWLLASLDGQTTGLIPANYVKILGKRRGRKTVESSRISKQQQSFTNTTL
+IKGATAADSLDDQEAAFESVFVETNKVPVASDSTGKNGDKQDL
+>sp|Q1RMS2|PYRG2_BOVIN CTP synthase 2 OS=Bos taurus OX=9913 GN=CTPS2 PE=2 SV=1
+MKYILVTGGVISGIGKGIIASSIGTILKSCGLRVTAIKIDPYINIDAGTFSPYEHGEVFV
+LNDGGEVDLDLGNYERFLDINLYKDNNITTGKIYQHVINKERRGDYLGKTVQVVPHITDA
+VQEWVMNQAMVPVDGHKEEPQICVIELGGTIGDIEGMPFVEAFRQFQFKAKRENFCNIHV
+SLVPQPSATGEQKTKPTQNSVRALRGLGLSPDLIVCRSSTPIEMAVKEKISMFCHVNPEQ
+VICIHDVSSTYRVPVLLEEQGIIKYFKERLDLPIGDSASSLLSKWRNMADRYERLQKTCS
+IALVGKYTKLRDCYASVFKALEHSALAINHKLNLMYIDSIDLEQTTEVEDPVKFHEAWQK
+LCKADGVLVPGGFGIRGTLGKLQAISWARSRKIPFLGVCLGMQLAVIEFARNCLNLKDAD
+STEFEPNARVPVVIDMPEHNPGNLGGTMRLGIRRTVFKTENSILRKLYGDVPFIEERHRH
+RYEVNPSLISQLEQKDLSFVGQDVDGERMEIIELANHPYFVGVQFHPEFSSRPMKPSPPY
+LGLLLAATGNLNAYLLQGCKLSSSDRYSDASDDSFSEPRLAELEIS
+>sp|Q3ZBC2|SDHF2_BOVIN Succinate dehydrogenase assembly factor 2, mitochondrial OS=Bos taurus OX=9913 GN=SDHAF2 PE=2 SV=1
+MAVVAVFPALARMLAVSRRRLVSPSLSMTSCRRCYRGDSPTDSQKDMIEIPLPPWQERTD
+ESIETKRARLLYESRKRGMLENCILLSLFAKEHLQHMTEKQLNLYDRLINEPSNDWDIYY
+WATEAKPAPEIFENEVMTLLRDFAKNKNKEQRLRAPDLEYLFEKPH
+>sp|Q2T9V5|TRM6_BOVIN tRNA (adenine(58)-N(1))-methyltransferase non-catalytic subunit TRM6 OS=Bos taurus OX=9913 GN=TRMT6 PE=2 SV=1
+MESSEDQPGPQPQYPGNHCIRDGDFVVLKREDVFKAVQVQRRKKVTFEKQWFYLDNIIGH
+SYGTTFEVTNGGSLQPKKKKEEPTSETKEAGTDNRNIIDDGKSQKLTQDDIKALKDKGIK
+GEEIVQQLIENSTTFRDKTEFAQDKYIKKKKKKYEAMITVVKPSTRILSVMYYAREPGKI
+NHMRYDTLAQMLTLGNIRAGNKMIVMETCAGLVLGAMMERMGGFGSIIQLYPGGGPVRAA
+TACFGFPKSFLSGLYEFPLNKVDSLLNGTFSAEMLSSEPKDIASVEESNGTLEEKQTSEQ
+ENEDSIAEAPESNHPEEQERMEIVSQDPDYKEPKESGSKKDYIQEKQRRQEEQKKRHLEA
+AALLSERNADGLIVASRFHPTPLLLSLLDFVAPSRPFVVYCQYKEPLLECYTKLRERGGV
+INLRLSETWLRNYQVLPDRSHPKLLMSGGGGYLLSGFTVAMDNLKADPSLKSSTSTLESH
+KTEEPAAKKRKCPESDS
+>sp|Q8MJD5|HOP_BOVIN Homeodomain-only protein OS=Bos taurus OX=9913 GN=HOPX PE=3 SV=1
+MSTETASGPTEDQVEILEYNFNKVNKHPDPTTLCLIAAEAGLSEEETQKWFKQRLAQWRR
+SEGLPSECRSVTD
+>sp|Q08DL4|MADL2_BOVIN Myeloid-associated differentiation marker-like protein 2 OS=Bos taurus OX=9913 GN=MYADML2 PE=2 SV=3
+MGSTMEPPGGGYLHLGAVTSPVGTARVLQLVFGCTTFSLVAHRGGFSGVQGTFCVAAWGF
+CFALSVLVVACEFTRLHGCLRLSWGNFTAAFAMLATLLSATAAVIYPLYFTRLECPPEPE
+GCTARNFRLAASVFAGLLFLAYATEVALTRARPGQVASYMATVSGLLKIVQAFVACIIFG
+ALVHDSRYGRYVATQWCVAVYSLCFLATVVVVILSVLGHTGGLGCPFDRMVVVYTFLAVL
+LYLSAAVIWPVFCFDPKYGEPGRPPDCPRGSCPWDSQLVVATFTYVNLLLYVADLAYSQR
+IRFVPTF
+>sp|A3KMX7|MDH1B_BOVIN Putative malate dehydrogenase 1B OS=Bos taurus OX=9913 GN=MDH1B PE=2 SV=1
+MAKFVLAGRADCPYYAKAELLADYLQKNLPDFRIHKITQHPDIWEEWLKDLCKKNRWSHN
+KSPIIWRELLDRGGKGLLLGGYNEFLEHAQLYYGVTSSMTTELMKRVAQENLGTHIEKEL
+EKESLKGLVNPLQVWITSASSLACYHLIPILTSGEVFGPQMEISINLFDNKHTEEKLISH
+KQEAEDLASPCLQSVSICTQAEEAFHGAHVIIILDDHVDKEINSLEDCIRSRAPLCQLYG
+SLIEKNAHNFAKIIVGGKTFVNLKTALLMKYAPTFAHNIIAVALGVEGQAKAALARKLKI
+TPSCIKDVIIWGNISGNNYVDLRKAKVYRYESAIWGPPHYSRPVLSLLFDREWVNREFVV
+SLKTLTATGRQFGGMLAAHSIATTLKYWCHGSPPGEIVSLGVLSEGQFGIPKGIVFSMPV
+KFENGTWVVLTDLKNTEISQQVMTRMANDLIQEQLVALGELANFQPYQSENLI
+>sp|A5D787|M17L2_BOVIN Mpv17-like protein 2 OS=Bos taurus OX=9913 GN=MPV17L2 PE=2 SV=1
+MPPGGWRWLRGLWAAGQPLFQGRALLVTNTLGCGVLMAAGDGARQTWEIRARPGQKFDPR
+RSVSMFAVGCSMGPFLHYWYLWLDRLFPASGFPGLPNVLKKVLIDQLVASPMLGVWYFLG
+LGCLEGQTLDKSCQELRDKFWEFYKADWCVWPAAQLVNFLFVPPQFRVTYINGLTLGWDT
+YLSYLKYRIPGPLTPPGCVALGTIQTEPPDARQQGKTD
+>sp|P56831|IFNT3_BOVIN Interferon tau-3 OS=Bos taurus OX=9913 GN=IFNT3 PE=2 SV=1
+CYLSEDHMLGARENLRLLARMNRLSPHPCLQDRKDFGLPQEMVEGSQLQKDQAISVLHEM
+LQQCFNLFHIEHSSAAWNTTLLEQLCTGLQQQLEDLDACLGPVMGEKDSDMGRMGPILTV
+KKYFQDIHVYLKEKEYSDCAWEIIRVEMMRALSSSTTLQKRLRKMGGDLNSL
+>sp|Q3ZC22|HSBP1_BOVIN Heat shock factor-binding protein 1 OS=Bos taurus OX=9913 GN=HSBP1 PE=3 SV=1
+MAETDPKTVQDLTSVVQTLLQQMQDKFQTMSDQIIGRIDDMSSRIDDLEKNIADLMTQAG
+VEELDSENKIPATQKS
+>sp|P56451|MC5R_BOVIN Melanocortin receptor 5 OS=Bos taurus OX=9913 GN=MC5R PE=3 SV=1
+MNSSFHLHFLDLGLNTTDGNLSGLSVQNASSLCEDMGIAVEVFLALGLISLLENILVIGA
+IVRNRNLHTPMYFFVGSLAVADMLVSLSNSWETITIYLLTNKHLVMADASVRHLDNVFDS
+MICISVVASMCSLLAIAVDRYVTIFCALRYQRIMTGRRSGAIIGGIWAFCASCGTVFIVY
+YESTYVVICLIAMFLTMLLLMASLYTHMFLLARTHIRRIATLPGHSSVRQRTGVKGAITL
+AMLLGVFIVCWAPFFLHLILMISCPHNLYCSCFMSHFNMYLILIMCNSVIDPLIYAFRSQ
+EMRKTFKEIVCFQSFRTPCRFPSRY
+>sp|P04651|LSHB_BOVIN Lutropin subunit beta OS=Bos taurus OX=9913 GN=LHB PE=1 SV=1
+MEMFQGLLLWLLLGVAGVWASRGPLRPLCQPINATLAAEKEACPVCITFTTSICAGYCPS
+MKRVLPVILPPMPQRVCTYHELRFASVRLPGCPPGVDPMVSFPVALSCHCGPCRLSSTDC
+GGPRTQPLACDHPPLPDILFL
+>sp|Q3MHR0|LYPA1_BOVIN Acyl-protein thioesterase 1 OS=Bos taurus OX=9913 GN=LYPLA1 PE=2 SV=1
+MCGNNMSAPLPAIVPAARKATAAVIFLHGLGDTGHGWAEAFAGIRSAHIKYICPHAPVMP
+VTLNMNMAMPSWFDIIGLSPDSLEDETGIKQAAENVKALIDQEVKNGIPSNRIILGGFSQ
+GGALSLYTALTTQQKLAGVTALSCWLPLRASFPQGPIGGVNRDISILQCHGDLDPLVPLM
+FGSLTAEKLKTLVNPANVTFRTYAGMMHSSCQQEMMDIKQFIDKLLPPVD
+>sp|A5PK45|GT251_BOVIN Procollagen galactosyltransferase 1 OS=Bos taurus OX=9913 GN=COLGALT1 PE=2 SV=1
+MAAAPRACKGHGRPLPVLLLLLLLALPPLGGPPGAAAYFPEERWSPESPLQAPRVLIALL
+ARNAAHALPATLGALERLRHPRERTALWVATDHNADNTSAVLREWLVAVKGLYHSVEWRP
+SEEPRSYPDEEGPKHWSDSRYEHVMKLRQAALKSARDMWADYILFVDADNLILNPDTLTL
+LIAENKTVVAPMLDSRAAYSNFWCGMTSQGYYKRTPAYIPIRKRERRGCFAVPMVHSTFL
+IDLRKAASRNLAFYPPHPDYTWSFDDIIVFAFSCKQAEVQMYVCNKEVYGFLPVPLRSHS
+TLQDEAESFMHVQLEVMVKHPPSEPSRFISVPTKTPDKMGFDEVFMINLKRRQDRRERML
+RALEEQEIACRLVEAVDGKAMNTSQVEALGIQMLPGYRDPYHGRPLTKGELGCFLSHYNI
+WKEVVDRGLQKSLVFEDDLRFEIFFKRRLMNLMQDVEREGLDWDLIYVGRKRMQVEHPEK
+AVPRVRNLVEADYSYWTLAYVISLQGARKLLAARPLSKMLPVDEFLPVMFDKHPVSEYKA
+HFSPRDLRAFSVEPLLIYPTHYTGDDGYVSDTETSVVWNNEHVKTDWDRAKSQKMREQQA
+LSREAKNSDVLQSPLDSAARDEL
+>sp|Q0IIM3|HS105_BOVIN Heat shock protein 105 kDa OS=Bos taurus OX=9913 GN=HSPH1 PE=2 SV=1
+MSVVGLDVGSQSCYIAVARAGGIETIANEFSDRCTPSVISFGSKNRTIGVAAKSQQITHA
+NNTVSNFKRFHGRAFNDPFIQKEKENLSYDLVPMKNGGVGIKVMYMDEEHLFSVEQITAM
+LLTKLKETAENNLKKPVTDCVISVPSFFTDAERRSVLDAAQIVGLNCLRLMNDMTAVALN
+YGIYKQDLPSLDEKPRIVVFVDMGHSAFQVSACAFNKGKLKVLGTAFDPFLGGKNFDAKL
+VEYFCAEFKTKYKLDAKSKIRALLRLYQECEKLKKLMSSNSTDLPLNIECFMNDKDVSGK
+MNRAQFEELCADLLQKIEVPLYLLMEQTQLKVEDVSAVEIVGGTTRIPAVKEKIAKFFGK
+DVSTTLNADEAVARGCALQCAILSPAFKVREFSVTDAVPFPISLVWSHDSEDAEGVHEVF
+SRNHAAPFSKVLTFLRSGPFELEAFYSDPQGVPYPEAKIGRFIVQNVSAQKDGEKSRVKV
+KVRVNTHGIFTISTASMVEKIPAEENEVSSLEADMDCQNQRPPENPDAEKNIQQDNNEAG
+TQPQVQTDGHQTSQSPPSPELTSEENKIPDADKANEKKVDQPPEAKKPKIKVVNVELPIE
+ANLVWQLGKDLLNMYIETEGKMIMQDKLEKERNDAKNAVEEYVYEFRDKLCGPYEKFICE
+QDHQKFLRLLTETENWLYEEGEDQAKQAYVDKLEELMKIGTPIKVRFQEAEERPKIFEEL
+GQRLQHYAKIAADFRNNDEKYNHIDESEMKKVEKSVNEMMEWMNNVMSAQAKKSLDQDPV
+VCAQEIRAKIKELNNNCEPVVTQPKPKIESPKLERTPNGPSTDKKEEDLDGKNNFSAEPP
+HQNGECYPNEKSSINMDLD
+>sp|Q9TTK4|LYST_BOVIN Lysosomal-trafficking regulator OS=Bos taurus OX=9913 GN=LYST PE=1 SV=1
+MSTDSNSLAREFLTDVNRLCNAVVQRVEAREEEEEETHMATLGQYLVHGRGFLLLTKLNS
+IIDQALTCREELLTLLLSLLPLVWKIPVQEEKATDFNLPFSAGIILTKEKNSSSQRSTQE
+KLYLEGSAPAGQVSAKVNVFRKSRRQRKTTHRYSVRDARKTQLSTSDSEVNSDDKSIVMT
+KYRRSHVLQHFVKQCPKEDHLTAKLNHLSTKEQTPPDTMALENSREIIPREESNTDILSE
+PAALSTISHMNNSPFDLCHVLLSLLEKVCKFDITLNHNSALAASVVPTLTEFLAGFGDCC
+NLSDNLEGQMVSAGWTEEPVALIQRMLFRTVLHLMSVDISMAEVMPENLRKNLTELLRAA
+LKIRTFLEKQPDPFAPRQKKTLQEVQDDFVFSKYCHRVLLLPELLEGVLQILICCLQSAA
+SNPFFFSQAMDLVQEFIQHHGFHLFETAVLQMEWLVVRDGVPPEASGHLKALINNVMKIM
+STVKKVKSEQLHHSMCTRKRHRRCEYSHFMHHHRDLSGLLVSAFKNQVSKNPFEETADGD
+VYYPERCCCIAVCAHQCLRLLQQASLSSTCVQILSGVQNIGICCCMDPKSVIVPLLHAFK
+LPALKSCQQHILNILNKLILDQLGGAEIPQKTKKAACNICTVDSDQLAKLEETLQGSSYN
+AEPSSGLSSPSYRFQGILPSSGSEDLLWKWDALEAYQNFVFEEDRLQSVQIANHICSLIQ
+KGNVVVQWKLYNCIFNPVLQRGVELAHHCQQLSISSAQTHVCSHHNQCLPQEVLQIYLKT
+LPTLLKSRVIRDLFLSCNGVNQIIELNYLDGIRNHSLKAFETLIISLGEQQKDSSIPGID
+GIDLEQKELSSLNVGTLYNQQAYSDSQSLSKFYARLKDAYPKKRKSVVQDIHISTINLFL
+CVAFLCVSKEAESDRESANDSEDTSGYDSTASEPLQHMLPCFSLESLVLPSPRHMHQAAD
+VWSMCRWIYMLSPIFRKQFYRLGGFQVCHKLIFMVIQDLFRNPEEEQGRKEGDRTMNENQ
+DLNRISQPEITVKEDLLSLTVKIDPTPTELSSLKKSADSLGRLESEHLSSINVEQIPAVE
+AVPEETKVFMSRESETLLQGIRLLEALLAICLHGTRASQQKLELELPNQNLSVETILLEM
+RDHLSKSKVTETELAKPLFDALLRVALGNHSADFEHDDAMTEKSHQSEEELSSQPGDFSE
+EAEDSQCCSFKLLVEEEGYEADSESNPEDSETWDDGVDLKPEAESFIASSSPNDLLENLS
+QGEIIYPEICTLELNLLSTGKAKLDVLAHVFESFLKIIRQKEKNIFLLMQQGTVKNLLGG
+FLSILTQTDSDFQACQRVLVDLLVSLMSSRTCSEELTLLLRIFLEKSPCTEILLLGILKI
+VESDITMSPSQYLTFPLLHTPNLSNGVSSQKCPGILNSKAMGLLRRARVSQSKKEGDSES
+FPQQLLSSWHIAPVHLPLLGQPHLSEGFSISLWFNVECIHEPESTTEKGKKTRKRNKSLV
+LLDSSFDGTENNRLEGAAYVNPGERLIEEGCVHMISLGSKALIIQVWADPHTGTFIFRVC
+MDSNDDTKVVLLAQVESQENIFLPSKWQHLVLTYLQQPQGKKNIHGKISIWISGQRKPDV
+TLDFMLPRKTSLSSDSNKTFCMIGHCLSSQEESLQLAGKWDLGNLLLFNGAKIGSQEAFY
+LYACGPNHTSIMPCKYGKPVNDYSKYINTEILQCEQIRELFMTSKDVDIGLLIESLSVVY
+TTYCPAQYTIYEPVIRLKGQMKTQLSQRPFSSKEVHNILLEPHHLKNLQPTECKTLQGIL
+HEIGGTGIFVFLFARVVELSSCEETQALALRVILSLIKYNQQRIHELENCNGLSMIHQVL
+IKQKCIVGFHILKTLLEGCCGEDIIHINENGELKLDVESNAIIQDVKLLEELLLDWKIWN
+KAEHGVWETLLAALEVLIRADHQQQMFNIKQLLKARVVHHFLLTCQVLQEHKEGQLISMP
+QEVCRSFVKITAEVLGSPPDLELLTIIFNFLLAVHPPTNTYVCHNPTNFYFSLHIDGKIF
+QEKVQSIMYLRHSSSGGKSVTSPGFMIISPSDFTASPPEGNNSSSAVPKQVTASMLRSRS
+LPAFPTASPHIKPRKLTGSLGCSIDKLQSFVDDGVASQPEKWSPLRSSETLEKSKQDAFI
+SSCESAKMVCETEPALLAQASVSDIPKGALELPAVNIDHKDFGAEPRSDDDSPGDESCPR
+RPLYLKGLASFQRSHSTIASLGLAFPSQNGSAAVGRWPSLVDRNADDWENFALSLGYEPH
+HSRTAGAHSVTEDCLVPICCGLYELLSGVLLILPDVMLEDVMDRLIQADTLLVLVNHPSP
+AVQQEVIKLLDAYFNRASKEQKDKFLKNRGFSLLANQLYLHRGTQELLECFIEMFFGRRI
+GLDEEFDLEDVKNMGLFQKWSVIPILGLIETSLYDNVLLHNALLLLLQILNSCSKVADML
+LDNGLLYVLCNTVATLNGLEKIIPLNEYRLLACDIQQLFIAVTIHACSSSGSQYFRVIED
+LIVLLGYLQNSKNKRTQNMAVALQFRVLQAAMEFIRTTANHDSENLTDSLQSPSVPHHTM
+FQKRKSIAGPRKFLLAQTDSLLMKMRSVASDELHVMMQRRMSQENPVQATETELAQRLQR
+LTVFAVNRIVYQEFNPDIIDILSTPESTTQSRTSASQTEISEENIHHEQPSVFNPFQKEM
+FMYLVEGFKVSSASGKTSSSKQQWAKILWSCKETFRMQLGRLLVHMLSPAHPSQERKQIF
+EIVREPNHQEILRECLSPSLQHGAKLVLYLSELIHNHKDELTEEELDTAELLMNALKLCG
+HKCIPPSASTKSDLIRVIKEEQRKYETEEGVNKANWQKTVNNNQQSLFQRLDSKSKDISK
+IAADITQAVSLSQGIERKKVIQHIRGMYKVDLSASRHWQELIQQLTHDRAVWYDPIYYPT
+SWQLDPTEGPNRERRRLQRCYLTIPNKYLLRDRQKSEDVVKPPLSYLFEDKTHSSFSSTV
+KDKAASESIRVNRRCISVAPSRETAGELLLGKCGMYFVEDNASDTVECSNLQGELEPASF
+SWTYEEIKEVHKRWWQLRDNAVEIFLTNGRTLLLAFDNTKVRNDVYHSILTNNLPNLLEY
+GNITALTHLWYTGQITNFEYLTHLNKHAGRSFNDLMQYPVFPFILADYVSETLDLSDPSV
+YRNLSKPIAVQYKEKEDRYVDTYKYLEEEYRKGAREDDPMPPVQPYHYGSHYSNSGTVLH
+FLVRMPPFTKMFLAYQDQSFDIPDRTFHSTNTTWRLSSFESMTDVKELIPEFFYLPEFLV
+NREGFDFGVRQNGERVNHVNLPPWARNDPRLFILIHRQALESDYVSQNICQWIDLVFGYK
+QKGKASVQAINVFHPATYFGMDVSAVEDPVQRRALETMIKTYGQTPRQLFQSAHASRPGS
+KLNIEGELPAAVGLLVQFAFRETREQVKEITYPSPLSWIKGLKWGEYVGSPSAPVPVVCF
+SQPHGERFGSLQALPTRAICGLSRNFCLLMTYSKEQGVRSMNSTDIQWSAILSWGYADNI
+LRLKSKQSEPPINFIQSSQQYQVTSCAWVPDSCQLFTGSKCGVITAYSNRFTSGTPSEIE
+MESQIHLYGHTEEISSLFVCKPYSIMISVSRDGTCIIWDLNRLCYVQSLAGHKSPVTAVS
+ASETTGDIATVCDSAGGGSDLRLWTVNGDLVGHVHCREIICSVAFSNQPEGISINVIAGG
+LENGIVRLWSTWDLKPVREITFPKSNKPIVSLTFSCDGHHLYTANSDGTVIAWCRKDQQR
+LKHPMFYSFLSSYAAG
+>sp|Q0IIF0|ILKAP_BOVIN Integrin-linked kinase-associated serine/threonine phosphatase 2C OS=Bos taurus OX=9913 GN=ILKAP PE=2 SV=1
+MDLFGDLPEPERSPRPAAGSGGPLLFDDLPPASSGDSGSLDTSLSEEVKNEGKGAKRKAS
+DEEKNGSEELVEKKVCKASSVIFSLKGYVAERKGEREEMQDAHVILNDITAECQPPSALV
+TRVSYFAVFDGHGGIRASKFAAQNLHQNLIRKFPKGDGISVEKTVKRCLLDTFKHTDEEF
+LKQASSQKPAWKDGSTATCVLAVDNTLYIANLGDSRAILCRYNEESQKHAALSLSKEHNP
+TQYEERMRIQKAGGNVRDGRVLGVLEVSRSIGDGQYKRCGVTSVPDIRRCQLTPNDRFIL
+LACDGLFKVFTPEEAVNFILSCLEDEKIQRREGKPTVDARYEAACNRLANKAVQRGSADN
+VTVMVVRIGL
+>sp|P07456|IGF2_BOVIN Insulin-like growth factor II OS=Bos taurus OX=9913 GN=IGF2 PE=1 SV=4
+MGITAGKSVLVLLAFLAFASCCYAAYRPSETLCGGELVDTLQFVCGDRGFYFSRPSSRIN
+RRSRGIVEECCFRSCDLALLETYCATPAKSERDVSASTTVLPDDVTAYPVGKFFQYDIWK
+QSTQRLRRGLPAFLRARRGRTLAKELEALREAKSHRPLIALPTQDPATHGGASSKASSD
+>sp|Q3T116|ICT1_BOVIN Peptidyl-tRNA hydrolase ICT1, mitochondrial OS=Bos taurus OX=9913 GN=MRPL58 PE=2 SV=1
+MAAARCLRWGLSRAEAWLLPPPTSCCHRALHRQVEGTEFRSAYSLDKLYPESRGADTAWR
+VPGDAKQGNDDIPVDRLTISYCRSSGPGGQNVNKVNSKAEVRFHLASADWIAEPVRQKMA
+LTHKNKINRAGELILTSEYSRYQFRNLADCLQKIRDMIAEASQPATEPSKEDAALQKLRI
+ENMNRERLRKKRINSAIKTSRRVGTD
+>sp|Q3SZV2|KXDL1_BOVIN KxDL motif-containing protein 1 OS=Bos taurus OX=9913 GN=KXD1 PE=2 SV=1
+MDPPDSASRVFSSRILSMVNADDVSAIILAQKNMLDRFEKTNEMLLNFNNLSSARLQQMN
+ERFLHHTRTLVEMKRDLDSIFRRIRTLKGKLARQHPEAFSHIPEASLLEDEDEDPIPPST
+TTTIATSEQSTGSCDTSPDTVSPSLSPGFEDLSHIRPGSPAINGRSHTDDEEMPGE
+>sp|A1A4R1|H2A2C_BOVIN Histone H2A type 2-C OS=Bos taurus OX=9913 GN=HIST2H2AC PE=2 SV=1
+MSGRGKQGGKARAKAKSRSSRAGLQFPVGRVHRLLRKGNYAERVGAGAPVYMAAVLEYLT
+AEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGKVTIAQGGVLPNIQAVLLPKK
+TESHKAKSK
+>sp|Q2KIP8|HACD2_BOVIN Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase 2 OS=Bos taurus OX=9913 GN=HACD2 PE=2 SV=2
+MAAAAAIAASKGNGGGGGRAGAGEASSSRRKKGPGPLTTAYLVIYNVVMTAGWLVIAVGL
+VRAYLAKGSYHSLYYSIEKPLKFFQTGALLEILHCAIGIVPSSVVLTSIQVMSRVFLIWA
+VTHSVKEVQSEDSVLLFVIAWTITEIIRYSFYTFSLLNHLPYLIKWARYTLFIVLYPMGV
+SGELLTIYAALPFVRQAGLYSISLPNKYNFSFDYYAFLILIMISYIPLFPQLYFHMIHQR
+RKILSHTEEHKKFE
+>sp|A5PK46|LIPR2_BOVIN Pancreatic lipase-related protein 2 OS=Bos taurus OX=9913 GN=PNLIPRP2 PE=2 SV=1
+MLPSWTIGLLLLATVRGKEICYEPFGCFSDEKPWTGILQRPLKLFPWSPEDIDAHFLLYT
+NENPNNYQRINITDLATVRASNFQLDRKTRFVIHGFIDDGDSGWPTDLCKKMFKVEKVNC
+ICVDWEHGAWTKYTQAVHNTRVVGAEIAFFIQGLSTELGYGPENVHLIGHSLGAQLAAEA
+GRRLGGQVGRITGLDPAQPCFEGTPEEVRLDPSDAMFVDVIHTDSASIIPFLSLGIRQKV
+GHLDFYPNGGKEMPGCQKNILSTIIDINGIWQGIQDFVACSHLRSYKYYSSSILNPDGFL
+GYPCASYEEFQEGGCFPCPAGGCPKMGHYADQFQGKTSAVGQTFFLNTGSSGNFTSWRYR
+VSVTLAGTKKVRGSIRIALYGSNGNSKQYQIFKGSLQPNASHTHDIDVDLNVGKVQKVKF
+LWNNNVINLFWPKLGASRVTVQGGEDRTEYNFCSNDTMRENALQTLYPC
+>sp|F1N6G5|HACE1_BOVIN E3 ubiquitin-protein ligase HACE1 OS=Bos taurus OX=9913 GN=HACE1 PE=3 SV=3
+MERAMEQLNRLTRSLRRARTVELPDDNETAVYTLMPMVMADQHRSVSELLSNSKFDVNYA
+FGRVKRSLLHIAANCGSVECLVLLLKKGANPNYQDISGCTPLHLAARNGQKKCMSKLLEY
+SADVNICNNEGLTAIHWLAVNGRTELLHDLVQHVSDVDVEDAMGQTALHVACQNGHKTTV
+QCLLDSGADINRPNVSGATPLYFACSHGQRDTAQILLLRGAKYLSDKNGVTPLDLCVQGG
+YGETCEVLIQYHPRLFQTIIQMTQNEDLRENMLRQVLEHLSQQSESQYLKILTSLAEVAT
+TNGHKLLSISSNYDAQMKSLLRIVRIFCHVFRIGPSSPSNGIDMGYNGNKTPRSQVFKPL
+ELLWHSLDEWLVLIATELMKNKKDSTDITSILLKQKGQDQDGTSIPPFEPPGPGSYENLS
+TGTGESKPDVLGGKQEASADCQDVISMTANRLSAVIQAFYMCCSCQMPPGMTSPRFIEFV
+CKHDEVLKCFVNRNPKIIFDHFHFLLECPELMSRFMHIIKAQPFKDRCEWFYEHLHSGQP
+DSDMVHRPVNENDILLVHRDSIFRSSCEVVSKANCAKLKQGIAVRFHGEEGMGQGVVREW
+FDILSNEIVNPDYALFTQSADGTTFQPNSNSSVNPDHLNYFRFAGQILGLALNHRQLVNI
+YFTRSFYKHILGIPVNYQDVASIDPEYAKNLQWILDNDISDLGLELTFSVETDVFGAMEE
+VPLKPGGGSILVTQNNKAEYVQLVTELRMTRAIQPQINAFLQGFHMFIPPSLIQLFDEYE
+LELLLSGMPEIDVSDWIKNTEYTSGYEREDPVIQWFWEVVEDITPEERVLLLQFVTGSSR
+VPHGGFANIMGGSGLQNFTIAAVPYTPNLLPTSSTCINMLKLPEYPSKEILKDRLLVALH
+CGSYGYTMA
+>sp|Q27969|ITFG2_BOVIN KICSTOR complex protein ITFG2 OS=Bos taurus OX=9913 GN=ITFG2 PE=2 SV=1
+MRSVSYVQRVALEFSGSLFPHAICLGDVDNDTLNELVVGDTSGKLSVYKNDDSRPWLTCS
+CQGMLTCVGVGDVCNKGKNLVVAVSAEGWFHLCDLTPAKSLDGSGHHETLGGEEQRPVFK
+QHIPANTKVMLISDIDGDGRCELVVGYTDRVVRAFRWEDLGEGAEHPMGQLVLLKKWMLE
+GQVDSLSVTPGPLGVPELMVSQPGCAYAILLCTWNKDPGATPTSEGPMEGHSGAPGCPGR
+CVAPDLWPHPQQERLHSPHRQHQASHSPDSSASGLFALCTLDGTLKLMEEADRLLWSVQV
+DHQLFALEKLDVTGNGHEEVVACAWDGQTYIIDHNRTVVRFQVDENIRAFCAGLYACKDS
+RNSPCLVYVTFNQKIYVYWEVQLERMESTNLLKVLEAQPSSGSCWESWAWILMSCPPPAP
+CFTKPSTIQTSLHSAPPRAPRTPPSWTGFLAEQGAFCTSPPPPPPQLSGIWKTGSAHYWG
+RMAAPLGCGDCRPPGRLGEGRDKLPLCPFPCVPHLSRQQALPLPRAPYSCCL
+>sp|A1L504|LMF2_BOVIN Lipase maturation factor 2 OS=Bos taurus OX=9913 GN=LMF2 PE=2 SV=2
+MAGSRVPRQLFLQGVAAVFMFAFASLYTQIPGLYGPEGILPARRTLRPQGKGRWQQLWET
+PTLLWEAPLLGLDTAQGLELLSLLGTVLALGALLTRQLHHPLVYLLLWAAYLSVCQVGQV
+FLYFQWDSLLLETGFLAMLVAPLGLPPNHKQAPQGRPGGVSPHEGLPFWLVRWLLFRLMF
+ASGVVKLTSRCPAWWGLTALTYHYETQCLPTPAAWFAHHLPVWLHKLSVVATFLIEIAVP
+PLFFAPVRRLRLAAFYSQVLLQVLIILTGNYNFFNLLTLVLTTALLDDTHLAAKSSTSRR
+KRMPSSWPKALLAMLTLLLELAVYGLLACGVVHYFGLEVDWEQHVVRSRTMFTFHQLSQW
+LKTVTLPTMWLGAASLAWELLTALWRWVQVRGSLRKLCAAVQLSVFGTATVALFLISLVP
+YSYMEPSSHGRLWTGAHRLFSTVEHLQLANSYGLFRRMTGLGGRPEVVLEGSYDGHQWTE
+IEFMYKPGNLSRPPPIVVPHQPRLDWQMWFAALGPHTHSPWFTSLVLRLLQGKEPVIRLV
+QNHVPSYPFHQQPPTYVRAQLYKYWFSHPWEQGQWWRRQWVEEFFPSVSLGDPALDMLLR
+QFGLQDKSPPRAGGSSNTLSQALHWVRKQLSPLEAPALLWGLLGAVGAIKVMQALLGPQS
+LPRTKEEKHKPAPQEDSVAASKQASPAPNISSGSQTPRRKKSP
+>sp|Q2NKY9|JAGN1_BOVIN Protein jagunal homolog 1 OS=Bos taurus OX=9913 GN=JAGN1 PE=2 SV=1
+MASRAGPRAAGTDGSDFQHRERVAMHYQMSVTLKYEIKKLIYVHLVIWLLLVAKMSVGHL
+RLLSHDQVAMPYQWEYPYLLSVVPSLLGLLSFPRNNISYLVLSMISMGLFSIAPLIYGSM
+EMFPAAQQLYRHGKAYRFLFGFSAVSVMYLVLVLAVQVHAWQLYYSKKLLDSWFTSTQEK
+KRK
+>sp|Q32KU4|IQCF5_BOVIN IQ domain-containing protein F5 OS=Bos taurus OX=9913 GN=IQCF5 PE=2 SV=1
+MGPKVRIMRKEENKAIVSIQAWWRGTLVRRTLLHAALRAWIIQCWWKQKLVLLMENRRRV
+ALDAFARQEWAVVKLQSWVRMWCIRLRYLRLLHAVRIIQVYWRWHSCHTRGFIQGHYDLK
+ENQLNLQLEISLGSQACRVQQCIPLPIKE
+>sp|Q3T0E7|PP1A_BOVIN Serine/threonine-protein phosphatase PP1-alpha catalytic subunit OS=Bos taurus OX=9913 GN=PPP1CA PE=2 SV=1
+MSDSEKLNLDSIIGRLLEVQGSRPGKNVQLTENEIRGLCLKSREIFLSQPILLELEAPLK
+ICGDIHGQYYDLLRLFEYGGFPPESNYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFL
+LRGNHECASINRIYGFYDECKRRYNIKLWKTFTDCFNCLPIAAIVDEKIFCCHGGLSPDL
+QSMEQIRRIMRPTDVPDQGLLCDLLWSDPDKDVQGWGENDRGVSFTFGAEVVAKFLHKHD
+LDLICRAHQVVEDGYEFFAKRQLVTLFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPAD
+KNKGKYGQFSGLNPGGRPITPPRNSAKAKK
+>sp|Q3ZBP2|NEPR1_BOVIN Nuclear envelope phosphatase-regulatory subunit 1 OS=Bos taurus OX=9913 GN=CNEP1R1 PE=2 SV=1
+MNSLEQAEDLKAFERRLTEYIHCLQPATGRWRMLLIVVSVCTATGAWNWLIDPETQKVSF
+FTSLWNHPFFTISCITLIGLFFAGIHKRVVAPSIIAARCRTVLAEYNMSCDDTGKLILKP
+RPHVQ
+>sp|P25712|NDUV3_BOVIN NADH dehydrogenase [ubiquinone] flavoprotein 3, mitochondrial OS=Bos taurus OX=9913 GN=NDUFV3 PE=1 SV=1
+MAASLLLRQGRAGALKTVLLEAGVFRGVAPAVSLSAESGKNEKGLPPNPKKQSPPKKPVS
+AAPTEPFDNTTYKNLQHHDYSTYTFLDLNLDLSKFRMPQPSSGRESPRH
+>sp|Q6QRN6|NNRE_BOVIN NAD(P)H-hydrate epimerase OS=Bos taurus OX=9913 GN=NAXE PE=2 SV=1
+MSGLRALLGLGLLVAGSRLSRVRVQAGSCRAGATWWVPQRLISGGRGDSEVMASSAVKYL
+SQEEAQAVDQELFNEYQFSVDQLMELAGLSCATAIAKAYPPTSLSRSPPTVLVICGPGNN
+GGDGLVCARHLKLFGYQPTIYYPKRPNKPLFTALVTQCQKMDIPFLGEMPPEPMLIDELY
+ELVVDAIFGFSFTGEVREPFRSILSVLNGLTVPIASIDIPSGWDVEKGSSGGIQPDLLIS
+LTAPKKSATQFTGRYHYLGGRFVPPALEKKYQLNLPPYPDTECVYRLQ
+>sp|P49259|PLA2R_BOVIN Secretory phospholipase A2 receptor OS=Bos taurus OX=9913 GN=PLA2R1 PE=1 SV=1
+MPLLSLSLLLLLLQVPAGSAETAAWAVTPERLREWQDKGIFIIQSENLEKCIQASKSTLT
+LENCKPPNKYMLWKWVSNHRLFNIGGSGCLGLNVSSPEQPLSIYECDSTHVSLKWHCNKK
+TITGPLQYLVQVKQDNTLVASRKYLHKWVSYMSGGGGICDYLHKDLYTIKGNAHGTPCMF
+PFQYNQQWHHECTREGREDNLLWCATTSRYERDEKWGFCPDPTSTEVGCDAVWEKDLHSR
+ICYQFNLLSSLSWSEAHSSCQMQGAALLSIADETEENFVRKHLGSEAVEVWMGLNQLDED
+AGWQWSDRTPLNYLNWKPEINFEPFVEYHCGTFNAFMPKAWKSRDCESTLPYVCKKYLNP
+TDHGVVEKDAWKYYATHCEPGWNPHNRNCYKLQKEKKTWNEALQSCQSNNSVLTDITSLA
+EVEFLVTLLGDENASETWIGLSSHKIPVSFEWSNGSSVTFTNWHTLEPHIFPNRSQLCVS
+AEQSEGHWKVKNCEETLFYLCKKTGLVLSDTESGCQKGWERHGKFCYKIDTVLRSFDHAS
+SGYYCPPALITITSRFEQAFITSLISSVVKTKDTYFWIALQDQNNTGEYTWKTAGQQLEP
+VKYTHWNTRQPRYSGGCVVMRGRSHPGRWEVRDCRHFKAMSLCKQPVENREKTKQEEGWP
+FHPCYLDWESEPGLASCFKVFHSEKVLMKRTWRQAEEFCEEFGAHLASFAHIEEENFVNE
+LLHSKFNRTEERQFWIGFNKRNPLNAGSWEWSDGTPVVSSFLDNSYFGEDARNCAVYKAN
+KTLLPSYCGSKREWICKIPRDVRPKVPPWYQYDAPWLFYQDAEYLFHISASEWSSFEFVC
+GWLRSDILTIHSAHEQEFIHSKIRALSKYGVNWWIGLREERASDEFRWRDGSPVIYQNWD
+KGKERSMGLNESQRCGFISSITGLWASEECSISMPSICKRKKVWVIEKKKDIPKQHGTCP
+KGWLYFDYKCLLLKIPEGPSDWKNWTSAQDFCVEEGGTLVAIENEVEQAFITMNLFGHTT
+NVWIGLQDDDYEKWLNGRPVSYSNWSPFDTKNIPNHNTTEVQKRIPLCGLLSNNPNFHFT
+GKWYFEDCREGYGFVCEKMQDASGHSINTSDMYPIPNTLEYGNRTYKIINANMTWYTALK
+TCLMHGAELASITDQYHQSFLTVILNRVGYAHWIGLFTEDNGLSFDWSDGTKSSFTFWKD
+DESSFLGDCVFADTSGRWSSTACESYLQGAICQVPTETRLSGRLELCSETSIPWIKFKSN
+CYSFSTVLESTSFEAAHEFCKKKGSNLLTIKDEAENSFLLEELLAFRSSVQMIWLNAQFD
+GDNETIKWFDGTPTDQSNWGIRKPEVYHFKPHLCVALRIPEGVWQLSSCQDKKGFICKME
+ADIHTVKKHPGKGPSHSVIPLTVALTLLVILAISTLSFCMYKHSHIIFGRLAQFRNPYYP
+SANFSTVHLEENILISDLEKNDQ
+>sp|Q32PA2|PRM3_BOVIN Protamine-3 OS=Bos taurus OX=9913 GN=PRM3 PE=3 SV=1
+MGSRCAKLGTGHGRGHESSMKKLVACVSQDNFSLSSEGEEEEEGEEEEEEEGEEEELPVQ
+GKLLLMEAGQQEEGAEDADSEVQQSPEPKQTRS
+>sp|Q32LJ6|RABL3_BOVIN Rab-like protein 3 OS=Bos taurus OX=9913 GN=RABL3 PE=2 SV=2
+MASLDRVKVLVLGDSGVGKSSLVHLLCQNQVLGNPSWTVGCSVDVRVHDYKEGTPEEKTY
+YIELWDVGGSVGSASSVKSTRAVFYNSVNGIILVHDLTNKKSSQNLYRWSLEALNRDLVP
+TGVLVTNGDYDREQFADNQIPLLVIGTKLDQIHENKRHEVLTRTAFLAEDFNAEEINLDC
+TNPRYLAAGSSNAVKLSRFFDKVIEKRYFLRDGNQIPGFPDRKRFGGGTLKSLHYD
+>sp|Q2TBQ7|RMD2_BOVIN Regulator of microtubule dynamics protein 2 OS=Bos taurus OX=9913 GN=RMDN2 PE=2 SV=2
+MPHSTNREMILGIVVGTAGISLLLLWYHKVRKPRTAMSLPKFLSLGNSLDLMTLQDEMPS
+GQGTTAIFQGRQLQILEKLNELLTHMEELKEEIRVLKEAIPKLEEYIQGELGGKVTVHKI
+SPQHRARKRRLATVQSSATSNSSEEAESEGGYVTANTDTEEQSFPVPKEFNTHVEELNLD
+ALIQRADNLRVNESRKVESFELLCDHKEKFRDEIEFIWRFARAYGDMYELSTNIQEKKHY
+ANIGKTLGEKAIMRAPKNGYCHLWYAVLCGYVSEFEGLQNKINYGYRFKEHLDKAIEFLP
+EEPFLYYLKGRYCYAVSKLSWIERKMAATLFGNIPSSTVQEALQNFLKVEELQPGFSKSN
+YMFMAKCYADLNQIDSAMKFCNLAVLLPCITKEDKDAQKEVKKISTSLKR
+>sp|Q3SZ47|RM33_BOVIN 39S ribosomal protein L33, mitochondrial OS=Bos taurus OX=9913 GN=MRPL33 PE=1 SV=1
+MFLSAVTFAKSKSKTILVKMVSQAGTGFSFNTKRSRLWEKLTLLHYDPVVKKKVLFVEQK
+KIRSL
+>sp|Q2KJ39|RCN3_BOVIN Reticulocalbin-3 OS=Bos taurus OX=9913 GN=RCN3 PE=2 SV=1
+MMWPPSLLLLLLLLRRGAQGKPSPDAGPHGQGRVHHAAPLSEAPHDDAHGNFQYDHEAFL
+GREVAKEFDQLTPEESQARLGRIVDRMDRAGDGDGWVSLAELRSWIAHTQQRHIRDSVSA
+AWNTYDTDRDGRVGWEELRNATYGHYEPGEEFHDVEDAETYKKMLARDERRFRVADQDGD
+SMATREELTAFLHPEEFPHMRDIVIAETLEDLDRNKDGYVQVEEYIADLYTAEPGEEEPA
+WVQTEREQFRDFRDLNKDGKLNGSEVGHWVLPPAQDQPLVEANHLLHESDTDKDGRLSKA
+EILGNWNMFVGSQATNYGEDLTRHHDEL
+>sp|Q3ZBR7|RM18_BOVIN 39S ribosomal protein L18, mitochondrial OS=Bos taurus OX=9913 GN=MRPL18 PE=2 SV=1
+MALRSRSWELLPVCRNPGCRVAAFSTSAKPVVKPEADPVGNEAVAPEFTNRNPRNLELLA
+VARKERGWGTVWPSREFWHRLRVIRSQHHIEALVEHRNGQVVVSASTREWAIKKHLYSTK
+SVVACESVGRVLAQRCLEAGINFMVYQPTPWEAASDSMKRLQIGMIEGGVVLQEPRRIYE
+>sp|Q3T0W4|PP1R7_BOVIN Protein phosphatase 1 regulatory subunit 7 OS=Bos taurus OX=9913 GN=PPP1R7 PE=1 SV=1
+MAAERGAGQQQSQEMMEVDRRVESEESGDEEGKKQNSGMVADLSAHSLKDGEERGDEDPE
+EGQELPVDMETISLDRDAEDVDLNHYRIGKIEGFEVLKKVKTLCLRQNLIKCIENLEGLQ
+SLRELDLYDNQIRRIENLDALTELEVLDISFNLLRNIEGIDKLTRLKKLFLVNNKINKIE
+NISSLHQLQMLELGSNRIRAIENIDTLTNLESLFLGKNKITKLQNLDALTNLTVLSMQSN
+RLTKIEGLQSLVNLRELYLSHNGIEVIEGLDNNNKLTMLDIASNRIKKIENVSHLTELQE
+FWMNDNLLDCWSDLDELKGARSLETVYLERNPLQRDPQYRRKIMLALPSVRQIDATFVRF
+>sp|Q27995|NOS2_BOVIN Nitric oxide synthase, inducible OS=Bos taurus OX=9913 GN=NOS2 PE=2 SV=3
+MACPWQFLFKIKSQKVDLATELDINNNVGKFYQPPSSPVTQDDPKRHSPGKHGNESPQPL
+TGTVKTSPESLSKLDAPPSACPRHVRIKNWGSGVTFQDTLHQKAKGDLSCKSKSCLASIM
+NPKSLTIGPRDKPTPPDELLPQAIEFVNQYYGSFKEAKIEEHLARVEAVTKEIETTGTYQ
+LTGDELIFATKQAWRNAPRCIGRIQWSNLQVFDARSCSTAQEMFEHICRHVRYATNNGNI
+RSAITVFPQRSDGKHDFRVWNAQLIRYAGYQMPDGSIRGDPANVEFTQLCIDLGWKPKYG
+RFDVLPLVLQADGRDPELFEIPPDLVLEVPMEHPRYEWFRELELKWYALPAVANMLLEVG
+GLEFPGCPFNGWYMGTEVGVRDFCDAQRYNILEEVGRRMGLETHKVASLWKDRAVVEINV
+AVLHSFQKQNVTIMDHHSAAESFMKYMQNEYRSRGGCPADWIWLVPPISGSITPVFHQEM
+LNYVLSPFYYYQVEPWKTHVWQDERRRPQRREIRFKVLVKAVFFASVLMHKAMASRVRAT
+ILFATETGRSETLAQDLGALFSCAFNPKVLCMDQYQLSHLEEEQLLLVVTSTFGNGDSPG
+NGEKLKKSLLMLKELTNTFRYAVFGLGSSMYPQFCAFAHDIDQKLSQLGASQLAPTGEGD
+ELSGQEEAFRSWAVQTFKAACETFDVSGKHHIEIPKLYTSNVTWDPQHYRLVQDSEPLDL
+NKALSSMHAKHVFTMRLKSQQNLQSPKSSRTTLLVELSCEGSQAPSYLPGEHLGVFPCNQ
+PALVQGILERVVDGPAPHQPVRLETLCENGSYWVKDKRLPPCSLSQALTYFLDITTPPTQ
+LLLRKLAQLATEEAEKQRLETLCQPSDYNKWKFTNSPTFLEVLEEFPSLRVSASFLLSQL
+PILKPRYYSISSSRDLTPTEIHLTVAVLTYRTRDGQGPLHHGVCSTWLSSLKPQDPVPCF
+VRSASGFQLPEDRSRPCILIGPGTGIAPFRSFWQQRLHEAEHKGLQGGRMTLVFGCRRPE
+EDHLYWEEMLEMARKGVLHEVHTAYSRLPDQPKVYVQDILRQRLAGEVLRVLHEEQGHLY
+VCGDVRMARDVARTLKQLMATALSLNEEQVEDYFFQLKNQKRYHEDIFGAVFPYEVKKDG
+AAGLPSNPRAPGAHRS
+>sp|Q28161|PKP1_BOVIN Plakophilin-1 OS=Bos taurus OX=9913 GN=PKP1 PE=2 SV=1
+MNHSPLKTALAYECFQDQDNSTLALPSDQKMKTGTSGRQRVQEQVMMTVKRQKSKSSQSS
+TLSHSNRGSMYDGLADSYNNYGTSSRSSFYSKFQAGSGSWGYPIYNGTLKREADNRRFSS
+YSQMESWGRQYPRGGCTAAGAGSDICFMQKIKASRSEPDLYCDPRGTLRKGTLGGKGHKT
+TQNRYSFYSTCSGQKAVKKYPGRPPSCTSRQDPVCVPPTSCTKDLSFSHSRASSKICSED
+IECSGLTIPKAVQYLSSQDEKCQAIGAYYIQHTCFQDESAKQQVYQLGGICKLVDLLRSP
+NQNVQQAAAGALRNLVFRSTTNKLETRRQNGIREAVSLLRRTGSTEIQKQLTGLLWNLSS
+TDELKEELIAEALPVLADRVIIPFSGWCDGNSNLSREPVDPEVFFNATGCLRNLSSADVG
+RQTMRNYTGLIDSLMAYVQNCVAANRCDDKSVENCMCVLHNLSYRLDAEVPTRYRQLEYN
+ARNAYTDKSSTGCFSNKSDRMTNNNYDCPLPEEEPNPKGSSWLYHSDAVRTYLNLMGKSK
+KDATLEACAGALQNLTASKGLMSSGMSQLIGLKEKGLPHIARLLQSGNSDVVRSGASLLS
+NMSRHPALHRVMGTQVFPEVTRLLTSHTGNTSNSEDILASACYTVRNLMASLPGMAKQHF
+SSSMVNNIINLCRSSTSPKAAEAARLLLSDMWSSRELQGLLRQQGFDRSMLGTLAGANSL
+RNFTSRF
+>sp|Q32LN3|PDCL2_BOVIN Phosducin-like protein 2 OS=Bos taurus OX=9913 GN=PDCL2 PE=2 SV=1
+MQDPNEDTEWNEILRDFGILPPKEEPKDEIEEMVLRLQKEAMVKPYEKMTLAELKEAEDE
+FDDEDMKAIEIYREKRLQEWKALKKKQKFGELREISGNQYVNEVTNAEKDVWVIIHLYRS
+SIPLCLLVNQHLSLLARKFPETKFVKAIANSCIEHYHDNCLPTIFVYKNGQIEGKFIGII
+ECGGINLKLEELEWKLAEVGAIQTDLEENPKKAIVDVMVSSIRNTSIYGDSDSSNSDSED
+TK
+>sp|Q08E02|NR1D1_BOVIN Nuclear receptor subfamily 1 group D member 1 OS=Bos taurus OX=9913 GN=NR1D1 PE=1 SV=1
+MTTLDSNNNTGGVITYIGSSGSSPNRTSPESLYSDSSNGSFQSLTQGCPTYFPPSPTGSL
+TQDPARSFGSIPPSLGDDGSPSSSSSSSSSSSSSFYNGSPPGGLQVALEDSNRVSPSKST
+SNITKLNGMVLLCKVCGDVASGFHYGVHACEGCKGFFRRSIQQNIQYKRCLKNENCSIVR
+INRNRCQQCRFKKCLSVGMSRDAVRFGRIPKREKQRMLAEMQSAMNLANNQLSSQCPLET
+PPTQHPTPGPMGPSPPPAPAPSPLVGFSQFPQQLTPPRSPSPEPTVEDVISQVARAHREI
+FTYAHDKLGTSPGNFNANHASGNRPATTPHRWESQGCPPANDNIMAAQRHNEALNSLRQA
+SSSYPPPWPPGAAHHSCHQPNSNGHRLCPTHVYPAPEGEAPVNSPRQGNSKNILLACPMN
+MYPHGRSGRTVQEIWEDFSMSFTPAVREVVEFAKHIPGFRDLSQHDQVTLLKAGTFEVLM
+VRFASLFNVKDQTVMFLSRTTYSLQELGAMGMGDLLNAMFDFSEKLNSLALTEEELGLFT
+AVVLVSADRSGMENSASVEQLQETLLRALRALVLKNRPSETSRFTKLLLKLPDLRTLNNM
+HSEKLLSFRVDAQ
+>sp|P31096|OSTP_BOVIN Osteopontin OS=Bos taurus OX=9913 GN=SPP1 PE=1 SV=2
+MRIAVICFCLLGIASALPVKPTSSGSSEEKQLNNKYPDAVATWLKPDPSQKQTFLAPQNS
+VSSEETDDNKQNTLPSKSNESPEQTDDLDDDDDNSQDVNSNDSDDAETTDDPDHSDESHH
+SDESDEVDFPTDIPTIAVFTPFIPTESANDGRGDSVAYGLKSRSKKFRRSNVQSPDATEE
+DFTSHIESEEMHDAPKKTSQLTDHSKETNSSELSKELTPKAKDKNKHSNLIESQENSKLS
+QEFHSLEDKLDLDHKSEEDKHLKIRISHELDSASSEVN
+>sp|Q5E9X2|MP2K6_BOVIN Dual specificity mitogen-activated protein kinase kinase 6 OS=Bos taurus OX=9913 GN=MAP2K6 PE=2 SV=1
+MSQSKGKKRNPGLKIPKEAFEQPQTSSTPPRDLDSKACISIGNQNFEVKADDLEPIVELG
+RGAYGVVEKMRHVPSEQIMAVKRIRATVNSQEQKRLLMDLDISMRTVDCPFTVTFYGALF
+REGDVWICMELMDTSLDKFYKQVIDKGQTIPEDILGKIAVSIVKALEHLHSKLSVIHRDV
+KPSNVLINALGQVKMCDFGISGYLVDSVAKTIDAGCKPYMAPERINPELNQKGYSVKSDI
+WSLGITMIELAILRFPYDSWGTPFQQLKQVVEEPSPQLPADKFSEEFVDFTSQCLKKNSK
+ERPTYPELMQHPFFTLHESKATDVASFVKSILGD
+>sp|Q56K12|OAZ1_BOVIN Ornithine decarboxylase antizyme 1 OS=Bos taurus OX=9913 GN=OAZ1 PE=2 SV=3
+MVKSSLQRILNSHCFAREKEGDKPSATVHATRTMPLLSLHSRGGRSSESSRVSINCCSNL
+GPGPRWCSDVPHPPLKIPGGRGNSQRDHNLSANLFYSDNRLNVTEELTSNNKTRIFNVQS
+RLTEAKHINWRAVLSNSCLYVEIPGGALPEGSKDSFAVLLEFAEEQLHVDHVFICFHKNR
+DDRAALLRTFSFLGFEIVRPGHPLVPKRPDACFMAYTFERESSGEEE
+>sp|Q29RT8|PLPR2_BOVIN Phospholipid phosphatase-related protein type 2 OS=Bos taurus OX=9913 GN=PLPPR2 PE=2 SV=1
+MAGGRPQLKRSFSIIPCFVFVEILLGELARAFFPAPPSAVPIIGESTIVSGACCRFSPPL
+RRLVRFLGVYSFGLFTTTIFANAGQVVTGNPTPHFLSVCRPNYTALGCPPPSPDRPGPDR
+FVNDQGACAGSPSLVAAARRAFPCKDAALCAYAVTYTAMYVTLVFRVKGSRLVKPSLCLA
+LLCPAFLVGVVRVAEYRNHWSDVLAGFLTGAAIATFLVTCVVHNFQSRPPSGRRLSPWED
+LSQAPTMDSPLEKLSVAQEPEGCRSHSTPARLTPSKPQNCARRGHLIPNCVSSRAPAMCS
+SPRVPRPRLRSEPTPLPLPLPLPAPAPSQGPSPSSPGPGGPGGGGSRGRKLLLPTPLLRD
+LYTLSGLYPSPFHRDNFSPYLFASRDHLL
+>sp|Q08E53|NR4A2_BOVIN Nuclear receptor subfamily 4 group A member 2 OS=Bos taurus OX=9913 GN=NR4A2 PE=2 SV=1
+MPCVQAQYGSSPQGASPASQSYSYHSSGEYSSDFLTPEFVKFSMDLTNTEITATTSLPSF
+STFMDNYSTGYDVKPPCLYQMPLSGQQSSIKVEDIQMHNYQQHSHLPPQSEEMMPHSGSV
+YYKPSSPPTPTTPGFQVQHSPMWDDPGSLHNFHQNYVATTHMIEQRKTPVSRLSLFSFKQ
+SPPGTPVSSCQMRFDGPLHVPMNPEPAGSHHVVDGQTFAVPNPIRKPASMGFPGLQIGHA
+SQLLDTQVPSPPSRGSPSNEGLCAVCGDNAACQHYGVRTCEGCKGFFKRTVQKNAKYVCL
+ANKNCPVDKRRRNRCQYCRFQKCLAVGMVKEVVRTDSLKGRRGRLPSKPKSPQEPSPPSP
+PVSLISALVRAHVDSNPAMTSLDYSRFQANPDYQMSGDDTQHIQQFYDLLTGSMEIIRGW
+AEKIPGFTDLPKADQDLLFESAFLELFVLRLAYRSNPVEGKLIFCNGVVLHRLQCVRGFG
+EWIDSIVEFSSNLQNMNIDISAFSCIAALAMVTERHGLKEPKRVEELQNKIVNCLKDHVT
+FNNGGLNRPNYLSKLLGKLPELRTLCTQGLQRIFYLKLEDLVPPPAIIDKLFLDTLPF
+>sp|Q2KJ46|PSMD3_BOVIN 26S proteasome non-ATPase regulatory subunit 3 OS=Bos taurus OX=9913 GN=PSMD3 PE=2 SV=1
+MKQEGSARRRGADKAKPPPGGGEQEPPPPPAPQDVEMKEEAAAGGGSTGETAGKTAAAAA
+EHSQRELDTVTLEDIKEHVKQLEKAVSGKEPRFVLRALRMLPSTSRRLNHYVLYKAVHGF
+FTSNNATRDFLLPFLEEPMDTEADLQFRPRTGKAASAPLLPEVEAYLQLLMVIFLMNSKR
+YKEAQKISDDLMQKISTQNRRALDLVAAKCYYYHARVYEFLDKLDVVRSFLHARLRTATL
+RHDTDGQATLLNLLLRNYLHYSLYDQAEKLVSKSVFPEQANNNEWARYLYYTGRIKAIQL
+EYSEARRTMTNALRKAPQHTAVGFKQTVHKLLIVVELLLGEIPDRLQFRQPSLKRSLMPY
+FLLTQAVRTGNLAKFNQVLDQFGEKFQADGTYTLIIRLRHNVIKTGVRMISLSYSRISLA
+DIAQKLQLDSPEDAEFIVAKAIRDGVIEASINHEKGYVQSKEMIDIYSTREPQLAFHQRI
+SFCLDIHNMSVKAMRFPPKSYNKDLESAEERREREQQDLEFAKEMAEDDDDSFP
+>sp|Q3ZBX1|PIGC_BOVIN Phosphatidylinositol N-acetylglucosaminyltransferase subunit C OS=Bos taurus OX=9913 GN=PIGC PE=2 SV=1
+MCAQPVANTKEVRWQKVLYERQPFPDNYVDRRFLEELRKNIYARKYQYWAVVFESSVVIQ
+QLCSVCVFVVIWWYMDEGLLAPQWLFGTGLASSLIGYVLFDFIDGGEGRKKSGRTRWADL
+KSALVFITFTYGFSPVLKTLTESVSTDTIYAMAVFMLLGHLIFFDYGANAAIVSSTLSLN
+MAIFASVCLASRLPRSLHAFIMVTFAIQIFALWPMLQKKLKACTPRSYVGVTLLFAFSAL
+GGLLSISAVGAILFALLLISISCLCPFYLIRLQLFKENIHGPWDEAEIKEDLSRFLS
+>sp|Q2KID2|NTH_BOVIN Endonuclease III-like protein 1 OS=Bos taurus OX=9913 GN=NTHL1 PE=2 SV=1
+MNAAGVRMVVTRARSRGTGASLRRRGEKAAPLRSGEAAAEERKSYSPVKRRRKAQRLSVA
+YEASEGEGGEGAEHLQAPSWQPQDWRQQLDNIRTMRSGKDAPVDQLGAEHCFDPSASPKV
+RRYQVLLSLMLSSQTKDQVTAGAMQRLRARGLTVDSILQTDDSTLGALIYPVGFWRSKVK
+YIKQTSAILQQRYDGDIPASVAELVALPGVGPKMAHLAMAVAWGTVSGIAVDTHVHRIAN
+RLRWTKKATKSPEETRRALEEWLPRELWSEINGLLVGFGQQTCLPIRPRCQACLNRALCP
+AARGL
+>sp|P15781|PSPB_BOVIN Pulmonary surfactant-associated protein B OS=Bos taurus OX=9913 GN=SFTPB PE=1 SV=3
+MAKSHLLPWLLLLPILCGPGTAAAITYSLACAQGPEFWCQSLEQALQCRALGHCLQEVWG
+HVEADDLCQECENISRLLTKMAKEAIFQDSVRKFLEQECDVLPLKLLAPLCRHLLDTYFP
+LIIEHFQSHMNPKFICQHVGLCKPRHPEPGKGPEPWGPLLDKLALPLLPGVPQAKPGPQT
+QDLSEQLFPIPIPYCWLCRTLIKRIQAVIPKGVLAMTVAQVCHVVPLLVGGICQCLVERY
+SVILLDTLLGRMLPQLVCGLVLRCSSEDSAGPALPALGSVPGEWLPQDSDCQLCMFVTTQ
+AGNSSEQATPQAMRQACLGTWLDRQKCERFVEENAPRLQTLVSSGWDAHMACQALGTCAA
+PFSPLQCVHSPHF
+>sp|A0JNA8|PAXI1_BOVIN PAX-interacting protein 1 OS=Bos taurus OX=9913 GN=PAXIP1 PE=2 SV=1
+MSGQVPRVPEEMFKEVKYYAVGDLDPQVIQLLKAGKAKEVSYNALASHIISEDGDNPEVG
+EAREVFDLPVVKPSWVILSVQCGALLPVNGFSPESCQIFFGITACLSQVSPEDRSALWAM
+LTFHGGGCQLNLNRKCTHLVVPEPKGEKYECALRRASIKIVTPDWVLDCISEKTRKDEAL
+YHPRLIVYEEEEEEEEEEEGAGNEEPDSPNEGSTDGKSSPASSQEGSPSGEPPFSPKSSA
+EKSKGELMFDDSSDSSPEKQERNLNWTPAEVPQLAAAKRRLPPGKEPGLINLCANVPPVP
+GGILPPEVRGSLLAPGQSLQGPERPEVMAAWSPAMRTLRNITNNADIQQMSSRPSNVAHI
+LQSLSAPTKTLEQQVNHSQQGPASAVLLGQVKVAPEPAPAPQPILHLQPQQLLQLQQQHL
+AQQPYPPPPPHPFPPPPAHPHQFPQPPLQRPQPPLQQQQLSHLQQQQLQHLQRLQQMQPT
+PTAQLPGPPAQALQPPPPQAQAQPPLFGHDPAVEIPEEGFLLGCVFAIADYPEQMSDKQL
+LATWKRIIQAHGGAVDPTFSSRCTHLLCESQVSGLFAQAMKERKRCITAHWLNTVLKKKK
+LVPPHRALHFPVAFPPGGKPCSQHIISVTGFVDNDRDDLKLMAYLAGAKYTGYLCRSNTV
+LICREPTGLKYEKAKEWRIPCVNAQWLGDILLGNFEALRQTQYGRYTAFGLQDPFAPTPQ
+LVLSLLDAWRVPLKVSSELLMGVRLPPKPKQNEVTNVQPSSKRARIEDIPPPTKKLTPEL
+TPFVLFTGFEPVQVQQYIKKLYILGGEVAESAQKCTHLIASKVTRTVKFLTAISVVKHIV
+TPEWLEECFKCQKFVDEQNYLLRDAEAEVLFSFSLEESLRRAHASPLFKAKYFYITPGIC
+PSLSTMKAIVECAGGKVLSRQPSFRKLMEHKQNKSLSEIVLISCENDLHLCREYFARGID
+VHNAEFVLTGVLTQTLDYESYKFN
+>sp|Q29RY9|NPL_BOVIN N-acetylneuraminate lyase OS=Bos taurus OX=9913 GN=NPL PE=2 SV=1
+MASPKKKLQGLVAATITPMTEHGEINFSVIGRYVDYLVEEQGVKNVFVNGTTGEGLSLSI
+SERCQVAEEWVTKGKNKLDQIVIHVGALSLKESQELAQHAAEIGADGIAVIAPFFLKPWN
+KDVLINFLKEVAAAAPALPFYYYHIPALTGVKIRAEELLDGIQDKIPSFQGLKFSDTDLL
+DFGQCVDQNRQRQFAFLFGVDEQLLSALVMGATGAVGSTYNYLGKKTKQMLEAFERKDFS
+SALNHQFCIQRFINFVVKLGFGVSQTKAIMTLVSGIPMGPPRLPLQKASREFTDNAEAKL
+KSLDFLSCTDLKDGNMEACS
+>sp|Q5E9U9|NPRL2_BOVIN GATOR complex protein NPRL2 OS=Bos taurus OX=9913 GN=NPRL2 PE=2 SV=1
+MGSSCRIECIFFSEFHPTLGPKITYQVPEDFISRELFDTVQVYIITKPELQNKLITVTAM
+EKKLIGCPVCIEHKKYSRNALLFNLGFVCDAQAKTCALEPIVKKLAGYLTTLELESSFVS
+TEESKQKLVPIMTILLEELNASGRCTLPIDESNTIHLKVIEQRPDPPVAQEYDVPVFTKD
+KEDFFNSQWDLTTQQILPYIDGFRHVQKISAEADVELNLVRIAIQNLLYYGVVTLVSILQ
+YSNVYCPTPKVQDLVDDKSLQEACLSYVTKEGHKRASLRDVFQLYCSLSPGTTVRDLIGR
+HPQQLQHVDERKLIQFGLMKNLIRRLQKYPVRVSRDERSHPARLYTGCHSYDEICCKTGM
+SYQELDERLENDPNIIICWK
+>sp|Q02365|NDUB3_BOVIN NADH dehydrogenase [ubiquinone] 1 beta subcomplex subunit 3 OS=Bos taurus OX=9913 GN=NDUFB3 PE=1 SV=2
+MAHGHGHEHGPSKMELPDYKQWKIEGTPLETVQEKLAARGLRDPWGRNEAWRYMGGFANN
+VSFVGALLKGFKWGFAAFVVAIGAEYYLESQKKDKKHH
+>sp|Q32KM6|MORN3_BOVIN MORN repeat-containing protein 3 OS=Bos taurus OX=9913 GN=MORN3 PE=2 SV=1
+MPIFKCPQKSEPLWKEWDQKAQKNGLRHQVFAVNGDHYVGEWKDNVKHGKGTQVWKKNGA
+IYEGDWKSGKRDGYGTLSLPDQETGKYKRAYSGWWKGDKKCGYGIQFFGPKEYYEGDWCG
+NQRSGWGRMYYSNGDIYEGQWRNDKPEGEGMLRLKNGNRYEGNWQRGVKNGSGRFFHLDH
+GQLFEGFWVDDVAKCGTMIDFGRDEAPQPTQFPIPEVKILDPDGVLEEALAMFKKTKEEG
+D
+>sp|Q29RK9|MOB3B_BOVIN MOB kinase activator 3B OS=Bos taurus OX=9913 GN=MOB3B PE=2 SV=1
+MSIALKQVFNKDKTFRPKRKFEPGTQRFELHKRAQASLNSGVDLKAAVQLPSGEDQNDWV
+AVHVVDFFNRINLIYGTICEFCTERTCPVMSGGPKYEYRWQDDLKYKKPTALPAPQYMNL
+LMDWIEVQINNEDIFPTCVGVPFPKNFLQICKKILCRLFRVFVHVYIHHFDRVIVMGAEA
+HVNTCYKHFYYFVTEMNLIDRKELEPLKEMTSRMCH
+>sp|Q8SPJ1|PLAK_BOVIN Junction plakoglobin OS=Bos taurus OX=9913 GN=JUP PE=2 SV=1
+MEVMNLIEQPIKVTEWQQTYTYDSGIHSGANTCVPSLSSKGLIEEDEACGRQYTLKKTTT
+YTQSVPPGQGDLEYQMSTTARAKRVREAMCPGVTGEDSSLLLTTQVEGQTTNLQRLAEPS
+QLLKSAIVHLINYQDDAELATRALPELTKLLNDEDPVVVTKAAMIVNQLSKKEASRRALM
+GSPQLVAAVVRTMQNTSDLDTARCTTSILHNLSHHREGLLAIFKSGGIPALVRMLSSPVE
+SVLFYAITTLHNLLLYQEGAKMAVRLADGLQKMVPLLNKNNPKFLAITTDCLQLLAYGNQ
+ESKLIILANGGPQALVQIMRNYSYEKLLWTTSRVLKVLSVCPSNKPAIVEAGGMQALGKH
+LTSNSPRLVQNCLWTLRNLSDVATKQEGLESVLKILVNQLSVDDVNVLTCATGTLSNLTC
+NNSKNKTLVTQNSGVEALIHAILRAGDKDDITEPAVCALRHLTSRHPEAEMAQNSVRLNY
+GIPAIVKLLNQPNQWPLVKATIGLIRNLALCPANHAPLQEAAVIPRLVQLLVKAHQDAQR
+HVAAGTQQPYTDGVRMEEIVEGCTGALHILARDPMNRMEIFRLNTIPLFVQLLYSSVENI
+QRVAAGVLCELAQDKEAADAIDAEGASAPLMELLHSRNEGTATYAAAVLFRISEDKNPDY
+RKRVSVELTNSLFKHDPAAWEAAQSMIPMNEPYADDMDATYRPMYSSDVPMDPLEMHMDM
+DGDYPIDTYSDGLRPPYATADHMLA
+>sp|Q3MHE2|PRP4_BOVIN U4/U6 small nuclear ribonucleoprotein Prp4 OS=Bos taurus OX=9913 GN=PRPF4 PE=2 SV=1
+MASTRPSSTATKTKAPDDLVAPVVKKPHIYYGSLEEKERERLAKGESGLLGKEGLKAGIE
+AGNINITSGEVFEIEEHISERQAEVLAEFERRKRARQINVSTDDSEVKACLRALGEPITL
+FGEGPAERRERLRNILSVVGTDALKKTKKDDEKSKKSKEEYQQTWYHEGPHSLKVARLWI
+ANYSLPRAMKRLEEARLHKEIPETTRTSQMQELHKSLRSLNNFCSQIGDDRPISYCHFSP
+NSKMLATACWSGLCKLWSVPDCNLLHTLRGHNTNVGAIVFHPKSTVSLDQKDVNLASCAA
+DGSVKLWSLDSDEPVADIEGHTVRVARVTWHPSGRFLGTTCYDRSWRLWDLEAQEEILHQ
+EGHSMGVYDIAFHQDGSLAGTGGLDAFGRVWDLRTGRCIMFLEGHLKEIYGINFSPNGYH
+IATGSGDNTCKVWDLRQRRCVYTIPAHQNLVTGVKFEPIHGNFLLTGAYDNTAKIWTHPG
+WSPLKTLAGHEGKVMGLDISSDGQLIATCSYDRTFKLWMAE
+>sp|Q2KJJ8|PLD3_BOVIN Phospholipase D3 OS=Bos taurus OX=9913 GN=PLD3 PE=2 SV=1
+MKPKLMYQELKVPAEEPASELPMNEIEAWKAAEKKARWVLLVLILAVVGFGALMTQLFLW
+EYGDLHLFGPNQRPAPCYDPCEAVLVESIPEGLDFPNASTSNPSTSQAWLGLLAGAHSSL
+DIASFYWTLTNNDTHTQEASAQQGEEVLRQLQTLAPRGVKVRIAVSKPNGPQPQADLQAL
+LQSGAQVRMVDMQKLTHGVLHTKFWVVDQTHFYLGSANMDWRSLTQVKELGVVMYNCSCL
+ARDLTKIFEAYWFLGQAGSSIPSTWPRPYDTRYNQETPMEICLNGTPALAYLASAPPPLC
+PSGRTPDLKALLNVVDNARSFIYIAVMNYLPIMEFSHPRRFWPAIDDGLRRAAYERGVKV
+RLLISCWGHSDPSMRAFLLSLAALRDNHTHSDIQVKLFVVPADDAQARIPYARVNHNKYM
+VTERATYIGTSNWSGSYFTETAGTSLLVTQNGRGGLRSQLEAVFLRDWDSPYSHDLDAAA
+DSVGNACRLL
+>sp|A4FUC9|RHPN2_BOVIN Rhophilin-2 OS=Bos taurus OX=9913 GN=RHPN2 PE=2 SV=1
+MTDTLLPAAPQPLEKEGNCYFRKGCNPLAQTGRSKLQNQRAALNQQILKAMRMRTGAENL
+LKAATNQKVREQVRLELSFLNSDLQMLKEELEGLNISVGVYQNTEEAFTIPLIPLGLKET
+KDVDFSVALKDFILEHYSEDSYLYEDEIADLMDLRQACRTPSRNEAGVELLMSYFMQLGF
+VESRFFPPTRQMGILFTWYDSLTGVPVSQQNLLLEKASILFNIGALYTQIGTRCNRQTEA
+GLESTVDAFQRAAGVLNYLKETFTHTPSYDMSPAMLSVLVKMMLAQAQESTFEKVCLPGL
+QNEFFLLVKVAQEAAKVGEVYRQLHTAMNQEPVKENIPYSWASLACVKAHHYEALAHYFT
+ATLLIDHQLKPGEDEDHQEKCLSQLYSHMPEGLTPLATLKNVHQRQLLGKSHLCQAVTHH
+EESMREASLCKKLRNIDVLQEVLSAAHDRSQLKYTQLREDDDLLNLTDAPDIVSKTEREV
+EIIVPQFSKVTVTDFFQKLGPLSVFSANKRWTAPRSIHFTAEEGDLGFTLRGNSPVQVHF
+LDPYCSAAAAGTKEGDYIVSIQDVDCKWLTLSEVMKMLKSFGQDDIEMKVVSLLDATSTM
+HSKCATYSVGMQKTYSMICLGIDVDDKTDKTKKVSKKLSFLSWGTNKNRQKSASTLCLPS
+VGVTMPPVKKKLSSPFSLLNTDSSLY
+>sp|Q24JX9|KRA33_BOVIN Keratin-associated protein 3-3 OS=Bos taurus OX=9913 GN=KRTAP3-3 PE=3 SV=1
+MACCAPLCCSARTSPATTICSSDKFCRCGVCLPSTCPHTVWLLEPTCCDNCPPPCHIPQP
+CVPTCFLLNSSQPTPGLETINLTTYTQPSCEPCIPSCC
+>sp|P79345|NPC2_BOVIN NPC intracellular cholesterol transporter 2 OS=Bos taurus OX=9913 GN=NPC2 PE=1 SV=1
+MRFLTVAFLFLALSASALAEPVKFKDCGSWVGVIKEVNVSPCPTQPCKLHRGQSYSVNVT
+FTSNTQSQSSKAVVHGIVMGIPVPFPIPESDGCKSGIRCPIEKDKTYNYVNKLPVKNEYP
+SIKVVVEWELTDDKNQRFFCWQIPIEVEA
+>sp|Q5G235|PEN2_BOVIN Gamma-secretase subunit PEN-2 OS=Bos taurus OX=9913 GN=PSENEN PE=3 SV=1
+MNLERVSNEEKLNLCRKYYLGGFAFLPFLWLVNIFWFFREAFIVPAYTEQSQIKGYVWRS
+AVGFFLWVIVLSTWITIFQIYRPRWGALGDYLSFTIPLGTP
+>sp|Q5E946|PARK7_BOVIN Protein/nucleic acid deglycase DJ-1 OS=Bos taurus OX=9913 GN=PARK7 PE=2 SV=1
+MASKRALVILAKGAEEMETVIPVDVMRRAGIKVTVAGLAGKDPVQCSRDVVICPDASLED
+AKKEGPYDVVVLPGGNLGAQNLSESAAVKEILKEQEKRKGLIAAICAGPTALLAHEIGFG
+SKVTTHPLAKDKMMNGSHYSYSENRVEKDGLILTSRGPGTSFEFALKIVEVLVGKEVADQ
+VKAPLVLKD
+>sp|P83862|OX26_BOVIN Orexigenic neuropeptide QRFP OS=Bos taurus OX=9913 GN=QRFP PE=2 SV=1
+MRSPYSLPYLLFLPLGACFPVLDTEEPVDAVGGTGREMSWMDPARGRPFPWGSPGWPRAP
+YPHALLVTAKELRASGKARAGFQLRLGRQDDGSEATGLLLGEAEKVGGLLGTLAEELNGY
+SRKKGGFSFRFGRR
+>sp|Q5E9H0|PLA1A_BOVIN Phospholipase A1 member A OS=Bos taurus OX=9913 GN=PLA1A PE=2 SV=1
+MPPDFWERCFWLWGLLLWLSVGSTGDAPPTPQTNCTDFQNANLLRGTNLKVQFLLFTPLD
+PSCGQLVEESSDIQNSGFNATLGTKLVIHGFRALGTKPSWIDRFIDALLRAADANVIAVD
+WVYGSTAAYFSAVENVIKLGLEISRFLRKLLALGVSESSIHIIGISLGAHVGGMVGHFYN
+GQLGQITGLDPAGPEYTRASLEERLDPGDALFVEAIHTDTDNLGIRIPVGHVDYFINGGQ
+DQPGCPTSIYAGYSYLICDHMRAVHLYISALENSCPLVAFPCTNYKDFLAGQCLDCFNPF
+LLSCPRIGLVEQGGVKIEPLPKEVKVYLLTTSMAPYCVHHSLVEFHLQEPRNKDTCITVT
+FLSSSVTSSVKITIPRHQRVGKGVLAHPSPQCQINQVKLKLQASHRVWKKDQTTIIGRFC
+TAPLPVNDNKKMVCLPEPVNLQASETVSHDLKITCI
+>sp|Q2KJ11|NKG7_BOVIN Protein NKG7 OS=Bos taurus OX=9913 GN=NKG7 PE=2 SV=1
+MEPCRSLALLTSSLGLVSLLVAVSTNFWFAARGPGFSSHSGLWPSKDQVSVAGYIHVTQS
+FCILAVLWGLISTAFLVMSCIPSLSAPGRGPIVSTFMGFAGALSLIVAMTVYTIERWNQP
+ANPQVQSFFSWSFYLGWVSTLLFLCTGGLSLGAHCTTHRPDYEAV
+>sp|Q2TBX6|PSB1_BOVIN Proteasome subunit beta type-1 OS=Bos taurus OX=9913 GN=PSMB1 PE=1 SV=1
+MLSSVAAYSGAGRDLAMEPHSSVGPLQLRFSPYAFNGGTVLAIAGEDFSIVASDTRLSEG
+FSIHTRDSPKCYKLTDKTVIGCSGFHGDCLTLTKIIEARLKMYKHSNNKAMTTGAIAAML
+STILYSRRFFPYYVYNIIGGLDEEGKGAVYSFDPVGSYQRDSFKAGGSASAMLQPLLDNQ
+VGFKNMQNVEHVPLSLDRAMRLVKDVFISAAERDVYTGDALKVCIVTKEGIRGETVPLRK
+D
+>sp|A8R4Q8|PC4L1_BOVIN Purkinje cell protein 4-like protein 1 OS=Bos taurus OX=9913 GN=PCP4L1 PE=3 SV=1
+MSELNTKTSPATNQAPGPEEKGKAGSAKKTEDEEEEIDIDLTAPETEKAALAIQGKFRRF
+QKRKKDPSS
+>sp|Q0VCG3|PRVA_BOVIN Parvalbumin alpha OS=Bos taurus OX=9913 GN=PVALB PE=3 SV=3
+MSMTDLLHAEDIKKAVGAFTAVDSFDHKKFFQMVGLKKKSPEDVKKVFHILDKDKSGFIE
+EEELGFILKGFSPDARDLSVKETKTLLAAGDKDGDGKIGADEFSTLVAES
+>sp|Q0VC22|NAR5_BOVIN Ecto-ADP-ribosyltransferase 5 OS=Bos taurus OX=9913 GN=ART5 PE=2 SV=1
+MIQATLLISLSCLSFYTLGSGVRRYDPGQGVTIQYLSLAPDTFDDAYVGCSEEMEEKAVL
+LLEKEMANHTRLRESWETAQKAWEQKRAGLTLPPGFRSQHGIAIMVYTNSSNTLYRELNQ
+AVRTGGGSWESYMKHFPFKALHFYLTRALQLLRGGGGCSREPRQEVFRGVRRIHFVPKSV
+GDSIRLGQFASSSLDEAVACGFGSATFFSLRTCSGAPIQALSVFPEEREVLIPPYEVFVV
+SNFSKDGNKSLMTLSSSDQMCSHFNCAYLGEKKRPSCEFVPIGGQGDSLSKGAFSLLSWK
+TLLLASWGFQLLGAGL
+>sp|Q3SZI0|MPI_BOVIN Mannose-6-phosphate isomerase OS=Bos taurus OX=9913 GN=MPI PE=2 SV=3
+MAAQRVFPLSCVVQQYAWGKMGSNSEVARLLASSDPLAQISEDRPYAELWMGTHPRGDAK
+ILDNRISQKTLGQWIADNQDSLGSKVKDTFNGKLPFLFKVLSVETALSIQAHPNKELAEK
+LHLQAPQHYPDANHKPEMAIALTPFQGLCGFRPVEEIVTFLTKVPEFQFLIGDNAAAQLK
+QSLSQDSEAVTSALRSCFSHLMKSEKKVVVEQLNLLVKRISQQVAAGNNMEDICGELLLQ
+LHQQYPGDIGCFAIYFLNLLTLKPGEAMFLEANVPHAYLKGDCVECMACSDNTVRAGLTP
+KFIDVPTLCEMLSYTPSPSQDRLFPPARSPEDPYLSIYDPPVPDFTVMKVEVPGSVTEYK
+VLALDSASILLVVQGTVTASSPTAQAAIPLKRGGVLFIGANESVSLKLTVPKDLLMFRAC
+CLL
+>sp|Q3T139|R146B_BOVIN E3 ubiquitin-protein ligase RNF146-B OS=Bos taurus OX=9913 GN=RNF146B PE=2 SV=1
+MMAGCGEIDHSINMLPTNRKANESCSNTAPSLTVPECAICLQTCVHPVSLPCKHVFCYLC
+VKGASWLGKRCALCRQEIPEDFLDKPTLLSPEELKAASRGNGEYAWYYEGRNGWWQYDER
+TSRELEDAFSKGKKSTEMLIAGFLYVADLENMVQYRRNEHGRRRKIKRDIIDIPKKGVAG
+LRLDCDANTVNLARESSADGADSVPAQSGASVQSSSVRPLTSVDGQLTSPATPSPDAGTS
+LEDSFAHLQLGGDSIAERSHRGEGEEEHESPSSGRVPAPDTSIEETESDASSDSEDVSAL
+VAQHSLTQQRLLVPNPSQTVSDRSVAAGGTVSVRSRRPDGQCTVTEV
+>sp|Q3SZ12|RLP24_BOVIN Probable ribosome biogenesis protein RLP24 OS=Bos taurus OX=9913 GN=RSL24D1 PE=2 SV=1
+MRIEKCYFCSGPIYPGHGMMFVRNDCKVFRFCKSKCHKNFKKKRNPRKVRWTKAFRKAAG
+KELTVDNSFEFEKRRNEPVKYQRELWNKTIDAMKRVEEIKQKRQAKFIMNRLKKNKELQK
+VQDVKEVKQNIHLIRAPLAGKGKQLEDKMVQKLQEDVDMEDVS
+>sp|P02694|RET1_BOVIN Retinol-binding protein 1 OS=Bos taurus OX=9913 GN=RBP1 PE=1 SV=4
+MPVDFTGYWKMLANENFEEYLRALDVNVALRKIANLLKPDKEIVQEGDHMIIRTLSTFRN
+YIMDFQVGKEFEEDLTGIDDRKCMTTVSWDGDKLECVQKGEKEGRGWTQWIEGDELHLEM
+RVEGVVCKQVFKKVN
+>sp|Q95M77|RHBG_BOVIN Ammonium transporter Rh type B OS=Bos taurus OX=9913 GN=RHBG PE=2 SV=2
+MAWSPRHSAGRRLQLPLLCLLLQGATAILFAVFVRYNRETDAALWHWGNHSNADNEFYFR
+YPSFQDVHAMIFVGFGFLMVFLQRYGFGSVGFTFLLAAFALQWSTLIQGFFHSFRGGYIL
+VGMESMINADFCAGAVLISFGAVLGKTGPVQLLLMALLEVVLFGLNEFVLLSLLEVKDAG
+GSMTIHTFGAYFGLILSRVLYRPQLEKSKHRQGSVYHSDLFAMIGTIFLWIFWPSFNSAP
+TALGDGQHRTALNTYYSLTASTLSTFALSALVGGDGRLDMVHVQNAALAGGVVVGTSAEM
+MLTPFGALAAGFLAGAISTLGYKFVTPILESKLKVQDTCGVHNLHGMPGVLGALLGGLVA
+GLATREAYGDGLESVFPLIAEGQRSATSQAMHQLFGLFVTLTFASVGGGLGGLLLRLPIL
+DSPPDSQCYEDQIYWEVPGEHEHLAQGSEETETQA
+>sp|A5PJT0|MBLC2_BOVIN Metallo-beta-lactamase domain-containing protein 2 OS=Bos taurus OX=9913 GN=MBLAC2 PE=2 SV=1
+MSALEWYAHKSLGDGIFWIQERFYESGNRANIWLVRGSEQDVVIDTGLGLRSLPEYLYSS
+GLLRDRAARDNAACRPLLAVATHVHFDHSGGLYQFDRVAVHHAEAEALARGDNFETVTWL
+SDSEVVRAPSPGWRARQFRVQAVQPTLVLQDGDVINLGDRQLTVMHMPGHSRGSICLHDK
+DRKILFSGDVVYDGSLIDWLPYSRISDYVGTCERLIELVDRGLVEKVLPGHFNTFGAERL
+FRLASNYISKAGICHKVSTFAMRSLASLALRVTNSRTSP
+>sp|Q1JQA8|LYSM2_BOVIN LysM and putative peptidoglycan-binding domain-containing protein 2 OS=Bos taurus OX=9913 GN=LYSMD2 PE=2 SV=1
+MADSSPAPSLRAGGPREPRPSAPSPPPPHSRLGSEAEEAELSLSLARTKTRSYGSTASVR
+APLGAGVIERHVEHRVRAGDTLQGIALKYGVSMEQIKRANKLFTNDCIFLKKTLNIPVIS
+EKPLLFNGLNSVDSPENETVDSFSHEEELVAAGEDVSPLSPQELDVQPMQPEEVSARDFL
+QRLDLQIKLSTQAAKKLKGESRDEEGLYTASLYHS
+>sp|Q08DK7|MCATL_BOVIN Mitochondrial basic amino acids transporter OS=Bos taurus OX=9913 GN=SLC25A29 PE=2 SV=1
+MALDFLAGCAGGVAGVLVGHPFDTVKVRLQVQSVEKPQYRGTLHCFQAIIKQESVLGLYR
+GLGSPLLGLTFINALVFGVQGNTLRALGRDSPLNQFLAGAAAGAIQCVICCPMELAKTRL
+QLQEAGPARTYRGPLDCLAQIYRQEGLRGVNRGMVSTLLRETPSFGVYFLTYDVLTRALG
+CEPGDRLLVPKLLLAGGTSGIASWLSTYPVDVVKSRLQADGLQGAPRYRGIVDCVQQSYR
+EEGWRVFTRGLASTLLRAFPVNAATFATVTVVLSYARGEEARLEGDAGSAALAQPSSL
+>sp|P55918|MFAP4_BOVIN Microfibril-associated glycoprotein 4 OS=Bos taurus OX=9913 GN=MFAP4 PE=1 SV=2
+MEALLVLPLLLLLSAGPCAPQLLGIRGDALEKSCLQLPLDCDDIYAQGYQADGVYLIYPS
+GPSVPVPVFCDMTTEGGKWTVFQKRFNGSVSFFRGWNDYKLGFGRADGEYWLGLQNMHLL
+TLKQKYELRVDLEDFENNTAFAKYADFSISPNAVSAEEDGYTLYVSGFEDGGAGDSLTYH
+SGQKFSTFDRDQDLFVQNCAALSSGAFWFRSCHFANLNGFYLGGSHLSYANGINWAQWKG
+FYYSLKRTEMKIRRA
+>sp|Q2KJ92|LFNG_BOVIN Beta-1,3-N-acetylglucosaminyltransferase lunatic fringe OS=Bos taurus OX=9913 GN=LFNG PE=2 SV=1
+MLKRCGRRLLLALAGALLACLLVLTADPPPPPVPAERGRRALRSLAGPSGVATAPGLEAA
+AAAAPGAPVREVHSLSEYFSLLTRSRRDVGPPPGGAPRPADGPPRPLAEPLAPRDVFIAV
+KTTKKFHRARLDLLLETWISRHEEMTFIFTDGEDEALARRTGHVVNTNCSAAHSRQALSC
+KMAVEYDRFIESGRKWFCHVDDDNYVNVRALLRLLGSYPHTQDVYLGKPSLDRPIQATER
+VSENKVRPVHFWFATGGAGFCISRGLALKMSPWASGGHFMSTAERIRLPDDCTIGYIVEA
+LLGVPLVRCGLFHSHLENLQQVPASELHEQVTLSYGMFENKRNSVHIKGPFSVEADPSRF
+RSVHCHLYPDTSWCPRSAIF
+>sp|A6QL48|IL34_BOVIN Interleukin-34 OS=Bos taurus OX=9913 GN=IL34 PE=2 SV=1
+MPQGLAWLRYLGILLGMALGNEGLEPWPLTRSDECAITGFLRDKLQYRNRLQYMKHYFPI
+NYRVSVPYEGVLRTANVTRLQRAQVSQQELRYLWVLVSLSATEWVQEVLLEGHPSWKYLE
+EVHTLLLDVKQGLGGVEVSPQVEAVLNLLSAPGSLKLVRPKALLDNCFRVMQLLYCPCCK
+ESSVLNWQDCEAPQPQPRSPASAQCEAAQLYPLPQPPSTSLPRVLGPSAGPPTQ
+>sp|P20274|LMIP_BOVIN Lens fiber membrane intrinsic protein OS=Bos taurus OX=9913 GN=LIM2 PE=1 SV=2
+MYSFMGGGLFCAWVGTILLVVATATDHWMQYRLSGAFAHQGLWRYCLGTKCYLQTESIAY
+WNATRAFMILSSLCATSGIIMGIVAFAQQPTFTRLSRPFSAGIMFFASTFFVLLALAIYT
+GVTVSFLGRRFGDWRFSWSYILGWVALLMTFFAGIFYMCAYRMHECRRLSTPR
+>sp|Q32KW9|IIGP5_BOVIN Interferon-inducible GTPase 5 OS=Bos taurus OX=9913 GN=IRGC PE=2 SV=1
+MATSKLPAVSGEEETTILMAKEELEALRTAFESGDIPQAASRLRELLASSDCTRLEVGVT
+GESGAGKSSLINALRGLGAEDPDAALTGVVETTIEPSPYPHPQFPDVTLWDLPGAGSPGC
+SADKYLKQVDFGRYDFFLLVSPRRCGAVETRLASEILRQGKKFYFVRTKVDEDLAATRMQ
+RPSGFSEGAVLHEIREHCVERLRGAGVHDPRVFLVSNLSPARYDFPLLMSTWERDLPAHR
+RHAGLLSLPDISLEALQEKKDMLQEQVLKTALVSGVIQALPVPGLAAAYDDALLIRSLRG
+YHRSFGLDDDSLAKLAEQVGKQAGDLRSVIRSPLANEVSPETVLRLYSQSSDGAMRVARA
+FEKGIPVFGTLVAGGISFGTVYTMLQGCLNEMAEDAQRVRIKALEEEEDTQPDVSLEAAG
+DNGVEKRGSGEGSMEEAPLSTRRKLGLLLKYILDSWKKRDLAEDK
+>sp|Q5EA76|INT14_BOVIN Integrator complex subunit 14 OS=Bos taurus OX=9913 GN=INTS14 PE=2 SV=1
+MPTVVVMDVSLSMTRPVSVEGSEEYQRKHLAAHGLTMLFEHMATNYKLEFTALVVFSSLW
+ELMVPFTRDYNTLQEALSNMDDYDKTCLESALVGVCNIVQQEWGGAIPCQVVLVTDGCLG
+IGRGSLRHSLATHNQRGESNRFPLPFPFPSKLYIMCMANLEELQSTDSLDCLERLIDLNN
+GEGQIFTIDGPLCLKNVQSMFGKLIDLAYTPFHAVLKCGHLTADVQVFPRPEPFVIDEEI
+DPIPKVINTDLEIVGFIDIADISSPPVLSRHLVLPIALNKEGDEVGTGITDDNEDENSAN
+QIAGKIPNFCVLLHGSLKVEGMVAIVQLGPEWHGMLYSQADSKKKSNLMMSLFEPGPEPL
+PWLGKMVQLGPISDAKENPYGEDDNKSPFPLQPKNKRSYAQNVTVWIKPSGLQTDVQKIL
+RNARKLPEKTQTFYKELNRLRKAALAFGFLDLLKGVADMLERECTLLPDTAHPDAAFQLT
+HAAQQLKLASTGTSEYAGYDHNITPLQTDFSGSSAERI
+>sp|Q0VC59|NMNA2_BOVIN Nicotinamide/nicotinic acid mononucleotide adenylyltransferase 2 OS=Bos taurus OX=9913 GN=NMNAT2 PE=2 SV=1
+MTETTKTHVILLACGSFNPITKGHIQMFERARDYLHKTGRFIVIGGIVSPVHDSYGKQGL
+VSSRHRLIMCQLAVQNSDWIRVDPWECYQDTWQTTCSVLEHHRDLMKRVTGCILSNVNTP
+SMTPVIGQPRNETSQPIYQNNNAPSKPTAAKILGKVGESLSRICCVRPPVERFTFVDENA
+NLGTVMRYEEIELRILLLCGSDLLESFCIPGLWNEADMEVIVGDFGIVVVPRDAADTDRI
+MNHSSILRKYKNNIMVVKDDINHPMSVVSSTKSRLALQHGDGHVVDYLSQPVIDYILKSQ
+LYINASG
+>sp|Q3ZBL1|MTND_BOVIN 1,2-dihydroxy-3-keto-5-methylthiopentene dioxygenase OS=Bos taurus OX=9913 GN=ADI1 PE=2 SV=2
+MVEAWYMDEAADDPRLPHRAEPARPVGLEQLRRLGVLYWKLDADKYENDPELEKIRKERN
+YSWVDIITISKDKLPNYEEKIKMFFEEHLHLDEEIRYILDGSGYFDVRDQEDRWIRISME
+KGDMITLPAGIYHRFTLDEKNYVKAMRLFVGDPVWTPYNRPSDHLEARTQYLEFLAQSA
+>sp|Q32L89|PIGH_BOVIN Phosphatidylinositol N-acetylglucosaminyltransferase subunit H OS=Bos taurus OX=9913 GN=PIGH PE=2 SV=1
+MEDERSLSDICGGRLALHRRYYSPSCLEFCLSCPRISLRSITAVTCTVWLAAYGLFTLCE
+NSMILSAAIFITLLGLLGYLHFVKIDHETLLIIDSLGIQMTSSYASGKESTTFIEMGKVK
+DVIINEAIYMQKVIYYLCILLKDPVEPHGISQVVPIFQSAKPRLDCLIEVYRSCQEILAH
+QKAASTSP
+>sp|P37289|PF2R_BOVIN Prostaglandin F2-alpha receptor OS=Bos taurus OX=9913 GN=PTGFR PE=2 SV=1
+MSTNSSIQPVSPESELLSNTTCQLEEDLSISFSIIFMTVGILSNSLAIAILMKAYQRFRQ
+KYKSSFLLLASALVITDFFGHLINGTIAVFVYASDKDWIYFDKSNILCSIFGICMVFSGL
+CPLFLGSLMAIERCIGVTKPIFHSTKITTKHVKMMLSGVCFFAVFVALLPILGHRDYKIQ
+ASRTWCFYKTDEIKDWEDRFYLLLFAFLGLLALGISFVCNAITGISLLKVKFRSQQHRQG
+RSHHFEMVIQLLGIMCVSCICWSPFLVTMASIGMNIQDFKDSCERTLFTLRMATWNQILD
+PWVYILLRKAVLRNLYVCTRRCCGVHVISLHVWELSSIKDSLKVAAISDLPVTEKVTQQT
+ST
+>sp|Q28173|RAGE_BOVIN Advanced glycosylation end product-specific receptor OS=Bos taurus OX=9913 GN=AGER PE=1 SV=1
+MAAGAVVGAWMLVLSLGGTVTGDQNITARIGKPLVLNCKGAPKKPPQQLEWKLNTGRTEA
+WKVLSPQGDPWDSVARVLPNGSLLLPAVGIQDEGTFRCRATSRSGKETKSNYRVRVYQIP
+GKPEIVDPASELMAGVPNKVGTCVSEGGYPAGTLNWLLDGKTLIPDGKGVSVKEETKRHP
+KTGLFTLHSELMVTPARGGALHPTFSCSFTPGLPRRRALHTAPIQLRVWSEHRGGEGPNV
+DAVPLKEVQLVVEPEGGAVAPGGTVTLTCEAPAQPPPQIHWIKDGRPLPLPPGPMLLLPE
+VGPEDQGTYSCVATHPSHGPQESRAVSVTIIETGEEGTTAGSVEGPGLETLALTLGILGG
+LGTVALLIGVIVWHRRRQRKGQERKVPENQEEEEEERAELNQPEEPEAAESSTGGP
+>sp|A5PJL1|PXMP4_BOVIN Peroxisomal membrane protein 4 OS=Bos taurus OX=9913 GN=PXMP4 PE=2 SV=1
+MVAPPQLRALLFAINALLSKRRYHAALAMLKGFRNGAVYGAKIRAPHALVMTFLFRSGSL
+REKLRAILQATYTHSWNLARFVFLYKGLCALQSHVQGKTYQAHSFVSAFIGGLLVFGNNN
+NINSQISMYLLSRVLFALCRLGVEKGFIPEPRLDPFPWFSGLVWGLVLWLFEYHRPTLQP
+SLQSSMTYLYEDSNVWHDLSDFFIYNKSQPSK
+>sp|P56722|PTPRN_BOVIN Receptor-type tyrosine-protein phosphatase-like N OS=Bos taurus OX=9913 GN=PTPRN PE=1 SV=1
+MRLPGRPGGPGGSGGLRVLLCLLLLGSRPGGCNAISAHGCLFDRRLCSHLEVCIQDGLFG
+QCQVGVGQARPLLQVTSPVLQRLQGVLRQLMSQGLSWHDDLTQYVISQEMERIPRLRPPE
+PHPRDRSGLVPRRPGPAGELLLQGIPTGSAPALQHRLPRPSVGGGRAGAGSPLSPLQAEL
+LPPLLEHLLLPPQPPHPALSYEPALLQPYLFHQFGSRDGSRGSESSPGMVSVDPLPKAEA
+PAFLSRAGSKGMFGAHPGHSYGDPPGPPPAQLFQESELFYLAQESQVPSRTRAPRLPEPG
+GSSRAGDSSEGYEEEGLEGREEKPPAPAEQPDVTLQRVAAVLAGYGVELHQLTPEQLSTL
+STLLQLLPKGSRQNPGGAVNVGADIKKTMEEQVQGEDPAEPPPPMPSLPGSPTGSSTSNK
+AQKELSTGASEPPKAAGPPATPVLVEKKSPLGQNQPTMAGQPSTRPSAEEYGYIVTDQKP
+LSLAAGVRLLEILAEHVHMSSGSFINISVVGPALTFRIRHNEQNLSLADVTQQAGLVKSE
+LEAQTGLQILQTGVGQREEAAAILPRPAHSTSPMRSVLLTLVALAGVAGLLVALAVALCV
+RQHARQRDKERLAALGPEGAHGDTTFEYQDLCRQHMATKSLFNRAEGPPEPSRVSSVSSQ
+FSDAAQASPSSHSSTPSWCEEPAQANMDISTGHMILAYMEDHLRNRDRLAKEWQALCAYQ
+AEPNTCATAQGEGNIKKNRHPDFLPYDHARIKLKVESSPSRSDYINASPIIEHDPRMPAY
+IATQGPLSHTIADFWQMVWESGCTVIVMLTPLVEDGVKQCDRYWPDEGSSLYHVYEVNLV
+SEHIWCEDFLVRSFYLKNVQTQETRTLTQFHFLSWPAEGTPASTRPLLDFRRKVNKCYRG
+RSCPIIVHCSDGAGRTGTYILIDMVLNRMAKGVKEIDIAATLEHVRDQRPGLVRSKDQFE
+FALTAVAEEVNAILKALPQ
+>sp|A6QPH1|PYM1_BOVIN Partner of Y14 and mago OS=Bos taurus OX=9913 GN=PYM1 PE=2 SV=1
+MATPYVTDETGGKYIASTQRPDGTWRKQRRVKEGYVPQEEVPVYENKYVKFFKSKPELPP
+GLSPEATAPITASRPEGGEPALSKTAKRNLKRKEKRRQQQEKGEAEALSRTLEKVSLGET
+AQVPSAPQASRAAPTAASDQPDSAATTEKAKKIKNLKKKLRQVEELQQRIQAGEISQPSK
+EQLEKLARRRALEEELEDLELGL
+>sp|Q0VCK0|PUR9_BOVIN Bifunctional purine biosynthesis protein PURH OS=Bos taurus OX=9913 GN=ATIC PE=2 SV=1
+MAPGQLALFSVSDKNGLVEFARNLASVGLNLIASGGTAKALRDAGLAVRDVSELTGFPEM
+LGGRVKTLHPAVHAGILARDIPEDSADMAKLDFNLIRVVVCNLYPFGKTVASPGVTVEEA
+VEHIDIGGVTLLRAAAKNHARVTVVCEPEDYAAVASEMQDSDSKDTSLETRRQLALKAFT
+HTAQYDEAISDYFRKEYSKGVSQMPLRYGMNPHQTPAQLYTLKPKLPITVLNGAPGFINL
+CDALNAWQLVKELKEALGLPAAASFKHVSPAGAAVGIPLSEDEANVCMVYDLYKTLTPVA
+TAYARARGADRMSSFGDFVALSDVCDVPTAKIISREVSDGIIAPGYENEALKILSKKKNG
+NYCVLQMDQSYIPDENEVRTLFGLRLSQKRNNSVVNRSLFSNIVTKNKDLPESALRDLIV
+ATIAVKYTQSNSVCYAKNGQVIGIGAGQQSRIHCTRLAGDKANCWWLRHHPQVLSMKFKT
+GVKRAEISNAIDQYVTGTIGEGEDLIKWKALFEEVPELLTETEKKEWIDKLNEVSISSDA
+FFPFRDNVDRAKRSGVAYIAAPSGSAADKVVIEACDELGIILAHTNLRLFHH
+>sp|Q0VD51|RNF13_BOVIN E3 ubiquitin-protein ligase RNF13 OS=Bos taurus OX=9913 GN=RNF13 PE=2 SV=1
+MLLSIGMLMLSATQVYTILTVQLFAFLNLLPVEADILAYNFENASQTFDDLPARFGYRLP
+AEGLKGFLINSKPENACEPIVPPPVRDNSSGTFIVLIRRLDCNFDEKVLNAQRAGYKAAI
+VHNVDSDDLISMGSNDIEVLKKIDIPSVFIGESSANSLKDEFTYEKGGHIILVPEFSLPL
+EYYLIPFLIIVGICLILIVIFMITKFVQDRHRARRNRLRKDQLKKLPVHKFKKGDEYDVC
+AICLDEYEDGDKLRILPCSHAYHCKCVDPWLTKTKKTCPVCKQKVVPSQGDSDSDTDSSQ
+EENEVSEHTPLLRPLASASTQSFGALSESRSHQNMTESSDYEEDDNDTDSSDAENEINEH
+SVVVQLQPNGERDYNIANTV
+>sp|Q08DI6|RNF11_BOVIN RING finger protein 11 OS=Bos taurus OX=9913 GN=RNF11 PE=2 SV=1
+MGNCLKSPTSDDISLLHESQSDRASFGEGTEPDQEPPPPYQEQVPVPVYHPTPSQTRLAT
+QLTEEEQIRIAQRIGLIQHLPKGVYDPGRDGSEKKIRECVICMMDFVYGDPIRFLPCMHI
+YHLDCIDDWLMRSFTCPSCMEPVDAALLSSYETN
+>sp|Q59A32|PUR2_BOVIN Trifunctional purine biosynthetic protein adenosine-3 OS=Bos taurus OX=9913 GN=GART PE=2 SV=1
+MAARVLVIGNGGREHTLAWKLAQSTHVKQVLVTPGNAGTACSEKISNTDISISDHTALAQ
+FCKDEKIEFVVVGPEAPLAAGIVGNLNSVGVRCFGPTAQAAQLESSKRFAKEFMDRHGIS
+TARWRAFTKPKEACDFIMSADFPALVVKASGLAAGKGVIVAKSKEEACEAVREIMQGKAF
+GEAGETVVIEELLEGEEVSCLCFTDGRTVAPMPPAQDHKRLLEGDEGPNTGGMGAYCPAP
+QVSKDLLLKIKNNILQRTVDGMQEEGMPYTGVLYAGIMLTKNGPKVLEFNCRFGDPECQV
+ILPLLKSDLYEVIQSILDGLLCTSLPVWLDNCAAVTVVMASKGYPGDYTKGVEITGFPEA
+QALGLEVFQAGTALKDGKVVTNGGRVLTVTAIRENLISALEEARKGLAAIKFEGAVYRKD
+IGFRAIAFLQQPRGLTYKESGVDIAAGNMLVQKIKPLAKATSRPGCDVDLGGFAGLFDLK
+AAGFTDPLLACGTDGVGTKLKIAQQCSKHDTIGQDLVAMCVNDILAQGAEPLFFLDYFSC
+GKLDLRTTEAVITGIAKACKKAGCALLGGETAEMPDMYPPGEYDLAGFAVGAMERDQKLP
+QLERITEGDAVIGIASSGLHSNGFSLVRKIVAKSSLEYSSPAPGGCGDQTLGDLLLTPTK
+IYSRSLLPVLRSGRVKAVAHITGGGLLENIPRVLPQKLGVNLDAQTWRVPRIFSWLQQEG
+HLSEEEMARTFNCGIGAALVVSEDLVKQTLQDIEQHQEEACVIGRVVACPKGSPRVKVEH
+LIETMQINGSVLENGTLRNHFSVQPKKARVAVLISGTGSNLQALIDSTREPSSLAHIVIV
+ISNKAAVAGLDKAEKAGIPTRVINHKLYKNRAAFDTAIDEVLEEFSTDIVCLAGFMRILS
+GPFVRKWNGKMLNIHPSLLPSFKGSNAHEQVLDAGVTVTGCTVHFVAEDVDAGQIILQEA
+VPVKRGDTVETLSERVKLAEHKIFPSALQLVASGAVRLGENGRICWVTED
+>sp|Q32PC3|RM27_BOVIN 39S ribosomal protein L27, mitochondrial OS=Bos taurus OX=9913 GN=MRPL27 PE=1 SV=1
+MALAVLAWRTRTAVIALLSPPQAAALAVRYASKKTGGSSKNLGGKSPGKRFGIRKMEGHY
+VHAGNILATQRHFRWHPGAHVGLGKNKCLYALEEGVVRYTKEVYVPSPSNSEAVDLVTRL
+PEGAVLYKTFVHVVPAKPEGTFKLVAML
+>sp|Q148G4|TATD1_BOVIN Putative deoxyribonuclease TATDN1 OS=Bos taurus OX=9913 GN=TATDN1 PE=2 SV=1
+MSRFKFVDIGINLTDPMFRGIYRGVQKHQDDLQDVIERAVQIGVKKFMITGGNLQDSKDA
+LHLAQTNDMFFSTVGCHPTRCDEFEKNDPDHYLMELLNLAESNKGKVVAIGECGLDFDRL
+QFCSKDTQLKYFEKQFELSEQTKLPMFLHCRNSHAEFLDIMRRNRDRCVGGVVHSFDGTK
+EAAAALMDLGLYIGFNGCSLKTEANLEVLKSIPSEKLMIETDAPWCGVKNTHAGSKYIKT
+SFPTKKKWENGHCLKDRNEPCHIIQILEIMSAVRDEDPLELANTLYNNTIKIFFPDM
+>sp|O46629|ECHB_BOVIN Trifunctional enzyme subunit beta, mitochondrial OS=Bos taurus OX=9913 GN=HADHB PE=2 SV=1
+MISLLTYTLKNLPNTSKWALRFCMRPLSSSSQLQAAAASQTKSKKTLAKPNIRNIVVVDG
+VRTPFLLSGTSYKDLMPHDLARAALSGLLHRTSVPKDVVDYIIFGTVIQEVKTSNVAREA
+ALGAGFSDKTPAHTVTMACISSNQAMTTAVGLIASGQCDVVVAGGVELMSDIPIRHSRKM
+RKMMLDLNKAKTLAQRLSIISKFRLNFLSPELPAVSEFSTSETMGHSADRLAAAFAISRE
+EQDEYALRSHSLAKKAQDEGLLSDVVPFKVPGRDTVTQDNGIRPSSLDQMAKLKPAFIKP
+YGTVTAANSSFLTDGASAVLIMAEEKALAMGYKPKAYLRDFMYVSQDPKDQLLLGPTYAT
+PKVLEKAGLTMNDIDVFEFHEAFSGQILANLKAMDSDWFAQNYMGRKAKVGLPPLEKFNN
+WGGSLSLGHPFGATGCRLVMAAANRLRKEGGQYGLVAACAAGGQGHAMIVEAYPK
+>sp|Q27958|DPOLB_BOVIN DNA polymerase beta OS=Bos taurus OX=9913 GN=POLB PE=2 SV=3
+MSKRKAPQETLNGGITDMLTELANFEKNVNQAIHKYNAYRKAASVIAKYPHKIKSGAEAK
+KLPGVGTKIAEKIDEFLATGKLRKLEKIRQDDTSSSINFLTRVSGIGPSAARKFVDEGIK
+TLEDLRKNEDKLNHHQRIGLKYFEDFEKRIPREEMLQMQDIVLSEVKKVDSEYIATVCGS
+FRRGAESSGDMDVLLTHPSFTSESAKQPKLLHRVVEQLQKVRFITDTLSKGETKFMGVCQ
+LPSKNDEKEYPHRRIDIRLIPKDQYYCGVLYFTGSDIFNKNMRAHALEKGFTINEYTIRP
+LGVTGVAGEPLPVDSEKDIFDYIQWKYREPKDRSE
+>sp|Q2KI00|F107B_BOVIN Protein FAM107B OS=Bos taurus OX=9913 GN=FAM107B PE=2 SV=1
+MAEPDYIDDDNPELIRPQKLVNPVKTSRNHQDLHRELLMNQKRGLAPQNKPELQKVMEKR
+KRDQVIKQKEEEAQKKKSDLEIELLKRQQKLEQLELEKQKLQEEQENPPEFVKVKGNLRR
+TGQEVAQTQES
+>sp|Q58D31|DHSO_BOVIN Sorbitol dehydrogenase OS=Bos taurus OX=9913 GN=SORD PE=1 SV=3
+MAAAKPENLSLVVHGPGDLRLENYPIPEPGPNEVLLKMHSVGICGSDVHYWQHGRIGDFV
+VKKPMVLGHEASGTVVKVGSLVRHLQPGDRVAIEPGAPRETDEFCKIGRYNLSPTIFFCA
+TPPDDGNLCRFYKHNANFCYKLPDNVTFEEGALIEPLSVGIHACRRAGVTLGNKVLVCGA
+GPIGLVSLLAAKAMGAAQVVVTDLSASRLSKAKEVGADFILQISNESPQEIAKKVEGLLG
+SKPEVTIECTGVETSIQAGIYATHSGGTLVLVGLGSEMTSVPLVHAATREVDIKGVFRYC
+NTWPMAISMLASKSVNVKPLVTHRFPLEKALEAFETSKKGLGLKVMIKCDPNDQNP
+>sp|O77769|DHRS3_BOVIN Short-chain dehydrogenase/reductase 3 OS=Bos taurus OX=9913 GN=DHRS3 PE=2 SV=1
+MVWKRLGALVVFPLQMIYLVVKAAVGLVLPAKLRDLSRENVLITGGGRGIGRQLAREFAE
+RGARKIVLWGRTEKCLKETTEEIRQMGTECHYFICDVGNREEVYQTAKAVREKVGDITIL
+VNNAAVVHGKSLMDSDDDALPKSQHINTLGQFWTTKAFLPRMLELQNGHIVCLNSVLALS
+AIPGAIDYCTSKASAFAFMESLTLGLLDCPGVSATTVLPFHTSTEMFQGMRVRFPNLFPP
+LKPETVARRTVEAVQLNQALLLLPWTMHALIILKSILPQAALEEIHKFSGTYTCINTFKG
+RT
+>sp|Q58CU2|E41L5_BOVIN Band 4.1-like protein 5 OS=Bos taurus OX=9913 GN=EPB41L5 PE=2 SV=1
+MLSFFRRTLGRRSMRKQAEKDRLREAQRAATHIPAAGDARAVITCRVSLLDGTDVSVDLP
+KKAKGQELFDQIMYHLDLIESDYFGLRFMDSAQVAHWLDGTKSIKKQVKIGSPYCLHLRV
+KFYSSEPNNLREELTRYLFVLQLKQDILSGKLECPFDTAVQLAAYNLQAELGDYDLAEHS
+PELVSEFRFVPIQTEEMELAIFEKWKEYRGQTPAQAETNYLNKAKWLEMYGVDMHVVKAR
+DGNDYSLGLTPTGVLVFEGETKIGLFFWPKITRLDFKKNKLTLVVVEDDDQGKEQEHTFV
+FRLDHPKACKHLWKCAVEHHAFFRLRGPVQKNSHRSGFIRLGSRFRYSGKTEYQTTKTNK
+ARRSTSFERRPSKRYSRRTLQVKASTGKPEELSVHNNVSAQSNGSQQAWGVRSTVPVIPS
+GPVVVEVENLPKSPGADQHDKKWLSAAGDRSQRGGNQWDTRALSPPHPAPRNYPAFVHEH
+NVKNAGAQQNAHFPGPAAMTDI
+>sp|Q3SZG9|CTU2_BOVIN Cytoplasmic tRNA 2-thiolation protein 2 OS=Bos taurus OX=9913 GN=CTU2 PE=2 SV=2
+MCEMSEEYRESAPKGPPPPRLGTGDQKCVKCKEGLPVVVIRAGDAFCRDCFKALYVHKFR
+AMLGKSRLIFPGEKVLLAWSGGPSSSSMVWQVLEGLSRDSAKRLRFVPGVVYIDEGAACG
+QSPEDRARTLAEVKLALQTTGFPWHAVALEEVFSLPPSALRCSAQEAAGTEGAYKAAVDS
+FLQQQHALGTNGVERQSQHCAQDPQSPTGPPTTAQTQALSRLFDSVKTLTAKEELLQTLR
+THLILHVARNHGYSKVMTGDSCTRLAIKLMTSLALGRGAFLAWDTGFSDERHGDVVVVRP
+MREHTLKEVAFYNRLFAVPSICTPALDTKAPEKASIHRLMEAFILRLQAQFPSTVSTVYR
+TSEKLVKAPRAGCAAGPRCLLCMCTLDVDTADSATAFGAQTSHLPQMQTPVTQARAAAGP
+CCCAGMGGAPGCCKREDPRAQVMEQLCYGCRVNMKDLPSLELLPPYILSEAQLRSQRATA
+EQEIREYLLGDSEDEAGTGES
+>sp|Q08DV0|GATA3_BOVIN Trans-acting T-cell-specific transcription factor GATA-3 OS=Bos taurus OX=9913 GN=GATA3 PE=2 SV=1
+MEVTADQPRWVSHHHPAVLNGQHPDTHHPGLGHSYMDPAQYPLPEEVDVLFNIDGQGNHV
+PSYYGNSVRATVQRYPPTHHGSQVCRPPLLHGSLPWLDGGKALGSHHTASPWNLSPFSKT
+SIHHGSPGPLSVYPPASSSSLSAGHSSPHLFTFPPTPPKDVSPDPSLSTPGSAGSGRQDE
+KECIKYQVPLPDSMKLESAHPRGSMATLGGAAASAHHPITTYPPYVPEYSSGLFPPSSLL
+GGSPTGFGCKSRPKARSSTGRECVNCGATSTPLWRRDGTGHYLCNACGLYHKMNGQNRPL
+IKPKRRLSAARRAGTSCANCQTTTTTLWRRNANGDPVCNACGLYYKLHNINRPLTMKKEG
+IQTRNRKMSSKSKKCKKVHDALEDFPKSSSFNPAALSRHMSSLSHISPFSHSSHMLTTPT
+PMHPPSSLSFGPHHPSSMVTAMG
+>sp|P49884|ESR1_BOVIN Estrogen receptor OS=Bos taurus OX=9913 GN=ESR1 PE=1 SV=3
+MTMTLHTKASGMALLHQIQANELEPLNRPQLKIPLERPLGEVYMDSSKPAVYNYPEGAAY
+DFNAAAPASAPVYGQSGLPYGPGSEAAAFGANGLGAFPPLNSVSPSPLVLLHPPPQPLSP
+FLHPHGQQVPYYLENESSGYAVREAGPPAYYRPNSDNRRQGGRERLASTSDKGSMAMESA
+KETRYCAVCNDYASGYHYGVWSCEGCKAFFKRSIQGHNDYMCPATNQCTIDKNRRKSCQA
+CRLRKCYEVGMMKGGIRKDRRGGRMLKHKRQRDDGEGRNEAVPSGDMRAANLWPSPIMIK
+HTKKNSPVLSLTADQMISALLEAEPPIIYSEYDPTRPFSEASMMGLLTNLADRELVHMIN
+WAKRVPGFVDLALHDQVHLLECAWLEILMIGLVWRSMEHPGKLLFAPNLLLDRNQGKCVE
+GMVEIFDMLLATSSRFRMMNLQGEEFVCLKSIILLNSGVYTFLSSTLRSLEEKDHIHRVL
+DKITDTLIHLMAKAGLTLQQQHRRLAQLLLILSHFRHMSNKGMEHLYSMKCKNVVPLYDL
+LLEMLDAHRLHAPANFGSAPPEDVNQSQLAPTGCTSSHSLQTYYITGEAENFPSTV
+>sp|A7MB54|HLX_BOVIN H2.0-like homeobox protein OS=Bos taurus OX=9913 GN=HLX PE=2 SV=1
+MFAAGLAPFYASNFSLWSAAYCSSAGPGGCSFPLDPAAVKKPSFCIADILHAGVGEPGAT
+PEGLAGASAAALTAHLGSAHPHASFQAAARSPLRPTPVVAPSEVPAGFPQRLSPLSAAYH
+HHHPQQQQQQQQPQQQQPPPPPRAGALQPPASGSRVVPNPHQSGSAPAPSSKDLKFGIDR
+ILSAEFDPKVKEGNTLRDLTSLLTGGRPAGVHLPGLQPSAGQFFASLDPINEASAILSPL
+SSNPRNSVQHQFQDTFPGPYAVLTKDTMPQTYKRKRSWSRAVFSNLQRKGLEKRFEIQKY
+VTKPDRKQLAAMLGLTDAQVKVWFQNRRMKWRHSKEAQAQKDKDKEAGEKPSGGAPAPDG
+EPEERSPSRSEGEAESESSDPESLDMAPSDTERTEGTERSLHQTTVIKASAAGALLAASS
+GGSGGSGGGGGGGFNFGGLSSGSTTSAGSSGSHSSGGASELLPAPQPSLSSAPKSPEPVP
+APLGGL
+>sp|P98107|LYAM2_BOVIN E-selectin OS=Bos taurus OX=9913 GN=SELE PE=2 SV=1
+MIVSQYLSALTFVLLLFKESRTWSYHASTEMMTFEEARDYCQKTYTALVAIQNQEEIEYL
+NSTFSYSPSYYWIGIRKINGTWTWIGTNKSLTKEATNWAPGEPNNKQSDEDCVEIYIKRE
+KDSGKWNDEKCTKQKLALCYKAACNPTPCGSHGECVETINNYTCQCHPGFKGLKCEQVVT
+CPAQKHPEHGHLVCNPLGKFTYNSSCSISCAEGYLPSSTEATRCMSSGEWSTPLPKCNVV
+KCDALSNLDNGVVNCSPNHGSLPWNTTCTFECQEGYKLTGPQHLQCTSSGIWDNKQPTCK
+AVSCAAISHPQNGTVNCSHSVVGDFAFKSSCHFTCAEGFTLQGPTQVECTAQGQWTQRVP
+VCEVVRCSRLDVSGKLNMNCSGEPVLGTECTFACPERWTLNGSVVLTCGATGHWSGMLPT
+CEAPTVSQTPLAVGLSTAGVSLVTIPSFLFWLLKRLQKKAKKFSPASSCSSLKSNGCYST
+PSKLI
+>sp|Q3ZBG4|HES1_BOVIN Transcription factor HES-1 OS=Bos taurus OX=9913 GN=HES1 PE=2 SV=1
+MPADIMEKNSSSPVAATPASVNTTPDKPKTASEHRKSSKPIMEKRRRARINESLSQLKTL
+ILDALKKDSSRHSKLEKADILEMTVKHLRNLQRAQMTAALSTDPSVLGKYRAGFSECMNE
+VTRFLSTCEGVNTEVRTRLLGHLANCMTQINAMTYPGQPHPALQAPPPPPPGPGGPQHAP
+FAPPPPLVPIPGGAAPPPGGAPCKLGSPAGEAAKVFGGFQVVPAPDGQFAFLIPNGAFAH
+SGPVIPVYTSNSGTSVGPNAVSPSSGPSLTADSMWRPWRN
+>sp|P35507|KC1B_BOVIN Casein kinase I isoform beta OS=Bos taurus OX=9913 GN=CSNK1B PE=2 SV=1
+MASSSRPKTDVLVGGRYKLVREIGFGSFGHVYLAIDLTNHEQVAVKLESENTRQPRLLHE
+KELYNFLQGGVGIPQIRWYGQETDYNVLVMDLLGPSLEDLFNFCSRRFSMKTVLMLADQM
+ISRIEYVHSRNLIHRDIKPDNFLMGTGPQWKKLFLVDFGLAKKYRDNRTGQHIPHRSGKS
+FIGTPFCASISAHLGIEQSRRDDMESIGYVLMYFNRGSLPWQGLKAATLKQKCEKISEMK
+MTTPVDVLCKGFPIEFAMYLKYCLRLSFEEAPDYRYLRQLFRLLFRKLSYQHDYAFDWIV
+LKQKAEQQASSSSGEGQQAQTPTGKSDNTKSEMKHS
+>sp|Q3SZ72|KCP3_BOVIN Keratinocyte-associated protein 3 OS=Bos taurus OX=9913 GN=KRTCAP3 PE=2 SV=1
+MRCRRLCAFDAARGPRRLMRVGLALILVGHVNLLLGAVLHGTVLRHVANPRGAVTPEYTT
+ANVISVGSGLLSVSLGLVALLASRNLFRPRLHWALLALALVNLLLSAACSLGLLLAVSLT
+VANGGRRLIADCHPGLLDPLVPLDQGSGHADCPFDPTKIYDTALALWIPSVFMSAAEAAL
+SGYCCVAALTLRGVGPCRKDGLQEQLEELTELEFPKRKWQENVQLLDQTREIRTSQKSWV
+>sp|P07857|NLTP_BOVIN Non-specific lipid-transfer protein OS=Bos taurus OX=9913 GN=SCP2 PE=1 SV=2
+MSLVASQSPLRNRVFVVGVGMTKFTKPGVENRDYPDLAKEAGQKALADAQIPYSAVEQAC
+IGYVYGDSTSGQRAIYHSLGLTGIPIINVNNNCSTGSTALFMARQLIQGGLADCVLALGF
+EKMVKGPIAVNIQDKANPIDKHIEVMVNKYGLSPSPVAPQMFGNAGKEHMEKYGTTLEHF
+AKIGWKNHKHSVNNPYSQFQKEYSLDEVMTSRKIFDFLTVLQCCPTSDGAAAAILASEAF
+VQKHNLKPKAVEILAQEMVTDMPSSFEGKSIIKMVGFDMSKEAARRCYEKSGLRPSDIDV
+IELHDCFSANELITYEALGLCPEGQGGKLVERGDNTYGGKWVINPSGGLISKGHPLGATG
+LAQCVELCWHLRGEAGKRQVPGAKVALQHNIGIGGAVVVTLYKMGFPEAARTHQIEAAPT
+SSSVDGFKANLVFKEIEKKLEDEGEQFVKKIGGIFAFKVKDGPGGKEATWVVDVKNGKGS
+VLPNSDKKADCTITMADSDLLALMTGKMNPQTAFFQGKLKINGNMGLAMKLQNLQLQPGK
+AKL
+>sp|P00593|PA21B_BOVIN Phospholipase A2 OS=Bos taurus OX=9913 GN=PLA2G1B PE=1 SV=2
+MRLLVLAALLTVGAGQAGLNSRALWQFNGMIKCKIPSSEPLLDFNNYGCYCGLGGSGTPV
+DDLDRCCQTHDNCYKQAKKLDSCKVLVDNPYTNNYSYSCSNNEITCSSENNACEAFICNC
+DRNAAICFSKVPYNKEHKNLDKKNC
+>sp|Q1JQA0|PPT2_BOVIN Lysosomal thioesterase PPT2 OS=Bos taurus OX=9913 GN=PPT2 PE=2 SV=1
+MLGLPERRLPSAEFLLLLPFLLLLLLLLPAAPAPHRAAYKPVIVVHGLFDSSYSFRHLLE
+YINETHPGTAVTVLDLFDGRESLRPLWEQVQGFREAVAPIMAKALQGVHLICYSQGGLVC
+RALLSVMDEHNVDSFISLSSPQMGQYGDTNYLKWLFPTSMRSNLYRICYSPWGQEFSICN
+YWHDPHHDDLYLNASSFLALINGERDHPNATAWRKNFLRLGRLVLIGGPDDGVITPWQSS
+FFGFYDANETVLEMEKQLVYLRDSFGLKTLLARGAIVRCPMAGISHTAWHSNRTLYETCI
+EPWLS
+>sp|Q5E9K0|PSB2_BOVIN Proteasome subunit beta type-2 OS=Bos taurus OX=9913 GN=PSMB2 PE=1 SV=1
+MEYLIGIQGPDYVLVASDRVAASNIVQMKDDHDKMFKMSEKILLLCVGEAGDTVQFAEYI
+QKNVQLYKMRNGYELSPTAAANFTRRNLADYLRSRTPYHVNLLLAGYDEHEGPALYYMDY
+LAALAKAPFAAHGYGAFLTLSILDRYYTPTISREKAVELLRKCLEELQKRFILNLPTFSV
+RIIDRNGIHDLDNISFPKQGS
+>sp|O77788|NFM_BOVIN Neurofilament medium polypeptide OS=Bos taurus OX=9913 GN=NEFM PE=1 SV=3
+MSYTLDSLGNPSAYRRVTETRSSFSRISGSPSSGFRSQSWSRGSPSTVSSSYKRSALAPR
+LTYSSAMLSSAESSLDFSQSSSLLDGGSGPGGDYKLSRSNEKEQIQGLNDRFAGYIEKVH
+YLEQQNKEIEAEIQALRQKQASHAQLGDAYDQEIRELRATLEMVNHEKAQVQLDSDHLEE
+DIHRLKERFEEEARLRDDTEAAIRALRKDIEESSLVKVELDKKVQSLQDEVAFLRSNHEE
+EVADLLAQIQASHITVERKDYLKTDISTALKEIRSQLESHSDQNMHQAEEWFKCRYAKLT
+EAAEQNKEAIRSAKEEIAEYRRQLQSKSIELESVRGTKESLERQLSDIEERHNHDLSSYQ
+DTIQQLENELRGTKWEMARHLREYQDLLNVKMALDIEIAAYRKLLEGEETRFSTFAGSIT
+GPLYTHRQPSIAISSKIQKTKVEAPKLKVQHKFVEEIIEETKVEDEKSEMEEALTAITEE
+LAVSVKEEVKEEEAEEKEEKEEAEEEVVAAKKSPVKATAPELKEEEGEKEEEEGQEEEEE
+EEEAAKSDQAEEGGSEKEGSSEKEEGEQEEEGETEAEGEGEEAAAEAKEEKKMEEKAEEV
+APKEELAAEAKVEKPEKAKSPVAKSPTTKSPTAKSPEAKSPEAKSPTAKSPTAKSPVAKS
+PTAKSPEAKSPEAKSPTAKSPTAKSPAAKSPAPKSPVEEVKPKAEAGAEKGEQKEKVEEE
+KKEAKESPKEEKAEKKEEKPKDVPEKKKAESPVKAESPVKEEVPAKPVKVSPEKEAKEEE
+KPQEKEKEKEKVEEVGGKEEGGLKESRKEDIAINGEVEGKEEEQETKEKGSGGEEEKGVV
+TNGLDVSPGDEKKGGDKSEEKVVVTKMVEKITSEGGDGATKYITKSVTVTQKVEEHEETF
+EEKLVSTKKVEKVTSHAIVKEVTQSD
+>sp|Q02367|NDUB6_BOVIN NADH dehydrogenase [ubiquinone] 1 beta subcomplex subunit 6 OS=Bos taurus OX=9913 GN=NDUFB6 PE=1 SV=2
+MSGYTPEEKLRLQQLRELRRRWLKDQELSPREPVLPPQRVSPVERFWNKFLQDGALWKNV
+IYKTYRHSIFAFTHVLIPVWIIHYYLKYHVTTKPYTIVEKKPRIFPGDTILETGEVIPPM
+KEFPDQHH
+>sp|Q24K00|NUBP1_BOVIN Cytosolic Fe-S cluster assembly factor NUBP1 OS=Bos taurus OX=9913 GN=NUBP1 PE=2 SV=1
+MEEVPHDCPGADSAQAGRGASCQGCPNQRLCASGAGAAADPAIEEIKEKMKTVKHKILVL
+SGKGGVGKSTFSAHLAHGLAEDENTQVALLDIDICGPSIPKIMGLEGEQVHQSGSGWSPV
+FLEDNLGVMSVGFLLSSPDDAVIWRGPKKNGMIKQFLRDVDWGEVDYLIVDTPPGTSDEH
+LSVVQYLTAAHIDGAVIITTPQEVSLQDVRKEISFCHKVKLPIIGVVENMSGFICPKCQK
+ESQIFPPTTGGAEAMCQDLKIPLLGKVPLDPRIGKSCDKGQSFLVEAPDSPATVAYRSII
+QRIQEFCSQRLPEGENLVGS
+>sp|Q17R09|PRP16_BOVIN Pre-mRNA-splicing factor ATP-dependent RNA helicase PRP16 OS=Bos taurus OX=9913 GN=DHX38 PE=2 SV=1
+MEDSGEDASLHRLEGTDVDSQVGGLIFKTKSAASEQHVFKAPAPRPSLLGLDLLASLKRK
+EREEKDDGEDKKKSRISSYKDWEESKDDQRDAEAEDSDQAGRSGRKDRHYRSARVETPSH
+PGGVSEEFWERSRQRERERREHGVYASSKDEKDRKKERSRDRDCDRKRDRDERDRSRHSG
+RSERDGGSDRSSRRNEPESPRHRPKDAATPSRSTWEEEDSGYGSSRRSQWESPSPTPSYR
+DSERSHRPSSRDRDRSVRSRYSDDTPLPTPSYKYNEWADDRRHLGSTPRLSRGRGRREDG
+EEGISFDTEEERQQWEDDQRQADRDWYMMDEGYDEFHNPLAYSSDDYVRRREQHLHKQKQ
+KRISAQRRQINEDNERWETNRMLTSGVVHRLEVDEDFEEDSAAKVHLMVHNLVPPFLDGR
+IVFTKQPEPVIPVKDATSDLAIIARKGSQTVRKHREQKERKKAQHKHWELAGTKLGDIMG
+VKKEEEPDKSLTEDGKVDYRTEQKFADHMKKKSEASSEFAKKKSILEQRQYLPIFAVQQE
+LLTIIRDNSIVIVVGETGSGKTTQLTQYLHEDGYTDYGMIGCTQPRRVAAMSVAKRVSEE
+MGGNLGEEVGYAIRFEDCTSESTLIKYMTDGILLRESLREADLDHYSAIIMDEAHERSLN
+TDVLFGLLREVVARRSDLKLIVTSATMDAEKFASFFGNVPIFHIPGRTFPVDILFSKTPQ
+EDYVEAAVKQSLQVHLSGAPGDILIFMPGQEDIEVTSDQIVEHLEELENAPALAVLPIYS
+QLPSDLQAKIFQKAPDGVRKCIVATNIAETSLTVDGIMFVIDSGYCKLKVFNPRIGMDAL
+QIYPISQANANQRSGRAGRTGPGQCFRLYTQSAYKNELLTTTVPEIQRTNLANVVLLLKS
+LGVQDLLQFHFMDPPPEDNMLNSMYQLWILGALDNTGGLTSTGRLMVEFPLDPALSKMLI
+VSCDMGCSSEILLIVSMLSVPAIFYRPKGREEESDQIREKFAVPESDHLSYLNVYLQWKN
+NNYSTIWCNDHFIHAKAMRKVREVRAQLKDIMVQQRMSLASCGTDWDIVRKCICAAYFHQ
+AAKLKGIGEYVNIRTGMPCHLHPTSSLFGMGYTPDYIVYHELVMTTKEYMQCVTAVDGEW
+LAELGPMFYSVKQAGKSRQENRRRAKEEASAMEEEMALAEEQLRARRQEQEKRSPLGSVR
+STKIYTPGRKEQGEPMTPRRTPARFGL
+>sp|P10948|RAB3B_BOVIN Ras-related protein Rab-3B OS=Bos taurus OX=9913 GN=RAB3B PE=2 SV=1
+MASVTDGKAGVKDASDQNFDYMFKLLIIGNSSVGKTSFLFRYADDTFTPAFVSTVGIDFK
+VKTVYRHEKRVKLQIWDTAGQERYRTITTAYYRGAMGFILMYDITNEESFNAVQDWATQI
+KTYSWDNAQVILVGNKCDMEEERVVPTEKGRLLAEQLGFDFFEASAKENISVRQAFERLV
+DAICDKMSDTLDTDPSLLGTSKNTRLSDTPPLLQQNCSC
+>sp|P00126|QCR6_BOVIN Cytochrome b-c1 complex subunit 6, mitochondrial OS=Bos taurus OX=9913 GN=UQCRH PE=1 SV=2
+MGLEDEQRMLTGSGDPKEEEEEEEELVDPLTTVREQCEQLEKCVKARERLELCDERVSSR
+SQTEEDCTEELLDFLHARDHCVAHKLFNSLK
+>sp|Q67ER4|R113A_BOVIN E3 ubiquitin-protein ligase RNF113A OS=Bos taurus OX=9913 GN=RNF113A PE=2 SV=1
+MAEQLSPGKTTDQVCTFLFKKPGRKVAAGRRKRPICNQESGDSSSSSDEGNTVVRPEKKR
+AVHNPMIQKTRGSGKQKVAYGDLSSEEEEENKSESLGVVYKSTRSAKPVGPEDMGATAVY
+ELDTEKERDAQAIFERSQKIQEELRGQEDDKIYRGINNYQKFMKPKDTSMGNASSGMVRK
+GPIRAPEHLRATVRWDYQPDICKDYKETGFCGFGDSCKFLHDRSDYKHGWQIERELDEGR
+YGVYEDENYEVGSDEEEIPFKCFICRQTFQNPVVTKCRHYFCESCALQHFRTTPRCYVCD
+QQTNGVFNPAKELIAKLEKHRAAEGGGASGFPEDPDEDPVPIT
+>sp|Q29RR0|RAB26_BOVIN Ras-related protein Rab-26 OS=Bos taurus OX=9913 GN=RAB26 PE=2 SV=1
+MSRKKTPKSKAGSAPATSALPAANGPRPVRPGTARPGPEAPPNGPPQPGRSSVGGGGDFY
+DVAFKVMLVGDSGVGKTCLLVRFKDGAFLAGTFISTVGIDFRNKVVDVDGMKVKLQIWDT
+AGQERFRSVTHAYYRDAHALLLLYDVTNKASFDSIQAWLTEIQEHAQDDVVLMLLGNKVD
+SAQERAVKREDAEKLAKDYGLPFMETSAKTGLNVDLAFTAIAKELKQRHTKAPSEPRFQL
+HDYIKREGRGASCCRP
+>sp|Q0VCC5|REPI1_BOVIN Replication initiator 1 OS=Bos taurus OX=9913 GN=REPIN1 PE=2 SV=1
+MLERRCRGPVAMGPAQPRLLSGPSQESPQTLEKEPQGLRSRGTAAAQSGGQALGRAHRCA
+HCRRHFPGWVALWLHARRCQARLPRPCPECGRRFRHAPFLALHCQVHAAATPDQGFACHL
+CGQSFRGWVALVLHLRAHSAAKRPIACPACERRFWRRKQLRAHSRRCHPPAPEARPFICG
+NCGRSFAQWDQLVTHKRVHVAEALEEAAAKALGPRPRGRPAVTAPRPGGDAVDRPFQCAC
+CGKRFRHKPNLIAHRRVHTGERPHQCPECGKRFTNKPYLTSHRRIHTGEKPYPCTECGRR
+FRHKPNLLSHSKIHKRSEGSAQGGPQPPASAPERTPEPPPEPAPEPAEVPVGPGQPSAAA
+EAPPSLHTCADCGRGFRLERFLRAHQRQHGGERPFACAECGKHFGKKTHLVAHSRVHSGE
+RPFACEECGRRFSQGSHLAAHRRDHAPERPFVCPDCGKAFRHKPYLAAHRRIHTGEKPYV
+CPECGKAFSQKSNLVSHRRIHTGERPYACPDCDRSFSQKSNLITHRKSHIRDGAFCCAIC
+GQTFDDEGKLLAHQKKHDV
+>sp|P06833|PYY2_BOVIN Caltrin OS=Bos taurus OX=9913 GN=PYY2 PE=1 SV=2
+MMAGRRSWPAMATVLLALLVCLGELVDSKPQPSDEKASPDKHHRFSLSRYAKLANRLANP
+KLLETFLSKWIGDRGNRSVK
+>sp|Q0P5F0|PPAL_BOVIN Lysosomal acid phosphatase OS=Bos taurus OX=9913 GN=ACP2 PE=2 SV=1
+MAGRRFGWSRAALLQLILGVNLMVMPRTQARTLRFVTLLYRHGDRSPVKAYPKDPHQEDK
+WPQGFGQLTKEGMLQHWELGQALRQRYHGFLNTSYHRQEVYVRSTDFDRTLMSAEANLAG
+LFPPDGIQRFNPNISWQPIPVHTVPVAEDRLLKFPLGPCPRFEQLQNETRRMPEYQNESV
+QNAQFLDMVANETGLTDLSLETVWNVYDTLFCEQTHGLPLPPWASPQTMQRLSRLKDFSF
+RFLFGIYKQAEKARLQGGVLLAQIRKNLTLMATTSQLPKLLVYSAHDTTLVALHMALGVY
+NGEQAPYASCHMFELYQEDSGNFSVEMYFRNESHRAPWPLTLPGCSHRCPLQDFLRLTEP
+VVPKDWLQECQLAGGPADTEVIVALAVCGSILFLLIVLLLTVLFRVQAQPPGYRHVPDGE
+DHA
+>sp|Q2HJF3|ORC6_BOVIN Origin recognition complex subunit 6 OS=Bos taurus OX=9913 GN=ORC6 PE=2 SV=1
+MESGLIRRLAPRLGIAEQEVLRKAEEYLRLSRVKCVGLSARTTETSNAVMCLDLAASCMK
+CPLDRAYLIKLSGLNKKMYQSCLKSFECLLGLNSNIGIRDLAVQFSCTEAVNLASKILQS
+YESSLPQTQQVDLDLSRPLFTTAALLSACKILKLKVDRNKMAATSGVKKAIFDRLCKQLE
+KIGQQIDREAGDSVTPPQKKKKTVIEPSAKEIENVVETLPKPQKDEDLTQDYEEWKRNIL
+ENAARAQKAATQ
+>sp|Q2KIK2|MP17L_BOVIN Mpv17-like protein OS=Bos taurus OX=9913 GN=MPV17L PE=2 SV=1
+MVSWWQALTRAAGRYPWPANVLLYAGFFSGGDALQQVLRGGPADWQHTRHVATVAVAFHA
+NLNYVWLNLLERALPGRAPRTILAKVLCDQALGGPVYVSTFYAGMSILQGKDDIFLDMRQ
+KFWNTYKSGLMYWPFVQLINFSLIPIRWRTAYTGLCGFLWATFLCFSQQEGDGTFKSAFT
+FRRIKVTNEVEKPSEK
+>sp|Q3T014|PMGE_BOVIN Bisphosphoglycerate mutase OS=Bos taurus OX=9913 GN=BPGM PE=2 SV=3
+MSKYKLIMLRHGEGAWNKENRFCSWVDQKLNSDGLQEARNCGKQLKALNFEFDLVFTSIL
+NRSIHTAWLILEELGQEWVPVESSWRLNERHYGALISLNREQMALNHGEEQVRLWRRSYN
+VTPPPIEESHPYYHEIYNDRKYKVCDVPLDQLPRSESLKDVLERLLPYWNERIAPEVLRG
+KTVLISAQGNSCRALLKYLEGISDEEIINITLPTGVPILLELDENLRTVGPHQFLGDQEA
+IQAAIKKVDDQGKVKRADK
+>sp|Q9BGI3|PRDX2_BOVIN Peroxiredoxin-2 OS=Bos taurus OX=9913 GN=PRDX2 PE=2 SV=1
+MACVCKAHVGKPAPEFQATAVVDGAFKEVKLSDYKGKYVVLFFYPLDFTFVCPTEIVAFS
+DRAAEFHKLNCEVLGVSVDSQFTHLAWINTPRKEGGLGPLNIPLLADVTRKLSSDYGVLK
+EDEGIAYRGLFVIDGKGVLRQVTINDLPVGRSVDEALRLVQAFQYTDEHGEVCPAGWTPG
+SDTIKPNVDDSKEYFSKHN
+>sp|A4FV42|MT21A_BOVIN Protein N-lysine methyltransferase METTL21A OS=Bos taurus OX=9913 GN=METTL21A PE=2 SV=1
+MALVPYTETAEMGLQRFHKPLATFSFANHTIQIRQDWKQLGVAAVVWDAAVVLATYLEMG
+TVELRGCSAVELGAGTGLVGIVAALLGAHVTITDRKVALEFLKSNVQANLPPHIQPKAVV
+KELTWGQNLGRFSPGEFDLILGADIIYLEETFTDLLQTLEHLCSNHSVVLLACRIRYERD
+YNFLAMLERQFTVSKVHYDSEKDVHIYKAQRRCLREDL
+>sp|Q32LM8|NRM_BOVIN Nurim OS=Bos taurus OX=9913 GN=NRM PE=1 SV=1
+MAPALLLIPAALASFILAFGTGVEFVRFTSLRPLLGRISESGSPDARQGWLAALQDQSIL
+VPLVWDLGLLLLFVGQHSLMATETVKEWMSRYFGVLQRSLYVACTALALQLVMRYWEPVP
+RGPVLWETRTEPWATWVPLLCFVLHVISWLLIFSILLVFDYAELMGLKQVYYHVLGLGEP
+LALKSPRALRLFSHLRHPVCVELLTVLWVVPTLGTDRLLLALLLTLYLGLAHGLDQHDLR
+YLRAQLQRKLHLLSRPQDGEAE
+>sp|Q02366|NDUA6_BOVIN NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 6 OS=Bos taurus OX=9913 GN=NDUFA6 PE=1 SV=2
+MAASGLRQAAVAASTSVKPIFSRDMNEAKRRVRELYRAWYREVPNTVHLFQLDISVKQGR
+DKVREMFKKNAHITDPRVVDLLVIKGKMELEETIKVWKQRTHVMRFFHETEAPRPKDFLS
+KFYVGHDP
+>sp|Q17QV2|MON1A_BOVIN Vacuolar fusion protein MON1 homolog A OS=Bos taurus OX=9913 GN=MON1A PE=2 SV=1
+MAADMQRKRSSEGPDGTLAPSDGQSVERAESPTPGLAQGMEPGAGQEGAMFVHARSYEDL
+TESEDGAASGESPKEGAGGPPPLATDMRQISQDFSELSTQLTGVARDLQEEMLPGSSEDW
+PESPGAARRPATEPPRDGAGEGDEEEAAEAWRRHQKHVFVLSEAGKPVYSRYGSEEALSS
+TMGVMVALVSFLEADKNAIRSIHADGYKVVFVRRSPLVLVAVARTRQSAQELAQELLYIY
+YQILSLLTGAQLSHIFQQKQNYDLRRLLSGSERITDNLLQLMARDPSFLMGAARCLPLAA
+AVRDVVSASLQQARARSLVFSILLARNQLVALVRRKDQFLHPIDLHLLFNLISSSSSFRE
+GEAWTPVCLPKFNAAGFFHAHISYLEPDTDLCLLLVSTDREDFFAVSDCRRRFQERLRKR
+GAHLALREALRTPYYSVAQVGVPDLRHFLYKSKSSGLFTSPEIEAPYDSEEEQERLLGLY
+QYLHSRAHNASRPLKTIYYTGPNENLLAWVTGAFELYMCYSPLGTKASAVSAIHKLMRWI
+RKEEDRLFILTPLTY
+>sp|P31098|OSTK_BOVIN Osteopontin-K OS=Bos taurus OX=9913 PE=2 SV=1
+MRIAVICFCLLGIASALPVKPTSSGSSEEKQLNNKYPDAVATWLKPDPSQKQTFLAPQNS
+VSSEETDDNKQNTLPSKSNEDPEQTDDLDDDDDNSQDVNSNDSDDAETTDDPDHSDESHH
+SDESHQVHFPTDIPTIAVFTPFIPTESANDGRGDRCGLRTEVKIIEVPPINVQSPDATEE
+DFTSHIESERCMSTKKTSRLTDHSKETNRCELSKELMPKAKDKNKHSNLIESQENSKLSQ
+EFHSLEDKLDLDHKSEEDKHLKIRISHELDSASSEVN
+>sp|Q32KU9|MSTN1_BOVIN Musculoskeletal embryonic nuclear protein 1 OS=Bos taurus OX=9913 GN=MUSTN1 PE=3 SV=1
+MSQAGAQEAPIKKKRPPVKEEDLKGARGNLTKNQEIKSKTYQVMRECEQAGSTAPSVFSR
+ARTGAETVFEKPKAGPAKSVFG
+>sp|Q70VZ7|MOGT1_BOVIN 2-acylglycerol O-acyltransferase 1 OS=Bos taurus OX=9913 GN=MOGAT1 PE=2 SV=1
+MKVEFAPLNIPLARRLQTAAVLHWLLSFLLFAQVCLGIIVFLIIYNYWFLYLPYLTWLYF
+DWQTPEQGGRRSEWVRNWAIWRYFKDYFPIHLIKTWDLDPSHNYIFGFHPHGVLVVGAFG
+NFCTNYSAFKELFPGFTSYLHVLPYWFRCPLFREYLMSSGPVSVSKKSVCHVLSKEGGGN
+ISVIVLGGAEESLDAHPGKFTLFIRQRKGFVKIALTHGAYLVPVFSFGENELFKQVSNPE
+GSWLRNVQEKLQKIMGFALPLFHARGIFQYNFGLIPYRKPIHTVVGRPIPVRQTLNPTSE
+QIEELHQTYMEELRKLFEEHKGKYGIPENETLIFR
+>sp|Q2KI15|RF1ML_BOVIN Peptide chain release factor 1-like, mitochondrial OS=Bos taurus OX=9913 GN=MTRF1L PE=2 SV=1
+MRPRLVWNVFRGFWARRGVVPACRHLSCSNLPLEELFARGGALRTFLERQVGAEAQFQVR
+RPELVAVAKLLSDKEQELQETQHLLHDENEDLRKLAENEITSCEKEIAQLKHQIILLLVP
+SEETDKNDLILEVTAGVGGQEAMLFTSEIFDMYQQYAAFKRWHFETLEYFPSEIGGLRHA
+SASIGGSEAYKHMKFEGGVHRVQRVPKTEKQGRIHTSTMTVAILPQPTEINLVINPKDLR
+IDTKRASGAGGQHVNTTDSAVRIVHLPTGIVSECQQERSQLKNKEMAMKKLRAKLYSLQL
+EEETSKRYNARKIQIGTKGRSEKIRTYNFPQNRVTDHRINKSLHDLETFMQGEYLLDELV
+QSLKDYANYESLVEIIAKEV
+>sp|Q2KIJ1|SHLD1_BOVIN Shieldin complex subunit 1 OS=Bos taurus OX=9913 GN=SHLD1 PE=2 SV=1
+MATQETTPGSQTEESNALDLPSAYDIRDYVLQRPSQQTNSEAFSSEEACSIPCSSDVDPD
+SSNLNTEQNDSWTSENFWFYPSVKGQPETKEEDDGLRKSLDKFYEVFGNPQPASGNSLST
+SVCQCLSQKINELKDQENQTYTLRSFQMARVIFNQNGCSILQKHSRDAHFYPVREGSTSL
+QDEKLTPGLSKDIIHFLLQQNLMKDQ
+>sp|Q862I1|RL24_BOVIN 60S ribosomal protein L24 OS=Bos taurus OX=9913 GN=RPL24 PE=2 SV=2
+MKVELCSFSGYKIYPGHGRRYARTDGKVFQFLNAKCESAFLSKRNPRQINWTVLYRRKHK
+KGQSEEIQKKRTRRAVKFQRAITGASLADIMAKRNQKPEVRKAQREQAIRAAKEAKKAKQ
+ASKKTAMAAAKAPTKAAPKQKIVKPVKVSAPRVGGKR
+>sp|Q3SYY2|TPST2_BOVIN Protein-tyrosine sulfotransferase 2 OS=Bos taurus OX=9913 GN=TPST2 PE=2 SV=1
+MRLSMRRALLAAGLALALVLAVHLGQRVLECQAVLGGPRGPRRTMRPEQEDLMMVGADHV
+EYRYGKAMPLIFVGGVPRSGTTLMRAMLDAHPEVRCGEETRIIPRVLAMRQAWSKSGREK
+LRLDEAGVTDEVLDAAMQAFILEVIAKHGEPARVLCNKDPFTLKSSVYLSRLFPNSKFLL
+MVRDGRASVHSMITRKVTIAGFDLSSYRDCLTKWNKAIEVMYAQCMEVGRDKCLPVYYEQ
+LVLHPRRSLKVILDFLGIAWSDAVLHHEDLIGKPGGVSLSKIERSTDQVIKPVNLEALSK
+WTGHIPGDVLRDMAQIAPMLARLGYDPYANPPNYGNPDPIVINNTHRVLKGDYKTPANLK
+GYFQVNLNSTSSHLGSS
+>sp|A2VE31|S38A2_BOVIN Sodium-coupled neutral amino acid transporter 2 OS=Bos taurus OX=9913 GN=SLC38A2 PE=2 SV=1
+MKKAEMGRFNISPDEDSSSYSSNSDFNYSYPTKQAALKSHYADVDPENQNFLLESNLGKK
+KYETDFHPGTTSFGMSVFNLSNAIVGSGILGLSYAMANTGIALFIILLTFVSIFSLYSVH
+LLLKTANEGGSLLYEQLGHKAFGMVGKLTASGSITMQNIGAMSSYLFIVKYELPLVIQAL
+MNIEDTNGLWYLNGDYLVLLVSLVLILPLSLLRNLGYLGYTSGLSLLCMMFFLIVVIFKK
+FQISCPAEIAFLVNETVNSSLTQPATFLPDMGFNRTESDSCQPRYFIFNSQTVYAVPILT
+FSFVCHPAILPIYEELKGRSRRRMMNVSKISFFAMFLMYLLAALFGYLTFYGHVESELLH
+TYSSVMETDILLLIVRLAVLVAVTLTVPVVIFPIRSSITHLLCASKEFSWWRHSVITVSI
+LVFTNLLVIFVPNIRDIFGFIGASAAAMLIFILPSAFYIKLVKKEPMKSVQKIGAMFFLL
+SGIVVMTGSMALIVLDWVHNAPGGGH
+>sp|Q3T0G8|SYUA_BOVIN Alpha-synuclein OS=Bos taurus OX=9913 GN=SNCA PE=2 SV=1
+MDVFMKGLSKAKEGVVAAAEKTKQGVAEAAGRTKEGVLYVGSKTKEGVVHGVTTVAEKTK
+EQVTNVGEAVVTGVTAVAQKTVEGAGSIAAATGFGKKDHMGKGEEGASQEGILEDMPVDP
+DNEAYEMPSEEGYQDYEPEA
+>sp|A3KN28|ST7L_BOVIN Suppressor of tumorigenicity 7 protein-like OS=Bos taurus OX=9913 GN=ST7L PE=2 SV=1
+MADGDGLGEAAIAAASPASAPGLSPSLGWRERLRAGLAGTGASLWFVAGLGLLYALRVPL
+RLCENLAAVTVFLNSLTPKFYVALTGTSSLISGLIFIFEWWYFHKHGTSFIEQVSVSHLR
+PLMGGTESSISEPGSPSNNRESETSRQNLSECKVWRNPLNLFRGAEYRRYTWVTGKEPLT
+YYDMNLSAQDHQTFFTCDTDFLRPSDTVMQKAWRERNPPARIKAAYQALELNNDCATAYV
+LLAEEEATTIVDAERLFKQALKAGETIYRRSQQCQHQSPQHEAQLRRDTNVLVYIKRRLA
+MCARKLGRIREAVKIMRDLMKEFPPLTMLNIHENLLESLLELQAYADVQAVLAKYDDISL
+PKSAAICYTAALLKTRTVSDKFSPETASRRGLSTAEINAVEAIHRAVEFNPHVPKYLLEM
+KSLILPPEHILKRGDSEAIAYAFFHLQHWKRIEGALHLLQCTWEGTFRMIPYPLEKGHLF
+YPYPSCTETADRELLPSFHHVSVYPKKEIPFFIHFTAGLCSSTAMIAFLTHQFPEIMGVF
+AKAVSMISRTCIEYL
+>sp|Q2TA20|SPT22_BOVIN Spermatogenesis-associated protein 22 OS=Bos taurus OX=9913 GN=SPATA22 PE=2 SV=1
+MKRNLNENSTRSTAGCLPVPLFNQKKRNRQPLTSNPCTNDPGISNASDNYDFPPLPSDWA
+WEAVNPELPPLTKTVNTGQIPHSVSNSLRSQDSLSKPIQSNAGSSKSDWSYRDGNKNTSL
+KTWDKNDFKPQCKRRNLMTNNGINSGPINLGAQQQKQLRVSESTNLPNQRESEALRQTKS
+SEIPGSTMRSLDKNSTLQAFKPNFQQNQFKKKMLDGFQEVNTLKKEASSYQLKLREKDNS
+LRIISAVIESMKYWREHVQKTVLLFEILAVLDSAVRPGPYCSKTFLMRDGKHTLPCVFYE
+IDRELPRLIRGRVHRCVGNYDQKKNIFKCVSVRPASASEQKTFQAFVKIVDAEMRYYTSV
+MNEV
+>sp|Q3ZBS8|TIM8A_BOVIN Mitochondrial import inner membrane translocase subunit Tim8 A OS=Bos taurus OX=9913 GN=TIMM8A PE=3 SV=1
+MDSSSSSSAAGLGSVDPQLQHFIEVETQKQRFQQLVHQMTELCWEKCMDKPGPKLDSRAE
+ACFVNCVERFIDTSQFILNRLEQTQKSKPVFSESLSD
+>sp|Q2KJA5|LAPM5_BOVIN Lysosomal-associated transmembrane protein 5 OS=Bos taurus OX=9913 GN=LAPTM5 PE=2 SV=1
+MAPRAAAIRQTCCCFNVRIATTALAIYHVIMSVLLFIEHSVEVAHGKASCKFSKTGYLRI
+AELVSSFLLITMLFIISLSLLVGVVKNREKYLLPFLSLQIMDFLLCLLTLMGSYIELPAY
+LKFASRSSRRVSPSKVPLMTLQLLDFCLSILTLCSSYMEVPTYLNFKSMNHMNYLPSQDG
+MTHNQFIKIMIIFSIAFITVLILKVYMFKCVWRCYRLMKCTNSAEERSGSKMLQKVVLPS
+YEEAVSLPYKVPEGGPAPPPYSEV
+>sp|Q3SZL0|NR1H4_BOVIN Bile acid receptor OS=Bos taurus OX=9913 GN=NR1H4 PE=2 SV=1
+MVMQFQELENPVQISPCHSHTSSGFDMEVMSMKPAKGVLTEQVAGPLGQNLEVEPYSQYN
+NVQFPQVQPQISSSSYYSNVGFYPQQPEEWYSPGIYELRRMPAETLYQGETEVVEIPITK
+KARLGASAGRIKGDELCVVCGDRASGYHYNALTCEGCKGFFRRSITKNAVYKCKNGGNCV
+MDMYMRRKCQECRLRKCKEMGMLAECLLTEIQCKSKRLRKNVKQHADQAIHEDSEGRDLR
+QVTSTTKSCREKTELTPDQQNLLHYIMDSYSKQRMPQEITNKFLKEEFSAEENFIILTEM
+ATSHVQVLVEFTKKLPGFQTLDHEDQIALLKGSAVEAMFLRSAEIFSKKLPAGHTDLLEE
+RIRKSGISDEYITPMFSFYKSVAELKMTQEEYALLTAIVILSPDRQYIKDREAVEKLQEP
+LLDVLQKLCKIHQPENPQHFACLLGRLTELRTFNHHHADMLMSWRVNDHKFTPLLCEIWD
+VQ
+>sp|Q2TA40|NP1L4_BOVIN Nucleosome assembly protein 1-like 4 OS=Bos taurus OX=9913 GN=NAP1L4 PE=2 SV=1
+MADNSFSDGVPSDSLEAAKNASNTEKLTDQVMQNPQVLAALQERLDNVSHTPSSYIETLP
+KAVKRRINALKQLQVKCAHIEAKFYEEVHDLERKYAALYQPLFDKRREFITGDVEPTDAE
+SEWHSETEEEDKLAGDMKNKAVIAEKEAAAAEEPAPRGIPEFWFTIFRNVDMLSELVQEY
+DEPILKHLQDIKVKFSDPGQPMSFVLEFHFEPDDYFTNPVLTKTYKMKSEPDKADPFSFE
+GPEIVDCDGCTIDWKKGKNVTVKTIKKKQKHKGRGTVRTITKQVPNDSFFNFFSPLRASG
+DGESLDEDSEFTLASDFEIGHFFRERIVPRAVLYFTGEAIEDDDNFEEGEEGEEEELEGD
+EEAEDDDDAEINPKKEPSQPSECKQQ
+>sp|Q2KIU2|PMVK_BOVIN Phosphomevalonate kinase OS=Bos taurus OX=9913 GN=PMVK PE=2 SV=3
+MAPLGGVPGLVLLFSGKRKSGKDFVTEALQSRLGADVCAILRLSGPLKEQYAQEHGLDFQ
+RLMDASTYKEAYRSDMIRWGEEKRQADPGFFCRKIVEGVCQPVWLVSDTRRVSDIQWFQE
+AYGAVTQTVRVVATEESRQQRGWVFTPGVDDAESECGLDNFRTFDWVIENHGDEQHLEEQ
+LEHLIEFIRSRL
+>sp|Q5E9K3|PNPO_BOVIN Pyridoxine-5'-phosphate oxidase OS=Bos taurus OX=9913 GN=PNPO PE=2 SV=1
+MIFWLRSVIVTFGRPAEWPRCLRHLCSRGAAMDLGPMRKTYRGDPEAFEETHLTSLDPVK
+QFAAWFEEAVQCPDIMEANAMCLATCTRDGKPSARMVLLKGFGKDGFRFFTNFESRKGKE
+LDSNPFASLVFYWEPLHRQVRVEGPVKKLPEEEAECYFHSRPKSSQIGAVVSHQSSVIPD
+REYLRKKNKELEQLYQEQEVPKPKYWGGYILYPQVMEFWQGQTNRLHDRIVFRRGLLTGD
+SPLGPMTHRGEEDWVYERLAP
+>sp|Q8HXG5|NDUBB_BOVIN NADH dehydrogenase [ubiquinone] 1 beta subcomplex subunit 11, mitochondrial OS=Bos taurus OX=9913 GN=NDUFB11 PE=1 SV=2
+MAARMLGLCGRRLLAVAATRGLPAARVRWESSSSRAVIAPSTLAGKRPSEPTLRWQEDPE
+PEDENLYEKNPDSHGYDKDPAVDIWNMRVVFFFGFSIVLVLGSTFVAYLPDYRMQEWARR
+EAERLVKYREAHGLPIMESNCFDPSKIQLPEDED
+>sp|Q3ZCG2|MPC1_BOVIN Mitochondrial pyruvate carrier 1 OS=Bos taurus OX=9913 GN=MPC1 PE=1 SV=1
+MAGALVRKAADYVRSKDFRDYLMSTHFWGPVANWGLPIAAINDMKKSPEIISGRMTFALC
+CYSLTFMRFAYKVQPRNWLLFACHATNEVAQLIQGGRLIRHEMSKKASA
+>sp|P05980|PGFS1_BOVIN Prostaglandin F synthase 1 OS=Bos taurus OX=9913 PE=1 SV=3
+MDPKSQRVKLNDGHFIPVLGFGTYAPEEVPKSEALEATKFAIEVGFRHVDSAHLYQNEEQ
+VGQAIRSKIADGTVKREDIFYTSKLWCNSLQPELVRPALEKSLQNLQLDYVDLYIIHSPV
+SLKPGNKFVPKDESGKLIFDSVDLCHTWEALEKCKDAGLTKSIGVSNFNHKQLEKILNKP
+GLKYKPVCNQVECHPYLNQSKLLEFCKSHDIVLVAYAALGAQLLSEWVNSNNPVLLEDPV
+LCAIAKKHKQTPALVALRYQVQRGVVVLAKSFNKKRIKENMQVFDFELTPEDMKAIDGLN
+RNIRYYDFQKGIGHPEYPFSEEY
+>sp|O46471|RGS16_BOVIN Regulator of G-protein signaling 16 OS=Bos taurus OX=9913 GN=RGS16 PE=2 SV=1
+MCRTLAAFPTSCLERAKEFKTRLGIFLHKSELGSDTGSVGKFEWGSKHSKEGKNFSEDVL
+GWKESFDLLLSSKNGVAAFHAFLKTEFSEENLEFWLACEEFKKLRSATKLGSRAHRIFEE
+FICSEAPKEVNIDHETRELTRTNLQAATAVCFDAAQWKVRALMEKDSYPRFLKSPAYRDL
+ATQATAASASPSSSSPAEPLHT
+>sp|Q27991|MYH10_BOVIN Myosin-10 OS=Bos taurus OX=9913 GN=MYH10 PE=2 SV=2
+MAQRTGLEDPERYLFVDRAVIYNPATQADWTAKKLVWIPSERHGFEAASIKEERGDEVLV
+ELAENGKKAMVNKDDIQKMNPPKFSKVEDMAELTCLNEASVLHNLKDRYYSGLIYTYSGL
+FCVVINPYKNLPIYSENIIEMYRGKKRHEMPPHIYAISESAYRCMLQDREDQSILCTGES
+GAGKTENTKKVIQYLAHVASSHKGRKDHNIPGELERQLLQANPILESFGNAKTVKNDNSS
+RFGKFIRINFDVTGYIVGANIETYLLEKSRAVRQAKDERTFHIFYQLLSGAGEHLKSDLL
+LEGFNNYRFLSNGYIPIPGQQDKDNFQETMEAMHIMGFSHEEILSMLKVVSSVLQFGNIS
+FKKERNTDQASMPENTVAQKLCHLLGMNVMEFTRAILTPRIKVGRDYVQKAQTKEQADFA
+VEALAKATYERLFRWLVHRINKALDRTKRQGASFIGILDIAGFEIFELNSFEQLCINYTN
+EKLQQLFNHTMFILEQEEYQREGIEWNFIDFGLDLQPCIDLIERPANPPGVLALLDEECW
+FPKATDKTFVEKLVQEQGSHSKFQKPRQLKDKADFCIIHYAGKVDYKADEWLMKNMDPLN
+DNVATLLHQSSDRFVAELWKDVDRIVGLDQVTGMTETAFGSAYKTKKGMFRTVGQLYKES
+LTKLMATLRNTNPNFVRCIIPNHEKRAGKLDPHLVLDQLRCNGVLEGIRICRQGFPNRIV
+FQEFRQRYEILTPNAIPKGFMDGKQACERMIRALELDPNLYRIGQSKIFFRAGVLAHLEE
+ERDLKITDIIIFFQAVCRGYLARKAFAKKQQQLSALKVLQRNCAAYLKLRHWQWWRVFTK
+VKPLLQVTRQEEELQAKDEELLKVKEKQTKVEGELEEMERKHQQLLEEKNILAEQLQAET
+ELFAEAEEMRARLAAKKQELEEILHDLESRVEEEEERNQILQNEKKKMQAHIQDLEEQLD
+EEEGARQKLQLEKVTAEAKIKKMEEEILLLEDQNSKFIKEKKLMEDRIAECSSQLAEEEE
+KAKNLAKIRNKQEVMISDLEERLKKEEKTRQELEKAKRKLDGETTDLQDQIAELQAQIDE
+LKIQVAKKEEELQGALARGDDETLHKNNALKVVRELQAQIAELQEDFESEKASRNKAEKQ
+KRDLSEELEALKTELEDTLDTTAAQQELRTKREQEVAELKKALEEETKSHEAQIQDMRQR
+HATALEELSEQLEQAKRFKANLEKNKQGLETDNKELACEVKVLQQVKAESEHKRKKLDAQ
+VQELHAKVSEGDRLRVELAEKANKLQNELDNVSTLLEEAEKKGIKFAKDAAGLESQLQDT
+QELLQEETRQKLNLSSRIRQLEEERSSLQEQQEEEEEARRSLEKQLQALQAQLTDTKKKV
+DDDLGTIENLEEAKKKLLKDVEVLSQRLEEKALAYDKLEKTKTRLQQELDDLLVDLDHQR
+QIVSNLEKKQKKFDQLLAEEKNISARYAEERDRAEAEAREKETKALSLARALEEALEARE
+EAERQNKQLRADMEDLMSSKDDVGKNVHELEKSKRALEQQVEEMRTQLEELEDELQATED
+AKLRLEVNMQAMKAQFERDLQTRDEQNEEKKRLLIKQVRELEAELEDERKQRALAVASKK
+KMEIDLKDLEAQIEAANKARDEVIKQLRKLQAQMKDYQRELEEARASRDEIFAQSKESEK
+KLKSLEAEILQLQEELASSERARRHAEQERDELADEIANSASGKSALLDEKRRLEARIAQ
+LEEELEEEQSNMELLNDRFRKTTLQVDTLNTELAAERSAAQKSDNARQQLERQNKELKAK
+LQELEGAVKSKFKATISALEAKIGQLEEQLEQEAKERAAANKLVRRTEKKLKEIFMQVED
+ERRHADQYKEQMEKANARMKQLKRQLEEAEEEATRANASRRKLQRELDDATEANEGLSRE
+VSTLKNRLRRGGPISFSSSRSGRRQLHIEGASLELSDDDTESKTSDINETQPPQSE
+>sp|Q3T005|PDLI4_BOVIN PDZ and LIM domain protein 4 OS=Bos taurus OX=9913 GN=PDLIM4 PE=2 SV=1
+MPHSVTLRGPSPWGFRLVGGRDFSVPLTISRVHAGSKAALAALCPGDLIQAINGESTELM
+THLEAQNRIKGCRDHLTLSVSRPEGRSWPSTPEDNKAQAHRIHIDSEAQDGSPLTSRRPS
+ATGLGPEDGRPGLGSPYGQSPRLPVPHNGSNSEATLLAQMGALHVSPPHSTDPARGLPRS
+RDCGVDLGSEVYRMLREPAEPAAAEPKQSGSFRYLQGMLEAGEGGERPGPGGPRNLKPTA
+SKLGAPLSGLQGLPECTRCGHGIVGTIVKARDKLYHPECFMCSDCGLNLKQRGYFFLDER
+LYCESHAKARVKPPEGYDVVAVYPNAKVELV
+>sp|Q2KIW6|PRS10_BOVIN 26S proteasome regulatory subunit 10B OS=Bos taurus OX=9913 GN=PSMC6 PE=2 SV=1
+MADPRDKALQDYRKKLLEHKEIDGRLKELREQLKELTKQYEKSENDLKALQSVGQIVGEV
+LKQLTEEKFIVKATNGPRYVVGCRRQLDKSKLKPGTRVALDMTTLTIMRYLPREVDPLVY
+NMSHEDPGNVSYSEIGGLSEQIRELREVIELPLTNPELFQRVGIIPPKGCLLYGPPGTGK
+TLLARAVASQLDCNFLKVVSSSIVDKYIGESARLIREMFNYARDHQPCIIFMDEIDAIGG
+RRFSEGTSADREIQRTLMELLNQMDGFDTLHRVKMIMATNRPDTLDPALLRPGRLDRKIH
+IDLPNEQARLDILKIHAGPITKHGEIDYEAIVKLSDGFNGADLGNVCTEAGMFAIRADHD
+FVVQEDFMKAVRKVADSKKLESKLDYKPV
+>sp|Q2TBQ5|RL7A_BOVIN 60S ribosomal protein L7a OS=Bos taurus OX=9913 GN=RPL7A PE=2 SV=3
+MPKGKKAKGKKVAPAPAVVKKQEAKKVVNPLFEKRPKNFGIGQDIQPKRDLTRFVKWPRY
+IRLQRQRAILYKRLKVPPAINQFTQALDRQTATQLLKLAHKYRPETKQEKKQRLLARAEK
+KAAGKGDVPTKRPPVLRAGVNTVTTLVENKKAQLVVIAHDVDPIELVVFLPALCRKMGVP
+YCIIKGKARLGRLVHRKTCTTVAFTQVNSEDKSALAKLVEAIRTNYNDRYDEIRRHWGGN
+VLGPKSVARIAKLEKAKAKELATKLG
+>sp|A4FUG8|RABE2_BOVIN Rab GTPase-binding effector protein 2 OS=Bos taurus OX=9913 GN=RABEP2 PE=2 SV=1
+MAAAAPAAAGEDGRRRLPGAALDIQPQEGAKVEAESGELERLRAELAGALAEMETMKAVA
+EVSESTKAEAVAAVQRQCQEEVASLQAILKDSISSYEAQITSLKQERQQQQQDCEEKERE
+LGRLKQLLSRAHPLDSLEKQMEKAHEDSEKLREIVLPMEQEIEELKAKLLRAEELIQEIQ
+RRPRHPPSLHGSTELLLSRDPSPPLEPLEELSEDGGPAAEAFAHNCDDSASISSFSLGGG
+ASGRASLPRSRQGLSPEQEETASLVSTGTLVPEGIYLPPPGYQLVPDNQWEQLQLEGRQL
+QKDLESISRERDELQEGLRRSNEDCAKQMQVLLAQVQNSEQLLRTLQGTVSQAQERVQLQ
+MAELANSHKCLSHEVKRLTEENQGLRAEQPSSSVPRVLEQDEGWEESLPSSLPELQQLVR
+RTQQEARARQQAQEHEAERLRIEIVTLREALDEETAARASLEGQLRVQREETEVLEASLC
+SLRMEMERVQEEQSKAKRQEVLRPSQGTGRTEEAQLTDLLSEQRAKMLRLQAELETSEQV
+QRDFVRLSQALQVRLERIRQAGSLEQVRGIIDEAPLRDVRDIKDT
+>sp|O46469|RGS9_BOVIN Regulator of G-protein signaling 9 OS=Bos taurus OX=9913 GN=RGS9 PE=1 SV=1
+MTIRHQGQQYRPRMAFLRKIEALVKDMQDPDTGVRVQNQKVKVVSIPHAMTGSDVLQWIS
+QRLWISGLEAQNLGNFIVKYGYIYPLQDPRNLTLKPDSSLYRFQTPYFWPTQQWPAEDVD
+YAIYLAKRNIKKKGILEEYEKENYNFLNKKINYKWDFVIMQAREQYRAGKERNKVDRCAL
+DCQEKAYWLVHRCPPGANNVLDYGLDRVTNPNEDQKQTVVSVRKEIMYYRQALMRSTVKS
+SVSLGGIVKYSEQFSSNDAIMSGCLPSNPWITDDTQFWDLNAKLVDIPTKMRVERWAFNF
+SELIRDPKGRQSFQHFLRKEFSGENLGFWEACEDLKYGDQSKVKEKAEEIYKLFLAPGAR
+RWINIDGKTMDITVKGLKHPHRYVLDAAQTHIYMLMKKDSYARYLKSPIYKEMLAKAIEP
+QGTTRKSSSLPFMRRHLRSSPSPVILRQLEEEAKAREAATTVDITQVMSKLDRRSQLRKE
+PPPK
+>sp|Q9GLM3|RPGR1_BOVIN X-linked retinitis pigmentosa GTPase regulator-interacting protein 1 OS=Bos taurus OX=9913 GN=RPGRIP1 PE=1 SV=1
+MIPTSKGKNTKTQPPLSRMTRDELEDSLFRLREEHMLVKELFWKQQDEIKRMRTALLRLT
+ASGRGLRAEAAADESSGSPLNGGGTESGGTAPSSTSVPRCPGSSCSSSAWAPLLPAAPSL
+ASTRDTDSSTPPGHRAEKPKRESRDRLSYTAPPTFKEHVTNEKARGEVASEPSELGHLMT
+SDTMQVEEPPKSPEKMWSKDENFAQRSSLESTQKATELRASIKENIQLIRLKKLLHERNT
+SLAVTKAQLTEVQAAYETLLHKNQGILGAAHNALLSQVNELRAELKEESKKAVSLKSQME
+DVSILQITLKEFQERVEDLEKERKLLNDNYDKLLESMLDSSNQPQWSHELGEQLQQKVSQ
+LQDQLDVEMKEKREILLQLSQEKAQNKDLELEVTSLLQKHKQEVEDLQNISTFSQSPDRQ
+SAPATHPALFQETIQIQPCEPKNQEEKKLSQMLSELQVSHAETTLELEKTRDMLILQRKI
+NVCYQEELEAMMTKADNENKDHEAKLERLNQLLDLKNKRINQLEEQLKDVAYGTRQLPLC
+LKPLPAHENEDKVDISPWHQSENLFELHIHQAFLTSAALAQAGDTQPTTFCTYSFYDFET
+HCTPLVVGPQPLYDFTSQYVVEIDSLFLHYLQGASAQLDLHQAIASEHHTLAAGWICFDR
+VLETVERVHGSATLTGTGGEVFGVLEYWMRLRFPIRSSLQAYNKRKKAQAYLAANVLGAW
+EAQKDEPRSGTWKNQNELRVEIIRCCGLRSRSLGAQPSPYVMYRFFTFSDHDTTIIPASS
+NPYFRDLARFPVLVTSDLDQYLRREALSVYVFDDEDSEPGSYLGRVQVPLLPLAQNKSIQ
+GDFNLTDPVGEPNGSVQVHLDWGSCYLPPENFPKPEAQSEEDTRDGLETSIEEEEASFPP
+QDQMVSIDTPTEAGQYQAKRKPPQVGERKEREHQVAGYSRRKHGRKTGLQGKNRMEYLSH
+NLLNGNTLQQVKYIEWKFSGLKISADHVLKNQQKEEEMTSSYSAQILKETPHPVNDKEFC
+EQASEGSEAQTTDSDEIVTPVSQKCPKADSEKMCIEIVSLAFYPEAEVMCDENVEQVYVE
+YRFYDLPLSETETPVSLRKPRAGEEIYFHFSKVIDLDPVEQKERRQFLFTMLIGEDPEQG
+HLKFTVVSDPIEEEKKECQEVGYAYLELWPMLVSGRDILEQDLDIVGPEDQATPIGKLKV
+SLQAAAALQAIYKEMTEDLCS
+>sp|Q5E9K8|RETR1_BOVIN Reticulophagy regulator 1 OS=Bos taurus OX=9913 GN=RETREG1 PE=2 SV=2
+MASPAPPEPAEQGSPALAAAPQAPPPPTRAPPEEPEGAAPPEEGAAAGAGRQVEEAAGGV
+AAVVTWLLGEPALWLGGRADELLSWKRPLHSLLAFVGANLVFWFLALTPWRVYHLISVMI
+LTRVIMQIIKDMILSRTRGAQLWRSLSESWEVINSKPDERPGFSHCLAESWMNFSIFLQE
+MSVFKQQSPGKFCLLVCSVCTFFTILGSYIPGVILSYLLLLCAFLCPLFKCNDIGQKIYS
+KIKSCLLKLDFGIREYINQKKRERSEADKEKSHKDDSELDFSALCPKISLTTAAKELSVS
+DTDVSEVSWTDNGTFNLSEGYTPQTDTSDDLDRPSEEVFSRDLSDFPSLENGTGTNDEDE
+LSLGLPTELKRKKEQLDGGPRRSTEKKSAAGLSLPLSSDQTLHLMSDLAGDVITAAVTAA
+VKDQLAGVRQALSQAAPSLGEDTDTEEGDDFELLDQSELDQIESELGLSQDQEAEAQQNK
+KSSGFLSNLLGGH
+>sp|Q32LP2|RADI_BOVIN Radixin OS=Bos taurus OX=9913 GN=RDX PE=2 SV=1
+MPKPINVRVTTMDAELEFAIQPNTTGKQLFDQVVKTVGLREVWFFGLQYVDSKGYSTWLK
+LNKKVTQQDVKKENPLQFKFRAKFFPEDVSEELIQEITQRLFFLQVKEAILNDEIYCPPE
+TAVLLASYAVQAKYGDYNKEIHKPGYLANDRLLPQRVLEQHKLTKEQWEERIQNWHEEHR
+GMLREDSMMEYLKIAQDLEMYGVNYFEIKNKKGTELWLGVDALGLNIYEHDDKLTPKIGF
+PWSEIRNISFNDKKFVIKPIDKKAPDFVFYAPRLRINKRILALCMGNHELYMRRRKPDTI
+EVQQMKAQAREEKHQKQLERAQLENEKKKREIAEKEKERIEREKEELMERLRQIEEQTMK
+AQKELEEQTRKALELDQERKRAKEEAERLEKERQAAEEAKSALAKQAADQMKNQEQLAAE
+LAEFTAKIALLEEAKKKKEEEATEWQHKAFAAQEDLEKTKEELKTVMSAPPPPPPPPVIP
+PTENEHDEHDENNAEASAELSNDGVMNHRSEEERVTETQKNERVKKQLQALSSELAQARD
+ETKKTQNDVLHAENVKAGRDKYKTLRQIRQGNTKQRIDEFEAM
+>sp|P10123|RLBP1_BOVIN Retinaldehyde-binding protein 1 OS=Bos taurus OX=9913 GN=RLBP1 PE=1 SV=4
+MSEGAGTFRMVPEEEQELRAQLERLTTKDHGPVFGPCSQLPRHTLQKAKDELNEKEETRE
+EAVRELQELVQAEAASGQELAVAVAERVQGKDSAFFLRFIRARKFHVGRAYELLRGYVNF
+RLQYPELFDSLSPEAVRCTVEAGYPGVLSTRDKYGRVVMLFNIENWDSEEITFDEILQAY
+CVILEKLLENEETQINGFCIIENFKGFTMQQAAGLRPSDLRKMVDMLQDSFPARFKAIHF
+IYQPWYFTTTYNVVKPFLKSKLLQRVFVHGEDLSSFYQEFDEDILPSDFGGTLPKYDGKA
+VAEQLFGPRDQTENTAF
+>sp|Q2KI80|RELL2_BOVIN RELT-like protein 2 OS=Bos taurus OX=9913 GN=RELL2 PE=2 SV=1
+MSEPQPDLEPPQHGLYMLFLLVLVFFLMGLVGFMICHVLKKKGYRCRTSRGSEPDDAQLQ
+PPEDDDMNEDTVERIVRCIIQNEANAEALKEMLGDSEGEGTVQLSSVDATSSLQDGAPSH
+HHTVHLGSSAPCIHCSRNKRPPLVRQGRSKEGKSRPRPGETTVFSVGRFRVTHIEKRYGL
+HEHRDGSPTDRSWGSGGGQDPGGGQGPGGGQPRTGMPAIESLPPERPQPPALASTPMQNG
+GLRDSSRVPRALEGNPGASAEPMLGAGGRGPSPGPARKEANGQPSKQDTSDHQVSPPRGA
+GGV
+>sp|Q3SZY3|PTTG1_BOVIN Securin OS=Bos taurus OX=9913 GN=PTTG1 PE=2 SV=1
+MSTLIYVDKENGEPGIHVAPKDGLKLGSVPSVKALDGRSQVSTPHVGKMFDAPPALPKTA
+RKALGTVNRATEKSVKTNGPLKQKQTTFSTKKITEKTVKAKSSVPASDDTYPEIEKFFPF
+NPLDFENFDLPEEHQIARLPLSGVPLMILDEERELEQLLHVGPPSPLKMPPLLWESNLLQ
+SPSSILSTLDVELPPVCCDLDI
+>sp|Q3T0X6|RS16_BOVIN 40S ribosomal protein S16 OS=Bos taurus OX=9913 GN=RPS16 PE=2 SV=3
+MPSKGPLQSVQVFGRKKTATAVAHCKRGNGLIKVNGRPLEMIEPRTLQYKLLEPVLLLGK
+ERFAGVDIRVRVKGGGHVAQIYAIRQSISKALVAYYQKYVDEASKKEIKDILIQYDRTLL
+VADPRRCESKKFGGPGARARYQKSYR
+>sp|Q2M2U3|LTOR4_BOVIN Ragulator complex protein LAMTOR4 OS=Bos taurus OX=9913 GN=LAMTOR4 PE=3 SV=2
+MTSALTQGLERIPDQLGYLVLSEGAVLASSGDLENDEQAASAISELVSTACGFRLHQGMS
+VPFKRLSVVFGEHTLLVTVSGQRVFVVKRQNRGREPIDV
+>sp|A4FV14|MB211_BOVIN Putative nucleotidyltransferase MAB21L1 OS=Bos taurus OX=9913 GN=MAB21L1 PE=2 SV=1
+MIAAQAKLVYHLNKYYNEKCQARKAAIAKTIREVCKVVSDVLKEVEVQEPRFISSLNEMD
+NRYEGLEVISPTEFEVVLYLNQMGVFNFVDDGSLPGCAVLKLSDGRKRSMSLWVEFITAS
+GYLSARKIRSRFQTLVAQAVDKCSYRDVVKMVADTSEVKLRIRDRYVVQITPAFKCTGIW
+PRSAAHWPLPHIPWPGPNRVAEVKAEGFNLLSKECHSLAGKQSSAESDAWVLQFAEAENR
+LQMGGCRKKCLSILKTLRDRHLELPGQPLNNYHMKTLVSYECEKHPRESDWDESCLGDRL
+NGILLQLISCLQCRRCPHYFLPNLDLFQGKPHSALENAAKQTWRLAREILTNPKSLEKL
+>sp|Q2HJH7|MEMO1_BOVIN Protein MEMO1 OS=Bos taurus OX=9913 GN=MEMO1 PE=2 SV=1
+MSNRVVCREASHAGSWYTASGPQLNAQLEGWLSQVQSTKRPARAIIAPHAGYTYCGSCAA
+HAYKQVDPSITRRIFILGPSHHVPLSRCALSSVDIYRTPLYDLRIDQKIYGELWKTGMFE
+RMSLQTDEDEHSIEMHLPYTAKAMESHKDEFTIIPVLVGALSESKEQEFGKLFSKYLAEP
+SNLFVVSSDFCHWGQRFRYSYYDESQGEIYRSIEHLDKMGMSIIEQLDPVSFSNYLKKYH
+NTICGRHPIGVLLNAITELQKNGMNMSFSFFQQASWRRRMGAAAV
+>sp|P0C0T1|ITPK1_BOVIN Inositol-tetrakisphosphate 1-kinase OS=Bos taurus OX=9913 GN=ITPK1 PE=1 SV=1
+MQTFLKGKRVGYWLSEKKIKKLNFQAFAELCRKRGIEVVQLNLSRPIEEQGPLDVIIHKL
+TDVILEADQNDSQALELVHRFQEYIDAHPETIVLDPLPAIRTLLDRSKSYELIRKIEAYM
+KDDRICSPPFMELTSLCGDDTMRLLEENGLAFPFICKTRVAHGTNSHEMAIVFNQEGLSA
+IQPPCVVQNFINHNAVLYKVFVVGESYTVVQRPSLKNFSAGTSDRESIFFNSHNVSKPES
+SSVLTALDKIEGVFERPSDEVIRELSRALRQALGVSLFGIDIIINNQTGQHAVIDINAFP
+GYEGVSEFFTDLLNHIASVLQGQSSGVAGAGDVAPLKHSRLLAEQAGGLAAERTCSASPG
+CCSSMMGQEPPWTPEADMGGVGAGSTAKLPHQRLGCTAGVSPSFQQHCVASLATKASSQ
+>sp|Q17QF6|LDOC1_BOVIN Protein LDOC1 OS=Bos taurus OX=9913 GN=LDOC1 PE=2 SV=2
+MVDELVLLLHALLMRHRALSIENSQLMEQLRLLVCERATLLRQVRPPSCPVPFPETFDGE
+SSRLPEFIVQTASYMLVNENRFCNDAMKVAFLISLLTGEAEEWVVPYIEMDSPILGDYRA
+FLDKMKQCFGWDDDEEDEDEYEEDDY
+>sp|Q2KI06|PHOP2_BOVIN Pyridoxal phosphate phosphatase PHOSPHO2 OS=Bos taurus OX=9913 GN=PHOSPHO2 PE=2 SV=1
+MKILLVFDFDNTIIDDNSDTWIVQCAPEKKLPLELKDSYKKGFWTEFMGRVFKYLGDEGV
+REDEMKRAMISMPFTPGMVELLNFIRKNKNKFDCIIISDSNSVFIDWVLEATNFHDVFDK
+VFTNPAAFDSNGHLTVEKHHTHSCTRCPQNLCKNVVLVEFVGEQLQQGVNYTRIVYIGDG
+GNDVCPVTFLKKNDIAMPRKGYALQKTLYRMCQNLEPMESSVVSWSSGVEIISYLQFLIK
+E
+>sp|Q8MJV3|NPBW1_BOVIN Neuropeptides B/W receptor type 1 OS=Bos taurus OX=9913 GN=NPBWR1 PE=2 SV=1
+MHNASYWGPERANTSCPAPAPTLGCPNASGPAPPLPPPLAVAVPVVYAVICAVGLAGNSA
+VLFVLLRAPRRKTVTNLFILNLAVADELFTLVPPVNIADFLLRRWPFGELLCKLVVAVDQ
+YNTFSSLYFLTVMSADRYLVVLATAESRRVAGRTYGAARAVSLAVWGVATLVVLPFAVFA
+RLDEEQGRRQCVLVFPQPEALWWRASRLYTLVLGFAIPVSTICVLYTSLLCRLRAIRLDS
+HAKALDRAKKRVTVLVVAILAVCLLVWTPYHLSTVVALTTDLPQTPLVIAVSYFITSLSY
+ANSCLNPFLYAFLDDSFRRSLRQLLACRTTS
+>sp|Q8HXQ5|MRP1_BOVIN Multidrug resistance-associated protein 1 OS=Bos taurus OX=9913 GN=ABCC1 PE=1 SV=1
+MALRDFCSVDGSDLFWEWNVTWNTSNPDFTKCFQNTVLVWVPCSYLWVCFPFYFLYLSHH
+DRGYIQMTHLNKAKTALGFLLWIVCWADLFYSFWERSMGKLLAPVFLVSPTLLGITMLLA
+TFLIQIERRRGVQSSGIMLTFWLIALLCALAILRSKIMTALKEDARVDVFRDVTFYIYFS
+LVLIQLVLSCFSDRSPLFSETINDPNPCPESSASFLSRITFWWITGMMVQGYRQPLESTD
+LWSLNKEDTSEQVVPVLVKNWKKECAKSRKQPVKIVYSSKDPAKPKGSSKVDVNEEAEAL
+IVKCPQKERDPSLFKVLYKTFGPYFLMSFLFKAVHDLMMFAGPEILKLLINFVNDKKAPE
+WQGYFYTALLFISACLQTLVLHQYFHICFVSGMRIKTAVIGAVYRKALVITNAARKSSTV
+GEIVNLMSVDAQRFMDLATYINMIWSAPLQVILALYLLWLNLGPSVLAGVAVMVLMVPLN
+AVMAMKTKTYQVAHMKSKDNRIKLMNEILNGIKVLKLYAWELAFKDKVLAIRQEELKVLK
+KSAYLAAVGTFTWVCTPFLVALSTFAVYVTVDENNILDAQKAFVSLALFNILRFPLNILP
+MVISSIVQASVSLKRLRVFLSHEDLDPDSIQRRPIKDAGATNSITVKNATFTWARNDPPT
+LHGITFSVPEGSLVAVVGQVGCGKSSLLSALLAEMDKVEGHVTVKGSVAYVPQQAWIQNI
+SLRENILFGRQLQERYYKAVVEACALLPDLEILPSGDRTEIGEKGVNLSGGQKQRVSLAR
+AVYCDSDVYLLDDPLSAVDAHVGKHIFENVIGPKGLLKNKTRLLVTHAISYLPQMDVIIV
+MSGGKISEMGSYQELLARDGAFAEFLRTYASAEQEQGQPEDGLAGVGGPGKEVKQMENGM
+LVTDTAGKQMQRQLSSSSSYSRDVSQHHTSTAELRKPGPTEETWKLVEADKAQTGQVKLS
+VYWDYMKAIGLFISFLSIFLFLCNHVASLVSNYWLSLWTDDPIVNGTQEHTQVRLSVYGA
+LGISQGITVFGYSMAVSIGGIFASRRLHLDLLHNVLRSPISFFERTPSGNLVNRFSKELD
+TVDSMIPQVIKMFMGSLFNVIGACIIILLATPMAAVIIPPLGLIYFFVQRFYVASSRQLK
+RLESVSRSPVYSHFNETLLGVSVIRAFEEQERFIRQSDLKVDENQKAYYPSIVANRWLAV
+RLECVGNCIVLFASLFAVISRHSLSAGLVGLSVSYSLQVTTYLNWLVRMSSEMETNIVAV
+ERLKEYSETEKEAPWQIQDMAPPKDWPQVGRVEFRDYGLRYREDLDLVLKHINVTIDGGE
+KVGIVGRTGAGKSSLTLGLFRIKESAEGEIIIDDINIAKIGLHDLRFKITIIPQDPVLFS
+GSLRMNLDPFSQYSDEEVWTSLELAHLKGFVSALPDKLNHECAEGGENLSVGQRQLVCLA
+RALLRKTKILVLDEATAAVDLETDDLIQSTIRTQFDDCTVLTIAHRLNTIMDYTRVIVLD
+KGEIQEWGSPSDLLQQRGLFYSMAKDSGLV
+>sp|Q863H5|PROK2_BOVIN Prokineticin-2 OS=Bos taurus OX=9913 GN=PROK2 PE=2 SV=1
+MRSSRCARLLLLLLLPPLLLTPPAGDAAVITGACDRDPQCGGGMCCAVSLWVKSIRICTP
+MGKVGDSCHPMTRKNHFGNGRQERRKRKRRRKKKVPFLGRRMHHTCPCLPGLACSRTSFN
+RYTCLAQK
+>sp|A6QLA0|NFX1_BOVIN Transcriptional repressor NF-X1 OS=Bos taurus OX=9913 GN=NFX1 PE=2 SV=1
+MAEAPPVSGAFKFNTDAAEFIPQERKNSGLYCGSQRRLDSNRIGRRNYSSPPPCHLSRQI
+SYDDISAVHQHSFYPSGSKPKSQQTSFQSSVTNKSLKNHGLQNQPWQKLRSEKQHIRVKR
+AQGLIDQTSDAPGLENVAKSESGTNLREHSPSESEKEIVGADPRGAKPKKATQFIYSYGR
+GPKVKGKLKSEWGHRMTPKPEEAGPENTKPAGVIHPDSSDASSRKVVADGARRGEQRRHP
+QKRSPWEVEGARPRPGRNPPKQEGQRHTNAGSRDNMASIPKDDLNERPAKSTCDSGNLAV
+VSRSSRRADPEKCAVRRQDPQVASFPRGKQNHMLKNVETHTGSLIEQLTTEKYECMVCCE
+LVRVTAPVWSCQSCYHVFHLNCIKKWARSPASQADGQSGWRCPACQNVSAHVPNTYTCFC
+GKVKNPEWSRNEIPHSCGEVCRRKQPGQDCPHSCNLLCHPGPCPPCPAFMTKTCECGRTR
+HTVRCGQAVSVHCSNPCDNILNCGQHHCAELCHGGPCQPCRVILNQVCYCGSTSRDVLCG
+TDIGKSDGFGDFGCLKICGKDLKCGNHTCSQVCHPQPCQPCPRLPQLVRYCPCGQTPLSQ
+LLELGSSGRKTCMDPVPSCGKVCGKPLPCGSLDFIHTCEKLCHEGDCGPCSRTSVISCRC
+SFRTKELPCTSLKSEDATFMCDKRCNKKRLCGRHKCNEICCVDKEHKCPLICGRKLRCGL
+HRCEEPCHRGNCQTCWQASFDELTCHCGASVIYPPVPCGTRPPECTQTCARVHECDHPVY
+HSCHSEDKCPPCTFLTQKWCMGKHELRSNIPCHLVDISCGLPCGATLPCGMHKCQRLCHK
+GECLGDEICKQPCTTSRANCGHPCMAPCHLSLPCPVTTCKAKIELQCECGRRKEIMVCSE
+ASSTYQRIAAISMASKITDMQLGDSVEISKLITKKEIHQARLECDEECSALERKKRLAEA
+FHISDDSDPFNVRSSGSKFSDSLKEDARKDLRFVSDIEKEMEALVEAVNKGKSSKKSHCF
+PPMNRDHRRIIHDLAQVYGLESVSYDSEPKRNVVVTAVRGKSICPSTTLTGVLEKENQSR
+PPPPIAHHRQTDKNPGSSNLQKIAKEPVIDYFDVQD
+>sp|Q0VBZ8|PRR14_BOVIN Proline-rich protein 14 OS=Bos taurus OX=9913 GN=PRR14 PE=2 SV=1
+MDLPGDSSTPGRQRLCRQPHAGALWGAKSPKRPKLQPLGAPSPLEKASRRVLAVVLEDVM
+AARMVPLEPQEESSTPRHHSNHRDSVRSQPPASPPRQAMWSPQARPPDPLHLCREPLSRI
+RRPPSTPRRQSRTTPGPDEGPSQKVDQVHQPTLVVMLQDIASSRPRAEGFADEAPNFIIP
+ARRAEPKVMVHQPKPPSRDLPAPSRPSALSANPLASPPPAPDPVLEPPSTPPPSSLLRPR
+LSPWGLAPLFHSVRSKLESFADIFLTPNKAPRPPPPSPPMKLELKIAISEAGQPGASEGT
+VTVSPRPPIRQWRAQDQNPSATLTKPSLGRSHSCPDLGPPGPDPCSWPPVPAPSSRPRPR
+RHTVGGGEMAKAPPPPRPCLRKEVFPLGGVGASPPLVTSCSSTASTSSFSEPAEPRLSST
+KRKEPRAPEDQVLPDSETKTIGKVSRFRIRRTPARSQINLTPMGLPRPVRLNKKEFSLEE
+IYTNKNYQSPTTRRTFETIFEEPRERNGTLIFTSSRKLRRTVEFRDSSLPRSRRPSRGAR
+ATAGRTLPPSLAPSPDVEPLLQQRLQELDASLLEEEEEGDQDQPHRT
+>sp|P10279|PRIO_BOVIN Major prion protein OS=Bos taurus OX=9913 GN=PRNP PE=1 SV=2
+MVKSHIGSWILVLFVAMWSDVGLCKKRPKPGGGWNTGGSRYPGQGSPGGNRYPPQGGGGW
+GQPHGGGWGQPHGGGWGQPHGGGWGQPHGGGWGQPHGGGGWGQGGTHGQWNKPSKPKTNM
+KHVAGAAAAGAVVGGLGGYMLGSAMSRPLIHFGSDYEDRYYRENMHRYPNQVYYRPVDQY
+SNQNNFVHDCVNITVKEHTVTTTTKGENFTETDIKMMERVVEQMCITQYQRESQAYYQRG
+ASVILFSSPPVILLISFLIFLIVG
+>sp|P12234|MPCP_BOVIN Phosphate carrier protein, mitochondrial OS=Bos taurus OX=9913 GN=SLC25A3 PE=1 SV=1
+MYSSVVHLARANPFNAPHLQLVHDGLAGPRSDPAGPPGPPRRSRNLAAAAVEEQYSCDYG
+SGRFFILCGLGGIISCGTTHTALVPLDLVKCRMQVDPQKYKSIFNGFSVTLKEDGFRGLA
+KGWAPTFIGYSLQGLCKFGFYEVFKVLYSNMLGEENAYLWRTSLYLAASASAEFFADIAL
+APMEAAKVRIQTQPGYANTLRDAAPKMYKEEGLKAFYKGVAPLWMRQIPYTMMKFACFER
+TVEALYKFVVPKPRSECSKPEQLVVTFVAGYIAGVFCAIVSHPADSVVSVLNKEKGSSAS
+EVLKRLGFRGVWKGLFARIIMIGTLTALQWFIYDSVKVYFRLPRPPPPEMPESLKKKLGY
+TQ
+>sp|Q2KIN6|MPV17_BOVIN Protein Mpv17 OS=Bos taurus OX=9913 GN=MPV17 PE=2 SV=1
+MALWRAYQRALTAHPWKVQVLTAGSLMGLGDVISQQLVERRGLQAHQAGRTLTMASLGCG
+FVGPVVGGWYRVLDRLIPGTTKVDALKKMLLDQGGFAPCFLGCFLPLVGTLNGLSAQDNW
+AKLQRDFPDALITNYYLWPAVQLANFYLVPLHYRLAVVQCVAVIWNSYLSWKAHRL
+>sp|P10036|PIT1_BOVIN Pituitary-specific positive transcription factor 1 OS=Bos taurus OX=9913 GN=POU1F1 PE=2 SV=1
+MSCQPFTSTDTFIPLNSESSATLPLIMHPSAAECLPVSNHATNVMSTATGLHYSVPFCHY
+GNQSSTYGVMAGSLTPCLYKFPDHTLSHGFPPMHQPLLSEDPTAADFKQELRRKSKLVEE
+PIDMDSPEIRELEKFANEFKVRRIKLGYTQTNVGEALAAVHGSEFSQTTICRFENLQLSF
+KNACKLKAILSKWLEEAEQVGALYNEKVGANERKRKRRTTISIAAKDALERHFGEQNKPS
+SQEILRMAEELNLEKEVVRVWFCNRRQREKRVKTSLNQSLFTISKEHLECR
+>sp|Q08DZ2|PRP4B_BOVIN Serine/threonine-protein kinase PRP4 homolog OS=Bos taurus OX=9913 GN=PRPF4B PE=2 SV=1
+MAAAEAPSLREQPEMEDANSEKSVNEENGEVSEDQSQNKHSRHKKKKHKHRSKHKKHKHS
+SEEDKDRKHKHKHKHKKHKRKEVADASDKEGMSPAKRTKLDDLALLEDLEKQRALIKAEL
+DNELMEGKVQSGMGLILQGYESGSEEEGEIHEKARNGNRSSTRSSSTKGKLELVDNKNST
+KKRSKSRSKERTRHRSDKKKSKGGVEIVKEKATRSKSKERKKSKSPSKRSKSQDEARKSK
+SPTLRRRSQEKVGKARSPVDDKAKVEDKSKAKDRKKSPVINESRSRDRGKKSRSPVDLRG
+KSKDRRSRSKERKSKRPEADKEKKPVKSPSKDASSGKENRSPSRRPGRSPKRRSLSPKQR
+DKSRRSRSPLVNDRRSKQSKSPSRTLSPGRRAKSRSLERKRREPERRRLSSPRTRPRDDI
+LSRRERSKDASPISRWSPARRRASRSPVRRRSRSPLRRSRSPRRRSRSPRRRDRGRRSRS
+RLRRRSRSRGGRRRRSRSKVKEDKFKGSLSEGMKVEQESSSDDNLEDFDVEEEDEEALIE
+QRRIQRQAIVQKYKYLADDSNLSVPSEPSSPQSSTRSRSPSPDDILERVAADVKEYEREN
+VDTFEASVKAKHNLMAVEQNNGSSQKKLLAPDMFTESDDMFAAYFDSARLRAAGIGKDFK
+ENPNLRDNWTDAEGYYRVNIGEVLDKRYNVYGYTGQGVFSNVVRARDNARANQEVAVKII
+RNNELMQKTGLKELEFLKKLNDADPDDKFHCLRLFRHFYHKQHLCLVFEPLSMNLREVLK
+KYGKDVGLHIKAVRSYSQQLFLALKLLKRCNILHADIKPDNILVNESKTILKLCDFGSAS
+HVADNDITPYLVSRFYRAPEIIIGKSYDYGIDMWSVGCTLYELYTGKILFPGKTNNHMLK
+LAMDLKGKMPNKMIRKGVFKDQHFDQNLNFMYIEVDKVTEREKVTVMSTINPTKDLLADL
+IGCQRLPEDQRKKVHQLKDLLDQILMLDPAKRISINQALQHAFIQEKI
+>sp|Q2HJ33|OLA1_BOVIN Obg-like ATPase 1 OS=Bos taurus OX=9913 GN=OLA1 PE=2 SV=1
+MPPKKGGDGIKPPPIIGRFGTSLKIGIVGLPNVGKSTFFNVLTNSQASAENFPFCTIDPN
+ESRVPVPDERFDFLCQYHKPASKIPAFLNVVDIAGLVKGAHNGQGLGNAFLSHISACDGI
+FHLTRAFEDDDITHVEGSVDPVRDIEIIHEELQLKDEEMVGPIIDKLEKVAVRGGDKKLK
+PEYDIMCKVKSWVIDQKKPVRFYHDWNDKEIEVLNKHLFLTSKPMVYLVNLSEKDYIRKK
+NKWLIKIKEWVDKYDPGALVIPFSGALELRLQELSAEERQKYLEANMTQSALPKIIKAGF
+AALQLEYFFTAGPDEVRAWTIRKGTKAPQAAGKIHTDFEKGFIMAEVMKYEDFKEEGSEN
+AVKAAGKYRQQGRNYIVEDGDIIFFKFNTPQQPKKK
+>sp|Q59A28|QORL1_BOVIN Quinone oxidoreductase-like protein 1 OS=Bos taurus OX=9913 GN=CRYZL1 PE=2 SV=1
+MKGLYFQQSSTNEEITFVFQERENLPVTEDNYVKLQVKACALSQINTKLLAEMKMEKEFF
+PVGREVAGIVLDVGSKVSFFQPDDEVVGILPLDSEDPGLCEAVRVHEHYLVHKPEKVTWT
+EAAGTIRDGLRVYTALHYLSHLSPGKSVLIMDGASALGTIAIQLAHHRGAKVISTACSLE
+DKQFLERFRPPIARVIDVSNGKAHVAESCLEETGGLGVDIVLDAGVRLYSKDDEPAEKLQ
+LLPHKHDIITLLGVGGHWVTTEENLQLDPPDSHCLFLKGAAVAFLNDEVWNLSNVQQGKY
+LCILKDVMEKLSTGVFRPQLDEPIPLYEAKVTMEVVQKNQGRKKQVVQF
+>sp|Q3ZC15|RCAN2_BOVIN Calcipressin-2 OS=Bos taurus OX=9913 GN=RCAN2 PE=2 SV=1
+MPAPSMDCDVSTLVACVVDVEVFTNQEVKEKFEGLFRTYDDCVTFQLFKSFRRVRINFSN
+PKSAARARIELHETQFRGKKLKLYFAQVQTPETDGDKLHLAPPQPAKQFLISPPASPPVG
+WQPISDATPVLNYDLLYAVAKLGPGEKYELHAGTESTPSVVVHVCDSDIEEEEDPKTSPK
+PKIIQTRRPGPPPSVSN
+>sp|A6QP66|RERGL_BOVIN Ras-related and estrogen-regulated growth inhibitor-like protein OS=Bos taurus OX=9913 GN=RERGL PE=2 SV=1
+MNDVKLTVLGGEGTGKSALIVRFLTKRFIGEYASNFESIYNKHLCLEGKQLNLEIYDPCS
+QPQKAKFSLTSELHWADGFVIVYDISDRSSFAFAKALIYRIREPQTSHCKRPVESAVLLV
+GNKQDLCHVREVGWEEGHKLALDNRCQFCELSAAEQSLEVEMMFIRIIRDILTNFKLKEK
+RRYSGSKSMAKLINNVFGKRRKSV
+>sp|Q3T186|RPIA_BOVIN Ribose-5-phosphate isomerase (Fragment) OS=Bos taurus OX=9913 GN=RPIA PE=2 SV=2
+LPGRSQFGAGSTSTGVRETNIVCPGPSAMSKAEEAKKLAGRAAVENHVRNNQVLGIGSGS
+TIVHAVQRIAERVEQENLKLVCIPTSFQARQLILQYGLTLSDLDRHPEIDLAIDGADEVD
+ADLNLIKGGGGCLTQEKIVAGNASRFIVIADFRKDSKNLGDQWHKGIPIEVIPMAYVPVS
+RTVTQKFGGVIELRMAVNKAGPVVTDNGNFILDWKFDRVHKWSEVNIAIKMIPGVVDTGL
+FINMAERVYFGMQDGSVNMREKPF
+>sp|Q9GKN7|RHAG_BOVIN Ammonium transporter Rh type A OS=Bos taurus OX=9913 GN=RHAG PE=2 SV=1
+MRFKFPLMAIGLEVVMIVLFALFVQYETSVNTSRNPNETESAAMDVEKTMESYPFFQDVH
+IMVFAGFGFLMTFLWKYGFSGVGINLLIAALGLQWGTIIQGIFRSHGQKFLIEMKNMIHA
+DFSTVTVLISFGAVLGKTSPVQMLIMTILEITVYAANEYLVFKILWASDTGESMTIHAFG
+AYFGLAVAGILYRSGLKEKHSNEESVYHSDLFAMIGSLFLWIFWPSFNSATADEAKKQYR
+AIVNTYFSLAASVVTAYACSSLLESRGKLNMVHIQNATLAGGVAVGTCADMEIPPYYAMI
+IGSIAGAVSVFGFKFLTPLFTTKLRIHDTCGVHNLHGLPGVIGGLAGIITVALEESDSTK
+TVSQAAALGSSIATALVGGLITGAILKIPFWAQPPDEDCYDDSVYWEVPERKEYDNHFHE
+LLSTLH
+>sp|Q3SZ85|PTRD1_BOVIN Putative peptidyl-tRNA hydrolase PTRHD1 OS=Bos taurus OX=9913 GN=PTRHD1 PE=2 SV=2
+MHRGVGPAFQLSRKMAASGGEPQILVQYLVLRKDLTQPPFSWPAGAVVAQACHAATAALH
+LHRDHPHTAAYLRELERMRKVVLEAPDETTLKLLAETLQQKNIDHKLWMEQPENIPTCIA
+LRPYPKEEVSQYLKKFRLFK
+>sp|Q3ZBP3|RBMS1_BOVIN RNA-binding motif, single-stranded-interacting protein 1 OS=Bos taurus OX=9913 GN=RBMS1 PE=2 SV=1
+MGKVWKQQMYPQYATYYYPQYLQAKQSLVPAHPMAPPSPSTTSSNNNSSSSSNSGWDQLS
+KTNLYIRGLPPNTTDQDLVKLCQPYGKIVSTKAILDKTTNKCKGYGFVDFDSPAAAQKAV
+SALKASGVQAQMAKQQEQDPTNLYISNLPLSMDEQELENMLKPFGQVISTRILRDSSGTS
+RGVGFARMESTEKCEAVIGHFNGKFIKTPPGVSAPTEPLLCKFADGGQKKRQNPNKYIPN
+GRPWHREGEAGMTLTYDPTTAAIQNGFYPSPYSIATNRMITQTSITPYIASPVSAYQVQS
+PSWMQPQPYILQHPGAVLTPSMEHTMSLQPASMISPLAQQMSHLSLGSTGTYMPATSAMQ
+GAYLPQYTHVQTAAVPVEEASGQQQVTVETSNDHSPYTFQPNK
+>sp|Q1RMT2|RPRM_BOVIN Protein reprimo OS=Bos taurus OX=9913 GN=RPRM PE=3 SV=1
+MNPALGNQTDVAGLFLANSSEALERAMRCCTQASVVTDDGFAEGGPDERSLYIMRVVQIA
+VMCVLSLTVVFGIFFLGCNLLIKSEGMINFLVKDRRPSKEVEAVVVGPY
+>sp|Q3T0V4|RS11_BOVIN 40S ribosomal protein S11 OS=Bos taurus OX=9913 GN=RPS11 PE=2 SV=3
+MADIQTERAYQKQPTIFQNKKRVLLGETGKEKLPRYYKNIGLGFKTPKEAIEGTYIDKKC
+PFTGNVSIRGRILSGVVTKMKMQRTIVIRRDYLHYIRKYNRFEKRHKNMSVHLSPCFRDV
+QIGDIVTVGECRPLSKTVRFNVLKVTKAAGTKKQFQKF
+>sp|Q3T0U7|CIA2A_BOVIN Cytosolic iron-sulfur assembly component 2A OS=Bos taurus OX=9913 GN=CIAO2A PE=2 SV=1
+MERVSGLLSWTLSRFLWLSGLSEPGAARQPRIMEEKALEVYDLIRTIRDPEKPNTLEELE
+VVTESCVEVQEINEDDYLVIIRFTPTVPHCSLATLIGLCLRVKLQRCLPFKHKLEIYISE
+GTHSTEEDINKQINDKERVAAAMENPNLREIVEQCVLEPD
+>sp|Q1JPA0|AL3B1_BOVIN Aldehyde dehydrogenase family 3 member B1 OS=Bos taurus OX=9913 GN=ALDH3B1 PE=2 SV=1
+MDPFADTLQRLREAFVSGRTRPAEFRDAQLKGLSRFLRENKQLLQEALAQDLHKSAFEAE
+VSEISISQNEINLALRNLRTWMKDEKVSKNLATQLDSAFIRKEPFGLVLILSPWNYPLNL
+SLGPLVGALAAGNCVVLKPSEISKNTEKVLAEVLPRYLDQSCFAVVLGGPQETGRLLEHK
+FDYIFFTGNPQVGKIVMTAAAKHLTPVTLELGGKNPCYVDDNCDPQTVANRVAFFRCFNA
+GQTCVAPDYVLCSPEMQAQLVPALQSAITRFYGDDPQSSPNLGRIISQKHFQRLRGLLSC
+GRVVIGGQSDECDLYIAPTVLVDVQETDPVMQEEIFGPILPIVNVRSLGQAIDFINRREK
+PLALYAFSNSSQVVKRVLAQTSSGGFCGNDGFMHLTLASLPFGGVGSSGMGNYHGKFSFD
+TFSHHRACLLRRPGLEKIYAIRYPPHTPRNLRVLLMAMETRSCSCTLL
+>sp|Q3T0E3|COA3_BOVIN Cytochrome c oxidase assembly factor 3 homolog, mitochondrial OS=Bos taurus OX=9913 GN=COA3 PE=3 SV=1
+MATPGPGDPVDAKSGKAPLAQRIDPTREKLTPAQLQFMRQAQLAQWQKTLPQRRTRNIVT
+GLGIGALVLAIYGYTFYSVSQERFLDELEDEAKAARARALERASGH
+>sp|G3MYZ3|AFAM_BOVIN Afamin OS=Bos taurus OX=9913 GN=AFM PE=1 SV=1
+MKQLKLTGFVIFFFFLTESLTLPTQPQDVDDVRITQKFIDDNIGYITIIAFAQYIQEASF
+EEVEMLVKAMTEYRDKCLADRTLPECSKLANEVLLENICAMEGLPQKYNFSHCCHKVDFE
+RRLCFFHNKKADIGLLPPLPTLDPEEKCQTYKNNRESFLNNYVYEVSRRNPFVFAPTLLT
+VAARFEEMTKTCCEEQEKANCFQTKAEPFIYYLKALSSYQKNACRALMKFGRQILQSINI
+AILSQKFPKIGFKQLTSLLEDVSSKYDGCCEGDVVQCIRGRSKVMSHICSKQDSISSKIK
+DCCEKKIPERGECIIYSNKDDRPNDLSLREAKFIESDNVCEKRDADQANFMAEFLYEYSR
+RHPELSTPELLRIAKVYKDLLKECCNMENPPECYRHAENRFNETTEKSLKIVQRECEHFQ
+NLGKDDLKYHYLINLTKLAPQLSTEELTFLGKEMVMALTTCCTLSEEFACVDNLVDLVLG
+ELCGINENRNINPAVDHCCKTNFAFRRSCFESLEADKTYVPPSTSQGLFTFHADLCQAHN
+EELQRKKDRFLVNLVKLKPELAGEELWSLLADFTNVVEKCCKAQEPEACFKEESPKLAAK
+SQAA
+>sp|Q2KJ37|ERD22_BOVIN ER lumen protein-retaining receptor 2 OS=Bos taurus OX=9913 GN=KDELR2 PE=2 SV=1
+MNIFRLTGDLSHLAAIVILLLKIWKTRSCAGISGKSQLLFALVFTTRYLDLFTSFISLYN
+TSMKLIYIACSYATVYLIYMKFKATYDGNHDTFRVEFLVVPVGGLSFLVNHDFSPLEILW
+TFSIYLESVAILPQLFMISKTGEAETITTHYLFFLGLYRALYLVNWIWRFYFEGFFDLIA
+VVAGVVQTILYCDFFYLYITKVLKGKKLSLPA
+>sp|O18738|DAG1_BOVIN Dystroglycan OS=Bos taurus OX=9913 GN=DAG1 PE=1 SV=1
+MRMSVGSAVPLPLWGRTFLLLLSVAVTQSHWPSEPSEAVRDWENQLEASMHSALSDLHET
+VPTVVGIPDGTAVVGRSFRVTIPTDLIASNGEVIKVSAAGKEALPSWLHWDPQSHTLEGL
+PLDTDKGVHYISVSAARLGANGSHVPQTSSVFSIEVYPEDHSEPQSLRAASPDPGEVVSL
+VCAADEPVTVLTVILDADLTKMTPKQRIDLLRRMRGFSEVEPHNMKLVPVVNNRLFDMSA
+FMAGPGNAKKVVENGALLSWKLGCCLNQNSVPDIRGVEVPAREGAMSAQLGYPVVGWHIA
+NKKPSLPKRIRRQIHATPTPVTAIGPPTTAIQEPPSRIVPTPTSPAIAPPTETMAPPVRD
+PVPGKPTVTIRTRGAIIQTPTLGPIQPTRVSEAGTTVPSQIRPTMTIPGYMEPSTVTTPP
+TTTTKKPRVSTPRPATPSTDSSTTTTRRPTKKPRTSRPVPRVTTKAPITRLETASPATRM
+RTTTSGVPHGGEPNQRPELKNHIDRVDAWVGTYFEVKIPSDTFYDNEDTTTDKLKLTLKL
+REQQLVGEKSWVQFNSNSQLMYGLPDSSHVGKHEYFMHATDKGGLSAVDAFEIHVHRRPQ
+GDKAPARFKAKLTGDPAAVTNDIHKKIALVKKLAFAFGDRNCSTITLQNITRGSIVVEWT
+NNTLPLEPCPKEQITALSRRIAEDDGKPRGAFVNALEPDFQAMSITVTGSGSCRHLQFVP
+VAPPMRVPSEAPATEVPDRDPEKSSEDDVYLHTVIPAVVVAAILLIAGIIAMICYRKKRK
+GKLTLEDQATFIKKGVPIIFADELDDSKPPPSSSMPLILQEEKAPLPPPEYPNQSMPETT
+PLNQDTVGEYAPLRDEDPSAPPYQPPPPFTAPMEGKGSRPKNMTPYRSPPPYVPP
+>sp|P38408|GNA14_BOVIN Guanine nucleotide-binding protein subunit alpha-14 OS=Bos taurus OX=9913 GN=GNA14 PE=2 SV=1
+MAGCCCLSAEEKESQRISAEIERQLRRDKKDARRELKLLLLGTGESGKSTFIKQMRIIHG
+SGYSDEDRKGFTKLVYQNIFTAMQAMIRAMDTLKIQYVCEQNKENAQLIREVEVDKVSTL
+SRDQVEAIKQLWQDPGIQECYDRRREYQLSDSAKYYLTDIDRIAMPAFVPTQQDVLRVRV
+PTTGIIEYPFDLENIIFRMVDVGGQRSERRKWIHCFESVTSIIFLVALSEYDQVLAECDN
+ENRMEESKALFKTIITYPWFLNSSVILFLNKKDLLEEKIMYSHLISYFPEYTGPKQDVKA
+ARDFILKLYQDQNPDKEKVIYSHFTCATDTENIRFVFAAVKDTILQLNLREFNLV
+>sp|E1BKK0|E2F8_BOVIN Transcription factor E2F8 OS=Bos taurus OX=9913 GN=E2F8 PE=3 SV=2
+MENEKENLFFEPHKRGLMKTPLKESTAANIVLADIQPDFGPLTTPTKPKEISQGEPWTPT
+ANLKMLISAVSPEIRNRDQKRGLFDNRNGLSDVKDCLHEHFSGDEYEKSQPSRKEKSLGL
+LCHKFLARYPNYPNPAVNNDICLDEVAEELNVERRRIYDIVNVLESLHMVSRLAKNRYTW
+HGRHNLNQILETLKSVGEENKYAEQIMMIKKKEYEQEFEVSKSYNTEDPIIKSNTGQNGH
+PDMCCAERPGVELRAASVNSRKDKSLKVMSQKFVTLFLVSTPQIVSLEIAAKILTWEDHV
+EDLDRSKFKTKIRRLYDIANVLSSLDLIKKVHVTEERGRKPAFKWTGPEISPNPSGLSPV
+LPCAASDLEARQSSKENCAKNLFSTRGKPNFTRHPSLIKLVKSIESDRRKINSAPSSPIK
+THKAESTQNSVPFRSKMAQLAAICKMQLEEQSSEPRKNVTVQLAGSGHCKSVAPLDTPAN
+AEPEMMAPSLIQPLGVVPLLPSPLSPAVPVILPQTPSGTSYAIYLQPAQAQTITPPPGLS
+PTVCPTTSSNAMISEDSTDATGENADSDAPKSSVSTRPGSLLPGPERQGAKNREREPARE
+KGSKRASMLEDSGSKKKFKEDQKAPENVSTTLFPSGYLIPLTQCSTLGAESILSSNENSG
+TLSPNHSIYSSPIAGVIPVTSSELTAVNFPSFQVTPLKLMVSPTSMAAVPVGNSPALSSS
+HPLPIQNPSSAIVNFTLQHLGLISPGVQVSTSPGPGTIAVSPRIEAVSVTPENAGAEQGR
+ATKCDASILSQNQTNGQSFAGTGAQQPVPVTPKGSQPVAESFFRTPGGPTKPTGSPCTDF
+DGANYTSVGTLLVPQRKLEVSVEDVH
+>sp|Q5EA28|CXXC1_BOVIN CXXC-type zinc finger protein 1 OS=Bos taurus OX=9913 GN=CXXC1 PE=2 SV=1
+MEGDASDPEPPDAGEDSKSENGENAPIYCICRKPDINCFMIGCDNCNEWFHGDCIRITEK
+MAKAIREWYCRECREKDPKLEIRYRHKKSRERDSSERDGSEPRDEGGGRKRPAPDPDLQR
+RAGAGTGVGAMLARGSASPHKSSPQPLVATPSQHHQQQQQQQQIKRSARMCGECEACRRT
+EDCGHCDFCRDMKKFGGPNKIRQKCRLRQCQLRARESYKYFPSSLSPVTPSESLPRPRRP
+LPTQPQPQPSQKLGRLREDEGAVASAAVKEPPEATATPEPLSDEDLPLDSELYQDFCAGA
+FDDHSLPWMSDTEESQFLDPALRKRAVKVKHVKRREKKSEKKKDERYKRHRQKQKHKDKW
+KHPERADAKDPASLPQCLGPGCVRAAQPGSKYCSDDCGMKLAANRIYEILPQRIQQWQQS
+PCIAEEHGKKLLERIRREQQSARTRLQEMERRFHELEAIILRAKQQAVREDEESNEGDSD
+DTDLQIFCVSCGHPINPRVALRHMERCYAKYESQTSFGSMYPTRIEGATRLFCDVYNPQS
+KTYCKRLQVLCPEHSRDPKVPADEVCGCPLVRDVFELTGDFCRLPKRQCNRHYCWEKLRR
+AEVDLERVRVWYKLDELFEQERNVRTAMTNRAGLLALMLHQTIQHDPLTTDLRSNAER
+>sp|Q9GLE5|MMP2_BOVIN 72 kDa type IV collagenase OS=Bos taurus OX=9913 GN=MMP2 PE=2 SV=1
+MTEARVSRGALAALLRALCALGCLLGRAAAAPSPIIKFPGDVAPKTDKELAVQYLNTFYG
+CPKESCNLFVLKDTLKKMQKFFGLPQTGELDQSTIETMRKPRCGNPDVANYNFFPRKPKW
+DKNQITYRIIGYTPDLDPQTVDDAFARAFQVWSDVTPLRFSRIHDGEADIMINFGRWEHG
+DGYPFDGKDGLLAHAFAPGPGVGGDSHFDDDELRTLGEGQVVRVKYGNADGEYCKFPFRF
+NGKEYTSCTDTGRSDGFLWCSTTYNFDKDGKYGFCPHEALFTMGGNADGQPCKFPFRFQG
+TSYDSCTTEGRTDGYRWCGTTEDYDRDKEYGFCPETAMSTVGGNSEGAPCVLPFTFLGNK
+HESCTSAGRSDGKLWCATTSNYDDDRKWGFCPDQGYSLFLVAAHEFGHAMGLEHSQDPGA
+LMAPIYTYTKNFRLSHDDIQGIQELYGASPDIDTGTGPTPTLGPVTPELCKQDIVFDGIS
+QIRGEIFFFKDRFIWRTVTPRDKPTGPLLVATFWPELPEKIDAVYEDPQEEKAVFFAGNE
+YWVYSASTLERGYPKPLTSLGLPPGVQKVDAAFNWSKNKKTYIFAGDKFWRYNEVKKKMD
+PGFPKLIADAWNAIPDNLDAVVDLQGGGHSYFFKGAYYLKLENQSLKSVKFGSIKSDWLG
+C
+>sp|Q5I3B1|IOD3_BOVIN Thyroxine 5-deiodinase OS=Bos taurus OX=9913 GN=DIO3 PE=2 SV=3
+MSRQAAPRWVVGEGRGTLGGAATMLRSLLLHSLRLCSQTASCLVLFPRFLGTAFMLWLLD
+FLCIRKHLLGRRRRGQPEIEVELNSDGEEVPPDDPPVCVSDDNRLCTLASLRAVWHGQKL
+DFFKQAHEGGPAPNSEVVLPDGFQNQHILDYARGNRPLVLNFGSCTUPPFMARMSAFQRL
+VTKYQRDVDFLIIYIEEAHPSDGWVTTDSPYSIPQHRSLEDRVSAARVLQQGAPECALVL
+DTMTNSSSSAYGAYFERLYIIQSGTIMYQGGRGPDGYQVSEVRTWLERYDEQLHGPQPRR
+V
+>sp|Q5E9D0|IF2B_BOVIN Eukaryotic translation initiation factor 2 subunit 2 OS=Bos taurus OX=9913 GN=EIF2S2 PE=2 SV=1
+MSGDEMIFDPTMSKKKKKKKKPFMLDEEGDAQTEETQPSETKEVEPEPTEDKDVEADEED
+SRKKDASDDLDDLNFFNQKKKKKKSKKIFDIDEAEEGIKDLKIESDVQEPAEPEEDLDIM
+LGNKKKKKKVVKFPDEDEVLEKDEALEDEDSKKDDGISFSNQTGPAWAGSERDYTYEELL
+NRVFNIMREKNPDMVAGEKRKFVMKPPQVVRVGTKKTSFVNFTDICKLLHRQPKHLLAFL
+LAELGTSGSIDGNNQLVIKGRFQQKQIENVLRRYIKEYVTCHTCRSPDTILQKDTRLYFL
+QCETCHSRCSVASIKTGFQAVTGRRAQLRAKAN
+>sp|A6QNR0|HEXD_BOVIN Hexosaminidase D OS=Bos taurus OX=9913 GN=HEXD PE=2 SV=2
+MRLVHLDLKGAPPKVCYLSEIFPLFRALGANGILIEYEDMFPYEGHLRLLRAKHAYSPSE
+IKEILHLATLNELEVIPLVQTFGHMEFVLKHEALAHLREVARFPNTLNPHKEESLALVTA
+MIDQVMELHPGARWFHVGCDEVYYLGEGETSRQWLQQEPNSKAKLCLSHMEAVASHMRAR
+YPTTTPLMWDDMLRDIPEDQLSGSRVPQLVEPVLWDYGADLDLHGKALLVEKYRKSGFSW
+LWAASAFKGATGVNQSLTPIEHHLRNHLQWLQVAGSVPADTLRGIILTGWQRYDHFSVLC
+ELLPVGIPSLAVCLQALLHGDFAENVKARVENFLGISSLEEMSFRR
+>sp|E1BHC3|PT100_BOVIN Protein PET100 homolog, mitochondrial OS=Bos taurus OX=9913 GN=PET100 PE=3 SV=1
+MGVKLEVFRMTIYLTFPVAMFWIANQAEWFEDYVIQRKRELWPPEKEDQRRELEEFKERI
+RKQREEKLLRAAQQGP
+>sp|Q5E9X1|NFYC_BOVIN Nuclear transcription factor Y subunit gamma OS=Bos taurus OX=9913 GN=NFYC PE=2 SV=1
+MSTEGGFGGAGSSDAQQSLQSFWPRVMEEIRNLTVKDFRVQELPLARIKKIMKLDEDVKM
+ISAEAPVLFAKAAQIFITELTLRAWIHTEDNKRRTLQRNDIAMAITKFDQFDFLIDIVPR
+DELKPPKRQEEVRQSVTPAEPVQYYFTLAQQPTAVQVQGQQQGQQTTSSTTTIQPGQIII
+AQPQQGQTTPVTMQVGEGQQVQIVQAQPQGQAQQAQSGTGQTMQVMQQIITNTGEIQQIP
+VQLNAGQLQYIRLAQPVSGTQVVQGQIQTLATNAQQITQTEVQQGQQQFSQFTDGQQLYQ
+IQQVTMPAGQDLAQPMFIQSANQPSDGQAPQVTGD
+>sp|Q32KU2|PRS37_BOVIN Probable inactive serine protease 37 OS=Bos taurus OX=9913 GN=PRSS37 PE=2 SV=1
+MKFTFCLTVLAGTFFSAHSSVQKDDPSPYLVYLKSHFNPCVGVLIKSNWVLAPAHCYLPN
+LKVMLGNLRIRIRDGTEQTINPIQIIRYWNHSHTAPQDDLMLIRLAKPAILNEKVQPIAL
+ATSTVKPGTICMLSGLDWSQNNNGRHPDLRQNLEAPVMSDTACQETEQGKSHRNSICVKF
+LKVFSRIFGELAVATVICKNKLQGIEVGHFMGGDVGIYTNVQKYVSWIESTTKDK
+>sp|P51694|PYY_BOVIN Peptide YY OS=Bos taurus OX=9913 GN=PYY PE=3 SV=1
+MMSGRRSWPAMATVLLTLLVCLGELVDAYPAKPQAPGEHASPDELNRYYTSLRHYLNLVT
+RQRFGKRDFSEALLSILLFPDREDPPVKSRPEGAYLW
+>sp|Q3SZV8|ROMO1_BOVIN Reactive oxygen species modulator 1 OS=Bos taurus OX=9913 GN=ROMO1 PE=3 SV=1
+MPVAVGPYGQSQPSCFDRVKMGFVMGCAVGMAAGALFGTFSCLRIGMRGRELMGGIGKTM
+MQSGGTFGTFMAIGMGIRC
+>sp|Q08DP3|RELL1_BOVIN RELT-like protein 1 OS=Bos taurus OX=9913 GN=RELL1 PE=2 SV=1
+MAPRGLPGSAVLAAAVFVGGAVSSPLVRSDHSGSHPLPSKTETTPSPTNNNGNGHPEYIA
+YALVPVFFVMGLFGVLICHLLKKKGYRCTTEAEQDVEGEKVEKIELNDSVNENSDTVGQI
+VQYIMKNEANVDILAAMVEDNNVCDPESPVTPSTPGSPPVSPGPLSPGVTPGKHICGHHL
+HTVGGPVERDVCHRCRHKRWHFIKPTNKSKEGRPRRQGEVTVLSVGRFRVTKVEPKSNQK
+ERRSLMSVSGTDSVNGEVPVTPVKRQQSDSE
+>sp|P15467|RNAS4_BOVIN Ribonuclease 4 OS=Bos taurus OX=9913 GN=RNASE4 PE=1 SV=4
+QDGMYQRFLRQHVDPDETGGNDSYCNLMMQRRKMTSHQCKRFNTFIHEDLWNIRSICSTT
+NIQCKNGQMNCHEGVVRVTDCRETGSSRAPNCRYRAKASTRRVVIACEGNPQVPVHFDG
+>sp|Q2M2U4|RNH2C_BOVIN Ribonuclease H2 subunit C OS=Bos taurus OX=9913 GN=RNASEH2C PE=2 SV=1
+MENSYEETIDKRRVHLRPDTLRDPAPASLHLLPCEVPVNRPTPVGRFFTPAIRMGRDGLE
+ASFRGRSLRGEEVVVPPGFVGYVVTEEKAEVLMGKQDDHERQEQELLEPPEALERDCDRF
+MGATASFSSFTVWGLESIPGPDAKLRGALSWPSLAAAIHAQVPED
+>sp|P00570|KAD1_BOVIN Adenylate kinase isoenzyme 1 OS=Bos taurus OX=9913 GN=AK1 PE=1 SV=2
+MEEKLKKTKIIFVVGGPGSGKGTQCEKIVQKYGYTHLSTGDLLRAEVSSGSARGKMLSEI
+MEKGQLVPLETVLDMLRDAMVAKVDTSKGFLIDGYPREVQQGEEFERRIAQPTLLLYVDA
+GPETMTKRLLKRGETSGRVDDNEETIKKRLETYYKATEPVIAFYEKRGIVRKVNAEGSVD
+NVFSQVCTHLDALK
+>sp|P08166|KAD2_BOVIN Adenylate kinase 2, mitochondrial OS=Bos taurus OX=9913 GN=AK2 PE=1 SV=2
+MAPNVPAAEPVPESPKGVRAVLLGPPGAGKGTQAPKLAKNFCVCHLATGDMLRAMVASGS
+ELGKKLKATMDAGKLVSDEMVLELIEKNLETPPCKNGFLLDGFPRTVRQAEMLDDLMEKR
+KEKLDSVIEFSIPDSLLIRRITGRLIHPQSGRSYHEEFNPPKEPMKDDITGEPLIRRSDD
+NKKALKIRLEAYHTQTTPLVEYYSKRGIHSAIDASQTPDVVFASILAAFSKATCKDLVMF
+I
+>sp|P45478|PPT1_BOVIN Palmitoyl-protein thioesterase 1 OS=Bos taurus OX=9913 GN=PPT1 PE=1 SV=1
+MASSSCLWLLALAFLLGSCASLALGHLDPPAPLPLVIWHGMGDSCCNPLSMGAIKKMVEK
+KIPGIHVLSLEIGKTLREDVENSFFLNVNSQVTTVCQILAKDPKLQQGYNAMGFSQGGQF
+LRAVAQRCPSPPMVNLISVGGQHQGVFGLPRCPGESSHICDFIRKTLNAGAYNKAIQERL
+VQAEYWHDPIREDIYRNHSIFLADINQERGVNESYKKNLMALKKFVMVKFLNDTIVDPVD
+SEWFGFYRSGQAKETIPLQESTLYTQDRLGLKAMDKAGQLVFLALEGDHLQLSEEWFYAH
+IIPFLE
+>sp|Q29627|PACR_BOVIN Pituitary adenylate cyclase-activating polypeptide type I receptor OS=Bos taurus OX=9913 GN=ADCYAP1R1 PE=2 SV=1
+MRGGRHWPEPPCRLRSVMASIAQVSLAALLLLPMATAMHSDCIFKKEQAMCLEKIQRVND
+LMGLNDSSPGCPGMWDNITCWKPAHVGEMVLVSCPELFRIFNPDQVWETETIGEFGFADS
+KSLDLSDMRVVSRNCTEDGWSEPFPHYFDACGFEEYESETGDQDYYYLSVKALYTVGYST
+SLVTLTTAMVILCRFRKLHCTRNFIHMNLFVSFMLRAISVFIKDWILYAEQDSNHCFVST
+VECKAVMVFFHYCVVSNYFWLFIEGLYLFTLLVETFFPERRYFYWYIIIGWGTPTVCVSV
+WAMLRLYFDDTGCWDMNDNTALWWVIKGPVVGSIMVNFVLFIGIIVILVQKLQSPDMGGN
+ESSIYFSCVQKCYCKPQRAQQHSCKMSELSTITLRLARSTLLLIPLFGIHYTVFAFSPEN
+VSKRERLVFELGLGSFQGFVVAVLYCFLNGEVQAEIKRKWRSWKVNRYFTMDFKHRHPSL
+ASSGVNGGTQLSILSKSSSQIRMSGLPADNLAT
+>sp|P11023|RAB3A_BOVIN Ras-related protein Rab-3A OS=Bos taurus OX=9913 GN=RAB3A PE=1 SV=3
+MASATDARYGQKESSDQNFDYMFKILIIGNSSVGKTSFLFRYADDSFTPAFVSTVGIDFK
+VKTIYRNDKRIKLQIWDTAGQERYRTITTAYYRGAMGFILMYDITNEESFNAVQDWSTQI
+KTYSWDNAQVLLVGNKCDMEDERVVSSERGRQLADHLGFEFFEASAKDNINVKQTFERLV
+DVICEKMSESLDTADPAVTGAKQGPQLTDQQAPPHQDCAC
+>sp|Q17QI8|RHOV_BOVIN Rho-related GTP-binding protein RhoV OS=Bos taurus OX=9913 GN=Rhov PE=2 SV=1
+MPPRELSEAESSPLRSPTPPPGRGSASPELGIKCVLVGDGAVGKSSLIVSYTCNGYPARY
+RPTALDTFSVQVLVDGAPVRIELWDTAGQEDLDRLRSLCYPDTDVFLACFSVVQPSSFQN
+ITEKWLPEIRTHNPQAPVLLVGTQADLRDDVNVLIQLDQGGREGPVPQPQAQGLAEKIRA
+CCYLECSALTQKNLKEVFDSAILSAIEHKARLEKKLNAKGVRTLSRCRWKKFFCFV
+>sp|Q08E13|RNF10_BOVIN RING finger protein 10 OS=Bos taurus OX=9913 GN=RNF10 PE=2 SV=1
+MPQSSPSAAATASDMDKNSGSSSSSASSGSSKGQQPPRSASAGPAGESKPKSDGKNSSGS
+KRYNRKREPSYPKNENFINQSRRSNSQKSKTFNKMPPQRGGGSSKLFSSSFNGGRRDEVA
+EAQRAEFSPAQFSGPKKINLNHLLNFTFEPRGQAGHFEGSGHGSWGKRNKWGHKPFNKEL
+FLQANCQFVVSEDQDYTVHFADPDTLVNWDFVEQVRICSHEVPSCPICLYPPTAAKITRC
+GHIFCWACILHYLSLSEKTWSKCPICYSSVHKKDLKSVVATESRQYVVGDTITMQLMKRE
+KGVLVALPKSKWMNVDHPIHLGDEQHSQYSKLLLASKEQVLRRVVQEEKAALERQLAEEK
+HTPESCFIEAAIQELKAREEALSGLAESRGEVPGVVAALEQRVLMAPLAKESVFQPRKGV
+LEYLSAFDEDATEVCSLGPPHPVALPLVEEEETVSEPEPEGLSEACEDLELVEDNLGEGT
+ICTESSQQEPVSKPSVTHLSSSPCYYFYQAEDGQHMFLHPVNVRCLVREYGSLEQSPEKI
+SATVVEISGYSMSEDMRQRHRYLSHLPLTCEFSICELALQPPLVSKETLEIFSDDIEKRK
+RQRQKKAREERRRERRIEMEENKKQGKYPEVHIPLENLQQFPAFNSYTCSSDSALGSTST
+EGRGALSLSPLSRSPGSQADFLLTPLSPTASQGSPSFCVGSLEEDSPFPSFAQMLRVGKA
+KADVWPKTAPKKDENTLGPPAPVDSDGESDNSDRVPVPSFQNSFSQAIEAAFMKLDTPVT
+SDPLSEEKGGKKRKKQKQKLLFSTSVVHTK
+>sp|Q2T9S3|RPC6_BOVIN DNA-directed RNA polymerase III subunit RPC6 OS=Bos taurus OX=9913 GN=POLR3F PE=2 SV=1
+MAEVKVKVQPPDADPVEIENRIIELCHQFPHGITDQVIQNEMPHIEAQQRAVAINRLLSM
+GQLDLLRSNTGLLYRIKDSQNAGKMKGSDNQEKLVYQIIEDAGNKGIWSRDIRYKSNLPL
+TEINKILKNLESKKLIKAVKSVAASKKKVYMLYNLQPDRSVTGGAWYSDQDFESEFVEVL
+NQQCFKFLQNKAETARESKQNPMIQRNSSFASSHEVWKYICELGISKVELSMEDIETILN
+TLIYDGKVEMTIIAAKEGTVGSVDGHMKLYRAVSPIIPPTGLVRAPCGLCPVFDDCHEGG
+EISPSNCIYMTEWLEF
+>sp|A7YWH9|RHDF1_BOVIN Inactive rhomboid protein 1 OS=Bos taurus OX=9913 GN=RHBDF1 PE=2 SV=1
+MGEARRDSSSSLQHKKPPWLKLDIPAVVPPAAEEPSFLQPLRRQAFLRSVSMPAEPARVP
+SPHQEPRRPVLQRQMSITQTIRRGTADWFGVSKDSDSTQKWQRKSIRHCSQRYGKLKPQV
+IRELDLPSQDNVSLTSTETPPPLYVGPCQLGMQKIVDPLARGRAFRLADDAADGPSAPHT
+PVTPGAASLCSFSSSRSGFNRLPRRRKRESVAKMSFRAAAALVKGRSVRDGTLRRAQRRS
+FTPASFLEEDTADFPDELDTSFFAREGVLHEELSTYPDEVFESPSEAALKDWERAPEQVD
+LTGGALDRSELERSHLMLPLERGWRKQKEGGAAAPQPKVRLRQEVVSTAGQRRGQRIAMP
+VRKLFAREKRPYGLGMVGRLTNRTYRKRIDSYVKRQIEDMDDHRPFFTYWLTFVHSLVTI
+LAVCIYGVAPVGFSQHETVDSVLRNRGVYENVKYVQQENFWIGPSSEALIHLGAKFSPCM
+RQDPQVHSFIHAAREREKHSACCVRNDRSGCVQTSEEECSSTLAVWVKWPLHPSAPDLAG
+QKRRYGSVCHQDPRVCDEPSSEDPHEWPDDITKWPICTKSSAGNHTNHPHMDCVITGRPC
+CIGTKGRCEITSREYCDFMRGYFHEEATLCSQVHCMDDVCGLLPFLNPEVPDQFYRLWLS
+LFLHAGVLHCLVSVCFQMTVLRDLEKLAGWHRIAIIYLLSGVTGNLASAIFLPYRAEVGP
+AGSQFGILACLFVELFQSWQILARPWRAFFKLLAVVLFLFTFGLLPWIDNFAHISGFISG
+LFLSFAFLPYISFGKFDLYRKRCQIIVFQLVFLGLLAGLVVLFYFYPVRCEWCEFLTCIP
+FTDKFCEKYELDAQLH
+>sp|Q0VD23|RFOX3_BOVIN RNA binding protein fox-1 homolog 3 OS=Bos taurus OX=9913 GN=RBFOX3 PE=2 SV=1
+MAQPYPPAQYPPPPQNGIPAEYAPPPPHPTPDYSGQTPVPPEHGMTLYTPAQTHPEQPSS
+DTSTQPITGAQTVPQTDEAAQTDSQPLHPSDPTEKQQPKRLHVSNIPFRFRDPDLRQMFG
+QFGKILDVEIIFNERGSKVNNATARVMTNKKTANPYTNGWKLNPVVGAVYGPEFYAVTGF
+PYPTTGTAVAYRGAHLRGRGRAVYNTFRAAPPPPPIPTYGAALEQTLVKMPVPWAGLAPC
+PLPPQQTPEPAYPTSPAFPPLSCPFASRVVYQDGFYGAEIYGGYAAYRYAQPAAAAAAYS
+DSYGRVYAAADPYHHTIGPAATYSIGTM
+>sp|Q04752|STF1_BOVIN Steroidogenic factor 1 OS=Bos taurus OX=9913 GN=NR5A1 PE=1 SV=1
+MDYSYDEDLDELCPVCGDKVSGYHYGLLTCESCKGFFKRTVQNNKHYTCTESQSCKIDKT
+QRKRCPFCRFQKCLTVGMRLEAVRADRMRGGRNKFGPMYKRDRALKQQKKAQIRANGFKL
+ETGPPVGVPPPPPPPPDYMLPHGLHASEPKGLASGPPAGPLGDFGAPALPMAVPSAHGPL
+AGYLYPAFPGRAIKSEYPEPYASPPQPGPPYGYPEPFSGGPGVPELILQLLQLEPDEDQV
+RARIVGCLQEPAKGRPDQPAPFSLLCRMADQTFISIVDWARRCMVFKELEVADQMTLLQN
+CWSELLVFDHIYRQIQHGKEGSILLVTGQEVELTTVAAQAGSLLHSLVLRAQELVLQLHA
+LQLDRQEFVCLKFLILFSLDVKFLNNHSLVKEAQEKANAALLDYTLCHYPHCGDKFQQLL
+LCLVEVRALSMQAKEYLYHKHLGNEMPRNNLLIEMLQAKQT
+>sp|Q1RMR5|TILB_BOVIN Protein tilB homolog OS=Bos taurus OX=9913 GN=LRRC6 PE=2 SV=1
+MGRITEDLIRRNAEHNDCVIFSLEELSLHQQEIERLEHIDKWCRDLKILYLQNNLIGKIE
+NVSKLKKLEYLNLALNNIEKIENLEGCEGLTKLDLTVNFIGELSSVKTLQGNIHLKELFL
+MGNPCADFDGYRQFVVATLQQLKWLDGKEIERSERIQALQNLPVVEQRIREQEKAYCLKR
+AKDKEEAQRKLEEEESKGEMGRSHTGSGGHWHADLSATLPSFEESKDYIQAPEIEEGQCK
+GKEFDDREDDLEFWNKPSLYTPESRLETLRHMEKQRRDQERLSEKKKKVKPPRMLVTEDG
+RALNVNEPKLDFTLKDDEKRNQIVLDLAVYRYMDTSLIDVDVQPTYVRVMVKGKPFQLIL
+PAEVKPDSSFAKRSQTTGHLVVCMPKVGEVIIGCQRTSKPVKSTPDSSKEQTSKSQQMER
+LEVDPSKRSIPDVANIVQEKKHMPRRVCAEPKIIPSEEDPDFEDNPEVPPLI
+>sp|Q17QD6|TEFF2_BOVIN Tomoregulin-2 OS=Bos taurus OX=9913 GN=TMEFF2 PE=2 SV=1
+MVLWESPRQCSSWTLCEGFCWLLLLPVMLLIVARPVKLAAFPTSLSDCQTPTGWNCSGYD
+DRENDLFLCDTNTCKFDGECLRIGDTVTCVCQFKCNSDYVPVCGSNGESYQNECYLRQAA
+CKQQSEILVVSEGSCATDAGSGSGDGVHEGSGETSQKETSTCDICQFGAECDEDAEDVWC
+VCNIDCSQTNFNPLCASDGKSYDNACQIKEASCQKQEKIEVMSLGRCQDNTTTTTKSEDG
+HYARTDFAENANKLEESAREHHIPCPEHYNGFCMHGKCEHSINMQEPSCRCDAGYTGQHC
+EKKDYSVLYVVPGPVRFQYVLIAAVIGTIQIAVICVVVLCITRKCPRSNRIHRQKQNTGH
+YSSDNTTRASTRLI
+>sp|Q2TBH5|UBXN8_BOVIN UBX domain-containing protein 8 OS=Bos taurus OX=9913 GN=UBXN8 PE=2 SV=1
+MASRGVVGIFLLSALPLLCLELRRGKPDLGIKDLILLCGRIFLLLALLTLIISVTTSWVN
+SFKPSQVYLKEEEEKNEKRQKLVRKKQQEAQGEKVSRYIENVLKPSQEMKLKKLEERFYQ
+MTGETWKLSNGHKLGGDEDLELDSESQTSFETSNREAAKRRNLPNSVTNISPPAEQPTKK
+EVLDLPEEPPETAEEVVTVALRCPSGRVLRRRFFKSCSSQVLFDWMMKLGYRTSLYSLST
+SFPRRPLEVEAGWSLQDIGITVDTVLNVEEKEQSS
+>sp|Q3SZI5|UCP2_BOVIN Mitochondrial uncoupling protein 2 OS=Bos taurus OX=9913 GN=UCP2 PE=2 SV=1
+MVGFKATDVPPTATVKFLGAGTAACIADLITFPLDTAKVRLQIQGERQGPMQAAASAQYR
+GVLGTILTMVRTEGPRSLYSGLVAGLQRQMSFASVRIGLYDSVKQFYTKGSEHAGIGSRL
+LAGSTTGALAVAVAQPTDVVKVRFQAQARAGAGRRYQSTVEAYKTIAREEGFRGLWKGTS
+PNVARNAIVNCAELVTYDLIKDTLLKAHLMTDDLPCHFTSAFGAGFCTTVIASPVDVVKT
+RYMNSALGQYSSAGHCALTMLQKEGPQAFYKGFMPSFLRLGSWNVVMFVTYEQLKRALMA
+ARASREAPF
+>sp|P08904|RNAS6_BOVIN Ribonuclease K6 OS=Bos taurus OX=9913 GN=RNASE6 PE=1 SV=3
+MGPHLLGRSSLLLLLLGMWWSVRPLCAVPKGLTKARWFEIQHIQPRLLQCNKAMSGVNNY
+TQHCKPENTFLHNVFQDVTAVCDMPNIICKNGRHNCHQSPKPVNLTQCNFIAGRYPDCRY
+HDDAQYKFFIVACDPPQKTDPPYHLVPVHLDKVV
+>sp|Q0VCJ7|RERG_BOVIN Ras-related and estrogen-regulated growth inhibitor OS=Bos taurus OX=9913 GN=RERG PE=2 SV=1
+MAKSAEVKLAIFGRAGVGKSALVVRFLTKRFIWEYDPTLESTYRHQATIDDEVVTMEILD
+TAGQEDTIQREGHMRWGEGFVLVYDITDRGSFEEVLPLKNILDEIKKPKNVTLILVGNKA
+DLDHSRQVSTEEGEKLATELACAFYECSACTGEGNITEIFYELCREVRRRRMVQGKTRRR
+SSTTHVKQAINKMLTKISS
+>sp|Q3SZ86|RT26_BOVIN 28S ribosomal protein S26, mitochondrial OS=Bos taurus OX=9913 GN=MRPS26 PE=1 SV=1
+MLRALSTLGARPLGRPPAQFLLLARGRKTRHDPPAKSKIGRVATPPAVDPAEFFVLTERY
+RQYRQTVRALRQEFVTEVRRKVHEARAGVLAERKALQDAAEHRELMAWNQAENQRLHELR
+MARLRQEAREQEQWQAEEAAREAREAEAWARLKEQEVLQLQEDAKNFITRENLEARVEEA
+LDSPKSYNWAVTREGQVVTPQHKGS
+>sp|Q6ZXD2|SIA8A_BOVIN Alpha-N-acetylneuraminide alpha-2,8-sialyltransferase OS=Bos taurus OX=9913 GN=ST8SIA1 PE=2 SV=1
+MSPCGRARRHTSRGAMAVLAWKFPRTRLPVGASALCVVVLCWLYVFPVYRLPDEKEIVQG
+VLQQGTAWRRNRTAAGIFRKQMEDCCDPAHLFAMTKMNAPMGKSLWYDGEFLYSFTIDNS
+TYSLFPQATPFQLPLKKCAVVGNGGILKKSGCGRQIDEADFVMRCNLPPLSSEYTKDVGS
+KSHLVTANPSIIRQRFQNLLWSRKTFVDHMKVYNHSYIYMPAFSMKTGTEPSLRVYYTLS
+DVGANQTVLFANPNFLRSIGKFWKSRGIHAKRLSTGLFLVSAALGLCEEVAIYGFWPFSV
+NMHEQPISHHYYDNVLPFSGFHAMPEEFLQLWYLHKIGALRMQLDPCEDNSLQPTS
+>sp|Q3ZBI9|TMUB1_BOVIN Transmembrane and ubiquitin-like domain-containing protein 1 OS=Bos taurus OX=9913 GN=TMUB1 PE=2 SV=1
+MALIEGVGDEVTILFSALACLLVLALAWVSTHTAEGADPLPQPSGTPTPTQPSEAMAVTD
+SIRGEAPGAETPGLRHRGQAAPPEPSVGLAATPPPPDSPQEPLVLRLKFLNDSEQVARAW
+PHDTIGSLKRTQFPGREQHVRLIYQGQLLGDDTQTLGSLHLPPNCVLHCHVSTRVGPPLP
+PCPPGSEPGPSGLEVGSLLLPLLLLLLLLLWYCQIQYRPFFPLTATLGLAGFTLLLSLLA
+FAMYRP
+>sp|Q3ZEJ6|SPA33_BOVIN Serpin A3-3 OS=Bos taurus OX=9913 GN=SERPINA3-3 PE=1 SV=2
+MRAERLSPLLALGLLVAGIRSVHCLPENVVVKDRHRRVDGHTLASSNTDFAFSLYKQLAL
+KNPNKNVMFSPLSVSMALAFLSLGARGPTLTEILEGLKFNLTEIQETQIHQGFQHLLQAL
+NRPRNQLQLSVGNAMFVQEELKLLDKFIEDARVLYSSEAFPTNFRDPEAAKSLINDYVKN
+KTQGKIEELFKDLSPRTELVLVNYVYFKAQWKTRFDPKHTEQAEFHVSDNKTVEVPMMTL
+DLETPYFRDEELGCTLVELTYTSNDSALFILPDKGKMQDLEAKLTPEMLTRWRNSLQPRR
+IHELYLPKFSIKSNYELNDTLSQMGIKKIFTDADLSGITGTADLVVSQVVHGAALDVDEE
+GTEGAAATGIGIERTFLRIIVRVNRPFLIAVVLKDTQSIIFLGKVTNPSEA
+>sp|Q2HJA8|TMUB2_BOVIN Transmembrane and ubiquitin-like domain-containing protein 2 OS=Bos taurus OX=9913 GN=TMUB2 PE=2 SV=2
+MISRHLQNNLMSVDPVSSQAMELSDVTLIEGVGNEVTVVAGVVVLILALVLAWLSTYVAD
+SGSNPLLGTIVSAGDTSVLHLGHVDHLVAGQGTPEPTELPHPSEGNDEKAEEAGEGGGDP
+TGEPGAGGGVEPSLEHLLDIQGLPKRQAGPGNSSLEAPVRSEDSTCLPSSPSLISVRLKF
+FNDTEELAVARPEDTVGALKSKYFPGQESQMKLIYQGRLLQDPARTLRSLNITDNCVIHC
+HRSPPGSAVAGPSSSLAPSSTTEPPNLGVSVGSLMVPVFVVLLGVVWYFRINYRQFFTAP
+ATVSLVGVTVFFSFLVFGMYGR
+>sp|Q0VD22|STK33_BOVIN Serine/threonine-protein kinase 33 OS=Bos taurus OX=9913 GN=STK33 PE=2 SV=1
+MADSSCGKKSTKCPHCSSASQKNALCICSCKTKLSPMSVVEMSQTSSTGSSEFIVSPEKR
+KEKGASKDVTSGKDSPSKSSNIERKPSQQQWGRGNFTEGKVPHIRMDNGAALQEIYTFGR
+ILGQGSFGMVIEAIDKERETKWAIKKVNKEKAGSSAVKLLEREVDILKSVKHEHIIHLEQ
+VFETPKKMYLVMELCEDGELKEILERKGHFSENETRWIIQSLASAIAYLHNKDIVHRDLK
+LENIMVKSSFIDANNEMNLNIKVTDFGLAVKKHGRSEVMLQTTCGTPIYMAPEVINAHDY
+SQQCDIWSIGVIMYILLCGKAPFMASSEEKLFELIKKGELHFKNSIWNSISDCAKSVLKQ
+LMKVDPAHRITAKELLDNQWLTGNTVSSARPTNVLEMMKEWKNNPESDEESTTDQRDSRS
+GQEESKVYQPSRNVPDVSNSSDEEEGKQVGRTNKTCRKNNCFISPNCEIPSQHLEHFCNS
+FFVVGL
+>sp|Q3ZBE7|YPEL5_BOVIN Protein yippee-like 5 OS=Bos taurus OX=9913 GN=YPEL5 PE=2 SV=1
+MGRIFLDHIGGTRLFSCANCDTILTNRSELISTRFTGATGRAFLFNKVVNLQYSEVQDRV
+MLTGRHMVRDVSCKNCNSKLGWIYEFATEDSQRYKEGRVILERALVRESEGFEEHVPSDN
+S
+>sp|Q29S14|TV23B_BOVIN Golgi apparatus membrane protein TVP23 homolog B OS=Bos taurus OX=9913 GN=TVP23B PE=2 SV=1
+MLQQDSNDDTEDVSLFDAEEETTNRPKKSRIRHPVASFFHLFFRVSAIIVYLLCELFSSS
+FIACMVTIILLLSCDFWAVKNVTGRLMVGLRWWNHIDEDGKSHWVFESRKASPQEGKTVS
+EAESRIFWLGLVACPVLWVVFAFSALFSFRVKWLAVVIMGVVLQGANLYGYIRCKVGSRK
+NLTSMATTYLGRQFLRQTTGDTQTSSGE
+>sp|A5PK30|ZN639_BOVIN Zinc finger protein 639 OS=Bos taurus OX=9913 GN=ZNF639 PE=2 SV=1
+MNEYPKKRKRKTLHPSRYSDSSGISRIADGFNGIFSDHCYSVCSMRQPDLKYFDNKDDDS
+DTETSNELPKFTDGIKARNRNQNYLVPSPVLRILDHTAFPTEKSADIEICDEDCDSPESV
+HQQTQEESPIEVHTAEDVPIAAEVHAISEDYDIETENNSSESLQDQTDEEPPAKLCKIVD
+KSQALNVTAQQKWPLLRANSSGLYKCELCEFNSKYFSDLKQHMILKHKRTDSNVCRVCKE
+SFSTNMLLIEHAKLHEEDPYICKYCDYKTVIFENLSQHIADTHFSDHLYWCEQCDVQFSS
+SSELYLHFQEHSCDEQYLCQFCEHETNDPEDLHSHVVNEHACKLIELSDKYNNGEHGQYS
+LLSKITFDKCKNFFVCQVCGFRSRLHTNVNRHVAIEHTKIFPHVCDDCGKGFSSMLEYCK
+HLNSHLSEGIYLCQYCEYSTGQIEDLKIHLDFKHSADLPHKCSDCLMRFGNERELISHLP
+VHETT
+>sp|Q2KJ98|TTYH1_BOVIN Protein tweety homolog 1 OS=Bos taurus OX=9913 GN=TTYH1 PE=2 SV=1
+MGAPPGYRPSAWVHLLHQLPRADFQLRPVPSAFAPQEREYQQALLLVAALAGLGLGLSLI
+FIAVYLIRFCCCRPPEPPGAKSPPPGGGCVTWNCIAALLVGCAGIGVGFYGNSETSDGVS
+QLSSALLHANHTLTAIDHLVLEMVERLNEAVRTELTTLEEVLTQRTELVAAARGARRQAE
+TVAQQLQGLAFWRGVPLSPLQVAEDVSFVEEYRWLAYVLLLLLELLVCLFTLLGLARQSK
+WLVIVMTVMSLLVLVLSWGSMGLEAATAVGLSDFCSSPDSYILNLTQEETGLGSDILNYY
+FLCNQAVSNPFQQRLTLSQRALANIHSQLQGLEREAVPQFPSAQKPVLSLEETLNVTEGN
+FHQLVALLHCRGLHKDYGSALRGLCEDTLEGLLFLLLFSLLSAGALATVLCSLPRAWALF
+PPSDDYEDTDDDDPFNPQESKRFVQWQSSI
+>sp|Q3Y4E1|ZN148_BOVIN Zinc finger protein 148 OS=Bos taurus OX=9913 GN=ZNF148 PE=2 SV=1
+MNIDDKLEGLFLKCGGIDEMQSSRAMVVMGGVSGQSTVSGELQESVLQDRSMPHQEILAA
+DEVLQESEMRQQDMISHDELMVHEETVKNDEEQMETHERLPQGLQYALNVPISVKQEITF
+TDVSEQLMRDKKQIREPVDLQKKKKRKQRSPAKILTINEDGSLGLKTPKSHVCEHCNAAF
+RTNYHLQRHVFIHTGEKPFQCSQCDMRFIQKYLLQRHEKIHTGEKPFRCDECGMRFIQKY
+HMERHKRTHSGEKPYQCEYCLQYFSRTDRVLKHKRMCHENHDKKLNRCAIKGGLLTSEED
+SGFSTSPKDNSLPKKKRQKTEKKSSGMDKESSLDKSDLKKDKNDYLPLYSSSTKVKDEYM
+VAEYAVEMPHSSVGGSHLEDASGEIHPPKLVLKKINSKRSLKQPLEQNQTISPLSTYEES
+KVSKYAFELVDKQALLDSEGNADIDQVDNLQEGPSKPVHSSTNYDDAMQFLKKKRYLQAA
+SNNSREYALNVGTIASQPSVTQAAVASVIDESTTASILDSQALNVEIKSNHDKNVIPDEV
+LQTLLDHYSHKANGQHEISFSVADTEVTSSISINSSEVPEVTQSENVGSSSQASSSDKAN
+MLQEYSKFLQQALDRTSQNDAYLNSPSLNFVTDNQTLPNQPAFSSIDKQVYATMPINSFR
+SGMNSPLRTTPDKSHFGLIVGDSQHSFPFSGDETNHASATSTQDFLDQVTSQKKAEAQPV
+HQAYQMSSFEQPFRAPYHGSRAGIATQFSTANGQVNLRGPGTSAEFPEFPLVNVNDNRAG
+MTSSPDATTGQTFG
+>sp|A5D796|SPE39_BOVIN Spermatogenesis-defective protein 39 homolog OS=Bos taurus OX=9913 GN=VIPAS39 PE=2 SV=1
+MNRTKGDEEEYWNSSKFKAFTFDDEDDELSQLKESKRAVNSLRDFVDDDDEDDLERVSWT
+GEPVGSISWSIKETAGNSGSSHEREQLKNRNSFSTYAQLPKPASTYSLSSFFRGRTRPGS
+FQSLSDALSDTPAKSYAPELGRPKGEYRDYSNDWSPSDTVRRLRKGKDKIQLLEEAVSMH
+DGNVITAVLIFLKRTLSKEILFRELEVRQVALRHLIHFLKEIGDQKLLLDLFRFLDRTEE
+LALSHYREHLNIQDPEKRKEFLKTCIGLPFSAEDSAHIQDHYTLLERQIIIEANDRHLEL
+AGQTEVFRKHPRKASILNMPLVTTLFYSCFYHYTEAEGTFSSPVNLKKTFKIPDKQYVLT
+ALAARAKLRAWHDVDALFTTKNWLGYTKKRAPIGFHRVVEILHKNSAPVQVLQEYVNLVE
+DVDTKLNLATKFKCHDVVIDTCRDLKDRQQLLAYRSKVDKGSAEEEKIDALLNSSQIRWK
+N
+>sp|Q2NKT2|SOSSC_BOVIN SOSS complex subunit C OS=Bos taurus OX=9913 GN=INIP PE=3 SV=1
+MAANPSGQGFQNKNRVAILAELDKEKRKLLMQNQSSTNHPGASIALSRPALNKDFRDHAE
+QQHIAAQQKAALQHAHAHSSGYFITQDSAFGNLILPVLPRLDPE
+>sp|Q05B73|S35A4_BOVIN Probable UDP-sugar transporter protein SLC35A4 OS=Bos taurus OX=9913 GN=SLC35A4 PE=2 SV=1
+MSVEDGGVPGLGRPRKARWTLMLLLSTAMYGAHAPLLALCHVDGRVPFRPSSAVLLTELT
+KLLLCALSLLVGWQAWPQGTPPWRQAAPFALSALLYGANNNLVIYLQRYMDPSTYQVLSN
+LKIGSTALFYCLCLRHRLSARQGLALLLLMAAGACYAAGGLQDPGTTLPGPPSAAATSPM
+PLHITPLGLLLLILYCLISGLSSVYTELLMKRQRLPLALQNLFLYSFGVLLNLGLHAGGG
+PGPGLLEGFSGWMALVVLSQALNGLLMSAVMKHGSSITRLFVVSCSLVVNAVLSAALLRL
+QLTAAFFLATLLIGLAVRLYYGSR
+>sp|P79124|SPL2A_BOVIN Short palate, lung and nasal epithelium carcinoma-associated protein 2A OS=Bos taurus OX=9913 GN=SPLUNC2A PE=2 SV=2
+MVQLWKLVLLCGLLAGTSESLLDIRGNDVLRRLISGLERGLGTFDSTIEIIFQNLKTELE
+SRCLNDVVEETQQTENSLEGLISRIFQVVNRLTGVRIRNVQVPDITFEATSENSADVSIP
+ITADVTVSLPLLGEIVKLDLNVDLQTSVSIETDAETGDSRVVVGECPNNPESISLTVLHR
+RPGLLNDVVDFGVNLVRQLVSSVVQHELCPRIRELLESLDTECIKKLIGEPQVTTQQEI
+>sp|P38574|UPK3A_BOVIN Uroplakin-3a OS=Bos taurus OX=9913 GN=UPK3A PE=1 SV=1
+MPPLWVVLALGCLRLGSGVNLQPQLASVTFATNNPTLTTVALEKPLCMFDSSAALHGTYE
+VYLYVLVDSASFRNASVQDSTKTPLSSTFQQTQGGRTGPYKAAAFDLTPCSDSPSLDAVR
+DVSRASEILNAYLIRVGTNGTCLLDPNFQGLCNPPLSAATEYRFKYVLVNMSSGLVQDQT
+LWSDPIRTDRLTLYSAIDTWPGRRSGGMIVITSILGSLPFFLLIGFAGAIVLSLVDRGDA
+DGATSHDSQITQEAVPKSLGTSEPSYTSVNRGPSLDRAEVYASKLQD
+>sp|Q0V8J4|VWA7_BOVIN von Willebrand factor A domain-containing protein 7 OS=Bos taurus OX=9913 GN=VWA7 PE=2 SV=1
+MLPADVPLCHLGPSVLLLLQLLLPSASAFFPNIWSLLAAPGSITHQDLTEEAALNVTLHL
+FLEQPPPGRPPLRLEDFLGRTLLADDLFAAYFGPGSPSRRFRAALGEVSRANAAQDFLPT
+SKNDPDLHFDAERLGQGRTRLVEALRETVAAAKALDYTLARQRLGAALHALQDFYSHSNW
+VELGRQQPHPHLLWPRQELRSLAQAGDPTCSDCEELSCPGNLLGFTLLTSGYFGTRPSKP
+PGKCSHGGHFDQSSSQPPRGGINKDSTSPGFSPHHMLHLQAAKLALLASIQAFNLLQSRL
+GDRGFSRLLDITPASSLSFVLDTTGSMGEEINAAKIQARHIVEQRRGTPMEPTQYVLVPF
+HDPGFGPVFTTSDPDSFWQQLNEMHALGGGDEPEMCLSALELALLHTPPLSDIFVFTDAS
+PKDAFLTNRVESLTQERRCRVTFLVTEDPSRAQGRARREVLSPLRFEPYEAVALASGGEV
+IFTEDQYIQDVAAIVGDSIADLVTLPLEPRVVVAERPLVFRVDGLLQKVTVRIHGEVSSF
+WIRNPAGVSQGQEEGEGPLGHTHRFGRFWMVRVNDPPQSGTWEIQVTAKGTPRVRVQAQT
+SLDFLFHFGIPMEDGPHPGLYPLTQPVAGLQTQLLVEVTGLGSRGGPGGPLPHFSHVVLR
+GVPQGAELGQVPLEPRGPPERGLLAASLPPTLLSTSGPFSLELVGQDGVGRGLHRAAPQP
+CAVVPVLLELSGPRGFLAPGTTAPLSLRIASFSGPQDLHLRTSAKPSFALTSNLSRVHLE
+QNEAAWGRLWLEVPDTAASDSVVMVTVTAKNGEASTMPPTHAFLRLLVQAPAPQDQLPAP
+AYSTDPVLNTSRPAFHFFTSVTQGGAGGGLVGNPWWTTFGVMLLLLGLGPW
+>sp|Q3SYV7|ZN345_BOVIN Zinc finger protein 345 OS=Bos taurus OX=9913 GN=ZNF345 PE=2 SV=1
+MERLIKNSIAYSRFRGDWECKSQFERKQESQEGHLSQMIFTPEDMPTFNTQHQRIHTDEK
+LLECKECGKDFSFVSVLIRHQRIHTGEKPYECKECGKAFGSGANLAYHQRIHTGEKPYEC
+NECGKAFGSGSNLTHHQRIHTGEKPYECKECGKAFSFGSGLIRHQIIHSGEKPYECKVCG
+KSFSFESALTRHHRIHTGEKPYECKDCGKAFGSGSNLTQHRRVHTGEKPYECKGCGMAFS
+SGSALTRHQRIHTGEKPYICNECGKAFSFGSALTRHQRIHTGEKPYVCKECGKAFNSGSD
+LTQHQRIHTGEKPYECKECEKAFRSGSKLIQHQRMHTGEKPYECKECGKAFSSGSDLTQH
+QRIHTGEKPYECKECGKAFASGSKLIQHQLIHTGEKPYECRECRKSFSSGSALNRHQRIH
+TGQKPYECKECEKTFGTGSTLTQHQRMHTAEKLYECKACGKALGRGSEIQQHKKNHAGEK
+LCELETP
+>sp|A6QQW0|ZN143_BOVIN Zinc finger protein 143 OS=Bos taurus OX=9913 GN=ZNF143 PE=2 SV=1
+MLLAQINRDSQGMTEFPGGGMEAQHVTLCLTEAVTVADGDNLENMEGVSLQAVTLADGST
+AYIQHNSKDGSAAYVQHVPIPKTTGDSLRLEDGQAVQLEDSYDQSALQAVQLEDGTTAYI
+HHAVQVPQSDTILAIQADGTVAGLHTGDAAIDPDTISALEQYAAKVSIDGSEGVTGSGII
+GENEQEKKMQIVLQGHATRVTAKSQQSGEKAFRCGYDGCGKLYTTAHHLKVHERSHTGDR
+PYQCEHAGCGKAFATGYGLKSHVRTHTGEKPYRCSEDNCTKSFKTSGDLQKHIRTHTGER
+PFKCHFEGCGRSFTTSNIRKVHIRTHTGERPYYCTEPGCGRAFASATNYKNHVRIHTGEK
+PYVCTVPGCDKRFTEYSSLYKHHVVHTHSKPYNCNHCGKTYKQISTLAMHKRTAHNDTEP
+IEEEQEAFFEPPPGQGEDVLKGSQITYVTGVEGDDVVSTQVATVTQSGLSQQVTLISQDG
+TQHVNISQADMQAIGNTITMVTQDGTPITVPAHDAVISSAGAHSVAMVTAEGTEGQQVAI
+VAQDLAAFHTASSEMGHQQHSHHLVTTETRPLTLVATSNGTQIAVQLGEQPSLEEAIRIA
+SRIQQGETPGLDD
+>sp|P31407|VATB1_BOVIN V-type proton ATPase subunit B, kidney isoform OS=Bos taurus OX=9913 GN=ATP6V1B1 PE=1 SV=1
+MAAEVDSRPRGLPGGGASLGAAREHVQAVTRNYITHPRITYRTVCSVNGPLVVLDQVKFA
+QYAEIVNFTLPNGTQRSGQVLEVSGTKAIVQVFEGTSGIDAQKTTCEFTGDILRTPVSED
+MLGRVFNGSGKPIDKGPVVMAEDFLDINGQPINPHDRIYPEEMIETGISPIDVMNSIARG
+QKIPIFSAAGLPHNEIAAQICRQAGLVKKSKAVLDYHDDNFAIVFAAMGVNMETARFFKS
+DFEQNGTMGNVCLFLNLANDPTIERIITPRLALTTAEFLAYQCEKHVLVILTDMSSYAEA
+LREVSAAREEVPGRRGFPGYMYTDLATIYERAGRVEGRGGSITQIPILTMPNDDITHPIP
+DLTGFITEGQIYVDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRKDHGDVSNQLYACY
+AIGKDVQAMKAVVGEEALTSEDLLYLEFLQKFEKKFINQGPYEKRSVFESLDLGWKLLRT
+FPKEMLKRIPQNIIDEFFSREGAPQDTEADTAL
+>sp|Q3SYS0|RM24_BOVIN 39S ribosomal protein L24, mitochondrial OS=Bos taurus OX=9913 GN=MRPL24 PE=1 SV=1
+MRLSALLALASKVTLPPNYRYGMSRPGSLADKKKNPPGTRRRRVAVEPIPEEDWHLFCGD
+RVEILEGKDAGKQGKVVQVIRQRNWVVVEGLNTHYRYVGKTVDFRGTMVPSEAPLLHNQV
+KLVDPMDRKPTEVEWRFTEAGERVRVSTRSGRIIPKPDVPRADGIVPETWIDGPKDTSVE
+DALEKTYVPRLKTLEEEVMEAMGIQETRRHKKVYWY
+>sp|Q3MHW0|SRGEF_BOVIN Secretion-regulating guanine nucleotide exchange factor OS=Bos taurus OX=9913 GN=SERGEF PE=2 SV=1
+MEPEPHSSEAVPTAAALFAWGANSYGQLGLGHKEDVLSAQQLSDFCKSGCIKRITGGGGH
+SAVVTDEGSLFVCGLNKDGQLGLGHTEEVLYFTPCKSLLGCAIQQVACGWDFTIILTENG
+QVLSCGSNSFGQLGVPHGPRRCVIPQAIELLREKVVSIAAGLRHALAATASGTVFQWGTG
+LASSGRRLCPGQTLPLFLTAKEPSTVTGLENSQAVCVLAGSDHSASLTDAGELYVWGSNK
+HGQLASQAAFLLLPQRIEARCFQNEKIAAVWSGWTHLVAQTVTGKVFTWGRADYGQLGRT
+VETREGWESEKQDPSLPGSGPQKGTPSCLPCLTGATEISCGSEHNLAVIGGVCHSWGWNE
+HGMCGDGTEADVWAPKPVPGLRFFLGLHVGCGAGHSLALCQLPALPALGQHPSVTSPSPD
+ATKEARSQEAMEQERNQKERHAETSPQAQSDRFRNGGLVAETLE
+>sp|Q9NZ50|SYUG_BOVIN Gamma-synuclein OS=Bos taurus OX=9913 GN=SNCG PE=1 SV=1
+MDVFKKGFSIAKEGVVGAVEKTKQGVTEAAEKTKEGVMYVGAKTKEGVVQSVTSVAEKTK
+EQANAVSEAVVSSVNTVATKTVEEVENIAVTSGVVHKEALKQPVPSQEDEAAKAEEQVAE
+ETKSGGD
+>sp|Q5E960|S39A3_BOVIN Zinc transporter ZIP3 OS=Bos taurus OX=9913 GN=SLC39A3 PE=2 SV=1
+MVKLLVAKILCMVGMFFFMLLGSLLPVKIIEMDFEKAHRSKKILSLCNTFGGGVFLATCF
+NALLPAVREKLKEVLTLAHISTDYPLAETIMLLGFFMTVFLEQLVLTFRKERPAFIDLET
+FNASSDAGSDSEYESPFMGGPRGHALYAEPHGHSHGLSVQELSRSSPLRLLSLVFALSAH
+SVFEGLALGLQEEGEKVVSLFVGVAIHETLVAVALGINMARSAMALRDAAKLAVTVSAMI
+PLGISLGLGIESAQGMPSSVASVLLQGLAGGTFLFVTFFEILAKELEEKSDRLLKVLFLV
+LGYTVLAGMVFIKW
+>sp|Q9XSC1|SFRP5_BOVIN Secreted frizzled-related protein 5 OS=Bos taurus OX=9913 GN=SFRP5 PE=2 SV=1
+MRAAAGGARAAVLALLLGALHGAPARGEEYDYYGWQTEPLHGRSYSKPPQCLDIPADLPL
+CHTVGYKRMRLPNLLEHESLAEVKQQASSWLPLLAKRCHSDTQVFLCSLFAPVCLDRPIY
+PCRSLCEAVRAGCAPLMEAYGFPWPEMLHCHKFPLDNDLCIAVQFGHLPATAPPVTKICA
+QCEMEHSADGLMEQMCSSDFVVKMRIKEIKIENGDRKLIGAQKKKKLLKSGPLKRKDTKR
+LVLHMKNSAGCPCPQLDSLAGSFLVMGRKVDGQLLLMAVYRWDKKNKEMKFAVKFMFSYP
+CSLYYPFFYGAAEPH
+>sp|Q8MKH6|TNNT1_BOVIN Troponin T, slow skeletal muscle OS=Bos taurus OX=9913 GN=TNNT1 PE=2 SV=3
+MSDAEEQEYEEEQPEEEEAAEEEEEAPEEPEPAAEPEEERPKPSRPVVPPLIPPKIPEGE
+RVDFDDIHRKRMEKDLLELQTLIDVHFEQRKKEEEELVALKERIERRRAERAEQQRFRTE
+KERERQAKLAEEKMRKEEEEAKKRAEDDAKKKKVLSNMGAHFGGYLVKAEQKRGKRQTGR
+EMKLRILSERKKPLNIDHMGEEQLREKAQELSDWIHQLESEKFDLMAKLKQQKYEINVLY
+NRISHAQKFRKGAGKGRVGGRWK
+>sp|Q08DX7|SPNS1_BOVIN Protein spinster homolog 1 OS=Bos taurus OX=9913 GN=SPNS1 PE=2 SV=1
+MSGSDTAPFLSQADDTDDGPAPGTPGLPGSMGNPKSEDPAVPDQEGLQRITGLSSGHSAL
+IVAVLCYINLLNYMDRFTVAGVLPDIEQFFDIGDGSSGLIQTVFISSYMVLAPVFGYLGD
+RYNRKYLMCGGIAFWSLVTLGSSFIPRERFWLLLLTRGLVGVGEASYSTIAPTLIADLFV
+ADQRSRMLSVFYFAIPVGSGLGYIAGSKVKDVAGDWHWALRVTPGLGVLAVVLLFLVVQE
+PPRGAVERHSDSPPLNPTSWWADLRALARNPSFILSSLGFTAVAFVTGSLALWAPAFLLR
+SRVVLGETPPCLPGDSCSSSDSLIFGLITCLTGVLGVGLGVEISRRLRRTNPRADPLVCA
+AGLLGSAPFLFLALACARGSIVATYIFIFIGETLLSMNWAIVADILLYVVIPTRRSTAEA
+FQIVLSHLLGDAGSPYLIGSISDRLRRDWPPSFLSEFRALQFSLMLCAFVGALGGAAFLG
+TAIFIESDRRQAQLHVQGLLPETGPTDDRIVVPQRGRSTRVPVSSVLI
+>sp|P20414|TIMP1_BOVIN Metalloproteinase inhibitor 1 OS=Bos taurus OX=9913 GN=TIMP1 PE=1 SV=1
+MAPFAPMASGILLLLWLTAPSRACTCVPPHPQTAFCNSDVVIRAKFVGTAEVNETALYQR
+YEIKMTKMFKGFSALRDAPDIRFIYTPAMESVCGYFHRSQNRSEEFLIAGQLSNGHLHIT
+TCSFVAPWNSMSSAQRRGFTKTYAAGCEECTVFPCSSIPCKLQSDTHCLWTDQLLTGSDK
+GFQSRHLACLPREPGLCTWQSLRAQMA
+>sp|P02639|S10A1_BOVIN Protein S100-A1 OS=Bos taurus OX=9913 GN=S100A1 PE=1 SV=2
+MGSELETAMETLINVFHAHSGKEGDKYKLSKKELKELLQTELSGFLDAQKDADAVDKVMK
+ELDENGDGEVDFQEYVVLVAALTVACNNFFWENS
+>sp|Q3T0F2|TPPC2_BOVIN Trafficking protein particle complex subunit 2 OS=Bos taurus OX=9913 GN=TRAPPC2 PE=2 SV=1
+MSGSFYFVIVGHHDNPVFEMEFLPAGKAESKDDHRHLNQFIAHAALDLVDENMWLSNNMY
+LKTVDKFNEWFVSAFVTAGHMRFIMLHDVRQEDGIKNFFTDVYDLYIKFAMNPFYEPNSP
+IRSSAFDRKVQFLGKKHLLS
+>sp|Q5E9P3|S1PR1_BOVIN Sphingosine 1-phosphate receptor 1 OS=Bos taurus OX=9913 GN=S1PR1 PE=2 SV=1
+MGSTRIPLVKALHSPVSDYVNYDIIVRHYNYTGKLKISADKDNGIKLISVVFILICCFII
+LENIFVLLTIWKTKKFHRPMYYFIGNLALSDLLAGVAYTANLLLSGATTYKLTPAQWFLR
+EGSMFVALSASVFSLLAIAIERYITMLKMKLHNGSNRFRSFLLISACWVISLILGGLPIM
+GWNCISTLPSCSTVLPLYHKHYILFCTTVFTLLLLSIVILYCRIYSLVRTRSRRLTFRKN
+ISKASRSSEKSLALLKTVIIVLGVFIACWAPLFILLLLDVGCKVKTCDILFRTEYFLVLA
+VLNSGTNPIIYTLSNKEMRRAFVRIMSCCKCPSRDSASKFTRPIIAGMEFSRSKSDNSSH
+PQKDDGDNPETIMSSGNVNSSS
+>sp|Q08E29|RUN3B_BOVIN RUN domain-containing protein 3B OS=Bos taurus OX=9913 GN=RUNDC3B PE=2 SV=1
+MASRSLGGLGGSRGGGGGVKKSLSARNAAVERRNLITVCRFSVKTLIDRSCFETIDDSSP
+EFNNFAAILEQILSHRLKESSQSCRWLSHLQIPLQGQVTWFGYGSPRSFWDYIRVACRKV
+SQNCICSIENMEHVSSSRAKGRAWIRVALMEKHLSEYISTALRDFKTTRRFYEDGAIVLG
+EEANMLAGMLLGLNAIDFSFCLKGERLDGNLPAVIDYIPYLRFTQSSDSISSDEEELRTL
+GSSDSESSTPEKAGPPVIMDENSWFNKCKRVKQKYQLTLEQKGYLEELLQLRENQLSESV
+AQNKILLQRIEDSDLAHKLEKEQLEYIIVELQDQLKSYQSLDQLSAEVSLSQTSLDPGQS
+QEGDGKQDTLNLISEGKEDTPSLLGLCGSLTSVASYKSLTSLKSNDYLASPTTEMTSPGL
+TPS
+>sp|Q29443|TRFE_BOVIN Serotransferrin OS=Bos taurus OX=9913 GN=TF PE=2 SV=1
+MRPAVRALLACAVLGLCLADPERTVRWCTISTHEANKCASFRENVLRILESGPFVSCVKK
+TSHMDCIKAISNNEADAVTLDGGLVYEAGLKPNNLKPVVAEFHGTKDNPQTHYYAVAVVK
+KDTDFKLNELRGKKSCHTGLGRSAGWNIPMAKLYKELPDPQESIQRAAANFFSASCVPCA
+DQSSFPKLCQLCAGKGTDKCACSNHEPYFGYSGAFKCLMEGAGDVAFVKHSTVFDNLPNP
+EDRKNYELLCGDNTRKSVDDYQECYLAMVPSHAVVARTVGGKEDVIWELLNHAQEHFGKD
+KPDNFQLFQSPHGKDLLFKDSADGFLKIPSKMDFELYLGYEYVTALQNLRESKPPDSSKD
+ECMVKWCAIGHQERTKCDRWSGFSGGAIECETAENTEECIAKIMKGEADAMSLDGGYLYI
+AGKCGLVPVLAENYKTEGESCKNTPEKGYLAVAVVKTSDANINWNNLKDKKSCHTAVDRT
+AGWNIPMGLLYSKINNCKFDEFFSAGCAPGSPRNSSLCALCIGSEKGTGKECVPNSNERY
+YGYTGAFRCLVEKGDVAFVKDQTVIQNTDGNNNEAWAKNLKKENFEVLCKDGTRKPVTDA
+ENCHLARGPNHAVVSRKDKATCVEKILNKQQDDFGKSVTDCTSNFCLFQSNSKDLLFRDD
+TKCLASIAKKTYDSYLGDDYVRAMTNLRQCSTSKLLEACTFHKP
+>sp|P28782|S10A8_BOVIN Protein S100-A8 OS=Bos taurus OX=9913 GN=S100A8 PE=1 SV=2
+MLTDLECAINSLIDVYHKYSLKKGNYHAVYRDDLKQLLETECPKFMKKKDADTWFKELDI
+NQDGGINFEEFLVLVIKVGLEAHEEIHKE
+>sp|A1A4I1|S20A2_BOVIN Sodium-dependent phosphate transporter 2 OS=Bos taurus OX=9913 GN=SLC20A2 PE=2 SV=1
+MAMDEYLWMVILGFIIAFILAFSVGANDVANSFGTAVGSGVVTLRQACILASIFETTGSV
+LLGAKVGETIRKGIIDVNLYNNTVETLMAGEVSAMVGSAVWQLIASFLRFPISGTHCIVG
+ATIGFSLVAIGTQGVQWMELVKIVASWFISPLLSGFMSGVLFVLIRMFILKKEDPVPNGL
+RALPVFYAATIAINVFSIMYTGAPVMGLVLPMWAIALISFGVALLFALFVWLFVCPWMRR
+KITGKLQKECALSRASDESLNKIQEVESPVFKELPGAKAHDDSTVPLTGSAADPSGTSES
+MSGGHHPRAPYGRALSMTHGTTKSPVSNGTFGFDGTARADGHVYHTVHKDSGLYKDLLHR
+IRDERPADGAPRQLRRNNSYTCYTAAICGLPGLATRRGDTPAPEDSEKLVADAVAYSRRR
+LRYDSYSSYCNAVAEAEIEAEEGGVEVRLAPPLAEPEPPRDDPADEEKEEKDSPEVHLLF
+HFLQVLTACFGSFAHGGNDVSNAIGPLVALWLIYEQGAVLQEAATPVWLLFYGGVGICTG
+LWVWGRRVIQTMGKDLTPITPSSGFTIELASAFTVVIASNIGLPVSTTHCKVGSVVAVGW
+IRSRKAVDWRLFRNIFVAWFVTVPVAGLFSAAIMALLIHGILPFV
+>sp|Q29S17|SNPC5_BOVIN snRNA-activating protein complex subunit 5 OS=Bos taurus OX=9913 GN=SNAPC5 PE=3 SV=1
+MLSRLQELRKEEETLLRLKAALHDQLNRLKVEELALQSMISSRREGEMLPSQPAPEPSHD
+MLVHVDNEASINQTALELSTRSHVQEEEEEEEEEEEDS
+>sp|Q3SYX1|TRAT1_BOVIN T-cell receptor-associated transmembrane adapter 1 OS=Bos taurus OX=9913 GN=TRAT1 PE=2 SV=1
+MSGNAECHFSIWAILAFLGLALTISLIFNIFHCVEKQRQEKICTYSDDYFPREDEYDLED
+SPIYGNVDNVALEPVDENCYEQMKARPDRSVNKLQDAPPSQETAVRVCYASLDHNNEGKR
+RKPRKQKSHLSDKDEEGQMHAKDISLSKTTLVDSYPPESEAIEENIHDDPIRLFGLIRAQ
+KESLHSLDYDLAQ
+>sp|Q9MZ34|SC6A6_BOVIN Sodium- and chloride-dependent taurine transporter OS=Bos taurus OX=9913 GN=SLC6A6 PE=2 SV=1
+MATKEKLQCLKDFHKDILKPSPGKSPGTRPEDEAEGKPPQREKWASRIDFVLSVAGGFVG
+LGNVWRFPYLCYKNGGGAFLIPYFIFLFGGGLPVFFLEVIIGQYTSEGGITCWEKICPLF
+AGIGYASIVIVSLLNIYYIIILAWAMYYLFQSFQSELPWAKCNHSWNTPHCLEDTLRRNR
+SLWISNSTANFTSPVTEFWERKVLSLSSGIDEPGALKWDLALCLLLVWLVCFFCIWKGVK
+STGKVVYFTATFPFAMLLVLLVRGLTLPGAGAGIKFYLYPDISRLEDPQVWIDAGTQIFF
+SYAICLGAMTSLGSYNKYKYNSYRDCMLLGCLNSGTSFVSGFAIFSILGFMAQEQGVDIA
+DVAESGPGLAFIAYPKAVTMMPLPTFWSILFFIMLLLLGLDSQFVEVEGQITSLVDLHPS
+LLRKGFHREIFIASICCVTYLLGLTMVTEGGMYVFQLFDYYAASGVCLLWVAFFECFAIA
+WIYGSDNFYDGIEDMIGYRPGPWMKYCWAVVTPLLCTGCFIFSLVKYVPLTYNKVYTYPT
+WAIGLGWCLALSSMVCVPLVMVIRLAQTEGPFLVRLKYLLTPREPNRWAVEHEGAMPYSS
+RMAVNNTLRKPTHIIVETMM
+>sp|Q3T133|TMED9_BOVIN Transmembrane emp24 domain-containing protein 9 OS=Bos taurus OX=9913 GN=TMED9 PE=1 SV=1
+MAAERSLWVVGLCPGSRLGRVVRVLLLLLWFAARGGALYFHIGETEKKCFIEEIPDETMV
+IGNYRTQLYDKQREEYQPATPGLGMFVEVKDPEDKVILARQYGSEGRFTFTSHTPGEHQI
+CLHSNSTKFSLFAGGMLRVHLDIQVGEHANDYAEIAAKDKLSELQLRVRQLVEQVEQIQK
+EQNYQRWREERFRQTSESTNQRVLWWSILQTLILVAIGVWQMRHLKSFFEAKKLV
+>sp|O18870|SMN_BOVIN Survival motor neuron protein OS=Bos taurus OX=9913 GN=SMN1 PE=2 SV=2
+MGGGGGGFPEPEDSVLFRRGTGESDDSDVWDDTALIKAYDKAVASFKHALKNGDISEASE
+KPKGTPKRKSAKNKSQRKNTTSPSKQWKVGDNCCAIWSEDGCIYPATIASIDFKRETCVV
+VYTGYGNREEQNLSDLLSPTSEVANIEQNAQENENESQISTDESENSSRSPLNKPNNIRS
+RAAPWNSFLPPPPHMPRSGLGPGKSGLNFSGPPPPPPPPPHFLSRWLPPFPAGPPMIPPP
+PPICPDSLDDADALGSMLISWYMSGYHTGYYMGFKQSQKEGRYSHFN
+>sp|Q3T022|SAP18_BOVIN Histone deacetylase complex subunit SAP18 OS=Bos taurus OX=9913 GN=SAP18 PE=2 SV=1
+MAVESRVTQEEIKKEPEKPIDREKTCPLLLRVFTTNNGRHHRMDEFSRGNVPSSELQIYT
+WMDATLKELTSLVKEVYPEARKKGTHFNFAIVFTDLKRPGYRVKEIGSTMSGRKGTDDSM
+TLQSQKFQIGDYLDIAITPPNRAPPTSGRMRPY
+>sp|P15691|VEGFA_BOVIN Vascular endothelial growth factor A OS=Bos taurus OX=9913 GN=VEGFA PE=1 SV=1
+MNFLLSWVHWSLALLLYLHHAKWSQAAPMAEGGQKPHEVVKFMDVYQRSFCRPIETLVDI
+FQEYPDEIEFIFKPSCVPLMRCGGCCNDESLECVPTEEFNITMQIMRIKPHQSQHIGEMS
+FLQHNKCECRPKKDKARQENPCGPCSERRKHLFVQDPQTCKCSCKNTDSRCKARQLELNE
+RTCRCDKPRR
+>sp|Q3T071|TMA16_BOVIN Translation machinery-associated protein 16 OS=Bos taurus OX=9913 GN=TMA16 PE=2 SV=1
+MPKAPKGKSVGQEKKVIHPYSRKAAQITRKAHKQEKKEELKNEKALRLNLIGEKLQWFQN
+HLDPKKVGYSKRDACELIERYLNRFSSELEQIELRNSFKDRQGRRHCSREAAIRQTLERE
+QRQYQAHGLEIPDILNADNLKTFREWDFDLKKLPNIKMRKVCASDAVPKKCKKKAVTTID
+GDLGELELKDESSDTDEEMTAVA
+>sp|Q0VC74|TMLH_BOVIN Trimethyllysine dioxygenase, mitochondrial OS=Bos taurus OX=9913 GN=TMLHE PE=2 SV=1
+MWCHRLSHLQSRLQDLLRGRVTRWALQQSNFKSLFPLAIYWHHTASKSLNCVWQQHEDHF
+ELQYANNVMRFDYVWLRDHCRSASCYNSKTHQRSLDTASVDLCIQPQTIHLDETTLFFTW
+PDGHVTRYDLDWLMKNSYEGQKQKVIQPRILWNAEIYQQAQVPAVDFQTFLETKEGLKNF
+LQNFLLYGIAFVENVPPTQKHTEKLAERISLIRETIYGRMWFFTSDFSRGDTAYTKLALD
+RHTDTTYFQEPCGIQVFHCLKHEGTGGRTLLVDGFYAAEQVLQKAPEEFELLSKVPLKHE
+YIENVGECQNHMIGVGPVLNIYPWNKELYLIRYNNYDRAVINTVPYDVVHRWYTAHRTLT
+RELRRPENEFWVKLKPGKVLFIDNWRVLHGRESFTGYRQLCGCYLTRDDVLNTARLLGLQ
+A
+>sp|Q32LL2|STML2_BOVIN Stomatin-like protein 2, mitochondrial OS=Bos taurus OX=9913 GN=STOML2 PE=2 SV=1
+MLARAARGTGALLLKGSVQASARAPRRASSGLPRNTVVLFVPQQEAWVVERMGRFHRILE
+PGLNILIPVLDRIRYVQSLKEIVINVPEQSAVTLDNVTLQIDGVLYLRIMDPYKASYGVE
+DPEYAVTQLAQTTMRSELGKLSLDKVFRERESLNASIVDAINQAADCWGIRCLRYEIKDI
+HVPPRVKESMKMQVEAERRKRATVLESEGTRESAINVAEGKKQAQILASEAEKAEQINQA
+AGEASAVLAKAKAKAEAIRILAAALTQHNGDAAASLTVAEQYVSAFSKLAKDSNTILLPS
+NPGDVTSMVAQAMGVYGALTKAPIPEAQDSVSSRSSRDVRSTDASLDEELDRVKLS
+>sp|Q3T0R1|RS18_BOVIN 40S ribosomal protein S18 OS=Bos taurus OX=9913 GN=RPS18 PE=2 SV=3
+MSLVIPEKFQHILRVLNTNIDGRRKIAFAITAIKGVGRRYAHVVLRKADIDLTKRAGELT
+EDEVERVITIMQNPRQYKIPDWFLNRQKDVKDGKYSQVLANGLDNKLREDLERLKKIRAH
+RGLRHFWGLRVRGQHTKTTGRRGRTVGVSKKK
+>sp|Q1JPA3|TMM47_BOVIN Transmembrane protein 47 OS=Bos taurus OX=9913 GN=TMEM47 PE=2 SV=1
+MASAGSGMEEVRVSVLTPLKLVGLVCIFLALCLDLGAVLSPAWVTADHQYYLSLWESCRK
+PASLDIWHCESTLSSDWQIATLALLLGGAAIILIAFLVGLISICVGSRRRFYRPVAVMLF
+AAVVLQVCSLVLYPIKFIETVSLKIYHEFNWGYGLAWGATIFSFGGAILYCLNPKNYEDY
+Y
+>sp|Q17QI2|SSU72_BOVIN RNA polymerase II subunit A C-terminal domain phosphatase SSU72 OS=Bos taurus OX=9913 GN=SSU72 PE=2 SV=1
+MPSSPLRVAVVCSSNQNRSMEAHNILSKRGFSVRSFGTGTHVKLPGPAPDKPNVYDFKTT
+YDQMYNDLLRKDKELYTQNGILHMLDRNKRIKPRPERFQNCKDLFDLILTCEERVYDQVV
+EDLNSREQETCQPVHVINVDIQDNHEEATLGAFLICELCQCIQHTEDMENEIDELLQEFE
+EKSGRTFLHTVCFY
+>sp|A7Z033|T11L2_BOVIN T-complex protein 11-like protein 2 OS=Bos taurus OX=9913 GN=TCP11L2 PE=2 SV=1
+MPFNGEKQCVSEDQPSDSDSSRFSESMASLSDYECSRQSFTSDSSSKSSSPASTSPPRVV
+TFDEVMAAARNLSNMTLAHEIAVNENFQLKQDALPESSLAGRVRHIVHQAFWDVLESELN
+AEPPEYEHAIKLFEEIREILLSFLTPGGNRLRNQICEVLDTDLIRQQAEHSAVDIQGLAN
+YVISTMGKLCAPVRDDDIRELKATSNIVEVLRQIFHVLDLMKMDMVNFTIRSLRPHLQRQ
+LVDYERTKFQEILEETPSALNQTTEWIKESVHEELLSLSEATLTPGAENNSKPSLSPTLV
+LNNSYLKLLQWDYQKKELPETLMTDGARLQELTEKLNQLKMIACLALITNNMVGALTEGL
+PELAVRLKRISAVLLEGMNKETFNLKEVLNSIGIQICVEVNKTLMERGLPTLNAEVQDNL
+VGQFSSIEEEDNPIWSLIDKRIQLYMKSLLCLPSPPRCMPPVPGGLAVVQQELESLGLQY
+ANIVNLNKQVYGPFYANILRKLLFGEEATGKAEASSSTN
+>sp|Q32PD9|SMCO4_BOVIN Single-pass membrane and coiled-coil domain-containing protein 4 OS=Bos taurus OX=9913 GN=SMCO4 PE=3 SV=1
+MRQLKGKPKKETSRDKKERKQAMQEARRQITTVVLPTLAVVVLLIVVFVYVATRPASTE
+>sp|Q32PG3|WDR48_BOVIN WD repeat-containing protein 48 OS=Bos taurus OX=9913 GN=WDR48 PE=2 SV=1
+MAAHHRQNTAGRRKVQVSYVIRDEVEKYNRNGVNALQLDPALNRLFTAGRDSIIRIWSVN
+QHKQDPYIASMEHHTDWVNDIVLCCNGKTLISASSDTTVKVWNAHKGFCMSTLRTHKDYV
+KALAYAKDKELVASAGLDRQIFLWDVNTLTALTASNNTVTTSSLSGNKDSIYSLAMNQLG
+TIIVSGSTEKVLRVWDPRTCAKLMKLKGHTDNVKALLLNRDGTQCLSGSSDGTIRLWSLG
+QQRCIATYRVHDEGVWALQVNDAFTHVYSGGRDRKIYCTDLRNPDIRVLICEEKAPVLKM
+ELDRSADPPPAIWVATTKSTVNKWTLKGIHNFRASGDYDNDCTNPITPLCTQPDQVIKGG
+ASIIQCHILNDKRHLLTKDTNNNVAYWDVLKACKVEDLGKVDFEDEIKKRFKMVYVPNWF
+SVDLKTGMLTITLDESDCFAAWVSAKDAGFSSPDGSDPKLNLGGLLLQALLEYWPRTHVN
+PIDEEENEVNHVNGEQENRVQKGNGYFQVPPHTPVIFGEAGGRTLFRLLCRDSGGETESM
+LLNETVPQWVIDITVDKNMPKFNKIPFYLQPHASSGAKTLKKDRLSASDMLQVRKVMEHV
+YEKIINLDNESQTTSSSNNEKPGEQEKEEDIAVLAEEKIELLCQDQVLDPNMDLRTVKHF
+IWKSGGDLTLHYRQKST
+>sp|Q17QU6|UIF_BOVIN UAP56-interacting factor OS=Bos taurus OX=9913 GN=FYTTD1 PE=2 SV=1
+MNRFSTRLMGATATPPPAPPKARSNENLDKIDMSLDDIIKLNRKEGKKQNFPRLNRRLQQ
+SSARQFRMRVRWGIQQNSGFGKNSLSRRGRVMPGKRRPYGVITGLAARKATGIRKGISPM
+NRPPLSDKNIERYFPALKRKANLLRQNEVQRKPVAALKRPNQLNRKNNIPNNFTRSGNKL
+SHQKDTRQATFLFRRGLKVQAQLNSEQLLDDVVAKRTRQWRTSTTNGGILTVSIDNPGAV
+QCPVTQKPRLTRTAVPSFLTKRDQSDIKKVPKGVPLQFDINSVGKQTGMTLNERFGILKE
+QRATLTFNKGGSRFVTVG
+>sp|Q32L57|TUSC3_BOVIN Tumor suppressor candidate 3 OS=Bos taurus OX=9913 GN=TUSC3 PE=2 SV=1
+MGARGAPSRRRQAGRRPRYLPTGSFPFLLLLLLLCIQLGGGQKKKENLLAEKVEQLMEWS
+SRRSVFRMNGDKFRKFIKAPPRNYSMIVMFTALQPQRQCSVCRLANEEYQILANSWRYSS
+AFCNKLFFSKVDYDEGTDIFQQLNINSAPTFMHFPPKGRPKRADTFDLQRIGFGAEQLAK
+WIADRTDVHIRVFRPPNYSGTIALALLVSLVGGLLYLRRNNLEFIYNKTGWAMVSLCIVF
+AMTSGQMWNHIRGPPYAHKNPHNGQVSYIHGSSQVQFVAESHIILVLNAAITMGMDLLNE
+AATSKGDVGKRRIICLVGLGLVVFFFSFLLSIFRSKYHGYPYSFLIK
+>sp|Q3MHN5|VTDB_BOVIN Vitamin D-binding protein OS=Bos taurus OX=9913 GN=GC PE=2 SV=1
+MKRILVFLLAVAFVHALERGRDYEKDKVCKDLASLGREDFTSLSMVLYSRKFPSGTFEQI
+SHLVNEVVSLTVTCCAEGADPDCYDNRTSALSDKSCESNSPFPVHPGTPECCTHEGLEKK
+LCMAALKHQPQEFPTYVEPTNDEICEAFRKDPKDFADRFMYEYSINYGQAPLTLLVGYTK
+SYLSMVGSCCTSPNPTVCFLKERLQLKHFSLLTIMTNRICSQYAAYGKEKSRLSHLIKFA
+QKVPTAHLEDVLPLAEDITTILSKCCDSVSEDCIKELPEYAVKLCDNLSTKNSKFKDCCQ
+EKTPMEIFVCAYFMPASPNPELPDVKLPMNKDVCDEGNTKVLDQYIFELSRKTQIPEVFL
+TKILESTLKSLDECCHSESSTACLNAKGPQLTRELSSFIQKGQELCADYSENTFTEYKKK
+LAERLRGKFPDATETDLQELVAKRSDFASKCCSVNSPPLYCNSEIDAEINTLQS
+>sp|Q8MIU0|TYRO_BOVIN Tyrosinase OS=Bos taurus OX=9913 GN=TYR PE=2 SV=2
+MLLAALYCLLWSFRTSAGHFPRACASSKSLTEKECCPPWAGDGSPCGRLSGRGSCQDVIL
+STAPLGPQFPFTGVDDRESWPSIFYNRTCQCFSNFMGFNCGSCKFGFRGPRCTERRLLVR
+RNIFDLSVPEKNKFLAYLTLAKHTTSPDYVIPTGTYGQMNHGTTPLFNDVSVYDLFVWMH
+YYVSRDTLLGDSEVWRDIDFAHEAPGFLPWHRLFLLLWEQEIQKLTGDENFTIPYWDWRD
+AENCDVCTDEYMGGRNPANPNLLSPASFFSSWQIVCSRLEEYNSRQALCNGTSEGPLLRN
+PGNHDKARTPRLPSSADVEFCLSLTQYESGSMDKAANFSFRNTLEGFADPVTGIADASQS
+SMHNALHIYMNGTMSQVPGSANDPIFLLHHAFVDSIFEQWLRKYHPLQDVYPEANAPIGH
+NRESYMVPFIPLYRNGDFFISSKDXGYDYSYLQDSEPDIFQDYIKPYLEQAQRIWPWLIG
+AAVVGSVLTAVLGGLTSLLCRRKRNQLPEEKQPLLMEKEDYHNLMYQSHL
+>sp|Q2KIH1|ZNHI3_BOVIN Zinc finger HIT domain-containing protein 3 OS=Bos taurus OX=9913 GN=ZNHIT3 PE=2 SV=1
+MSSLSCGTAVCVVCLEKPKYRCPACRVPYCSLPCFRKHKAPPLQQLPVCPLRLGACCCFI
+EQCRPAAGPVEKKIRSALTAKTKKPVENEGSLDDDDSVADFLNSDEEEDRVSLQNLKNLG
+ESAALRSLLLNPHLRQLMVDLDQADDKAKLMRACMQEPLFVEFADCCLSIVEPSQNEDP
+>sp|Q58DA8|ZDHC9_BOVIN Palmitoyltransferase ZDHHC9 OS=Bos taurus OX=9913 GN=ZDHHC9 PE=2 SV=1
+MSVMVVRKKVTRKWEKLPGRNTFCCDGRVMMARQKGIFYLTLFLILGTCTLFFAFECRYL
+AVQLSPAIPVFAAMLFLFSMATLLRTSFSDPGVIPRALPDEAAFIEMEIEATNGAVPQGQ
+RPPPRIKNFQINNQIVKLKYCYTCKIFRPPRASHCSICDNCVERFDHHCPWVGNCVGKRN
+YRYFYLFILSLSLLTIYVFAFNIVYVALKSLKIGFLETLKETPGTVLEVLICFFTLWSVV
+GLTGFHTFLVALNQTTNEDIKGSWTGKNRVQNPYSHGNIVKNCCEVLCGPLPPSVLDRRG
+ILPLEESGSRPPSTQEASTSLLPQGPAPIDHLSNEMPEDTSTPEEMPPPEPPEPPQEVTE
+AEK
+>sp|Q3SZ13|UQCC2_BOVIN Ubiquinol-cytochrome-c reductase complex assembly factor 2 OS=Bos taurus OX=9913 GN=UQCC2 PE=2 SV=1
+MAASRYRRFLKLCEEWPVDETKRGRDLGAYLRQRVAQAFREGENTQVAEPEACDEMYESL
+ARLHSNYYKHKYPRPRETSFSGLSLEEYKLILSTDTLDEFKEMNKGTWKKLQEKFAPGSP
+EGKHTAWARALPRPRT
+>sp|P0CH28|UBC_BOVIN Polyubiquitin-C OS=Bos taurus OX=9913 GN=UBC PE=1 SV=1
+MQIFVKTLTGKTITLEVEPSDTIENVKGKIQEKEGIPPDQQRLIFAGKQLEDGRTLSDYN
+IQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLI
+FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVEPSDTIENVKA
+KIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKT
+ITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLR
+LRGGMQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTL
+SDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQ
+QRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVEPSDTIE
+NVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTL
+TGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLH
+LVLRLRGGMQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLED
+GRTLSDYNIQKESTLHLVLRLRGGVLSSPF
+>sp|Q3SYR2|U119A_BOVIN Protein unc-119 homolog A OS=Bos taurus OX=9913 GN=UNC119 PE=2 SV=1
+MKVKKGGGGAGTGAEHAPGASGPNVEPKPELQAESESGSESEPEAGPGPRPGPLQRKQPI
+GPEDVLGLQRITGDYLCSPEENIYKIDFVRFKIRDMDSGTVLFEIKKPPASERLPINPRD
+LDPNAGRFVRYQFTPAFLRLRQVGATVEFTVGDKPVNNFRMIERHYFRNQLLKSFDFHFG
+FCIPSSKNTCEHIYDFPALSEELINEMIRHPYETQSDSFYFVDDRLVMHNKADYSYSGTP
+>sp|Q56JZ7|ZCRB1_BOVIN Zinc finger CCHC-type and RNA-binding motif-containing protein 1 OS=Bos taurus OX=9913 GN=ZCRB1 PE=2 SV=1
+MSGGLAPSKSTVYVSNLPFSLTNNDLYRIFSKYGKVVKVTIMKDKDTRRSKGVAFILFLD
+KDSAQNCTRAINNKQLFGRVIKASIAIDNGRAAEFIRRRNYFDKSKCYECGESGHLSYAC
+PKNMLGEREPPKKKEKKKKKKIPEPEEEIEEVEESEDEGEDPALDSLSQAIAFQQAKIEE
+EQKKWKPSSGGPSTSDDSRRPRIKKSTYFSDEEELSD
+>sp|Q0VCW1|SPOP_BOVIN Speckle-type POZ protein OS=Bos taurus OX=9913 GN=SPOP PE=2 SV=1
+MSRVPSPPPPAEMSSGPVAESWCYTQIKVVKFSYMWTINNFSFCREEMGEVIKSSTFSSG
+ANDKLKWCLRVNPKGLDEESKDYLSLYLLLVSCPKSEVRAKFKFSILNAKGEETKAMESQ
+RAYRFVQGKDWGFKKFIRRDFLLDEANGLLPDDKLTLFCEVSVVQDSVNISGQNTMNMVK
+VPECRLADELGGLWENSRFTDCCLCVAGQEFQAHKAILAARSPVFSAMFEHEMEESKKNR
+VEINDVEPEVFKEMMCFIYTGKAPNLDKMADDLLAAADKYALERLKVMCEDALCSNLSVE
+NAAEILILADLHSADQLKTQAVDFINYHASDVLETSGWKSMVVSHPHLVAEAYRSLASAQ
+CPFLGPPRKRLKQS
+>sp|Q3MHH4|SYQ_BOVIN Glutamine--tRNA ligase OS=Bos taurus OX=9913 GN=QARS PE=2 SV=1
+MAALDSLSLFTGLGLSEQKARETLKNTVLSAQLREAATQAQQTLGSSIDKATGTLLYGLA
+SRLRDPRRLSFLVSYITSRKIHTETQLSAALEYVRSHPLDPINTEDFEQECGVGVVVTPE
+QIEEAVEAAINRHRAKLLVERYHFSMGLLMGEARAALKWADGKMIKHEVDMQVLHLLGPK
+TETDLEKKPKVAKARPEETDQRTAKDVVENGEVVVQTLSLMEQLRGEALKFHKPGENYKT
+PGYVTTPHTMDLLKQHLDITGGQVRTRFPPEPNGILHIGHAKAINFNFGYAKANNGICFL
+RFDDTNPEKEEAKFFTAIYDMVAWLGYTPYKVTYASDYFDQLYAWAVELIRRDQAYVCHQ
+RGEELKGHNPLPSPWRDRPIEESLLLFEAMRKGKFAEGEATLRMKLVMEDGKMDPVAYRV
+KYTPHHRTGDTWCIYPTYDYTHCLCDSIEHITHSLCTKEFQARRSSYFWLCNALDVYCPV
+QWEYGRLNLHYAVVSKRKILQLVAAGAVRDWDDPRLFTLTALRRRGFPPEAINNFCARVG
+VTVAQTTMEPHLLEACVRDVLNDTAPRAMAVLEPLQVVITNFPATKALDIQVPNFPADET
+KGFHQVPFGSTVFIERMDFKEEPEPGYKRLAWGQPVGLRHTGYVIELQHVVKGPSGCVES
+LKVTCRRADAGEKPKAFIHWVSQPLTCEIRLYERLFQHKNPEDPAEVPGGFLSDLNPASL
+QVVEAALVDCSVALAKPFDKFQFERLGYFSVDPDSNQGQLVFNRTVTLKEDPGKV
+>sp|Q6H8M7|SIA10_BOVIN Type 2 lactosamine alpha-2,3-sialyltransferase OS=Bos taurus OX=9913 GN=ST3GAL6 PE=2 SV=1
+MRGYLLAIFLSAVFLYYVLHCILWRTNDYGVTPMEMKQRNKIRSCLSKPAFASLLRYHQF
+HPFLCAANFKNTASFYGSDKFDLPYGMKASATHFRLALWKLPNCDIFDEFDNVPCKKCVV
+VGNGGILKNKTLGEKIDSYDVIIRMNNGPVLGHEEEVGRRTTFRLFYPESVFSDPNHNDP
+NTTVILTAFKPLDLKWLWELLTGGKISPNGFWKEPALNLIYKPYQIRILDPYITRMAAYE
+LLHFPKVFPKNQKPKHPTTGIIAITLAFHICHEVHLAGFKYNFSDLKSPLHYYGNATMSL
+MSENEYHNVTAEQLFLKDIIEKNFVTDLTQE
+>sp|Q2M2T7|RT24_BOVIN 28S ribosomal protein S24, mitochondrial OS=Bos taurus OX=9913 GN=MRPS24 PE=1 SV=1
+MVALYCGGGLRPLMLSWSRDLPCIWRALHTSAVCFKNRAARVRVGKGNKPVTYEEAHAPH
+YIAHRKGWLSLHTGNLDGEDHAAERTVEDVFLRKFMLGTFPGCLADQLILKRRANQVEIC
+ALVLRQLPAHKFYFLVGYSETLLSHFYKCPVRLHLQTVPSKVVYKYI
+>sp|Q3MHQ0|USH1C_BOVIN Harmonin OS=Bos taurus OX=9913 GN=USH1C PE=2 SV=1
+MDRKVAREFRHKVDFLIENDAEKDYLYDVLRMYHQTMDVAVLVGDLKLVINEPSRLPLFD
+AIRPLIPLKHQVEYDQLTPRRSRKLKEVRLDRLHPEGLGLSVRGGLEFGCGLFISHLIKD
+GQADSVGLQVGDEIVRINGYSISSCTHEEVINLIRTKKTVSIKVRHIGLIPVKSSPDEPL
+KWQYVDQFVSESGGGRSSLGSPGSQENKEKKVFISLVGSRGLGCSISSGPIQKPGIFISH
+VKPGSLSAEVGLETGDQIVEVNGIDFSNLDHKEAVNVLKSSRSLTISIVAGAGRELFMTD
+QERLAEVRQRELQRQELLMQKRLAMESNKILQEQQEMERQRKKEIAQKAAEENERYRKEM
+EQIVEEEEKFRKQWEEDWGSKEQLRSPKTITAEVHPIPLRKPKYDLGVDPEFDPADDLDG
+GTNKRGEQDFRKYEEGFDPYSMFTPEQIMGKDVRLLRVKKEGALDLALEGGVDSPIGKVV
+VSAVYEGGAAERHGGIVKGDEIMAINGKIVTDYTLAEAEAALQKAWNQGDWIDLVVAVCP
+PKEYDDELTFF
+>sp|Q1LZ87|ZN397_BOVIN Zinc finger protein 397 OS=Bos taurus OX=9913 GN=ZNF397 PE=2 SV=1
+MAVESRAVSTPVPQNSQEQELILVKVEESRSWGQKLKQSGSARSCQELFRQQFRKFCYQE
+TPGPREALGRLQELCYQWLRPELHTKEQILELLVLEQFLSILPEELQIWVQQHSPKNGEE
+AVTLLEDLEREFDDPGQQVPATPQGPPMPWKDLTCLGAAQEFTHIQRQPLKKQLKPWEPC
+LPPKSGCENNESAIKKDISGEKSQRLSQEPSFGGFSEHKSSLEWQQGSAPGETLRRSPSQ
+RASFSPVIFTHKLLANRDHPEPQRNLILSTNSVTYQKVPTEERPYRCDICGHSFKQHSSL
+TQHQRIHTGEKPYKCNQCGKAFSLRSYLIIHQRIHSGEKAYECSECGKAFNQSSALIRHR
+KIHTGEKACKCNECGKAFSQSSYLIIHQRIHTGEKPYECNECGKTFSQSSKLIRHQRIHT
+GERPYECNECGKAFRQSSELITHQRIHSGEKPYECNECGKAFSLSSNLIRHQRIHSGEEP
+YQCNECGKTFKRSSALVQHQRIHSGEEAYICSECGKAFRHRSVLTRHQRVHTVK
+>sp|Q17QR7|ZN691_BOVIN Zinc finger protein 691 OS=Bos taurus OX=9913 GN=ZNF691 PE=2 SV=1
+MGSEKEQSPEQHLPEEGERGNKPWRVDDSKVPDGEKEPGQANLSEAPQGPSPEEPTQEVA
+VSAAEPEDLSAHRRPEVDEKPFVCVQCGKTFNNTSNLRTHQRIHTGEKPYQCSECGKSFS
+RSSNRIRHERIHLEEKHYKCPNCEQSFRRHADLTTHQQDHLGRRPFRCDLCGKSFGQSSA
+LAVHYRTHLEPAPYICCECGKSFSNSSSFGVHHRTHTGERPYECTECGRTFSDISNFGAH
+QRTHRGEKPYRCTACGKHFSRSSNLIRHQKTHRGEQAGRESS
+>sp|Q1XFL1|ZAR1L_BOVIN ZAR1-like protein OS=Bos taurus OX=9913 GN=ZAR1L PE=2 SV=3
+MERIFCVPYSLYPGYGNMLRLGQSGLSEPRQPHWRQTNVPPTFLARPRLLMPSNASDCCV
+DPYKRAQLMAVFSHMNPGLSLRLRKANTKDVGVQVSLRVGKSVQCSLGPRTLDSLSPWAS
+AGHRAPASAWGVSSSVPGHWGEVRLREELSDPPEAGQPPPPLPPPSPPPRSEEDPPEELQ
+PREELVEEDSSSPRERKSKPAPVDSSQPLGRPNFQFLEPKYGYFHCKDCKTRWESAYVWC
+ISGTNKVYFKQLCCKCQKSFNPYRVEAIQCQTCSKSRCSCPQKKRHINLRRPHRQELCGR
+CKDKRFSCGSIYSFKYIM
+>sp|Q95141|INAR2_BOVIN Interferon alpha/beta receptor 2 OS=Bos taurus OX=9913 GN=IFNAR2 PE=2 SV=1
+MLLSQNVSAIGPLNLYPMVHISLVFGISYVAPVLSDEHCTLKMRFRNFQSVLSWELKNHS
+IVPTHYTLWYTIMSKREDMKVVKDCINVTRSFCDLTDVWVNTTDMYIPQVVGFRENAKLV
+ICMGSFFLVPDKPLDPPEFEIVGFTNHISVNVKFQFDSPGILSEELQFYLAFIEEHAGNS
+VKRHQPQITGNITKNFNYVIDKLIPNTNYCISVYFEPKDPRKINRSPLKCTLFRPRRESE
+SSESATIGGIITLFLITAVFISTVMILKRIGYICLRNDFPKVLNFYKLSVWVFAELPPLE
+KVATVEVIHVNRKKKVWNYNYDDENDIENEAAPHLISGGYTKHGLTGKLCPTSTTSPSLE
+DCSLEDCSEPSAEEPYLPEPKGDAETPMAPGPGLWQSEGTSGGYQTRGTLWQDPTSEEDS
+DSTEGSEGRIVFNVNLNSVCVRALEDDKDSEVTLMSPSCPEETVELEDLNETESSVLVAS
+EEGTQLPFTNPSTECPRPQDAPSDKSDTSDPDVDMGDGYIVRQVNLKKFN
+>sp|Q3SZ48|PP4P2_BOVIN Type 2 phosphatidylinositol 4,5-bisphosphate 4-phosphatase OS=Bos taurus OX=9913 GN=PIP4P2 PE=2 SV=1
+MAADGVDERSPLLSASHSGSVTPTAPPYLQDSSPRAELPPPYTAIVSPDASGIPVINCRV
+CQSLINLDGKLHQHVVKCTVCNEATPIKNPPAGKKYVRCPCNCLLICKDTSRRIGCPRPN
+CRRIINLGPVMLVSEEQPAQPALPVQPEGTRVVCGHCGNTFLWMELRFNTLAKCPHCKKI
+SSVGSALPRRRCCAYITIGMMCIFIGIGLTVGTQDFARRFHATYVSWAIAYLLGLVCLIR
+ACYWGAIRVSYPEHSFA
+>sp|A1A4J1|PFKAL_BOVIN ATP-dependent 6-phosphofructokinase, liver type OS=Bos taurus OX=9913 GN=PFKL PE=2 SV=1
+MASVDLEKLRTTGAGKAIGVLTSGGDAQGMNAAVRAVTRMGIYVGAKVFLIYEGYEGLVE
+GGENIKQANWLSVSNIIQLGGTVIGSARCKAFTTREGRRAAAYNLVQRGITNLCVIGGDG
+SLTGANIFRSEWGSLLEELVSEGKISEGTAQTYSHLNIAGLVGSIDNDFCGTDMTIGTDS
+ALHRIMEVIDAITTTAQSHQRTFVLEVMGRHCGYLALVSALASGADWLFIPEAPPEDGWE
+NFMCERLGETRSRGSRLNIIIIAEGAIDRNGKPISSRYVKDLVVQRLGFDTRVTVLGHVQ
+RGGTPSAFDRILSSKMGMEAVMALLEATPDTPACVVSLSGNQSVRLPLMECVQMTKEVQK
+AMDEKRFDEAIQLRGGSFENNWNIYKLLSHQKISKEKTNFSLAILNVGAPAAGMNAAVRS
+AVRSGISQGHTVYVVHDGFEGLAKNQVQEVSWHDVAGWLGRGGSMLGTKRTLPKGFMEKI
+VENIRLHNIHALLVIGGFEAYEGVLQLVEARGRYEELCIVMCVIPATISNNVPGTDFSLG
+SDTAVNAAMESCDRIKQSASGTKRRVFIVETMGGYCGYLATVTGIAVGADAAYVFEDPFN
+IQDLKANVEHMTEKMKTEIQRGLVLRNEKCHEHYTTEFLYNLYSSEGKGVFDCRTNVLGH
+LQQGGAPTPFDRNYGTKLGVKAIIWMSEKLRAVYRNGRVFANASDSACVIGLQKKVVAFS
+PVTELKKDTDFEHRMPREQWWLNLRLMLKMLAHYRISMADYVSGELEHVTRRTLSIETGF
+>sp|Q5EAA4|MOB3C_BOVIN MOB kinase activator 3C OS=Bos taurus OX=9913 GN=MOB3C PE=2 SV=1
+MALCLKQVFSKDKTFRPRKRFEPGTQRFELYKKAQASLKSGLDLRSVVRLPPGENIDDWI
+AVHVVDFFNRINLIYGTMAERCSETSCPVMAGGPRYEYRWQDERQYRRPAKLSAPRYMAL
+LMDWIESLINDEDVFPTRVGVPFPKNFQQVCTKILTRLFRVFVHVYIHHFDSILSMGAEA
+HVNTCYKHFYYFIREFSLVDQRELEPLREMTERICH
+>sp|Q2KJC3|MPEG1_BOVIN Macrophage-expressed gene 1 protein OS=Bos taurus OX=9913 GN=MPEG1 PE=2 SV=2
+MNSFRGAFLIWAVATWAETDTSWGATDEPGFQNCKNALKLPVLPVLPGGGWDNLRNVDTG
+RVMELAYSHCRTTEDGQYIVPDEIFTIPQKQSNLEMNSKILESWVNYQSSTSNSINMELS
+LFSKVNGKFSLEFQRIKTLQVKDQAVTTQVQVRNLVYTVKINPDAELSLGFKKALMDISE
+QLENNQTRMATYLAELLVLNYGTHVITSVDAGAALIQEDHIRSSFLQDSQSSRSAVTASA
+GITFLNIVNFKFEENYTSQNTFTKSYLSNRTNSRVQSFGGLPFYPGITLQAWQQGVSNHL
+VAMDRAGLPLYFFINPERLPDLPGPLVRKLSKTVEAAVRRYYAVNTYPGCTDLSSPNFNF
+QANTDDGSCEGKMTNFSFGGVYQECTQFSGNEVVQLCQNLEQKNPLTGSVSCPSGYSPVQ
+LLTQTHEEGYNHLECSRKCTLYIFCKTVCEDVFRVARAEFRAFWCAASGQVSENSGLLFG
+GLFSGKSINPLTNAQSCPAGYFQLKLFENLKVCASLDYELGYRFSIPFGGFFSCAAGNPL
+VDSATSKDLGAPSLRKCPGGFSQHLALISDGCQVSYCVKAGLFTGGSLPPVRLPPYTRPP
+LMSQVATNTVLVTNHETASSWIKDPQTHQWRLGEPLELRRAMRVVHGDGEGLSGGAAAGL
+TLGVTIALAGVVALAIYGARKSRKKGYQALQDEKQSLAAGAAVNGDALDQEQAQNPA
+>sp|Q0VCU0|PCBP4_BOVIN Poly(rC)-binding protein 4 OS=Bos taurus OX=9913 GN=PCBP4 PE=2 SV=1
+MSGSDAGLEEEPELSITLTLRMLMHGKEVGSIIGKKGETVKRIREQSSARITISEGSCPE
+RITTITGSTAAVFHAVSMIAFKLDEDLCAAPANGGNVSRPPVTLRLVIPASQCGSLIGKA
+GTKIKEIRETTGAQVQVAGDLLPNSTERAVTVSGVPDAIILCVRQICAVILESPPKGATI
+PYHPSLSLGTVLLSTNQGFSVQGQYGTVTPAEVTKLQQLSGHAVPFASPSMVPGLDPSTQ
+TSSQEFLVPNDLIGCVIGRQGSKISEIRQMSGAHIKIGNQAEGAGERHVTITGSPVSIAL
+AQYLITACLETAKSTSGGTPGSAPTDLPAPFSPPLTALPTAPPGLLGTPYAISLSNFIGL
+KPVPFLALPPASPGPPPGLAAYTAKMAAANGSKKAERQKFSPY
+>sp|A0JN86|PCGF5_BOVIN Polycomb group RING finger protein 5 OS=Bos taurus OX=9913 GN=PCGF5 PE=2 SV=1
+MATQRKHLVKDFNPYITCYICKGYLIKPTTVTECLHTFCKTCIVQHFEDSNDCPRCGNQV
+HETNPLEMLRLDNTLEEIIFKLVPGLREQLERESEFWKKNKPQENGQDDMSKVDKPKVDE
+EGDENQDDKDYHRSDPQIAICLDCLRNNGQSGDNVVKGLMKKFIRCSTRVTVGTIKKFLS
+LKLKLPSSYELDVLCNGEIMGKDHTMEFIYMTRWRLRGENFRCLNCSASQVCSQDGPLYQ
+SYPMVLQYRPRIDFG
+>sp|Q2KIP6|OPRK_BOVIN Kappa-type opioid receptor OS=Bos taurus OX=9913 GN=OPRK1 PE=2 SV=1
+MEPPVQIFRGEPGPTCSPSTCLPPNGSGWFPGWAEPDGNGSAGSEDVLLEPAHISPVILV
+IITAVYSVVFVVGLVGNSLVMFVIIRYTKMKTATNIYIFNLALADALVTTTMPFQSTVYL
+MNSWPFGDVLCKVVISIDYYNMFTSIFTLTMMSVDRYIAVCHPVKALDFRTPLKAKIINI
+CIWILSSSVGISAIVLGGTKVREDMEVIECSLQFPDDDYSWWDLFMKVCVFVFAFVIPVL
+IIIVCYTLMILRLKSVRLLSGSREKDRNLRRITRLVLVVVAVFVVCWTPIHIFILVEALG
+STAHSTAALSSYYFCIALGYTNSSLNPILYAFLDENFKRCFRDFCFPIKMRMERQSTSRV
+RNTVQDPAYVREVDGVNKPV
+>sp|Q95140|RLA0_BOVIN 60S acidic ribosomal protein P0 OS=Bos taurus OX=9913 GN=RPLP0 PE=2 SV=3
+MPREDRATWKSNYFLKIIQLLDDYPKCFIVGADNVGSKQMQQIRMSLRGKAVVLMGKNTM
+MRKAIRGHLENNPALEKLLPHIRGNVGFVFTKEDLTEIRDMLLANKVPAAARAGAIAPCE
+VTVPAQNTGLGPEKTSFFQALGITTKISRGTIEILSDVQLIKTGDKVGASEATLLNMLNI
+SPFSFGLVIQQVFDNGSIYNPEVLDITEETLHSRFLEGVRNVASVCLQIGYPTVASVPHS
+IINGYKRVLALSVETDYTFPLAEKVKAFLADPSAFVAAAPVAAAPAAAPAATTAAPAKVE
+AKEESEESDEDMGFGLFD
+>sp|Q2YDI0|RM11_BOVIN 39S ribosomal protein L11, mitochondrial OS=Bos taurus OX=9913 GN=MRPL11 PE=1 SV=2
+MSKLSRATRALRKPEAEGVIRTILRAGQAMPGPPLGPILGQRGVSINQFCKEFNEKTKDI
+KEGIPLPTKIFVKPDRTFEIKIGQPTVSYFLKAAAGIEKGARNTGKEVAGLVTLKHVYEI
+ARVKAQDDAFALQDVPLSSVVRSIIGSARSLGIRVVKDLSSEELAAFQKERALFLAAQKE
+ADLAAQAEAAKK
+>sp|Q2HJ68|RND1_BOVIN Rho-related GTP-binding protein Rho6 OS=Bos taurus OX=9913 GN=RND1 PE=2 SV=1
+MKERRAPQPVVARCKLVLVGDVQCGKTAMLQVLAKDCYPETYVPTVFENYTACLETEEQR
+VELSLWDTSGSPYYDNVRPLCYSDSDAVLLCFDISRPETVDSALKKWRTEILDYCPSTRV
+LLIGCKTDLRTDLSTLMELSHQKQAPISYEQGCAIAKQLGAEIYLEGSAFTSEKSIHSIF
+RTASMVCLNKPSPMPPKSPVRSLSKRLLHLPSRSELISSTFKKEKAKSCSIM
+>sp|Q24JY1|RL23A_BOVIN 60S ribosomal protein L23a OS=Bos taurus OX=9913 GN=RPL23A PE=2 SV=1
+MAPKAKKEAPAPPKAEAKAKALKAKKAVLKGVHSHKKKKIRTSPTFRRPKTLRLRRQPKY
+PRKSAPRRNKLDHYAIIKFPLTTESAMKKIEDNNTLVFIVDVKANKHQIKQAVKKLYDID
+VAKVNTLIRPDGEKKAYVRLAPDYDALDVANKIGII
+>sp|Q3T0J3|RM16_BOVIN 39S ribosomal protein L16, mitochondrial OS=Bos taurus OX=9913 GN=MRPL16 PE=1 SV=1
+MWRLLSGARAPVLRATLSDSWAAPPARAGLKTLLPVPTFEDVSIPEKPKLRFVERAPLVP
+KVRREPKNLRDIRGPSTEATEFTEGNFAILALGGGYLHWGHFEMMRLTINRFMDPKNMFA
+LWRVPAPFKAITRKGMGQRMGGGKGAIDHYVTPVKAGRLIVEVGGRCEFQEVQGILNQVA
+HKLPFPAKAVSRETLEKIQKDQEERERNNQNPWTFERIATANMLGIRKVLSPYDLTHRGR
+YWGKFYMPERV
+>sp|Q2NKY7|SEPT2_BOVIN Septin-2 OS=Bos taurus OX=9913 GN=SEPTIN2 PE=2 SV=1
+MSKQQQTQFINPETPGYVGFANLPNQVHRKSVKKGFEFTLMVVGESGLGKSTLINSLFLT
+DLYPERVIPGAAEKIERTVQIEASTVEIEERGVKLRLTVVDTPGYGDAINCRDCFKTIIC
+YIDEQFERYLHDESGLNRRHIIDNRVHCCFYFISPFGHGLKPLDVAFMKAIHNKVNIVPV
+IAKADTLTLKERERLKKRILDEIEEHNIKIYHLPDAESDEDEDFKEQTRLLKASIPFSVV
+GSNQLIEAKGKKVRGRLYPWGVVEVENPEHNDFLKLRTMLITHMQDLQEVTQDLHYENFR
+SERLKRGGRKVENEDMNKDQILLEKEAELRRMQEMIARMQAQMQLQLQGGDGDSGVHGQH
+V
+>sp|Q861R0|SOCS2_BOVIN Suppressor of cytokine signaling 2 OS=Bos taurus OX=9913 GN=SOCS2 PE=2 SV=1
+MTLRCLESSGNGAEGAQSQWGTAGSAEEPSPEAARLAKALRELSHTGWYWGSMTVNEAKE
+KLKEAPEGTFLIRDSSHSDYLLTISVKTSAGPTNLRIEYQDGKFRLDSIICVKSKLKQFD
+SVVHLIDYYVQMCKDKRTGPEAPRNGTVHLYLTKPLYTSAPPLQHLCRLTINKCTSTVWG
+LPLPTRLKDYLEEYKFQV
+>sp|A2VDL9|T184B_BOVIN Transmembrane protein 184B OS=Bos taurus OX=9913 GN=TMEM184B PE=2 SV=1
+MTVRGAALAPDPASPTTAAASPSISVIPEGSPTAMEQPVFLMTTAAQAISGFFVWTALLI
+TCHQIYMHLRCYSCPNEQRYIVRILFIVPIYAFDSWLSLLFFTNDQYYVYFGTVRDCYEA
+LVIYNFLSLCYEYLGGESSIMSEIRGKPIESSCMYGTCCLWGKTYSIGFLRFCKQATLQF
+CVVKPLMAVSTVVLQAFGKYRDGDFDVTSGYLYVTIIYNISVSLALYALFLFYFATRELL
+SPYSPVLKFFMVKSVIFLSFWQGMLLAILEKCGAIPKIHSARVSVGEGTVAAGYQDFIIC
+VEMFFAALALRHAFTYKVYADKRVDAQGRCAPMKSISSSLKETMNPHDIVQDAIHNFSPA
+YQQYTQQSTLEPGPTWRGGAHGLSRSHSLSGARDNEKTLLLSSDDEF
+>sp|P81127|SNAG_BOVIN Gamma-soluble NSF attachment protein OS=Bos taurus OX=9913 GN=NAPG PE=1 SV=3
+MAAQKINEGLEHLAKAEKYLKTGFLKWKPDYDSAASEYGKAAVAFKNAKQFEQAKDACLK
+EAVAHENNRALFHAAKAYEQAGMMLKEMQKLPEAVQLIEKASMMYLENGTPDTAAMALER
+AGKLIENVDPEKAVQLYQQTANVFENEERLRQAVELLGKASRLLVRGRRFDEAAISIQKE
+KNIYKEIENYPTCYKKTIAQVLVHLHRNDYVAAERCVRESYSIPGFNGSEDCAALEQLLE
+GYDQQDQDQVAEVCNSPLFKYMDNDYAKLGLSLVVPGGGVKKKAAAPPQAKPEGTAAPAA
+EEEEDEYAGGLC
+>sp|A2VDL4|SATT_BOVIN Neutral amino acid transporter A OS=Bos taurus OX=9913 GN=SLC1A4 PE=2 SV=1
+MEKSSETNGYLDSAQEGPAAGPGEPGTTARRAGRCAGFLRRHGLVLLTVSGVVAGAGLGA
+ALRGLQLNRTQVTYLAFPGEMLLRMLRMIILPLVVCSLVSGAASLDASSLGRLGGIAIAY
+FGLTTLGASALAVALAFIIKPGSGSQTLQSSDLGLEDSGPPPVPKETVDSFLDLTRNLFP
+SNLVVAAFRTYATDYREVTYNTSAGKVTIEKIPIGTEIEGMNILGLVLFALVLGVALKKL
+GSEGEELIRFFNAFNEATMVLVSWIMWYVPVGIMFLVGSKIVEMKDIIMLVTSLGKYIFT
+SILGHFIHGGIVLPLIYFVFTRKNPFRFLLGLLTPFATAFATCSSSATLPSMMKCIEENN
+GVDKRISRFILPIGATVNMDGAAIFQCVAAVFIAQLNNVELRAGQIFTILVTATASSVGA
+AGVPAGGVLTIAIILEAIGLPTHDLSLILAVDWIVDRTTTVVNVEGDALGAGILHHLNQK
+AMKRGEQELSEVKVEAIPNSKSEEETSPLVTHPNPTGPAASTPESKESVL
+>sp|A5PJF4|TM218_BOVIN Transmembrane protein 218 OS=Bos taurus OX=9913 GN=TMEM218 PE=3 SV=1
+MAGTVLGVGAGVFVLALLWVSVLLLCALLFRASGAARFSVIFVFLGALIVTAILLLFPRA
+SDAPAPEAETKIVDAFFIGRYVLLAFLTAVFLGSLFLVLIHHILEPIYAKPLRSY
+>sp|Q58DA6|S35A2_BOVIN UDP-galactose translocator OS=Bos taurus OX=9913 GN=SLC35A2 PE=2 SV=1
+MAAVGSGGSTATAGPGAVSAGALEPGTSSAAHRRLKYISLAVLVVQNASLILSIRYARTL
+PGDRFFATTAVVMAEVLKGLTCLLLLFAQKRGNVKHLVLFLHEAVLVQYMDTLKLAVPSL
+IYTLQNNLQYIAISNLPAATFQVTYQLKILTTALFSVLMLNRSLSRLQWASLLLLFTGVA
+IVQAQQAGGGGPRPLDQNPGVGLAAVVASCLSSGFAGVYFEKILKGSSGSVWLRNLQLGL
+FGTALGLVGLWWAEGTAVTHRGFFFGYTPAVWGVVLNQAFGGLLVAVVVKYADNILKGFA
+TSLSIVLSTVASIRLFGFHVDPLFALGAGLVIGAVYLYSLPRGAAKAIASASAPTSGPCT
+HQQPPGQPPPPQLSSHHGDLSTEPFLPKSVLVK
+>sp|F1N4E5|TOIP1_BOVIN Torsin-1A-interacting protein 1 OS=Bos taurus OX=9913 GN=TOR1AIP1 PE=3 SV=2
+MAGEGQRAEPEREGWALYVTPRAPLREGRPRLAPQNGGSGDVPAYGTPTPSRHGRREVRF
+SEEPPEVYGDFEPRAAKEKARVGRQIPLEGFRPDSAKEEVRESAYYLRSRQRRQPRLHEA
+EEMQTRRAALLQQQPHSPPPPLRPSPVTTRRGLRDSHSSEEDEPPSQTVLSQTVTKKAIR
+RTQETPVMSEDPLISLRRPPLRSSRSEAASVQQKVNFLEEGETEENDQDSFDSDVTVKVR
+SGDSVESGDQTTRSSSQYKESFWQSSQSGDFTAFDEQPLKLSSGYQKTPQEWAEKTVRIR
+TRMLTSSPGMRSIYGSFSDDDSVQKSELGNQSPSTSNQQMTGQPKSVSSVKTKRYWPFAV
+IAALLIGGFLYTRPPEAETTAVQEFQNQMKQLMNKYQGQDEKLWKRSQTFLEKHLNGSQS
+RPQPAILLLTAARDAEEALRCLSEQIADAYSSFRSVPAIRIDGASKATRDSDTVKEEVDQ
+ELSNGFRNGQNAAVVHRFESLPAGSTLIFYKYCDHESAAFKDVALVLTVLLEEETLGTSL
+GLKEIEEKVRDFLQVKFTNSDTPNSYKHMDPDKLSGLWSRISHLVLPVQPENDLKKGICL
+>sp|Q95J79|TYOBP_BOVIN TYRO protein tyrosine kinase-binding protein OS=Bos taurus OX=9913 GN=TYROBP PE=3 SV=1
+MEGLRPSDRLLSLLLTVGGLSLVLAQSECNCSSVSPGVLAGIVLGDLMLTLLIALAVYYL
+GRLVPRGRGATEVTRKQHIPETESPYQELQGQRTDVYSDLNTQRPYYK
+>sp|A6QPQ2|SPA38_BOVIN Serpin A3-8 OS=Bos taurus OX=9913 GN=SERPINA3-8 PE=2 SV=1
+MRAERMSPLLALGLLVSGLCSRVHCLPENVTPEERHKGTSVDGHSLASSNTDFAFSLYKQ
+LALKNPNKNVIFSPLSISIALAFLSLGARGPTVTEILEGLKFNLTETLEREIHQGFQHLL
+QMLSRPSNELQLSVGNTMFVQEQLKLLDKFREDALALYTSEAFSTNFKDPETAKSLINDY
+VKNKTRGKIVDLFKDLDPLTKVILVNYIYFKAQWRTPFDPKQTYKSQFHVSKNKTVEVPM
+MSIGDLVTPYFRDEELDCTLVELTYTSNDSALFILPDEGKMQDLEAKLIPEMLTRWRESL
+YPRGIHELNLPRFSIATDYKLKDILSQLEIKKVFTQEADLSGITDDHELEVSQVVHKAVL
+DVGEEGTEGAAATGVKVGITSINNHIPLSFNRPFLIAIVLKDTQSIIFLGKVTNPSQA
+>sp|P81103|VA0E1_BOVIN V-type proton ATPase subunit e 1 OS=Bos taurus OX=9913 GN=ATP6V0E1 PE=1 SV=3
+MAYTGLTVPLIVMSVFWGIVGFLVPWFIPKGPNRGVIITMLVTCSVCCYLFWLIAILAQL
+NPLFGPQLKNETIWYLKYHWP
+>sp|Q3SZV0|TTC36_BOVIN Tetratricopeptide repeat protein 36 OS=Bos taurus OX=9913 GN=TTC36 PE=2 SV=1
+MGTPNDQAVLQAIFNPDSPFGDIVGLDLGEEAEKEVDEGEVFPRAQLEQSKALELQAVIA
+AEAGDLSTALERFGQAINLLPERASAYNNRAQARRLQGDVAGALEDLERALALSGGRGRT
+ARQGFVQRGLVARLQGRDDDARRDFERAARLGSPFARRQLVLLNPYAALCNRMLADVMGQ
+LRRPCDER
+>sp|P21752|TYB10_BOVIN Thymosin beta-10 OS=Bos taurus OX=9913 GN=TMSB10 PE=1 SV=2
+MADKPDLGEINSFDKAKLKKTETQEKNTLPTKETIEQEKQAK
+>sp|Q58CU4|ZDH16_BOVIN Palmitoyltransferase ZDHHC16 OS=Bos taurus OX=9913 GN=ZDHHC16 PE=2 SV=1
+MRGQWSLLLGPARLCLRLLLLLGYRRRCPPLLRGLVQRWRYGKVCLRSLLYNSFGGSDTA
+VDAAFEPIYWLVDNVIRWCGVVFVVLVIVLTSSIVAIAYLCVLPLILQTYSVPRLCWHFF
+YSHWNLILIVFHYYQAITTPPGYPPQGRNDMTTVSICKKCINPKPARTHHCSICNRCVLK
+MDHHCPWLNNCVGHYNHRYFFSFCFFMTLGCVYCSYGSWDLFREAYAAIEKMKQLDKNKL
+QAVANQTYHQTPPPTFSFRERVTHKSLVYLWFLCSSVALALGALTIWHAVLISRGETSIE
+RHINKKERQRLQAKGRVFRNHYNYGCLDNWKVFLGVDTGRHWLTRVLLPSSHLPHGNGMS
+WDPPPWVTAHSASVMAV
+>sp|Q32LN1|UBE2H_BOVIN Ubiquitin-conjugating enzyme E2 H OS=Bos taurus OX=9913 GN=UBE2H PE=2 SV=1
+MSSPSPGKRRMDTDVVKLIESKHEVTILGGLNEFVVKFYGPQGTPYEGGVWKVRVDLPDK
+YPFKSPSIGFMNKIFHPNIDEASGTVCLDVINQTWTALYDLTNIFESFLPQLLAYPNPID
+PLNGDAAAMYLHRPEEYKQKIKEYIQKYATEEALKEQEEGTGDSSSESSMSDFSEDEAQD
+MEL
+>sp|P48830|ZP3_BOVIN Zona pellucida sperm-binding protein 3 OS=Bos taurus OX=9913 GN=ZP3 PE=2 SV=1
+MGPCSRLFVCFLLWGSTELCSPQPFWDDETERFRPSKPPAVMVECQEAQLVVTVDKDLFG
+TGKLIRPADLTLGPDNCEPLASADTDGVVRFAVGLHECGNILQVTDNALVYSTFLLHNPR
+PAGNLSILRTNRAEVPIECHYPRQGNVSSWAIQPTWVPFRTTVFSEEKLVFSLRLMEENW
+SAEKMTPTFQLGDRAHLQAQVHTGSHVPLRLFVDHCVASLTPDWSTSPYHTIVDFHGCLV
+DGLTDASSAFKAPRPRPEILQFTVDVFRFANDSRNMIYITCHLKVTPVDRVPDQLNKACS
+FSKSSNRWSPVEGPTDICRCCSKGRCGISGRSMRLSHREGRPVPRSRRHVTEEADVTVGP
+LIFLRKMNDRGVEGPTSSPPLVMLGLGLATVMTLTLAAIVLGLTGRLRAASHPVCPVSAS
+Q
+>sp|Q5EAC0|S2538_BOVIN Mitochondrial glycine transporter OS=Bos taurus OX=9913 GN=SLC25A38 PE=2 SV=2
+MIQKSRPALLQHQDVGDRVETLMLQPVIKAFLCGSISGTCSTLLFQPLDLLKTRLQTLQP
+SAHGSRRVGMLALLLNVVRTESPLGLWKGMSPSIVRCVPGVGIYFGTLYSLKQYFLRGHP
+PTALESIILGAGSRSVAGVCMSPITVIKTRYESGRYGYESVYAALRSICHSEGFRGLFSG
+LTATLLRDAPFSGIYLMFYSQTKNVVLHRTDQLDAVLVPVVNFSCGIFAGILASLVTQPA
+DVIKTHMQLFPMKFRWIGQSVTLIFKDYGLRGFFQGSVPRALRRTLMAAMAWTVYEEMMA
+KMGLKS
+>sp|Q0IID2|TLDC2_BOVIN TLD domain-containing protein 2 OS=Bos taurus OX=9913 GN=TLDC2 PE=2 SV=1
+MKSLRWRYTRLPSQVEDALSGEEDKEEEEEKEEETTPAPTPVPEHPMVPQLAGASQVLGA
+SEMSQLSLHLPPRVTGYSWSLAFCTSRDGFSLQSLYRQMEGHSGPVLLVLRDQDGQMFGA
+FSSSALRLSKGFYGTGETFLFSFSPQLKVFKWTGSNSFFVKGDLDSLMMGCGSGRFGLWL
+DGDLYRGGSHPCATFNNEVLARQEQFCISELEAWVLS
+>sp|Q2NL26|TKTL1_BOVIN Transketolase-like protein 1 OS=Bos taurus OX=9913 GN=TKTL1 PE=2 SV=1
+MADAEASATMADEAKPDSKTLQILRDMANRLRIHSIRATCASSSGHPISCSSAAEIMSVL
+FFHVMRYKQADPKNPDNDRFILSKRLSFVDVATGWLGQGLGAACGMAYTGKYFDKASYRV
+FCLVGDVESWEGSVWEALAFASHYSLDNLVAIFDVNRLTHSTTLPLEHSIDVYQKRCEAF
+GWNTLVVDGRDVEALCQVFWQAAQMKNKPTAVVAKTFKGRGIPSVEDAENWHGKPMPKER
+ADAIIKLIESQIETNKSLEPKAPIEDSPQINISVIEMTSPPDYEISDVIATRKACGLALA
+KLGHANDRVIVLDGDTKNSTFSDIFKREHPERFIECFIAEQNMVSVALGCVTRGRTVAFA
+CTFAAFLTRAFDQIRMGGISQTNINLIGSHCGVSIGEDGPSQMALEDLAMFRAIPNCTIF
+YPSDAISTEHAVFLAANIKGMCYIRTSRPETAIIYTPQESFEIGQAKVIRQSVNDKITVV
+GAGITLHEALAAADDLSKQGISLRVIDLFTVKPLDAATIISNAKATGGQIITVEDHYPEG
+GIGEAVSAAVSMEPDIVVHHLAVSGIPRSGKPSDLLDMFGISSKHIIWAVERILMK
+>sp|Q3SZ60|THOC7_BOVIN THO complex subunit 7 homolog OS=Bos taurus OX=9913 GN=THOC7 PE=2 SV=1
+MGAVTDDEVIRKRLLIDGDGAGDDRRINLLVKSFIKWCNSGSQEEGYSQYQRMLSTLSQC
+EFSMGKTLLVYDMNLREMENYEKIYKEIECSIAGAHEKIAECKKQILQAKRIRKNRQEYD
+ALAKVIQHHPDRHETLKELEALGKELEHLSHIKESVEDKLELRRKQFHVLLSTIHELQQT
+LENDEKLSEVEEAQEASMETDPKP
+>sp|Q2TBW5|ZNHI2_BOVIN Zinc finger HIT domain-containing protein 2 OS=Bos taurus OX=9913 GN=ZNHIT2 PE=2 SV=1
+MEPAGPCGFCPTGEAQPARYTCPRCNVPYCSLRCYRAHGSCAEEFYRDQVLGELRGRSAS
+PSRLATALRRLRQQRETEDEPGDAGLRPGPAPGGLSGLWERLAPAEKVAFERLLSRGEAG
+RLLPPWRPWWWGRGAGPRLLEELGDAPSGDAEELEPSPARMPPEPVRDEPAAVEQVLGDL
+PGACPPAVPTRIPALASLSRGRTSPLVRFQLPNVLFAYAHTLALYHGGDEALLSDFCATL
+LGVSGALGAQQVFASAEEALQAAAHVLEAGEHPPGPLGTRGAMREAARILLGEGPANQKS
+YTLAALGDLAQTLGRARKQAVAPEERDRLYRARKKCQFLLSWTNENEDALTPLALDCATA
+HRAHTVAAEDVAALTGELEQLWGGPLPPARRTLIEELPG
+>sp|P10861|UCP1_BOVIN Mitochondrial brown fat uncoupling protein 1 OS=Bos taurus OX=9913 GN=UCP1 PE=2 SV=3
+MVGHTESDVPPTMAVKIFSAGVAACVADIITFPLDTAKVRLQVGSAIQGECLISSAIRYK
+GVLGTIITLAKTEGPVKLYSGLPAGLQRQISFASLRIGLYDTVQEFFTTGKEASLGSKIS
+AGLMTGGVAVFIGQPTEVVKVRLQAQSHLHGPKPRYTGTYNAYRIIATTEGLTGLWKGTT
+PNLTRNVIINCTELVTYDLMKEALVKNKLLADDVPCHFVSAVVAGFCTTVLSSPVDVVKT
+RFVNSSPGQYTSVPNCAMMMLTREGPSAFFKGFVPSFLRLGSWNIIMFVCFEQLKQELMK
+SRHTMDCAT
+>sp|Q0P5N2|TSYL1_BOVIN Testis-specific Y-encoded-like protein 1 OS=Bos taurus OX=9913 GN=TSPYL1 PE=2 SV=1
+MSGRDGGERTPLLEAHSLTTSDCAAGAPDPSRCLKTEASQVMAETGEGYFEAVALPPPQL
+PEEESAPSTAPSDPGCAGTFQIRAGGDGGYVVPEARLEPAPPPTESLETASVSLATDDSL
+GNGCQPGEPQGLSREKPLETCGAEKLGSDLMAEAKAEEVKTEEGPVFSVAVDEEVVGKEG
+AKEEEGVEQGMEVEERPVGEEIEMVENRVVEEAGHRPLRMDLRMNPLEAIQLELDSVNAQ
+ADRAFQQLEHKFGRMRRHYLERRNYIIQNIPGFWVTAFRNHPQLSPMIRGQDAEMLRYIT
+NLEVKELRHPRTGCKFKFFFRRNPYFRNKLIVKEYEVRASGRVVSLSTPIIWRRGHEPQS
+FIRRNQEVVCNFFTWFSDHSLPESDRIAEIIKEDLWPNPLQYYLLREGVRRARRRPIREP
+VEIPRPFGFQSG
+>sp|F1MX48|UVSSA_BOVIN UV-stimulated scaffold protein A OS=Bos taurus OX=9913 GN=UVSSA PE=3 SV=2
+MDQKLSELVEELTTSGEPQLNPEKMKQLKKICKSSEEQLGHAYHLLMAQLSQEHAEIRLS
+AFQVLDQLFARSHQFRTLVVSNFQEFLELTLGTDHERPLPPPREVAQRLRQAATQAIRGW
+NEKYGAAYKKLALGFHFLKHSKQVDFQDVDARTVAERKRAEERQKRLDRIYKERSEWAAR
+EMEEMSTEIRGCLTELESCFRLLLPFDLDLAPGAAWCPVPEKGDRDEEQPCCSKSLAACA
+HHPGAMDAGGPPSEDEDRDPDGFVRRHGLGSRQYTLDVELSSDSLRVRENEDNSAVIRAA
+RDALRLIQNKLLPAACSWVQLFTRAGTYGGHLEGAIHLKAELEAALKRSRELDIVPEEGR
+SGETAAPGDEDEDEDDFVEVPEKEGYEACVPEHLRPECGEQRHGPGRGLEEGLAAPGSQA
+RKRPGSDMEAFDPTSAAAQQQWPRPHGPPASPPSPRAPLAPEQAAWRAAEQARAPVVPFG
+VDLCYWGQEELMAGKILKCDSEHRFWKPCEVDAEVESASVSEALRSRRITFAGQFEPVQH
+RCRAPRPDGQLCARQDRLKCPFHGKIIPRDDAGRPLNAEDRAREQRQQLQRPAGRPDWQD
+PEFLRDVEAATGVDLGSSRPGGKGKGKRRKHSGLTDLKRQADTARARIAKKVFAKAAVQR
+VVTAMNQMDEKKHEKFANQFNYALN
+>sp|A1A4L0|SNX4_BOVIN Sorting nexin-4 OS=Bos taurus OX=9913 GN=SNX4 PE=2 SV=1
+MEQAAPDPERLWQPAPLEPLSHPDAGLESMVGEETKGARDEGPGDGTMTENNFSLKKIEI
+SVSEAEKRTGRNAMNMQETYTAYLIETRSVEHNDGQSVLTDSLWRRYSEFELLRNYLLVY
+YPHIVVPPLPEKRAEFVWHKLSADNMDPDFVERRRIGLENFLLRVASHPILCRDKIFYLF
+LTQEGNWKETVNETGFQLKADSRLKALNATFRVKNPDKRFIELKHYSDELQSVISHLLRV
+RARVADRLYGVYKVHGNYGRVFSEWSAIEKEMGDGLQSAGHHMDVYASSIDDILEDEEHY
+ADQLKEYLFYAEALRAVCRKHELMQYDLEMAAQDLASKKQQCEELATGTVRTFSLKGMTT
+KLFGQETPEQREARIKMLEEQIKEGEQQLKSKNLEGREFVRNAWADIERFKEQKNHDLKE
+ALISYAVMQISMCKKGIQVWTNAKECFSKM
+>sp|E1BMF7|UBP13_BOVIN Ubiquitin carboxyl-terminal hydrolase 13 OS=Bos taurus OX=9913 GN=USP13 PE=3 SV=2
+MQRRGALFGMPGGSGSRKMAAGDIGELLVPHMPTIRVPRSGDRVYKNECAFSYDSPNSEG
+GLYVCMNTFLAFGREHVERHFRKTGQSVYMHLKRHVREKVRGASGGALPKRRNSKMFLDL
+DTDDDLNSDDYEYEDEAKLVIFPDHYEIALPNIEELPALVTIACDAVLSSKSPYRKQDPD
+TWENELPVSKYANNLTQLDNGVRIPPSGWKCARCDLRENLWLNLTDGSVLCGKWFFDSSG
+GNGHALEHYRDTGYPLAVKLGTITPDGADVYSFQEEEAVLDPHLAKHLAHFGIDMLHMHG
+TENGLQDNDIKPRVSEWEVIQETGTKLKPMYGPGYTGLKNLGNSCYLSSVMQAIFSIPEF
+QRAYVGNLPRIFDYSPLDPTQDFNTQMTKLGHGLLSGQYSKPPVKSELIEQVMKEEHKPQ
+QNGISPRMFKAFVSKSHPEFSSNRQQDAQEFFLHLVNLVERNRIGSENPSDVFRFLVEER
+IQCCQTRKVRYTERVDYLMQLPVAMEAATNKDELIAYELTRREAESNRRPLPELVRAKIP
+FSACLQAFSEPENVDDFWSSALQAKSAGVKTSRFASFPEYLVVQIKKFTFGLDWVPKKFD
+VSVDMPDLLDINHLRARGLQPGEEELPDISPPIVIPDDSKDRLMTQLIDPSDIDESSVMQ
+LAEMGFPLEACRKAVYFTGNMGAEVAFNWIVVHMEEPDFAEPLTMPGYGGAASAGASVFG
+ATGLDNQPPEETVAIITSMGFHRNQAIQALRATNSNLERALDWIFSHPEFEEDSDFVIEM
+ENNANANIVSEAKPEGPRVKDGSGMYELFAFISHMGTSTMSGHYVCHIKKEGRWVIYNDH
+KVCASERPPKDLGYMYFYRRIPS
+>sp|Q2KIJ6|UBXN6_BOVIN UBX domain-containing protein 6 OS=Bos taurus OX=9913 GN=UBXN6 PE=2 SV=1
+MKKFFQEIKADIKFKSAGPGQKLTESVGEKAPKEKPSQPPVRQPRQGPTNEAQMAAAAAL
+ARLEQKQPRARGPTSQDSIRNQVRKELRAEAAVSGDPEAPGSNTAPEPKEEGSAHLAVPG
+VYFTCPLTGAILRKDQRDARIREAILMHFSTDPVAASIMKIHTFNKDRDRVKLGVDTIAK
+YLDNIHLHPEEEKYRKIKVQNKVFQERIHCLEGTHEFFEAIGFQKVLLPIPDQEGPEEFY
+VLSEAALAQPQSLEWHKEQLLSAEPVRATLARQRRVFRPSTLASQFDLPADFFNLTAEEI
+KREQRLRSEAVERLSVLRTKAMREREEQREMRKYTYTLLRVRLPDGCLLQGTFYARERVA
+ALYGFVREALQNDWLPFELLASGGQKLSEDENLAFNECGLVPSALLTFSLDAAVLEDIRA
+AGTQPDTSILKPELLSAIEKL
+>sp|Q29RR6|VSIG1_BOVIN V-set and immunoglobulin domain-containing protein 1 OS=Bos taurus OX=9913 GN=VSIG1 PE=2 SV=1
+MGLTFWKVFLILNCLAGQVNGVQVTIPDSFVNVTVGSDVTLICTYATTVASLNKLSIQWT
+FFHKEASQPVSIYYSEGGQATAIGKFKDRIVGSNTSGNASITISHMQPEDSGIYICDVNN
+PTDFFGKNQGTISVSVLVKPSKPFCSIQGIAETGHPISLTCLSVLGTPSPVYYWYKLEGR
+NIVPVKENFNPATGILFIGNLTTFEQGYYQCTAINILGNSSCEIDLTTPYPGIGIIVGAF
+VGTLIGVIIIISVVWFVRRKVKAKGKERKRNSKTTTELEPMTKINQRTEGETMPREDAIQ
+LEATLPSSTHETEPNATLGPDHEPIPEPEPALQPTVEPPSGPELVPMSELEIQLEPEPAP
+QQEPEPLIVDEPFCDEEKVIKP
+>sp|A5PJD0|URAD_BOVIN 2-oxo-4-hydroxy-4-carboxy-5-ureidoimidazoline decarboxylase OS=Bos taurus OX=9913 GN=URAD PE=2 SV=1
+MDIEKVNSMDFGEFVDVFGNVIERCPLIAAAVWSKRPFSGLGDLEKHFFAFIDGLPLSGQ
+EGVLRCHPELAGRQLPWGRLTAESQREQSAAGLQNLGAAERLRFTELTAQYRTRFGFPFV
+LALRLSDPAAAPRELARRLRCPPAQELRTALGEVKKICHLRLANLLGEQP
+>sp|Q0VD35|ZMAT4_BOVIN Zinc finger matrin-type protein 4 OS=Bos taurus OX=9913 GN=ZMAT4 PE=2 SV=1
+MKSSDIDQDLFTDSYCKVCSAQLISESQRVAHYESRKHASKVRLYYMLHPRDGGCPAKRL
+RSENGNDADMVDKNKCCTLCNMSFTSAVVADSHYQGKIHAKRLKLLLGEKTPLKTTATPL
+SSLKPPRVDTAPVVPSPYQRRDSDRYCGLCAAWFNNPLMAQQHYDGKKHKKNAARVALLE
+QLGTTLDMGELRGLRRNYRCTTCSVSLNSIEQYHAHLKGSKHQTNLKNK
+>sp|Q58DT3|ZDHC4_BOVIN Probable palmitoyltransferase ZDHHC4 OS=Bos taurus OX=9913 GN=ZDHHC4 PE=2 SV=1
+MDFLVLFLLYLALVLLGFVMICIGSKTHYLQGLISRGAQVFSYIIPECLQRAMLSVLHYL
+FHTRNYTFVVLHLILQGMVYTEYTWEIFGLCQQLEFSLYYLFLPYLLLIVNLLFFTLSCV
+TNPGTITKANELLFLQVYEFDGVMFPKNVRCPTCDLRKPARSKHCSVCNRCVHRFDHHCV
+WVNNCIGAWNTRYFLSYLFTLTASAATMAVVSTVFLVRLVVMSDVYLQTYVDDLGHLQVV
+DTVFLVQYLFLTFPRIVFLVGFVVVLSFLLGGYLCFCLYLAATNQTTNEWYKGDRAWCQH
+CPHVARPPAAEPQAYRNIHSHGLWSNLREIFLPATACYERKEK
+>sp|Q6XBT4|USF1_BOVIN Upstream stimulatory factor 1 OS=Bos taurus OX=9913 GN=USF1 PE=2 SV=1
+MKGQQKTAETEEGTVQIQEGAVATGEDPTSVAIASIQSAATFPDPNVKYVFRTENGGQVM
+YRVIQVSEGQLDGQTEGTGAISGYPATQSMTQAVIQGAFTSDDAVDTEGTAAETHYTYFP
+STAVGDGAGGTTSGSTAAVVTTQGSEALLGQATPPGTGQFFVMMSPQEVLQGGSQRSIAP
+RTHPYSPKSEAPRTTRDEKRRAQHNEVERRRRDKINNWIVQLSKIIPDCSMESTKSGQSK
+GGILSKACDYIQELRQSNHRLSEELQGLDQLQLDNDVLRQQVEDLKNKNLLLRAQLRHHG
+VEVVIKSDSN
+>sp|A6QQM4|ZN474_BOVIN Zinc finger protein 474 OS=Bos taurus OX=9913 GN=ZNF474 PE=2 SV=1
+MEREEEKRVSTLQQSFHHSKEPTFLINQAVLFGESHSSFLPEIERDNRGEKIKTNPRKNR
+PGTVILSKQSSRRIMSGSQPRPPVIPSRRPGFRVCYICGREFGSQSLGIHEPQCLEKWRV
+ENSKLPKHLRRPEPSKPPPFSGSGSYSLQAANEAAFQSSQAQLLPCETCGRTFLPDRLLV
+HQRSCKQKVEGPRAPSLDRSDDLAGLKKVSSGITTRPRTVICYICGREFGTLSLPIHEPK
+CLEKWKVENDRLPREFRQPLPQKPQPLLTGQPKHAGPRQGQLVSCPNCSQTFAPDRLPVH
+QRSCKAQPSGPKVQDLTLGSRGGLKESTNPKPQRNMAAPPVTDKPKMIRRPPTIVCYICG
+REYGTKSIAIHEPQCLKKWHNENNLLPKELRRPEPKKPEVRTITAKGFYDLDALNEAAWT
+SAQSQLVPCNICGRTFLPDRLIVHQRSCKPKVAK
+>sp|P11019|VATE1_BOVIN V-type proton ATPase subunit E 1 OS=Bos taurus OX=9913 GN=ATP6V1E1 PE=2 SV=1
+MALSDADVQKQIKHMMAFIEQEANEKAEEIDAKAEEEFNIEKGRLVQTQRLKIMEYYEKK
+EKQIEQQKKIQMSNLMNQARLKVLRARDDLITDLLNEAKQRLSKVVKDTTRYQVLLDGLV
+LQGLYQLLEPRMIVRCRKQDFPLVKAAVQKAIPVYKVATKRDVDVQIDQEAYLPEEIAGG
+VEIYNGDRKIKVSNTLESRLDLIAQQMMPEVRGALFGANANRKFLD
+>sp|P06836|NEUM_BOVIN Neuromodulin OS=Bos taurus OX=9913 GN=GAP43 PE=1 SV=3
+MLCCMRRTKQVEKNDEDQKIEQDGIKPEDKAHKAATKIQASFRGHITRKKLKGEKKGDAP
+AAEAEANEKDEAAVAEGTEKKEGEGSTPAEAAPGAGPKPEEKTGKAGETPSEEKKGEGAP
+DAATEQAAPQAPAPSEEKAGSAETESATKASTDNSPSSKAEDAPAKEEPKQADVPAAVTA
+AAATAPAAEDAAAMATAQPPTETAESSQAEEKIEAVDETKPKDSARQDEGKGEEREADQE
+HA
+>sp|Q0X0E2|HEXI2_BOVIN Protein HEXIM2 OS=Bos taurus OX=9913 GN=HEXIM2 PE=2 SV=2
+MKDWEQKKVASPNQPPPAALEEAKISGTCGSPRTSPEPHDPGGSQPLTPRMESHSEEEDR
+PGAGGGLGWNGRSPRTQSLGACSAEAMLARKKHRRRPSKRKRHWRPYLELSWAEKQQRDE
+RQSQRASRVREEMFAKGQPVAPYNTTQFLMNDRDPEEPNLVPQGASHPGSSGESEAGDSD
+GQGRARGEFQQKDFSEAYERYHTESLQGRSKEELVRDYLDLERRLSQAEEEMRRLRQLRG
+CTNWRPCYQVEELAAEVERLRTENQRLRQENEMWNREGGRRGGQPGS
+>sp|Q3SZ55|PTCD2_BOVIN Pentatricopeptide repeat-containing protein 2, mitochondrial OS=Bos taurus OX=9913 GN=PTCD2 PE=2 SV=1
+MAATLRPPKQVLLLHALRSLVYHWVGGSGSTCCLCPLGAKRYLLTDSIVKLKEFQRKKVA
+VACNLPGTKETYLRNLEEKLTQNKLILKEELRTLLHLCTSRDDVELAKHVIYRYHAENRN
+ITLGEYKFGPLFVRLCYELDLEDSAVELIKDQHLQGFFSDSTSFNILMDMLFIKGKYRSA
+LEVLIQMKNQDLKFNKDTYVLAFAICYKLNSPESFQICTTLREEALIKGEILPRRASCFA
+VALALNQNQVAKAISIFSQIMNPESIICTNLNIMIHIQSNMLKTLIDILKDATEGNLSRF
+VKRHVFSEEVLVKVREKVKDVPALLAEFDELNKKLHRSGQVTAYTLDALLCHTPSDRQSY
+TVLLNKRTVSRRTFQPLSQSLWAE
+>sp|Q29RN8|SE6L2_BOVIN Seizure 6-like protein 2 OS=Bos taurus OX=9913 GN=SEZ6L2 PE=2 SV=1
+MGTPRAQHPPPPQLLFLFLLSCPWIQGLPLKEEEALPEPGSETPTVASEALAELLHGALL
+RKGPEMGYLPGSDPDPTLATPPVGQTIAAPFLPRATEPGTGPLTTAVTPKGGRGAGPTAP
+ELLTPPPGTTAPPLPGPASPGPPLGPEGGEEETTTTIITTTTVTTTVTSPVLCNNNISEG
+EGHVESPDLGSSTSRTLGLLDCTYSIHVYPGYGIEIQVQMLNLSREEELLVLAGGGSPGL
+APRLLANSSMLGEGQVLRSPTNRLLLHFQSPRVPRGAGFRIHYQAYLLSCGFPPQPAHGD
+VSVTDLHPGGTATFHCDSGYQLQGEETLVCLNGTRPAWSSEPPSCMASCGGTIHNATLGR
+IVSPEPGGAAGPNLTCRWVIEAAEGRRLHLHFERVSLDEDNDRLMVRSGGSPLSPVIYDS
+DMDDVPERGLISDAQSLYVELLSETPANPLLLSLRFEAFEEDRCFAPFLAHGNVTTTDPE
+YRPGALATFSCLPGYALEPPGPPNVIECVDPTEPHWNDTEPACKAMCGGELSEPAGVVLS
+PDWPQSYSPGQDCVWGLHVQEEKRILLQVEILNVREGDMLTLFDGDGPSARVLAQLRGPQ
+PRRRLLSSGSDLTLQFQAPPGPPNPGLGQGFVLHFKEVPRNDTCPELPPPEWGWRTASHG
+DLIRGTVLTYQCEPGYELLGSDILTCQWDLSWSAAPPACQKIMTCADPGEITNGHRTTSD
+AGFPVGSHVQYRCLPGYSLEGAAVLTCYSRDTGTPKWSDRVPKCALKYEPCLNPGVPENG
+YQTLYKHHYQAGESLRFFCYEGFELIGEVTITCVPGHPSQWTSQPPLCKVTQTTDPSRQL
+EGGNLALAILLPLGLVIILGSGVYIYYTKLQGKSLFGFSGSHSYSPITVESDFSNPLYEA
+GDTREYEVSI
+>sp|Q0VCD2|TBG1_BOVIN Tubulin gamma-1 chain OS=Bos taurus OX=9913 GN=TUBG1 PE=2 SV=1
+MPREIITLQLGQCGNQIGFEFWKQLCAEHGISPEGIVEEFATEGTDRKDVFFYQADDEHY
+IPRAVLLDLEPRVIHSILNSPYAKLYNPENIYLSEHGGGAGNNWASGFSQGEKIHEDIFD
+IIDREADGSDSLEGFVLCHSIAGGTGSGLGSYLLERLNDRYPKKLVQTYSVFPNQDEMSD
+VVVQPYNSLLTLKRLTQNADCVVVLDNTALNRIATDRLHIQNPSFSQINQLVSTIMSAST
+TTLRYPGYMNNDLIGLIASLIPTPRLHFLMTGYTPLTTDQSVASVRKTTVLDVMRRLLQP
+KNVMVSTGRDRQTNHCYIAILNIIQGEVDPTQVHKSLQRIRERKLANFIPWGPASIQVAL
+SRKSPYLPSAHRVSGLMMANHTSISSLFERTCRQYDKLRKREAFLEQFRKEDIFKENFDE
+LDTSREIVQQLIDEYHAATRPDYISWGTQEQ
+>sp|A2VDU1|SPY4_BOVIN Protein sprouty homolog 4 OS=Bos taurus OX=9913 GN=SPRY4 PE=2 SV=1
+MEPPIPQSVPLTPSSVMVQPLLDSRTAHSRLQHPLTILPIDQMKTSHVENDYIDNPGLAP
+PSGPKRTRGGAPELAPTPARCDQDVTHHWISFSGRPSSVSSSSSTSSDQRLLDHMAPPPV
+ADQASPRAVRIQPKAIHCKPLDLKGPAGPPELDKHFLLCEACGKCKCKECASPRTLPSCW
+VCNQECLCSAQTLVNYGTCMCLVQGIFYHCTNEDDEGSCADHPCSCSRSNCCARWSFMGA
+LSLVLPCLLCYLPATGCVKLAQRGYDRLRRPGCRCKHTNSVICKAAAGDAKASRPDKPF
+>sp|Q0P5B4|THAP3_BOVIN THAP domain-containing protein 3 OS=Bos taurus OX=9913 GN=THAP3 PE=2 SV=1
+MPKSCAARQCCNRYSNRRKQLTFHRFPFSRPELLKEWVLNIGRGDFEPKQHTVICSEHFR
+PECFSAFGNRKNLKHNAVPTVFAFQGPPQLVRENTDPTGRSGDATSGERKVLPETGSGEC
+GLGRKMDTTVEVLQLPPEVGGLGAQVPPHTPETSGVPGQPASPPELKRRLPTQPSDHSYA
+LLDLDTLKKKLFLTLKENEKLRRRLKAQRLVMRRMCSRLRARLAGRPGLQARPRLGQQS
+>sp|Q17QI7|S2539_BOVIN Solute carrier family 25 member 39 OS=Bos taurus OX=9913 GN=SLC25A39 PE=2 SV=2
+MADQDPGGISPLQQMVASGAGAVVTSLFMTPLDVVKVRLQSQRPSVASELMPPSRLWSLS
+YAKLPSSLRSTGKCLLYCNGVLEPLYLCPNGARCATWFQDPTRFTGTMDAFVKIVRHEGT
+RTLWSGLPATLVMTVPATAAYFTAYDQLKAFLCGRALTSDLYAPMVAGALARLGTVTVIS
+PLELVRTKLQAQHLSYRELGTCVRAAVAQGGWRSLWLGWGPTALRDVPFSALYWFNYELV
+KSWLSGLRPKDQTSVGISFVAGGISGMVAATLTLPFDVVKTQRQVALGAVEALRVMPLNT
+DSTWLLLRRILAESGTRGLFAGFLPRIIKAAPSCAIMISTYEFGKNFFQRLNREQLLSP
+>sp|Q2KI84|SYHC_BOVIN Histidine--tRNA ligase, cytoplasmic OS=Bos taurus OX=9913 GN=HARS PE=2 SV=1
+MADRAALEDLVRVQGERVRGLKQQKASAEQIEEEVAKLLKLKAQLGPDEGKPKFVLKTPK
+GTRDYSPRQMAVREKVFDVIISCFKRHGAEVIDTPVFELKETLTGKYGEDSKLIYDLKDQ
+GGELLSLRYDLTVPFARYLAMNKLTNIKRYHIAKVYRRDNPAMTRGRYREFYQCDFDIAG
+QFDPMLPDAECLKIMCEILSSLQIGDFLVKVNDRRILDGMFAICGVPDSKFRTICSSVDK
+LDKVSWEEVKNEMVGEKGLAPEVADRIGDYVQQHGGVSLVEQLLQDPKLSQNKQALEGLG
+DLKLLFEYLTLFGIADKISFDLSLARGLDYYTGVIYEAVLLQPPARAGEEPLGVGSVAAG
+GRYDGLVGMFDPKGRKVPCVGLSIGVERIFSIVEQRLEALEEKVRTTETQVLVASAQKKL
+LEERLKLISELWDAGIKAELLYKKNPKLLNQLQYCEETGIPLVAIIGEQELKDGVIKLRS
+VASREEVDVRREDLVEEIKRRTSQPLCIC
+>sp|A6QLF8|TM108_BOVIN Transmembrane protein 108 OS=Bos taurus OX=9913 GN=TMEM108 PE=2 SV=1
+MKRSLQALYCQLLSFLLTLALTEALVFAAQEPSPRESLQVSPSGTIPGTMVTASLSSTRH
+SSMVATPASVVTPTPHPDGPSSQATAPMATTTPHLDGHPPTNTISTIMATASTPHSEGSL
+STGPLPAAMATTSSHSEGRAPGETAPTILLTKPGEATSRPPTAPSRATIRRPPRPPGSSR
+KGAGSSPRPIPAAPSGHAGRKDGQRGRNQSSTHLGQKRPLGKIFQIYKGNFTGSVEPDPS
+TFTPRNPLWGYSSSPQPQTVAATSAPSRTSWVPPTTPLVPVEDKPSLSRADQGGGSTFTS
+QGGEPDATAASGTPASQQRAPVPSQRPHGDPQDGSSHSDSWLTVTPGTSRPPSTNSGVFA
+ATTGPIQAAFDASVSVPSEGLPQGTSLAPQAPAHPTWASESTVSQAEEKAVATPTPTMMG
+RVPSPLSTVVSTATGNFLNRLVPAGTWKPGTAGNISHVAEGDKPQQRATICLSKMDIAWV
+ILAISVPISSCSVLLTVCCLRRKKKPANPENSLSYWNNAITMDYFSKHAVELPREIQSLE
+TSEDQLSEPRSPANGDYRDTGMVLVNPFCQETLFVGNDQVSEI
+>sp|A7YY75|SEH1_BOVIN Nucleoporin SEH1 OS=Bos taurus OX=9913 GN=SEH1L PE=2 SV=1
+MFVARSIAADHKDLIHDVSFDFHGRRMATCSSDQSVKVWDKSESGEWHCTASWKTHSGSV
+WRVTWAHPEFGQVLASCSFDRTAAVWEEIVGESNDKLRGQSHWVKRTTLVDSRTSVTDVK
+FAPKHMGLMLATCSADGIVRIYEAPDVMNLSQWSLQHEISCKLSCSCISWNPSSSRAHAP
+MIAVGSDDSSPNAMAKVQIFEYNENTRKYAKAETLLTVTDPVHDIAFAPNLGRSFHILAI
+ATKDVRIFTLKPVRKELTSSGGPTKFEIHIVAQFDNHNSQVWRVSWNITGTVLASSGDDG
+CVRLWKANYMDNWKCTGILKGNGSPVNGSSQQGNSNPSVGSNIPSLQNSLNGSSAGRKHS
+>sp|Q3ZBH8|RS20_BOVIN 40S ribosomal protein S20 OS=Bos taurus OX=9913 GN=RPS20 PE=3 SV=1
+MAFKDTGKTPVEPEVAIHRIRITLTSRNVKSLEKVCADLIRGAKEKNLKVKGPVRMPTKT
+LRITTRKTPCGEGSKTWDRFQMRIHKRLIDLHSPSEIVKQITSISIEPGVEVEVTIADA
+>sp|Q58DA1|SPEF1_BOVIN Sperm flagellar protein 1 OS=Bos taurus OX=9913 GN=SPEF1 PE=2 SV=1
+MAGSVDEEALHQLYLWVDNIPLSRPKRNLSRDFSDGVLVAEVIKFYFPKMVEMHNYVPAN
+SLQQKLSNWSHLNRKVLNKLNFSVPEDVMRKIAQCAPGVVELVLIPLRQRLEERQRRRKQ
+GIGSLQELAPQDGTDYMDVGLSQKARGEGVPDPQGRGQLREGRLPVPRPPGDSQALQSDP
+SFILQIAEKEQELLASQETVQVLQMKVRRLEHLLQLKNVRIEDLSRRLQQAERKQR
+>sp|O97594|SMC3_BOVIN Structural maintenance of chromosomes protein 3 OS=Bos taurus OX=9913 GN=SMC3 PE=1 SV=1
+MYIKQVIIQGFRSYRDQTIVDPFSSKHNVIVGRNGSGKSNFFYAIQFVLSDEFSHLRPEQ
+RLALLHEGTGPRVISAFVEIIFDNSDNRLPIDKEEVSLRRVIGAKKDQYFLDKKMVTKND
+VMNLLESAGFSRSNPYYIVKQGKINQMATAPDSQRLKLLREVAGTRVYDERKEESISLMK
+ETEGKREKINELLKYIEERLHTLEEEKEELAQYQKWDKMRRALEYTIYNQELNETRAKLD
+ELSAKRETSGEKSRQLRDAQQDARDKMEDIERQVRELKTKISAMKEEKEQLSAERQEQIK
+QRTKLELKAKDLQDELAGNSEQRKRLLKERQKLLEKIEEKQKELAETEPKFNSVKEKEER
+GIARLAQATQERTDLYAKQGRGSQFTSKEERDKWIKKELKSLDQAINDKKRQIAAIHKDL
+EDTEANKEKNLEQYNKLDQDLNEVKARVEELDRKYYEVKNKKDELQSERNYLWREENAEQ
+QALAAKREDLEKKQQLLRAATGKAILNGIDSINKVLDHFRRKGINQHVQNGYHGIVMNNF
+ECEPAFYTCVEVTAGNRLFYHIVDSDEVSTKILMEFNKMNLPGEVTFLPLNKLDVRDTAY
+PETNDAIPMISKLRYNPRFDKAFKHVFGKTLICRSMEVSTQLARAFTMDCITLEGDQVSH
+RGALTGGYYDTRKSRLELQKDVRKAEEELGELEAKLNENLRRNIERINNEIDQLMNQMQQ
+IETQQRKFKASRDSILSEMKMLKEKRQQSEKTFMPKQRSLQSLEASLHAMESTRESLKAE
+LGTDLLSQLSLEDQKRVDALNDEIRQLQQENRQLLNERIKLEGIITRVETYLNENLRKRL
+DQVEQELNELRETEGGTVLTATTSELEAINKRVKDTMARSEDLDNSIDKTEAGIKELQKS
+MERWKNMEKEHMDAINHDTKELEKMTNRQGMLLKKKEECMKKIRELGSLPQEAFEKYQTL
+SLKQLFRKLEQCNTELKKYSHVNKKALDQFVNFSEQKEKLIKRQEELDRGYKSIMELMNV
+LELRKYEAIQLTFKQVSKNFSEVFQKLVPGGKATLVMKKRRXERQSGLRMKEKGVVKGER
+GSGPQSSVPSVDQFTGVGIRVSFTGKQGEMREMQQLSGGQKSLVALALIFAIQKCDPAPF
+YLFDEIDQALDAQHRKAVSDMIMELAVHAQFITTTFRPELLESADKFYGVKFRNKVSHID
+VITAEMAKDFVEDDTTHG
+>sp|A7MB76|TPC13_BOVIN Trafficking protein particle complex subunit 13 OS=Bos taurus OX=9913 GN=TRAPPC13 PE=2 SV=1
+MEVNPPKQEHLLALKVMRLTKPTLFTNIPVTCEEKDLPGDLFNQLMRDDPSTVNGAEILM
+LGEMLTLPQNFGNIFLGETFSSYISVHNDSNQVVKDILVKADLQTSSQRLNLSASNAAVA
+ELKPDCCIDDVIHHEVKEIGTHILVCAVSYTTQSGEKMYFRKFFKFQVLKPLDVKTKFYN
+AESDLSSVTDEVFLEAQIQNITTSPMFMEKVSLEPSIMYNVAELNSVNQAGECVTTFGSR
+AYLQPMDTRQYLYCLKPKKEFAEKAGIIKGVTVIGKLDIVWKTNLGERGRLQTSQLQRMA
+PGYGDVRLSLEAIPDTVNLEEPFHITCKITNCSERTMDLVLEMCNTNSIHWCGISGRQLG
+KLHPSSSLCLALTLLSSVQGLQSVSGLRLTDTFLKRTYEYDDIAQVCVVSSAIKVES
+>sp|Q6R4Q5|SC5AA_BOVIN Sodium/glucose cotransporter 5 OS=Bos taurus OX=9913 GN=SLC5A10 PE=2 SV=1
+MAVDNSTSDAHTPGRQLTVVDIAIIAVYFALNVAVGIWSSCRASRNTVRGYFLAGRDMTW
+WPIGASLFASSEGSGLFIGLAGSGAAGGLAVAGFEWNATYVLLALAWVFVPIYLSSEIVT
+MPEYMQKRYGGQRIRMYLSVLSLLLSVFTKISIDLYAGALFVHICLGWNFYLSTVIMLAI
+TALYTIAGGLTAVIYTDALQTLVMVAGAVILTIKAFEQIGGYEQLAEAYAQAVPSRTISN
+TTCHVPRADAMHMFRDPYTADLPWTGMTFGLTIMAAWYWCTDQVIVQRSLSARDLNHAKG
+GSILASYLKMLPMGLMVMPGMISRVLFPDDVGCVVPAECLRACGAEIGCSNIAYPKLVME
+LMPTGLRGLMVAVMMAALMSSLTSIFNSSSTLFTMDIWRRLRPRAGERELLLVGRLVIVV
+LVGVSVAWIPVLQGSNGGQLFIYMQSVTSSLAPPVTAVFVLGIFWRRANEQGAFWGLMAG
+LAVGATRLVLEFLHPAPPCGHPDTRPPILHGVHYLHFAVALFLLSGAVVVAGSLLTPHPQ
+GVQIQSLTWWTLAQDLPLGVKTGDGRASQRHAFWARVCGVNAILLMCVNIFFYTYFA
+>sp|F1MJR8|TEX14_BOVIN Inactive serine/threonine-protein kinase TEX14 OS=Bos taurus OX=9913 GN=TEX14 PE=3 SV=2
+MSRAVHLPVPCPVQLGSLRNDSLEAQLHEYVKQGNYVKVKRILKKGIYVDAVNSLGQTAL
+FIAALLGLTKLVDVLVDYGADPNHRCFDGSTPVHAAAFSGNQWILSKLLDAGGDLRLHDE
+KGRNPQTWALAAGKERSTVMVEFMQRCAAHMQAIIQGFSDLLKKIDSPQRLISGVPRFGG
+LMQGNPNGSPNRPPKAGVISAQNIYSFGFGKFYLTGGTQLAYLGSLPVIGEKEVIQADDE
+PTFSFFSGPYMVMTNLVWNGSRVTVKELHLSTHPHCSRLRMADLLIAEQEHSSKLRHPHV
+LQLMAVCLSPDLEKTRLVYERVTVGTLFSVLHERRAQFPVLHMEVIVHLLLQISDALRYL
+HSRGFIHRSLSSYAIHIVSTGEARLTNLEYMMESQDGGAHRGLTRVPLPTQLYNWAAPEV
+IIQKAATVKSDIYSFSVIVQEILTDNIPWDGLDGSVIKETIVLGNYLEADVRLPKPYYDI
+VKSGLQVKQKDRTMNLQDIRYIMKNDLKDFIGAQRTQPTESPRVQRYEFHPDVNVCLGLT
+SEHPKETPNLEIKELKETGSQFHSPRGHSSPTGKATPEPPVPDVSPVAQQTHRQDAASPA
+CSVAEEARNPSPDQTSLCSFEINEIYSGCLDTADDPEEECPGTGSSLEGAIPNQTDELKS
+MEEELEKMEREVCCSCDEDESSSDADTELSCEDWEWQNDALCSPSRPEPARGAKGATNNR
+SMTEEYISKCVLNLKISQTLIHQNADLLRNVQQKIEKLEMIQKEQAERRSLWASSREFAG
+IHDSPSALGPPASSYLPPVVQRPGDQQLDPGGSGLTLARSPRTLPTLCGPGKQSRGEQFQ
+PTHGAKASLERDRNQNTSSQGRPRESSPQSKTTQLSSALLTVPSHPQGSPTSSKPGQDST
+RISMQSVSSEIYNAKSRNNKDDGEIHLKWKTEVKEMAAKAATGQLTVPPWHPRSGVALDS
+EAENEPDPLPQLPIRVSEHMDWQQAADYLKKSDEPGGNDKCGQTDSSDQRGRQSGPQRFT
+SIRHLPPREDEQPEHSEVFQANSDASVAVEKSYSGQSAQSTCSPESSEDTEDMTDEFLTP
+DHEYFYSSIAQENLALETSSPIDEDFEGIQHACARPQASGEEKFQMRKNLGKNSEILTKS
+QFQPIRSPEGEQDETLKEPPKEVKEKDISLTDIQDLSSISCEHDGSFKEVSCKTPKINHA
+PTSVSTPLSPGSLSSVASQYKDCLESIPFQDTKTGSTSCGTSQESTQTLSDKFTSVREKA
+KSLDSLLTSSEVLPARLTNLKRLPAFTGAGSSSIAKAPDTSRCATQRRSLPKELVEAISQ
+HHIDELPPPSQELLDEIEHLKGQQVSSTALDENTASRPGSTENDQRHLEEQETHSNKEDS
+SMLWTKETQDLEEDTERAHSTLDEDLERWLQPPEDSTQLPDLPKGPAREASSKDQEVGEK
+KRKGEESTKPEKRKPESFLGTSEEEELKPCFWKRLGWSEPSRIIVLDQSDLSD
+>sp|Q58CP9|STIM1_BOVIN Stromal interaction molecule 1 OS=Bos taurus OX=9913 GN=STIM1 PE=2 SV=1
+MDVCARLALWLLWGLLLHHGQSLSQSHSEKATGSGANSEESTAAEFCRIDKPLCHSEDEK
+LSFDAVRSIHKLMDDDANGDVDVEESDEFLREDLNYHDPTVKHSTFHGEDKLISVEDLWK
+AWKSSEVYNWTVDEVVQWLITYVELPQYEETFRKLQLSGHAMPRLAVTNTTMTGTVLKMT
+DRSHRQKLQLKALDTVLFGPPLLTRHNHLKDFMLVVSIVIGVGGCWFAYIQNRYSKEHMK
+KMMKDLEGLHRAEQSLHDLQERLHKAQEEHRTVEVEKVHLEKKLRDEINLAKQEAQRLKE
+LREGTENERSRQKYAEEELEQVREALRKAEKELESHSSWYAPEALQKWLQLTHEVEVQYY
+NIKKQNAEKQLLVAKEGAEKIKKKRNTLFGTFHVAHSSSLDDVDHKILTAKQALSEVTAA
+LRERLHRWQQIEILCGFQIVNNPGIHSLVAALNIDPSWMGSTRPNPAHFIMTDDVDDMDE
+EIVSPLSMQSPSLQSSVRQRLTEPQHGLGSQRDLTHSDSESSLHMSDRQRLAPKPPQMIR
+AADEALSAMTSNGSHRLIEGAHPGSLVEKLPDSPALAKKALLALNHGLDKAHSLMELSSP
+ALPSGSPHLDSSRSHSPSPPDPDTPSPAGDSRALQASRNTRIPHLAGKKAAAEEDNGSIG
+EETDSSPGRKKFPLKIFKKPLKK
+>sp|P13696|PEBP1_BOVIN Phosphatidylethanolamine-binding protein 1 OS=Bos taurus OX=9913 GN=PEBP1 PE=1 SV=2
+MPVDLSKWSGPLSLQEVDERPQHPLQVKYGGAEVDELGKVLTPTQVKNRPTSITWDGLDP
+GKLYTLVLTDPDAPSRKDPKYREWHHFLVVNMKGNNISSGTVLSDYVGSGPPKGTGLHRY
+VWLVYEQEGPLKCDEPILSNRSGDHRGKFKVASFRKKYELGAPVAGTCYQAEWDDYVPKL
+YEQLSGK
+>sp|Q3SZV5|POMP_BOVIN Proteasome maturation protein OS=Bos taurus OX=9913 GN=POMP PE=2 SV=1
+MNARGLGSQLKDSIPVTELSASGPFESHDLLRKGFSCVKNELLPSHPLELSEKNFQLNQD
+KMNFSTLRNIQGLFAPLKLQMEFKAVQQVQRLPFLPSSNLSLDILRGNDETIGFEDILND
+PSQSELMGEPHLMVEYKLGLL
+>sp|O97859|NEUR3_BOVIN Sialidase-3 OS=Bos taurus OX=9913 GN=NEU3 PE=1 SV=1
+MEEVTSCSFSSPLFQQEDKRGVTYRIPALIYVPPAHTFLAFAEKRSSSKDEDALHLVLRR
+GLRTGQSVQWEPLKSLMKATLPGHRTMNPCPVWERKSGYVYLFFICVQGHVTERQQIMSG
+RNPARLCFICSQDAGYSWSDVRDLTEEVIGPEVTHWATFAVGPGHGIQLQSGRLIIPAYA
+YYIPFWFFCFRLPYRARPHSLMIYSDDLGATWHHGRLIKPMVTVECEVAEVIGKAGHPVL
+YCSARTPNRHRAEALSIDHGECFQKPVLSHQLCEPPHGCQGSVVSFCPLEIPGGCQDLAG
+EDAPAIQQSPLLCSSVRPEPEAGTLSESWLLYSHPTNKKRRVDLGIYLNQSPLEAACWSR
+PWILHCGPCGYSDLAALENEGLFGCLFECGTKQECEQIAFRLFTDREILSHVQGDCSTPG
+MNSEPSKK
+>sp|P42029|NDUA8_BOVIN NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 8 OS=Bos taurus OX=9913 GN=NDUFA8 PE=1 SV=2
+MPGIVELPSLEDLKVQEVKVSSSVLKAAAHHYGAQCDKPNKEFMLCRWEEKDPRRCLEEG
+KLVNQCALEFFRQIKRHCAEPFTEYWTCIDYSGLQLFRRCRKQQAQFDECVLDKLGWVRP
+DLGDLSKVTKVKTDRPLPENPYHSRARPEPNPEVEGDLKPARHGSRLFFWTM
+>sp|Q2KJ14|PAF1_BOVIN RNA polymerase II-associated factor 1 homolog OS=Bos taurus OX=9913 GN=PAF1 PE=2 SV=1
+MAPTIQTQAQREDGHRPNSHRTLPERSGVVCRVKYCNSLPDIPFDPKFITYPFDQNRFVQ
+YKATSLEKQHKHDLLTEPDLGVTIDLINPDTYRIDPNVLLDPADEKLLEEEIQAPTSSKR
+SQQHAKVVPWMRKTEYISTEFNRYGISNEKPEVKIGVSVKQQFTEEEIYKDRDSQITAIE
+KTFEDAQKSISQHYSKPRVTPVEVMPVFPDFKMWINPCAQVIFDSDPAPKDTSGAAALEM
+MSQAMIRGMMDEEGNQFVAYFLPVEETLKKRKRDQEEEMDYAPDDVYDYKIAREYNWNVK
+NKASKGYEENYFFIFREGDGVYYNELETRVRLSKRRAKAGVQSGTNALLVVKHRDMNEKE
+LEAQEARKAQLENHEPEEEEEEEMETEEKEAGASDEEREKGSSSEKEGSEDERSGSESER
+EEGDRDEASDKSGSGEDESSEDEARAARDKEEIFGSDADSEDDADSDDEDRGRARGSDND
+SDSGSDGGGQRSRSHSRSRSASPFPSGSEHSAQEDGSEAAASDSSEADSDSD
+>sp|Q2KI51|PR15A_BOVIN Protein phosphatase 1 regulatory subunit 15A OS=Bos taurus OX=9913 GN=PPP1R15A PE=2 SV=2
+MAPGQMPHQPAPWRGTHPLFLLSPLMGLLSRAWSLLRAPGPPEPWLVEAVTEADQGGAGL
+EDEAKASLATYHALWGRHPQEETKDSGAAEEDREASPGACPNLEAKHSLPEAWGLSDDDD
+EKYGGEEATGVPREQKEFMDGQPAPLPLSLLIRSLPDLPGEEESKEEAVTGGGGNEVTAF
+SFPLSHWECCPGEEEEEEEENGEAVRVCRPVNGATEERTQTEAATKTSMSPSSVGSHLRA
+WECCSGKESEEEEKDKQAEKGDADPGPHFTSLAQRPSLRTWQHPSSAITEEEEDRDSEEM
+GASSSVPLTSAFLSDWVYQPEDTEEEDEEEEDCDSEATEDEGEAEVSSATPPPSAFLSAW
+VYRPGEDTEEEEDCDSEATEDEGEAEVSSATPPTSAFLSAWVYQPGDTEEEEDCDSEATE
+DEGEAEVSSATPPPSAFLSAWVYRPGEDTEEEDEYEDEDNESGAADLGPSPSLQTQSALL
+RDQIYQPGEKTDGGEAAEKWGEAESCPFRVAIYLPGEKPPPPWDPPRLPLRLQRRLKSAQ
+TPTRHQDLERLLKTRKVRFSEKVSIHPLVVWAGPAQAARRGPWEQFARDRSRFARRIAQV
+QEELGPYLTPAARARAWARLGNPPTSLATVPAPTQTSPMTPIQATPLSHALASPSPPCVS
+PSLDLSGRRG
+>sp|P55942|MT1H_BOVIN Metallothionein-I, hippocampal OS=Bos taurus OX=9913 PE=1 SV=1
+MDPNCSCATGDSCACASTCKCKECKCTSCKKSCCSCCPVGCAKCAQGCICKGASDKCSCC
+A
+>sp|Q3B7M6|NEDD1_BOVIN Protein NEDD1 OS=Bos taurus OX=9913 GN=NEDD1 PE=2 SV=1
+MQENLRFASSGDDVKIWDASSMTLVDKFNPHTAPHAISSVCWSSNNNFLVTASSSGDKIV
+VSSCKCKPVPLLELGEGQKQTCVSLNSTSMYLVSGGLNNTVNIWDLKSKRVHRSLKDHKD
+EVTCVTYNWNDCYIASGSLSGEIILHSVTTNLSSTPFGHGSNQSIRHLKYSLFKKSLLGS
+VSDNGIVTLWDVNSQSPYHNFDSTHKAPASGICFSPVNELLFVTVGLDKRIILYDTSSKK
+LVKTLVADAPLTAVDFMPDGATLAIGSSRGKIYQYDLRMLKSPIKTISAHKTSVQCIAFQ
+YSTVLSKSGLNKGCSNKPTAVNKRTANVSAGGGGAQNPGVVREAATTSIATVPPQPTAAA
+VGKGAVAPQDKAGLPRSINTDTLSKEAESGKNQDFSNFDDSGKSSLGDMFSPVRDDAVVS
+KGGDESIGKGDGLDFLPQLNSVFPPRKNPVVSSTSVLHSSPLNVFMGSPGKEENENHDLT
+AESKKMYLGKQESKDSFKQFAKLISGAETGNLNASPSSNQTRSPEKFEKPEKEIEAQLIN
+EPPGNGSSTPNPKIASSVTAGVAGSLSEKIVDTIGNSRPNAPLSSVQIRFIQNMIQETLD
+DFREACHRDIVNLQVEMIKQFHMQLNEMHSLLERYSVNEGLVAEIERLREENKRLRAHF
+>sp|P62194|PRS8_BOVIN 26S proteasome regulatory subunit 8 OS=Bos taurus OX=9913 GN=PSMC5 PE=2 SV=1
+MALDGPEQMELEEGKAGSGLRQYYLSKIEELQLIVNDKSQNLRRLQAQRNELNAKVRLLR
+EELQLLQEQGSYVGEVVRAMDKKKVLVKVHPEGKFVVDVDKNIDINDVTPNCRVALRNDS
+YTLHKILPNKVDPLVSLMMVEKVPDSTYEMIGGLDKQIKEIKEVIELPVKHPELFEALGI
+AQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKFIGEGARMVRELFVMARE
+HAPSIIFMDEIDSIGSSRLEGGSGGDSEVQRTMLELLNQLDGFEATKNIKVIMATNRIDI
+LDSALLRPGRIDRKIEFPPPNEEARLDILKIHSRKMNLTRGINLRKIAELMPGASGAEVK
+GVCTEAGMYALRERRVHVTQEDFEMAVAKVMQKDSEKNMSIKKLWK
+>sp|Q58DU8|NR2CA_BOVIN Nuclear receptor 2C2-associated protein OS=Bos taurus OX=9913 GN=NR2C2AP PE=2 SV=1
+MAHSLVCPETVSRVSSVLNRNTRQFGKKHLFDQDEETCWNSDQGPSQWVTLEFPQCICVS
+QLQIQFQGGFSSRQGRLEGSLGSEALSKIVDFYPEDNNSLQTFPVPPAEVDRLKVTFEDT
+TDFFGRVVIYHLRVLGKKKE
+>sp|Q08DT3|NTF3_BOVIN Neurotrophin-3 OS=Bos taurus OX=9913 GN=NTF3 PE=2 SV=1
+MSILFYVMFLAYLRGVQGNSMDQRSLPEDSLNSLIIKLIQADILKNKLSKQMVDVKENYQ
+STLPKAEAPPREPAKSEFQPVTAMGPELLRQQRRYSSPRVLLSDSTPLEPPPLYLMEDYV
+GSPVAANRTSRRKRYAEHKSHRGEYSVCDSESLWVTDKSSAIDIRGHQVTVLGEIKTGNS
+PVKQYFYETRCKEARPVKNGCRGIDDKHWNSQCKTSQTYVRALTSENNKLVGWRWIRIDT
+SCVCALSRKIGRT
+>sp|Q0P5A6|PSMD5_BOVIN 26S proteasome non-ATPase regulatory subunit 5 OS=Bos taurus OX=9913 GN=PSMD5 PE=2 SV=1
+MAAQALALLREVSRLEAPLEELRALQSLLQSVPLSELREQAAELRLGPLFSLLNENHREQ
+TTLCVSILERLLQALEPVHVARNLRVDLQRGLTHPNDSVKILTLSQVGRIVENSDAVTEI
+LNNAELLKQIVYCIGGENLSVAKTAIKSLSRISLTQAGLEALFESNLLDDLKSVMKTNDI
+VRYRVYELIVEISSVSPESLNCCTTSGLVTQLLRELTGEDVLVRATCIEMVTSLACTHHG
+RQYLAQEGVIDQISNIIVGADADPFSSFYLPGFVKFFGNLAIMDSPQQICERYPIFMEKV
+FEMTESQDPTMIGVAVDTIGILGSNVEGKQVLQKTGTRFERLLMKIGYQAKNASTELKIR
+CLDALSSLFYLPPEQTDDLLRMTESWFSSLSRDPLELFRGISNQPFPELHCAALKVFTAI
+ANQPWAQKLMFNSPGFVEYVMDRSVEHDKASKDAKYELVKALANSKTIAEIFGNPNYLRL
+RTYLSEGPYYVKPISTTAVEGAE
+>sp|Q5E9G1|P2R3C_BOVIN Serine/threonine-protein phosphatase 2A regulatory subunit B'' subunit gamma OS=Bos taurus OX=9913 GN=PPP2R3C PE=2 SV=1
+MDWKEILRRRLATPSTSPHKKKSEQELKDEEMDLFTKYYSEWKGGRKNTNEFYKTIPRFY
+YRLPAEDEVLLQKLREESRAVFLQRKSRELLDNEELQNLWFLLDKHQTPPMIGEEAMINY
+ENFLKVGEKAGPKCKQFFTAKVFAKLLHTDSYGRISIMQFFNYVMRKVWLHQTRIGLSLY
+DVAGQGYLRESDLENYILELIPTLPQLDGLEKSFYSFYVCTAVRKFFFFLDPLRTGKIKI
+QDILACSFLDDLLELRDEELSKESQETNWFSAPSALRVYGQYLNLDKDHNGMLSKEELSR
+YGTATMTNVFLDRVFQECLTYDGEMDYKTYLDFVLALENRKEPAALQYIFKLLDIENKGY
+LNVFSLNYFFRAIQELMKIHGQDPVSFQDVKDEIFDMVKPKDPLKISLQDLINSNQGDTV
+TTILIDLNGFWTYENREALVANDNENSTDLDDT
+>sp|P15783|PSPC_BOVIN Pulmonary surfactant-associated protein C OS=Bos taurus OX=9913 GN=SFTPC PE=1 SV=3
+MDVGSKEVLMESPPDYTAVPGGRLLIPCCPVNIKRLLIVVVVVVLVVVVIVGALLMGLHM
+SQKHTEMVLEMSITGPEAQQRLALSERVGTTATFSIGSTGTVVYDYQRLLIAYKPAPGTC
+CYIMKMAPQNIPSLEALTRKLQNFQAKPQVPSSKLGQEQGHDAGSAFSGDLAFLGRTVST
+LCGEVPLYYT
+>sp|Q4EW11|PRLHR_BOVIN Prolactin-releasing peptide receptor OS=Bos taurus OX=9913 GN=PRLHR PE=3 SV=1
+MASLPTQGPAAPDFFNGLLPASSSPVNQSSETVVGNGSAAGPGSQAITPFQSLQLVHQLK
+GLIVLLYSIVVVVGLVGNCLLVLVIARVRRLHNVTNFLIGNLALSDVLMCTACVPLTLAY
+AFEPRGWVFGGGLCHLVFFLQPVTVYVSVFTLTTIAVDRYVVLVHPLRRRISLRFSAYAV
+LAIWALSAVLALPAALHTYHVELKPHRVRLCEEFWGSQERQRQLYAWGLLLVTYLLPLLV
+ILLSYVRVSVNLRNRVVPGCVTQSQADWDRARRRRTFCLLVVVVVVFAVCWLPLHVFNLL
+RDLDPHAIDPYAFGLVQLLCHWLAMSSACYNPFIYAWLHDSFREELRKLLLAWPRKIAPH
+GQSMTVSVVI
+>sp|Q0P5I6|PR38A_BOVIN Pre-mRNA-splicing factor 38A OS=Bos taurus OX=9913 GN=PRPF38A PE=2 SV=1
+MANRTVKDAHSIHGTNPQYLVEKIIRTRIYESKYWKEECFGLTAELVVDKAMELRFVGGV
+YGGNIKPTPFLCLTLKMLQIQPEKDIIVEFIKNEDFKYVRMLGALYMRLTGTAIDCYKYL
+EPLYNDYRKIKSQNRNGEFELMHVDEFIDELLHSERVCDIILPRLQKRYVLEEAEQLEPR
+VSALEEDMDDVESSEEEEEEDEKLERVPSPDHRRRSYRDLDKPRRSPTLRYRRSRSRSPR
+RRSRSPKRRSPSPRRERHRSKSPRRHRSRSRDRRHRSRSKSPGHHRSHRHRSHSKSPERS
+KKSHKKSRRGNE
+>sp|Q24K16|PTGR3_BOVIN Prostaglandin reductase-3 OS=Bos taurus OX=9913 GN=ZADH2 PE=2 SV=1
+MQRLALAGTRAIVDMSYARHFLDFQGSAIPSKMQKLVVTRLSPNFREAVTLRRDCPVPLP
+GDGDLLVRNRFVGVNASDINYSAGRYDPSVKTPFDAGFEGVGEVVALGLSASAAFMVGQA
+VAYMAPGSFAEYTVVPARVAIPVPGLKPEYLTLLVSGTTAYISLKELGGLSEGKKVLVTA
+AAGGTGQFAVQLAKKAKCHVIGTCSSAEKSAFLKSVGCDRPINYNTEHVGTVLRQEYPQG
+VDVVYESVGGAMFDLAVDALATRGRLIVIGFVSGYQTPTGLSPVKAGTLPAKLLKKSASV
+QGFFLNHYLPEFRGAMDHLLKMYAGGELVCEVDTGGLSAEGRFTGLESVFRAVDYMYMRK
+NTGKIVVELPPSVNSKL
+>sp|Q5EA75|PCY2_BOVIN Ethanolamine-phosphate cytidylyltransferase OS=Bos taurus OX=9913 GN=PCYT2 PE=2 SV=1
+MIRNGHGEAGGAKRPGPRARRAVRVWCDGCYDMVHYGHSNQLRQARAMGDHLIVGVHTDE
+EIAKHKGPPVFTQEERYKMVQAIKWVDEVVPAAPYVTTLETLDKYNCDFCVHGNDITLTV
+DGRDTYEEVKQAGRYRECKRTQGVSTTDLVGRMLLVTKAHHSSQEMSSEYREYADSFGKC
+PGGRNPWTGVSQFLQTSQKIIQFASGKEPQPGETVIYVAGAFDLFHIGHVDFLEKVYGLA
+ERPYVIAGLHFDQEVNHYKGKNYPIMNLHERTLSVLACRYVSEVVIGAPYSVTAELLDHF
+KVDLVCHGKTEVVPDKDGSDPYEEPKRRGIFCQVDSGNDLTTDLIVQRIIKNRLEYEARN
+QKKEAKELAFQEAMRRQEAQPEREIDCDF
+>sp|P82670|RT10_BOVIN 28S ribosomal protein S10, mitochondrial OS=Bos taurus OX=9913 GN=MRPS10 PE=1 SV=2
+MAVRAVFGALGRRLWQGSKNFSVSSSRSNIAKNDGFLLSTSMKWVQFSNLHVDVPKDLTK
+PTITISDEPDTLYKRLSVLVKGHDKAVLDSYEYFAVLAAKELGISVKVHEPPRKIERFTL
+LKSVHIFKKHRVQYEMRTLYRCLELEHLTGSTADVYLEYIQRNLPEGVAMEVTKTRLEQL
+PEHIKKPVWETTPEEKGDSKS
+>sp|A0JNC0|TMOD1_BOVIN Tropomodulin-1 OS=Bos taurus OX=9913 GN=TMOD1 PE=2 SV=1
+MSYRRELEKYRDLDEDEILGGLTEEELRTLENELDELDPDNALLPAGLRQKDQTTKAPTG
+PFRREELLDHLEKQAKEFKDREDLVPYTGEKRGKVWVPKQKPMDPVLESVTLEPELEEAL
+ANASDAELCDIAAILGMHTLMSNQQYYQALGSSSIVNKEGLNSVIKPTQYKPVPDEEPNA
+TDVEETLERIKNNDPKLEEVNLNNIRNIPIPTLKAYAEALKENSYVKKFSIVGTRSNDPV
+AFALAEMLKVNKVLKTLNVESNFISGAGILRLVEALPYNTSLVELKIDNQSQPLGNKVEM
+EIVSMLEKNATLLKFGYHFTQQGPRLRASNAMMNNNDLVRKRRLADLTGPIIPKCRSGV
+>sp|Q2TBX5|SSRD_BOVIN Translocon-associated protein subunit delta OS=Bos taurus OX=9913 GN=SSR4 PE=2 SV=1
+MAALASLGALALLLLSGLSCCSEACVEPQITPSYYTTSDAVISTETVFIVEISLTCKNRV
+QNMALYADVSGKQFPVTRGQDVGRYQVSWSLDHKSAHAGTYEVRFFDEESYSLLRKAQRN
+NEDVSVIPPLFTVSVDHRGTWNGPWVSTEVLAAAIGLVIYYLAFSAKSHIQA
+>sp|P53793|SC5A3_BOVIN Sodium/myo-inositol cotransporter OS=Bos taurus OX=9913 GN=SLC5A3 PE=3 SV=1
+MRAVLETADIAIVALYFILVMCIGFFAMWKSNRSTVSGYFLAGRSMTWVAIGASLFVSNI
+GSEHFIGLAGSGAASGFAVGAWEFNALLLLQLLGWVFIPIYIRSGVYTMPEYLSKRFGGH
+RIQVYFAALSLILYIFTKLSVDLYSGALFIQESMGWNLYVSVILLIGMTALLTVTGGLVA
+VIYTDTLQALLMIVGALTLMVISMMEIGGFEEVKRRYMLASPNVTSILLTYNLSNTNSCN
+VHPKKDALKMLRNPTDEDVPWPGFILGQTPASVWYWCADQVIVQRVLAAKNIAHAKGSTL
+MAGFLKLLPMFIIVVPGMISRILFADDIACINPEHCMQVCGSRAGCSNIAYPRLVMKLVP
+VGLRGLMMAVMIAALMSDLDSIFNSASTIFTLDVYKLIRKSASSRELMIVGRIFVAFMVV
+ISIAWVPIIVEMQGGQMYLYIQEVADYLTPPVAALFLLAIFWKRCNEQGAFYGGMAGFIL
+VVVRLTLAFAYRAPECDQPDNRPVFIKDIHYMYVATALFWITGLITVIVSLLTPPPTKEQ
+IRTTTFWSKKSLVVKESCSPKDEPYKMQEKSILRCSENSEVINHVIPNGKSEDSIKGLQP
+EDVNLLVTCREEGNPVASLGHSEAETPVDAYSNGQAALMGEKERKKEAEDGSRYWKFIDW
+FCGFKSKSLSKRSLRDLMEEEAVCLQMLEEPPQVKVILNIGLFGVCSLGIFMFVYFSL
+>sp|P01249|THP1_BOVIN Thymopoietin-1 OS=Bos taurus OX=9913 PE=1 SV=1
+GQFLEDPSVLTKEKLKSELVANNVTLPAGEQRKDVYVELYLQHLTALKR
+>sp|Q0II74|TM253_BOVIN Transmembrane protein 253 OS=Bos taurus OX=9913 GN=TMEM253 PE=2 SV=1
+MEDRAGQQERPSLRLEKLQHWARHRQSGRLLVLAVSQLWLAVAVVPFAVSVACLNSACHM
+TTALPLGPGILGLLTGIVTLELRRAPRLWKLAGLLVLELSAEAFTLGGVLVSAYSLFLLS
+QRKPRCCRSQSLRYQELQEGLSELEEVPELETGPTVASTAKRTNQ
+>sp|Q27ID4|TERT_BOVIN Telomerase reverse transcriptase OS=Bos taurus OX=9913 GN=TERT PE=3 SV=2
+MPRAPRCRAVRALLRASYRQVLPLAAFVRRLRPQGHRLVRRGDPAAFRALVAQCLVCVPW
+DAQPPPAAPSFRQVSCLKELVARVVQRLCERGARNVLAFGFTLLAGARGGPPVAFTTSVR
+SYLPNTVTDTLRGSGAWGLLLHRVGDDVLTHLLSRCALYLLVPPTCAYQVCGPPLYDLRA
+AAAAARRPTRQVGGTRAGFGLPRPASSNGGHGEAEGLLEARAQGARRRRSSARGRLPPAK
+RPRRGLEPGRDLEGQVARSPPRVVTPTRDAAEAKSRKGDVPGPCRLFPGGERGVGSASWR
+LSPSEGEPGAGACAETKRFLYCSGGGEQLRRSFLLCSLPPSLAGARTLVETIFLDSKPGP
+PGAPRRPRRLPARYWQMRPLFRKLLGNHARSPYGALLRAHCPLPASAPRAGPDHQKCPGV
+GGCPSERPAAAPEGEANSGRLVQLLRQHSSPWQVYGLLRACLRRLVPAGLWGSRHNERRF
+LRNVKKLLSLGKHGRLSQQELTWKMKVQDCAWLRASPGARCVPAAEHRQREAVLGRFLHW
+LMGAYVVELLRSFFYVTETTFQKNRLFFFRKRIWSQLQRLGVRQHLDRVRLRELSEAEVR
+QHQEARPALLTSRLRFVPKPGGLRPIVNVGCVEGAPAPPRDKKVQHLSSRVKTLFAVLNY
+ERARRPGLLGASVLGMDDIHRAWRAFVLPLRARGPAPPLYFVKVDVVGAYDALPQDKLAE
+VIANVLQPQENTYCVRHCAMVRTARGRMRKSFKRHVSTFSDFQPYLRQLVEHLQAMGSLR
+DAVVIEQSCSLNEPGSSLFNLFLHLVRSHVIRIGGRSYIQCQGIPQGSILSTLLCSFCYG
+DMENKLFPGVQQDGVLLRLVDDFLLVTPHLTRARDFLRTLVRGVPEYGCQVNLRKTVVNF
+PVEPGALGGAAPLQLPAHCLFPWCGLLLDTRTLEVHGDHSSYARTSIRASLTFTQGFKPG
+RNMRRKLLAVLQLKCHGLFLDLQVNSLQTVFTNVYKIFLLQAYRFHACVLQLPFSQPVRS
+SPAFFLQVIADTASRGYALLKARNAGASLGARGAAGLFPSEAAQWLCLHAFLLKLARHRV
+TYSRLLGALRTARARLHRQLPGPTRAALEAAADPALTADFKTILD
+>sp|Q3MHZ4|TGFI1_BOVIN Transforming growth factor beta-1-induced transcript 1 protein OS=Bos taurus OX=9913 GN=TGFB1I1 PE=2 SV=2
+MEDLDALLSDLETTTSHMPRSGALKERPPEPLTSPLTQMGPGESSGASGDKDHLYSTVCK
+PRSPKPAAPATPPFSSSCGVLGTGLCELDRLLQELNATQFNITDEIMSQFPSSKETAGEQ
+KEDQSEDKKRPSPPPSPSPVLPKPSATSATLELDRLMASLSDFRVQNHLPASGPTPPPVP
+SSMSEDTPSPPGPTSKGSLDTMLGLLQSDLSRRGVPTQAKGLCGSCNKPIAGQVVTALGR
+AWHPEHFVCGGCSTALGGSSFFEKDGAPFCPECYFERFSPRCGLCNQPIRHKMVTALGTH
+WHPEHFCCVSCGEPFGDEGFHEREGRPYCRRDFLQLFAPRCQGCQGPILDNYISALSALW
+HPDCFVCRECFAPFSGGSFFEHEGRPLCENHFHARRGSLCATCGLPVTGRCVSALGRRFH
+PDHFTCTFCLRPLTKGSFQERAGKPYCQPCFLKLFG
+>sp|Q2KIR8|TDH_BOVIN L-threonine 3-dehydrogenase, mitochondrial OS=Bos taurus OX=9913 GN=TDH PE=2 SV=1
+MPVVRVLRRVACWMLQSPACGCRAPVLPSRFLGTSPRQIPMDANFHSTSFSEADQQRVLI
+TGGLGQLGVGLASFLRKRFGKDNVILSDIRKPPEHVFLSGPFIYSDILDYKNLREIVVNN
+RITWLFHYSALLSAVGEANVSLARAVNITGLHNVLDVAAEHGLRLFVPSTIGAFGPTSPR
+NPTPDLCIQRPRTIYGVSKVHAELMGEYYYYRYGLDFRCLRYPGIISADSQPGGGTTDYA
+VQIFHEAVKNGRFECNLKPDTRLPMMYIDDCLRATLEVMEAPAESLSMRTYNISAMSFTP
+EELAQEVLKHVPELQVTYNVDPVRQAIADSWPMNFDDSNARKDWGWKHDFDLPELVTTML
+NFHGSESRVAQAN
+>sp|Q24JY3|SPC24_BOVIN Kinetochore protein Spc24 OS=Bos taurus OX=9913 GN=SPC24 PE=2 SV=1
+MAAFRDMEEVSQGLLSLLGANRAEAQQRRLLGRHEQKVERLLETQDGAEKWLREILTTEK
+EVAQRLLDAKEQAHQGGVEVRQLEAELQRASEEDAHLKANLLQLTRELEELKEIEADLER
+QEKEVDEDTTVTIPSAVYVAQLYRRISKIEWDYECEPGMIKGIHHGPSVAQPIHLDSTQL
+SKKFISDYLWNLVDTEW
+>sp|A0JNJ3|SPART_BOVIN Spartin OS=Bos taurus OX=9913 GN=SPART PE=2 SV=1
+MEQGPQDGEPIEIKIIKEAYKKAFVFVNKGLNTDELGQKEEAKNYYKQGIGHLLRGISIS
+STDPEYTGPEWESARQMQQKMKETLQNVRTRLEILEKGLATSLRNDLQEVPKLYPEFPPK
+DMSEKSPEPQSLSSLPQHSEVNGSTSTASAESSSTPTTLSLPCQSHPSEAPPAYTPQAAE
+GHYTVSYGTESGEFSSVGENFYRNHSQPPPLETLGLDADELILIPNGVQIFFVNPAGEVS
+APSYPGYLRIVRFLDNSLDTFLNRPPGFLQVCDWLYPLVPDRSPVLKCTVGAYMFPDTML
+QASGCFVGVVLSSELPEDDRELFEDLLRQMSDLRLQTNWDRAEGENEFQIPGISGSASDQ
+LKEASGTDVRQLDPSSKDVRQKGKRGKKTKGTSSEEVNLSHIVPCEPVSEEKAKELPEWS
+EKVAHNILSGASWVSWGLVKGAEFTGKAIQKGASKLRERIQPEEKPVEVSPAVTKGLYMA
+KQATGGAAKVSQFLVDGVCTVANCVGKELAPHVKKHGSKLVPESLKKDRNGKSTLDGAMV
+VAASSVQGFSTVWQGLECAAKCIVNNVSAETVQTVRYKYGHTAGEATHNAVDSAINVGVT
+AYNIDNIGIKAMVKKTAKQTGHTLLEDYKIIDNSKGENPGGGASANLKGEKDEQKEGPEK
+NGAKKKDK
+>sp|Q56JU9|RS24_BOVIN 40S ribosomal protein S24 OS=Bos taurus OX=9913 GN=RPS24 PE=2 SV=2
+MNDTVTIRTRKFMTNRLLQRKQMVIDVLHPGKATVPKTEIREKLAKMYKTTPDVIFVFGF
+RTHFGGGKTTGFGMIYDSLDYAKKNEPKHRLARHGLYEKKKTSRKQRKERKNRMKKVRGT
+AKANVGAGKKK
+>sp|Q3T0Q8|UT14A_BOVIN U3 small nucleolar RNA-associated protein 14 homolog A OS=Bos taurus OX=9913 GN=UTP14A PE=2 SV=1
+MNANQAAESNLLASNQQKELEDLPKDYPLSTSEDEGDKDEERKHQKLLESISSLNGKDRQ
+KLADRSEASLKVSEFSVSSEGSGEKLVLSDLLEPVKTSSSLAAVKKQLNRVKSKKTVELP
+LHREEIERIHREVAFNKSSQILSKWDPVVLKNRQAEQLVFPLSKPQSAFAPIEHVVNGWK
+AGTPLEQEIFNLLHKNKQPVTDPLLTPVEKASLKAMSLEEVKMRRAELQRARALQSYYEA
+RARREKRIKSKKYHRILKKGKAKQALKDFEKLQKVNPAAALEELEKLDKARMMERMSLKH
+QNSGKWAKSKAIMAKYDLEARQAMQEQLARNKELTQKVRAASESEEEGEGQEEEEEPLVP
+DLVNEVQIKANGLNPWMFRNHFIDAKEAEVQKDLEDPAEPEAQETSESEEEKAVVEEETL
+LKEFEERRSLRQKSELNHMAEPVHRRVTKDPSSQEVLSDLRALCQKLRTENHQSGKQELS
+SARTAQREEPAREEEEPMLLQRPERARTLDELEELGREGCVENEELPRTAVEGLQLEKNL
+SNHIGAPKEKKRKEQMIDLQNLLTTKSPSVKSLAVPTTVQELEDEEERDQRQIIKEAFAG
+DDVIRDFLKEKREAVEASKPKDLDLTLPGWGEWGGIGLKPSAKKRRRFLIKAPEGPPRKD
+KNLPNVIINEKRNTHAAAHQVQVLPHPFTHHQQFERTIQTPVGSTWNTQRAFQKLTMPKV
+VTKPGHIIKPIKAEDVGYRSSSRSDLSVVQRNPKRLSIRHKKHLENNCVD
+>sp|Q32L79|INSL6_BOVIN Insulin-like peptide INSL6 OS=Bos taurus OX=9913 GN=INSL6 PE=2 SV=1
+MPRFLYLCLGLLLVRVSRELNDISRARRLCGQHLLHEIVKLCGDVNWSHVEKEKPFTQLL
+SQASEKVESFIPDRSESSQTTFPVWRRATNPVPTSASQEEAINNMKMQSLPEYQYKKANL
+PFKTRQEESSSSQDISPYIHEIIEFQKKNTNKIKTLSNLFWGNHPQRKRRGYSEKCCQKG
+CTKEELIIACLPYIDYENLRKHQQL
+>sp|P01175|NEU1_BOVIN Oxytocin-neurophysin 1 OS=Bos taurus OX=9913 GN=OXT PE=1 SV=1
+MAGSSLACCLLGLLALTSACYIQNCPLGGKRAVLDLDVRTCLPCGPGGKGRCFGPSICCG
+DELGCFVGTAEALRCQEENYLPSPCQSGQKPCGSGGRCAAAGICCSPDGCHEDPACDPEA
+AFSQH
+>sp|Q3MHW9|NB5R1_BOVIN NADH-cytochrome b5 reductase 1 OS=Bos taurus OX=9913 GN=CYB5R1 PE=2 SV=1
+MGLQPSPVLLASLGVGLLTLLGVALGAYLVRRSRRPPVTLLDPNEKYQLRLLDKTTVNHN
+TKRFRFALPTAHHVLGLPVGKHVYLSARIDGSLVIRPYTPVTSDEDQGYVDLVIKVYLKG
+VHPKFPEGGKMSQYLDSLKIGDVVEFRGPSGLLTYAGKGKFNIQPNKKAPPEARVARNLG
+MIAGGTGITPMLQLIRAILKDPEDPTQCFLLFANQTEKDIILREDLEELQARHPGRFKLW
+FTLDHPPEGWAYSKGFVSVDMIREHLPAPGEDVLLLLCGPPPMVQLACHPSLDKLGYSPK
+MRFTY
+>sp|Q9GKN8|PRELP_BOVIN Prolargin OS=Bos taurus OX=9913 GN=PRELP PE=2 SV=1
+MRSSLCWLLTLLLILATAAQGQPTRRPRPRPRPRPRPRLRPTPSFPQPDEPTEPTDLPPP
+LPPGPPSVFPDCPRECYCPPDFPSALYCDSRNLRKVPVIPSRIHYLYLQNNFITELPVES
+FKNATGLRWINLDNNRIRKVDQRVLEKLPSLVFLYLEKNQLEEVPAALPRNLEQLRLSQN
+QISRIPPGVFSKLENLLLLDLQHNKLSDGVFKPDTFQGLKNLMQLNLAHNTLRKMPPKVP
+SAIHQLYLDSNRIEAIPSGYFKGFPNLAFIRLNYNQLSDRGLPKNSFNISNLLVLHLSHN
+RISSVPAISSRLEHLYLNNNSIEKINGTQICPNNIVAFHDFSSDLEHVPHLRYLRLDGNY
+LKPPIPLDLMMCFRLLQSVVI
+>sp|Q2HJF4|PBLD_BOVIN Phenazine biosynthesis-like domain-containing protein OS=Bos taurus OX=9913 GN=PBLD PE=2 SV=1
+MKLPIFIADAFTTKAFRGNPAAVCLLENKLDEDLHQKIAKEMNLSETAFIRKLHPNDNFT
+QSSCFGLRWFTPQNEVPLCGHATLASAAVLFHKIKNVHSTLTFVTMSGELKARKEEDGIV
+LDLPLYPAHPQKLHEVEDLIKTAIGDTLVQDVRYSPDTKKLLVRLSDTYNRSFLESLTVN
+TENLLQVETTGKVKGLILTLKGEPGGQTQAFDFYSRYFAPWYGVAEDPVTGSAHTVLSSY
+WSEQLGKKDLHAFQCSNRGGELTISLRSDGRVDIKGGAALVLEGTLTA
+>sp|A6QLL0|PLIN5_BOVIN Perilipin-5 OS=Bos taurus OX=9913 GN=PLIN5 PE=2 SV=1
+MSEDEAAQAPGPSLWEQDQQSVVQRVAALPLIRATCSAVSEAYSAAKDRHPLLGSACRLA
+EHCVCDLTTRALDHAQPLLSHLQPQLATVNDLACRGLDKLEEKLPFLQQPSETVVTSAKG
+VVASGVTGMVGLARQGRRWSVELKRSVSHAMDVVLEKSEELVDHFLPMTEEELAALAAEA
+EGPEVGSVEEQRKHQGYFVRLGSLSTRLRHLAYEHSLGKLRQKKHHAQDMLAQLQETLEL
+IHRMQCGVTPITPARPGKVHELWEDWSQRPLENGRRRHSQAELETLVLSRGLMEELQSTV
+DALETSVRGLPPSAQEKVAEVRRSVDALQAAFADARRFGDVPAAVLAEGRGSMARAHACV
+DELLELVVQAVPLPWLVGPFAPILVERPEPPPDLEALVDEVVGGPDPRWAHLDWPAQQRA
+WQAQHGEGTVLSGNIPEEEPEPPSRPKHTLMPELDF
+>sp|A6QQF5|QORL2_BOVIN Quinone oxidoreductase-like protein 2 OS=Bos taurus OX=9913 PE=2 SV=2
+MAAAWGRFLSRAWLCRTAWQGCGRNYRAALCAELKRPLVIEEVTPRPVQPHEVRVNVHFC
+GINFADILACQGQYQERHQLPFTPGMEFSGMVLETGTDVSTVKEGDRVIGLPGFSGMAEE
+CITDHKNLWQIPEKVSLREAAALPVSYGTAIFALEHRACTQPGETVLVTAAAGATGLAVI
+DVATNILQAKVIAAAGSDEKCQLAMQSGAQSSVNYSRGSLKEAVGKLVGSGGVNVVIDMV
+GGDIFLEALRSLAYEGRIVVVGFAGGTIASVPANLLLLKNVSAMGLYWGRYRQQNFPVFS
+RSLSSALQYCQEGRIQPHIGEVFELEEVNDAFLHVTQRKSTGKVLLSLK
+>sp|Q58DS5|RAB13_BOVIN Ras-related protein Rab-13 OS=Bos taurus OX=9913 GN=RAB13 PE=1 SV=1
+MAKAYDHLFKLLLIGDSGVGKTCLIIRFAEDNFNNTYISTIGIDFRIRTVDIEGKKIKLQ
+VWDTAGQERFKTITTAYYRGAMGIILVYDITDEKSFENIQNWMKSIKENASAGVERLLLG
+NKCDMEAKRKVQKEQADKLAREHGIRFFETSAKSSMNVDEAFSSLARDILLKSGGRRLKN
+NNKPPSTDLKTCDKKNTNKCSLA
+>sp|Q17QN4|SCN1B_BOVIN Sodium channel subunit beta-1 OS=Bos taurus OX=9913 GN=SCN1B PE=2 SV=1
+MGTLLAFVVGAALVSSAWGGCVEVDSETEAVYGMTFKILCISCKRRSETNAETFTEWTFR
+QKGTEEFVKILRYENEVLQLEEDERFEGRVVWNGSRGTKDLQDLSIFITNVTYNHSGDYE
+CHVYRLLFFDNYEHNTSVVKKIHLEVVDKANRDMASIVSEIMMYVLIVVLTIWLVAEMVY
+CYKKIAAATEAAAQENASEYLAITSESKENCTGVQVAE
+>sp|A7MBJ4|PTPRF_BOVIN Receptor-type tyrosine-protein phosphatase F OS=Bos taurus OX=9913 GN=PTPRF PE=2 SV=1
+MTPEPAPGRTMVPLVPALVMLGLVAGAHGDSKPVFVKVPEDQTGLSGGVASFVCQATGEP
+KPRITWMKKGKKVSSQRFEVIEFDDGAGSVLRIQPLRVQRDEAIYECTATNSLGEINTSA
+KLSVLEEEQLPLGFPSIDMGPQLKVVEKARTATMLCAAGGNPDPEISWFKDFLPVDPAAS
+NGRIKQLRSGALQIESSEESDQGKYECVATNSAGTRYSAPANLYVRVRRVAPRFSIPPSS
+QEVMPGGSVNLTCVAVGAPMPYVKWMMGAEELTKEDEMPVGRNVLELSNVVRSANYTCVA
+ISSLGMIEATAQVTVKALPKPPIDLVVTETTATSVTLTWDSGNSEPVSYYGIQYRPAGAE
+GPFQEVDGVATTRYSIGGLSPFSEYAFRVLAVNSIGRGPPSEAVRARTGEQAPSSPPRRV
+QARMLSASTMLVQWEPPEEPNGLVRGYRVYYTPDSRRPLSAWHKHNTDAGLLTTVGSLLP
+GITYSLRVLAFTAVGDGPPSPTIQVKTQQGVPAQPADFQAEVDSDTRIQLSWLLPPQERI
+VKYELVYWAAEDEGQQHKVTFDPTSSYTVEDLKPDTLYRFQLAARSELGVGVFTPTIEAR
+TAQSTPSAPPQKVTCVSVGSTTVRVSWVPPPADSRNGVITQYSVAYEAVDGEDRGRHVVD
+GIGREHSSWDLVGLEKWTEYRVWVRAHTDVGPGPESSPVLVRTDEDVPSGPPRKVEVEPL
+NSTAVRVSWKLPVPSKQHGQIRGYQVTYVRLENGEPRGAPIIQDVMLAEAQETTISGLTP
+ETTYSITVAAYTTKGDGARSKPKIVTTTGAVPGRPTMMVSTTAMNTALLQWHPPKELPGE
+LLGYRLQYRRADEARPSTIDFGKDDQHFTVTGLHKGATYIFRLTAKNRAGLGEEFEKEIT
+TPEDVPSGFPQNLRVIGLTTSTTELIWDPPVLAERNGRITNYTVVYRDINSQQELQNVTA
+DTHLTLSGLKPDTTYDIKVRARTSKGAGPLSPSIQSRTMPVEQVFAKNFRVEAAMKTSVL
+LSWEVPDSYKSAVPFRILYNGQSVEVDGHSMRKLIADLQPNTEYSFVLMNRGSSAGGLQH
+LVSIRTAPDLLPHKPLPASAYIEDGRFTLTMPRVQEPALVRWFYIMVVPIDRMGGSMLAP
+QWSTPEELELDELLEAIEQGGGERLRRRRQTERLKPYVAAQVDVLPETFTLGDKKNYQGF
+YNRPLSPDLSYQCFVLASLKEPVDQKRYACSPYSDEIVVQVTPAQQQEEPELLWVTGPVL
+AVILIVLIVIAILLFKRKRTHSPSSKDEQSIGLKDSLLAHSSDPVEMRRLNYQTPGMRDH
+PPIPITDLADNIERLKANDGLKFSQEYESIDPGQQFTWENSNLEVNKPKNRYANVIAYDH
+SRVILTSIDGVPGSDYINANYIDGYRKQNAYIATQGPLPETMGDFWRMVWEQRTATVVMM
+TRLEEKSRVKCDQYWPARGTETYGLIQVTLLDTVELATYTVRTFALYKSGSSEKRELRQF
+QFMAWPDHGVPEYPTPILAFLRRVKACNPLDAGPMVVHCSAGVGRTGCFIVIDAMLERMK
+HEKTVDIYGHVTCMRAQRNYMVQTEDQYVFIHEALLEAAMCGHTEVPARNLYAHIQKLGQ
+VPPGESVTAMELEFKLLANSKAHTSRFISANLPCNKFKNRLVNIMPYELTRVCLQPIRGV
+EGSDYINASFLDGYRQQKAYIATQGPLAESTEDFWRMLWEHNSTIIVMLTRLREMGREKC
+HQYWPAERSARYQYFVVDPMAEYNMPQYILREFKVTDARDGQSRTIRQFQFTDWPEQGVP
+KTGEGFIDFIGQVHKTKEQFGQDGPITVHCSAGVGRTGVFITLSIVLERMRYEGVVDMFQ
+TVKTLRTQRPAMVQTEDQYQLCYRAALEYLGSFDHYAT
+>sp|P17599|SYN1_BOVIN Synapsin-1 OS=Bos taurus OX=9913 GN=SYN1 PE=1 SV=2
+MNYLRRRLSDSNFMANLPNGYMTDLQRPQPPPPPPAAPSPGATTGPATATAERASSAAPV
+ASPAAPSPGSSGGGGFFSSLSNAVKQTTAAAAATFSEQVGGGSGGAGRGGAAARVLLVID
+EPHTDWAKYFKGKKIHGEIDIKVEQAEFSDLNLVAHANGGFSVDMEVLRNGVKVVRSLKP
+DFVLIRQHAFSMARNGDYRSLVIGLQYAGIPSINSLHSVYNFCDKPWVFAQMVRLHKKLG
+TEEFPLINQTFYPNHKEMLSSTTYPVVVKMGHAHSGMGKVKVDNQHDFQDIASVVALTKT
+YATTEPFIDAKYDVRIQKIGQNYKAYMRTSVSGNWKTNTGSAMLEQIAMSDRYKLWVDTC
+SEIFGGLDICAVEALHGKDGRDHIIQVVGSSMPLIGDHQDEDKQLIVELVVNKMAQALPR
+QRQRDASPGRGSHSQTPSPGALPLGRQISQQPAGPPAQQRPPPQGGPPQPGPGPQRQGPP
+LQQRPTPQGQQHLSGLGPPAGSPLPQRLPSPTSVPQQPASQATPMTQGQGRQSRPVAGGP
+GAPPATRPPASPSPQRQAGPPQATRQTSVSGQAPPKASGVPPGGQQRQGPPQKPPGPAGP
+TRQASQAGPMPRTGPPTTQQPRPSGPGPAGRPTKPQLAQKPSQDVPPPATAAAGGPPHPQ
+LNKSQSLTNAFNLPEPAPPRPSLSQDEVKAETIRSLRKSFASLFSD
+>sp|Q3ZBQ0|SIR5_BOVIN NAD-dependent protein deacylase sirtuin-5, mitochondrial OS=Bos taurus OX=9913 GN=SIRT5 PE=2 SV=1
+MPPLWIIRNRLFSQLYCGLKSPVSTQTKICLTMARPSSNMADFRKCFAKAKHIVVISGAG
+ISAESGVPTFRGAGGYWRKWKAQDLATPQAFARNPSQVWEFYHYRREVVQSTEPNAGHLA
+IAECQARLHRQGRQVVVITQNIDELHRKAGTKNLLEIHGSLFKTRCTSCGVVAENYKSPI
+CPALSGKGAPDPQTQDAGIPVEKLPRCEEAGCGGLLRPHVVWFGENLDPAILEEVDKELA
+LCDLCLVVGTSSVVYPAAMFAPQVSARGVPVAEFNMETTPATERFRFHFQGPCGTTLPEA
+LAPHETETVS
+>sp|Q3T0N3|TMCO1_BOVIN Calcium load-activated calcium channel OS=Bos taurus OX=9913 GN=TMCO1 PE=2 SV=1
+MSTMFADTLLIVFISVCTALLAEGITWVLVYRTDKYKRLKAEVEKQSKKLEKKKETITES
+AGRQQKKKIERQEEKLKNNNRDLSMVRMKSMFAIGFCFTALMGMFNSIFDGRVVAKLPFT
+PLSYIQGLSHRNLLGDDTTDCSFIFLYILCTMSIRQNIQKILGLAPSRAATKQAGGFLGP
+PPPSGKFS
+>sp|P62866|RS30_BOVIN 40S ribosomal protein S30 OS=Bos taurus OX=9913 GN=FAU PE=3 SV=1
+KVHGSLARAGKVRGQTPKVAKQEKKKKKTGRAKRRMQYNRRFVNVVPTFGKKKGPNANS
+>sp|Q0VCS0|PTCRA_BOVIN Pre T-cell antigen receptor alpha OS=Bos taurus OX=9913 GN=PTCRA PE=2 SV=1
+MAESWLLLLLALGCPALPTEVTTLLRPAQQGMGSTPFPSLAPPITLLVDGKQQTLVVCLV
+LDVAPPGFESPIWFSAGNGSSLDAFTYGPSPAEDGTWTRLAQLSLYSEELAAWDTLVCHT
+GPGAGDHGQSTQPLQLSGDASSARTCLWEPLRGTRALVLRLGALRLLLFKLLLLDVLLTC
+GRLHAPPAARGDPAGASGPGAPSLPAPHEVPRADSRLLPQPPPPRGSSSGPADRIRRNHG
+GTTGRGLSVSASPPLEPRDRRRRVHTRRPRRDPRNPVWEEGPPVLRAWSSGPSFSLSTSS
+LGAFLCNLPPPADPSFPGG
+>sp|Q08DI8|PUS7_BOVIN Pseudouridylate synthase 7 homolog OS=Bos taurus OX=9913 GN=PUS7 PE=2 SV=1
+MEMTEMTSVSLKRGSVAIEDNDSVAPAEEAKRQKVSGCCPTTGQDGIENSSLPSSEKVPG
+PPETAEQGEKNSEVPSEEEEEEDGLSEEEEEEEEEAESFADMMKHGLTELDVGITKFVSS
+HQGFSGILKERYSDFVVHEIGRDGRISHLSDLSVPVDEEDPSEDVFTVLTAEEKQRLEEL
+QLFKNKETSVAIEVIEDTKEKRTIIHQAIKSLFPGLETKTEDREGKKYIVAYHAAGKKAL
+ANPRKHSWPKSRGSYCHFVLYKENKDTMDAINLLSKYLRVKPNIFSYMGTKDKRAITVQE
+IAVLKITAQRLAHLNKCLMNFKLGNFSYQKNPLKLGELQGNHFTVVLRNITGTDNQVEQA
+MNSLKEIGFINYYGMQRFGTTAVPTYQVGRAILQNSWAEVMDLILKPRSGAEKGYLVKCR
+EEWAKSKDPAAALRKLPVKRCVEGQLLRGLSKYGMKNIVSAFGIIPRNNRLMYIHSYQSY
+VWNNMVSKRIDEYGLKPVPGDLVLKGATATFIEEDDVNNYSIHDVVMPLPGFDVIYPKHK
+ISEAYREMLTADNLDIDNMRHKIRDYSLSGAYRKIIIRPQNVSWEVVAYDDPKIPLFNTD
+VDNLEGRPPPVFASEGKYRALKMDFSLPPSTYATMAIREVLKMDTSIKNQTQLNTTWLR
+>sp|Q3T0D5|RL30_BOVIN 60S ribosomal protein L30 OS=Bos taurus OX=9913 GN=RPL30 PE=3 SV=3
+MVAAKKTKKSLESINSRLQLVMKSGKYVLGYKQTLKMIRQGKAKLVILANNCPALRKSEI
+EYYAMLAKTGVHHYSGNNIELGTACGKYYRVCTLAIIDPGDSDIIRSMPEQTGEK
+>sp|Q08D85|PXDC1_BOVIN PX domain-containing protein 1 OS=Bos taurus OX=9913 GN=PXDC1 PE=2 SV=1
+MASAVFEGTSLVNMFVRGCWVNGIRRLVVSRRGDEEEFFEIRTEWSDRSVLYLHRSLADL
+GRLWQRLRDAFPEDRPELVRAPLRQGLIAIKDAHDIETRLNEVEKLLKAIISMPRKYSRS
+EVVLTFFERSPLDQVLKNDNVHKIQCGFQSPVKISEIMRSNGFCLANTETIVIDHSIPNG
+KDQHGAVDPAEHLFEGGGELPAELEDGDDPAAYVTNLSYYHLVPFETDILD
+>sp|Q5EA24|RIOX2_BOVIN Ribosomal oxygenase 2 OS=Bos taurus OX=9913 GN=RIOX2 PE=2 SV=1
+MPKKARPAGDGKEQGPAPKQVKVEAACGPSSPLNFDSPSGLFESFISPIKTETFFKEFWE
+QKPLLIQRDDPALATYYQSLFRLSDLKSLCSWGIYYGRDVNVCRCVHGKKKVLNKDGRVH
+FLQLRQDFDQKRATIQFHQPQRFKDELWRIQEKLECYFGSLVGSNVYITPAGAQGLPPHY
+DDVEVFILQLEGEKHWRLYQPTVPLAREYSVEAEDRIGRPTHEFTLKPGDLLYFPRGTIH
+QADTPEGLAHSTHVTISTYQSSSWGDFLLDTISGLVFDTAKADVALRAGIPRQLLLQAES
+IAVATRLSGFLRMLADRLEGTKELPSADMKKDFAMNRLPPYYMGDRAKLVAPGGQLPGLD
+STVRLQFRDHVVLTVGPYQDPSDETRGEMVYVYHSLRNRRDTHMMGNETESYGLRFPLSY
+MDALKQIWNSSAISVKDLKLTTDEEKQNLVLSLWTECLIQVV
+>sp|P61356|RL27_BOVIN 60S ribosomal protein L27 OS=Bos taurus OX=9913 GN=RPL27 PE=2 SV=2
+MGKFMKPGKVVLVLAGRYSGRKAVIVKNIDDGTSDRPYSHALVAGIDRYPRKVTAAMGKK
+KIAKRSKIKSFVKVYNYNHLMPTRYSVDIPLDKTVVNKDVFRDPALKRKARREAKVKFEE
+RYKTGKNKWFFQKLRF
+>sp|Q2TA44|RN148_BOVIN RING finger protein 148 OS=Bos taurus OX=9913 GN=RNF148 PE=2 SV=1
+MSLLRITSSAHSSASSRLWRLGIFLLLSLPDSKGKAIWTAHLNITFQVGSRLISELGESG
+VFGNHSPLERVSGVVVLPEGWNQNACNPMTNFSRPGQTDPWLALIERGGCTFTRKINVAA
+EKGANGVIIYNYPGTGNKVFPMSHQGTENIVAVMIGNLKGMELLHLIQKGVYVKIIIEVG
+RMHMPWLSHYIMSLFTFLTATVAYLFLYCAWRPRGPNFSTRRQRQLKADVRKAIGKLQLR
+VLQEGDKELEPDEDNCVVCFDIYKPQDVVRILTCKHIFHKACIDPWLLAHRTCPMCKCDI
+LQT
+>sp|A6QP29|TBD2A_BOVIN TBC1 domain family member 2A OS=Bos taurus OX=9913 GN=TBC1D2 PE=2 SV=1
+MEGAQESPAESGSSVPWSEEPAGSAKVPEVSLSEESEGCTRPLEATPPKLCGYLSKFGGK
+GPIRGWKSRWFFFDERKCHLYYSRTAQDANPLDSIDLSSAVFDCKADAEEGTFEIKTPNR
+IITLKAATKQAMLYWLQQLQMKRWEFHNSLPALPAAHDAALAGNGPALRLELEQEEEEEE
+AFLCPVKTPTDLVGVAAAWQPVHARPLALQNISLKHLGTEIQNTMCNIRGNKQTQGANHR
+PPGEDSPLIEETQREEQPSPPGPGAPGKDPANSLKSSLTTSLIRKAKSQSNTFPLFSEGL
+MRNRTAQEKVLALEQQVLMLTKELKAQKELVKILHKALEAAQQEKRVSSAYLAAAQDKDR
+LELVRHKVRQIAELGRRVEALERERESLAQTASLQEQEIRELQQHVQLLLDKNQAKQQVI
+CKLSEKVTQDFMKAPEEADRDFLSQQEKMEHLKDDMEAYRTQNRFLNSEIHQVTKIWRKV
+AEKEKALLMKCAYLQAQNCQVESKYLAGLRRLQEALGVEAGECSELLRQLIQEALQWEAS
+EASADSVVLSPSTISEYDEYGFLTVPNYEVEDLRLLAKIQALEVHSHHLLAHEAVERPLR
+ERWATLGELAPSAELKQLLRAGVPHEHRPRVWRWLIRLRVQHLQAPGCYQALLSRGQACK
+HSAARQIELDLNRTFPNNKHFTCPTSSFPDKLRRVLLAFSWQNPTIGYCQGLNRLAAIAL
+LVLDEEESAFWCLVAIVETIMPADYYSKTLLASQVDQRVLQDLLLEKLPRLMAHLGQRHV
+DLSFITFNWFLVVFADSLISNILLQVWDAFLYEGIKVVFRYALAIFKYNEEALLRLQDSL
+EIYQYLHFFTKTICDSRKLMHIAFNDMNPFPMKQLRQLRAAHRERLEAELNELEQLKAEY
+LETRAAQGPAVPEGSPSEDEGEAEP
+>sp|A6QP57|TGM3_BOVIN Protein-glutamine gamma-glutamyltransferase E OS=Bos taurus OX=9913 GN=TGM3 PE=2 SV=1
+MSGLQSVDWQIASNRQAHHTERFYGKDLLVRRGQLFQVSLTLSQGLSSGGRVTFTASTGP
+YPSESANTKAVFPLSNGTSSSGWGAQLVSSRNNVLNISILSPANAPIGRYTLNMQISSQG
+SDSTLKLGTFILLFNPWLQADSVFMSNHAEREEYVQEDAGIIFVGSTNRISMIGWNYGQF
+EEGILNICLSVLDNSLNFRRDPATDVAHRNDPKYVGRVLSAMINGNDDSGVISGNWSGSY
+TGGRDPRNWNGSVEILKEWQRSGFRPVRYGQCWVFAGTLNTVLRCLGIPSRVITNFNSAH
+DTDQNLSVDVYYDPLGRPMDKGSDSVWNFHVWNEAWFVRSDLGPSYNGWQVLDATPQERS
+QGVFQCGPASVIAIREGNVDWDFDMPFIFAEVNADRITWIYESNGALKKNSADTHSVGKH
+ISTKAVGSNSRMDVTEKYKYPEGSSQERQVFEKALRKLKPTMSFSATSASSLAREEREPS
+ISGRFKVAGVLTVGKEVNLILMLKNLTSDTKTVTVNMTAWTIVYNGTLVHEVWKDSVTKS
+LNPEEEIEHPVKIAYAQYEKYLKADNMIRTTAVCQVTDEPEVVVERDIILDNPTLTLEVL
+DEARVQKPVNVQMLFSNPLDEPVKDCVLMVEGSGLLLGNLKIDVPALRPKERSRVRFEIL
+PTRSGTKQLLANFSCNKFPAIKAMLSVDVAE
+>sp|Q0VCU7|SGCG_BOVIN Gamma-sarcoglycan OS=Bos taurus OX=9913 GN=SGCG PE=2 SV=1
+MVREQYTTITEGTHIERPENQAVYKIGIYGWRKRCLYLFVLLLLIVLLVNFALTIWILRV
+MWFSPVGMGHLHVTADGLHLEGESEFLFPLYVKEIRSRVDSSLLLQSTQNVTMNARNTEG
+EVTGRLKVGPQMVEVQSQQFQIHSKDGKPLFTVDEEKVMVGTDKLRVTGPEGALFEHSVE
+TPLVRPDPPQDLRLESPTRSLSMDAPKGIHIQAPAGKIEALTQMDIVLQSSDGTVVLDAE
+TVCLPELALGSQGPAGSSQGLYEVCVCPDGKLYLSVAGMGTTCHEHSHLCL
+>sp|Q1JQ94|RTL8_BOVIN Retrotransposon Gag-like protein 8 OS=Bos taurus OX=9913 GN=RTL8A PE=3 SV=1
+MDGRVQLIKALLALPIRPQTRRWRNPIPFPETFDGDTDRLPEFIVQTGAYMLVDENLFTN
+DALKVTFLITRMTGPALQWVIPYIRKQSPLLNDYRGFLAEMKRVFGWVEDEDF
+>sp|F1MV99|SSR5_BOVIN Somatostatin receptor type 5 OS=Bos taurus OX=9913 GN=SSTR5 PE=3 SV=2
+MEPLFPASPLTTWNTSSVVPSGSGDENGTLAGLGPSPGARAVVVPVLYLLVCAVGLGGNT
+LVIYVVLRHAKMKTVTNIYILNLAVADVLLMLGLPFVATQNAISYWPFGPVLCRLVMTLD
+GINQFTSIFCLTVMSVDRYLAVVHPIRSARWRRPRVAKLASAAVWAFSLVMSLPLVVFAD
+IQEGWNTCNLSWPEPVGLWGAVFIIYTSVLGFFGPLLVICLCYLLIVVKLKASGVRVGST
+RRRSERKVTRMVVVVVLVFAGCWLPFFIVNIVNLAFALPEEPASAGAYFFVVVLSYANSC
+ANPLLYGFLSDNFRQSFRKVLCLRKGYGAGAEDADATEPQPGPSSRLQEAMMPVRSCKAN
+GLMQTSKL
+>sp|Q3ZBE6|TM135_BOVIN Transmembrane protein 135 OS=Bos taurus OX=9913 GN=TMEM135 PE=2 SV=1
+MAALSKSIPHNCYEIGHTWQPSCWLSFLHITRGALEESLKIYAPLYLIAAILRKRKLDYY
+LHKLLPEILQSASFLTANGALFMAFFCILRKILGKFYLWSPGFGAALPASYVAILVERKS
+RRGLLTIYMANLATETLFRMGVARGVITTLRNGEVLLFCITAAMYMFFFRCKDGLKGFTF
+SALRFIVGKEEIPTHSYSPEAAYAKVEQKTEKHEEKPRGMNIIALVRKLVDSVCKHGPRH
+RCCKHYEDNCISYCIKGFIRMFSVGYLIQCCLRIPSAFRHLFTQPSRLLSLFYNKENFQL
+GAFLGSFVSIYKGTSCFLRWVRNLDDELHAIIAGFLAGVSMMFYKSTTISMYLASKLVET
+MYFKGIEAGKVPYFPHADTIIYSISTAICFQAAVMEVQTLRPSYWKFLLRLTKGRFAVMN
+RKVLDVFGTGASKNFPDFTPRLDPRYTTVTPELPIEFS
+>sp|Q3T086|TPC6A_BOVIN Trafficking protein particle complex subunit 6A OS=Bos taurus OX=9913 GN=TRAPPC6A PE=2 SV=1
+MADPALFEFLHTEMVAELWAQDPDPGPGGQKTSLLVLEGMGFRVGQALGERLPRETLTFR
+EELDILKFLCKDLWVAVFHKQMDSLRTNHQGTYVLQDNSFPLLVRMASGLQYLEEAPKFL
+AFTCGLLRGTLSTLGVKSLVTASVAALPACKFQVVIQKL
+>sp|Q32LC1|RPP38_BOVIN Ribonuclease P protein subunit p38 OS=Bos taurus OX=9913 GN=RPP38 PE=2 SV=2
+MAAAPQAPGRGSVRKTRPLPVKTSLNNPYSICWGVLDREDMHFILQTLEDRIQSLGLQKI
+EDRKRKKKQPPLKKQSGDTSSIDVDTGEDLKKEKPKGDAQASGWTPVDVRKQLAIGINEV
+TRALERNELLLALACKSAKPAIVTSHLVQLSVSRGVPACQVPRLSERLAPVLGLKCVLAL
+GFKRNTTAFGEELRAILPRVPRLNVAWLQDALEDPRENLQTESLESQDEEILDTSFEDLS
+KPKRKLAEGQQPVVLQPLKIKKLIPNPNKIRKPPKSKRTASK
+>sp|E1BC15|RBM44_BOVIN RNA-binding protein 44 OS=Bos taurus OX=9913 GN=RBM44 PE=3 SV=1
+MQATAVVETASNKGYNNNGRNIQKDEPSHHKKENLLSPNGCKEAKLTFLNDYYDSLALEK
+RANDKEISNIDKIDLLEPSFTMSPDTNIESAHSQSSEFEDNIDYAFLNETYTIHYSESKL
+KSESLIHLNSELDSEMQKREEVFFDILEHQGDKITDLERIYKISYDDYKKTAEDVQKPDI
+DEDSQQEYHSAEEQECINNHLSFDQAKTLDIPNLEVLGLRNSGCEVKCVSNLEDSHVKLE
+SNSSISLDSVDVYGQEDSPSVSKLQNSVTLRAFHEPKYEKCKEQGTSLMLHTVLDEIILR
+SSHLEHKESESKGFLNPQKALKTKIYTHKVNPQLTESKDSIGNVIVEDKMLQHLDNPGTL
+PQDKHLETLLQPCKDCQTSSVFDDSVISASGYSHYESLQSTPNPALDVSITLPRSAIRGN
+QAVEESGSLKVANGNTISKAHFHNMEGPCPKSETDAAGCTVTVDQTVDVSTDFRACFTAS
+RATSARSSVVSTSSNTEITMMNKKRPSEWQSEKQRSVACNTDWSYIQDTEDPQMAMTKGP
+TGKSLSVDNLKPNGNVLNKDSLELKTFEFTDLKKHSESMLQIQVEKNLPSKCCQQIMQRA
+IQAESHLLNVHYQLCHRHCSDIYRLVMEDREGLNRNLSSNSTRKELGSALLSVLGDLKVR
+YMNLKEKINKGIPLEELPPLSIESRLLSAFSTFASRLMKEESHIFSGADSELDNQSTRDV
+GSSSLKKTLSQTSLLLDNGHPKQDKSPEEGGLKNGDINVDLSQLKLDDKDCKNYREVSED
+WFDAKENLTGADFSGIQENQIEQDKGDPKFTLAEIKNVEPLRKDKGYLMHVGGLCPSVSE
+ADLRSYFQKYEISDISIYDSSPNYRYASLAFKKNSDAKMAVKEMNGIEIKGKSVNVRLVK
+TPGEYTPLSSKNGNKVSFSNLEKSTSKEMSSPSSVSRLPRTRPRQPGSEQDSEFFPFDQK
+GVKKNCKQIESTKLLPDTPIQFIPPKTLNLRSFTKIIKRLAELHPEVSRHRDHIIDALQE
+VRVNHKGFLNGLSINTIVEMTSSVLENSASS
+>sp|A2VE55|S35D2_BOVIN UDP-N-acetylglucosamine/UDP-glucose/GDP-mannose transporter OS=Bos taurus OX=9913 GN=SLC35D2 PE=2 SV=1
+MAEVHRRQHARVKGEAPAKSSTHRHEEELGMASAETLTVFLKLLAAGFYGVSSFLIVVVN
+KSVLTNYRFPSSLCVGLGQMVATVAVLWVGKALRVVKFPDFDRNVPRKTFPLPLLYFGNQ
+ITGLFSTKKLNLPMFTVLRRFSILFTMFAEGVLLKKTFSWGIKMTVFAMIIGAFVAASSD
+LAFDLEGYVFILINDVLTAANGAYVKQKLDSKELGKYGLLYYNALFMILPTLAIAYFTGD
+AQKALDFEGWADTLFLLQFTLSCVMGFILMYATVLCTQYNSALTTTIVGCIKNILITYIG
+MVFGGDYIFTWTNFIGLNISIAGSLVYSYITFSEEQLSKQSEASSKLDIKGKGAV
+>sp|Q2KIQ5|T23O_BOVIN Tryptophan 2,3-dioxygenase OS=Bos taurus OX=9913 GN=TDO2 PE=2 SV=1
+MSGCPFLGKSFGYAFKPLSAQGSEEDKSQAGVNRASKGGLIYGNYLQLEKVLNAQELQSE
+MKGNKIHDEHLFIITHQAYELWFKQILWELDSVREIFQNGHVRDERNMLKVITRMHRVVV
+ILKLLVQQFSVLETMTALDFNDFREYLSPASGFQSLQFRLLENKIGVLQSLRVPYNRRHY
+RDNFRGKDNELLLKSEQERTLLQLVEAWLERTPGLEPHGFNFWGKLEKNIVKGLEEEFTK
+IQAKEESEEKEEQMAEFQKQKEVLLSLFDEKRHEHLLSKGERRLSYKALQGALMIYFYRE
+EPRFQVPFQLLTFLMDVDSLMTKWRYNHVCLVHRMLGSKAGTGGSSGYQYLRSTVSDRYK
+VFVDLFNLSTYLVPRHWIPKMNPVIHKFLYTAEYCDSSYFSSDESD
+>sp|Q3ZBL0|RUXG_BOVIN Small nuclear ribonucleoprotein G OS=Bos taurus OX=9913 GN=SNRPG PE=3 SV=1
+MSKAHPPELKKFMDKKLSLKLNGGRHVQGILRGFDPFMNLVIDECVEMATSGQQNNIGMV
+VIRGNSIIMLEALERV
+>sp|P04557|SFP3_BOVIN Seminal plasma protein A3 OS=Bos taurus OX=9913 PE=1 SV=2
+MALRLGLFLIWAGVSMFLQLDPVNGDEQLSEDNVILPKEKKDPASGAETKDNKCVFPFIY
+GNKKYFDCTLHGSLFLWCSLDADYTGRWKYCTKNDYAKCVFPFIYEGKSYDTCIIIGSTF
+MNYWCSLSSNYDEDGVWKYC
+>sp|Q3MHP9|TACT_BOVIN T-cell surface protein tactile OS=Bos taurus OX=9913 GN=CD96 PE=2 SV=1
+MEKKWTYCAVYSIIQMHLVRGIVEETFGAEEHIYALPGSDVNLTCQTQKKGILVQMQWSK
+VTDKVDLLAVYHPQHGFYCDSKSACRSLVAFREPPGNVFEWTLYLRNVSSSTTGKYECSF
+TLYPEGIQTKIYSLKIQTNVAQEEWKNNHTIEIEINGTLEIPCFQNTSLEISSVLTFAWL
+VEDNGTQKTLTAGGHPISNSALFKDRVRIGTDYRLYLSPVQIHDDGWKFSCHVVVRPGRV
+LRSSTTVKVFAKPEIPMIVENNSMDVIGERIFTCSLRNVFPTANLTWFIQRSFPQGEREE
+MYTTSEKRKNKDGFWELKSVLTSAYDNKPAHSNNLTIWCMALSPAPGHKVWNSSSEKITF
+FLGSLNPPIDSPLNATESTLGTRPSLANSISPTGYRTPSSTAHVDVSTSTSNVILPSVQT
+SNSDVPTRGFNYSWTSSGKDAKHSAPWMPSETNSSPSSGAGSTLPGDIFTSTTRASSEVP
+TTANVSTKNNHITGTVISKPKDGMSWPVIVAALLLSCFVLFGLGVRKWCQYQKEIMQRPP
+PFKPPPPPIKYTCIQESIGSDLPCHELETL
+>sp|Q2TBM9|SRAC1_BOVIN Protein SERAC1 OS=Bos taurus OX=9913 GN=SERAC1 PE=2 SV=1
+MSLAAYCVICCRRMGTSTPPPKSSTYWRDIRNIIKFTGSLILGGSLFITYEVLALKKSLT
+LDTQVIEREKMKSYIYVHTVSLDKTENHGITYQARKELHKAVRKVLATSARIFRGPFADT
+FSTVDIEDHDCAVWLLLRKSRSDDRAARLQAVQEMSEARHWHDYQYRIIAQACDMRTLTG
+LARSKDSDLRFFLRPPPLPSLKEDSSTEEELRHLLASLPQTDLDECIQCFTALALSESSQ
+SLAAQKGGLWCFGGNGLPYAESFGEVPSATVEMFCLEALVKHSEIPTHCDKIEANGGLQL
+LQRLYQLHKDCPKVQRNIMRILGNMALNEHLHSTIVRSGWVSILAEAIKSQHIMEASHAA
+RTLANLDRETVPDKYHDGVYVLHPQYRTSQPIKADVLFIHGLMGAAFKTWRQQDNDQDLT
+EKVSEDETKYTTCWPKSWLARDCPALRIISVEYDTSLSDWRARCPTERKSIAFRSNELLR
+KLRAAGVGDRPVVWVSHSMGGLLVKKMLLEASKRPEMNTIINNTRGIIFYSVPHHGSHLA
+EYSVNIRYLLFPSLEVKELSKDSPALKTLQDDFLEFAKDKNFQVLSFVETLPTYIGSMIK
+LHVVPLDSADLGLGDLIPVDVNHLNICKPKKKDAFLYQRTLQFIRDALAKDLEN
+>sp|Q3T189|SDHB_BOVIN Succinate dehydrogenase [ubiquinone] iron-sulfur subunit, mitochondrial OS=Bos taurus OX=9913 GN=SDHB PE=2 SV=1
+MAAVVALSLRRRFPAAALGGARLQACRGAQTAAAAAPRIKKFAIYRWDPDKTGDKPHMQT
+YEIDLNNCGPMVLDALIKIKNEIDSTLTFRRSCREGICGSCAMNINGGNTLACTRRIDTN
+LSKVSKIYPLPHMYVIKDLVPDLSNFYAQYKSIEPYLKKKDESQGGKEQYLQSIEDREKL
+DGLYECILCACCSTSCPSYWWNGDKYLGPAVLMQAYRWMIDSRDDFTEERLAKLQDPFSL
+YRCHTIMNCTQTCPKGLNPGKAIAEIKKMMATYKEKQASA
+>sp|A4D7R9|ST7_BOVIN Suppressor of tumorigenicity 7 protein OS=Bos taurus OX=9913 GN=ST7 PE=2 SV=1
+MAEAGTGFLEQLKSCIVWSWTYLWTVWFFIVLFLVYILRVPLKINDNLSTVSMFLNTLTP
+KFYVALTGTSSLISGLILIFEWWYFRKYGTSFIEQVSVSHLRPLLGGVDNNSSNNSNSSN
+GDSDSNRQSVSECKVWRNPLNLFRGAEYNRYTWVTGREPLTYYDMNLSAQDHQTFFTCDS
+DHLRPADAIMQKAWRERNPQARISAAHEALEINEIRSRVEVPLIASSTIWEIKLLPKCAT
+AYILLAEEEATTIAEAEKLFKQALKAGDGCYRRSQQLQHHGSQYEAQHRRDTNVLVYIKR
+RLAMCARRLGRTREAVKMMRDLMKEFPLLSMFNIHENLLEALLELQAYADVQAVLAKYDD
+ISLPKSATICYTAALLKARAVSDKFSPEAASRRGLSTAEMNAVEAIHRAVEFNPHVPKYL
+LEMKSLILPPEHILKRGDSEAIAYAFFHLAHWKRVEGALNLLHCTWEGTFRMIPYPLEKG
+HLFYPYPICTETADRELLPSFHEVSVYPKKELPFFILFTAGLCSFTAMLALLTHQFPELM
+GVFAKAMIDIFCSAELRDWNCESIFMRVEDELEIPPAPQSQHFQN
+>sp|Q5E9R1|S52A3_BOVIN Solute carrier family 52, riboflavin transporter, member 3 OS=Bos taurus OX=9913 GN=SLC52A3 PE=2 SV=1
+MAFLIHLLVCTFGMGSWVAINGLWVELPLLVTELPEGWYLPSYLTVIIQLANVGPLLVTL
+LHHFRPGCLSEVAVVFTVLGVGTIACTLFAFLWNVTSWVLGSRHSIAFLVLTFFLALVDC
+TSSVTFLPFMSRLPTYYLTTFFVGEGLSGLLPALVALAQGSGLTTCVNVTEISATTLSPE
+TTRNMDSPQGASSTLVSKLAGTAPSGIHLESRYLPANFSPLVFFLLLSFMMACCFISFFF
+LQRQPKRWEASIEDLLTSQVTLNSIRPQEGKDLGPPEESGKAQDPPEEKTAPQHLAHLTF
+IYVLVAFVNALTNGVLPSVQTYSCLSYGPVAYHLSATLSSMASPLTCFLSIFLPNRSLPF
+LGVLAVLGTSFGAYNMAMAVMSPCPFMQGHWGGEVLIVVSWVLFTGCLSYVKVMLGVILR
+DHSRSALLWCGAAVQLGSLLGAVVMFPLVNVLRLFSSADFCSLQCSA
+>sp|P55270|SCNNA_BOVIN Amiloride-sensitive sodium channel subunit alpha OS=Bos taurus OX=9913 GN=SCNN1A PE=1 SV=2
+MKGDKPEEPGPGPEPSGPPPPTEEEEALLEFHRSYRELFEFFCNNTTIHGAIRLVCSQHN
+RMKTVFWAVLWLCTFGMMYWQFGQLFGEYFSYPVSLNINLNSDKLVFPAVSICTLNPYRY
+KEIQEELEELDRITEQTLFDLYKYNSSKTLVAHARSRRDLREPLPHPLQRLPVPAPPHAA
+RGVRRAGSSMRDNNPQVNRKDWKIGFQLCNQNKSDCFYQTYSSGVDAVREWYRFHYINIL
+SRRRQDTSPSLEEDVLGKFIFTCRFNQDSCNEANYSHFHHPMYGNCYTFNDKNSSNLWMS
+SMPGVNNGLSLTLRTEQNDFIPLLSTVTGARVMVHERDEPAFMDDAGFNLRPGVETSISM
+SKEAVDRLGGDYGDCTKNGSEVPVENLYNTKYTQQVCIHSCFQESMIKECGCAYIFYPRP
+DGVEFCDYRKHNSWGYCYYKLQDAFSSDRLGCFTKCRKPCSVTIYKLSASYSQWPSATSQ
+DWVFQMLSRQNNYTIKNKRDGVAKLNIFFKELNYKSNSESPSVTMVTLLSNLGSQWSLWF
+GSSVLSVVEMAELIIDLLVITFLMLLRRFRSRYWSPGRGGKGTQEVASTPAASLPSSFCP
+HPAFFSSSPPDPAISPALSAPPPAYATLGPHPAPSGLAEASTSAHAPGEP
+>sp|Q32L17|SPZ1_BOVIN Spermatogenic leucine zipper protein 1 OS=Bos taurus OX=9913 GN=SPZ1 PE=2 SV=1
+MEVPTLSKTRKPPDLNEESLDLGIMIALFEIGSIPPVSCSSLPSLKSSDHEATEQRIAKK
+FESLLKEIKDIVKHVTSYEQKVTETKEPFKETNMFEVSELREKIIELDEINKELVKKLLA
+SLDLGKKENAKKQEMRLDNQNSEDTVQDCSGDLVNCSKGQKALPETQLSKEKAKHGFPHI
+QEENIRLRNNMERLLQEAEHWSVEHTELSKLIKSYQKSQNDIKTLKNNGTHSPTQTNNES
+AKQELEEQVKRLKEDTYSLHLIATLLENECQILEQRVELLDELHHQKEEPLQGEPMQINH
+EQSDKEQKLPEAEKVKIHEKNMPEVEGTFHKRDQFFTSLDICHNKKAHNNQFNTRIAKRA
+LVVKRPASSLS
+>sp|Q32LP4|S4A10_BOVIN Sodium-driven chloride bicarbonate exchanger OS=Bos taurus OX=9913 GN=SLC4A10 PE=2 SV=1
+MQSGTCESFQSLSHQRNDEEAVVDRGGTRSILKTHFEKEDLEGHRTLFIGVHVPLGGRKS
+HRRHRHRGHKHRKRDRERDSGLEDGRESPSFDTPSQRVQFILGTEDDDEEHIPHDLFTEL
+DEICWREGEDAEWRETARWLKFEEDVEDGGERWSKPYVATLSLHSLFELRSCILNGTVLL
+DMHANTLEEIADMVLDQQVSSGQLNEDVRHRVHEALMKQHHHQNQKKLTNRIPIVRSFAD
+IGKKQSEPNSMDKNAGQVVSPQSAPACVENKNDVSRENSTVDFSKGLGGQQKGHTSPCGM
+KQRHEKGPPHQQDREVDLHFMKKIPPGAEASNILVGELEFLDRTVVAFVRLSPAVLLQGL
+AEVPIPTRFLFILLGPLGKGQQYHEIGRSIATLMTDEVFHDVAYKAKDRNDLVSGIDEFL
+DQVTVLPPGEWDPSIRIEPPKNVPSQEKRKIPAVPNGTAAHGEAEPHGGHSGPELQRTGR
+LFGGLILDIKRKAPYFWSDFTDALSLQCLASFLFLYCACMSPVITFGGLLGEATEGRISA
+IESLFGASMTGIAYSLFGGQPLTILGSTGPVLVFEKILFKFCKEYGLSYLSLRASIGLWT
+ATLCIILVATDASSLVCYITRFTEEAFASLICIIFIYEALEKLFELSEAYPINMHNDLEL
+LTQYSCNCVEPHNPSNNTLKEWRESNISASDIIWENLTVSECTSLHGEYVGRACGHEHPY
+VPDVLFWSVILFFSTVTLSATLKQFKTSRYFPTKVRSIVSDFAVFLTILCMVLIDYAIGI
+PSPKLQVPSVFKPTRDDRGWFVTPLGPNPWWTVIAAIIPALLCTILIFMDQQITAVIINR
+KEHKLKKGCGYHLDLLMVAVMLGVCSIMGLPWFVAATVLSITHVNSLKLESECSAPGEQP
+KFLGIREQRVTGLMIFILMGSSVFMTSILKFIPMPVLYGVFLYMGASSLKGIQFFDRIKL
+FWMPAKHQPDFIYLRHVPLRKVHLFTVIQMSCLGLLWIIKVSRAAIVFPMMVLALVFVRK
+LMDFLFTKRELSWLDDLMPESKKKKLEDAEKEEEQSMLAMEDEGTVQLPLEGHYRDDPSV
+INISDEMSKTALWRNLLITADNSKDKESSFPSKSSPS
+>sp|Q2KHV6|SNX8_BOVIN Sorting nexin-8 OS=Bos taurus OX=9913 GN=SNX8 PE=2 SV=1
+MTGGAMDPLPTAPGAAAAEAEVDEEADPPAADSPVPPVSEPRAPDAGQMQVPPGNPLLLS
+LTLQELLARDAVQVELVPEKKGLFLKHVEYEVSSQRFKSCVYRRYNDFVVFHETLLHKFP
+YRMVPALPPKRMLGADREFIEARRRALKRFINLVARHPPFSEDTILKLFLSFSGPDVQNK
+LKESAQCLGDEFMNCRLAARAKDFLPADIQTQFAMSRELIRNIYNSFHKLRDRAERMVSR
+AIDNAADLLIFGKELSALGSDTTPLPSWASLNSSTWGSLKQALKGLSVEFALLADKAAQQ
+GKQEENDVVEKLNLFLDLLQSYKDLCERHEKGVLHKHQRALHKYSLMKRQMVSAAVQSRE
+PESMEQLESRIVQQENVIQTMELRSHFSLYCLHQETQLVHVYLPLTSHILGAFVNSQIQG
+HKEMSKVWNDLQPKLRCLFVGPHGAPAPPRPPQDGLSAH
+>sp|Q2KIK3|SIM14_BOVIN Small integral membrane protein 14 OS=Bos taurus OX=9913 GN=SMIM14 PE=3 SV=1
+MAEGGFDPCECVCSHEHAMRRLINLLRQSQSYCTDTECLQELPGPSSDNGISITMILMAW
+MVIAVILFLLRPPNLRGSNLTGKPASPHNGQDPPAPPVD
+>sp|Q2TBK8|SPN1_BOVIN Snurportin-1 OS=Bos taurus OX=9913 GN=SNUPN PE=2 SV=1
+MEELSQALAGSFSVSQDLNSTAAPHPRLSQYKSKYSSLEQSERRRQLLELQKLKRLDYVN
+HARRLAEDDWTGMESEEEEEKKDDEEMDVDTGKELPKRYANQLMLSEWLIDVPSDLGQEW
+IVVVCPVGKRSLIVASQGLTSAYTKSGYCVNTFPSLLPGGNRRNSTTEKDYTILDCIYSE
+VNQTYYVLDVMCWRGHPFYDCQTDFRFYWLHSKLPEEEGLGEKTKRNPFKFVGLKNFPCT
+PESLCKVLSMDFPFEVDGLLFYHKQTHYSPGSTPLVGWLRPYMVSDVLGVAVPACPLTTK
+PEYAGYQLQQIIEHKKSKKEGIMGKLTPRASENGHYELEHLSTPKLKSPPQRPNHPESLM
+EN
+>sp|A8YXX7|TFF3_BOVIN Trefoil factor 3 OS=Bos taurus OX=9913 GN=TFF3 PE=3 SV=1
+MEARTFWLLVVAVLALGSSSSTGQYVGLSANQCAVPAKDRVDCGYPEVTPEQCNNRGCCF
+DSSIHGVPWCFKPLQEAECTF
+>sp|Q17QS0|SCRN3_BOVIN Secernin-3 OS=Bos taurus OX=9913 GN=SCRN3 PE=2 SV=1
+MEPCSCDTFVALPPATIDNRIIFGKNSDRPCDEVQEVVYFPAAVHDNLKEHLKCTYIEID
+QVPETYAVVLSRPAWLWGAEMGANEHGVCIGNEAVWGREKVCDEEALLGMDLVRLGLERG
+DTAEKALNVIVDLLEKYGQGGNCSEGRMVFSYHNSFLIADRNEAWILETAGKYWAAEKVE
+EGVRNISNQLSIMTKIDREHPDMRNYAKQRGWWDGKKEFDFAATYSYLDTAKMMISPGRY
+CEGYKLLNKHKGNITFETMVEILRDKPSGINMEGEFLTTASMVSILPQDSKLPCIHFFTG
+TPDPERSVFKPFIFVPNISQLLNTSSPTFELEDSVGKKLQFNSKPDRRHPLYQKHQQALE
+ILDNKTEKAKTMLDNMRKLEKELFKEMESVLQNKHLQVDKIVNLLHQCTEDEIRIYKSNV
+SS
+>sp|Q58DH7|SGMR1_BOVIN Sigma non-opioid intracellular receptor 1 OS=Bos taurus OX=9913 GN=SIGMAR1 PE=2 SV=1
+MCWAVGRRWAWAALLLAVAAVLAQVVWLWLGTQSFVFQHEEIAQLARQYAGLDHELAFSR
+LIVELRRLHPGHVLPDEDLQWVFVNAGGWMGAMCLLHASLSEYVLLFGTALGSSGHSGRY
+WAEISDTIISGTFHQWREGTTKSEVFYPGETVVHGPGEATAVEWGPNTWMVEYGRGVIPS
+TLGFALADTVFSTQDFLTLFYTLRAYARGLRLELTTYLFGQDA
+>sp|Q0P585|SMYD2_BOVIN N-lysine methyltransferase SMYD2 OS=Bos taurus OX=9913 GN=SMYD2 PE=2 SV=1
+MRAEGDGGLERFCSPGKGRGLRALQPFQVGDLLFSCPAYAYVLTVSERGNHCEFCFARKE
+GLSKCGRCKQAFYCNVECQREDWPMHKLECSPMVVFGENWNPSETVRLTARILAKQKIHP
+ERTPSEKLLAVKEFESHLDKLDNEKRDLIQSDIAALHHFYSKHLEFPDNDSLVVLFAQVN
+CNGFTIEDEELSHLGSAIFPDVALMNHSCCPNVIVTYKGTLAEVRAVQEIHPGEEVFTSY
+IDLLYPTEDRNDRLRDSYFFTCECQECTTKDKDKAKVEIRKLNDPPKAETIRDMVRYARN
+VIEEFRRAKHYKSPSELLEICELSQEKMSCVFEDSNVYMLHMMYQAMGVCLYMQDWEGAL
+RYGQKIIQPYSKHYPLYSLNVASMWLKLGRLYMGLENKAAGERALKKAIAIMEVAHGKDH
+PYISEIKQEIESH
+>sp|Q3MHW7|SGMR2_BOVIN Sigma intracellular receptor 2 OS=Bos taurus OX=9913 GN=TMEM97 PE=2 SV=1
+MGTLGARRGLEWFLGFYFLSHIPITLLMDLQGVLPRDLYPVELRNLQQWYIEEFKDPLLQ
+TPPAWFKSFLFCELVFQLPFFPIAAYAFFKGGCKWIRTPAIIYSVHTMTTLIPILSTLLL
+DDFSKASHFRGQGPKTFQERLFLISVYIPYFLIPLILLLFMVRNPYYKSEEKRKKK
+>sp|A6QL88|SAC1_BOVIN Phosphatidylinositide phosphatase SAC1 OS=Bos taurus OX=9913 GN=SACM1L PE=2 SV=1
+MAATTYERLKLHVTPEKFYVEACDDGADDVLIIDRVSTEVTLSVKKDIPPSAVTRPIFGI
+LGTIHLVAGNYLIVITKKKKIGEFFNHVIWKATDFDVLSYKKTMLHLTDIQLQDNKTFLA
+MMNHVLSMDGFYFSTTYDLTHTLQRLSNTSPEFQEMSLLERADQRFVWNGHLLRELSAQP
+EVHRFALPVLHGFITMHSCSINGKYFDWILISRRSCFRAGVRYYVRGIDSEGHAANFVET
+EQIVHYNGSRASFVQTRGSIPLYWSQRPNLKYKPLPLINKVANHMDGFQRHFDSQIIIYG
+KQVIINLVNQKGSEKPLEQAFATMVSSLGNGMIRYIAFDFHKECKNMRWDRLSILLDQVA
+EMQDELSYFLVDPAGVVLSTQEGVFRSNCMDCLDRTNVIQSLLARRSLQAQLQRLGVLHV
+GQKLEEQDEFEKIYKNAWADNANACAKQYAGTGALKTDFTRTGKRTQLGLIMDGWNSLIR
+YYKNNFSDGFRQDSIDLFLGNYSVDELESHSPLSVPRDLKFLALPIIMVVAFSMCIICLL
+MAGDTWTETLAYVLFWGVASIGTFFIILYNGKDFVDAPRLVQKEKID
+>sp|Q05B62|SNX1_BOVIN Sorting nexin-1 OS=Bos taurus OX=9913 GN=SNX1 PE=2 SV=1
+MASGGGGCSASERLPPPFPGLEPESEGAVGGSEPEAGDSDTEGEDIFTGAAAVSKPQSPK
+RIASLLPINSGSKENGIHEEQDQEPQDLFADATVELSLDSTQNNQKKVPAKTLISLPPQE
+ATNSSKPQPSYEELEEEEQEDQFDLTVGITDPEKIGDGMNAYVAYKVTTQTSLPMFRSKH
+FAVKRRFSDFLGLYEKLSEKHSQNGFIVPPPPEKSLIGMTKVKVGKEDSSSAEFLEKRRA
+ALERYLQRIVNHPTMLQDPDVREFLEKEELPRAVGTQTLSGAGLLKMFNKATDAVSKMTI
+NMNESDIWFEEKLQEVECEEQRLRKLHAVVETLVNHRKELALNTAQFAKSLAMLGSSEDN
+TALSRALSQLAEVEEKIEQLHQEQANNDFFLLAELLSDYIRLLAIVRAAFDQRMKTWQRW
+QDAQTTLQKKREAEARLLWANKPDKLQQAKDEIVEWESRVTQYERDFERISTVVRKEVIR
+FEKEKSRDFRNHVIQYLETLLHSQQQLAKYWEAFLPEAKAIS
+>sp|Q2KIR1|SNRPA_BOVIN U1 small nuclear ribonucleoprotein A OS=Bos taurus OX=9913 GN=SNRPA PE=2 SV=1
+MAVPETRPNHTIYINNLNEKIKKDELKKSLYAIFSQFGQILDILVSRSLKMRGQAFVIFK
+EVSSATNALRSMQGFPFYDKPMRIQYAKTDSDIIAKMKGTFVERDRKREKRKPKSQETPA
+AKKAVQGGAAAPVVGTVQGPVPGMPPMTQAPRIMHHMPGQPPYMPPPGMIPPPGLAPGQL
+PPGAMPPQQLMPGQMPPAQPLSENPPNHILFLTNLPEETNELMLSMLFNQFPGFKEVRLV
+PGRHDIAFVEFDNEVQAGAARDALQGFKITQNNAMKISFAKK
+>sp|Q3SZK0|S2534_BOVIN Solute carrier family 25 member 34 OS=Bos taurus OX=9913 GN=SLC25A34 PE=2 SV=1
+METVPPAVDLVLGASACCLACVFTNPLEVVKTRLQLQGELQKRGTYPRLYRGFVASVVAV
+VRADGLCGLQKGLAAGLLYQGLMNGVRFYCYSLACQAGLSQQPGGTVVAGAVAGALGAFV
+GSPAYLVKTQLQAQTVAAMAVGHQHHHESLLGALETIWRQQGLAGLWRGVGGAVPRVMVG
+SAAQLATFASAKAWVQERQWLPEDSWLVALAGGMISSIAVVAVMTPFDVVSTRLYNQPVD
+GAGRGKLYGGLTDCLVKIWRQEGPLALYKGLGPVYLRLGPHTILSMLFWDELRKLAGWGQ
+HQGS
+>sp|Q2HJB9|TMM98_BOVIN Transmembrane protein 98 OS=Bos taurus OX=9913 GN=TMEM98 PE=2 SV=1
+METVVIVAIGVLATIFLASFAALVVVCRQRYCRPRDLLQCYDSKPIVDLIGAMETQSEPS
+ELELDDVVITNPHIEAILENEDWIEDASGLMSHCIAILKICHTLTEKLVAMTMGSGAKMK
+TSASLSDIIVVAKRISPRVDDVVKSMYPPLDPKLLDARTTALLLSVSHLVLVTRNACHLT
+GGLDWIDQSLTAAEEHLEVLREAALASEPDKGLPGPEGFLQEQSAI
+>sp|P82911|RT11_BOVIN 28S ribosomal protein S11, mitochondrial OS=Bos taurus OX=9913 GN=MRPS11 PE=1 SV=2
+MQVLRNSGSWLLRSWAWPPTTRVVAGVPAPTIHMSAQQMQDAAAKEEVEKAETPAPAPSR
+SSFSIYPPIPGQESSLRWAGKKFEEIPIAHIKASYNNTQIHVVSAAHQPLARASCGTEGF
+RNAKKGTGIAAQTAGIAAAAKATGKGVTHVRVVVKGLGPGRLSAIKGLTMGGLEVISITD
+NTPIPHNGCRPRKARRL
+>sp|Q5E971|TMEDA_BOVIN Transmembrane emp24 domain-containing protein 10 OS=Bos taurus OX=9913 GN=TMED10 PE=2 SV=1
+MSGSSGPQAQRGPCPFALLLLLLLGPSSVLAISFHLPVNSRKCLREEIHKDLLVTGAYEI
+TDQSGGAGGLRTHLKITDSAGHILYSKEDATKGKFAFTTEDYDMFEVCFESKGTGRIPDQ
+LVILDMKHGVEAKNYEEIAKVEKLKPLEVELRRLEDLSESIVNDFAYMKKREEEMRDTNE
+STNTRVLYFSIFSMFCLIGLATWQVFYLRRFFKAKKLIE
+>sp|O02739|SPB6_BOVIN Serpin B6 OS=Bos taurus OX=9913 GN=SERPINB6 PE=2 SV=1
+MDALSEANGTFALTLLKKLGEGNSKNVFISPLSISSALAMVLLGAKGNTAAQMCQTLSLN
+KSSGGGEDVHQGFQNLLSEVNRRDTQYLLRTANRLFGEKTYDFLSSFKDSCHKFYQAEME
+ELDFVSATEQSRKHINTWVAEKTEGKIRDLLPANSVNPMTRLVLVNAIYFKGNWDTQFNK
+EHTEERPFRVSKNVEKPVQMMFKKSTCKITYIGEISTQILVLPYVGQELNMVILLPSEST
+DLNTVEKALTYEKFIAWTKPDVMDEEEVEVFLPRFTLEESYDMEEFLQELGMTDAFEETR
+ADFSGMSSGRGLHLSKVMHKSFVEVTEEGTEAAAATGAVVMMRCLMVVPRFNANHPFLFF
+IQHSKTGAILFCGRFCSP
+>sp|Q3SZ87|SSRG_BOVIN Translocon-associated protein subunit gamma OS=Bos taurus OX=9913 GN=SSR3 PE=2 SV=1
+MAPKGGPKQQSEEDLLLQDFSRNLSAKSSALFFGNAFIVSAIPIWLYWRIWHMDLIQSAV
+LYSVMTLVSTYLVAFAYKNVKFVLKHKVAQKREDAVSKEVTRKLSEADNRKMSRKEKDER
+ILWKKNEVADYEATTFSIFYNNTLFLVLVIVASFFILKNFNPTVNYILSISASSGLIALL
+STGSK
+>sp|Q5E9C3|SSNA1_BOVIN Sjoegren syndrome nuclear autoantigen 1 homolog OS=Bos taurus OX=9913 GN=SSNA1 PE=3 SV=1
+MTQQGAALQNYNNELVKCIEELCQKREELCRQIQQEEEEKQRLQNEVRQLTEKLARVNEN
+LARKIASRNEFDRTIAETEAAYLKILESSQTLLSVLKREAGNLTKATASEQKSSGGKES
+>sp|Q17QV9|STK40_BOVIN Serine/threonine-protein kinase 40 OS=Bos taurus OX=9913 GN=STK40 PE=2 SV=1
+MKRRASDRGAGETSARAKALGSGISGNNAKRAGPFILGPRLGNSPVPSIVQCLARKDGTD
+DFYQLKILTLEERGDQGIESQEERQGKMLLHTEYSLLSLLHTQDGVVHHHGLFQDRTCEV
+VEDAESNRMVKKMKKRICLVLDCLCAHDFSDKTADLINLQHYVIKEKRLSERETVVIFYD
+VVRVVEALHQKNVVHRDLKLGNMVLSKRTHRITITNFCLGKHLVSEGDLLKDQRGSPAYI
+SPDVLSGRPYRGKPSDMWALGVVLFTMLYGQFPFYDSIPQELFRKIKAAEYTIPEDGRVS
+ENTVCLIRKLLVLDPQQRLAAADVLEALSSIIASWQSLSSLSGPLQVVPDIDDQMSNADS
+SQEAKVTEECSQYEFENYMRQQLLLAEEKSSVHEARSWVPKRQSGAGVPPVRRLGHDAQP
+VNPLDAAILAQRYLRK
+>sp|A6QLI8|T2EA_BOVIN General transcription factor IIE subunit 1 OS=Bos taurus OX=9913 GN=GTF2E1 PE=2 SV=1
+MADPDVLTEVPAALKRLAKYVIRGFYGIEHALALDILIRNPCVKEEDMLELLKFDRKQLR
+SVLNNLKGDKFIKCRMRVETAADGKTTRHNYYFINYRTLVNVVKYKLDHMRRRIETDERD
+STNRASFKCPVCSSTFTDLEANQLFDPMTGTFRCTFCQTEVEEDESAMPKKDARTLLARF
+NEQIEPIYALLRETEDVNLAYEILEPEPTEIPALKQSKDRAATAAGAAGLAGGHHREAWT
+TKGPSYEDLYTQNVVINMDDQEDLHRASLEGKSAKERPIWLRESTVQGAYNSEEMKEGGI
+DIDSFQEHEEGHAGPDDNEEVMRALLIHEKKTPSAPAGSVGAAAPVTAANGSDSESETSE
+SDDDSPPRVATVAAHHGEEDEEDDEFEEVADDPVVMVAGRPFSYSEVSQKPELVAQMTPE
+EKEAYIAMGQRMFEDLFE
+>sp|Q2T9X8|SPICE_BOVIN Spindle and centriole-associated protein 1 OS=Bos taurus OX=9913 GN=SPICE1 PE=2 SV=1
+MSFVRVNRYGPRGGGRKTLKVKKKTSVKQEWDNTVTDLTVHRATPEDLIRRHEIHKSKNR
+ALVHWELQEKALKRRWKKQKPEISNLEKRRLSIMKEILSDQYQLQDVLEKSDHLMATAKG
+LFVDFPRRRTGFPNVTMAPESSQSPTVVSKDPVTQAIRSESVIEPQALNEVDDDEQEGTV
+NSQSEESENELDNSLSSQSNANAGTFLHQIKEENSELINKLWTDIQQKVATQSQMTASSG
+TPSSASPSGEQKAALNATNAVKRIHTRLQPEESTETLDSSYVVGQVLNSRKQKQLLNKVK
+RKPDSRAPSKQKSSMLSASTASTDLPSSSNPSLDVLKHMIHEVEHEIEEYERWTGREVQG
+LQNSQGLTGFTLSLVSSLCRLVRYLKESELQLRKEVETRQRLEEALGDHRELIDALTAEV
+LFLREENTATQARLQQYMITTDEQLISLTHAIKNCPVISNKESQALERGATSQRHIDNPE
+DPAVNASVSMPLMFRGEDVVEFPQEDLPVKLSQVPNPPESGDLASSLPGHIFEPAVLLTP
+PRQKSNSEFSPLQDVLRRTVQTRPAPRIPPTVEIIEKEQNWEKKTLPVGADIQNSSDESH
+LFTQRWRASHMGEDSQSKTQAPFVSLSQPLCSSQSSMQQSRNPTLSEEPLVLGDGQQLRT
+NETLIQRKDIMARIAELTLQNSDIRAHLNNIIGPGGEQGDGLREFNRQETSHASDTMATF
+PAVQPLTPSSMEERIAELNRQSMEARGKLLQLIEQQKLLGLNPSSPPVSPVQSPLRAWAE
+GGKRTIEVSIPGAEAPESSKCSTDSPTSGLNSRRSSGAASNSCSPLNATSGSGRLTPLNP
+RAKIEKQNEEGWFALSTHVS
+>sp|A5PJQ2|SCAR5_BOVIN Scavenger receptor class A member 5 OS=Bos taurus OX=9913 GN=SCARA5 PE=2 SV=1
+MENKAMYLHATVSDRDSSSIFEEPFDGRSLSKLNLCEDGPCHKGRAGGCCTQLGSLSALK
+HAVLGLYLLVFLILVGIFILAVSRPRSSPDDLKALTRNVNRLNESFRDLQLRLLQAPLQG
+ELSEQVWKAHDALQNQSDSLLALAGAVQRLEGALWGLQAQAAQSEQAVVLLRERAAQQSD
+AAQLELYQLQVDSNRSQLLLRRHAGLLDGLARRVGALSDELADVGGALRGLNLSLSYDVA
+LQGTRLRDLRVLVSNASEDARRLRLAHRGLELQLKQELAVLNGVTEDLRLKDWEHSIALR
+NITLAKGPPGPKGDPGDAGKEGEPGIPGLPGLRGLPGERGTPGLPGPKGDEGKLGATGPM
+GMRGFKGDRGPKGEKGEKGDRTVDASGVEAVMVRLVNGSGLHQGRVEVYHERRWGTVCDD
+GWDKKDGDVVCRMLGFPGAEDVHRTAQFGQGTGRIWMDDVACKGTEESIFRCSFSKWGVT
+NCGHAEDAGVTCKRH
+>sp|Q2KJF9|T2EB_BOVIN General transcription factor IIE subunit 2 OS=Bos taurus OX=9913 GN=GTF2E2 PE=2 SV=1
+MDPSLLRERELFKKRALSTPAVEKRSVSSEASSSKKKKAKLEHGGSSGSKQNSDHSNGSF
+NLKALSGSSGYKFGVLAKIVNYMKTRHQRGDTHPLTLEEILDETQHLDIGLKQKQWLMSE
+ALVNNPKIEVVDGKYAFKPKYNLKDKKALLRLLDQHDQRGLGGILLEDIEEGLPNSQKAV
+KALGDQILFVNRPDKKKILFFNDKSCQFSVDEEFQKLWRSVTVDSMDEEKIEEYLKRQGI
+SSMQDSGPKKVAPIQRRKKPASQKKRRFKTHNEHLAGVLKDYSDIAPGK
+>sp|Q3SZ36|TMM18_BOVIN Transmembrane protein 18 OS=Bos taurus OX=9913 GN=TMEM18 PE=2 SV=1
+MPSAFSVSRFPVSIPAVITQTDWTEPWLVGLAGFHVLCLLLTCFSSQRYRLQVGHFLCLV
+TLVYCAEYINEVAAMNWRLFSKHQYFDSRGMFISLVFSAPLLLNALVIVVLWVRKTLVVM
+TDLRSLREQRRARARPKEE
+>sp|Q3ZBK3|SPC25_BOVIN Kinetochore protein Spc25 OS=Bos taurus OX=9913 GN=SPC25 PE=2 SV=1
+MVEDELALFDKSINEFWNKFKSTVSDTSCQMVGLRETYKDSIKAFAEKLSVKLKEEERMV
+EMFLEYQNQICRQNKLIQEKKENLLKLIAEVKDKKQEVEALTANIQDLKEEYARKKETIS
+TANKANEERLKRLQKSADLYKDRLGLEIRKIYGDKLQFIFTDIDPKHPDRPFMFSLCLNE
+ARDYEVSDCAPRLECLAEFQEKVRQTNNFSAFLANVRKAFTALVYN
+>sp|A2VE70|VAC14_BOVIN Protein VAC14 homolog OS=Bos taurus OX=9913 GN=VAC14 PE=2 SV=1
+MNPEKDFAPLTPNIVRALNDKLYEKRKVAALEIEKLVREFVAQNNTVQIKHVIQTLSQEF
+ALSQHPHSRKGGLIGLAACSIALGKDSGLYLKELIEPVLTCFNDADSRLRYYACEALYNI
+VKVARGAVLPHFNVLFDGLSKLAADPDPNVKSGSELLDRLLKDIVTESNKFDLVGFIPLL
+RERIYSNNQYARQFIISWILVLESVPDINLLDYLPEILDGLFQILGDNGKEIRKMCEVVL
+GEFLKETKKSPSSVKFAEMANILVIHCQTTDDLIQLTAMCWLREFIQLAGRVMLPYSSGI
+LTAVLPCLAYDDRKRNIKEVASVCNQSLMKLVTPEDDEPDEPRPVVQKQAGPSPEDCAAK
+QEGAASGGPDGSCDSSFSSGISVFTPASAERAPVTLHLDGIVQVLNCHLSDTAIGMMTRI
+AVLKWLYHLYIKTPRKMSRHTDSLFPVLLQTLSDESDEVILKDLEVLAEIASSPAGQTDD
+PGPLDGPDLRVSHSELQAPIPGRAGLLNTPGTKGLECSPSTPTMNSYFYKFMINLLKRFS
+SERKLLEVRGAFIIRQLCLLLHAESIFHSMADILLREEDLTFASTMVHTLNTILLTSTEL
+FQLRNQLKDLKTPESRNLFCCLYRSWCHKPVTTVSLCFLTQNYRHAYDLIQKFGDLEVTV
+DFLTEVDKLVQLIECPIFTYLRLQLLDVKSNPYLIKALYGLLMLLPQSSAFQLLSHRLQC
+VPNPELLQTEDGLKAAPKSQKADSPSIDYAELLQHFERVQKKHLEVRHQRSGRGDHLDRR
+VVL
+>sp|Q5EA53|T2FA_BOVIN General transcription factor IIF subunit 1 OS=Bos taurus OX=9913 GN=GTF2F1 PE=2 SV=1
+MAALGPSSQNVTEYVVRVPKNTTKKYNIMAFNAADKVNLTTWNQARLERDLSNKKIYQEE
+EMPESGAGSEFNRKLREEARRKKYGIVLKEFRPEDQPWLLRVNGKSGRKFKGIKKGGVTE
+NTSYYIFTQCPDGAFEAFPVHNWYNFTPLAKHRTLTAEEAEEEWERRNKVLNHFSIMQQR
+RLKDQDQDEEDEEKEKRSRKKASELRIHDLEDDLEMSSDDSEASGEEGGRAPKAKKKAPP
+SKGGRKKKKKKGSDDEAFEDSDDGDFEGQEVDYMSDGSSSSQDELEGKPKATQQEEGPKG
+VDEQSESSEESEEEKPPEEDKEEEEEKKAPTPQEKKRRKDSSEESDSSEESDIDSEASSA
+LFMAKKKTPPKRERKPSGGSSRGNSRPGTPSTEAGSTSSTLRAAASKLEQGKRTSETPAA
+KRLRLDTGPQSLSGKSTPQPQSGKSTPSSGDVQVTEDAVRRYLTRKPMTTKDLLKKFQTK
+KTGLSSEQTVNVLAQILKRLNPERKMINDKMHFSLKE
+>sp|Q29RZ4|ZNF2_BOVIN Zinc finger protein 2 OS=Bos taurus OX=9913 GN=ZNF2 PE=2 SV=1
+MAAVSPTRCQDPVTFEDVAVVFTDEEWRRLVPTQRDLYKEVMLENYKSIVSLGLPVPGPD
+VIFQLKRGDEPWLVEFHGFEGKEGAENVSLDWETKPEIQGASEEEKSEGSLKENLGRKGP
+PSPKVEVYVLDGRLGTEKESPTVEACKKPPSLEESLRHRATPPKKFLTKERDQECSNCGK
+TFFDHSSLIRHQRTHTGEKPYDCPECGKAFSHRSSLSRHLMSHTGESPYECNACGKAFFD
+RSSLTVHQRIHTGEKPFKCSECGKAFFDRSSLTRHQRIHTGESPYECNQCGKAFSQKSIL
+TRHQLIHTGRKPYECNECGKAFYGVSSLNRHQKAHAGEPRYQCSECGKAFFDRSSLTQHQ
+KIHTGDKPYECSECGKAFSQRCRLTRHQRVHTGEKPFECSVCGKVFSSKSSVIQHQRRYA
+KQGID
+>sp|P01267|THYG_BOVIN Thyroglobulin OS=Bos taurus OX=9913 GN=TG PE=1 SV=1
+MALALWVFGLLDLICLASANIFEYQVDAQPLRPCELQRERAFLKREDYVPQCAEDGSFQT
+VQCGKDGASCWCVDADGREVPGSRQPGRPAACLSFCQLQKQQILLSSYINSTATSYLPQC
+QDSGDYSPVQCDLRRRQCWCVDAEGMEVYGTRQQGRPARCPRSCEIRNRRLLHGVGDRSP
+PQCSPDGAFRPVQCKLVNTTDMMIFDLVHSYSRFPDAFVTFSSFRSRFPEVSGYCYCADS
+QGRELAETGLELLLDEIYDTIFAGLDLASTFAETTLYRILQRRFLAVQLVISGRFRCPTK
+CEVERFAATSFRHPYVPSCHPDGEYQAAQCQQGGPCWCVDSRGQEIPGTRQRGEPPSCAE
+DQSCPSERRRAFSRLRFGPSGYFSRRSLLLAPEEGPVSQRFARFTASCPPSIKELFLDSG
+IFQPMLQGRDTRFVAPESLKEAIRGLFPSRELARLALQFTTNAKRLQQNLFGGRFLVKVG
+QFNLSGALGTRGTFNFSHFFQQLGLPGFQDGRALADLAKPLSVGLNSNPASEAPKASKID
+VALRKPVVGSFGFEVNLQENQNALQFLSSFLELPEFLLFLQHAISVPEDIARDLGDVMEM
+VFSSQGCGQAPGSLFVPACTAEGSYEEVQCFAGDCWCVDAQGRELAGSRVRGGRPRCPTE
+CEKQRARMQSLLGSQPAGSSLFVPACTSKGNFLPVQCFNSECYCVDTEGQPIPGTRSALG
+EPKKCPSPCQLQAERAFLGTVRTLVSNPSTLPALSSIYIPQCSASGQWSPVQCDGPPEQA
+FEWYERWEAQNSAGQALTPAELLMKIMSYREAASRNFRLFIQNLYEAGQQGIFPGLARYS
+SFQDVPVSVLEGNQTQPGGNVFLEPYLFWQILNGQLDRYPGPYSDFSAPLAHFDLRSCWC
+VDEAGQKLEGTRNEPNKVPACPGSCEEVKLRVLQFIREAEEIVTYSNSSRFPLGESFLAA
+KGIRLTDEELAFPPLSPSRETFLEKFLSGSDYAIRLAAQSTFDFYQRRLVTLAESPRAPS
+PVWSSAYLPQCDAFGGWEPVQCHAATGHCWCVDGKGEYVPTSLTARSRQIPQCPTSCERL
+RASGLLSSWKQAGVQAEPSPKDLFIPTCLETGEFARLQASEAGTWCVDPASGEGVPPGTN
+SSAQCPSLCEVLQSGVPSRRTSPGYSPACRAEDGGFSPVQCDPAQGSCWCVLGSGEEVPG
+TRVAGSQPACESPQCPLPFSVADVAGGAILCERASGLGAAAGQRCQLRCSQGYRSAFPPE
+PLLCSVQRRRWESRPPQPRACQRPQFWQTLQTQAQFQLLLPLGKVCSADYSGLLLAFQVF
+LLDELTARGFCQIQVKTAGTPVSIPVCDDSSVKVECLSRERLGVNITWKLQLVDAPPASL
+PDLQDVEEALAGKYLAGRFADLIQSGTFQLHLDSKTFSADTSIRFLQGDRFGTSPRTQFG
+CLEGFGRVVAASDASQDALGCVKCPEGSYFQDEQCIPCPAGFYQEQAGSLACVPCPEGRT
+TVYAGAFSQTHCVTDCQKNEVGLQCDQDSQYRASQRDRTSGKAFCVDGEGRRLPWTEAEA
+PLVDAQCLVMRKFEKLPESKVIFSADVAVMVRSEVPGSESSLMQCLADCALDEACGFLTV
+STAGSEVSCDFYAWASDSIACTTSGRSEDALGTSQATSFGSLQCQVKVRSREGDPLAVYL
+KKGQEFTITGQKRFEQTGFQSALSGMYSPVTFSASGASLAEVHLFCLLACDHDSCCDGFI
+LVQVQGGPLLCGLLSSPDVLLCHVRDWRDPAEAQANASCPGVTYDQDSRQVTLRLGGQEI
+RGLTPLEGTQDTLTSFQQVYLWKDSDMGSRSESMGCRRDTEPRPASPSETDLTTGLFSPV
+DLIQVIVDGNVSLPSQQHWLFKHLFSLQQANLWCLSRCAGEPSFCQLAEVTDSEPLYFTC
+TLYPEAQVCDDILESSPKGCRLILPRRPSALYRKKVVLQDRVKNFYNRLPFQKLTGISIR
+NKVPMSDKSISSGFFECERLCDMDPCCTGFGFLNVSQLKGGEVTCLTLNSLGLQTCSEEY
+GGVWRILDCGSPDTEVRTYPFGWYQKPVSPSDAPSFCPSVALPALTENVALDSWQSLALS
+SVIVDPSIRNFDVAHISTAAVGNFSAARDRCLWECSRHQDCLVTTLQTQPGAVRCMFYAD
+TQSCTHSLQAQNCRLLLHEEATYIYRKPNIPLPGFGTSSPSVPIATHGQLLGRSQAIQVG
+TSWKPVDQFLGVPYAAPPLGEKRFRAPEHLNWTGSWEATKPRARCWQPGIRTPTPPGVSE
+DCLYLNVFVPQNMAPNASVLVFFHNAAEGKGSGDRPAVDGSFLAAVGNLIVVTASYRTGI
+FGFLSSGSSELSGNWGLLDQVVALTWVQTHIQAFGGDPRRVTLAADRGGADIASIHLVTT
+RAANSRLFRRAVLMGGSALSPAAVIRPERARQQAAALAKEVGCPSSSVQEMVSCLRQEPA
+RILNDAQTKLLAVSGPFHYWGPVVDGQYLRETPARVLQRAPRVKVDLLIGSSQDDGLINR
+AKAVKQFEESQGRTSSKTAFYQALQNSLGGEAADAGVQAAATWYYSLEHDSDDYASFSRA
+LEQATRDYFIICPVIDMASHWARTVRGNVFMYHAPESYSHSSLELLTDVLYAFGLPFYPA
+YEGQFTLEEKSLSLKIMQYFSNFIRSGNPNYPHEFSRRAPEFAAPWPDFVPRDGAESYKE
+LSVLLPNRQGLKKADCSFWSKYIQSLKASADETKDGPSADSEEEDQPAGSGLTEDLLGLP
+ELASKTYSK
+>sp|A4FUH1|TOR2A_BOVIN Torsin-2A OS=Bos taurus OX=9913 GN=TOR2A PE=2 SV=1
+MAAATRSCRPWGSLLGLIWLVSAAAASWDLSSLRCNFGSFCECDFQPDFQGLECDLAQHL
+AGQHLARSLVVKALKAFLQDPAPTKPLVLSLHGWTGTGKSYVSSLLAHYLFRDGLRSPHV
+HHFSPVIHFPHPSHLERYKKDLKSWVQGNLTVCSRSLFLFDEMDKLAPGLIEVLRPFLGS
+SWVVYGTNYRKAIFIFISNTGGEQINQVVLEAWRSRREREEIGLQELGPVISQAVLDNPH
+HGFWRSGIMEEHLLDVLVPFLPLQRHHVRHCVLNELAHLGLEPRDEVVQAVLDSTIFFPE
+DEPLFSSNGCKMVASRIAFFL
+>sp|Q5QF96|UT1_BOVIN Urea transporter 1 OS=Bos taurus OX=9913 GN=SLC14A1 PE=1 SV=1
+MDDNPTAVKLDQGGNQAPQGRGRRCLPKALGYITGDMKEFANWLKDKPQALQFVDWVLRG
+ISQVVFVSNPISGILILVGLLVQNPWCALNGCVGTVVSTLTALLLSQDRSAITAGLQGYN
+ATLVGILMAIYSDKGNYFWWLLFPVSAMSMTCPVFSSALNSVLSKWDLPVFTLPFNMALS
+MYLSATGHYNPFFPSTLITPVTSVPNVTWPDLSALQLLKSLPVGVGQIYGCDNPWTGGIF
+LGAILLSSPLMCLHAAIGSLLGIIAGLSLSAPFEDIYAGLWGFNSSLACIAIGGTFMALT
+WQTHLLALACALFTAYLGASMSHVMAVVGLPSGTWPFCLATLLFLLLTTKNPNIYKMPIS
+KVTYPEENRIFYLQSRKRTVQGPL
+>sp|A2VDN5|SPAST_BOVIN Spastin OS=Bos taurus OX=9913 GN=SPAST PE=1 SV=1
+MNSPGGRGKKKGSGGPSSPVPPRPPPPCQARSRPAPKPAPPPQSPHKRNLYYFSYPLFLG
+FALLRLVAFHLGLLFVWLCQRFSRALMAAKRSSGAAPASASPPAPVPGGEAERVRAFHKQ
+AFEYISVALRIDEDEKVGQKDQAVEWYKKGIEELEKGIAVVVTGQGEQCERARRLQAKMM
+TNLVMAKDRLQLLEKLQPSLQFSKSQTDVYNDSTNLTCRNGHLQSESGAVPKRKDPLTHA
+SNSLPRSKTVMKTGPTGLSGHHRAPSCSGLSMVSGVRQGPGSAAATHKSTPKTNRTNKPS
+TPTTAARKKKDLKNFRNVDSNLANLIMNEIVDNGTAVKFDDIAGQELAKQALQEIVILPS
+LRPELFTGLRAPARGLLLFGPPGNGKTMLAKAVAAESNATFFNISAASLTSKYVGEGEKL
+VRALFAVARELQPSIIFIDEVDSLLCERREGEHDASRRLKTEFLIEFDGVQSAGDDRVLV
+MGATNRPQELDEAVLRRFTKRVYVSLPNEETRLLLLKNLLCKQGSPLTQKELAQLARMTN
+GYSGSDLTALAKDAALGPIRELKPEQVKNMSASEMRNIRLSDFTESLKKIKRSVSPQTLE
+AYIRWNKDFGDTTV
+>sp|Q08E66|WFKN2_BOVIN WAP, Kazal, immunoglobulin, Kunitz and NTR domain-containing protein 2 OS=Bos taurus OX=9913 GN=WFIKKN2 PE=2 SV=1
+MWALRGCRSGSRWGQGAALLLLLLGVPPRGLALPPLRYSHAGICPNDMNPNLWVDAQSTC
+KRECETDQECETYEKCCPNVCGTKSCVAARYMDVKGKKGPVGMPKEATCDHFMCLQQGSE
+CDIWDGQPVCKCRDRCEKEPSFTCASDGLTYYNRCYMDAEACSKGITLAVVTCRYHFTWP
+NTSPSPPETTVHPTTAPPETPGLDATAPALLNHPAHQSVTVGETVSFLCDVVGRPRPEIT
+WEKQLEDRENVVMRPNHVRGNVVVTNIAQLVIYNAQPQDAGIYTCTARNAAGVLRADFPL
+SVVSGGQASATAESSPNGTALPAAECLKPPDSDDCGEEQTRWYFDAQANNCLTFTFGHCH
+RNRNHFETYEACMLACMSGSLAMCSLPALQGPCKAYVPRWAYNSQTGQCQSFVYGGCEGN
+GNNFESREDCEESCPFPRGNQRCRACKPRQKLVTSFCRSDFVILGRISELTEEPDSGRAL
+VTVDEVLKDEKMGLKFLGQEPLEVTLLHMDWTCPCPNVTVGEAPLIIMGEVDGGMAVLRP
+DSFVGASSTRRARKLREVMHKKTCDVLKDFPGLQ
+>sp|Q2KIU0|VTI1B_BOVIN Vesicle transport through interaction with t-SNAREs homolog 1B OS=Bos taurus OX=9913 GN=VTI1B PE=2 SV=1
+MATSAASSEHFEKLHEIFRGLHEDLRGVPERLLGMAGTEEKKKLIRDFDEKQQEANETLA
+EMEEELRYAPLSFRNPMMSKLRTYRKDLAKLHREVRSTPLTATPGARGDMKYGTYAVENE
+HMNRLQSQRALLLQGTDSLNRATQSIERSHRIAAETDQIGSEIIEELGEQRDQLERTKSR
+LVNTSENLSKSRKILRSMSRKVTTNKLLLSIVILLELAILGGLVYYKFLRRH
+>sp|Q3ZC26|SC5AB_BOVIN Sodium/myo-inositol cotransporter 2 OS=Bos taurus OX=9913 GN=SLC5A11 PE=2 SV=1
+MESSASSPPLTQSDPLEAFPRRTLEAGDIAVLVLYFLFVLAVGLWSTVKTKRDTVKGYFL
+AGGNMLWWPVGASLFASNVGSGHFVGLAGSGAAAGLSVTAYELNGLFFVLMLSWIFLPIY
+ITGQVTTMPEYLRKRFGGNRIPIILAVLYLFIYIFTKISVDMYAGAIFIQQSLHVNLYLA
+IVGLLAVTALYTIAGGLAAVIYTDALQTLIMLIGALILMGYSFAAVGGLEGLEEKYFLAM
+ASNRSGNSSCGLPREDAFHIFRDPVTSDLPWPGILFGMSIPSLWYWCTDQVIVQRTLAAK
+NLSHAKGGSLMAAYLKVLPLFIMVFPGMVSRVLFPDEVACADPEICRKVCSNPAGCSDIA
+YPKLVLELLPTGLRGLMMAVMVAALTSSLTSIFNSASTIFTMDLWNHLRPRASEKELMIV
+GRVFVLLLVLVSILWIPVVQASQGGQLFIYIQSISSYLQPPVAVVFIMGCFWKRANEKGA
+FFGLVLGLLLGLVRLILDFIYVQPRCDQLDERPAVVKDVHYLYFSMILSSVTLITVCAVS
+WFTEPPSKEMVSRLTWFTRHDPVVQKEQVPSATPPPLTLSQNGTPEASGTNTQFEMVQEN
+LSKTHSCDMTTKRSKVVKAILWLCGVENKGKEQAPSRADPIIVSLEENPLVKTLLDLNLI
+ICISCAIFLWGYFA
+>sp|Q2T9L9|T2FB_BOVIN General transcription factor IIF subunit 2 OS=Bos taurus OX=9913 GN=GTF2F2 PE=2 SV=1
+MAERGELDLTGAKQNTGVWLVKVPKYLSQQWAKAPGRGEVGKLRIAKNQGRTEVSFTLNE
+DLANIHDIGGKPASVSAPREHPFVLQSVGGQTLTVFTESSSDKLSLEGIVVQRAECRPAA
+NENYMRLKRLQIEESSKPVRLSQQLDKVVTTNYKPVANHQYNIEYERKKKEDGKRARADK
+QHVLDMLFSAFEKHQYYNLKDLVDITKQPVSYLKDILKEIGVQNVKGIHKNTWELKPEYR
+HYQVEEKSD
+>sp|Q2HJG4|TDRD3_BOVIN Tudor domain-containing protein 3 OS=Bos taurus OX=9913 GN=TDRD3 PE=2 SV=1
+MAEAPGAALSRAGWYLSDEGIEACTSSPDKVNVNDIILIALNLEGPCVLQIQKIRNVAAP
+KDNEESQAAPRMLRLQMTDGHISCTAVEFSYLSKISLNTPPGTKVKLSGAVDIKNGFLLL
+NDSNTTVLGGEVEHLIEKWELQRSLSKHNRSNIGTEGGPPPFVPFGQKCVSHIQVDSREL
+DRRKTLQVTMPVKPPNDNDEFEKQRTAAIAEVAKSKETKTFGGGGGGARSNLNMHAAGNR
+NREILQKEKANKSEGKHEGVYRELVDEKALRHITEMGFSKEASRQALMDNGNNLEAALNV
+LLNSNKQKPVTGPPLRGKGKGRGRIRSEDEEELGNARPSAPSTLFDFLESKMGTLSVEEP
+KSQPQQLHQGQNRVSNTEQNGVKDNNQPRYLPRNDTRQPRNEKPPRFQRDTQNSKAVLEG
+SGLPRNRGSERPSTSSGSEGWAEERTKCDRSYSRYDRTKDTSYLLSSQHSDTVFKKRDNS
+MQSRSGKGPSYTEAKENPFPQESVDYNNHKRGKRENQTANSDHFYDRKPRTINNEAFSGV
+KIEKHFNVNTDYQHPVRMNSFIGVPNGETEMPLKGRRVGPIKPAGPIMASSCDDKIFYSS
+GPKRRSGPIKPEKVLESSIPMEYAKLWKSGDECLALYWEDNKFYRAEVEALHSSGMTAVV
+KFIDYGNYEEVLLSNIRPIQSEAWEEEGTYDQTLEFRRGGDGQPRRSTRPTQQFYQPPRA
+RN
+>sp|A7MBD8|SC5AC_BOVIN Sodium-coupled monocarboxylate transporter 2 OS=Bos taurus OX=9913 GN=SLC5A12 PE=2 SV=1
+MEVKNFAVWDYVVFAALFIISSGIGVFYAIKERKKATSREFLVGGRQMSFGPVALSLTAS
+FMSAVTVLGTPADVYRFGASFVLFFITYGLVIILTSELFLPVFYRSGITSTYEYLQLRFN
+KPVRYAATVIYIVQTILYTGVVVYAPALALNQVTGFDLWGSVFATGIVCTFYCTLGGLKA
+VVWTDAFQMVVMIVGFLTVLIQGSTYAGGLHNVLEQAENGSRLNIFDFDIDPLRRHTFWT
+ISVGGTFTWLGIYGVNQSTIQRCISCKTEKHAKLALYFNLLGLWIILLCAVFSGLTMYAH
+FKDCDPWTSGIISAPDQLMPYFVMELFSTMPGLPGLFVACAFSGTLSTVAASINALATVT
+FEDFVKSCFPRLSDKLSTWISKGLCLLFGVICTSTAVAASLMGGVIQAALSIHGMCGGPM
+LGLFSLGILFPFVNWKGALAGLLTGILLSFWVAIGAFIYPAPASKTWPLPLSTDQCGLSN
+VTESVPPVLSSRPAIAETWYALSYLHYSTVGCLGCIAAGVIISFLTGLQKGKDIPPLLIR
+PVCNLFCFWSKKYKTLCWCGVQHDSGTEQENLENDSTWKQGAESVLQNGLKQESLVPGYD
+PKDKSYDNMALEKITHF
+>sp|Q2HJ59|TM125_BOVIN Transmembrane protein 125 OS=Bos taurus OX=9913 GN=TMEM125 PE=2 SV=1
+MSEGEAQAPRGRGLPPDVLAEQVELWWSQQPRRSALCFAVAVGLVAGCGAGGVALLSSTS
+SRSGEWRLAVGTALCLLALLVLVKQLMSSAVQDMNCIRQPHHVALLRSGGGADALVVLLS
+GLVLLVTGLTLAGLAAAPAPARPLAAMLSVGITLAASGALLLLGLLLYQVAVSGHCPPAR
+TAAPTTRSDRSGNGSVFSISGQLSAGQRHETTSSIASLI
+>sp|Q17QN3|RSMN_BOVIN Small nuclear ribonucleoprotein-associated protein N OS=Bos taurus OX=9913 GN=SNRPN PE=2 SV=1
+MTVGKSSKMLQHIDYRMRCILQDGRIFIGTFKAFDKHMNLILCDCDEFRKIKPKNAKQPE
+REEKRVLGLVLLRGENLVSMTVEGPPPKDTGIARVPLAGAAGGPGVGRAAGRGVPAGVPI
+PQAPAGLAGPVRGVGGPSQQVMTPQGRGTVAAAAVAATASIAGAPTQYPPGRGTAPTPVG
+RATPPPGIMAPPPGMRPPMGPPIGLPPTRGTPIGMPPPGMRPPPPGIRGPPPPGMRPPRP
+>sp|Q5EA90|T106A_BOVIN Transmembrane protein 106A OS=Bos taurus OX=9913 GN=TMEM106A PE=2 SV=1
+MGETFSQLASQKDENKLILPPNPAFGSKAASYSSMGNSRPFFSCVPCERAAGAGFVTCPT
+CQGSGEIPRELEKQLVALIPYGDQRLKPRHTKLSVFLAVSICLVTSSLIIFFLFPRTIAV
+QPVGLNSSTVATDEANVYLNITSILNISNNNYCPITVTQLTIEVLHLSLVVGQVSHSLLL
+HIGPLASEQMFYAVTNRINDENTYKICTWLEIKVHHVLLYIQGTLTYSYLSRSEQLVFQS
+YEYVDCRGNTSVPHLLVSHPP
+>sp|Q1JPJ2|XPP1_BOVIN Xaa-Pro aminopeptidase 1 OS=Bos taurus OX=9913 GN=XPNPEP1 PE=2 SV=1
+MAPKITSELLRQLRQAMRNLEYVTEPIQAYIIPSGDAHQSEYIAPCDCRRAFVSGFDGSA
+GTAIVTEEHAAMWTDGRYFLQAAKQMDSNWTLMKMGLKDTPTQEDWLVSVLPEGSRVGVD
+PLIIPTDYWKKMAKVLRSAGHHLIPVKDNLVDKIWTDRPERPCKPLITLGLDYTGISWKD
+KVADLRLKMAERNVVWFVVTALDEIAWLFNLRGSDVEHNPVFFSYAILGLETIMLFIDGD
+RIDAPIVKEHLLLDLGLEAEYRIQVLPYKSILSELKILCASLSPREKVWVSDKASYAVSE
+AIPKDHRCCMPYTPICIAKAVKNSAESEGMRRAHIKDAVALCELFNWLEKEVPKGGVTEI
+SAANKAEEFRRQQADFVDLSFPTISSTGPNGAIIHYAPVPETNRTLSLDEVYLIDSGAQY
+KDGTTDVTRTMHFGTPTAYEKECFTYVLKGHIAVSAAVFPTGTKGHLLDSFARSALWDSG
+LDYLHGTGHGVGSFLNVHEGPCGISYKTFSDEPLEAGMIVTDEPGYYEDGAFGIRIENVV
+LVVPVKTKYNFNNRGSLTFEPLTLVPIQTKMIDVDSLTDKECDWLNSYHLTCRDVIGKEL
+QKQGRQEALEWLIRETQPISKQP
+>sp|Q2KJG1|SPAT6_BOVIN Spermatogenesis-associated protein 6 OS=Bos taurus OX=9913 GN=SPATA6 PE=2 SV=2
+MPKVKALQCALALEIRSVTCPGVVLKDKEDIYLSICVFGQYKKTQCVPANFPLVFNARMV
+FEKVFPEAVDPGDVVAQLEYDTALFELIQLVPPVGETLSTYDENTRDFMFPGPNQISGHH
+DSNRQVTMRRISGLRGIAPKLEFSTTSVITECLISSRKCRTQDKFVYHTAPVEKPHSRLQ
+NRTSRSQKKKSKSPERNKYCINAKNYEQPTTSKSHSPSPYTKRRMCELSEDTRRRLAHLN
+LGPYEFKKETDKPPFVIRHVDPPSPRADALFGSPGRDCERDGWSRLHNDHSHLGCYRPKD
+YKVIRTPHGRDFDESLERCEDYLSSRSCSKPQHSARTLLVHSAPSTMPKHSPSPVLNRAS
+LRERFHSDWCSPSNCDEIHDRVKNVLKSHQAHQRHLYDERDPEKEDELELKRGLLYRDSA
+YDSDPEYSSFQRPRGTLHLDDGEYWSNRAASYKGKSHRPIFENSMDKIYRNLYKKACSSV
+SHTQESF
+>sp|Q6QRN8|LAP4A_BOVIN Lysosomal-associated transmembrane protein 4A OS=Bos taurus OX=9913 GN=LAPTM4A PE=2 SV=1
+MVSMTFKRSRSDRFYSTRCCGCCHVRTGTIILGTWYMVVNLLMAILLTVEVTHPNSMPAV
+NIQYEVIGNYYSSERMADNACVLFAVSVLMFIISSMLVYGAISYQVGWLIPFFCYRLFDF
+VLSCLVAISSLTYLPRIKEYLDQLPDFPYKDDLLALDSSCLLFIVLVFFALFIIFKAYLI
+NCVWNCYKYINNRNMPEIAVYPAFEAPPQYVLPTYEMAVKMPEKEPPPPYIPA
+>sp|Q6RUW3|NPY_BOVIN Pro-neuropeptide Y OS=Bos taurus OX=9913 GN=NPY PE=3 SV=1
+MLGSKRLGLSGLTLALSLLVCLGALAEAYPSKPDNPGEDAPAEDLARYYSALRHYINLIT
+RQRYGKRSSPETLISDLLMRESTGNIPRTRLEDPSMW
+>sp|Q3MHX6|OS9_BOVIN Protein OS-9 OS=Bos taurus OX=9913 GN=OS9 PE=2 SV=1
+MAAETLLSSLLGLLLLGLLLPATLTGGVGSLNLEELSEMRYGIEILPLPVMGGQSQASDV
+VIVSSKYKQRYECRLPAGAIHFQREREEETPAYQGPGIPELLSPMKDAPCLLKTKDWWTY
+EFCYGRHIQQYHMEDSEIKGEVLYLGYYQSAFDWDDETAKASKQHRLKRYHSQTYGNGSK
+CDLNGRPREAEVRFLCDEGAGISGDYIDRVDEPLSCSYVLTIRTPRLCPHPLLRPPPSAA
+PQAILCHPALQPEEYMAYVQRQADSKQYGDRAIEGRQDPDPPVWSETKPGVVPPKKAGAS
+PAKENSKESDFWKMLHEPEEQPPEKEETQAEEQEPNLEATDPPPTSPDDFQNNVQVKVIR
+SPADLIRLIEELKGGTRKGKPNTGQEQPGDSATEVPSREPEMKEKGDPEQQNEVEEEEDD
+EDEDEDEDERQLLGEFEKELEGILLPSDRERLRAEVKAGMERELENIIQETEKELDPDGL
+KKESERDRAILALTSTLNKLIKRLEEKQSPELMKKHRKRRVVPKKPPPSPQSTEEDPEHR
+VRVRVTKLRHGGPNQDLTVLEMKRENPQLKQIEGLVKDLLEREGLTAEGKIEIKIVRPGT
+EGTEEDARWLTDEDTKNLKEIFFNILVQGAEEAQKERQRQKELESNYRRVWGSPGGEGTG
+DLDEFDF
+>sp|A0JNM1|OSTB_BOVIN Organic solute transporter subunit beta OS=Bos taurus OX=9913 GN=SLC51B PE=2 SV=1
+MNYSEKLTGAPPMTEVPLELLEEMLWFFRVEDATPWNCSMFVLAALVAIISFILLGRNIQ
+ANRNQKKLPPEKQTPEVLYLAEGGNKDDKNLTSLTETLLSEKPTLAQGEMEAKCSDVPRV
+HLPDPQEPES
+>sp|Q9N1U7|OTC_BOVIN Ornithine carbamoyltransferase, mitochondrial OS=Bos taurus OX=9913 GN=OTC PE=2 SV=1
+MLFHLRTLLNNAALRNGHNFVVRNFRCGQPLQDKVQLKGRDLLTLKNFTGEEIKYMLWLS
+ADLKFRIKQKGEYLPLLQGKSLGMIFEKRSTRTRLSTETGFALLGGHPCFLTTDDIHLGV
+NESLTDTARVLSSMTDAVLARVYKQSDLDLLAKEASIPIVNGLSDLYHPIQILADYLTLQ
+EHYGSLKGLTLSWIGDGNNILHSIMMSAAKFGMHLQVATPKGYEPDPSITKMAEQYAKEN
+GTKLSLTNDPLEAACGGNVLITDTWISMGQEEEKKKRLQAFQGYQVTMKTAKVAAPDWTF
+LHCLPRKPEEVDDEVFYSPRSLVSPEAENRKWTIMAVMVSLLTDYSPQLQKPKF
+>sp|Q02378|NDUB1_BOVIN NADH dehydrogenase [ubiquinone] 1 beta subcomplex subunit 1 OS=Bos taurus OX=9913 GN=NDUFB1 PE=1 SV=1
+MNLLQVVRDHWVHVLVPMGFVFGYYLDRKNDEKLTAFRNKSLLYKRELKPNEEVTWK
+>sp|Q2KIW0|NXT1_BOVIN NTF2-related export protein 1 OS=Bos taurus OX=9913 GN=NXT1 PE=2 SV=1
+MASVDFKTYVGQACRAAEEFVNVYYSTMDKRRRLLSRLYMGTATLVWNGNAVSGQESLSE
+FFEMLPSSEFQINVVDCQPVHDEATPSQTTVLVVICGTVKFEGNKQRDFNQNFILTAQAS
+PSNTVWKIASDCFRFQDWAC
+>sp|A4FUY7|MOC2B_BOVIN Molybdopterin synthase catalytic subunit OS=Bos taurus OX=9913 GN=MOCS2 PE=2 SV=2
+MSSLEISSSCFNQETKLPLSLPLVEGSTFEPPGKDMNEVEEKSKDIIKFTSEKLSVDEVS
+QLVISPLCGAISLFVGTTRNNFEGKKVISLEYEAYLPMAENEIRKICSDMRQKWPVRHIA
+VFHRLGLVPVTEASVIIAVSSAHRAASLEAVSYAIDALKARVPIWKKEIYEESSSSWKRN
+KECFWATSD
+>sp|Q95JH2|PLCA_BOVIN 1-acyl-sn-glycerol-3-phosphate acyltransferase alpha OS=Bos taurus OX=9913 GN=AGPAT1 PE=2 SV=1
+MELWPGAGTLLLLLFLLLLLLLPTLWFCSPSAKYFFKMAFYNGWILFLAVLAIPVCAVRG
+RNVENMKILRLMLLHIKYLYGIRVEVRGAHHFPPSQPYVVVSNHQSSLDLLGMMEVLPGR
+CVPIAKRELLWAGSAGLACWLAGVIFIDRKRTGDAISVMSEVAQTLLTQDVRVWVFPEGT
+RNHNGSMLPFKRGAFHLAVQAQVPIVPIVMSSYQDFYCKKERRFTSGRCQVRVLPPVPTE
+GLKPDDVPALADRVRHSMLTVFREISTDGRGGGDYLKKPGGVGEAGL
+>sp|A5D7C3|MPZL3_BOVIN Myelin protein zero-like protein 3 OS=Bos taurus OX=9913 GN=MPZL3 PE=2 SV=1
+MQQSGVPGSRGCALCPLLGVLFFQGVYVIFSLEIKADAHVRGYVGENIKLRCTFKSSSSI
+TDKLTIDWTYRPPSSSRTESIFHYQSFQYPTTAGTFRDRISWVGDVYKGDASISISNPTM
+KDNGTFSCAVKNPPDVHHNIPATELTVTERGFGTMLSSVALLSILVFIPSTVVVILLLVR
+MGRKSAGLKKRSKSGYKKSSIEVSDDTDQEGDDCMAKLCVRCAECVDSDYEETY
+>sp|Q3ZCB2|PLAC8_BOVIN Placenta-specific gene 8 protein OS=Bos taurus OX=9913 GN=PLAC8 PE=3 SV=1
+MQAASSPVVIVTQPGVGSGPAPQNSNWQTGLCDCFSDCGVCLCGTFCFTCLACQVASDMN
+ECCLCGTSVAMRTLYRTRYGIPGSICDDFMVTHCCPLCSLCQIKRDINRRRANRTF
+>sp|Q3T0P6|PGK1_BOVIN Phosphoglycerate kinase 1 OS=Bos taurus OX=9913 GN=PGK1 PE=2 SV=3
+MSLSNKLTLDKLDVKGKRVVMRVDFNVPMKNNQITNNQRIKAAVPSIKYCLDSGAKSVVL
+MSHLGRPDGVPMPDKYSLQPVAVELKSLLGKDVLFLKDCVGPEVEKACADPAAGSVILLE
+NLRFHVEEEGKGKDASGNKVKAEPTKIEAFRASLSKLGDVYVNDAFGTAHRAHSSMVGVN
+LPKKAGGFLMKKELNYFAKALESPERPFLAILGGAKVADKIQLISNMLDKVNEMIIGGGM
+AFTFLKVLNNMEIGTSLFDEEGSKIVKDLMSKADKNGVKITLPVDFVTADKFDENAKTGQ
+ATVASGIPAGWMGLDCGPESSKKYAEAVARAKQIVWNGPVGVFEWEAFARGTKALMDEVV
+KATSRGCITIIGGGDTATCCAKWNTEDKVSHVSTGGGASLELLEGKVLPGVDALSSV
+>sp|P21671|PLCD4_BOVIN 1-phosphatidylinositol 4,5-bisphosphate phosphodiesterase delta-4 OS=Bos taurus OX=9913 GN=PLCD4 PE=1 SV=2
+MAYLLQGRLPINQDLLLMQKGTMMRKVRSKSWKKLRFFRLQDDGMTVWHARQAGGRAKPS
+FSISDVDTVREDHESELLRNLAEEFPLEQGFTIVFHGRRSNLDLVANSVQEAQTWMQGLQ
+LLVGFVTNMDQQERLDQWLSDWFQRGDKNQDGRMSFGEVQRLLHLMNVEMDQEYAFQLFQ
+TADTSQSGTLEGEEFVEFYKSLTQRPEVQELFEKFSSDGQKLTLLEFVDFLQEEQKEGER
+ASDLALELIDRYEPSESGKLRHVLSMDGFLGYLCSKDGDIFNPTCHPLYQDMTQPLNHYY
+INSSHNTYLVGDQLCGQSSVEGYIRALKRGCRCVEVDIWDGPSGEPIVYHGHTLTSRIPF
+KDVVAAIGQYAFQTSDYPVILSLENHCSWEQQEIIVRHLTEILGDQLLTTALDGQPPTQL
+PSPEDLRGKILVKGKKLMLEEEEEEPEAELEAEQEARLDLEAQLESEPQDLSPRSEDKKK
+VVMCPLLCRPLCCQIMAQAPISKPGLLLFPKQKPKAILCPALSALVVYLKAVTFYSFTHS
+REHYHFYETSSFSETKAKSLIKEAGDEFVQHNAWQLSRVYPSGLRTDSSNYNPQEFWNAG
+CQMVAMNMQTAGLEMDLCDGLFRQNAGCGYVLKPDFLRDAQSSFHPERPISPFKAQTLII
+QVISGQQLPKVDNTKEQSIVDPLVRVEIFGVRPDTTRQETSYVENNGFNPYWGQTLCFRI
+LVPELALLRFVVKDYDWKSRNDFIGQYTLPWSCMQQGYRHIHLLSKDGLSLHPASIFVHI
+CTQEVSEEAES
+>sp|A1A4P5|PFD2_BOVIN Prefoldin subunit 2 OS=Bos taurus OX=9913 GN=PFDN2 PE=2 SV=1
+MAENGGRAGKSSGSGTGKGAVSAEQVIAGFNRLRQEQRGLASKAAELEMELNEHSLVIDT
+LKEVDETRKCYRMVGGVLVERTVKEVLPALENNKEQIQKIIETLTQQLQAKGKELNEFRE
+KHNIRLMGEDEKPAAKENSEGAGAKASSAGVLVS
+>sp|P80473|MYOM1_BOVIN Myomesin-1 (Fragments) OS=Bos taurus OX=9913 GN=MYOM1 PE=1 SV=1
+QSMSTLHQEEAFEKADQLSLKKTLEETEAFHKKLNEDHLLHAPEPVIKPGTAYKNQVPIN
+VQAHPGKYIIESRYGVHTLEINDDTRFHSGASTLPPSXYASRFEIHFQPEIQXYRNGVPI
+DAEXXGAPAIPKYNDYIIITXKQPAVDGGSPILGYFIDVGIGXPSRVSEPVAALDPAEKA
+RLKSRPSAPXTGQIIVTEEEPSEEAGTENXQRVNTELPVKXSNNAGVXEPEETGGAEITG
+YYVNYREVIDGVPGRXREANIKAISDEAYKXEEXTIAVPGPPHMTFKNRARVVGGLPDVV
+TIQEGKLLASDEHXNLKYGSEISDFTVSVFIPEEEARSVA
+>sp|Q3ZC61|MOFA1_BOVIN MORF4 family-associated protein 1 OS=Bos taurus OX=9913 GN=MRFAP1 PE=2 SV=1
+MRPLDIVELAEPEEVEVLEPEEDFEQFLLPVINEMREDIAALSREHGRAYLRNRSKLWEM
+DNMLIQIKTQVEASEESALNHLQNPDDGAEGRGTKRCEKAEEKAKEIAKMAEMLVELVRR
+IEKSESS
+>sp|Q2KIL5|PDIA5_BOVIN Protein disulfide-isomerase A5 OS=Bos taurus OX=9913 GN=PDIA5 PE=2 SV=1
+MARVVPAWLLLPLAVWVVLPTWLSSAKFSSLIERISDPKDLKKLLRTRNNVLVLYSKSEA
+AAESHLKLLSTVAQAVKGQGTICWVDCGDAESRKLCKKMKVDLSAKDKKVELFHYQDGAF
+HTEYNRAVTFKSIVAFLKDPKGPPLWEEDPGAKDVVHIDNEKDFRRLLKKEEKPILMMFY
+APWCSVCKRIMPHFQKAATQLRGQFVLAGMNVYPSEFENIKEEYSVRGYPTICYFEKGRF
+LFQYDSYGSTAEDIVEWLKNPQPPQPQVPETPWADEGGSVYHLSDEDFDQFVKEHSSVLV
+MFHAPWCGHCKKMKPEFESAAEVLHGEGDSSGVLAAVDATVNKALAERFHIAEFPTLKYF
+KNGEKYAVPALRTKKSFIEWMRNPESPPPPDPAWEEQQTSVLHLSGDNFRETLKRKKHAL
+VMFYAPWCPHCKKAIPHFTAAADAFKDDRKIACAAIDCVKENNKDLCQQEAVKAYPTFHY
+YHYGKFVEKYDTNPTELGFTSFIRTLREGDHERLGKKKEEL
+>sp|Q3SZX0|PLM_BOVIN Phospholemman OS=Bos taurus OX=9913 GN=FXYD1 PE=1 SV=1
+MASLSHILVLCVGLLAMVNAEAPQEHDPFTYDYQSLRIGGLIIAGILFILGILIVLSRRC
+RCKFNQQQRTGEPDEEEGTFRSSIRRLSTRRR
+>sp|A4FUD4|PEX12_BOVIN Peroxisome assembly protein 12 OS=Bos taurus OX=9913 GN=PEX12 PE=2 SV=1
+MAEHGAHITTASVVDDQPSIFEVVAQDSLMSAVRPALQHVVKVLAESNPAHFGFFWRWFD
+EIFTLLDLLLQQHYLSKTSASFSENFYGLKRIVMGDQHKLQRLANAGLPKQQFMKSIMFL
+VLLPYLKVKLEKLVSSLREEDEYSIHPPSSRWKRFYRAFLAAYPFVNMAWEGWFLVQQLR
+YILGKAQHHSPLLRLAGVRLGRLTVQDIQALEHKPAEASMMQLPAGSIGEKIKSALKKAV
+GGVALSLSTGLSVGVFFLQFLEWWYSSENQETIKSLTALPTPPPPVHLDYNSDSPLLPKM
+KTVCPLCRKNRVNDTVLATSGYVFCYRCVFHYVRSHQACPITGYPTEVQHLIKLYSPEN
+>sp|Q3T064|ROP1_BOVIN Ropporin-1 OS=Bos taurus OX=9913 GN=ROPN1 PE=2 SV=1
+MPQTDKQICIPPELPELLKQFTKAAIRSQPQDLIQWAAEYFGAMSRGEIPPVRERSERVA
+LSNWAELTPELLKILHSRVGGRLIVQADELAQMWKVLNLPTDLFNSVMNVGRFTEEIEWL
+KFLALACSSLGVTIAKTLKIVCEVLSSDHDSGPPRIPFSTFQFLYTYIAEVDGEISASHV
+SRMLNYIEQEVIGPDGLIKVNDFTQNPRVRLE
+>sp|A7MB27|RHG36_BOVIN Rho GTPase-activating protein 36 OS=Bos taurus OX=9913 GN=ARHGAP36 PE=2 SV=1
+MPPLLLLSALIFLVNVLGGAPGHNPNRRAKMISIHSLSELERLKLQEAAYHELVARQFLS
+EFKPERALPTDRSNTFEKWFLILRGQERAVSLKTFGIRLEEVLVNELTRRKQVELRATMQ
+MQEAAGPATSGRRRGNAVQRMFGRIRRIFSGRRDEPFLPREFTRRGRRGAVSADSLAELE
+GGALLLQTLQLSRISFPIGQRLLGSKRKMSLNPIAKQIPHVVEACCSFIEKHGLSTVGIF
+TLEYSEKRVRKLREEFDQGLDVVLDDSQNVHDVAALLKEFFRDMKDSLLPDDLYMSFLQT
+ATLKPQDQLSALQLLVYLMPPCHSDTLERLLKVLHKVAENCEDSIGIDGQLVSGNRMTST
+NLALVFGSALLKKGASAKRESRKTRLGIDHYVASVSVVRAMIDNWDVLFQVPPHIQKQVA
+KRVWKSSPEALDFIRRRNLRKIQSERIKMEEDALLSDPVETSAEARAAILGQSKPFDEGS
+SEEPAVPPGTARSHDDEEGAGNPLILEQDRPLLRVPREKEAKTGIGYFFP
+>sp|Q3SZ22|RM46_BOVIN 39S ribosomal protein L46, mitochondrial OS=Bos taurus OX=9913 GN=MRPL46 PE=2 SV=1
+MAAPTRRTVLGLARCWRRFESPWSLGSRSLTLAAAPSNSASPWRLLGALCLQRPPLVSKQ
+LTPMQEEMAALLQQMEIERSLYSDHELRALDEAEQLEKKKSDLYEEKDEKNILLVQDLED
+MWEQKFLQFKPGARITDADVKNDRSSLHRKLDRNLILLVKDKLGDQDVWMLPQAEWQPGE
+TLRQTAERTLATLSENNLEAKFLGNAPCGHYKFKFPQAVRAEGSLGAKIFFFKALLLTGD
+FSPAVEKGRHVWASKEELGDYLKPKYLAQVRRFLLDL
+>sp|A5PJN1|TAP26_BOVIN Thyroid transcription factor 1-associated protein 26 OS=Bos taurus OX=9913 GN=CCDC59 PE=2 SV=1
+MAPVWPAARGRTGGLGTRGRVSPVEFRNKNVKRKTWRPNHLQAFAGSVREGQGFAFRRKL
+KIQQTYKKLLRREKKSQTPSESPFTDWYPDHLKHLYLAEEERLKKQLRKADQPLSEEQVD
+QPLPEDQGSSDQALSEERCSIEQPQPAEPCSIRINSINIPKKNKKKTSNQKAQEEYEQIQ
+AKRAAKKQEFERRKQEREEAQRLYKKKKMEVFKILSKKTKKGQPNLNLQMEYLLKKIQEN
+N
+>sp|Q58DW4|RSMB_BOVIN Small nuclear ribonucleoprotein-associated protein B' OS=Bos taurus OX=9913 GN=SNRPB PE=2 SV=1
+MTVGKSSKMLQHIDYRMRCILQDGRIFIGTFKAFDKHMNLILCDCDEFRKIKPKNSKQAE
+REEKRVLGLVLLRGENLVSMTVEGPPPKDTGIARVPLAGAAGGPGIGRAAGRGIPAGVPM
+PQAPAGLAGPVRGVGGPSQQVMTPQGRGTVAAAAAAATASIAGAPTQYPPGRAGPPPPMG
+RGAPPPGMMGPPPGMRPPMGPPMGIPPGRGTPMGMPPPGMRPPPPGMRGPPPPGMRPPRP
+>sp|A2I7N1|SPA35_BOVIN Serpin A3-5 OS=Bos taurus OX=9913 GN=SERPINA3-5 PE=3 SV=1
+MRAERTSFLLALGLLMAGIRSVHCLPENVVVKDQRRRVDSHTLASSNTDFAFSLYKQLAL
+KNPNKNVMFSPLSVSMALAFLSLGARGPTLTEILEGLKFNLTEIQETQIHQGFQHLLQAL
+NRPSNQLQLSVGNAMFVQEELKLLDKFIEDARVLYSSEAFPTNFRDSEAARSLINDYVKN
+KTQGKIEELFKYLSPRTVLVLVNYIYFKAQWKTRFDPKHTEQAEFHVSKNKTVEVPMMTL
+DLETPYFRDKELGCMLVELTYSSNDSALFILPDEGKMQDLEAKLTPETLTRWRNSLQPRR
+IHELYLPKFSIKSNYELNDTLSQMGIKKIFTDADLSGITGTADLVVSQVVHGAALDVDEE
+GTEGAAATGIGIERTFLRIIVRVNRPFLIAVVLKDTQSIIFLGKVTNPSEA
+>sp|Q58DS3|S2535_BOVIN Solute carrier family 25 member 35 OS=Bos taurus OX=9913 GN=SLC25A35 PE=2 SV=1
+MDFLMSGLAACGACLFTNPLEVVKTRMQLQGELRAPGTYQRHYRNVFHAFITIGKVDGLA
+ALQRGLAPALLYQFLMNGIRLGTYGLAEAGGYLHTAEGTLNPVRSAAAGALAGVMGAYLG
+SPIYMVKTHLQAQAATEIAVGHQYNHQIFPPQSWKVALAAAMVSGIAVVLAMTPFDVVST
+RLYNQPTDAQGKGLMYRGLLDALLQTARTEGIFGMYKGIGASYFRLGPHTILSLFFWDQL
+RMVYYTYTK
+>sp|A5PKF5|THA11_BOVIN THAP domain-containing protein 11 OS=Bos taurus OX=9913 GN=THAP11 PE=2 SV=1
+MPGFTCCVPGCYNNSHRDKALHFYTFPKDAELRRLWLKNVSRAGVSGCFSTFQPTTGHRL
+CSVHFQGGRKTYTVRVPTIFPLRGVNERKVARRPAGAAAARRRQQQQQQQQQQQQQQQQQ
+QPSPSASTAQTTQLQPNLVSASAAVLLTLQAAVDSSQAPGTVPPVPTTPTGEDVKPIDLT
+VQVEFAAAEGAAAAAAASELEAATAGLEAAECPMGPQLVVVGEEGFPDTGSDHSYSLSSG
+TTEEELLRKLNEQRDILALMEVKMKEMKGSIRHLRLTEAKLREELREKDRLLAMAVIRKK
+HGM
+>sp|Q52QI2|RTL1_BOVIN Retrotransposon-like protein 1 OS=Bos taurus OX=9913 GN=RTL1 PE=2 SV=2
+MMEPSEDSFETMMERKNPSSKQMESSEGSSNTTVETPPGGRVEAAGLASGLAQEMGEQSI
+DLRQDMEEPSSGPHREIKDPPNDLLQDLEESCEGSHLEEGGPFGGAPGEMEEEEDNPWES
+QEDQDYYTDLAESEEDESPEEPDSSTVEVMGMVRSIISLYFRMQDLREQQRVAEEILMNA
+INKGQLPNPKQFSGDRREYHEFIVLCQLILQSYPRVFCNDRLRVGYIISHLSGMAMEWAG
+DLLERESSVIDDFPAFLEAMNDTFEYRQALRVAEDAMFNLRQGDRAAIEYINEFQSLVPT
+LGWPDEVLQAHLCQGLKEDIRQYLFRIPQPNSLENLITLVLQIEDKLAERRAILRLLPES
+RPRHLTWLDSPVPERWTVSTWLPNEFHPGIKRNHLFLLLLVRVNPYHSVAVQALVDSGAT
+SNYMDEGFAQEHYVELYQKPYAESVQTADGSLVGNEPVWLYTEPLVCLHQNHQESLEFDI
+VASSKFSVVLGIKWLQLHAPEIDWVKGRCTFHSPYCLKNCFRPPPPCIALEHHAVSLLPG
+LPHQYSDLADVFNPKEADEETSDQPSSDGSDDLSESEPSELQQAGDSDQSEETFYDCAST
+APWEPVGAGTQEKAKQEEFWDPKDMLTSRHDYVQMIPELFDQLHGATWFTKLELRGTIVE
+ESMSIHQTEDVWKVAFGLELQDMASYQPFLICADPIIPQGVIHFILKDMIGLFVVSYGQD
+VLVYSMSQEEHYHHVRQVLVRFRYHYVYCSLQRSQFHRHTAEFLGFVVTPKGVKLNKSIV
+STITGYPTPGSRKSLRNLMEFAFPYRHFVERFADITEPLVRQLQADLPFYWGDEEQEAFV
+GLKRAFRKAPLLYHPKPQNQFYLQTGVTKTSLHASLIQMDKRTGKKVCCAFYSRNISPME
+VEASPAEMKILPIRAAFMVWCRYLENTEEPIMILLNKEDLASLNNDRLTVLLPGHWVFFF
+THFNFDVMEMPSSEDDQPLPRRQRLGERAQQRRVATTTRPTMLVTMPAPTGDQSPESEDE
+EESEGALHPDEPNGQNLQQGYLALIPVDQIFNSFLAHFSMAQIRAVLLHFYRSLLFWKNL
+LAMAALLVMLRFRRRLALLPAPAADPARPPQRRSLRLFLDASLLTSSGIATAVTQLFTQM
+PPLVGTNALPAEELAELFLGPGPWQLNALRGLQMTPRFWQMLCQFFGIRGRALEGTQTHP
+SPHQSLAPHVEGDEYVVLREALQDDLQRFRQCGLHDGLQDTSQDAQDDVWALRPRQHLPT
+EAEVLARLTYIRSTQGGSVVIHRELTARDLIDFLASVYTQALPTLVEASPPREGATLEEL
+PSDADEDAGLD
+>sp|A4IFA6|ISLR_BOVIN Immunoglobulin superfamily containing leucine-rich repeat protein OS=Bos taurus OX=9913 GN=ISLR PE=2 SV=1
+MQELRLLCLVVLVGLAQACPEPCECGEKYGFHIADCAYRDLQAVPSGFPANVTTLSLSAN
+QLPSLPGGAFREVPRLQSLWLAHNEIRSVAAGALASLSQLKSLDLSHNLISDFAWSDLHS
+LSALQLLKMDSNELTFIPRDAFRSLRALRSLQLNHNRLHTLAEGTFAPLTALSHLQINDN
+PFDCTCGIVWFKTWALTTAVSIPEQDNITCTSPHVLKGTRLNRLLPLPCSAPSVQLTYQP
+SQDGAELRPGFVLALHCDVDGQPAPQLHWHIQTPGGTVEITSPNVGADGRALPGVLAASS
+RPRFQAFANGSLLIPDFGKLEEGTYSCLATNELGSAESSVNVALATPGEGGEDALGRRFQ
+GKAAEGKVCYTVDNEVQPSGPEDNVVIIYLSRARGPEAAATAEGVPGKQPPGLLLLGQSL
+LFLFLASF
+>sp|Q05B83|RFC2_BOVIN Replication factor C subunit 2 OS=Bos taurus OX=9913 GN=RFC2 PE=2 SV=1
+MEAQDSGGGTGECGAQDAAPGPSKAPGSAGHYELPWVEKYRPVKLNEIVGNEDTVSRLEV
+FAREGNVPNIIIAGPPGTGKTTSILCLARALLGPALKDAVLELNASNDRGIDVVRNKIKM
+FAQQKVTLPKGRHKIIILDEADSMTDGAQQALRRTMEIYSKTTRFALACNASDKIIEPIQ
+SRCAVLRYTKLTDMQILARLLSVIEKEKVQYTDDGLEAIIFTAQGDMRQALNNLQSTYSG
+FGFINSENVFKVCDEPHPLLVKEMIQHCVSADIDEAYKILAHLWHLGYSPEDIIGNIFRV
+CKTFQMAEYLKLEFIKEIGYTHMKIAEGVNSLLQMAGLLARLCQKTMAPVAS
+>sp|Q2HJG3|RHOH_BOVIN Rho-related GTP-binding protein RhoH OS=Bos taurus OX=9913 GN=RHOH PE=2 SV=1
+MLSSIKCVLVGDSAVGKTSLLVRFTSETFPEAYKPTVYENTGVDVLMDGIQISLGLWDTA
+GNDAFRSIRPLSYQQADVVLMCYSVANHNSFLNLKNKWIGEVRSNLPCTPVLVVATQTDQ
+REVGPHRASCVNAIEGKRLAQDVRAKGYLECSALSNRGVQQVFECAVRTAVNQARRRNRR
+RFFSINECKIL
+>sp|Q2TA12|RM02_BOVIN 39S ribosomal protein L2, mitochondrial OS=Bos taurus OX=9913 GN=MRPL2 PE=1 SV=2
+MALRVVTRALGSLSLTPRIAAVPGPSLLPAAQVTNNVLLQLPSASMLLPSRPLLTSVALS
+AKFVSWKSRTKYTTMPVKMRKSGGRNHTGRIQVHGIGGGHKQRYRMIDFLRFRPEQESKP
+GPFEEKVIVVRYDPCRSADIALVAGGNRKRWIIATENMKAGDTILNSDHIGRMAVAAREG
+DAHPLGALPVGTLINNVESEPGRGAQYIRAAGTCGVLLRKVNGTAIIQLPSKRQMQVLET
+CTATVGRVSNVDHNKRVIGKAGRNRWLGKRPNSGRWHRKGGWAGRKIRPLPPMKSYVKLP
+SAAAQN
+>sp|Q2KHY9|PTSS1_BOVIN Phosphatidylserine synthase 1 OS=Bos taurus OX=9913 GN=PTDSS1 PE=2 SV=1
+MASCVGSRTLSKDDVNYKMHFRMINEQQVEDITIDFFYRPHTITLLSFTIVSLMYFAFTR
+DDSVPEDNIWRGILSVIFFFLIISVLAFPNGPFTRPHPALWRMVFGLSVLYFLFLVFLLF
+LNFEQVKSLMYWLDPNLRYATREADVMEYAVNCHVITWERIISHFDIFAFGHFWGWAMKA
+LLIRSYGLCWTISITWELTELFFMHLLPNFAECWWDQVILDILLCNGGGIWLGMVVCRFL
+EMRTYHWASFKDIHTTTGKIKRAVLQFTPASWTYVRWFDPKSSFQRVAGIYLFMIIWQLT
+ELNTFFLKHIFVFQASHPLSWCRILFIGGITAPTVRQYYAYLTDTQCKRVGTQCWVFGVI
+GFLEAIVCIKFGQDLFSKTQILYVVLWLLCVAFTTFLCLYGMVWYAEHYGHREKTYSECE
+DGTYSPDISWPHGKGSKGSEDGPHKHPGNSESHSSRRRNRHSKSKVTNGVGKK
+>sp|A7MB10|RRP5_BOVIN Protein RRP5 homolog OS=Bos taurus OX=9913 GN=PDCD11 PE=2 SV=1
+MAAMEESFPRGGTRKTHKSEKAFQQSVEQDNLFDISTEEESTKRKKIQKGPAKTKKLKVE
+TRQSSKFVREKFEILNVESLCEGMRILGCVKEVNELELAISLPNGLQGYVQVTEICDAYT
+EKLNEQVAQEEPLQDLVGLPELFSPGMLVRCVVSSLDTTKGSKKNVMLSLNPKNVNRVLS
+AETLKPGMLLTGTVSSLEDHGYLVDIGVSGARAFLPLQKAQEYIRQKNKGAKLKVGQYLN
+CLIEEVKGSRGVVTLSIGHSEVSAAIATEEQSWTLNSLLPGLVVKAQVQKVTPLGLTLKF
+LSFFSGLVDFMHLDPKKAGTYFSNQQVRACVLCVHPRTRAVRLSLRPVFLQPGRPLTRLL
+CQQLGAVLDDVPVQGFFGSAGATFKLKDGTLAYARRNHLSNSKKTFKPEAFKPGNTHKCR
+IIDYSQMDELALLSLRTSIIEAQFLWYHDIKPGALVKGKVLTIKPHGMVVKMGKQIRGLV
+PTMHLADILIKNPEKKYHVGDEVKCRVLLCDPKAKKLMMTLKKTLVESKLPAITCYDDAK
+PGLQTHGFILRVKDYGCIVKFYNDVQGLVPRHELSAEYVPDPESVFYTGQVVKVVVLNCE
+PSKERMLLSFRLLSDPKQEGEGQSQKKKKAVSAGQLADVKVLEKTKDGLKVAVLPHNIPG
+FLPTAHLSDHVTNGPLLYHWLQTGDTLHRVLCLSVSEERVLLCRKPALVSAVEGGQNPKS
+FSEIHPGMLLIGFVKNIKDYGVFVQFPSGLSGLAPKAILSDKFVTSTSDHFVEGQTVVAK
+VTNVDEEKQRMLLSLRLSDCTLGDLATTSLLLLSQCLEERQGVRSLMSNRDSVLIQTLAE
+MTPGMALDLEVQEVLEDGSVLFSEGPVPGLVLRASKYHRAGQELEPGQKKKAVILNVDML
+KLEVHVSLCHDLVNRKAKKLKKGSDLQAIVQHLEESFAVASLVETGHLAAFSLTSHLNDT
+FRFDSEKLQVGQGVSLTLQTTEPGVTGLLLAIEGPAAKRTMRQTRKDSETVDEDEEVDPA
+LVVGTVKKHTLSIGDVVTGTVKSIKPTHVVVTLEDGIIGCIHASHILDDVPVGTSPTAKL
+KVGKKVTARVIGGRDMKTFKFLPISHPRFIRTIPELSVRPSELKEDGHTTLNTHSVSPLE
+KIKQYQPGQTVTCFLKKYNMVKKWLEVEIAPDIRGRIPLLLTSLSFKVLKHPDKKFQIGQ
+ALKATVVGPAESSKAFLCLSLIGPHKLKKGEVAMGRVVKVTPKEGLTVSFPFGRVGRVSM
+FHVSDSYSETHLEDFVPQQVVRCYVLSAATPVLTLSLRSSRTNPETKSKITDPEINSIED
+LEEGQLLRGFVKSVQPSGVLVGLGPSVTGLARHPHVSQHNQSKNAPYDRHLPEGKLLTAK
+VLRLNHQESLVELSLLPDATGKQDVLSAPLGQPPPKQEGRETEAVERNHEGKAKEKKKQK
+QKEKRTGKGQEGAQPPSKDKKEPQKPQAKKLGKRPHPESSSEQEIGNKKQKKAALSEEDD
+SGVEVYYREGEEAEEMSMLPKEKLTRPAEAPRLQLSSGFVWDVGLDTLTPALPPHGDSSD
+SEEDEKPEQATQKKKSKKERELEKQKAEKELSRIEEALMDPGRQPESAEDFDRLVLSSPS
+SSLLWLQYMAFHLQATEIEKARAVAERALKTISFREEQEKLNVWVALLNLENMYGSQESL
+TKVFERAVQYNEPLKVFLHLADIYTKSEKFQEAGELYNRMLKRFRQEKAVWVKYGAFLLR
+RGKAEASHRVMQRALECLPKKEHVDVIAKFAQLEFQLGDAERARAIFESTLSIYPKRTDV
+WSVYIDMIIKHGSQKEARAIFERVIHLSLAPKRMKFFFKRYLDYEKQHGSEKDVQAVKAK
+ALEYVEAKSSMMDD
+>sp|Q8MJ05|RP1_BOVIN Oxygen-regulated protein 1 OS=Bos taurus OX=9913 GN=RP1 PE=2 SV=1
+MSETPSTSFSMVRRISSEGQLPSPRQLGITQPVVAKRISFYKSGDPQFGGVRVVLNPRSF
+KTFDALLDNLSGKVPLPFGVRNISTPRGRHSITRLEELEDGQSYLCSHGRKVQPVDLDKA
+RRRPRPWLSSRALSTHVQRGPAPAAPGMLRAPRRLVVFRNGDPKTRRAIVLNRRVTQSFE
+VFLQYLTQVMQRPVTKLYATDGRKVPSLQAVILSSGAVVAAGREPFKPGNYDIQKYLLPA
+RLPGISRRVYPKGNARSESRKMSTHVSSSPTSQIYSLSSEKMQNNDSYSDHSFASENYLA
+LEKNDSQNLLIYPSEDDVEKSIIFNQDGTMTVEMKIRFKIKEEETIKWTTTLCRADLSNN
+GEKSEINSLPGRTDDRSSGVKITACSLSADVSPLEKGGSQVDSLAEEANTQVKDQDVETG
+SSTSWENPALDTDATQGTQDRVKHRFYRPPTPGPRRVRQKKSVIGSVTLVSETEVQEKMI
+GQFSYNEERKDWENKSEYHMVTHSCSKMSSVSNRPILVQVDNDEQVASSLERKKESRLLK
+SSAVSAGVVEITSQKTLEMSHNGGLPQTTSEKSIVEEGIVDNVIADNKARVRNLRTYGNT
+DDRSSPFLGDAAHFSSNNPGTDKTISKTPASVGNSTVTTRIDQLIHEFSHCGLTKLPENE
+KQISSSVASKKKMKSQQHVINSQHQAGEMATKRIPRKNKRMNTRGRIAQETILRDSHSSL
+KGAILCEKDLHASDTVIESNYFSSKGNNPVNSRNFPRNKLNTIHKPKIQGLLARRKSRPL
+NKVNLGGPTKREIGQGEKVFSHNEIGCCKNTFENQNLFHLFNFLEQKPNAFCGPESQAET
+ASWYLRGTSKRSLVSKVNNSHITLRSQKKQKRDKLKSDTTVSKEHVTTRANSLASLEKAV
+FPENVTHHSVQSYVQRWLQNLSPQAALQLGKSAPVYKKERGVASYNNGFLPGNSSHTSSG
+KRNDSILQSNRHTTKSASLTGDNLGKRVGMSFDKNSSEELIQDHCESQTDSLNDTYLLSV
+HEFCTLSQSATDDPNAKSQVSAAKSGQEMSLVYKDINLAAKGPSVETAVQVDLEGDTPQH
+LSPVQLLHQLQALVPSSPKAQNGVVQMPGPLSEVPFPSLIRNSSTNVLLAWLLVLTLKGG
+VSSFCPGDALKATSGSSEALALLEVLKHIAVTEEADDLKAAVASLVESTTNHSGLTEKEQ
+DVGPIGLSANCSTPNIQIIPQCAENEKTQKISLDGSHTAGEEVSEVCVTAVTRSPRKMGT
+VVKTYPPEETCHLSEDSFPSDDCTMDQTSMNKACFLGDISSLTEAVSSHEGCAYEQNHIY
+ERADNLELTKELERVDEVQKDRNILADPGCKHGSNMLVSHQSASSLSPCGSFQNTTESEL
+DGEHSFLDKSESCSLKKFQDKSVYTSFDKEDSKTSEEPGSTTNSMTSSERNVSEMESFEE
+LENQNTDIFNIKVNSGEQVTEELIREELEAGKSLELIEVSSRNDAEEGKDGVICETISRK
+LVTPPSLVFCYDSKQNMEKEPSEGETETKVRKMVESLEAGSSAESPLNFKSGLRRSGTSD
+WSDYRQNSENEPSYKASSNGPSDSDEEMTPEKECNKGFVKRTIEKLYGKAEMMRPFFFRW
+IYTHISGLSCDSVEFQGTGKVGLYDPEGQSLGSLERVSSNSTVLQKFPEQKRDKCDVNNV
+RASYPREDIAEHGTKQNDHKTILRDREEGVLIDKGKWLLKENHLLRLSSPECSGPCGHAD
+TTSVDTLLDNSSTEVPYSHFGNLAPGPNMAELSSSELEELTQPPELRCNYFNVPHCSDSE
+PFHDDELDTQDEACAQEREPNHPAEEKGNLRSERVCTSATHVFASAGNKVHPVSDGAVRN
+QPLAGSNVIHGALQEGDSLDKLYNICGQHCPILTVINQPVNEEHRGFAYCKDSDVENSLS
+LQLWMKIHPCLRHSSKTMFRDKNNKTISRRALTDNAVGNTHVWPHFNNTFDLMDRRRKLK
+QSNCLGLEEENNFNKFQSYLKNFLHTLLLVVGQVNSNPQDPSSQTKEFFEVVDENNNLLN
+SRFQNSGTNLNQVVREHSYHLSFEMLGQARLFCQVETFLGISNRNILEMFYIFEDENLFI
+WEEEN
+>sp|Q3T0Y9|RN186_BOVIN E3 ubiquitin-protein ligase RNF186 OS=Bos taurus OX=9913 GN=RNF186 PE=2 SV=1
+MACLEIPQQPQLVCQKATPSDPAGCDGGPGGPTEGDLECLVCREPYSGVRPPKLLGCQHA
+FCAVCLKLLLCVQDDAWSIPCPLCRKVTAVPGGLVCTLRDQEKVLERLARPGLEVPLRPQ
+GLANPATLTAGQPREAGEEEQDAVTTNRAAARRLAAHLLLLVLLIILILPFIYPGVIRWV
+LSFLETLALLLALLFCSHPGQQDGCMPTPRTLFCRERKPSEIASIS
+>sp|Q3SX07|PUS3_BOVIN tRNA pseudouridine(38/39) synthase OS=Bos taurus OX=9913 GN=PUS3 PE=2 SV=1
+MAENDVDRIQTEKLLKRVQELEQEVKRLKKEQANNKDSNIRENSSGAGGKPKRAFDFSAH
+GQRHVALKIAYLGWGYQGFASQENTSNTIEEKLFEALTKTRLVENRQTSNYHRCGRTDKG
+VSAFGQVISLDLRSHIPKGRDSEHFNLKNEVNDVATEIRYTHILNRVLPPDIRVLAWAPV
+ETSFSARFSCLERTYRYFFPRANLDIVTMNYAAQKYVGTHDFRNLCKMDVANGVINFQRT
+ILSAQVQRVGQNLGEEGWQEPFQLCQFEVTGQAFLYHQVRCMMAVLFLIGQGMEKPEVID
+ELLNIEKNPQKPQYSMAVEFPLVLYDCKFENIKWIYDREVQEFNVTHLQQLWANHAVKTQ
+MLYSMLQGLDSVALPCGTGPKMDGMIEWRNVKPSVTKQTSAFVEGVKMRTYKPLMDRPKC
+QGLESRIQHFVRRGRIEHPHLFHEEETKAKRDCSDTLEEENTVFEKPMKRICVDTELKSI
+I
+>sp|Q5EA71|RM49_BOVIN 39S ribosomal protein L49, mitochondrial OS=Bos taurus OX=9913 GN=MRPL49 PE=1 SV=1
+MAAATFWFVLRGWRTGVPPGCGLRRLSQTQGTPDYPRFLESVDEYRFVERLLPPTSIPKP
+PKHEHYPTPCGWQPPRDPPPNLPYFVRRSRMHNIPVYRDITHGNRQMTVIRKVEGDIWAL
+QKDVEDFLSPLLGKTPITQVNEVTGTLRIKGYFDQQLKAWLLEKGF
+>sp|P81947|TBA1B_BOVIN Tubulin alpha-1B chain OS=Bos taurus OX=9913 PE=1 SV=2
+MRECISIHVGQAGVQIGNACWELYCLEHGIQPDGQMPSDKTIGGGDDSFNTFFSETGAGK
+HVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLITGKEDAANNYARGHYTIGKEIIDLVLD
+RIRKLADQCTGLQGFLVFHSFGGGTGSGFTSLLMERLSVDYGKKSKLEFSIYPAPQVSTA
+VVEPYNSILTTHTTLEHSDCAFMVDNEAIYDICRRNLDIERPTYTNLNRLISQIVSSITA
+SLRFDGALNVDLTEFQTNLVPYPRIHFPLATYAPVISAEKAYHEQLSVAEITNACFEPAN
+QMVKCDPRHGKYMACCLLYRGDVVPKDVNAAIATIKTKRSIQFVDWCPTGFKVGINYQPP
+TVVPGGDLAKVQRAVCMLSNTTAIAEAWARLDHKFDLMYAKRAFVHWYVGEGMEEGEFSE
+AREDMAALEKDYEEVGVDSVEGEGEEEGEEY
+>sp|Q5E9J3|RSLBB_BOVIN Ras-like protein family member 11B OS=Bos taurus OX=9913 GN=RASL11B PE=2 SV=1
+MRLIQNMCTIAEYPAPGSAAAADCCLGAAGRRLVKIAVVGASGVGKTALVVRFLTKRFIG
+DYERNAGNLYTRQVQIEGETLAIQVQDTPGIQVHENGLSCTEQLNRCIRWADAVVIVFSI
+TDYKSYELTSQLHQHVQQLHLGTRLPVVVVANKADLLHIKQVDPQLGLQLASMLGCSFYE
+VSVSENDNDVYNAFHVLCKEVSHKQQPSGTPEKRRTSLIPRPKSPNMQDLKRRFKQALSA
+KVRTVTSV
+>sp|Q3T083|SDF2L_BOVIN Stromal cell-derived factor 2-like protein 1 OS=Bos taurus OX=9913 GN=SDF2L1 PE=2 SV=1
+MWSAGSGRAAGPALLGILLALSLSGGRAAKSDAGLVTCGSVLKLFNTQHRVRLHSHDIKY
+GSGSGQQSVTGVEASDDANSYWRIRGGTEGECPRGSPVRCGQAVRLTHVLTGKNLHTHHF
+PSPLTNNQEVSAFGEDGEGDDLDLWTVRCSGQHWEREAAVRFQHVGTSVFLSVTGEQYGS
+PIRGQHEVHGMASASAHNKWKAMEGIFIKPSPEAPGGHDEL
+>sp|Q08DZ5|SDC1_BOVIN Syndecan-1 OS=Bos taurus OX=9913 GN=SDC1 PE=2 SV=1
+MRRAALWLWLCALALRLQPALLHSVAVNMPPEDQDGSGDDSDNFSGSGAGALPDITSSHT
+PSTWKDLGPVTTTATAPEPTSPDAIAASTTILPTGEQPEGGRAVLLAEVEPGLTAQKEAT
+HPPSETTLHPTTHSVSTARATMAPGPATSHPHRDVQPDHHETSAPTGRGRMEPHRPHVEE
+GGPPATEKAAEEDPSTQIPVGEGSGEQDFTFDLSGENAAGAAGEPGSRNGAPEDPEATGA
+TGASQGLLDRKEVLGGVIAGGLVGLIFAVCLVGFMLYRMKKKDEGSYSLEEPKQANGGAY
+QKPTKQEEFYA
+>sp|Q3T099|SYWM_BOVIN Tryptophan--tRNA ligase, mitochondrial OS=Bos taurus OX=9913 GN=WARS2 PE=2 SV=1
+MALRSMRKARECWNFIRALHQGPDAAPVPQKDAVKRIFSGIQPTGIPHLGNYLGAIESWV
+RLQDEHDSVLYSIVDLHSITVPQDPTILRQSILDMTAALLACGINPEKSILFQQSQVSEH
+TQLSWILTCMVRLPRLQHLHQWKAKTAKEKHNGTVGLLTYPVLQAADILLYKSTHVPVGE
+DQVQHMELVQDLAQSFNKKYGEFFPVPKSILTSMKKVKSLRDPSAKMSKSDPDKLATVRI
+TDSPEEIVQKFRKAMTDFTSEVTYEPASRGGVSNLVAIHAAVAGLPVEEVVRRSAGMDTA
+RYKLVVADAVIEKFAPIKSEIEKLKMNKDHLEKVLQVGSARAKELAYPVCQEVKKLVGFL
+>sp|Q3ZBR1|SERP1_BOVIN Stress-associated endoplasmic reticulum protein 1 OS=Bos taurus OX=9913 GN=SERP1 PE=3 SV=1
+MVAKQRIRMANEKHSKNITQRGNVAKTSRNAPEEKASVGPWLLALFIFVVCGSAIFQIIQ
+SIRMGM
+>sp|Q28036|SL9A1_BOVIN Sodium/hydrogen exchanger 1 OS=Bos taurus OX=9913 GN=SLC9A1 PE=2 SV=1
+MLLWPGASGLPPPRIFPSLLVVVALVGLLPVLRSYGLQISPTASTIRGSEPPRERSIGDV
+TTAPPELAPESRPVNHSFAEHSPKARKAFPVLGIDYQHVRIPFEIALWILLACLMKIGFH
+VIPTISSIVPESCLLIVVGLLVGGLIKGVGETPPILQSEVFFLFLLPPIILDAGYFLPLR
+QFTENLGTILIFAVVGTLWNAFFLGGLMYAVCLVGGEQINNIGLLENLLFGSIISAVDPV
+AVLAVFEEIHINELLHILVFGESLLNDAVTVVLYHLFEEFANYDRVGIVDIILGFLSFFV
+VSLGGVFVGVVYGVIAAFTSRFTSHIRVIEPLFVFLYSYMAYLSAELFHLSGIMALIASG
+VVMRPYVEANISHKSHTTIKYFLKMWSSVSETLIFIFLGVSTVAGSHHWNWTFVISTLLF
+CLIARVLGVLGLTWFINKFRIVKLTPKDQFIIAYGGLRGAIAFSLGYLLDKKHFPMCDLF
+LTAIITVIFFTVFVQGMTIRPLVDLLAVKKKQETKRSINEEIHTQFLDHLLTGIEDICGH
+YGHHHWKDKLNRFNKKYVKKCLIAGERSKEPQLIAFYHKMEMKQAIELVESGGMGKIPSA
+VSTVSMQNIHPKSLPAERILPALSKDKEEEIRKILRNNLQKTRQRLRSYNRHTLVADPYE
+EAWNQMLLRRQKARQLEQKISNYLTVPAHKLDSPTMSRARIGSDPLAYEPKADLPVITID
+PASPQSPESVDLVNEELKGKVLGLSRDPGRLAEEEEDDNGPRWLTMRTKEPSSPGTDDVF
+TPAPSDSPSSQRIQRCLSDPGPHPEPGEGEPFIPKGQ
+>sp|Q3MHV9|SERC1_BOVIN Serine incorporator 1 OS=Bos taurus OX=9913 GN=SERINC1 PE=2 SV=1
+MGSVLGLCSMASWIPCLCGSAPCLLCRCCPSGNNSTVTRLIYALFLLVGVCVACVMLIPG
+MEEQLNKIPGFCENEKGMVPCNILVGYKAVYRLCFGLAMFYLLLSLLMIKVKSSSDPRAA
+IHNGFWFFKFAAAIAIIIGAFFIPEGTFTTVWFYVGMAGAFCFILIQLVLLIDFAHSWNE
+SWVEKMEEGNSRCWYAALLSATALNYLLSLVAVVLFFVYYTHPASCAENKAFISVNMLLC
+LGASIMSILPKIQESQPRSGLLQSSVITVYTMYLTWSAMTNEPETECNPSLLNIIGYNTT
+STVSKEGQSVQWWHTQGIIGLILFLLCVFYSSIRTSNNSQVNKLTLTSDESTLIEDGGAR
+NDGSLEDGDDVHRAVDNERDGVTYSYSFFHFMLFLASLYIMMTLTNWYRYEPSREMKSQW
+TAVWVKISSSWIGIVLYVWTLVAPLVLTNRDFD
+>sp|Q5E9T5|TM35A_BOVIN Transmembrane protein 35A OS=Bos taurus OX=9913 GN=TMEM35A PE=2 SV=1
+MASPRTVTVVALSVALGLFFVFMGTIKLTPRLSKDAYSEMKRAYKSYVRALPLLKKMGIN
+SILLRKSIGALEVACGIVMTLVPGRPKDVANFFLLLLVLAVLFFHQLVGDPLKRYAHALV
+FGILLTCRLLIARKPEDRSSEKKSSPPGNAGSDGNAGNTEEQPSLYEKAPQGKMKLS
+>sp|Q28862|SIAL_BOVIN Bone sialoprotein 2 OS=Bos taurus OX=9913 GN=IBSP PE=1 SV=1
+MKTVLILLSILGMACALSMKNLNRRAKLEDSEENGVFKYRPQYYVYKHGYFYPALKRFAV
+QSSSDSSEENGNGDSSEEEEEEEETSNEEGNNGGNEDSDENEDEESEAENTTLSTTTLGY
+GEITPGTGDIGLAAIWLPRKAGATGKKATKEDESDEEEEEEEEEENEAEVDDNEQGINGT
+SSNSTEVDNGHGSSGGDNGEEDGEEESVTEANTEGITVAGETTTSPNGGFKPTTPHQEVY
+GTTPPPFGKITTPGEYEQTGTNEYDNGYEIYESENGDPRGDNYRAYEDEYSYYKGRGYDS
+YDGQDYYSHQ
+>sp|Q2TBU2|TMX2_BOVIN Thioredoxin-related transmembrane protein 2 OS=Bos taurus OX=9913 GN=TMX2 PE=2 SV=1
+MAVLAPLIALVYSVPRLSRWLARPYYFLSALLSAAFLLVRKLPPVCESLPTQREDGNPCD
+FDWREVEILMFLSAIVMMKNRRSITVEQHVGNIFMFSKVANAILFFRLDIRMGLLYITLC
+IVFLMTCKPPLYMGPEYIKYFSDKTIDEELERDKRVTWIVEFFANWSSDCQSFAPIYADL
+SLKYNCTGLNFGKVDVGRYTDVSTRYKVSTSPLTKQLPTLILFQGGKEVMRRPQIDKKGR
+AVSWTFSEENVIREFNLNELYQRAKKLSKAGDKIPEEQPVAAVPAAVPDEESKKDK
+>sp|Q3ZBU3|TEDC2_BOVIN Tubulin epsilon and delta complex protein 2 OS=Bos taurus OX=9913 GN=TEDC2 PE=2 SV=2
+MLPAECSRRLVAELRDALDSCAERQRQLEQSLRVSRRLLRVWEPAETPAPEPTPGSEINK
+EAPSSACPPSPQDLKELELLTQALEKAVRVRKGLSKAGEGVKARSLKSGSASTATKASAP
+PSTSRCTGSRAPETKPPRGVHHPWVPAKDLPGHRLLLVGDGAHMGQGAGANKPEAGLRDQ
+QIVPQAASQVPEAFTLKDKGALLRLPEAFRKAASRNARLPMCASSLWAQLSSMQTRDSVD
+AAAATAKTQFLQKMQTAAGLPSSMLSAAEVGRLQKACSMLRLRMREELTADPKDWTQEYR
+SLLTLEGLQALAGQCLHRLQELQELRSAVVEQPQGPWPEGPPRAALPCGGGADPVWSPQL
+LLYSSTQELQTLAALRLRVAMLDQQVHLEKVLMAELLPLLSEQEPLGRPWLALCRAAHCL
+LCEGGQRFLTVLQDEPADRLSPP
+>sp|Q2TBG9|TM169_BOVIN Transmembrane protein 169 OS=Bos taurus OX=9913 GN=TMEM169 PE=2 SV=1
+MEEPALVEGQSQLPSPHHNSLRKAMAAALVLDGESTMGRRKKKKKESRPESIIIYRSESE
+TLDEEPGESEGGDQPKEEEGDDFIDYPMDDGVWNVPVDSRYVTLTGTITRGKKKGQMVDI
+HVTLTEKELQELTKPKASSRETTPGGRKACQLGADRGPHVVLWTLVCLPVVFLLSFVVSF
+YYGTITWYNIFLVYNEERTFWHKISCCPCLILCYPVLIMAMASSLGLYAAVVQLSWSWEA
+WWQAARDMEKGFCGWLCSKLGLEDCSPYSIVELLESDNISGNLSNKDATQEIETSAV
+>sp|Q3MHG1|SPTC1_BOVIN Serine palmitoyltransferase 1 OS=Bos taurus OX=9913 GN=SPTLC1 PE=2 SV=1
+MATVAEQWVLVEMVQALYEAPAYHLILEGILILWIIRLLFSKTYKLQERSDLTLKEKEEL
+IEEWQPEPLVPPVSKDHPALNYNIVSGPPSHNIVVNGKECINFASFNFLGLLDNPRLKAA
+ALASLKKYGVGTCGPRGFYGTFDVHLDLEDRLAKFMKTEEAIIYSYGFATIASAIPAYSK
+RGDIVFVDKAACFAIQKGLQASRSDIKVFNHNDMDDLERLLKEQEIEDQKNPRKARVTRR
+FIIVEGLYMNTGTVCPLPELVKLKYKYKARIFLEESLSFGVLGEHGRGVTEHFGISIDDI
+DLISANMENSLASIGGFCCGRSFVIDHQRLSGQGYCFSASLPPLLAAAAIEALNIMEENP
+GIFAVLKEKCKRIHKALQGIPGLKVVGESISPALHLQLEETTGCRERDVKLLQEIVTQCM
+DRGIALTQARYLEKEEKYLPPPSIRVVVTVEQTEEDLEKAASTISEVAQTVLL
+>sp|Q3SZ21|RPP30_BOVIN Ribonuclease P protein subunit p30 OS=Bos taurus OX=9913 GN=RPP30 PE=2 SV=1
+MAVFADLDLRAGSDLKALRGLVENAAHLGYSVVAINHVVEFKEKKQEIEKPVAVSELFTT
+LPIVQGKSKPIKILTRLTIIVSDPSHCNVLRATSSRVRLYDIVAVFPKTEKLFHVACTHL
+DVDLVCITVTEKLPFYFKRPPINVAIDRGVGFELLYSPAIKDSTMRRYTISNALNLMQVC
+KGKNVIISSAAERPLEIRGPYDVANLGLLFGLSESDAKAAVSTNCRAVLLHGETRKTAFG
+IISTVKKPRTSEADDDSLPACKKAKCES
+>sp|P0C1G6|SWP70_BOVIN Switch-associated protein 70 OS=Bos taurus OX=9913 GN=SWAP70 PE=1 SV=1
+MGSLKDELLKGIWHAFTALDLDHSGKVSKSQLKVLSHNLCTVLKVPHDPVALEEHFRDDD
+EGPVSNQGYMPYLNKFILEKVQDNFDKIEFNRMCWTLCVKKNLTKNPLFITEEDAFKIWV
+IFNFLSEDKYPLIIVPEEIEYLLKKLTEAMGVSWQQEQFENYKINFDDSKDGLSAWELIE
+LVGNGQFSKGMDRQTVSMAINEVFNELILDVLKQGYMIKKGHRRKNWTERWFVLKPHIIS
+YYVSEDLKDKKGDILLDENCCVESLPDKDGKKCLFLIKCFDKTFEISASDKKKKQEWIQA
+IHSTIHLLKLGSPPPHKEARQRRKELRKKLLAEQEELERQMKELQIANENKQQELEAVRK
+KLEEAASRAAEEEKKRLQTQVELQARFSTELEREKLIRQQMEEQVAQKSSELEQYLQRVR
+ELEDMYLKLQEALEDERQARQDEETVRKLQARLLEEESSKRAELEKWHLEQQQAIQTTEA
+EKQELENQRVIKEQALQEALEQLQQLELERKQALEQYEGVKKKLEMAAKMTKSWKDKVAH
+HEGLIRLIEPGSKNAHLITNWGPAAFTQAELEERQKSWKEKKTTE
+>sp|Q3ZBR0|SCNM1_BOVIN Sodium channel modifier 1 OS=Bos taurus OX=9913 GN=SCNM1 PE=2 SV=1
+MSFKREGDDWSQLNVLKKRRVGDLLASYIPEDEALMLRDGRFACAICPHRPVLDTLAMLT
+AHRAGKKHLSSLQLFYGKKPPGKGTEQNPRQHNELRREETTAEAPLLTQTRLITQSALHR
+APHYNSCCRRKYRPEAPRPSVSRPPLPPPEVEPQGGKISREPEPEAGSQTKESATVSSPA
+PMSPTRRRALDHYLTLRSSGWIPDGRGRWIKDENVEFDSDEEEPPDLPLD
+>sp|P61955|SUMO2_BOVIN Small ubiquitin-related modifier 2 OS=Bos taurus OX=9913 GN=SUMO2 PE=3 SV=1
+MADEKPKEGVKTENNDHINLKVAGQDGSVVQFKIKRHTPLSKLMKAYCERQGLSMRQIRF
+RFDGQPINETDTPAQLEMEDEDTIDVFQQQTGGVY
+>sp|A1A4J8|SCO1_BOVIN Protein SCO1 homolog, mitochondrial OS=Bos taurus OX=9913 GN=SCO1 PE=2 SV=1
+MAGLLLAPGRIMRLPGSQLWRLFPRGFGVWGPGKETASVLLGRLCARPEEAWRASGLAAC
+CLGSRPLSTAMPPPPGSSGPEWKGSGDPMRPSKPGPVSWKSLAVTFAIGGALLAGMKYFK
+KEKTEKLEKERHRSIGKPLLGGPFSLTTHTGEPKTDKDYLGQWVLIYFGFTHCPDICPEE
+LEKMIQVVDEIDSIPTLPNLTPLFITIDPERDTKEAIANYVKEFSPKLIGLTGTKEEIDQ
+VARAFRVYYSPGPKDEDEDYIVDHTIIMYLIGPDGEFLDYFGQNKKNAEIAGSIAAHMRT
+HRKKS
+>sp|Q3MHV6|SLAI2_BOVIN SLAIN motif-containing protein 2 OS=Bos taurus OX=9913 GN=SLAIN2 PE=2 SV=1
+MEDVNSDVNADQEVRKLQELVKMLEKQNEQLRSRSGAVQGAGSFGPGSPVRAGASTPSSG
+TASPRGFPLGLSAKSGSGAGSGPRRTSSEELRDATSLLAAGEGGLLDEVEPLRPEELERL
+SGWEEEEESWLYSSPKKKLTPMQKSVSPLVWCRQVLDYPSPDVECAKKSLIHKLDQTMSA
+LKRQNLYNNPFNSVSYTSPYSPNASSPYSSGFNSPSSTPVRPPIVKQLILPGNSGNLKSS
+SDRNPPLSPQSSIDSELSASELDEDSIGSNYKLNDVTDVQILARMQEESLRQEYAATASR
+RSSGSSCNSTRRGTFSDQELDAQSLDDEDDNMHHAVYPAVNRFSPSPRNSPRPSPKQSPR
+NSPRSRSPARGIEYSRVSPQPMISRLQQPRLSLQGHPTDLQTTNVKNEEKLRRSLPNLSR
+TSNTQVDSVKSSRSDSNFQVPNGGIPRMQPQASAIPSPGKFRSPAAPSPLALRQPVKAFS
+NHGSGSPGSQETTQLMQTTSSPGPPMVQNTVPANPPSNINSTTLTRPAGTTVMRSGLPRP
+SAPSAGGIPVPRSKLAQPVRRSLPAPKTYGSMKDDSWKDGCY
+>sp|Q2T9L8|SYMC_BOVIN Methionine--tRNA ligase, cytoplasmic OS=Bos taurus OX=9913 GN=MARS PE=2 SV=1
+MRLFVSEGAPGSLPVLAAAGRAQGRAELLISTVGPEECVVPFLTRPKVPVLQLDSGNYLF
+STSAICRYFFLLSGWEQDDLTNQWLEWEATELQPALSAALYYLVVQGKKGEDVLGPVRRA
+LTHIDHSLSRRSCPFLAGETESLADIVLWGALYPLLQDPSYLPEELGALHSWFQTLSSQE
+PCQRAAETVLKQQGVLALRPYLQKQPQPSSLEGRLVSNEPEEEELATLSEEEIAVAVAAW
+EKGLESLPPLRPQQNPVLPVAGERNVLITSALPYVNNVPHLGNIIGCVLSADVFARYSRL
+RQWNTLYLCGTDEYGTATETKAMEEGLTPQEICDKYHVIHADIYRWFNISFDFFGRTTTP
+QQTKITQDIFQRLLARGFVLQDTVEQLRCEHCARFLADRFVEGVCPFCGYEEARGDQCDK
+CGKLINAIELKKPQCKVCRSCPVVKSSQHLFLDLPKLAARVEEWLEKTLPGSDWTANARF
+IIRSWLRDGLKPRCITRDLKWGTPVPLEGFEDKVFYVWFDATIGYLSITANYTDQWEKWW
+KNPEQVNLYQFMAKDNVPFHGIVFPSSALGAEDNYTLVSHLIATEYLNYEDGKFSKSRGV
+GVFGDMAQDTGIPADIWRFYLLYNRPEGQDSAFSWTDMLFKNNSELLNNLGNFINRAGMF
+VSKFFGGFVPEMVLTSDDQRLLTHITLELQHYHQLLEKVRIRDALRSILTISRHGNQYIQ
+VNEPWKRIKGGEADRQRAGTVTGLAVNIAALLSVMLQPYMPTVSATIQAQLQLPPPACSI
+LPTNFLCTLPAGHQIGTVSPLFQKLENDQIESLKQRFSGGQAKASPKTAAGLTTAGPQQI
+QALTEEVTKQGNIVRELKAQKADKNQIAAEVAKLLDLKKQLALAEGKPLETSKGKKKK
+>sp|A5D7H2|STRN3_BOVIN Striatin-3 OS=Bos taurus OX=9913 GN=STRN3 PE=2 SV=1
+MDELAGGGGGGPAMASPPRQQQGPGGNMSLSPGGNGAAGGGGPPATEGAGPAAGPELSRP
+QQYTIPGILHYIQHEWARFEMERAHWEVERAELQARIAFLQGERKGQENLKKDLVRRIKM
+LEYALKQERAKYHKLKYGTELNQGDLKMPTFESEETKDTEAPTAPQNSQLTWKQGRQLLR
+QYLQEVGYTDTILDVRSQRVRSLLGLSNSEPNGSVETKNLEQILNGGESPKQKGQEIKRT
+SGDVLETFNFLENADDSDEEEENDMIEGIPEGKDKHRINKHKIGNEGLAADLTDDPDTEE
+ALKEFDFLVTAEDGEGAGEARSSGDGTEWDKDDLSPTTEVWDVDQGLISKLKEQYKKERK
+GKKGVKRVNRTKLYDTIADLGDDELPLIPSGIINQSRSASTRMTDHEGARAEEAEPITFP
+SGGGKSFIMGSDDVLLSVLGLGDLADLTVTNDADYSYDLPANKDAFRKTWNPKYTLRSHF
+DGVRALAFHPVEPVLVTASEDHTLKLWNLQKTVPAKKSASLDVEPIYTFRAHIGPVLSLA
+ISSNGEQCFSGGTDATIQWWNMPSPNVDPYDTYEPNVLAGTLIAHTDAVWGLAYSGIKNQ
+LLSCSADGTVRLWNPQEKLPCICTYNGDKEHGIPTSVDFIGCDPAHMVTSFNTGSTVIYD
+LETSQSLVMLSSQMDSGLQSSNHINRVVSHPTLPVTITAHEDRHIKFFDNKTGKMIHSMV
+AHLDAVTSLAVDPNGIYLMSGSHDCSIRLWNLDSKTCVQEITAHRKKLDESIYDVAFHPS
+KAYIASAGADALAKVFV
+>sp|Q3T110|T4S18_BOVIN Transmembrane 4 L6 family member 18 OS=Bos taurus OX=9913 GN=TM4SF18 PE=2 SV=1
+MGSRKCGSCLSSLLIPLALWSIIVNILLYFPNGQASYASSNKLTNYVWYFEGICFSGIMM
+LVVAAVLLVLENDNNYKCCQSENCSKKYMTVLSMIFSALGIAFSGYCLVISALGLLQGPY
+CRTLDGWEYAFEGTAGRFLTDSREWIQCLEPAHVVEWNIILFSILIALSGLQVIVCLIRV
+VIQLSKSLCGTYSVIIQPGII
+>sp|Q3ZBT6|TRA2B_BOVIN Transformer-2 protein homolog beta OS=Bos taurus OX=9913 GN=TRA2B PE=2 SV=1
+MSDSGEQNYGERESRSASRSGSAHGSGKSARHTPARSRSKEDSRRSRSKSRSRSESRSRS
+RRSSRRHYTRSRSRSRSHRRSRSRSYSRDYRRRHSHSHSPMSTRRRHVGNRANPDPNCCL
+GVFGLSLYTTERDLREVFSKYGPIADVSIVYDQQSRRSRGFAFVYFENVDDAKEAKERAN
+GMELDGRRIRVDFSITKRPHTPTPGIYMGRPTYGSSRRRDYYDRGYDRGYDDRDYYSRSY
+RGGGGGGGGWRAAQDRDQIYRRRSPSPYYSRGGYRSRSRSRSYSPRRY
+>sp|Q3ZBD4|SMPX_BOVIN Small muscular protein OS=Bos taurus OX=9913 GN=SMPX PE=3 SV=1
+MSKQPVSNVRAIQANINIPMGAFRPGAGQPPRRKECTPEIEEGAPPTSDEEKKPIPGAKK
+LPGPAVNLSEIQNIKSELKYVPKAEQ
+>sp|Q1JQE2|S7A6O_BOVIN Probable RNA polymerase II nuclear localization protein SLC7A6OS OS=Bos taurus OX=9913 GN=SLC7A6OS PE=2 SV=1
+MEAGRTAVLRVKRKLGTEPAEALVLACKRLRSSAVELETPKTSPEGLERAAENNVFQLVA
+TVRSQEEPVQPLLRAALRPSQGSQQRIRHHLRASAREIRQEGRYRVVFSRRSSGIPSDSL
+ESEDASGTAKAADDANFQLLDLVHEEDAEVAAAAISCKKSDPDVILCNCVELIREGLNVS
+QCGPSSGHQKEQKDDFVYDIYCLERATPGWIENILSVQPYSHEWELVNDDQQPEDIYDDE
+DDENSENNWRNEYPEEENSDEDEDSRGSDNYSSSEERDNSRQPMWSKYPLDVQKEFDYDS
+PHDLDSD
+>sp|Q29RQ5|TRIM9_BOVIN E3 ubiquitin-protein ligase TRIM9 OS=Bos taurus OX=9913 GN=TRIM9 PE=2 SV=1
+MEEMEEELKCPVCGSFYREPIILPCSHNICQACARNILVQTPESESPQSRRASGSGVSDY
+DYLDLDKMSLYSEADSGYGSYGGFASAPTTPCQKSPNGVRVFPPAMPPPATHLSPALASV
+PRNSCITCPQCHRSLILDDRGLRGFPKNRVLEGVIDRYQQSKAAALKCQLCEKAPKEATV
+MCEQCDVFYCDPCRLRCHPPRGPLAKHRLVPPAQGRVSRRLSPRKVSTCTDHELENHSMY
+CVQCKMPVCYQCLEEGKHSSHEVKALGAMWKLHKSQLSQALNGLSDRAKEAKEFLVQLRN
+MVQQIQENSVEFEACLVAQCDALIDALNRRKAQLLARVNKEHEHKLKVVRDQISHCTVKL
+RQTTGLMEYCLEVIKENDPSGFLQISDALIRRVHLTEDQWGKGTLTPRMTTDFDLSLDNS
+PLLQSIHQLDFVQMKASSPVPATPILQLEDCCTHNNSATLSWKQPPLSTVPAEGYILELD
+DGNGGQFREVYVGKETMCTVDGLHFNSTYNARIKAFNKTGVSQYSKTLVLQTSEVAWFAF
+DPGSAHSDIIFSNDNLTVTCSSYDDRVVLGKTGFSKGVHYWELTVDRYDNHPDPAFGVAR
+IDVMKDVMLGKDDKAWAMYVDNNRSWFMHNNSHTNRTEGGITKGATIGVLLDFNRKTLTF
+FINDEQQGPIAFENVEGLFFPAVSLNRNVQVTLHTGLQVPDFYSSRASIA
+>sp|P28783|S10A9_BOVIN Protein S100-A9 OS=Bos taurus OX=9913 GN=S100A9 PE=1 SV=3
+MEDKMSQMESSIETIINIFHQYSVRLGHYDTLIQKEFKQLVQKELPNFLKKQKKNEAAIN
+EIMEDLDTNVDKQLSFEEFIMLVARLTVASHEEMHNTAPPGQGHRHGPGYGKGGSGSCSG
+QGSPDQGSHDLGSHGHGHGHSHGGHGHSHGGHGHSH
+>sp|Q3SZQ2|S22AH_BOVIN Solute carrier family 22 member 17 OS=Bos taurus OX=9913 GN=SLC22A17 PE=2 SV=1
+MGSSLSLAVPPGPLSFEALLAQVGALGGGQQLQLGLCCLPVLFVALGLASDPIFTLAPPL
+HCHYGAFPPNASGWEQPPNASGVSVASAALAASAASRLATSTDPSCSGFAPPDFNHCLKD
+WDYNGLPVLTTNAIGQWDLVCDLGWQVILEQILFILGFASGYLFLGYPADRFGRRGIVLL
+TLGLVGPCGVGGAAAGSSTGVMALRFLLGFLLAGVDLGVYLMRLELCDPTQRLRVALAGE
+LVGVGGHFLFLGLALVSKDWRFLQRMITAPCILFLFYGWPGLFLESARWLIVKRQIEEAQ
+SVLRILAERNRPHGQMLGEEAQEALQDLENTCPLPATSSFSFASLLNYRNIWKNLLILGF
+TNFIAHAIRHCYQPVGGGGSPSDFYLCSLLASGTAALACVFLGVTVDRFGRRGILLLSMT
+LTGIASLALLGLWDYLNEAAITTFSVLGLFSSQAAGILSTLLAAEVIPTTVRGRGLGLIM
+ALGALGGLSGPAQRLHMGHGAFLQHVVLAACALLCILSIMLLPETKRKLLPEVLRDGELC
+RRPSLLQQPPPNRCDHVPLLATPNPAL
+>sp|A0JNG0|TM168_BOVIN Transmembrane protein 168 OS=Bos taurus OX=9913 GN=TMEM168 PE=2 SV=1
+MCKSLRYCFSHCLYLAMTRLEEVNREVNMHSSVRYLGYLARINLLVAICLGLYVRWEKTA
+NSLILVIFILGLFVLGIASILYYYFSMETASLSLSNLWFGFLLGFLCFLDNSSFKNDVKE
+ESTKYLLLTSIVLRILCSLVERMSGYVRHRPTLLTTVEFLELVGFAIASTTMLVEKSLSV
+ILLVVALAMLIIDLRMKSFLALPNLVIFAVLLFFSSLETPKNPVAFACFFICLITDPFLD
+IYFSGLSVTERWKPFLYRGRICRRLSVVFTGMIELTFFILSAFKLRDTHLWYFVIPGFSI
+FGIFWMICHIIFLLTLWGFHTKLNDCHKVCFTHRVDNNSLDRIMASKGMRHFCLISEQLV
+FFSLLATAILGAVSWQPTNGIFLSMFLIVLPLESMAHGLFHELGSCLGGTSVGYAIVIPT
+NFCSPDGQPTLLPPEHVQELNLRSTGMLNAIQRFFAYHMIETYGCDYSTSGLSFDTLHSK
+LKAFLELRTVDGPRHDTYVLYYSGHTHGTGEWALAGGDILRLDTLLEWWREKNGSFCSRL
+IIILDSENSTPWVKEVRKINDQYIAVQGAEMTKTIDIEEADPPQLGDFTKDWVEYNCNTT
+NNICWTEKGRTVKAIYGVSKRWSDYTLHLPTGSDVAKHWMLYFPRITYPLVHLANWLCGL
+NLFWICKTCFRCLKRLKMSWFLPAVLDTGQGFKLVKS
+>sp|P00442|SODC_BOVIN Superoxide dismutase [Cu-Zn] OS=Bos taurus OX=9913 GN=SOD1 PE=1 SV=2
+MATKAVCVLKGDGPVQGTIHFEAKGDTVVVTGSITGLTEGDHGFHVHQFGDNTQGCTSAG
+PHFNPLSKKHGGPKDEERHVGDLGNVTADKNGVAIVDIVDPLISLSGEYSIIGRTMVVHE
+KPDDLGRGGNEESTKTGNAGSRLACGVIGIAK
+>sp|Q06600|TNFB_BOVIN Lymphotoxin-alpha OS=Bos taurus OX=9913 GN=LTA PE=2 SV=1
+MTPPGRLYLLRVCSTPPLLLLGLLLALPLEAQGLRGIGLTPSAAQPAHQQLPTPFTRGTL
+KPAAHLVGDPSTQDSLRWRANTDRAFLRHGFSLSNNSLLVPTSGLYFVYSQVVFSGRGCF
+PRATPTPLYLAHEVQLFSPQYPFHVPLLSAQKSVCPGPQGPWVRSVYQGAVFLLTRGDQL
+STHTDGISHLLLSPSSVFFGAFAL
+>sp|Q5E9U0|SP2_BOVIN Transcription factor Sp2 OS=Bos taurus OX=9913 GN=SP2 PE=2 SV=1
+MSDPQTSMAATAAVSPSDYLQPAASTTQDSQPSPLALLAATCSKIGPPAVEAAVTPPAPP
+QPTPRKLVPIKPAPLPLSPSKNSFGILSSKGNILQIQGSQLSTSYPGGQLVFAIQNPTVV
+NKGTRSNTSIQYQAVPQIQASSPQTIQVQPSLTNQIQIIPGTNQAIITPSPSSHKPVPIK
+PAPVQKSSTTTTPAQSGANVVKLTGGGGNVTLTLPVNNLVNTSDPGAATQLLTESPPAPL
+SKTNKKARKKSLPAAQPPVAVAEQVETVLIETTADNIIQAGNNLLIVQSPGGGQPAVVQQ
+VQVVPPKAEQQQVVQIPQQALRVVQAASATLPTVPQKPSQNFQIQAAEPSPTQVYIRTPS
+GEVQTVLVQDSPPATAATASTTTCSSPASRAAHLSGTSKKHSAAILRKERPLPKIAPAGS
+IISLNAAQLAAAAQAMQTININGVQVQGVPVTITNTGGQQQLTVQNVSGNNLTISGLSPT
+QIQLQMEQALAGETQPGEKRRRMACTCPNCKDGDKRSGEQGKKKHVCHIPDCGKTFRKTS
+LLRAHVRLHTGERPFVCNWFFCGKRFTRSDELQRHARTHTGDKRFECAQCQKRFMRSDHL
+TKHYKTHLVTKNL
+>sp|A6QLW2|TGDS_BOVIN dTDP-D-glucose 4,6-dehydratase OS=Bos taurus OX=9913 GN=TGDS PE=2 SV=1
+MSVAGRAESLGPPDSFAKRVLVTGGAGFIASHMIVSLVEDYPNYMIINLDKLDYCASLKN
+LETISNKQNYKFIQGDICDSHFVKLLFETEKIDIVLHFAAQTHVDLSFVRAFEFTYVNVY
+GTHVLVSAAHEARVEKFIYVSTDEVYGGSLDKEFDESSPKQPTNPYASSKAAAECFVQSY
+WEQYKFPVVITRSSNVYGPHQYPEKVIPKFISLLQHNRKCCIHGTGLQTRNFLYATDVVE
+AFLTVLKKGKPGEIYNIGTNFEMSVLQLAKELIQLIKETNSESEMENWVDYVDDRPTNDM
+RYPMKSEKIHGLGWRPKVPWKEGIKKTIEWYRENFHNWKNAEKALEPFPVQPPFV
+>sp|Q0P5E6|SNTA1_BOVIN Alpha-1-syntrophin OS=Bos taurus OX=9913 GN=SNTA1 PE=2 SV=1
+MASGRRAPRTGLLELRAGAGSGAGGERWQRAVLSLEEDALTVSPADGEPGPEPGAQREPE
+PAQLNGAAEPGAASPPLPEALLLQPRRVTVRKADAGGLGISIKGGRENKMPILISKIFKG
+LAADQTEALFVGDAILSVNGEDLSSATHDEAVQVLKKTGKEVVLEVKYMKEVSPYFKNSA
+SGTSVGWDSPPASPLQRQPSSPGPPPRDLRDAKHMSLKMAYVSRRCTPTDPETRYLEICS
+ADGRDTLFLRAKDEASAKSWAAAIQAQVNTLTPRVKDELQALLSATSTAGSQDIKRIGWL
+TEQLPSGGTAPTLALLTEKELLLYSCLPQTREALSRPARTAPLITTRLVHSGPSKGSVPY
+DAELSFALRTGTRHGVDTHLFSVESPQELAAWTRQLVDGCHRAAEGVQEVSTACTWNGRA
+CSLSVHIDNGFTLWAAEPGAARAVLLRQPFEKLQMSSDDGASLLFLDFGGAEGEIQLDLH
+SCPKTMVFIIHSFLSAKVTRLGLLA
+>sp|Q17QS1|SNX24_BOVIN Sorting nexin-24 OS=Bos taurus OX=9913 GN=SNX24 PE=2 SV=1
+MEVYIPSFRYEESDLERGYTVFKIEVLMNGRKHFVEKRYSEFHALHKKLKKCIKTPEIPS
+KHVRNWVPKVLEQRRQGLETYLQAVILENEELPKLFLDFLNVRHLPSLPKTESYGSFDET
+ESEESSKLSHQPVLLFLRDPYVLPAASDFPNVVIEGVLHGIFYPHLQPR
+>sp|A6QQ47|VPS51_BOVIN Vacuolar protein sorting-associated protein 51 homolog OS=Bos taurus OX=9913 GN=VPS51 PE=2 SV=1
+MAAAAAAPGPGSGPGDSPEGPEAEGPERRRKAHGMLKLYYGLSEGEAAGRPSGPDPLDPT
+DLNGAHFDPEVYLDKLRRECPLAQLMDSETDMVRQIRALDSDMQTLVYENYNKFISATDT
+IRKMKNDFRKMEDEMDRLATNMAVITDFSARISATLQDPHERITKLAGVHALLRKLQILF
+ELPSRLTKCVELGAYGQAVRYQGRARAVLQQYQHLPSFRAIQDDCQVITARLAQQLRQRF
+REGGSGAPEQAECVELLLALGEPAEELCEEFLAHARGRLEEELRSLEAELGPSPLAPDVL
+EFTDHGGSGFVGGLCQVAAAYQELFAAQGPAGAEKLAAFARELGSRYFALVERRLAQEQG
+SGDNSLLVRALDRFHRRLRAPGALLAAAGLAEAATEIVERVARERLGHHLQGLQAAFLGS
+LTDVRQALAAPRIAGKEGPGLAELLANVASSILSHIKASLASVHLFTAKEVSFSNKPYFR
+GEFCSQGVRESLIVGFIRSMCQTAQSFCDSPGEKGGATPPALLLLLSRLCLDYETATISY
+ILTLTDEQFLVQDQSPVTPVSTLCAEARETARRLLTHYVKVQGLVISQMLRKSVETRDWL
+STLEPRNVRAVMKRVVEDTTAIDVQVGLLYEEGVRKAQSSDSSKRTFSVYSSSRQQGRYA
+PSYTPSAPMDTNLLSNIQKLFSERIDVFSPVEFNKVSVLTGIIKISLKTLLECVRLRTFG
+RFGLQQVQVDCHFLQLYLWRFVADEELVHLLLDEVVASAALRCPDPVPMEPSVVEVICER
+G
+>sp|Q28198|TPA_BOVIN Tissue-type plasminogen activator OS=Bos taurus OX=9913 GN=PLAT PE=2 SV=1
+MMSAMKTEFLCVLLLCGAVFTSPSQETYRRLRRGARSYKVTCRDGKTQMTYRQHDSWLRP
+LLRGNQVEHCWCDGGRAQCHSVPVRSCSEPWCFNGGTCRQALYSSDFVCQCPEGFMGKLC
+EIDATATCYKDQGVAYRGTWSTAESGAECANWNSSGLAMKPYSGRRPNAIRLGLGNHNYC
+RNPDQDSKPWCYVFKAGKYISEFCSTPACAKVAEEDGDCYTGNGLAYRGTRSHTKSGASC
+LPWNSVFLTSKIYTAWKSNAPALGLGKHNHCRNPDGDAQPWCHVWKDRQLTWEYCDVPQC
+VTCGLRQYKRPQFRIKGGLFADITSHPWQAAIFVKNRRSPGERFLCGGILISSCWVLSAA
+HCFQERYPPHHLKVFLGRTYRLVPGEEEQTFEVEKYIIHKEFDDDTYDNDIALLHLKSDS
+LTCARESASVRTICLPDASLQLPDWTECELSGYGKHESSSPFFSERLKEAHVRLYPSSRC
+TSQHLFNRTVTNNMLCAGDTRSGGDHTNLHDACQGDSGGPLVCMKDNHMTLVGIISWGLG
+CGRKDVPGVYTKVTNYLDWIRDNTRP
+>sp|Q2TBT8|RN151_BOVIN RING finger protein 151 OS=Bos taurus OX=9913 GN=RNF151 PE=2 SV=1
+MSGGYDLNLFISPPDCNFLCSVCHGVLKRPVRLPCSHIFCKKCILRWLARQKTCPCCRKE
+VRHRKMVHVNKLQKIIGRLEVKCRNAEAGCQVTCPLAHRKGHQDSCPFELMVCPNEGCML
+RVPRGALDEHRQNCQHGAYHRCSLGCGATLGPVERANHNCYRELREAWCQRQQRSRTLVL
+CLLQHMRKMHRTTGLIRRQLADFLEEDDPLLVGAPQEEAEATPEGSIGVEVWEPQGQATL
+>sp|P59837|RDH12_BOVIN Retinol dehydrogenase 12 OS=Bos taurus OX=9913 GN=RDH12 PE=2 SV=1
+MLVVLGLLTSFLSFLYVIAPSIRKFFAGGVCRTDVQLFGKVVVITGANTGIGKETARELA
+RRGARVYIACRDVLKGESAASEIQADTKNSQVLVRKLDLSDTKSIRAFAEGFLAEEKQLH
+ILINNAGVMLCPYSKTADGFETHLAVNHLGHFLLTHLLLGRLKESAPARVVNLSSVAHHL
+GKIRFHDLQGDKYYNLGFAYCHSKLANVLFTRELAKRLKGTGVTTYAVHPGIVRSKLVRH
+SFLLCLLWRLFSPFLKTTWEGAQTSLHCALAEGLEPLSGKYFSDCKKTWVSPRARNNKTA
+ERLWNVSCELLGIRWE
+>sp|Q2KIJ9|TCAL1_BOVIN Transcription elongation factor A protein-like 1 OS=Bos taurus OX=9913 GN=TCEAL1 PE=2 SV=1
+MEKACKEPEEQPQSPPKADEERPSVEPSPEKSSPEEQSSEEVSSEEEFFPDELLPELLPE
+MLESEERPPQERLSRKDLFEARPPMEQPPCGVGKHKLEEGSFKERLARSRPQFRGDIHGR
+NLSNEEMIKVAEEMEEMKRVRNKLMVMHWKARRNRPYPI
+>sp|Q08E27|STRBP_BOVIN Spermatid perinuclear RNA-binding protein OS=Bos taurus OX=9913 GN=STRBP PE=2 SV=1
+MRSIRSFANDDRHVMVKHSTIYPSPEELEAVQNMVSTVECALKHVSDWLDETSKGTKAEG
+ETEVKKEEAVDTYSKDQGGRTLCGVMRIGLVAKGLLIKDDMDLELVLMCKDKPTETLLNT
+VKDNLPIQIQKLTEEKYQVEQCVDEASIIIRNTKEPTLTLKVILTSPLIRDELEKKDGEK
+VAMKDPPDLLDRQKCLNALASLRHAKWFQARANGLKSCVIVLRILRDLCNRVPTWAPLKG
+WPLELICEKSIGTCNRPLGAGEALRRVMECLASGILLPGGPGLHDPCERDPTDALSYMTI
+QQKEDITHSAQHALRLSAFGQIYKVLEMDPLPSSKPFQKYSWSVTDKEGAGSSALKRPFE
+DGLGDDKDPNKKMKRNLRKILDSKAIDLMNALMRLNQIRPGLQYKLLSQSGPVHAPVFTM
+SVDVDGTTYEASGPSKKTAKLHVAVKVLQAMGYPTGFDADIECMSSDEKSDNEGKNETVS
+SNSSNNTGNSTTETSSTLEVRTQGPILTASGKNPVMELNEKRRGLKYELISETGGSHDKR
+FVMEVEVDGQKFRGAGPNKKVAKASAALAALEKLFSGPNAANNKKKKIIPQAKGVVNTAV
+SAAVQAVRGRGRGTLTRGAFVGATAAPGYIAPGYGTPYGYSTAAPAYGLPKRMVLLPVMK
+FPTYPVPHYSFF
+>sp|Q32LB1|TBPL1_BOVIN TATA box-binding protein-like protein 1 OS=Bos taurus OX=9913 GN=TBPL1 PE=2 SV=1
+MDADSDVALDILITNVVCVFRTRCHLNLRKIALEGANVIYKRDVGKVLMKLRKPRITATI
+WSSGKIICTGATSEEEAKFGARRLARSLQKLGFQVIFTDFKVVNVLAVCNMPFEIRLPEF
+TKNNRPHASYEPELHPAVCYRIKSLRATLQIFSTGSITVTGPNVKAVATAVEQIYPFVFE
+SRKEIL
+>sp|A5PJX7|S6A13_BOVIN Sodium- and chloride-dependent GABA transporter 2 OS=Bos taurus OX=9913 GN=SLC6A13 PE=2 SV=1
+MDSRVSGTTSNGETKPVCPGLEKAAEDGALQREQWSNKMEFLLSVAGEIIGLGNVWRFPY
+LCYKNGGGAFFIPYLIFLFTCGIPVFLLETALGQYTSQGGITAWRKICPIFEGIGYASQM
+IVTLLNIYYIIVLAWALFYLFSSFTIDLPWGSCRHDWNTERCVEFQRTNGSLNATAENAT
+SPVIEFWERRVLKISEGIQHLGALRWELALCLLLAWVVCYFCIWKGVKSTGKVVYFTATF
+PYLMLVVLLIRGVTLPGAAQGIQFYLYPNLTRLWDPQVWMDAGTQIFFSFAICLGCLTAL
+GSYNKYHNNCYRDSIALCFLNSGTSFVAGFAIFSILGFMSQEQGVPISEVAESGPGLAFI
+AYPRAVVMLPFSPLWACCFFFMVVLLGLDSQFVCVESLVTALVDMYPRVFRKKNRREVLI
+LGVSVTSFLVGLVMLTEGGMYVFQLFDYYAASGMCLLFVAIFESFCVAWAYGAGRFYDNI
+EDMIGYRPWPLIKYCWLFLTPAVCTATFLFSLIKYTPLTYNKKYKYPWWGDALGWLLALS
+SMVCIPAWSCYKLSTLKGSFRERVRQLLCPAKDLPQGHREGPSAPATPRTSLLILTELEP
+HH
+>sp|P02633|S100G_BOVIN Protein S100-G OS=Bos taurus OX=9913 GN=S100G PE=1 SV=3
+MSAKKSPEELKGIFEKYAAKEGDPNQLSKEELKLLLQTEFPSLLKGPSTLDELFEELDKN
+GDGEVSFEEFQVLVKKISQ
+>sp|Q3SZ41|SUH_BOVIN Recombining binding protein suppressor of hairless OS=Bos taurus OX=9913 GN=RBPJ PE=2 SV=1
+MAPVVTGKFGERPPPKRLTREAMRNYLKERGDQTVLILHAKVAQKSYGNEKRFFCPPPCV
+YLMGSGWKKKKEQMERDGCSEQESQPCAFIGIGNSDQEMQQLNLEGKNYCTAKTLYISDS
+DKRKHFMLSVKMFYGNSDDIGVFLSKRIKVISKPSKKKQSLKNADLCIASGTKVALFNRL
+RSQTVSTRYLHVEGGNFHASSQQWGAFYIHLLDDDESEGEEFTVRDGYIHYGQTVKLVCS
+VTGMALPRLIIRKVDKQTALLDADDPVSQLHKCAFYLKDTERMYLCLSQERIIQFQATPC
+PKEPNKEMINDGASWTIISTDKAEYTFYEGMGPVLAPVTPVPVVESLQLNGGGDVAMLEL
+TGQNFTPNLRVWFGDVEAETMYRCGESMLCVVPDISAFREGWRWVRQPVQVPVTLVRNDG
+IIYSTSLTFTYTPEPGPRPHCSAAGAILRANSSQVPPNESNTNSEGSYTNVSTNSTSVTS
+STATVVS
+>sp|A0JNJ1|STAC_BOVIN SH3 and cysteine-rich domain-containing protein OS=Bos taurus OX=9913 GN=STAC PE=2 SV=1
+MIPPSGAREDGVDGLPKETASAEQPPSPASTGSQESKLQKLKRSLSFKTKSLRSKSADNF
+FQRTNSDVKLQADVLAGVSPGSSPLPAPGSLTCTPTRAGLYPGGGGKAHAFQEHIFKKPT
+FCDVCNHMIVGTNAKHGLRCKACKMSIHHKCMDGLAPQRCMGKLPKGFRRYYSSPLLIHE
+QFGCIKEVMPIACGNKVDPVYETLRFGTSLAQRTKKSSSGSGSDSPHRTSTSDLVEVPEE
+ADGPGDGYDLRKRSNSVFTYPENGTDDFRDQAKNINHQGPLSKDPLQMNTYVALYKFVPQ
+ENEDLEMRPGDMITLLEDSNEDWWKGKIQDRIGFFPANFVQRVHQNEKIFRCVRTFSGCK
+EQGQITLKENQICVASEEEQDGFIRVLSGKKRGLVPLDVLENI
+>sp|Q17QZ3|SPX3_BOVIN Sugar phosphate exchanger 3 OS=Bos taurus OX=9913 GN=SLC37A3 PE=2 SV=1
+MAWPRIFQRGALLSRFSHHHMVVFLLTFFSYSLLHASRKTFSNVKVSISKQWTPSAFNKS
+TELLPVEIWSSNHLFPSAEEATLFLGMLDTIFLFSYAVGLFISGIVGDRLNLRWVLSFGM
+CSSALVVFVFGTLTEWLHFYNKGLYCSLWIVNGLLQSTGWPCVVAVMGNWFGKAGRGVVF
+GLWSACASVGNILGACLASSVLQYGYEYAFLVTAAVQFAGGIIIFFGLLVSPEEIGIPGI
+ETEDNFEEDSHRPLINGAENEDEAEPNYSIQEGNTVTQVKAISFYQACCLPGVIAYSLAY
+ACLKLVNYSFFFWLPFYLSNNFGWKEAEADQLSIWYDVGGIIGGTLQGFISDMLQKRAPV
+LALSLLLAIGSLVGYSRSPNDKSINALLMAVTGFFIGGPSNMISSAISADLGRQELIQGS
+SEALATVTGIVDGTGSIGAAVGQYLVSLIQDNLGWMWVFYFFILMTSCTVLFISPLIVRE
+TCSLMQRRQTRVLNE
+>sp|Q0P5J8|STRP1_BOVIN Striatin-interacting protein 1 OS=Bos taurus OX=9913 GN=STRIP1 PE=2 SV=1
+MEPAAGTPGPLIMNNKQPQPPPPPPPATAQPPPGAPRTAGGLLPGGKAREFNRNQRKDSE
+GYSESPDLEFEYADTDKWAAELSELYSYTEGPEFLMNRKCFEEDFRMHVTDKKWTELDTN
+QHRTHAMRLLDGLEVTAREKRLKVARAILYVAQGTFGECSSEAEVQSWMRYNTFLLLEVG
+TFNALVELLNMEIDNSAACSSAVRKPAISLADSTDLRVLLNIMYLIVETVHQECEGDKAE
+WRTMRQTFRAELGSPLYNNEPFAIMLFGMVTKFCSGHAPHFPMKKVLLLLWKTVLCTLGG
+FEELQSMKAEKRAILGLPPLPEDSIKVIRNMRAASPPASASDLIEQQQKRGRREHKALIK
+QDNLDAFNERDPYKADDSREEEEENDDDNSLEGETFPLERDEVMPPPLQHPQTDRLTCPK
+GLPWAPKVREKDIEMFLESSRSKFIGYTLGSDTNTVVGLPRPIHESIKTLKQHKYTSIAE
+VQAQMEEEYLRSPLSGGEEEVEQVPAETLYQGLLPSLPQYMIALLKILLAAAPTSKAKTD
+SINILADVLPEEMPTTVLQSMKLGVDVNRHKEVIVKAISAVLLLLLKHFKLNHVYQFEYM
+AQHLVFANCIPLILKFFNQNIMSYITAKNSISVLDYPHCVVHELPELTAESLEAGDNNQF
+CWRNLFSCINLLRILNKLTKWKHSRTMMLVVFKSAPILKRALKVKQAMMQLYVLKLLKVQ
+TKYLGRQWRKSNMKTMSAIYQKVRHRLNDDWAYGNDLDARPWDFQAEECALRANIERFNA
+RRYDRAHSNPDFLPVDNCLQSVLGQRVDLPEDFQMNYDLWLEREVFSKPISWEELLQ
+>sp|Q8HYW2|SO3A1_BOVIN Solute carrier organic anion transporter family member 3A1 OS=Bos taurus OX=9913 GN=SLCO3A1 PE=2 SV=1
+MQAKKPGGSSGGGRSGELQGDEAQRNKKKKKKVSCFSNIKIFLVSECALMLAQGTVGAYL
+VSVLTTLERRFNLQSADVGVIASSFEIGNLALILFVSYFGARGHRPRLIGCGGIVMALGA
+LLSALPEFLTHQYKYEAGEIRWGAEGRDVCAANGSGGDQGPDPDLICRSRTATNMMYLLL
+IGAQVLLGIGATPVQPLGVSYIDDHVRRKDSSLYIGILFTMLVFGPACGFILGSFCTKIY
+VDAVFIDTSNLDITPDDPRWIGAWWGGFLLCGALLFFSSVLMFGFPQSLPPHSDPALESE
+QAMLPEREYERPKPSNGVLRHPLEPDSSASCFQQLRVIPKVTKHLLSNPVFTCIILAACM
+EIAVVAGFAAFLGKYLEQQFNLTTSSANQLLGMTAIPCACLGIFLGGLLVKKLSLSALGA
+IRMAMLVNLVSTACYVSFLFLGCDTGPVAGVTVPYGNSSTPGSALDPYSSCNKNCECQTD
+SFTPVCGADGITYLSACFAGCNSTNLTGCACLMTIPPENATVIPGKCPSPGCQEAFLTFL
+CVMCVCSMIGAMAQTPSVIILIRTVSPELKSYALGVLFLLLRLLGFIPPPLIFGAGIDST
+CLFWSTFCGEQGACALYDNVAYRYLYVSIAIALKSFAFLLYTTTWQCLRKNYKRYIKNHE
+GGLSTSEFFASTLTLDNLGRDPVPANQTHRTKFIYNLEDHEWCENMESVL
+>sp|Q32LJ0|SVBP_BOVIN Small vasohibin-binding protein OS=Bos taurus OX=9913 GN=SVBP PE=3 SV=1
+MDPPARKEKPKVKEPVSRIEKAKQKSAQQELKQRQRAEIYALNRVMTELEQQQFDEFCKQ
+MQPPGE
+>sp|Q3SYU7|TNPO1_BOVIN Transportin-1 OS=Bos taurus OX=9913 GN=TNPO1 PE=1 SV=2
+MVWDRQTKMEYEWKPDEQGLQQILQLLKESQSPDTTIQRTVQQKLEQLNQYPDFNNYLIF
+VLTKLKSEDEPTRSLSGLILKNNVKAHFQNFPNGVTDFIKSECLNNIGDSSPLIRATVGI
+LITTIASKGELQNWPDLLPKLCSLLDSEDYNTCEGAFGALQKICEDSAEILDSDVLDRPL
+NIMIPKFLQFFKHSSPKIRSHAVACVNQFIISRTQALMLHIDSFIENLFALAGDEEPEVR
+KNVCRALVMLLEVRMDRLLPHMHNIVEYMLQRTQDQDENVALEACEFWLTLAEQPICKDV
+LIRHLPKLIPVLVNGMKYSDIDIILLKGDVEEDETIPDSEQDIRPRFHRSRTVAQQHDED
+GIEEEEDDDDEIDDDDTISDWNLRKCSAAALDVLANVYRDELLPHILPLLKELLFHHEWV
+VKESGILVLGAIAEGCMQGMIPYLPELIPHLIQCLSDKKALVRSITCWTLSRYAHWVVSQ
+PPDTYLKPLMTELLKRILDSNKRVQEAACSAFATLEEEACTELVPYLAYILDTLVFAFSK
+YQHKNLLILYDAIGTLADSVGHHLNKPEYIQMLMPPLIQKWNMLKDEDKDLFPLLECLSS
+VATALQSGFLPYCEPVYQRCVNLVQKTLAQAMLNNAQPDQYEAPDKDFMIVALDLLSGLA
+EGLGGNIEQLVARSNILTLMYQCMQDKMPEVRQSSFALLGDLTKACFQHVKPCIADFMPI
+LGTNLNPEFISVCNNATWAIGEISIQMGIEMQPYIPMVLHQLVEIINRPNTPKTLLENTA
+ITIGRLGYVCPQEVAPMLQQFIRPWCTSLRNIRDNEEKDSAFRGICTMISVNPSGVIQDF
+IFFCDAVASWINPKDDLRDMFCKILHGFKNQVGDENWRRFSDQFPLPLKERLAAFYGV
+>sp|Q5E988|RS5_BOVIN 40S ribosomal protein S5 OS=Bos taurus OX=9913 GN=RPS5 PE=2 SV=3
+MTEWETAAPAVAETPDIKLFGKWSTDDVQINDISLQDYIAVKEKYAKYLPHSAGRYAAKR
+FRKAQCPIVERLTNSMMMHGRNNGKKLMTVRIVKHAFEIIHLLTGENPLQVLVNAIINSG
+PREDSTRIGRAGTVRRQAVDVSPLRRVNQAIWLLCTGAREAAFRNIKTIAECLADELINA
+AKGSSNSYAIKKKDELERVAKSNR
+>sp|P82908|RT36_BOVIN 28S ribosomal protein S36, mitochondrial OS=Bos taurus OX=9913 GN=MRPS36 PE=1 SV=2
+MMGSKMASASRVVQVVKPHTPLIRFPDRRDNPKPNVSEVLRSAGLPSHTSSISQHSKGSK
+SPDWLMHQGPPDTAEMIKTLPQKYRRKLVSQEEIEFIQRGGPE
+>sp|Q3MHI3|S2548_BOVIN Solute carrier family 25 member 48 OS=Bos taurus OX=9913 GN=SLC25A48 PE=2 SV=1
+MGNFQLEDFVAGWIGGAASVIVGHPLDTVKARLQAGSGYGSTLSCIRTVYRRESVFGFFK
+GMSFPLASIAVYNSVVFGVFSNTQRFLSHHRCQEPEAGPPHVLSDLLLASMVAGVVSVGL
+GAPVDLIEIRLQMQTQPFQEANLGLKPRVAALGEQPAYQGPVHCFATIVRTEGLAGLYRG
+ASAMLLRDVPGYCLYFIPYVFLSDWITPEACAGPSPCAVWLAGGMAGAISWGTATPMDVV
+KSRLQADGVYVNKYRGVLDCMSQSYQKEGLKVFFRGITVNAVRGFPMSAAMFLGYELSLQ
+AIRGDHVVTSP
+>sp|Q17QI1|TPPC1_BOVIN Trafficking protein particle complex subunit 1 OS=Bos taurus OX=9913 GN=TRAPPC1 PE=2 SV=1
+MTVHNLYLFDRNGVCLHYSEWHRKKQAGIPKEEEYKLMYGMLFSIRSFVSKMSPLDMKDG
+FLAFQTSRYKLHYYETPTGIKVVMNTDLGVGPIRDVLHHIYSALYVELVVKNPLCPLGQT
+VQSELFRSRLDSYVRSLPFFSARAG
+>sp|Q3T040|RT07_BOVIN 28S ribosomal protein S7, mitochondrial OS=Bos taurus OX=9913 GN=MRPS7 PE=1 SV=1
+MAAPTAKVSRGWSGLALGVRIAVLRLPGLTQVRWSRYGPEYQDPQIDKEYYRKPLAQLTE
+EETYERELRKTQVIKAAPATKTSSVFEDPVISKFTNMMMKGGNKILARSLMTQTLEAVKR
+KQFEKYHAASAEEQATVERNPYTIFHQALKNCEPVIGLVPILKGGHFYQVPVPLAERRRR
+FLAMKWMITECREKKPRRMLMPEKLSQELLEAFCNRGPVIKRKHDMHKMAEANRALAHYR
+WW
+>sp|A5PJW2|TM223_BOVIN Transmembrane protein 223 OS=Bos taurus OX=9913 GN=TMEM223 PE=2 SV=1
+MAAPGRRWSVLLFRALQSLSARRALHDTAPPRDVLLFEHERGRFFAVLGLFCAGQGVFWA
+SLAIASLARPPTPVRPTDAKTPDHGGLDLRSTLWRYGLAVGCGAIGSLVLGAGLLFSLRS
+VRSVMLRAGGKQVTLTTHAPFGWGAHFTVPLNQVSCMAHRGEVPAMLPLKVKGRRFYFLL
+DKAGHFPNTKLFDNTVGAYRSL
+>sp|Q3ZBB1|SH21A_BOVIN SH2 domain-containing protein 1A OS=Bos taurus OX=9913 GN=SH2D1A PE=2 SV=1
+MDAVAVYHGKISRETGEKLLLATGLDGSYLLRDSESVPGVYCLCVLYQGYIYTYRVSQTE
+TGSWSAETAPGVHKRFFRKIKNLISAFQKPDQGIVIPLQYPVEKKPSARSTQGATGRRDD
+PDVFLKTP
+>sp|Q0VCR6|TMM68_BOVIN Transmembrane protein 68 OS=Bos taurus OX=9913 GN=TMEM68 PE=2 SV=1
+MTNKNQSFGVGQDSMSSMTCLIHVLEAWFGVEHLEDYWNFANYLLWVFTPLLLLILPYFT
+IFLLYLTIIFLHIYKRKNVLKEAYSHNLWDGARKTVATLWDGHAAVWHGYEVHGMEKIPE
+EGPALIIFYHGAIPIDFYYFMAKIFIHKGRTCRVVADHFVFKIPGFSLLLDVFCAIHGPR
+EKCVEILQSGHLLAISPGGVREALMSDETYNIVWGNRKGFAQVAIDAKVPIIPMFTQNIR
+EGFRSLGGTRLFRWLYEKFRYPFAPMYGGFPVKLRTYLGDPIPYDPKITAEELAEKTKDA
+VQALIDKHQRIPGNIMSALLERFRNKQKINQKTL
+>sp|Q2HJ69|TM251_BOVIN Transmembrane protein 251 OS=Bos taurus OX=9913 GN=TMEM251 PE=2 SV=1
+MPKPPDYSELSDSLTLAVGTGRFSGPLHRAWRMMNFRQRMGWIGVGLYLLASAAAFYYVF
+EINETYNRLALEHIQQHPEEPLEGTTWTHSLKTRLLSLPFWFWTVVFLIPYLQMFLFLYS
+CTRADPKTVGYCIIPICLAVICNRHQAFVKASNQISRLQLIDT
+>sp|P08057|TNNI3_BOVIN Troponin I, cardiac muscle OS=Bos taurus OX=9913 GN=TNNI3 PE=1 SV=2
+MADRSGGSTAGDTVPAPPPVRRRSSANYRAYATEPHAKKKSKISASRKLQLKTLMLQIAK
+QELEREAEERRGEKGRALSTRCQPLELAGLGFAELQDLCRQLHARVDKVDEERYDVEAKV
+TKNITEIADLNQKIFDLRGKFKRPTLRRVRISADAMMQALLGARAKETLDLRAHLKQVKK
+EDTEKENREVGDWRKNIDALSGMEGRKKKFEG
+>sp|A7YY73|MAFF_BOVIN Transcription factor MafF OS=Bos taurus OX=9913 GN=MAFF PE=2 SV=1
+MSVDPLSSKALKIKRELSENTPHLSDEALMGLSVRELNRHLRGLSAEEVTRLKQRRRTLK
+NRGYAASCRVKRVCQKEELQKQKSELEREVDKLARENAAMRLELDALRGKCEALQGFARS
+VAAARGPAALVAPASVITIVKSAPSPGPGPAPGPGPASGPGPAPGPAPAACS
+>sp|E1BNE9|KCNJ3_BOVIN G protein-activated inward rectifier potassium channel 1 OS=Bos taurus OX=9913 GN=KCNJ3 PE=1 SV=1
+MSALRRKFGDDYQVVTTSSSGSGLQPQGPGQGPQQQLVPKKKRQRFVDKNGRCNVQHGNL
+GSETSRYLSDLFTTLVDLKWRWNLFIFILTYTVAWLFMASMWWVIAYTRGDLNKAHVGNY
+TPCVANVYNFPSAFLFFIETEATIGYGYRYITDKCPEGIILFLFQSILGSIVDAFLIGCM
+FIKMSQPKKRAETLMFSEHAVISMRDGKLTLMFRVGNLRNSHMVSAQIRCKLLKSRQTPE
+GEFLPLDQLELDVGFSTGADQLFLVSPLTICHVIDAKSPFYDLSQRSMQSEQFEIVVILE
+GIVETTGMTCQARTSYTEDEVLWGHRFFPVISLEEGFFKVDYSQFHATFEVPTPPYSVKE
+QEEMLLMSSPLIAPAITNSKERHNSVECLDGLDDISTKLPSKLQKITGREDFPKKLLRMS
+STTSEKAYSLGDLPMKLQRISSVPGNSEEKLVSKTTKMLSDPMSQSVADLPPKLQKMAGG
+ATRMEGNLPAKLRKMNSDRFT
+>sp|Q8MI01|MUC15_BOVIN Mucin-15 OS=Bos taurus OX=9913 GN=MUC15 PE=1 SV=1
+MLTSAKILLISILSSLLLFGSHGEEGQKTNTTESTAEDLKTMENQSVPLESKANLTSDKE
+NRETSNPKASNFSFEDPSNKTHETGFYSNLSTDNSSRSPSLMPTLSPRSPSTHSFVSKLP
+WNSSIADNSLLPASAPPNTTVPVSSENFTLSSINDTMKAPDNSSITVSNLPSGPNTTSVT
+PMVTEGWPTTTRESMEGFTVYQETTLHPTLKFTNNSKIFPNTSDPQEENRNTGVVFGAIL
+GAILGASLLSLVGYLLCGKRKTDSFSHRRLYDDRNEPVLRLDNAPEPYDMSFGNSSYYNP
+TANDSSTSAGGENAHDSIPMDDIPPLRTSV
+>sp|Q32LC2|NBP6L_BOVIN Neuroblastoma breakpoint family member 6-like protein OS=Bos taurus OX=9913 PE=2 SV=1
+MGLLETNQYLHSQLEKSKQDFRDLTEKLLTSQATVYSLAKQLQKYKCEEYKDLIESVLEE
+EAPFEEGNLAEKRRLATGLGRYDSLIEAQARELTCLRQKIQEGEGVCHLFTQHAKNTIKT
+FESFIKTTDMTYYQRQRFCELLAQGSQMAERLASKLSTENHHDRKDEEGQESLAARLSMG
+LQGEEVNEVLEDSLDEKYLTHSSHHDSHRPPSSIASVCDVQDQL
+>sp|A5PJV8|MZT2_BOVIN Mitotic-spindle organizing protein 2 OS=Bos taurus OX=9913 GN=MZT2 PE=2 SV=1
+MAAAGAGPGPGPGAPPGLEAALQKLALRRKKVLSAEEMELFELAQAAGGAMDPDVFKILV
+DLLKLNVAPLAVFQMLKSMCAGQRVASDSQDPTAAPLPTPSVPETRGRNKGGGALGGGPA
+LAERGGRDGPGQRMPRQPSASRLPKGGGPGRSPPRSGT
+>sp|A2VE79|NUDT3_BOVIN Diphosphoinositol polyphosphate phosphohydrolase 1 OS=Bos taurus OX=9913 GN=NUDT3 PE=2 SV=1
+MMKLKSNQTRTYDGDGYKKRAACLCFRSESEEEVLLVSSSRHPDRWIVPGGGMEPEEEPG
+TAAVREVCEEAGVKGTLGRLVGIFENQERKHRTYVYVLIVTEVLEDWEDSVSIGRKREWF
+KIEDAINVLQCHKPVQASYFETLRQGYSANNGTPLVAPTYSVSAQSSMPGIR
+>sp|P79135|MX1_BOVIN Interferon-induced GTP-binding protein Mx1 OS=Bos taurus OX=9913 GN=MX1 PE=2 SV=4
+MVHSDLGIEELDSPESSLNGSEDMESKSNLYSQYEEKVRPCIDLIDSLRSLGVEQDLALP
+AIAVIGDQSSGKSSVLEALSGVALPRGSGIVTRCPLVLRLKKLGNEDEWKGKVSFLDKEI
+EIPDASQVEKEISEAQIAIAGEGTGISHELISLEVSSPHVPDLTLIDLPGITRVAVGNQP
+PDIEYQIKSLIRKYILRQETINLVVVPANVDIATTEALRMAQEVDPQGDRTIGILTKPDL
+VDKGTEDKVVDVVRNLVFHLKKGYMIVKCRGQQDIKHRMSLDKALQRERIFFEDHAHFRD
+LLEEGKATIPCLAERLTSELIMHICKTLPLLENQIKETHQRITEELQKYGKDIPEEESEK
+MFCLIEKIDTFNKEIISTIEGEEFVEQYDSRLFTKVRAEFSKWSAVVEKNFEKGYEAIRK
+EIKQFENRYRGRELPGFVNYKTFETIIKKQVRVLEEPAVDMLHTVTDIIRNTFTDVSGKH
+FNEFFNLHRTAKSKIEDIRLEQENEAEKSIRLHFQMEQLVYCQDQVYRRALQQVREKEAE
+EEKNKKSNHYFQSQVSEPSTDEIFQHLTAYQQEVSTRISGHIPLIIQFFVLRTYGEQLKK
+SMLQLLQDKDQYDWLLKERTDTRDKRKFLKERLERLTRARQRLAKFPG
+>sp|Q08DQ0|PKP3_BOVIN Plakophilin-3 OS=Bos taurus OX=9913 GN=PKP3 PE=2 SV=1
+MQDGNFLLSALQPEAGVCSLALPSDLQLDRRGAEGPEAERLRAARVQEQVRARLLQLGQQ
+PRHNGPAEPDGAAEAARGASRAQYHTLQAGFSSRSQGLGGETSTFRPIAKPTYSPASWSS
+RSAVDLSSSRRLSSVHNGGGAFGAAGYRGAPPTAPVPARPVSFHERGGAGSRADYDTLSL
+RSLRLGAGGPDDRYSVVSEQLEPAATSSYRAFAYERRASSSSSRAGGLDWPEATEGPPSR
+TIRAPAMRTLQRFQSSHRSRGVAAGGPGGVLEPVTRAPSVRSLSLGDSGHLPDMRGLDSY
+GGHRTLQRLSSGFDDIDLPSAVKYLMASDPNLQVLGAAYIQHKCYSDAAAKKQARSLQAV
+PRLVKLFNHANQEVQRHATGAMRNLVYDNADNKLALVEENGIFELLRALREQDDELRKNV
+TGILWNLSSSDHLKDRLARDTLEQLTDLVLSPLSGAGGPPLIQQNASEAEIFYNATGFLR
+NLSSASQATRQKMRECHGLVDALVTYINHALDVGKCEDKSVENAVCVLRNLSYRLYDEMP
+PSALQRLEGRGRQDMGVPPGEAVGCFTPQSRRLRELPLTADALTFAEVSKDPKGLEWLWS
+PQIVGLYNRLLQRCELNRHTTEAAAGALQNITAGDRRWAGVLSRLALEQERILNPLLDRV
+RTADHHQLRSLTGLIRNLSRNARNKDEMSTKLVSHLIEKLPGSVGEKSPPADVLINIIAV
+LNNLVVASPVAARDLLYFDGLRKLVFIKKKRDSPDSEKSSRAASSLLANLWQYNKLHRDF
+RAKGYRKEDFLGP
+>sp|Q3ZCL3|NMI_BOVIN N-myc-interactor OS=Bos taurus OX=9913 GN=NMI PE=2 SV=1
+MSADEDNKEQVLKECEQAEEIMKDKQNQKLISEITKENIQLKEEIKKLEAELQETTRTSQ
+INEDIPETKIKFTSVENPESDSEFSDISYSCQVSSKVPYELQKGQALITFEKEEVAQNVI
+RMEYHHVQVQNENVMLTANPVSLNSGVKFQVHVGVSKMKINVTDIPDELPESQMRDKLEL
+SFSKSRNGGGEVEYVEYNKQTRSALITFVESGVADKILKMKDYPLYINQNCHRVTVYPYT
+ETHLKKFQVFSGVSKRTVLLTGLKHLQTTDEEVVEDFISIHFQREKNGGGEVEVVKCSLG
+QPHTAYFEE
+>sp|Q8SPN2|PKR1_BOVIN Prokineticin receptor 1 OS=Bos taurus OX=9913 GN=PROKR1 PE=2 SV=1
+MEITMGVMDENATNTSTNYFPLLDPLGAQAASFPFNFSYGDYDMPLDEDEDMTNSRTFFA
+AKIVIGMALVGIMLVCGIGNFIFIAALARYKKLRNLTNLLIANLAISDFLVAIVCCPFEM
+DYYVVRQLSWEHGHVLCASVNYLRTVSLYVSTNALLAIAIDRYLAIVHPLRPRMKYQTAT
+GLIALVWVVSILVAIPSAYFTTETVLVIVKSQEKIFCGQIWPVDQQIYYKSYFLFIFGIE
+FVGPVVTMTLCYARISRELWFKAVPGFQTEQIRKRLRCRRKTVLVLMCILTAYVLCWAPF
+YGFAIVRDFFPTVFVKEKHYLTAFYVVECIAMSNSMINTVCFVTVKNNTIKYFKKIMLLH
+WKASYNGSKSSGDLDLKTTGVPATEEVDCIGLK
+>sp|Q2NL24|PSMG2_BOVIN Proteasome assembly chaperone 2 OS=Bos taurus OX=9913 GN=PSMG2 PE=2 SV=1
+MFVPSGDSVPDLAGCTLLMPAVSVGNVGQLAIDLIISTLNMHKIGYFYTDCLVPMVGNNP
+YATAEENSAELSINAEVYALPSKKLVALQLRSIFIKYKSKSFCEKLLSWVKCSGCAKVVV
+LSSSHSYHRNDLQLRSTPFRYLLTPSMQKSVQNKIQSLNWEEMEKTPCIPEIDDSEFCVR
+VPGGGITKLLYDEGCSKEIPIAILLKFVSEGDNIPDALGLVEYLNEWLQIIKPHCEDPTA
+SSLPWKMPSSWRLLFGSGLPPALF
+>sp|Q08DI0|NDF6_BOVIN Neurogenic differentiation factor 6 OS=Bos taurus OX=9913 GN=NEUROD6 PE=2 SV=1
+MLTLPFDESVVMPESQMCRKFSRECEDQKQIKKPESFSKQIVLRGKSIKRAPGEETEKEE
+EEEDREEEDENGLPRRRGLRKKKTTKLRLERVKFRRQEANARERNRMHGLNDALDNLRKV
+VPCYSKTQKLSKIETLRLAKNYIWALSEILRIGKRPDLLTFVQNLCKGLSQPTTNLVAGC
+LQLNARSFLVGQGGEAAHHTRSPYSTFYPPYHSPELTTPPGHGTLDNSKSMKPYNYCSAY
+ESFYESTSPECASPQFEGPLSPPPINYNGIFSLKQEETLDYGKNYNYGMHYCAVPPRGPL
+GQGAMFRLPTDSHFPYDLHLRSQSLTMQDELNAVFHN
+>sp|Q3ZCF5|OAT_BOVIN Ornithine aminotransferase, mitochondrial OS=Bos taurus OX=9913 GN=OAT PE=2 SV=1
+MLSKLARLQTVAGLGLGVHSSVASATSVATKKTVQGPPSSDYIFERESKYGAHNYHPLPV
+ALERGKGIYVWDVEGRKYFDFLSAYSAVNQGHCHPKIVDALKSQVDKLTLTSRAFYNNVL
+GEYEEYVTKLFNYHKVLPMNTGVEAGETACKLARKWGYTVKGIPKYKAKIVFAAGNFWGR
+TLSAISSSTDPTSYDGFGPFMPGFEIIPYNDLPALERALQDPNVAAFMVEPIQGEAGVVV
+PDPGYLVGVRELCTQHQVLFIADEIQTGLARTGRWLAIDHENVRPDIVLLGKALSGGLYP
+VSAVLCDDEIMLTIKPGEHGSTYGGNPLGCRVAIAALEVLEEENLAENAEKMGIILRNEL
+MKLPSDVVTTVRGKGLLNAIVIRETKDCDAWKVCLRLRDNGLLAKPTHGDIIRFAPPLVI
+KEDEILEAVEIINKTILSF
+>sp|Q5E947|PRDX1_BOVIN Peroxiredoxin-1 OS=Bos taurus OX=9913 GN=PRDX1 PE=2 SV=1
+MSSGNAKIGHRAPQFKATAVMPDGQFKDISLADYKGKYVVFFFYPLDFTFVCPTEIIAFS
+DRAEEFKKLNCQVIGASVDSHFCHLAWINTPKKQGGLGPMNIPLISDPKRTIAQDYGVLK
+ADEGISFRGLFIIDDKGILRQITINDLPVGRSVDETLRLVQAFQFTDKHGEVCPAGWKPG
+SDTIKPDVQKSKEYFSKQK
+>sp|A0JNE3|NR2C1_BOVIN Nuclear receptor subfamily 2 group C member 1 OS=Bos taurus OX=9913 GN=NR2C1 PE=2 SV=1
+MASIEEIAHQIIEQQMGEIVTEQPTGQKIQIVTALDHNTQGKQFILTNHDGSTPNKVILA
+RQDSTPGKVFFTTPDAAGVNQLFFTTPDLSTQQLQFLTDNSSSEQGPNKVFDLCVVCGDK
+ASGRHYGEVTCEGCKGFFKRSIRKNLVYSCRGSKDCIINKHHRNRCQYCRLQRCIAFGMK
+QDSVQCERKPIEVSREKSSNCAASTEKIYIRKDLRSPLAATPTFVTDSETARSAGLLDSG
+MFVNIHQSGIKTESTMLMTPDKAVSCQGDLSTLASVVTSLANLGKTKDPAPNSNEVSMIE
+SLSNGDTSYTSVCEFHQEMQTNGDVSRAFDTLAKALNPGESTACQSSGEGMEGNVHLIAG
+DSSINYIEKEGPLLSDSHVAFRLTMPSPMPEYLNVHYIGESASRLLFLSMHWALSIPSFQ
+ALGQENSISLVKAYWNELFTLGLAQCWQVMNVATILATFVNCLHSSLQQDKISAERRKLL
+MEHIFKLQEFCNSMVKLCIDGYEYAYLKAIVLFSPDHPGLENMEQIEKFQEKAYVEFQDY
+ITKTYPDDTYRLSRLLLRLPALRLMNATITEELFFKGLIGNVRIDSVIPHILKMEPADYN
+SQIIGHSI
+>sp|Q3ZBD3|PHS_BOVIN Pterin-4-alpha-carbinolamine dehydratase OS=Bos taurus OX=9913 GN=PCBD1 PE=3 SV=2
+MAGKAHRLSAEERDQLLPNLRAVGWNELEGRDAIFKQFHFKDFNRAFGFMTRVALQAEKL
+DHHPEWFNVYNKVHITLSTHECAGLSERDVNLASFIEQVAVSMT
+>sp|Q0GBZ5|OX1R_BOVIN Orexin receptor type 1 OS=Bos taurus OX=9913 GN=HCRTR1 PE=2 SV=1
+MEPSATPGPQMGVPTEGRERSPEPPDYEDEFLRYLWRDYLYPKQYEWVLIAAYVAVFFVA
+LVGNTLVCLAVWRNHHMRTVTNYFIVNLSLADVLVTAICLPASLLVDITESWLFGHALCK
+VIPYLQAVSVSVAVLTLSFIALDRWYAICHPLLFKSTARRARGSILGIWAVSLAVMVPQA
+AVMECSSVLPELANRTRLFSVCDERWADDLYPKIYHSCFFIVTYLAPLGLMAMAYFQIFR
+KLWGRQIPGTTSALVRNWKRPSVQLEEQGQGLGAEPQPRARAFLAEVKQMRARRKTAKML
+MVVLLVFALCYLPISVLNVLKRVFGMFRQASDREAVYACFTFSHWLVYANSAANPIIYNF
+LSGKFREQFKAAFSCCLPGLGPCVSLKVPSPRSSASHKSLSLQSRCSVSKASEHVLLTSV
+TTVLP
+>sp|A6QLJ8|PTER_BOVIN Phosphotriesterase-related protein OS=Bos taurus OX=9913 GN=PTER PE=2 SV=1
+MSSLSGKVQTVLGLVEPGTLGRTLTHEHLTMTFDCCYYPPPPSHEAISKEPIIMKNLFWI
+QKNPYSHKENLQLNQETEAIKEELLDFKAKGGGALVENTTTGLSRDVRTLKWLAEETGVH
+IIAGAGFYVDATHSSETRAKSVEQLTDVLVNEILCGADGTSIKCGVIGEIGCSWPLTDSE
+RKVLQATAHAQTQLGCPVIIHPGRNQSAPFQIIRVLQEAGGDISKTVMSHIDRTILDKKE
+LLEFAQFGCYLEYDLFGTELFHYQFNPDIDMPNDNKRIKRVRLLVDEGYEDRILMAHDIH
+TKNRLTKYGGHGYSHILTNIVPKMLLRGITEGALDKILIENPKQWLTFK
+>sp|Q2HJI0|RM19_BOVIN 39S ribosomal protein L19, mitochondrial OS=Bos taurus OX=9913 GN=MRPL19 PE=1 SV=1
+MAASIARGCQAAKGLGPSFRSARALLPVSTSVASRVLAGPGRRQITGPSEPGVFQPPPKP
+VIVDKRGPQRRESRFLSPEFIPPRGRTNPLKFQIERKDMLERRKILHIPEFYVGSILRVT
+TADPYANGKTSQFLGICIQRSGKGLGATFILRNTIEGQGVEICFELYNPRIQEIQVVKLE
+KRLDDSLLYLRDALPEYSTFDMNMKPVAQEPSREVPINQLKVKMKPKPWSKRWERPKFNV
+KGIRFDLCLTEEQMKEAQKWSQPWLEFDMMREYDTSKIETAIWNEIEASKSS
+>sp|Q2TBY0|SKA2_BOVIN Spindle and kinetochore-associated protein 2 OS=Bos taurus OX=9913 GN=SKA2 PE=2 SV=1
+MEAEVDKLELMFQKADSDLDYIQYRLEYEIKTNYPDSAGKKNPVTLLKELSAIKSRYQTL
+HVRFKPIAVEQKETKSRICATFNKTMTLIQELQKETDMELLPLTEEEKTAAEQLRAHMSD
+L
+>sp|Q3T020|TCAL8_BOVIN Transcription elongation factor A protein-like 8 OS=Bos taurus OX=9913 GN=TCEAL8 PE=3 SV=1
+MQKSCGENERKPQNMPKAEEDRPLEAVPQEAEGNPQPSEEGVSQEAEGNLRGGLTQPGQG
+YKEDSPVRHLDPEEMIRGADELERLREEIRRVRNKFVMMHWKQRHSRSRPYPVCFRP
+>sp|A6H791|TRM61_BOVIN tRNA (adenine(58)-N(1))-methyltransferase catalytic subunit TRMT61A OS=Bos taurus OX=9913 GN=TRMT61A PE=2 SV=1
+MSFVAYEELIKEGDTAILSLGHGAMVAVRVQRGAQTQTRHGVLRHSVDLIGRPFGSKVTC
+GRGGWVYVLHPTPELWTLNLPHRTQILYSTDIALLTMMLELRPGSVVCESGTGSGSVSHA
+IIRTIAPTGHLHTVEFHQQRAERAREEFQEHRVGRWVTVLNQDVCRSGFGVSHVADAVFL
+DIPSPWEAVGHAWDALKVEGGRFCSFSPCIEQVQRTCQALAACGFSELSTLEVLPQVYNV
+RTVSLPVPDLGARPGPDAAPFRSGTPMKETVGHTGYLTFATKTPG
+>sp|A6QQ77|SACA3_BOVIN Sperm acrosome membrane-associated protein 3 OS=Bos taurus OX=9913 GN=SPACA3 PE=2 SV=1
+MEAGSWAPRRWPRPPGIVLLALASVLSSLLSSGQARVYSRCELARVLQDFGLEGYRGYSL
+ADWICLAYFASGFNTGAVDHEADGSTNSGIFQINSRKWCKNLNPNVPNLCQMYCSDLLNP
+NLKDTVICAMKITQEPQGLGSWEAWRHHCQGKDLSDWVDGCEL
+>sp|Q32PB3|SACA4_BOVIN Sperm acrosome membrane-associated protein 4 OS=Bos taurus OX=9913 GN=SPACA4 PE=2 SV=1
+MVLGWLPLLVMVLAPGTTGVKDCVFCELTDSTSCPGTSMRCGDDEDCFTGHGVAPGVGPI
+INKGCVHATSCGHEEPINYMGVTYSLTTNCCTGHMCNGAPDPTRGRLAGAAASLALGVLL
+LLQHVL
+>sp|Q08DK5|SHLB2_BOVIN Endophilin-B2 OS=Bos taurus OX=9913 GN=SH3GLB2 PE=2 SV=1
+MDFNMKKLASDAGIFFTRAVQFTEEKFGQAEKTELDAHFESLLARADSTKNWTEKILRQT
+EVLLQPNPSARVEEFLYEKLDRKVPSRVTNGELLAQYMAEAASELGPTTPYGKTLIKVAE
+AEKHLGAAERDFIHTASINFLTPLRNFLEGDWKTISKERRLLQNRRLDLDASKARLKKAK
+AAEAKATTVPDFQETRPRNYILSASASALWNDEVDKAEQELRVAQTEFDRQAEVTRLLLE
+GISSTHVNHLRCLHEFIESQTTYYAQCYRHMLDLQKQLGRFPGTFVGTAEPASPPLSSTS
+PTTTAATMPMGPSVADLAPPGEAALRLEEVAPPASGTRKARVLYDYEAADSSELALLADE
+LITVYSLPGMDPDWLIGERGNKKGKVPVTYLELLS
+>sp|Q32PB8|RS21_BOVIN 40S ribosomal protein S21 OS=Bos taurus OX=9913 GN=RPS21 PE=3 SV=1
+MQNDAGEFVDLYVPRKCSASNRIIGAKDHASIQMNVAEVDKVTGRFNGQFKTYAICGAIR
+RMGESDDSILRLAKADGIVSKNF
+>sp|Q2NKZ1|TCPH_BOVIN T-complex protein 1 subunit eta OS=Bos taurus OX=9913 GN=CCT7 PE=1 SV=1
+MMPTPVILLKEGTDSSQGIPQLVSNISACQVIAEAVRTTLGPRGMDKLIVDGRGKATISN
+DGATILKLLDVVHPAAKTLVDIAKSQDAEVGDGTTSVTLLAAEFLKQVKPYVEEGLHPQI
+IIRAFRTATQLAVNKIKEIAVTVKKEDKVEQRKLLEKCAMTALSSKLISQQKAFFAKMVV
+DAVMMLDDLLQLKMIGIKKVQGGALEESQLVAGVAFKKTFSYAGFEMQPKKYHNPMIALL
+NVELELKAEKDNAEIRVHTVEDYQAIVDAEWNILYDKLEKIHHSGAKVVLSKLPIGDVAT
+QYFADRDMFCAGRVPEEDLKRTMMACGGSIQTSVNALSSDVLGRCQVFEETQIGGERYNF
+FTGCPKAKTCTIILRGGAEQFMEETERSLHDAIMIVRRAIKNDSVVAGGGAIEMELSKYL
+RDYSRTIPGKQQLLIGAYAKALEIIPRQLCDNAGFDATNILNKLRARHAQGGMWYGVDIN
+TEDIADNFEAFVWEPAMVRINALTAASEAACLIVSVDETIKNPRSTVDASPAAGRGRGRG
+RLH
+>sp|Q2TBT3|ECHD2_BOVIN Enoyl-CoA hydratase domain-containing protein 2, mitochondrial OS=Bos taurus OX=9913 GN=ECHDC2 PE=2 SV=1
+MLRVLTSTLRFPRPWKPLETRGCSSNPGAAGREIQVCALAGPNQGIAEILMNRPSARNAL
+GNVFVSQLLEALAQLREDRQVRVLIFRSGVKGVFCAGADLKEREQMSEAEVGLFVQRLRG
+LMTEIAAFPAPTIAAMDGFALGGGLELALACDLRVAASSAVMGLIETTRGLLPGAGGTQR
+LPRCLGVALAKELIFTGRRLSGAQAQALGLVNHAVAQNEEGNAAYHRARALAQEILPQAP
+IAVRLSKVAIDRGIEVDIASGMAIEGICYAQNIPTRDRLEGMAAFREKRLPRFVGE
+>sp|P20811|ICAL_BOVIN Calpastatin OS=Bos taurus OX=9913 GN=CAST PE=1 SV=2
+MNPTEAKAVKTEPEKKPQSSKPSVVHEKKTQEVKPKEHTEPKSLPKHSSDTGVKHAPKEK
+AVSKSSEQPPSEKSTKPKTKSQDKISGGGKSTVPAAAAAASAEPADKNKENKLLTSAVPA
+ESKPSKPSGKSDMDTALDDLIDTLGEPEEMKEDNTTYTGPEVSDPMSSTYIEELGKREST
+PPPKYKELLNKEEGIAGPPPDSLKPLGPNDAIDALSSDFTCSSLQLTTCSPTADGKETEK
+EKSTEEALKAQSAGVIRSAAPPKEKRRKVEEDTMTEQALQALSASLGTRKPEPELDPSSI
+REVDEAKAKEEKVKKCGEDEETVPSEYRLKPATDKDGKPLLPEAEEKPKPLSESELIDEL
+SEDFDQSKPTEKQSKPTEKTEASPAAAPYPVAEDVPRTSMCSLQSAPPTAAPAKGMVPDD
+AVEALAGSLPKKEADPEDGKPVEDKVKEKAKEEDRENFGEKEETIPPDYRLEEAKDKDGK
+PLLPKEVKEPLPPLSEDVLLDALSKDFTVPSDTSSPQFEDAKLSAVVSEVVSQTPAPTTQ
+AAGPPPDCARDNKELDDALDQLSDTLGQRQPDPDENKPVEDKVKEKAKAEHRDKLGERDD
+TIPPKYQHLLDDNKEGTPGKPKDQRAQGIRNCGEKPAGAQDPIDALSGDFDSCPSTTETS
+TDTPKDKDKKPASVPKHLGNGGKAKDSTKAKEETSKPKADGKSTS
+>sp|A6QP15|HOT_BOVIN Hydroxyacid-oxoacid transhydrogenase, mitochondrial OS=Bos taurus OX=9913 GN=ADHFE1 PE=2 SV=1
+MAAARSRVVHLLRLLQRAACQCPSHSHTYSQAPGLSPSGKTTDYAFEMAVSTIRYGAGVT
+KEVGMDLQSMGAKNVCLMTDKNLSQLPPVQTVMDSLVKNGINFKVYDHVRVEPTDTSFME
+AIEFAKKGAFDAFLAVGGGSTIDTCKAANLYSSSPDSDFLDYVNAPIGKGKPVTVPLKPL
+IAVPTTSGTGSETTGVAIFDYEHLKVKTGIASRAIKPTLGLIDPLHTLHMPERVVANSGF
+DVLCHALESYTALPYHMRSPCPSSPITRPAYQGSNPISDIWAVHALRIVAKYLKRAIRNP
+DDLEARSNMHLASAFAGIGFGNAGVHLCHGMSYPISGLVKTYKAKDYNVDHPLVPHGLSV
+VLTSPAVFTFTSQMFPERHLEVAEILGADTRTARRPDAGPVLADTLRKFLFDLDVDDGLA
+AIGYSKADIPELVKGTLPQERVTKLAPRPQSEEDLSALFEASMKLY
+>sp|A7Z017|MAF_BOVIN Transcription factor Maf OS=Bos taurus OX=9913 GN=MAF PE=2 SV=1
+MASELAMSNSDLPTSPLAMEYVNDFDLMKFEVKKEPVETDRIISQCGRLIAGGSLSSTPM
+STPCSSVPPSPSFSAPSPGSGSEQKAHLEDYYWMTGYPQQLNPEALGFSPEDAVEALISN
+SHQLQGGFDGYARGAQQLASAAGAGAGASLGGSGEEMGPAAAVVSAVIAAAAAQSGAAPH
+YHHHHHHHHAAGHHHHPTAGAPGAAGSASASAGGAGGSGGGSGGPASAGGGGGGGGGGGG
+GAAGAGGALHPHHAAAGGLHFDDRFSDEQLVTMSVRELNRQLRGVSKEEVIRLKQKRRTL
+KNRGYAQSCRFKRVQQRHVLESEKNQLLQQVDHLKQEISRLVRERDAYKEKYEKLVSSGF
+RENGSSSDNPSSPEFFM
+>sp|Q687Y7|IL17_BOVIN Interleukin-17A OS=Bos taurus OX=9913 GN=IL17A PE=2 SV=1
+MASMRTSSMSLLLLLSLVALVKAGVIIPQSPGCPPTEDKNFPQHVRVNLNIVNRSTNSRR
+PTDYHKRSTSPWTLHRNEDPERYPSVIWEAKCSHSGCINAEGKVDHHMNSVTIQQEILVL
+RRESQHCPHSFRLEKMLVAVGCTCVTPIVRHLA
+>sp|Q95KV0|IKKB_BOVIN Inhibitor of nuclear factor kappa-B kinase subunit beta OS=Bos taurus OX=9913 GN=IKBKB PE=2 SV=1
+MSWSPSLPTQTCGAWEMKERLGTGGFGNVIRWHNQETGEQIAIKQCRQELSPRNRERWCL
+EIQIMRRLNHPNVVAARDVPEGMQSLAPNDLPLLAMEYCQGGDLRKYLNQFENCCGLREG
+AILTLLSDIASALRYLHENRIIHRDLKPENIVLQQGEQRLIHKIIDLGYAKELDQGSLCT
+SFVGTLQYLAPELLEQQKYTVTVDYWSFGTLAFECITGFRPFLPNWQPVQWHSKVRQKSE
+MDIVVSEDLNGAVKFSSSLPHPNNLNSVLAQRLEKWLQLMLMWHPRQRGTDPVYGPNGCF
+KALDDILNLKLLHVLNMVTGTLHTYPVTEDESLQSLKARIRQDTGILEEDQELLQEAGLA
+LIPDKPAAQCLSDGKLNEGRTLDMDLVFLFDNSRVTYESQVSPQPQPESVSCILQEPKRN
+LPFFQLRKVWGQVWHSIQALKEDCSRLQQGQRAAMMNLLRNNSCLSKMKNSMASMSQQLK
+AKLDFFKTSIQIDLEKYREQTEFGITSDKLLLAWREMEQAVELCGRENEVKHLVERMMAL
+QTDIVDLQRSPMGRKQGGTLDDLEEQARELYRRLREKPRDQRTDGDSQEMVRLLLQAIQG
+FEKKVRVIYTQLSKTVVCKQKALELLPKVEEVVSLMSEDEKMVVRLQEKRQKELWNLLKI
+ACSKVRGPVSGSPDSMNASRLSHPCQLMSQPCTAPDSLPEAAEKSEDLVAEAHTLCTQLE
+NALQDTMKEQDQSLRSLDWSWLQSEEEEQQSLERAS
+>sp|Q0VCB2|HDAC8_BOVIN Histone deacetylase 8 OS=Bos taurus OX=9913 GN=HDAC8 PE=2 SV=1
+MEESEEPADAGQSLPPVYIYSPEYVSVCDSLAKVPKRASMVHSLIEAYALHKQMRIVKPK
+VASMEEMASFHTDAYLQHLQKVSEDGDDDHPDSIEYGLGYDCPATEGIFDYAAAVGGATI
+TAAQCLIDGMCKVAINWSGGWHHAKKDEASGFCYLNDAVLGILRLRRKFDRILYVDLDLH
+HGDGVEDAFSFTSKVMTVSLHKFSPGFFPGTGDVSDVGLGKGRYYSVNVPIQDCIQDERY
+YHICESVLKEVYIAFNPKAVVLQLGADTIAGDPMCSFNMTPVGIGKCLKYILQWELATLI
+LGGGGYNLANTARCWTYLTGVILGKTLSSEIPDHEFFTAYGPDYVLEITPSCRPDRNEPH
+RVQQILNYIKGNLKHVV
+>sp|Q3SYS9|KBP_BOVIN KIF1-binding protein OS=Bos taurus OX=9913 GN=KBP PE=2 SV=1
+MADSPWDEIREKFQTALALSRVELHKNPEKEPYKSKYSARALLEEVKALLGPAPEDEDER
+PQADDSLGAGEHALGLPAELVEAEGPVAQGAVRRAVIEFHLGVNHIDTEELSAGEEHLVK
+CLRLLRKYRLSHDCVSLYIQAQNNLGILWSEREEIETAQAYLESSEALYNQYMKEIGSPP
+LDPTEHFLPEEEKLPEQERSKRFEKVYTHNLYYLAQVYQHMEMFEKAAHYCHSTLKRQLE
+HNAYHPMEWAINAATLSQFYINKQCFMEARHCLSAANVIFGQIGKIRTTEDTTEAEGDVP
+ELYHQRKGEIARCWIKYCLTLMQNAQLSMQDNIGELDLDKQSELRALRKKELDEEESVRK
+KAVQFGTGELCDAISAVEEKVSYLRPLDFEEARELFLTGQHYVFEAKEFFQIDGYVTDHI
+EVVQDHSALFKVLAFFETDMERRCKMHKRRIAMLEPLIVDLNPQYYLLVNRQIQFEIAHA
+YYDMMDLKVAIADKLRDPDSHIVKKINNLNKSALKYYQLFLDSLRDPNKVFPEHIGEDVL
+RPAMLAKFRVARLYGKIITADPKKELENLATSLEHYKFIVDYCEKHPEAAQEIEVELELS
+KEMVSLLPTKMERFRTKMALT
+>sp|O62702|KERA_BOVIN Keratocan OS=Bos taurus OX=9913 GN=KERA PE=1 SV=2
+MASTICFILWVVFVTDTVWTRSVRQVYEASDPEDWTMHDFDCPRECFCPPSFPTALYCEN
+RGLKEIPAIPSRIWYLYLENNLIETIPEKPFENATQLRWINLNKNKITNYGIEKGALSQL
+KKLLFLFLEDNELEEVPSPLPRSLEQLQLARNKVSRIPQGTFSNLENLTLLDLQHNKLLD
+NAFQRDTFKGLKNLMQLNMAKNALRNMPPRLPANTMQVFLDNNSIEGIPENYFNVIPKVA
+FLRLNHNKLSDAGLPSSGFNVSSILDLQLSHNQLTKVPKISAHLQHLHLDHNKIRNVNVS
+VICPSTPTTLPVEDSFSYGPHLRYLRLDGNEIKPPIPMDLMTCFRLLQAVII
+>sp|Q95JC3|LEAP2_BOVIN Liver-expressed antimicrobial peptide 2 OS=Bos taurus OX=9913 GN=LEAP2 PE=3 SV=1
+MWHLKLFAVLMICLLLLAQVDGSPIPQQSSAKRRPRRMTPFWRAVSLRPIGASCRDDSEC
+ITRLCRKRRCSLSVAQE
+>sp|Q0P5A0|KCNK1_BOVIN Potassium channel subfamily K member 1 OS=Bos taurus OX=9913 GN=KCNK1 PE=2 SV=1
+MLQSLAGSSCVRLVERHRSAWCFGFLVLGYLLYLVFGAVVFSSVELPYEDLLRQELRKLK
+RRFLEEHECLSEPQLEQFLGRVLEASNYGVSVLSNASGNWNWDFTSALFFASTVLSTTGY
+GHTVPLSDGGKAFCIIYSVIGIPFTLLFLTAVVQRVTIHVTRRPVLYFHVRWGFSKQAVA
+IVHAVLLGVVTVSCFFFIPAAVFSVLEDDWNFLESFYFCFISLSTIGLGDYVPGEGYNQK
+FRELYKIGITCYLLLGLIAMLVVLETFCELHELKKFRKMFYVKKDKEEDQMHIIEHDQLS
+FSSITDQAAGVQEDQKQNEPFVSPQPPALADGASDH
+>sp|P31322|KAP3_BOVIN cAMP-dependent protein kinase type II-beta regulatory subunit OS=Bos taurus OX=9913 GN=PRKAR2B PE=2 SV=2
+MSIEIPAGLTELLQGFTVEVLRHQPADLLEFALQHFTRLQEENERKGTARFGHEGRTWGD
+AGAAGGGGTPSKGVNFAEEPRHSDSENGEEEEEEAADAGAFNAPVINRFTRRASVCAEAY
+NPDEEEDDAESRIIHPKTDDQRNRLQEACKDILLFKNLDPEQMSQVLDAMFEKLVKEGEH
+VIDQGDDGDNFYVIDRGTFDIYVKCDGVGRCVGNYDNRGSFGELALMYNTPRAATITATS
+PGALWGLDRVTFRRIIVKNNAKKRKMYESFIESLPFLKSLEVSERLKVVDVIGTKVYNDG
+EQIIAQGDSADSFFIVESGEVKITMKRKGKSEVEENGAVEIARCSRGQYFGELALVTNKP
+RAASAHAIGTVKCLAMDVQAFERLLGPCMEIMKRNIATYEEQLVALFGTNMDIVEPTA
+>sp|Q2HJ48|KCTD1_BOVIN BTB/POZ domain-containing protein KCTD1 OS=Bos taurus OX=9913 GN=KCTD1 PE=2 SV=1
+MSRPLITRSPASPLNNQGIPTPAQLTKSNAPVHIDVGGHMYTSSLATLTKYPESRIGRLF
+DGTEPIVLDSLKQHYFIDRDGQMFRYILNFLRTSKLLIPDDFKDYTLLYEEAKYFQLQPM
+LLEMERWKQDRESGRFSRPCECLVVRVAPDLGERITLSGDKSLIEEVFPEIGDVMCNSVN
+AGWNHDSTHVIRFPLNGYCHLNSVQVLERLQQRGFEVVGSCGGGVDSSQFSEYVLRRELR
+RTPRGPSVIRIKQEPLD
+>sp|P58126|KCNQ3_BOVIN Potassium voltage-gated channel subfamily KQT member 3 OS=Bos taurus OX=9913 GN=KCNQ3 PE=2 SV=1
+MGLKARRPAGAAGGGGDGGGGGGGAANPAGGDAAAAGDEERKVGLAPGDVEQVTLALGAG
+ADKDGTLLLEGGGRDEGQRRTPQGIGLLAKTPLSRPVKRNNAKYRRIQTLIYDALERPRG
+WALLYHALVFLIVLGCLILAVLTTFREYETVSGDWLLLLETFAIFIFGAEFALRIWAAGC
+CCRYKGWRGRLKFARKPLCMLDIFVLIASVPVVAVGNQGNVLATSLRSLRFLQILRMLRM
+DRRGGTWKLLGSAICAHSKELITAWYIGFLTLILSSFLVYLVEKDVPEVDAQGEEMKEEF
+ETYADALWWGLITLATIGYGDKTPKTWEGRLIAATFSLIGVSFFALPAGILGSGLALKVQ
+EQHRQKHFEKRRKPAAELIQAAWRYYATNPNRIDLVATWRFYESVVSFPFFRKEQLDPAA
+SQKLGLLDRVRLSNPRGSNTKGKLFTPLNVDAIEESPSKEPKPVGSNNKERFRTAFRMKA
+YAFWQSSEDAGTGDPTAEDRGYGNDFLIEDMIPTLKAAIRAVRILQFRLYKKKFKETLRP
+YDVKDVIEQYSAGHLDMLSRIKYLQTRIDMIFTPGPPSTPKHKKSQRGAAFTYPSQQSPR
+NEPYVARPSTSETEDQSMMGKFVKVERQVHDMGKKLDFLVDMHLQHMERLQVHVAGFSPS
+KGASSPAEAEQKEDRRDADLKTIICNYSETGAPDAPYSFHQVPVDKVGPYGFFAHDPVNL
+PLGGPSSGKGHATPYAERPTVLPILTLLDSRGSYRSQVELHGPCSDRVSPRQRRSITRDS
+DTPLSLMSVNHEELERSPSGFSISQDRDDYAFGPSGGSSWMREKRYLAEGETDTDTEPFT
+PSGSLPLSSTGDGISDSIWTPSGKPT
+>sp|Q2T9Z7|KLHL9_BOVIN Kelch-like protein 9 OS=Bos taurus OX=9913 GN=KLHL9 PE=2 SV=1
+MKVSLGNGEMGVSAHLQPCKAGTTRFFTSNTHSSVVLQGFDQLRIEGLLCDVTLVPGDGD
+EIFPVHRAMMASASDYFKAMFTGGMKEQDLMCIKLHGVNKVGLKKIIDFIYTAKLSLNMD
+NLQDTLEAASFLQILPVLDFCKVFLISGVSLDNCVEVGRIANTYNLIEVDKYVNNFILKN
+FPALLSTGEFLKLPFERLAFVLSSNSLKHCTELELFKAACRWLRLEDPRMDYAAKLMKNI
+RFPLMTPQDLINYVQTVDFMRTDNTCVNLLLEASNYQMMPYMQPVMQSDRTAIRSDSTHL
+VTLGGVLRQQLVVSKELRMYDERAQEWRSLAPMDAPRYQHGIAVIGNFLYVVGGQSNYDT
+KGKTAVDTVFRFDPRYNKWMQVASLNEKRTFFHLSALKGHLYAVGGRSAAGELATVECYN
+PRMNEWSYVAKMSEPHYGHAGTVYGGLMYISGGITHDTFQNELMCFDTDTDKWTQKAPMT
+TVRGLHCMCTVGDKLYVIGGNHFRGTSDYDDVLSCEYYSPTLDQWTPIAAMLRGQSDVGV
+AVFENKIYVVGGYSWNNRCMVEIVQKYDPEKDEWHKVFDLPESLGGIRACTLTVFPPEEN
+PGSPSRESPLSAPSDHS
+>sp|P0C0P5|NPS_BOVIN Neuropeptide S OS=Bos taurus OX=9913 GN=NPS PE=3 SV=1
+MIGSLKFNFILFLLISTMHMFWCHPISSSKVPGKSDYFVILLNSCPTRMDRRVGLDFLKP
+ILEKTLMKRSFRNGVGTGMKKTSFRRAKS
+>sp|Q2KI30|REEP2_BOVIN Receptor expression-enhancing protein 2 OS=Bos taurus OX=9913 GN=REEP2 PE=2 SV=1
+MVSWIISRLVVLIFGTLYPAYSSYKAVKTKNVKEYVKWMMYWIVFAFFTTAETLTDIVLS
+WFPFYFELKIAFVIWLLSPYTKGSSVLYRKFVHPTLSNKEKEIDEYITQARDKSYETMMR
+VGKRGLNLAANAAVTAAAKGQGVLSEKLRSFSMQDLTLIRDEDALPLQGPDGRLRASPGS
+LLDTIEDLGDDPTLSVRSGTNQADPRTEISEDDTGDKAPKRVKPIKKVPKPEPPASKTLK
+TRPKKKTSAGGDSA
+>sp|Q3ZBL5|PTH2_BOVIN Peptidyl-tRNA hydrolase 2, mitochondrial OS=Bos taurus OX=9913 GN=PTRH2 PE=2 SV=1
+MISRSLVMEYLTNPGALSLAAGVACGVCLGWGLRMRFGMLPKSSVRETNPDTETEASILG
+ESGEYKMILVVRNDLKMGKGKVAAQCSHAAVSAYKQIQRRNPELLKEWEYCGQPKVVVKA
+PDEETLVELLTHAKVLGLTVSLIQDAGRTQIAPGSRTVLGIGPGPADLIDKVTGHLKLY
+>sp|Q3ZBC0|RPAB4_BOVIN DNA-directed RNA polymerases I, II, and III subunit RPABC4 OS=Bos taurus OX=9913 GN=POLR2K PE=1 SV=1
+MDTQKDVQPPKQQPMIYICGECHTENEIKSRDPIRCRECGYRIMYKKRTKRLVVFDAR
+>sp|Q0P5J7|KRT35_BOVIN Keratin, type I cuticular Ha5 OS=Bos taurus OX=9913 GN=KRT35 PE=2 SV=2
+MASKCLKASFSSGSLKVPGGAGGGSARVSTIFSSSSCKLPSFSRGPRSFSACSVGLGKSS
+CRAASCLPALCLPSGGFATSYSMAGGWFGEGILTGNEKETMQFLNDRLASYLEKCGSWSG
+RTRSWRAASTSGVSNSALPVPDYQSYFQTIEELQKKTLCTKSENARLVVQIDNAKLAADD
+FRTKYETEVSMRQLVESDMNGLRRILDDLTLCKADLEAQVESLKEELLCLKKNHEEEVNS
+LRCQLGDRLNVEVDAAPPVDLNRVLNEMRCQYETLVENNRREAEDWFNTQTEELNQQVVS
+SSEQLQSYQAEIIELRRTVNALEIELQAQHSMRDALESTLAETEARYSSQLAQMQGLIGN
+VESQLAEIRCDLERQNQEYQVLLDVRARLECEINTYRGLLDSEDCKLPCNPCAPDHSPSK
+SCLPCLPAASCGPGMARTTCSPRPICVPCPGSRF
+>sp|Q08E52|PAK1_BOVIN Serine/threonine-protein kinase PAK 1 OS=Bos taurus OX=9913 GN=PAK1 PE=1 SV=1
+MSNNGLDIQDKPPAPPMRNTSTMIGAGSKDAGTLNHGSKPLPPNPEEKKKKDRFYRAILP
+GDKTNKKKEKERPEISLPSDFEHTIHVGFDAVTGEFTGMPEQWARLLQTSNITKSEQKKN
+PQAVLDVLEFYNSKKTSNSQKYMSFTDKSAEDYNSSNTLNVKAVSETPAVPPVSEDEDDD
+DDGTPPPVIAPRPEHTKSVYTRSVIEPLPITPTRDVATSPISPTENNTTPPDALTRNTEK
+QKKKPKMSDEEILEKLRSIVSVGDPKKKYTRFEKIGQGASGTVYTAMDVATGQEVAIKQM
+NLQQQPKKELIINEILVMRENKNPNIVNYLDSYLVGDELWVVMEYLAGGSLTDVVTETCM
+DEGQIAAVCRECLQALEFLHSNQVIHRDIKSDNILLGMDGSVKLTDFGFCAQITPEQSKR
+STMVGTPYWMAPEVVTRKAYGPKVDIWSLGIMAIEMIEGEPPYLNENPLRALYLIATNGT
+PELQNPEKLSAIFRDFLNRCLEMDVEKRGSAKELLQHQFLKIAKPLSSLTPLIAAAKEAT
+KNNH
+>sp|F1MS15|PKHA8_BOVIN Pleckstrin homology domain-containing family A member 8 OS=Bos taurus OX=9913 GN=PLEKHA8 PE=3 SV=2
+MEGVLYKWTNYLSGWQPRWFLLCGGILSYYDSPEDAWKGCKGSIQMAVCEIQVHSVDNTR
+MDLIIPGEQYFYLKARSVAERQRWLVALGSAKACLTDSRTQKEKEFAENTENLKTKMSEL
+RLYCDLLVQQVDKTKEVTTTGVSSSEEGIDVGTLLKSTCNTFLKTLEECMQIANAAFTSE
+LLYRTPPGSPQLAMLKSNKMKHPIVPIHNSLERQMELNSCENGSLNMEINDDEEILVRNK
+SSLCLKPAETDCSISSEENTDDNITVQGEMMKENGEESLGNHDSDLAQPELHSTSSSPES
+HWEEDQEVIPTFFSTMNTSFSDIELLEDSGIPTEAFLASCYAVVPVLDKLGPTVFAPVKM
+DLVGNIKKVNQKYITNKEEFTTLQKIVLHEVEADVAQVRNSATEALLWLKRGLKFLKGFL
+TEVKNGEKDIQTALNNAYGKTLRQHHGWVVRGVFALALRAAPSYEDFVAALTIKEGDHQK
+AAFSVGMQRDLSLYLPAMEKQLAILDTLYEVHGLESDEVV
+>sp|Q0VD26|MORN4_BOVIN MORN repeat-containing protein 4 OS=Bos taurus OX=9913 GN=MORN4 PE=2 SV=1
+MTLTKGSFTYSSGEEYRGEWKEGRRHGFGQLMFADGGTYLGHFENGLFNGFGVLTFSDGS
+RYEGEFAQGKFNGVGVFIRHDNMTFEGEFKNGRVDGLGLLTFPDGSHGIPRNEGLFENNK
+LLRREKCSAVVQRAQSASKSARSLTA
+>sp|Q58D34|PI16_BOVIN Peptidase inhibitor 16 OS=Bos taurus OX=9913 GN=PI16 PE=2 SV=1
+MHGSGSLLACLLPPLLLLGAAPGPAGALSEEEKHVMVELHNLYRTQVSPPATNMLQMRWD
+EELAAFAKAYAQQCVWGHNKERGRRGENLFAITGEGLDVPLAMEEWHHEREHYNLSAISC
+AAGQMCGHYTQVVWAKTERIGCGSHFCEKLQGVEETNIHLLVCNYEPPGNVKGQRPYQEG
+TPCSQCPLGYHCKNSLCEPIRGPEEAQDLSSLVPEAPSSLATEASSSRREGIDSSLATEP
+PPFLVTEVSGSLATKVLSSVETKAPSSLVTEDSPSMATKTPLSLATKVPSVLATHSLLSL
+DKRPATLLPKSTHDPIPKSADKEASSTRMPSRIPESSLHPKISLMGTREPLPLSQEEGEA
+EAELAHCSEILASVFPAQEKPGELQTTLKHKGHSSSKSLSNSPSASATANAVGGRTLALQ
+SSLPDAEGPGKHGFRSGSNASPGHVGGLLLGLLLLLPLVLAGIF
+>sp|Q7YRX0|RAX2_BOVIN Retina and anterior neural fold homeobox protein 2 OS=Bos taurus OX=9913 GN=RAX2 PE=2 SV=1
+MFLSPGEGPAAEGGGPGPGEEAPKKKHRRNRTTFTTYQLHQLERAFEASHYPDVYSREEL
+AAKVHLPEVRVQVWFQNRRAKWRRQERLESGSGAVAAPRLPEVPALPFARPPTMPLSLEP
+WLGPGPPAVPALPRLLGSGPGLQGSFGPHAFSPAFMDGFTLEEGSLRLLAKEHVQALDRA
+WPSA
+>sp|Q32LN5|RN138_BOVIN E3 ubiquitin-protein ligase RNF138 OS=Bos taurus OX=9913 GN=RNF138 PE=2 SV=1
+MAEELSAATSYTEDDFYCPVCQEVLKTPVRTAACQHVFCRKCFLTAMRESGIHCPLCRGN
+VTRRERACPERALDLENIMRKFSGSCRCCAKQIKFYRMRHHYKSCKKYQDEYGVSSIIPN
+FQISQDSVGNSNRSETSASDNIETYQENTGSSGHPTFKCPLCQESNFTRQRLLDHCNSNH
+LFQIVPVTCPICVSLPWGDPSQVTRNFVSHLNQRHQFDYGEFVNLQLDEETQYQTAVEES
+FQVNI
+>sp|Q3ZC34|RBMS2_BOVIN RNA-binding motif, single-stranded-interacting protein 2 OS=Bos taurus OX=9913 GN=RBMS2 PE=2 SV=1
+MLLSVTSRPGISTFGYNKNNKKPYVSLSQQMAPPSPSSSTPNSSSGSTAHDQLSKTNLYI
+RGLQPSTTDQDLVKLCQSYGKIVSTKAILDKTTNKCKGYGFVDFDSPSAAQKAVTALKAS
+GVQAQMAKQQEQDPTNLYISNLPLSMDEQELEGMLKPFGQVISTRILRDTSGTSRGVGFA
+RMESTEKCEAIITHFNGKYIKTPPGVPAPSDPLLCKFADGGPKKRQNQGKFVQNGRAWPR
+NGDMGGMALTYDPSTALQNGFYPAPYNLAPNRMLTQSALSPYLPSPMTSYQRVTQTSPLQ
+APSPSWMHHQSYLMQPSGSVLTPGMDHPISLQPASMVGPLTQQLGHLSLSSTGTYVPTAA
+AMQGAYLSQYTPVPSSSVSVEESGSQQSQVPVDAPSEHGVYSFQFNK
+>sp|A3KMV2|RD23A_BOVIN UV excision repair protein RAD23 homolog A OS=Bos taurus OX=9913 GN=RAD23A PE=2 SV=1
+MAVTITLKTLQQQTFKIRMEPDETVKVLKEKIEAEKGRDAFPVAGQKLIYAGKILSDDVP
+IRDYRIDEKNFVVVMVTKAKTSPGTSVPSEASPTATPESSTSFPSAPASGMSHPPPTARE
+DKSPSEESAPTTSPESVSGSVPSSGSGGREEDAASTLVTGSEYETMLTEIMSMGYERERV
+VAALRASYNNPHRAVEYLLTGIPGSPEPEHGSVQESQVSEQPSTEAGENPLEFLRDQPQF
+QNMRQVIQQNPALLPALLQQLGQENPQLLQQISRHQEQFIQMLNEPPGELVDISDVEGEV
+GAIGEEAPQMNYIQVTPQEKEAIERLKALGFPESLVIQAYFACEKNENLAANFLLSQNFD
+DE
+>sp|Q3MHZ2|SPSB3_BOVIN SPRY domain-containing SOCS box protein 3 OS=Bos taurus OX=9913 GN=SPSB3 PE=2 SV=1
+MARRPRSSRAWHFVLSAARRDADARAVALAGTANWGYDSDGQHSDSDSDPECASLPSSIP
+SAVPVTGESFCDCDSQSEAFCGSLHTAHRGRDCRCGEEDEYFDWVWDDLNKSSATLLSCD
+NRKVSFHMEYSCGTAAIRGTKELGEGQHFWEIKMTSPVYGTDMMVGIGTSDVDLDKYHHT
+FCSLLGRDEDSWGLSYTGLLHHKGDKTSFSSRFGQGSIIGVHLDTWHGTLTFFKNRKCIG
+VAATQLQNKRFYPMVCSTAAKSSMKVIRSCASVTSLQYLCCFRLRQLRPGSGDTLEGLPL
+PPGLKQVLHHKLGWVLSMSRQPPAPSPAANGPEPRRCQRKRCRRT
+>sp|A6H7I8|TBC14_BOVIN TBC1 domain family member 14 OS=Bos taurus OX=9913 GN=TBC1D14 PE=2 SV=2
+MTDGNLSTSTNGVALMGILDSRPGNHIQNLQHLTLKAPRSLSLPEYGPKLKLSALEDRHS
+LQSVDSGIPTLEIGNPEPVPCSVVHVRRKPSESEIVPERACQSACLLPSYAPPAPAGAER
+EQSVRKSSTFPRTGYDSVKLYSPASQTLQRSDNVSVCSVSSLSTELSTTLSVSNEDILDL
+VVTSSSSAIVTLENDDDPQFTDVTLSSTRETRDLQRDCAGETEEGRKLRLLGPFSHFFTR
+NSLARKQNARLDKQSDLGWKLFGKVPLGENAQKDAKKLQKEYEDKAGRPSKPPSPKQNVR
+KNLDFEPLSTTALILEDRPANLPAKPAEEAQKHRQQYEEMVVQAKKRELKEAQRRKKQLE
+ERCRLEESIGNAVLTWNNEILPNWETMWCSRKVRDLWWQGIPPSVRGKVWSLAIGNELNI
+THELFDICLARAKERWRSFSTGGSEAETEDAGFSAADREASLELIKLDISRTFPSLCIFQ
+QGGPYHDMLHSVLGAYTCYRPDVGYVQGMSFIAAVLILNLDTADAFIAFSNLLNKPCQMA
+FFRVDHGLMLTYFAAFEVFFEENLPKLFAHFKKNNLTPDIYLIDWIFTLYSKSLPLDLAC
+RVWDVFCRDGEEFLFRTALGLLRLFQDVLTRMDFIHVAQFLTRLPEDLPAEEFFASIASI
+QMQSRNKKWAQVLTALQKDSREMEKGSPSLRH
+>sp|Q0VC68|SEPT5_BOVIN Septin-5 OS=Bos taurus OX=9913 GN=SEPTIN5 PE=2 SV=1
+MSTGLRYKSKLATPEDKQDVDKQYVGFATLPNQVHRKSVKKGFDFTLMVAGESGLGKSTL
+VHSLFLTDLYKERKLLSAEERISQTVEILKHTVDIEEKGVKLKLTIVDTPGFGDAVNNSE
+CWKPITDYVDQQFEQYFRDESGLNRKNIQDNRVHCCLYFISPFGHGLRPVDVGFMKALHE
+KVNIVPLIAKADCLVPGEIRKLKERIREEIDKFGIHVYQFPECDSDEDEDFKQQDRELKE
+SAPFAVIGSNTVVEAKGQRVRGRLYPWGIVEVENQAHCDFVKLRNMLIRTHMHDLKDVTC
+DVHYENYRAHCIQQMTSKLTQDSRMESPIPILPLPTPDAETEKLIRMKDEELRRMQEMLQ
+KMKQQMQDQ
+>sp|Q2HJB8|TBA8_BOVIN Tubulin alpha-8 chain OS=Bos taurus OX=9913 GN=TUBA8 PE=2 SV=1
+MRECISVHVGQAGVQIGNACWELFCLEHGIQADGTFGAQASKIHDDDSFTTFFSETGNGK
+HVPRAVMVDLEPTVVDEVRAGTYRHLFHPEQLITGKEDAANNYARGHYTVGKESIDLVLD
+RIRKLTDACSGLQGFLIFHSFGGGTGSGFTSLLMERLSLDYGKKSKLEFAIYPAPQVSTA
+VVEPYNSILTTHTTLEHSDCAFMVDNEAIYDICRRNLDIERPTYTNLNRLISQIVSSITA
+SLRFDGALNVDLTEFQTNLVPYPRIHFPLVTYAPIISAEKAYHEQLSVAEITSSCFEPNS
+QMVKCDPRHGKYMACCMLYRGDVVPKDVNVAIAAIKTKRTIQFVDWCPTGFKVGINYQPP
+TVVPGGDLAKVQRAVCMLSNTTAIAEAWARLDHKFDLMYAKRAFVHWYVGEGMEEGEFSE
+AREDLAALEKDYEEVGTDSFEEENEGEEF
+>sp|Q5EA97|S38AB_BOVIN Putative sodium-coupled neutral amino acid transporter 11 OS=Bos taurus OX=9913 GN=SLC38A11 PE=2 SV=1
+MGYPGQRPVIPPQSHRDDRETLVSEHKHKGKTCRQSAAVFNVVNSIIGSGIIGLPYSMKQ
+AGFPLGILLLFWVSYVTDFSLILLIKGAALSGTDTYQSLVNRTFGFPGYLLLSVLQFLYP
+FIAMISYNIITGDTLSKVFQRIPGVDPENLLIGRHLIIVLSTVVFTLPLSLYRDIAKLGK
+ISLISTVLTTLILGIVVARGVSLGPHIPKTEDAWIFAKPNAVQAVGVMSFAFICHHNCFL
+VYGSLEEPTVAKWSHIIHVSTLISVFISILFATCGYLTFTGYTQGDLFENYCRNDDLVTF
+GRFCYGVTVILTYPIECFVTREVIANVFFGGNLSSVCHIIVTVVIITVATLVSLLIDCLG
+IVLELNGVLCAAPLIFIIPSACYLKLSEEPRTHSDKIMSCVMLPIGAVVMAVGFVMAVTS
+PQDCSHGQEMFYCSPDNFSLTNISISHFQLTTQLSILNVSIFQ
+>sp|Q32L40|TCPA_BOVIN T-complex protein 1 subunit alpha OS=Bos taurus OX=9913 GN=TCP1 PE=1 SV=1
+MEGPLSVFGDRSTGEAIRSQNVMAAASIANIVKSSLGPVGLDKMLVDDIGDVTITNDGAT
+ILKLLEVEHPAAKVLCELADLQDKEVGDGTTSVVIIAAELLKNADELVKQKIHPTSVISG
+YRLACKEAVRYISENLIINTDELGRDCLINAAKTSMSSKVIGINGDFFANLVVDAVLAIK
+YTDIRGQPRYPVNSINVLKAHGRSQMESMLINGYALNCVVGSQGMPKRIVNAKIACLDFS
+LQKTKMKLGVQVVITDPEKLDQIRQRESDITKERIQKILATGANVILTTGGIDDMCLKYF
+VEAGAMAVRRVLKRDLKRIAKASGATVLSTLANLEGEETFEASMLGQAEEVVQERICDDE
+LILIKNTKARTSASVILRGANDFMCDEMERSLHDALCVVKRVLESKSVVPGGGAVEAALS
+IYLENYATSMGSREQLAIAEFARSLPVIPNTLAVNAAQDSTDLVAKLRAFHNEAQVNPER
+KNLKWIGLDLVNGKPRDNKQAGVFEPTIVKVKSLKFATEAAITILRIDDLIKLHPESKDD
+KHGGYEDAVHSGALDA
+>sp|Q3ZBV8|SYTC_BOVIN Threonine--tRNA ligase, cytoplasmic OS=Bos taurus OX=9913 GN=TARS PE=2 SV=1
+MSEEQASSPSAKMGDEEKPVGAGEEKQKEGSKKKNKEGSGDGGRAELNPWPEYINTRLEM
+YNKLKAEHDSILAEKAEKDSKPIKVTLPDGKQVDAESWKTTPYQIACGISQGLADNTVIA
+KVNKAVWDLDRPLEEDCTLELLKFEDEEAQAVYWHSSAHIMGEAMERVYGGCLCYGPPIE
+NGFYYDMYLEEGGVSSNDFSSLETLCKKIIKEKQAFERLEVKKETLLEMFKYNKFKCRIL
+NEKVNTPTTTVYRCGPLIDLCRGPHVRHTGKIKTLKIHKNSSTYWEGKSDMETLQRIYGI
+SFPDPKMLKEWEKFQEEAKNRDHRKIGRDQELYFFHELSPGSCFFLPKGAYIYNTLIEFI
+RSEYRKRGFQEVVTPNIYNSRLWVTSGHWEHYSENMFSFEVEKELFALKPMNCPGHCLMF
+DHRPRSWRELPLRVADFGVLHRNELSGALTGLTRVRRFQQDDAHIFCAMEQIEDEIKGCL
+DFLRTVYSIFGFSFKLNLSTRPEKFLGDIEVWNQAEKQLENSLNDFGEKWELNPGDGAFY
+GPKIDIQIKDAIGRYHQCATIQLDFQLPIRFNLTFVSHDGDDKKKPVIIHRAILGSVERM
+IAILTENYGGKWPFWLSPRQVMVVPVGPTCDEYAQKVRQQFHNAKFMVDIDLDPGCTLNK
+KIRNAQLAQYNFILVVGEKEKTSGTVNIRTRDNKVHGERTISETIERLQQLKHSRSKQAE
+EEF
+>sp|Q2YDD4|S39AB_BOVIN Zinc transporter ZIP11 OS=Bos taurus OX=9913 GN=SLC39A11 PE=2 SV=1
+MLHGHSPVSQALLGTFFTWGLTAAGAALVFVFSSGQRRILDGSLGFAAGVMLAASYWSLL
+APAVEMAMSSGGFGSLAFLPVAIGFTLGAAFVYVADLLMPHWGAAEDPQTALALNLDPLL
+TKKSDAEGARMLFPESELSIRIGRAGLLSDKSENGEAYQRKRAVATDLAEGPAAPGPPRG
+SAAQPGGSSWRRIALLILAITIHNIPEGLAVGVGFGAVGKTASATFESARNLALGIGIQN
+FPEGLAVSLPLRGAGFSTWRAFWYGQLSGMVEPLAGVFGAFAVVLAEPILPYALAFAAGA
+MVYVIMDDIIPEAQISGNGKLASWASILGFVVMMSLDVGLG
+>sp|Q9BG99|TREX1_BOVIN Three-prime repair exonuclease 1 OS=Bos taurus OX=9913 GN=TREX1 PE=1 SV=1
+MGSRALPPGPVQTLIFLDLEATGLPFSQPKITELCLLAVHRYALEGLSAPQGPSPTAPVP
+PRVLDKLSLCVAPGKVCSPAASEITGLSTAVLAAHGRRAFDADLVNLIRTFLQRQPQPWC
+LVAHNGDRYDFPLLRAELALLGLASALDDAFCVDSIAALKALEPTGSSSEHGPRKSYSLG
+SVYTRLYGQAPPDSHTAEGDVLALLSVCQWRPRALLRWVDAHAKPFSTVKPMYVITTSTG
+TNPRPSAVTATVPLARASDTGPNLRGDRSPKPAPSPKMCPGAPPGEGLLAPLGLLAFLTL
+AVAMLYGLSLAMPGQ
+>sp|Q2KJ32|SBP1_BOVIN Methanethiol oxidase OS=Bos taurus OX=9913 GN=SELENBP1 PE=1 SV=1
+MATKCGKCGPGYPSPLEAMKGPREELVYLPCIYRNTGTEAPDYLATVDVNPKSPQYSQVI
+HRLPMPNLKDELHHSGWNTCSSCFGDSTKSRTKLLLPSLISSRVYVVDVATEPRAPKLHK
+VVEPEEIHAKCDLSYLHTSHCLASGEVMISALGDPRGNGKGGFVLLDGETFEVKGTWEQP
+GGAAPMGYDFWYQPRHNVMISTEWAAPNVLRDGFNPADVEAGLYGQHLYVWDWQRHERVQ
+TLTLQDGLIPLEIRFLHNPAADQGFVGCALGSNIQRFYKNQGGTWSVEKVIQVPPKKVKG
+WILPEMPSLITDILLSLDDRFLYFSNWLHGDLRQYDISDPKRPRLVGQIFLGGSIVKGGP
+VQVLEDQELKCQPEPLVVKGKRVAGGPQMIQLSLDGTRLYVTTSLYSAWDKQFYPDLIRE
+GSVMLQIDVDTVRGGLKLNPNFLVDFGKEPLGPALAHELRYPGGDCSSDIWL
+>sp|Q3ZBH0|TCPB_BOVIN T-complex protein 1 subunit beta OS=Bos taurus OX=9913 GN=CCT2 PE=1 SV=3
+MASLSLAPVNIFKAGADEERAETARLSSFIGAIAIGDLVKSTLGPKGMDKILLSSGRDAS
+LMVTNDGATILKNIGVDNPAAKVLVDMSRVQDDEVGDGTTSVTVLAAELLREAESLIAKK
+IHPQTIIAGWREATKAARQALLNSAVDHGSDEVKFRQDLMNIAGTTLSSKLLTHHKDHFT
+KLAVEAVLRLKGSGNLEAIHVIKKLGGSLADSYLDEGFLLDKKIGVNQPKRIENAKILIA
+NTGMDTDKIKIFGSRVRVDSTAKVAEIEHAEKEKMKEKVERILKHGINCFINRQLIYNYP
+EQLFGAAGVMAIEHADFVGVERLALVTGGEIASTFDHPELVKLGSCKLIEEVMIGEDKLI
+HFSGVALGEACTIVLRGATQQILDEAERSLHDALCVLAQTVKDSRTVYGGGCSEMLMAHA
+VTQLASRTPGKEAVAMESYAKALRMLPTIIADNAGYDSADLVAQLRAAHSEGKTTAGLDM
+KEGTIGDMSVLGITESFQVKRQVLLSAAEAAEVILRVDNIIKAAPRKRVPDHHPC
+>sp|Q2KIA2|TR112_BOVIN Multifunctional methyltransferase subunit TRM112-like protein OS=Bos taurus OX=9913 GN=TRMT112 PE=2 SV=1
+MRLLTHNLLSSHVRGVGPRGFPLRLQATEVRINPVEFNPDFIVRMIPKVEWAALLEAADH
+LHLIQVPKEPIQGYEHNEEFLRKMHHVLLEVEVLEGTLQCPESGRVFPISRGIPNMLLSD
+EETET
+>sp|P32850|STX1A_BOVIN Syntaxin-1A OS=Bos taurus OX=9913 GN=STX1A PE=1 SV=1
+MKDRTQELRTAKDSDDDDDVTVTVDRDRFMDEFFEQVEEIRGFIDKISENVEEVKRKHSA
+ILASPNPDEKTKEELEELMSDIKKTANKVRSKLKSIEQSIEQEEGLNRSSADLRIRKTQH
+STLSRKFVEVMSEYNATQSDYRERCKGRIQRQLEITGRTTTSEELEDMLESGNPAIFASG
+IIMDSSISKQALSEIETRHSEIIKLENSIRELHDMFMDMAMLVESQGEMIDRIEYNVEHS
+VDYVERAVSDTKKAVKYQSKARRKKIMIVICCVVLGIVIASTFGGIFG
+>sp|P35466|S10A4_BOVIN Protein S100-A4 OS=Bos taurus OX=9913 GN=S100A4 PE=1 SV=2
+MAYPLEKALDVMVSTFHKYSGKEGDKFKLNKSELKELLTRELPSFLGKRTDETAFQKLMS
+NLDCNKDNEVDFQEYCVFLSCIAMMCNEFFEGFPDKQPRKK
+>sp|Q2KI74|TRADD_BOVIN Tumor necrosis factor receptor type 1-associated DEATH domain protein OS=Bos taurus OX=9913 GN=TRADD PE=2 SV=1
+MAAGPNGLEEWVGSAYLFVESSLDKVVLSDAYAHQQQKVAMYGALQTALAESGGSPDVLQ
+MLKIHRSDPQLIVQLRFSGRQACSRFLRAYREGALRATLQGCLARALALNSVPLQLELRA
+GAEQLDALLTNEERCLNCICAQKPDRLRDEELTELENALRNLTCGSAGGQGSDVQGTPAP
+LQSLAPSPPEEKPPPPQPGQTFLFQGQPIVNRPLNLQDQQKFARSVGLKWRKVGRSLQRS
+CRALRDPALDSLAYEYERDGLYEQAFQLLRRFVQAEGRRATLQRLVEALEENELTSLAED
+LLGLANPDGSLA
+>sp|Q3SZL3|MBOA5_BOVIN Lysophospholipid acyltransferase 5 OS=Bos taurus OX=9913 GN=LPCAT3 PE=2 SV=1
+MASAAEGDMEAELTRGLLWGFQDLSLNKLATSLGASEQALRLIISIFLGYPFALFYRRYL
+FYKDSYLIHLFHTFTGLSIAYYNFGTQLYHSLLCIVLQFLILRLMGRTITAVLTTFCVQM
+GYLLAGYYNTATGTYDIKWTMPHCVLTLKLIGLAMDYYDGGKDQKSLTSEQQIYAIWGVP
+SLLEISGFSYFYGAFLVGPQFSMNHYMKLVRGELTDVPGKIPNSTIPALRRLALGLVYLV
+GYTLLSPHITEDYLLSDDYENGSFWFRCMYMLIWGKFVLYKYVTCWLVTEGVCILTGLGF
+NGLDEYGTAKWDACANMKVWLFETTPRFTGTIASFNINTNAWVARYFFKRLKFLGNKVLS
+QGLSLLFLALWHGLHSGYLVCFQMEFLIVIVERQAASLIRDSPVLSRLASITVLQPLYYL
+AQQTIHWLFMGYSMTAFCLFTWDKWMKVYKSIYFLGHVFFLSLLFILPYVRKVMVPRKEK
+LKKME
+>sp|Q28103|MFAP3_BOVIN Microfibril-associated glycoprotein 3 (Fragment) OS=Bos taurus OX=9913 GN=MFAP3 PE=2 SV=1
+FRTEGAEKLQKAFEIAKRIPIITSAKTLELAKVTQFKTMEFARYIEELARSVPLPPLILN
+CRAFVEEMFEAVQVDDPDEMGERIKERPALNAQDGIFVINPEMGRSNSPGGDSDDGSLSE
+QGQEIAVQVSVHPQSETKSIDTDSQDSSHFSPPDDTGSTESNSNYKDGSFESCQL
+>sp|A0JNC3|INSI1_BOVIN Insulin-induced gene 1 protein OS=Bos taurus OX=9913 GN=INSIG1 PE=2 SV=1
+MPRLDDHLWRGPCAKGTKHRSHPRASARGLVAKAGEMINSSGSGPSLLAAHGALGTDPAH
+GPQSAGVGGQGSSSHVNSWHHHLVQRSLVLFSVGVVLALVLNLLQVQRNVTLFPDEVIAT
+IFSSAWWVPPCCGTAAAVVGLLYPCIDSHLGEPHKFKREWASVMRCVAVFVGINHASAKL
+DFANNVQLSLTLAALSLGLWWTFDRSRSGLGLGITIAFLATLITQLLVYNGVYQYTSPDF
+LYIRSWLPCIFFSGGVTVGNIGRQLAMGVPEKPHSD
+>sp|Q29458|LIPG_BOVIN Gastric triacylglycerol lipase OS=Bos taurus OX=9913 GN=LIPF PE=1 SV=1
+MWWLLVTVCFIHMSGNAFCFLGKIAKNPEASMNVSQMISYWGYPSEMHKVITADGYILQV
+YRIPHGKNNANHLGQRPVVFLQHGLLGSATNWISNLPKNSLGFLLADAGYDVWLGNSRGN
+TWAQEHLYYSPDSPEFWAFSFDEMAEYDLPSTIDFILRRTGQKKLHYVGHSQGTTIGFIA
+FSTSPTLAEKIKVFYALAPVATVKYTKSLFNKLALIPHFLFKIIFGDKMFYPHTFLEQFL
+GVEMCSRETLDVLCKNALFAITGVDNKNFNMSRLDVYIAHNPAGTSVQNTLHWRQAVKSG
+KFQAFDWGAPYQNLMHYHQPTPPIYNLTAMNVPIAVWSADNDLLADPQDVDFLLSKLSNL
+IYHKEIPNYNHLDFIWAMDAPQEVYNEIVSLMAEDKK
+>sp|A2VDQ5|NEUL_BOVIN Neurolysin, mitochondrial OS=Bos taurus OX=9913 GN=NLN PE=2 SV=1
+MIVQCLLAVRGLHRVGGSRILFRMTLGREEMSPLQAMSSYMAAGRNVLRWDLSPEQIKTR
+TEELISQTKQVYDAIGMRDIKEVTYENCLQALADIEVKYIVERTMLDFPQHVSSDKEVRA
+ASTEADKRLSRFDIEMSMRQDIFLRIVHLKETCDLEKIKPEARRYLEKSVKMGKRNGLHL
+PEQVQNEIKAMKKRMSELCIDFNKNLNEDDTFLVFSKAELGALPDDFINSLEKTDGDKYK
+ITLKYPHYFPVMKKCCVPETRRKMEMAFNTRCKEENTVILQQLLPLRAEVARLLGYSTHA
+DFVLEMNTAKSTRHVTAFLDDLSQKLKPLGEAEREFILNLKKKECKERGFEYDGKINAWD
+LHYYMTQTEELKYSVDQETLKEYFPIEVVTEGLLNIYQELLGLSFEQVTDAHVWNKSVTL
+YTVKDKATGEVLGQFYLDLYPREGKYNHAACFGLQPGCLLPDGSRMMSVAALVVNFSQPL
+AGRPSLLRHDEVRTYFHEFGHVMHQICAQTDFARFSGTNVETDFVEVPSQMLENWVWDAD
+SLRRLSKHYRHGSPITDDLLEKLVASRLVNTGLLTLRQIVLSKVDQSLHTNTALDAASEY
+AKYCTEILGVAATPGTNMPATFGHLAGGYDGQYYGYLWSEVFSMDMFYSCFKKEGIMNPE
+VGMKYRNLILKPGGSLDGMDMLQNFLTREPNQKAFLMSRGLPAP
+>sp|P11024|NNTM_BOVIN NAD(P) transhydrogenase, mitochondrial OS=Bos taurus OX=9913 GN=NNT PE=1 SV=3
+MANLLKTVVTGCSCPFLSNLGSCKVLPGKKNFLRTFHTHRILWCSAPVKPGIPYKQLTVG
+VPKEIFQNEKRVALSPAGVQALVKQGFNVVVESGAGEASKFSDDHYRAAGAQIQGAKEVL
+ASDLVVKVRAPMLNPTLGVHEADLLKTSGTLISFIYPAQNPDLLNKLSKRKTTVLAMDQV
+PRVTIAQGYDALSSMANIAGYKAVVLAANHFGRFFTGQITAAGKVPPAKILIVGGGVAGL
+ASAGAAKSMGAIVRGFDTRAAALEQFKSLGAEPLEVDLKESGEGQGGYAKEMSKEFIEAE
+MKLFAQQCKEVDILISTALIPGKKAPILFNKEMIESMKEGSVVVDLAAEAGGNFETTKPG
+ELYVHKGITHIGYTDLPSRMATQASTLYSNNITKLLKAISPDKDNFYFEVKDDFDFGTMG
+HVIRGTVVMKDGQVIFPAPTPKNIPQGAPVKQKTVAELEAEKAATITPFRKTMTSASVYT
+AGLTGILGLGIAAPNLAFSQMVTTFGLAGIVGYHTVWGVTPALHSPLMSVTNAISGLTAV
+GGLVLMGGHLYPSTTSQGLAALATFISSVNIAGGFLVTQRMLDMFKRPTDPPEYNYLYLL
+PAGTFVGGYLASLYSGYNIEQIMYLGSGLCCVGALAGLSTQGTARLGNALGMIGVAGGLA
+ATLGGLKPCPELLAQMSGAMALGGTIGLTIAKRIQISDLPQLVAAFHSLVGLAAVLTCIA
+EYIIEYPHFATDAAANLTKIVAYLGTYIGGVTFSGSLVAYGKLQGILKSAPLLLPGRHLL
+NAGLLAGSVGGIIPFMMDPSFTTGITCLGSVSALSAVMGVTLTAAIGGADMPVVITVLNS
+YSGWALCAEGFLLNNNLLTIVGALIGSSGAILSYIMCVAMNRSLANVILGGYGTTSTAGG
+KPMEISGTHTEINLDNAIDMIREANSIIITPGYGLCAAKAQYPIADLVKMLSEQGKKVRF
+GIHPVAGRMPGQLNVLLAEAGVPYDIVLEMDEINHDFPDTDLVLVIGANDTVNSAAQEDP
+NSIIAGMPVLEVWKSKQVIVMKRSLGVGYAAVDNPIFYKPNTAMLLGDAKKTCDALQAKV
+RESYQK
+>sp|Q3T025|RL17_BOVIN 60S ribosomal protein L17 OS=Bos taurus OX=9913 GN=RPL17 PE=2 SV=3
+MVRYSLDPENPTKSCKSRGSNLRVHFKNTRETAQAIKGMHIRKATKYLKDVTLKKQCVPF
+RRYNGGVGRCAQAKQWGWTQGRWPKKSAEFLLHMLKNAESNAELKGLDVDSLVIEHIQVN
+KAPKMRRRTYRAHGRINPYMSSPCHIEMILTEKEQIVPKPEEEVAQKKKISQKKLKKQKL
+MARE
+>sp|Q1JP73|QSPP_BOVIN Queuosine salvage protein OS=Bos taurus OX=9913 PE=2 SV=1
+MDGLLTPRESAKFIAENSRDVFIDDGGVRRVAELLLAKATGPELRIEGWKAIHELNPRGT
+DEAAVNWVFVTDTLNFSFWSESDEYKCQVGFGGKTYSGYWSLCAAVNRALDEGIPITSAS
+YYATVTLDEVRHILRSDTDVPMPLIEERHRILNETGKILLEKFGGSFLNCVQKSDKSAQK
+LLHLVVENFPSYRDVTQFEGKRISFYKRAQILVADTWSVLEGKGDGCFKDISSITMFADY
+RLPQVLVYLGALKYSNELLEKLLKGEMLFYGNRQEVEIRGCSVWCVELIRDCLLELIEKK
+GEKTTGEINSILLDYYLWDYARDHREDMKGIPFHHTRCIYY
+>sp|A4IFK7|RIPL2_BOVIN RILP-like protein 2 OS=Bos taurus OX=9913 GN=RILPL2 PE=2 SV=1
+MEEPPLREEEEEEEEDEAGPEGALGKSPLQLTAEDVYDISYVMGRELMALGSDPRVTQLQ
+FKIVRVLEMLETLVNEGNLTVEELRMERDNLRKEVEGLRREGSAAGPEVNLGPDKMVVDL
+TDPNRPRFTLQELRDVLQERNKLKSQLLVVQEELQCYKSGLIPPREGPGGRREKEALFPR
+GSNANSNKEEKTIIRKLFSFRSGKQT
+>sp|Q3T0U2|RL14_BOVIN 60S ribosomal protein L14 OS=Bos taurus OX=9913 GN=RPL14 PE=2 SV=3
+MVFRRFVEVGRVAYVSFGPHAGKLVAIVDVIDQNRALVDGPCTQVRRQAMPFKCMQLTDF
+ILKFPHSARQKYVRKAWEKADINAKWAATRWAKKIEAREKKAKMTDFDRYKVMKARKMRN
+RLIKIEVKKLQKAALLKASPKKALAAKGAAAVAAAAAAKVPAKKITTEGKKAPAQKAPAQ
+KAAGQKAAPPPKTQKGQKAPSQKAPAPKASGKKA
+>sp|Q5W9D7|QKI_BOVIN Protein quaking OS=Bos taurus OX=9913 GN=QKI PE=2 SV=1
+MVGEMETKEKPKPTPDYLMQLMNDKKLMSSLPNFCGIFNHLERLLDEEISRVRKDMYNDT
+LNGSTEKRSAELPDAVGPIVQLQEKLYVPVKEYPDFNFVGRILGPRGLTAKQLEAETGCK
+IMVRGKGSMRDKKKEEQNRGKPNWEHLNEDLHVLITVEDAQNRAEIKLKRAVEEVKKLLV
+PAAEGEDSLKKMQLMELAILNGTYRDANIKSPALAFSLAATAQAAPRIITGPAPVLPPAA
+LRTPTPAGPTIMPLIRQIQTAVMPNGTPHPTAAIVPPGPEAGLIYTPYEYPYTLAPATSI
+LEYPIEPSGVLGAVATKVRRHDMRVHPYQRIVTADRAATGN
+>sp|P09867|ROA1_BOVIN Heterogeneous nuclear ribonucleoprotein A1 OS=Bos taurus OX=9913 GN=HNRNPA1 PE=1 SV=2
+MSKSESPKEPEQLRKLFIGGLSFETTDESLRSHFEQWGTLTDCVVMRDPNTKRSRGFGFV
+TYATVEEVDAAMNARPHKVDGRVVEPKRAVSREDSQRPGAHLTVKKIFVGGIKEDTEEHH
+LRDYFEQYGKIEVIEIMTDRGSGKKRGFAFVTFDDHDSVDKIVIQKYHTVNGHNCEVRKA
+LSKQEMASASSSQRGRSGSGNFGGGRGGGFGGNDNFGRGGNFSGRGGFGGSRGGGGYGGS
+GDGYNGFGNDGSNFGGGGSYNDFGNYNNQSSNFGPMKGGNFGGRSSGPYGGGGQYFAKPR
+NQGGYGGSSSSSSYGSGRRF
+>sp|O46470|RGS7_BOVIN Regulator of G-protein signaling 7 OS=Bos taurus OX=9913 GN=RGS7 PE=1 SV=1
+MAQGNNYGQTSNGVADESPNMLVYRKMEDVIARMQDEKNGIPIRTVKSFLSKIPSVFSGS
+DIVQWLIKNLTIEDPVEALHLGTLMAAHGYFFPISDHVLTLKDDGTFYRFQTPYFWPSNC
+WEPENTDYAVYLCKRTMQNKARLELADYEAESLARLQRAFARKWEFIFMQAEAQAKVDKK
+RDKIERKILDSQERAFWDVHRPVPGCVNTTEVDIKKSSRMRNPHKTRKSVYGLQNDIRSH
+SPTHTPTPETKPPTEDELQQQIKYWQIQLDRHRLKMSKVADSLLSYTEQYVEYDPFLAPP
+DPSNPWLSDDTTFWELEASKEPSQQRVKRWGFGMDEALKDPVGREQFLKFLESEFSSENL
+RFWLAVEDLKKRPIREVPSRVQEIWQEFLAPGAPSAINLDSKSYDKTTQNVKEPGRYTFE
+DAQEHIYKLMKSDSYPRFIRSSAYQELLQAKKKGKSLTSKRLTSLVQSY
+>sp|A7E379|PTPC1_BOVIN Protein tyrosine phosphatase domain-containing protein 1 OS=Bos taurus OX=9913 GN=PTPDC1 PE=2 SV=2
+MQDPPRRLSAVPFLSSFFEGRRHSASDPILRLQQGRRSSAAKVLSSSSLQVMVAMSSVSC
+AERNPTCPERKRNSGRPTPKYTKVGERLRHVIPGHVACSMACGGKACKYENAARWSEQEQ
+AVKGIYSSWVTDNILAMARPSTEVLEKFCIIEQFRSHGIKSIINLQRPGEHASCGNPLEQ
+ESGFTYLPEAFMEAGIYFYNFGWKDYGVASLTTILDMVKVMTFALQEGKVAIHCHAGLGR
+TGVLIACYLVFATRMTADQAIIFVRAKRPNSIQTRGQLLCVREFTQFLIPLRNIFSCCDP
+KAHAVTLAQYLIRQRHLLHGYEARLLKHIPKIIHLVCKLLLDLAENRPVVTEVADIPGLS
+AEIEKTVSEMITRQLDKELLRHDSDASDSFTPTAVVMDFENQDVVLSSEQELDPLWKRRN
+VECLQPLAHLKRQLSYSDSDLKRAESLLEQGRTPWTVPAQALLCHNPRQQKHISRCYSPQ
+SPQLDLNKETLVRNTFSFWNHTKFGVPEGLKDDGSLIFHRTSIPKEVQRSRTFSSGISDS
+YNPGEPVTPNSANIPKGPNSSQQKVYHCECESHGGCGPSSVAEDGETCRRPVDCGSSPKA
+QFLGNETQNSKYLSEVAAHMPLQSELSVEARRILAAKALANLNEIAEKEEVKKKVEMWQK
+ELNSRDGAWERICGEKDPFILCSLMWSWVEQLKEPVITKEDMDMLVDRCADASEALFLLE
+KGQQQTILCVLHCIVSLQTIPADVEEAVLARAIKAFTKVNFDSENGPIVYNTLKKIFKRT
+LEEKRKMTKDNPEPGI
+>sp|Q17Q97|REX1B_BOVIN Required for excision 1-B domain-containing protein OS=Bos taurus OX=9913 GN=REX1BD PE=2 SV=1
+MITAEAASESTVPAVPGDTAATGVPEHEELVWPWKDAPIRELVQRIHQLQAERAQAFRRL
+EEGHRQYLSSGPPYDFPRYRSTVHEVTQVFAAASREVLAVEAELAGPRAQPLLASHVRSL
+QQLEETRLTTVALLQLMGTPELTGQEDSLQMHQLKMKVIKTMEAISEVLQDLRFDAESAE
+>sp|Q2KIR4|RP25L_BOVIN Ribonuclease P protein subunit p25-like protein OS=Bos taurus OX=9913 GN=RPP25L PE=2 SV=1
+MEHYRKAGSVELPAPSPMPQLPPDTLEMRVRAGSKIRNLLGLALERLEGGSARHVVFSGS
+GRAAGKAVSCAEIVKRRVPGLYQLTKLRFLQTEDSWVPTSPDTGLDPLTVRSHVPAVWVL
+LSRDPLDPNEYGYQPPGAPPDLGPTPASSCGPQPRRRARDTRF
+>sp|Q32L22|RPAC1_BOVIN DNA-directed RNA polymerases I and III subunit RPAC1 OS=Bos taurus OX=9913 GN=POLR1C PE=2 SV=1
+MAAAQAVEEMRTRVVLGEFGVRNVHTTDFPGNYSGYDDAWDQDRFEKNFRVDVVHMDENS
+LEFDMVGIDAAIANAFRRILLAEVPTMAVEKVLVYNNTSIVQDEILAHRLGLIPIHADPR
+LFEYRNQGDEGGTEIDTLQFRLQVRCTRNPHAAKDSSDPNELYVNHRVYTRHMTWVPLGN
+QADLFPEGAIRPVHDDILIAQLRPGQEIDLLMHCVKGIGKDHAKFSPVATASYRLLPDIT
+LLEPVEGEAAEELSRCFSPGVIEVQEIQGKKVARVANPRLDTFSREVFRNEKLKKVVRLA
+RVRDHFIFSVESTGVLPPDVLVSEAIKVLMGKCQRFLDELDAVQMD
+>sp|F1MK05|RN170_BOVIN E3 ubiquitin-protein ligase RNF170 OS=Bos taurus OX=9913 GN=RNF170 PE=3 SV=2
+MAKYQGEVQSLKLDDDSVIEGVSDQVLVAVVVSLALIATLVYALFSRNAHQNIHPENQEL
+VRVLREQLQTEQDAPAAARQQFYTDMYCPICLHQASLPVETNCGHLFCGTCIVAYWRYGS
+WLGAISCPICRQTVTLLLPVFGENDQSQDVVSLHQDISDYNRRFSGQPRSIMERIMDLPT
+LLRHAFREMFSVGGLFWMFRIRIILCLMGAFFYLISPLDFVPEALFGILGFLDDFFVIFL
+LLIYISIMYREVITQRLNR
+>sp|Q3ZBF3|RM38_BOVIN 39S ribosomal protein L38, mitochondrial OS=Bos taurus OX=9913 GN=MRPL38 PE=1 SV=2
+MAAPWWRVVLNGSRNWRGFSTSAALSRRAAPLGPMPNEDIDVSNLERLKKYRSFDRYRRR
+AEREARDPHWWRTYREHFGEESDPKDTVDIGLPPPKVCRTQQLLERKRVLRELRTSVEEE
+RASRLRTASIPLEAVRAEWERTCGPYHKQRLAEYYGLYRDLFHGATFVPRVPLHVAYAIG
+EDDLVPVYYGNEVTPTEAAQPPEVTYEADEGSMWTLLLTNLDGHLLEPDAEYVHWLVTNI
+PGSRVAEGEETCPYLPPFPARGSGFHRFAFLLFKQDKPVDFSGDTRPSPCYQLAQRTFHT
+FDFYKKHQDAMTPAGLAFFQCRWDDSVTHIFHQLLDMREPVFEFVRPPPYHPKQKCFPHR
+QPLRYLDRYRDSHEPTYGFY
+>sp|P58073|PTHR_BOVIN Parathyroid hormone-related protein OS=Bos taurus OX=9913 GN=PTHLH PE=2 SV=1
+MLWRLVQQWSVAVFLLSYSVPSCGRSVEELGRRLKRAVSEHQLLHDKGKSIQDLRRRFFL
+HHLIAEIHTAEIRATSEVSPNSKPAPNTKNHPVRFGSDDEGKYLTQETNKVETYKEQPLK
+TPGKKKKSKPGKRKEQEKKKRRTRSAWLTSYVAGTGLEEDYLSDISATSLELNSRRH
+>sp|Q5EA36|RBM14_BOVIN RNA-binding protein 14 OS=Bos taurus OX=9913 GN=RBM14 PE=2 SV=1
+MKIFVGNVDGADTTPEELAALFAPYGTVMSCAVMKQFAFVHMRENAGAVRAIEALHGHEL
+RPGRALVVEMSRPRPLNTWKIFVGNVSAACTSQELRSLFERRGRVIECDVVKDYAFVHME
+KEADAKAAIAQLNGKEVKGKRINVELSTKGQKKGPGLAIQSGDKTKKPGAGDTAFPGTGG
+FSATFDYQQAFGNSTGGFDGQARQPTPPFFGRDRSPLRRSPPRASYVAPLTAQPATYRAQ
+PSVSLGAAYRAQPSASLGVGYRTQPMTAQAASYRAQPSVSLGAPYRGQLASPSSQSAAAS
+SLGPYGGAQPSASALSSYGGQPAAASSLNSYGAQGSSLASYGNQPSSYGAQAASSYGVRA
+AASSYNTQGAANSLGSYGAQAASYGAQSAASSLAYGAQAASYNAQPSASYNAQSAPYAAQ
+QAASYSSQPAAYVAQPATAAAYASQPAAYAAQATTPMAGSYGAQPVVQTQLNSYGAQASM
+GLSGSYGAQSAAAATGSYGAAAAYGAQPSATLAAPYRTQSSASLAASYAAQQHPQAAASY
+RGQPGNAYDGTGQPSAAYLSMSQGVVANANSTPPPYERTRLSPPRASYDDPYKKAVAMSK
+RYGSDRRLAELSDYRRLSESQLSFRRSPTKSSLDYRRLPDAHSDYARYSGSYNDYLRAAQ
+MHSGYQRRM
+>sp|Q32LP7|SKAP2_BOVIN Src kinase-associated phosphoprotein 2 OS=Bos taurus OX=9913 GN=SKAP2 PE=2 SV=1
+MPNPSSISAPYPLPEEIRNLLADVETFVADILRGENLSKKAKEKRDALVKKIKDVKSIYL
+QESQDKGDAEDGEEYDDPFAGPPDTISLASERYDKDDEAPSDGNQFPPIAAQDLPFVLKA
+GYLEKRRKDHSFLGFEWQKRWCALSKTVFYYYGSDKDKQQKGEFAIDGYNVRMNNTLRKD
+GKKDCCFEISAPDKRIYQFTAASPKDAEEWVQQLNFVLQDMGSDVIPEDDEERGELYDDV
+DHPLPSSSPTRSLPIDDEIYEELPEEEEDGALVKVEGQRKMSQDSVHHTTGDKSTNYANF
+YQGLWDCTGALSDELSFKRGDVIYILSKEYNRYGWWVGEMKGAIGLVPKAYVMEMYDI
+>sp|A7MAZ4|TAF8_BOVIN Transcription initiation factor TFIID subunit 8 OS=Bos taurus OX=9913 GN=TAF8 PE=2 SV=1
+MADAAATAGAAGSGTRSGSKQSTNPADNYHLARRRTLQVVVSSLLTEAGFESAEKASVET
+LTEMLQSYISEIGRSAKSYCEHTARTQPTLSDIVVTLVEMGFNVDTLPAYAKRSQRMVIT
+APPVTNQPVTPKALTAGQNRPHPPHIPSHFPEFPDPHTYIKTPTYREPVSDYQVLREKAA
+SQRRDVERALTRFMAKTGETQSLFKDDVSTFPLIAARPFTIPYLTALLPSELEMQQMEET
+DSSEQDEQTDTENLPLHISPDDSGAEKENTSVLQQNPSLSGSRNGEESIIDNPYLRPVKK
+PKIRRKKSLS
+>sp|Q08E24|SARAF_BOVIN Store-operated calcium entry-associated regulatory factor OS=Bos taurus OX=9913 GN=SARAF PE=2 SV=1
+MAAAGGPAAAGRCALSSLLLLLLVVGTAQCWDERDRILLRDIKALTLYYDRYTTSRRLEP
+IPQLKCVGGTAGCDSYTPKVIQCQNRGWDGYDVQWECKTDLDVAYKFGKTVVSCEGYESS
+EDQYVLRGSCGLEYQLDYTELGLKKLRESGKEHGFNSFSNYYSKLYSPESSSLGGVVTIV
+VLLAIAFGVYKFFLSDGHESPPPYSEDPPYSHRYQRFSSSAGPPPAGFKSEFTGPHGATA
+GFGSAFTGQQGHEHSGPGFWTGLGTGGILGYLFGSNRAATPFSDSWYPSYPPSYTSTWNS
+RAYSPLRGGPGSSSACSGSEPRTRTASGYGGTRRR
+>sp|Q2HJ88|RTCA_BOVIN RNA 3'-terminal phosphate cyclase OS=Bos taurus OX=9913 GN=RTCA PE=2 SV=1
+MAGPRVEVDGGIMEGGGQILRVSTALSCLLGLPLRVQKIRAGRSTPGLRPQHLSGLEMIR
+DLCDGQLEGAEIGSTEITFTPEKIKGGVHTADTKTAGSVCLLMQVSMPCVLFAACPSELR
+LKGGTNAEMAPQIDYTAMVFKPIVEKFGFTFNCDIKMRGYYPKGGGEVIVRMSPVKQLSP
+INLTDRGCVTKIYGRAFVAGVLPFKVAKDMAAAAVRCIRKEIRDLYVNIQPVQEPKDQAF
+GNGNGIIIIAETSTGCLFAGSSLGKRGVNADKVGIEAAEMLLANLRHGGAVDEYLQDQLI
+IFMALASGISRIKTGPVTLHTQTAIHFAEQLAKAKFTVKKSEDEEDASKDTYIIECQGIG
+MTNPNL
+>sp|Q2TBS2|RM21_BOVIN 39S ribosomal protein L21, mitochondrial OS=Bos taurus OX=9913 GN=MRPL21 PE=1 SV=1
+MAAAVAARALPVTFGRLAFACSRSVLRASGPGAASQWSASRRFSSQSASSPQGYVPKTSL
+SSPPWPEVVLPDPAEEARHHAEVVEKVNELIAGGQYGRLFAVVHFASHQWKVTSEDLILI
+ENKLDIACGERIRMEKVLLVGADDFTLLGRPLLGKDLVRVEATVIEKTESWPRVNMRFQK
+RKNYKRKRIIVNPQTVLRINTIEIAPRLC
+>sp|Q3ZCC5|RBM18_BOVIN Probable RNA-binding protein 18 OS=Bos taurus OX=9913 GN=RBM18 PE=2 SV=1
+MEAETKTLPLENASILSEGSLQEGHRLWIGNLDPKITEYHLLRLLQKFGTVKQFDFLFHK
+SGALEGQPRGYCFVNFETKQEAEQAIQCLNGKLALSKKLVVRWAHAQVKRYDHNKNDKIL
+PISLEPSSSTEPAQSNLSVTAKIKAIEAKLKMMAENPDAEYPAAPVYSYFKPPDKKRTTP
+YSRTAWKSRR
+>sp|Q3ZCI9|TCPQ_BOVIN T-complex protein 1 subunit theta OS=Bos taurus OX=9913 GN=CCT8 PE=1 SV=3
+MALHVPKAPGFAQMLKEGAKHFSGLEEAVYRNIQACKELAQTTRTAYGPNGMNKMVINHL
+EKLFVTNDAATILRELEVQHPAAKMIVMASHMQEQEVGDGTNFVLVFAGALLELAEELLR
+LGLSVSEVIEGYEIACKKAHEILPDLVCCSAKNLRDVDEVSSLLHTSVMSKQYGNEVFLA
+KLIAQACVSIFPDSGHFNVDNIRVCKILGSGVHSSSVLHGMVFKKETEGDVTSVKDAKIA
+VYSCPFDGMITETKGTVLIKSAEELMNFSKGEENLMDAQVKAIADTGANVVVTGGRVADM
+ALHYANKYNIMLVRLNSKWDLRRLCKTVGATALPRLNPPVLEEMGHCDSVYLSEVGDTQV
+VVFKHEKEDGAISTIVLRGSTDNLMDDIERAVDDGVNTFKVLTRDKRLVPGGGATEIELA
+KQITSYGETCPGLEQYAIKKFAEAFEAIPRALAENSGVKANEVISKLYAVHQEGNKNVGL
+DIEAEVPAVKDMLEAGVLDTYLGKYWAIKLATNAAVTVLRVDQIIMAKPAGGPKPPSGKK
+DWDEDQND
+>sp|Q28205|TBCD_BOVIN Tubulin-specific chaperone D OS=Bos taurus OX=9913 GN=TBCD PE=1 SV=1
+MALSEEPAAGAAEDPVEDPVEDAGEDAALACGAALESFGESAETRELLGHLPAVLADRSA
+REGALERFRVIMDKYQEQPHLLDPHLEWMLNLLLEFVQNKTSPADLVHLAFKFLYIISKV
+RGYKTFLRLFPHEVADVQPVLDMFTNQNPKDHETWETRYMLLLWLSVTCLIPFDFSRLDG
+NLSQPGQERASTMDRILQVAESYLVVSDKARDAAAVLVSKFVTRPDVKQKKMASFLDWSL
+CTLARSSFQTIEGVIAMDGTLQALAQIFKHGKREDCLPYAATVLQCLDSCRLPDSNQTLL
+RKLGVKLVQRLGLTFLKPQVAKWRYQRGCRSLAESLQHSIQNPREPVTQAETPDSDGQDD
+VPEEVESVIEQLLVGLKDKDTIVRWSAAKGIGRMAGRLPKELADDVTGSVLDCFSFQETD
+SAWHGGCLALAELGRRGLLLPSRLSDVVPVILRALTYEEKRGACSVGSNVRDAACYVCWA
+FARAYEPQELKPFVAAISSALVIATVFDRDVNCRRAASAAFQENVGRQGTFPHGIDILTT
+ADYFAVGNRSNCFLVISMFIAGFPEYTQPMIEHLVTMKVGHWDGTIRELSAKALRNLAQR
+APEHTAREVFPRLLSMTQSPDLHTRHGAVLACAEVARSLHTLATQQGRPVSDFLDEKAMH
+GLKQIHQQLYDRQLYRGLGGELMRQAVCILIENVALSKMPFRGDAVIDGWQWLINDTLKN
+LHLISSHSRQHIKEAAVSALAALCSEYHAQEPGEAEAAAQEELVKLYLAELQSPEEMTRC
+GCALALGALPAFFLKGRLRQVLAGLRAVTHISPKDVSFAEARRDALKAISRICQTVGVRA
+EGPPDEAVCRENVSQIYCTLLDCLKDYTTDSRGDVGAWVREAAMTSLMDLTLLLGRNQPE
+LIEAPLCQQLMCCLAQQASEKIDRFRAHAARVFLALLHADSPAIPHVPARPELERLFPRA
+AVASVNWGAPSQAFPRMARLLGLPAYRYHVLLGLAVSVGGLTESTVRYSTQGLFEYMKEI
+QNDPAALEDFGGTLLQVFEDNLLNDRVSVPLLKTLDQMLANGCFDIFTAQENHPFCVKLL
+ALCKEEIKKSKDVQKLRSSIAVFCGLVQFPGDVRRKVLLQLFLLLCHPFPVIRKNTASQV
+YEMVLTYDVVPTAVLDEVMAVLSSTAWDAELPVVRAQRNRLCDLLGVPRPQLVPKPAVR
+>sp|Q29RL9|TCEA1_BOVIN Transcription elongation factor A protein 1 OS=Bos taurus OX=9913 GN=TCEA1 PE=2 SV=1
+MEDEVIRIAKKMDKMVQKKNAAGALDLLKELKNIPMTLELLQSTRIGMSVNAIRKQSTDE
+EVTSLAKSLIKSWKKLLDGPSTDKDSEEKKKDTAVTSQNSPEAREESSSSGNMSSRKDET
+NARDTYVSSFPRAPSTSDSVRLKCREMLAAALRTGDDYIAIGADEEELGSQIEEAIYQEI
+RNTDMKYKNRVRSRISNLKDAKNPNLRKNVLCGNIPPDLFARMTAEEMASDELKEMRKNL
+TKEAIREHQMAKTGGTQTDLFTCGKCKKKNCTYTQVQTRSADEPMTTFVVCNECGNRWKF
+C
+>sp|P81948|TBA4A_BOVIN Tubulin alpha-4A chain OS=Bos taurus OX=9913 GN=TUBA4A PE=1 SV=2
+MRECISVHVGQAGVQMGNACWELYCLEHGIQPDGQMPSDKTIGGGDDSFTTFFCETGAGK
+HVPRAVFVDLEPTVIDEIRNGPYRQLFHPEQLITGKEDAANNYARGHYTIGKEIIDPVLD
+RIRKLSDQCTGLQGFLVFHSFGGGTGSGFTSLLMERLSVDYGKKSKLEFSIYPAPQVSTA
+VVEPYNSILTTHTTLEHSDCAFMVDNEAIYDICRRNLDIERPTYTNLNRLISQIVSSITA
+SLRFDGALNVDLTEFQTNLVPYPRIHFPLATYAPVISAEKAYHEQLSVAEITNACFEPAN
+QMVKCDPRHGKYMACCLLYRGDVVPKDVNAAIAAIKTKRSIQFVDWCPTGFKVGINYQPP
+TVVPGGDLAKVQRAVCMLSNTTAIAEAWARLDHKFDLMYAKRAFVHWYVGEGMEEGEFSE
+AREDMAALEKDYEEVGIDSYEDEDEGEE
+>sp|A4FUZ5|SERC3_BOVIN Serine incorporator 3 OS=Bos taurus OX=9913 GN=SERINC3 PE=2 SV=1
+MGAVLGVFSLASWVPCLCGGASCLLCSCCPNSKNSTLTRLIYAFILFLGTIVCCIMFHEG
+METQLKKIPGFCDEGLSTRITDIMDKECDVLVRYKAVYRISFALAVFFFAFSLLMLNVKT
+SKDPRAAIHNGFWFFKIAAIVGVMVGSFYIPGGHFNTAWFVIGMVGAAFFILIQLVLLVD
+FAHSWNESWVNRMEEGNPKCWYAALLSVTSLFYILSIIFAGLLYTYYTKPDGCTENKFFI
+SFNLILCVVISVLSIHPKIQEHQPRSGLLQSSLITLYTMYLTWSAMSNEPDRSCNPGLLS
+IITHMTSSTLAPANTTAPAPTPAVPLQSGPSLNKENFIGLLVFVLSLSYSSIRNSSNSQV
+SKLTLSGSDSVILRDTAANGASDEEDGRPRRAVDNEREGVQYNYSMFHLMLCSASLYIMM
+TLTNWYSPDANFQSMTSKWPAVWVKISSSWVCLLLYVWTLVAPLVLTNRDFS
+>sp|Q29RL0|TBC31_BOVIN TBC1 domain family member 31 OS=Bos taurus OX=9913 GN=TBC1D31 PE=2 SV=1
+MQSTDLGSKESGKIWHRKPSPATRDGIIVNIIHNTSDYLPKVLRFLNVAFDSSGDCLIAG
+DHHGNIYVFDLCGNRFNLVQRTAQACTALAFNLHRKSEFLVALADYSIKCFDTVTKELVS
+WMRGHESSVFSISVHGSGRYAITTSSDTAQLWDLDTFQRKRKLNILQSVGIQKVFFLPLS
+NTILSCFKDNSIFAWECDTLVCKYQLPAPPESSNILYKVFAVTRDGRILAAGGKSNHIHL
+WCLEAKQLFRIIQMPTKVRAIRHLEFLPDSFDAGSNQVLGVLSQDGIMRFINIQTCKLLF
+EIGSLDDGISSSVISPHGRYIASIMENGSLNIYSVQTLTKEINKPPPPLVKVIEDLPKKK
+GNSGDLKVKVTSGRVRRPARSRESKIQTRILKQDLTCGFEKKENELSDGLNKKRLQILLK
+GYGEFPTKYRMFIWRSLLQLPENQTAFSNLIDKGIHVAFLNLQKKYPIKSRKLLRVLQRT
+LSALAHWSAIFSDTPYLPLLAFPFVKLFQNNQLICFEVVATLIINWCQHWFEYFPNPPIN
+ILSMIENVLAFHDKELLQHFINHDVTSQLYAWPLLETVFSEVLTREEWLKLFDNVFSNHP
+SFLLMTVVAYNICSRAPLLNCKRKDDFEYFFHHRNNLDISAVIREAYRLLDTTPADIHPD
+SMLDAFVALTKGQYPVFNQYPKFIVDYQTQERERIRNDELDYLRERQAVEEMQAEVDQQR
+VEDEAWYQKQELLRRAEETRREILLQEEEKMIQQRQRLAAVRRELKVKEMHLQDAARRRL
+LQLQQDQREMELRRLDDEIERKVHMRDREIAATAKDLEMRHLELESQKRLYEKNLSRNQE
+AVAKEMKENADAYRQKVDVEEHMFHRLIGTDQTQNQKIHKLIEENLAKAEQACLNTDWRI
+QALHKQRCSDLHRNECYQEIAKLLRKNRKKEIEVLNAMMEGEAKKWEEAEEKDFHLKSEK
+KTAALSDASRRWFLEKEIHDAQHPCDKVVPKGRDEEFASGCLPRASQLNDISEMESLTQI
+SLNQRKMHWDAMEQDLMERVRNLRQRLVTQAQNRCQTPHLLAT
+>sp|A5PJS2|S5A1_BOVIN 3-oxo-5-alpha-steroid 4-dehydrogenase 1 OS=Bos taurus OX=9913 GN=SRD5A1 PE=2 SV=1
+MELAERFLLDALAYLECALGVVCYVLLKLVGSPYGRYASSGSAFGLPARAAWTVQELPSL
+ALPLLACAGAGAPAERLNRWPNCILLAMFLVHYAQRSLVFPFLIRGGKPMPLYAFLLAFI
+FCTYNGYLQSRYLSQYAVYADDWLSDPRFLTGSALWLIGMLINIHSDHVLRNLRKPGETG
+YKIPRGGLFEYISAANYFGEVVEWCGYALASWSIQGWAFAVFTFCVLFTRAQQHHKWYHE
+KFEDYPKFRKIMIPFLV
+>sp|Q1W668|SMAD2_BOVIN Mothers against decapentaplegic homolog 2 OS=Bos taurus OX=9913 GN=SMAD2 PE=2 SV=1
+MSSILPFTPPVVKRLLGWKKSAGGSGGAGGGEQNGQEEKWCEKAVKSLVKKLKKTGRLDE
+LEKAITTQNCNTKCVTIPSTCSEIWGLSTPNTIDQWDTTGLYSFSEQTRSLDGRLQVSHR
+KGLPHVIYCRLWRWPDLHSHHELKAIENCEYAFNLKKDEVCVNPYHYQRVETPVLPPVLV
+PRHTEILTELPPLDDYTHSIPENTNFPAGIEPQSNYIPETPPPGYISEDGETSDQQLNQS
+MDTGSPAELSPTTLSPVNHSLDLQPVTYSEPAFWCSIAYYELNQRVGETFHASQPSLTVD
+GFTDPSNSERFCLGLLSNVNRNATVEMTRRHIGRGVRLYYIGGEVFAECLSDSAIFVQSP
+NCNQRYGWHPATVCKIPPGCNLKIFNNQGFAALLAQSVNQGFEAVYQLTRMCTIRMSFVK
+GWGAEYRRQTVTSTPCWIELHLNGPLQWLDKVLTQMGSPSVRCSSMS
+>sp|P02638|S100B_BOVIN Protein S100-B OS=Bos taurus OX=9913 GN=S100B PE=1 SV=2
+MSELEKAVVALIDVFHQYSGREGDKHKLKKSELKELINNELSHFLEEIKEQEVVDKVMET
+LDSDGDGECDFQEFMAFVAMITTACHEFFEHE
+>sp|A5PJN8|SF3A2_BOVIN Splicing factor 3A subunit 2 OS=Bos taurus OX=9913 GN=SF3A2 PE=2 SV=1
+MDFQHRPGGKTGSGGVASSSESNRDRRERLRQLALETIDINKDPYFMKNHLGSYECKLCL
+TLHNNEGSYLAHTQGKKHQTNLARRAAKEAKEAPAQPAPEKVKVEVKKFVKIGRPGYKVT
+KQRDTEMGQQSLLFQIDYPEIAEGIMPRHRFMSAYEQRIEPPDRRWQYLLMAAEPYETIA
+FKVPSREIDKAEGKFWTHWNRETKQFFLQFHFKMEKPPAPPSLPAGPPGVKRPPPPLMNG
+LPPRPPLPESLPPPPPGGLPLPPMPPSGPAPSGPPGPPQLPPPAPGVHPPAPVVHPPASG
+VHPPAPGVHPPAPGVHPPAPVVHPPASGVHPPAPGVHPPAPGVHPPAPGVHPPAPGVHPP
+PSAGVHPQAPVVHPPAPAVHPQAPGVHPTPAVHPQAPGVHPPAPGVHPPAPGIHPQPPGV
+HPPPPGVHPPAPGVHPQPPGVHPSNPGVHPPTPMPPMLRPPLPSEGPGNIPPPPPTN
+>sp|Q9XS59|S6A15_BOVIN Sodium-dependent neutral amino acid transporter B(0)AT2 OS=Bos taurus OX=9913 GN=SLC6A15 PE=2 SV=1
+MPKNSKVVKRELDDEVIESVKDLLSNEDSADDAFKKSELIVDVPEEKDTDVVERSEVKDA
+RPAWNSKLQYILAQVGFSVGLGNVWRFPYLCQKNGGGAYLLPYLILLLVIGIPLFFLELS
+VGQRIRRGSIGVWNYISPQLGGIGFASCVVCFFVALYYNVIIGWSLFYFSQSFQQPLPWD
+QCPLVKNASHTFVEPECEKSSATTYYWYREALNISTSISESGGLNWKMTICLLAAWVVVC
+LAMIKGIQSSGKIMYFSSLFPYVVLICFLIRALLLNGSVDGIRHMFTPELEIMLEPKVWR
+EAAAQVFFALGLGFGGVIAFSSYNKRDNNCHFDAVLVSFINFFTSILATLVVFAVLGFKA
+NVINEKCIAENSEMIIKLVKMGNISQDIIPHHINFSAITAEDYDLIYDIIQKVKEEEFPA
+LHLNACQIEDELNKAVQGTGLAFIAFTEAMTHFPASPFWSVMFFLMLVNLGLGSMFGTIE
+GIITPVVDTFKVRKEILTVICCLLAFCIGLIFVQRSGNYFVTMFDDYSATLPLLIVVILE
+NIAVSFVYGIDKFMEDLKDMLGFTPNRYYYYMWKYISPLMLLSLLIASIVNMGLSPPGYN
+AWMEDKASEKFLSYPTWGMVICISLMVLAILPIPVVFIIRRCNLIDDSSGNLASVTYKRG
+RVLKEPVNLEGDDASLIHGKISSEMSSPNFGKNIYRKQSGSPTLDTAPNGRYGIGYLMAD
+MPDMPESDL
+>sp|Q5EA25|SRPX2_BOVIN Sushi repeat-containing protein SRPX2 OS=Bos taurus OX=9913 GN=SRPX2 PE=2 SV=1
+MAIQLTRRGALSLLLFLTPAVMPTWYAGSGYYPDESYNEVYAEEVPQTPILDYKVPRWCY
+TLNIQDGEATCYSPRGGNYHSSLGTRCELSCDRGFRLIGRRSVQCLPSRRWSGTAYCRQM
+RCHALPFITSGTYTCTNGVLLDSRCDYSCSSGYHLEGDRSRICMEDGRWSGGEPVCVDID
+PPKIRCPHSREKMAEPEKLTARVYWDPPVVKDSADGTITRLTLRGPEPGSHFPEGEHVIR
+YTAYDRAYNRASCKFIVKVQVRRCPTLKPPLHGYLTCTSAGDNYGATCEYHCDGGYERQG
+TSSRVCQSSRQWSGSPPVCVPMKINVNVNSAAGLLDQFYEKRRLLIISAPDPSNRYYKMQ
+ISMLQQSTCGLDLRHVTIIELVGQPPQEVGRIREHQLSANIIEELRQFQHLTRSYFNMVL
+IDKQGIDRERYMEPVTPEEIFTFIDDYLLSNEELIQRREQRDICD
+>sp|Q2T9S0|TBB3_BOVIN Tubulin beta-3 chain OS=Bos taurus OX=9913 GN=TUBB3 PE=1 SV=1
+MREIVHIQAGQCGNQIGAKFWEVISDEHGIDPSGNYVGDSDLQLERISVYYNEASSHKYV
+PRAILVDLEPGTMDSVRSGAFGHLFRPDNFIFGQSGAGNNWAKGHYTEGAELVDSVLDVV
+RKECENCDCLQGFQLTHSLGGGTGSGMGTLLISKVREEYPDRIMNTFSVVPSPKVSDTVV
+EPYNATLSIHQLVENTDETYCIDNEALYDICFRTLKLATPTYGDLNHLVSATMSGVTTSL
+RFPGQLNADLRKLAVNMVPFPRLHFFMPGFAPLTARGSQQYRALTVPELTQQMFDAKNMM
+AACDPRHGRYLTVATVFRGRMSMKEVDEQMLAIQSKNSSYFVEWIPNNVKVAVCDIPPRG
+LKMSSTFIGNSTAIQELFKRISEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVS
+EYQQYQDATAEEEGEMYEDDEEESEAQGPK
+>sp|A5D7L5|S39AE_BOVIN Zinc transporter ZIP14 OS=Bos taurus OX=9913 GN=SLC39A14 PE=2 SV=1
+MELLRPALPSYFLLTLLSIWTAASEARAVSTGMPTISAASFLQNLMHRYGEGDSLTLQQL
+KALLNHLDVGVGRGNISQPVQGPRNLSTCFSSGELFAAHNLSHQSQIGEREFQEFCPTIL
+QQLDSRACSSENQENEENEQTEEGRPSSVEVWGYGLLCVTVISLCSLLGASVVPFMKKTF
+YKRLLLYFIALAIGTLYSNALFQLIPEAFGFNPMEDYYVSKSAVVFGGFYLFFFTEKILK
+MLLKQKNEHHHGHSHYTSETLPSQKDQEEGVTEKLQNGDLDHMIPQHCSGELDGKTPVVD
+EKVIVGSLSVQDLQASQSACHWLKGVRYSDIGTLAWMITLSDGLHNFIDGLAIGASFTVS
+VFQGISTSVAILCEEFPHELGDFVILLNAGMSLQQALFFNFLSACCCYVGLGFGILAGSH
+FSANWIFALAGGMFLYISLADMFPEMNEVSQEDERKGSALIPFVIQNLGLLTGFGIMLVL
+TMYSGHIQIG
+>sp|Q56K13|SF3B5_BOVIN Splicing factor 3B subunit 5 OS=Bos taurus OX=9913 GN=SF3B5 PE=3 SV=1
+MTDRYTIHSQLEHLQSKYIGTGHADTTKWEWLVNQHRDSYCSYMGHFDLLNYFAIAENES
+KARVRFNLMEKMLQPCGPPADKPEEN
+>sp|Q17QQ3|SNP25_BOVIN Synaptosomal-associated protein 25 OS=Bos taurus OX=9913 GN=SNAP25 PE=1 SV=1
+MAEDADMRNELEEMQRRADQLADESLESTRRMLQLVEESKDAGIRTLVMLDEQGEQLERI
+EEGMDQINKDMKEAEKNLTDLGKFCGLCVCPCNKLKSSDAYKKAWGNNQDGVVASQPARV
+VDEREQMAISGGFIRRVTNDARENEMDENLEQVSGIIGNLRHMALDMGNEIDTQNRQIDR
+IMEKADSNKTRIDEANQRATKMLGSG
+>sp|A4FUI2|RUXE_BOVIN Small nuclear ribonucleoprotein E OS=Bos taurus OX=9913 GN=SNRPE PE=3 SV=1
+MAYRGQGQKVQKVMVQPINLIFRYLQNRSRIQVWLYEQVNMRIEGCIIGFDEYMNLVLDD
+AEEIHSKTKSRKQLGRIMLKGDNITLLQSVSN
+>sp|Q9BEG8|S26A2_BOVIN Sulfate transporter OS=Bos taurus OX=9913 GN=SLC26A2 PE=3 SV=1
+MSLKNEDQNDLSPKDSVKGNDQYRAPSGIHLEPEEESRNDFWQFEPSNLFRHPRIHLEPQ
+EKSDNNFKKFVIKKLEKSCQCSSTKAKNTIFGFLPVLQWLPKYDLKKNILGDVMSGLIVG
+ILLVPQSIAYSLLAGQEPIYGLYTSFFASLIYFILGTSRHISVGIFGILCLMIGEVVDRE
+LYIAGYDTVHAASNESSLVNQISDKTCDRSCYAIIVGSTVTFVAGVYQVAMGFFQVGFVS
+VYLSDALLGGFVTGASFTILTSQVKYLLGLSLPRSAGVGSLITTWLHVFRNIRKTNICDL
+ITSLLCLLVLLPTKELNERFKSKLKAPIPVELFVIVAATLASHFGKLNEKYGTSIAGHIP
+TGFMPPKAPDWNLIPRVAVDAIAIAIIGFAITVSLSEMFAKKHGYTVKANQEMYAIGFCN
+IIPSFFHCFTTSAALAKTLVKESTGCQTQVSGVMTALVLLLVLLVIAPLFFSLQKSVLGV
+ITIVNLRGALCKFKDLPQMWRISRMDTVIWFVTMLSSALISTEIGLLTGVCFSMFCVILR
+TQKPKASLLGLVEDSEVFESMSAYKNLQAKSGIKIFRFVAPLYYVNKEYFKSVLYKKTLN
+PVLVKAAQRKAAKRKIKRETVTPSGIQDEVSVQLSHDPLEFHTIVIDCSAIQFLDTAGIH
+TLKEVRRDYEAVGIQVLLAQCNPSVRDSLARGEYCKKDEENLLFYSIYEAMTFAEDSQNQ
+KERHIPNGPNFSSD
+>sp|Q3SX26|TRIP6_BOVIN Thyroid receptor-interacting protein 6 OS=Bos taurus OX=9913 GN=TRIP6 PE=2 SV=1
+MSGPTWLPPKQPEPARAPQGRALPRGASGPPLAHGAALQPHPRVNFCPLPSEQCYQTPGE
+PEDRGLAWVGCHGAPQHSQGLPPDRGGLRPGSLDAEIDSLTSMLAELDGGRGHAPRRPDR
+QAYEPPEPPAYRSGSGPLRPNGGALPPPPLPGSPYGAPTPASYATASTPAGPAFPVQVKV
+ARPVRGCGPPRRGASQASGPSPGPHFPLPGRGEVWGAGYRSHREPGPGVKEEAPGVSGPA
+GARGGGYGPQVPLSQPPEEELERLTKKLVHDMNHPPSGEYFGRCGGCGEDVVGDGAGVVA
+LDRVFHVGCFVCSTCRAQLRGQHFYAVERRAYCESCYVATLEKCSTCSQPILDRILRAMG
+KAYHPGCFTCVVCHRGLDGIPFTVDATSQIHCIEDFHRKFAPRCSVCGGAIMPEPGQEET
+VRIVALDRSFHIGCYKCEECGLLLSSEGECQGCYPLDGHILCKTCSAWRIQELSATVTTD
+C
+>sp|Q9BEG9|SOCS3_BOVIN Suppressor of cytokine signaling 3 OS=Bos taurus OX=9913 GN=SOCS3 PE=2 SV=1
+MVTHSKFPAAGMSRPLDTSLRLKTFSSKSEYQLVVNAVRKLQESGFYWSTVTGGEANLLL
+SAEPAGTFLIRDSSDQRHFFTLSVKTQSGTKNLRIQCEGGSFSLQSDPRSTQPVPRFDCV
+LKLVHHYMPAAGAPSFSQPPAEPSSSPSSEVPEQPPAQPLSGNPPRRAYYIYSGGEKIPL
+VLSRPLSSNVATLQHLCRKTVNGHLDSYEKVTQLPGPIREFLDQYDAPL
+>sp|Q58DD2|S2611_BOVIN Sodium-independent sulfate anion transporter OS=Bos taurus OX=9913 GN=SLC26A11 PE=2 SV=1
+MSPPMSPMKPPKGFAPMSCCWSTETMQKWLPFLGWLPDYTWYALKMDFIAGISVGLTVIP
+QALAYAEVAGLPPQYGLYSAFMGCFVYFFLGTSRDVTLGPTAIMSLLVSFYTFHEPAYAV
+LLAFLTGCIQLGMGFLRLGLLLDFISCPVIKGFTSAAAIIIGFGQIKNLLGLQHIPRQFF
+LQVYYTFHNIGETRVGDAVLGLVCMVLLLVLKLMRDHVPPVHPEMPTGVRLSHGLVWTAT
+TARNALVVSFAALVAYSFQVTGYQPFVLTGKTPEGLPDAHIPPFSVTTANGTISFTEMVQ
+GMGAGLVVVPLMGLLESIAVAKSFASQNNYRINSNQELLALGFTNILGSLFSSYPVTGSF
+GRTAVNAQSGVCTPAGGLMTGALVLLSLDYLTSLFYYIPKSALAAVIIMAVVPLFDTKIV
+KTLWRVKRLDLLPLCVTFLLCFWEVQYGILAGTLVSVLILLHSVARPKIQVSEGPMLVLQ
+PASGLHFPAIETLREALLSRALETSPPRSVALDCTHICSIDYTVVLGLGELLEDFHKRGA
+TLALIGLQVPVLRVLLSADLKGVLYFCTLEEAEKYLKQEPGTQPYNGSEDSVPEHKIALL
+KA
+>sp|A6QR09|SAMC_BOVIN S-adenosylmethionine mitochondrial carrier protein OS=Bos taurus OX=9913 GN=SLC25A26 PE=2 SV=1
+MDRPGFTASLVAGGVAGVSVDLILFPLDTIKTRLQSPQGFYKAGGFYGVYAGVPSTAIGS
+FPNAAAFFVTYEYVKWILHTDSSSYLMPVTHMLAASVGEVVACLIRVPSEVVKQRAQVSA
+SSGTFHIFSNILCQEGIQGLYRGYKSTVLREIPFSLVQFPLWESLKALWSWRQDRVVDSW
+QAAVCGAFAGGFAAAVTTPLDVAKTRIMLAKAGSSTASGNILSALHAVWRTQGLSGLFAG
+VFPRMAAISLGGFIFLGVYDQTRSFLLELGRESP
+>sp|Q32LD4|TFB2M_BOVIN Dimethyladenosine transferase 2, mitochondrial OS=Bos taurus OX=9913 GN=TFB2M PE=2 SV=1
+MWVPGAGIPSRLTLSAFTRAARFCVLNSGVARWKDVPAENCRGLYDFHTQLKPDVEFGKL
+SSRLYKSRSETKRYVTSPRVAETVVRVLRGKRKAGQLILECNPGPGVLTRALLESGARVI
+ALESDKNFIPELKSLGNSVNGRLEVIYCDFFKLDPRNHGMVTPPVMTSDMLFQYLGVKAH
+PWKKGFPLKVVGILPAKTERNTLWKILHDLYSCSSVYKYGRAELNLFISEKECRKLTANP
+QTPALYQSLSVLGQTACGIKVLCTEPSSLFDTYAIKGELEKQRHRESLEQNLCFVQLTPH
+RNLFTGTLTPFNYDVFFHMLRQCFMKRNAKLIDHLPSLSPIDAVHILKQIKKKKDVRVVD
+MYPKDFLRLFETIECSKDDTCKWLYDEFMEDALS
+>sp|P29392|SPAD1_BOVIN Spermadhesin-1 OS=Bos taurus OX=9913 GN=SPADH1 PE=1 SV=1
+MKLSSVIPWALLLSTATVDSMDWLPRNTNCGGILKEESGVIATYYGPKTNCVWTIQMPPE
+YHVRVSIQYLQLNCNKESLEIIDGLPGSPVLGKICEGSLMDYRSSGSIMTVKYIREPEHP
+ASFYEVLYFQDPQA
+>sp|A2VDT4|OVOL1_BOVIN Putative transcription factor Ovo-like 1 OS=Bos taurus OX=9913 GN=OVOL1 PE=2 SV=1
+MPRAFLVKKPCVSTCKRNWSELPDEERGEIYVPVSLGFCPPQPYQEPEPSVAEPPSCPLA
+LDMSLRNSSYSVTPGPCVVAQLPSEDMSRLAGPQSRDHGFLRTKMKVTLGDGPSGDLFTC
+HICQKAFTYQRMLNRHMKCHNDVKRHLCTYCGKGFNDTFDLKRHVRTHTGVRPYKCSLCD
+KAFTQRCSLESHLKKIHGVQQKYAYKERRAKLYVCEECGCTSESQEGHVLHLKEHHPDSP
+LLRKTSKKVAVALQNTVTSLLQGAHHV
+>sp|O77742|OMD_BOVIN Osteomodulin OS=Bos taurus OX=9913 GN=OMD PE=1 SV=1
+MGFSSLVCVLFFFLGVKVYCQYESYQWDEDYDQEPDDVYQTEFQFQQNINYEAPFHQHTL
+GCASECFCPPNFPSSMYCDNRKLKTIPNIPAHIQQVYLQFNEIEAVTADSFINATHLKEI
+NLSHNKIKSQKIDHGVFATLPNLLQLHLQHNNLEDFPFPLPKSLERIFLGYNEISRLQTN
+AVNGLVNLTMLDLCFNKIDDSVLQEKVLAKMEKLMQLNLCNNRLESMPPGLPSSLMYLSL
+ENNSISSIPENYFNKLPKLHALRISHNKLQDIPYNIFNLSNLIELNVGHNKLKQAFYIPR
+NLEHLYLENNEIENVNVTVMCPSVDPLHYHHLTHIRIDQNKLKAPISSYIFLCFPHIHTI
+YYGEQQSTNGQTIQLKTQVFRRFQDDGDSEDHDDHHEGPEEEGTEENIDAHYYGSQEWQE
+TI
+>sp|P02820|OSTCN_BOVIN Osteocalcin OS=Bos taurus OX=9913 GN=BGLAP PE=1 SV=2
+MRTPMLLALLALATLCLAGRADAKPGDAESGKGAAFVSKQEGSEVVKRLRRYLDHWLGAP
+APYPDPLEPKREVCELNPDCDELADHIGFQEAYRRFYGPV
+>sp|Q2KJ97|NCDN_BOVIN Neurochondrin OS=Bos taurus OX=9913 GN=NCDN PE=2 SV=1
+MSCCDLAAAGQLGKAGIMASDCEPALNQAESRNPTLERYLGALREAKNDSEQFAALLLVT
+KAVKAGDIDAKTRRRIFDAVGFTFPNRLLTTKEAPDGCPDHVLRALGVALLACFCSDPEL
+AAHPQVLNKIPILSTFLTARGDPDDAARRSMVDDTYQCLTAVAGTPRGPRHLIAGGTVSA
+LCQAYLGHGYGFDQALALLVGLLAAAETQCWKEAEPDLLAVLRGLSEDFQKAEDASKFEL
+CQLLPLFLPPTTVPSECLRDLQAGLARILGSKLSSWQRNPALKLAARLAHACGSDWIPAG
+NSGSKFLALLVNLACVEVRLALEETGTEVKEDVVTACYALMELGIQECTRCEQSLLKEPQ
+KVQLVSIMKEAIGAVIHYLQQVGPEKQKEPFVFASVRILGAWLAEETSSLRKEVCQLLPF
+LVRYAKTLYEEAEEANDLSQQVATLAISPTTPGPTWPGDALRLLLPGWCHLTVEDGPREI
+LIKEGAPSLLCKYFLQQWELTSPGHDTSVLPDSVEIGLQTCCHIFLNLVVTAPGLIKRDA
+CFTSLMNTLMASLPSLVQQQGRLLLAANVATLGLLMARLLSTSPALQGTPASRGFFAAAI
+LFLSQSHVARATPGSEQAVLALSPDYEGVWADLQELWFLGMQAFTGCVPLLPWLAPAALR
+SRWPQELLQLLGSVSPNSVKPEMVAAYQGVLVELARANRLCREAMRLQAGEETASHYRMA
+ALEQCLAEP
+>sp|O77775|NCF2_BOVIN Neutrophil cytosol factor 2 OS=Bos taurus OX=9913 GN=NCF2 PE=2 SV=1
+MSLAEAISLWNEGVLAADKKDWKGALDAFTGVQDPHSRICFNVGCIYTILGNLPEAEKAF
+TKSINRDKHLAVSYFQRGMLYYQMEKYDSAIKDLKEALTQLRGNQLIDYKILGLQFKLFA
+CEVLYNIAFMYAKREEWKKAEEHLALAVSMKSEPRHSKIDRAMESVWKQKLYEPVVIPVG
+RLFRPNEKQVAQLVKKDYLGKATVVASVVDQDSFSGFAPLQPQAAEPPPRPKTPEIFRAL
+EGEAHRVLFGFVPETPEELQVMPGNIVFVLKKGNDNWATVMFNGQKGLVPCNYLEPVELR
+IHPQQQPQEETSLESDIPAPPSSSAPGRPQLSPGQKGKEEPKQEIKLSVPKSYTLKVHYK
+YTVVMETQFRLPYSQVRDMVAKKLDLLPEHTKLSYRRQDSNELVPLSEFSMKDAWAQVKN
+YCLTLWCENTVGDQGFPDEPEESKKSDANNQTTEPELKEGSKVVALFSYEATQPEDLEFL
+EGDVILVISTVNEQWLEGECKGKVGIFPKAFVEQHPTTDLESTPGRV
+>sp|Q2TA14|PCP_BOVIN Lysosomal Pro-X carboxypeptidase OS=Bos taurus OX=9913 GN=PRCP PE=2 SV=1
+MGRCSLLLLLLLIAFLTPGAANPVSPSLRAPSSLPWSTSFRSRPTITLKYSIRYIQQKVD
+HFGFNIDRTFKQRYLIADNYWKEDGGSILFYTGNEGDIIWFCNNTGFMWDIAEEMKAMLV
+FAEHRYYGESLPFGADSFSDSRHLNFLTTEQALADFAKLIRYLKRTIPGARNQHVIALGG
+SYGGMLAAWFRMKYPHLVVGALASSAPIWQFNDLVPCDIFMKIVTTDFSQSGPNCSESIR
+RSWDAINRLAKKGTGLRWLSEALHLCTPLTKSQDVQRLKDWISETWVNVAMVDYPYESNF
+LQPLPAWPVKVVCQYFKYSNVPDTVMVQNIFQALNVYYNYSGQAKCLNVSETATSSLGVL
+GWSYQACTEMVMPTCSDGVDDMFEPHSWNMKEYSDDCFKQWGVRPRPSWIPTMYGGKNIS
+SHTNIIFSNGELDPWSGGGVTKDITDTLLAIVIPNGAHHLDLRASNALDPVSVQLTRSLE
+VKYMKQWISDFYVRLRKMN
+>sp|Q2TBP7|MYCBP_BOVIN c-Myc-binding protein OS=Bos taurus OX=9913 GN=MYCBP PE=3 SV=3
+MAHYKAADSKREQFRRYLEKSGVLDTLTKVLVALYEEPEKPNSALDFLKHHLGAATPENP
+EIELLRLELAEMKEKYEAIVEENKKLKTKLAQYEPPQEEKRAE
+>sp|P52897|PGFS2_BOVIN Prostaglandin F synthase 2 OS=Bos taurus OX=9913 PE=2 SV=1
+MDPKSQRVKFNDGHFIPVLGFGTYAPEEVPKSEALEATKFAIEVGFRHVDSAHLYQNEEQ
+VGQAIRSKIADGTVKREDIFYTSKLWCNSLQPELVRPALEKSLQNLQLDYVDLYIIHSPV
+SLKPGNKFVPKDESGKLIFDSVDLCHTWEALEKCKDAGLTKSIGVSNFNHKQLEKILNKP
+GLKYKPVCNQVECHPYLNQSKLLEFCKSHDIVLVAYAALGAQLLSEWVNSNNPVLLEDPV
+LCAIAKKHKQTPALVALRYQVQRGVVVLAKSFNKKRIKENMQVFDFELTPEDMKAIDGLN
+RNTRYYDFQQGIGHPEYPFSEEY
+>sp|Q07722|PLCB4_BOVIN 1-phosphatidylinositol 4,5-bisphosphate phosphodiesterase beta-4 (Fragment) OS=Bos taurus OX=9913 GN=PLCB4 PE=1 SV=1
+PVRSITRTFASGKTEKVIFQALKELGLPSGKNDEIEPAAFTYEKFYELTQKICPRTDIED
+LFKKINGDKTDYLTVDQLVSFLNEHQRDPRLNEILFPFYDAKRAMQIIEMYEPDEDLKKQ
+GLISSDGFCRYLMSDENAPVFLDRLELYQEMDHPLAHYFISSSHNTYLTGRQFGGKSSVE
+MYRQVLLAGCRCVELDCWDGKGEDQEPIITHGKAMCTDILFKDVIQAIKETAFVTSEYPV
+ILSFENHCSKYQQYKMSKYCEDLFGDLLLKQALESHPLEPGRPLPSPNDLKRKILIKKQT
+TETEVEKKQLEALKSMMEAGESAAPVNMLEDDNEEEIESAEQEEEAHPEYKYGNELSADD
+LGHKEAIANSVKKASDDLEHENSKKGLVTVEDEQAWMASYKYVGATTNIHPYLSTMINYA
+QPVKFQGFHVAEERNIHYNMSSFNESVGLGYLKTHAIEFVNYNKRQMSRIYPKGGRVDSS
+NYMPQIFWNSGCQMVSLNYQTPDLAMQLNQGKFEYNGSCGYLLKPDFMRRPDRTFDPFSE
+TPVDGVIAATCSVQVISGQFLSDKKIGTYVEVDMYGLPTDTIRKEFRTRMVMNNGLNPVY
+NEESFVFRKVILPDLAVLRIAVYDDNNKLIGQRILPLDGLQAGYRHISLRNEGNKPLSLP
+TIFCNIVLKTYVPDGFGDIVDALSDPKKFLSITEKRADQMRAMGIETSDIADVPSDTSKN
+DKKGKANTAKANVTPQSSSELRPTTTAALGAGLEAKKGIELIPQVRIEDLKQMKAYLKHL
+KKQQKELSSLKKKHAKEHSTMQKLHCTQVDKIVAQYDKEKLTHEKILEKAMKKKGGSNCL
+EMKKETEIKIQTLTSDHKSKVKEIVAQHTKEWSDMINTHSAEEQEIRDLLLSQQCELLRK
+LLISAHEQQTQQLKLSHDRESKEMRAHQAKISMENSKAISQDKSIKNKAERERRVRELNS
+SNTKKFLEERKRLAMKQSKEMDQLKKVQLEHLEFVEKQNEQAKEMQQMVKLEAEMDRRPA
+TVV
+>sp|A0JN53|RPAP1_BOVIN RNA polymerase II-associated protein 1 OS=Bos taurus OX=9913 GN=RPAP1 PE=2 SV=1
+MLSRPKPGESEVDLLRFQSQFLAAGATPAVQLVKKGSRRAGDANLEQPPLQDHRDVVMLD
+SLPDLPPALVPAPPKRARPSPGHLLPEHEDPEERLHRHDQHITAVLTKIIERDTSSMPVN
+LPVSSGVAFPPVFHRSQGRQGKPVTAGKRSIFAQEIAARRASGAKVSPVREVESILDPPE
+SAMTCEALTPREWGSQPPWNSYSFQGPHLVTGKGLKGQEAEQEAQTIHEENVARLQALAP
+EEILQEQQRLLAQLDPSLVAFLKSHSCTREQAEEKATREQRPGRPSAEVIGKEAIAPTSA
+SVPRQENELEPETPALALPVTPQKEWLHMDTVELEKLHWTQDLPPLRRQQTQERMQARFS
+LQGELLAPDMDLPTHLGLHHHGEEAERAGYSLQELFHLTRSQVSQQRALALHVLAQVIGR
+AQAGEFGDRLVGSVLHLLLDAGFLFLLRFSLDDRVDGVIAAAVRALRALLVAPGDEELLD
+STFSWYHGALMFALMPSQEDKEDEDEDEEPPAEKAKTKSPEEGNRPPSDLARHDIIKGLL
+ATNLLPRLRYVLEVTCPGPSVVLDILTVLIRLARHSLESATRVLECPRLVETVVREFLPT
+SWSPMGSGPTSSLHRVPCAPAMKLLRVLASASRNIAARLLSGFDLRSRLSRFIAEDPQDL
+ALPLEEAETLSTEAFRLWAVAASYGLGSDLYRELYPVLMQALQDVPKELSSPPPRPLAVQ
+RIASLLTLLTQLTLAAGHIAPEHNSHSAEASLLAGSSSVTWTQVSGLQPLVEPCLRQTLK
+LLPRPEMWSALGPVPTACLLFLDAYYQAWSQQPGLCPEDWLQDMERLSEGLLLPLLKHPS
+LGSLWDSLGCCSPLCNPQSCAVAPETISSLASLGCAGGHPPLSLAGSASPFPFLTALLSL
+LNTLGRIHKGLCGQLATVLAAPGLQDYFLRCVAPVAALHLTPFSAWALRHEYHLQYLALT
+LAQRAATLQPPMSGTDAALCHGMALALLGRLLPGSEHLAHELMLSCVFRLEFLPERASGG
+PEAADFSDRLSLGSGGDLGCGRGALLAQACQDLPSIRSCYLTHCSLARASLLASQALYRG
+ELQRVPALLLPVPKEPLLPTDWPFLPLIQLYHRASDTPSGLPPADTVGTALRALQWVLVL
+ESWRPRALWAVSPAARLARLMCVFLVDSELFRETPIQRLVAALLARLCQPEVLSNLNLDC
+PLPGLTSFPDLYANFLEHFEAVSFGDHLFGALILLPLQRRFSVTLRLALFGEHVGALRAL
+GLPLTQLPVSLECYTAPPEDNLALLQLYFRALVTGALRPRWCPVLYAVAVAHVNSFIFSQ
+DPNNSDEIKAACRSMLQKTWLLTDEGLRQHLLHYKLPNSALPEGFELYPQLPPLRQQYLQ
+KLRISGVLPNGVSDT
+>sp|Q9XS47|PLGF_BOVIN Placenta growth factor OS=Bos taurus OX=9913 GN=PGF PE=2 SV=1
+MPTVRLFTCFLQLLTGLVLPAVPTTQWALSPGNISSEVEVVPFQQVWSRSYCRPVERLVD
+IVSEYPSEMEHLFSPSCVSLMRCTGCCSDESMHCVPLETANVTMQLMKYRSLDQPFFVEM
+SFSQHVRCECKPLWEKMKQTRCGDTISQR
+>sp|A5D7L8|PERM1_BOVIN PGC-1 and ERR-induced regulator in muscle protein 1 OS=Bos taurus OX=9913 GN=PERM1 PE=2 SV=1
+MENFQYSVQLSDQDWAEFSAAAEECGLLQAGLASGDEPLSSDTDQRDSRGSSPPGPSPFL
+EGQLTPGGSSWPSFEEEDKAATRQLVSRSWHEPMLAPEAGQQTPSTSAQSEARLSLSPGA
+TPLVQGSSLPGPVSSRDEMQRLLRGPAPRGPAPTPTGEPAGSPESPVHSAAPQRSPGSPG
+APPRSPGRKKRRTAGTKAGGRSGGPGPAPTQLDSPLLTEAKPEDSFGPAGSRGKGLPAGA
+AKQTAGTGPESAGAPEQVAGQGPGVDLSTSVSTTEQGTDRLGMSPRADPCAASTSDPGAP
+LDVTIKSDVALSTPASEPQPDKPQSTPAFKPQPDEPQSTPAFKPQPDESQSTSAFNAQPN
+EPQSTPTFSPQPDEPQSTPAFKPQPDEPQSTSAFNAQPNEPQSTLAFSPQPDEPQSTPAF
+KPQPDEPQSTPAFNVQPNEPQSTPAFSPQPNEPQSTPAFKPQPDEPQSTPAFNTQPNEPQ
+STPTFKPRLDVDQLMPGPVVQPEVDSSMPASKAVPCTALPHLVLEAGSDVGVSTPPAPTP
+QAGPDMVEAEVVPVAKLGLSPVRSLEGHQQNPRGEPSTDAPGHHTGEPPLGPIQAPKKKK
+VRFSMAMPSSEEPGSGEVSGPLSPATAPRMASGGHRGSGAWDSVAVGPRSPQPRILKHLP
+PPAPSASMGPGRRSCFAVTLPEAYDFFFCDTIEEEDEEAEGAAEAAQAPAEVQWPDVCEF
+FFQESQIQRSRHQEGRSQAPLLKAGSVPAPPPGDPMPISIPEAYEHFLEEDRSGGTLGPA
+ALLQMQATEPSRSVLWGVGTGAPPESSPATVEQLTLAIREAVAPRGPLTSFTFSQKDMCM
+VFVAFATWAVRTSDLHAPDAWKTVLLANIGTISAIRYFRRQVERGRHSRSRSPSPSSSPS
+P
+>sp|A6QR16|RNPS1_BOVIN RNA-binding protein with serine-rich domain 1 OS=Bos taurus OX=9913 GN=RNPS1 PE=2 SV=1
+MDLSGVKKKSLLGVKENNKKSSTRAPSPTKRKDRSDEKSKDRSKDKGATKESSEKDRGRD
+KTRKRRSASSGSSSTRSRSSSTSSSGSSTSTGSSSGSSSSSASSRSGSSSTSRSSSSSSS
+SGSPSPSRRRHDNRRRSRSKSKPPKRDEKERKRRSPSPKPTKVHIGRLTRNVTKDHIMEI
+FSTYGKIKMIDMPVERMHPHLSKGYAYVEFENPDEAEKALKHMDGGQIDGQEITATAVLA
+PWPRPPPRRFSPPRRMLPPLPMWRRSPPRMRRRSRSPRRRSPARRRSRSPGRRRHRSRSS
+SNSSR
+>sp|Q08DM6|SYNG1_BOVIN Synapse differentiation-inducing gene protein 1 OS=Bos taurus OX=9913 GN=SYNDIG1 PE=2 SV=1
+MAGVVEQKSGLVRDKLGEASKRNGLINTRSLVAESRDGLVSVYPAPQYQSCRVVGSVASA
+GPDGARNEPLQQLLDPSTLQQSVESRYRPNLILYSESVLRPWGDGVAADCCETTFIEDRS
+PTKESLEYPDGKFIDLSPEDIKIHTLSYDVEEEEEFQELESDYSSDTESEDNFLVMPPRD
+HLGLSVFSMLCCFWPLGIAAFYLSHETNKAVAKGDFHQASTSSRRALFLAVLSITIGTGI
+YVGVAVALIAYLSKSNHL
+>sp|E1BD59|TRI56_BOVIN E3 ubiquitin-protein ligase TRIM56 OS=Bos taurus OX=9913 GN=TRIM56 PE=2 SV=1
+MVSQGSSPSLLEALSSDFLACKICLEQLRVPKTLPCLHTYCQDCLAQLAEGSRLRCPECR
+ESVPVPPAGVAAFKTNFFVNGLLDLVKARAGGDLRAGKPACALCPLMGGASAGGPATARC
+LDCADDLCQACADGHRCTRQTHSHRVVDLVGYRAGWYDEEARERQAAQCPQHPGEALRFL
+CQPCSQLLCRECRLDPHLDHPCLPLAEAVRARRPGLEELLAGVDNNLAELEATRLAEKEA
+LARLREQAAKVVTQVEEASERVLRALLAQKQEVLGQLRAHVEAAEEGARERLGELEGQEQ
+VAREAAAFARRVLSLGREAEILSLEGAIAQRLRQLQGCPWVPGPAPCQLPQLELYPGLLD
+KNCHLLRLSFEEQLPQKDSGKDGARSQGGDATQPQSRDGVQTPNQEDGAKTPKESRAQTP
+QEDGGTQARVGSRSNKKRKFKGRLKSVSREPSPAPGPNLEGSGLLPRPIFFCSFPTRMPG
+DKRAPRITGLCPFGSREILVADEQNRALKRFSLNGDYRGAVPVPEGCSPCSVAALQDTVA
+FSAAARLYLINHNGEVQWRRALSLCQASHAVAAMPSGDRVAVSVSGHVEVYNMEGSLATR
+FIPGGKANRGLRALVFLTTSPQGHFVGSDWQQNSLVVCDGLGQVVGEYRGPGLHGCQPGS
+VSVDKKGYIFLTLREVNKVVILDPKGSLLGDFLTAYHGLEKPRVTTMVDGRYLVVSLSNG
+TIHVFRVRPLDS
+>sp|Q5EA43|SFXN2_BOVIN Sideroflexin-2 OS=Bos taurus OX=9913 GN=SFXN2 PE=2 SV=2
+MEADLSDFNIDAPRWDQCTFLGRVKHFFNITDPRTVLVPERELDWAKVMVEQSRMGTVPP
+GTQVEQLFYAKKLYDSAFHPDTGHKMNVIGRMSFQVPGGMIITGFMLQFYRTMPAVIFWQ
+WVNQSFNALVNYTNRNAASPTSVRQMAVSYITATTTAVATAVGMNMLTKRAPPLVGRWVP
+FAAVAAANCVNIPMMRQQELIQGICVKDRNHNEIGHSRRAAAIGITQVVISRITMAAPGM
+ILLPVLMERLEKLRFVQRVRVLHAPLQVLLSGCFLIFMVPVACGLFPQQCELPVSYLEPE
+LQDTIKAKYREPVPHVYFNKGL
+>sp|P23389|SCG1_BOVIN Secretogranin-1 OS=Bos taurus OX=9913 GN=CHGB PE=1 SV=2
+MQPAALLGLLGATVVAAVSSMPVDIRNHNEEVVTHCIIEVLSNALLKSSAPPITPECRQV
+LKKNGKELKNEEKSENENTRFEVRLLRDPADTSEAPGLSSREDSGEGDAQVPTVADTESG
+GHSRERAGEPPGSQVAKEAKTRYSKSEGQNREEEMVKYQKRERGEVGSEERLSEGPGKAQ
+TAFLNQRNQTPAKKEELVSRYDTQSARGLEKSHSRERSSQESGEETKSQENWPQELQRHP
+EGQEAPGESEEDASPEVDKRHSRPRHHHGRSRPDRSSQEGNPPLEEESHVGTGNSDEEKA
+RHPAHFRALEEGAEYGEEVRRHSAAQAPGDLQGARFGGRGRGEHQALRRPSEESLEQENK
+RHGLSPDLNMAQGYSEESEEERGPAPGPSYRARGGEAAAYSTLGQTDEKRFLGETHHRVQ
+ESQRDKARRRLPGELRNYLDYGEEKGEEAARGKWQPQGDPRDADENREEARLRGKQYAPH
+HITEKRLGELLNPFYDPSQWKSSRFERKDPMDDSFLEGEEENGLTLNEKNFFPEYNYDWW
+EKKPFEEDVNWGYEKRNPVPKLDLKRQYDRVAELDQLLHYRKKSAEFPDFYDSEEQMSPQ
+HTAENEEEKAGQGVLTEEEEKELENLAAMDLELQKIAEKFSGTRRG
+>sp|Q32PI8|RT27_BOVIN 28S ribosomal protein S27, mitochondrial OS=Bos taurus OX=9913 GN=MRPS27 PE=1 SV=1
+MAAPMVRRAIFLARNVLLPQLSLAGKRYLLSAAYEDSRKWEARAKEDCHLADLASLMDKT
+YERKLPVSSLTISRFVDNISSREEIDHAEYYLYKFRHSPNCWYLRNWTIHTWIRQCLKYG
+AQDKALYTLVNKVQYGIFPDNYTFNLLMDHFIKKENYKDALSVVFEIMMQEAFEVPSTQL
+LSLYVLYQCLAKKTDFSWEEERNFGASLLLPGLKQKNSVGLSSQLYGYALLGKVELQQGL
+RAVYHNMPLLWRPGYLDRALQVMEKVASSPEDGKLCREALGVLDRALKALTAPAQESPEE
+QPQEGEESPASEELMEQLDVEETEQSKLPRYVERYEALHSKLQALGKVESESLLTLTTQL
+VKEQLPTCEAEDIATYEQKLQEWHLELVNLIEREKEMREKARLKHEARRAAKAAA
+>sp|A6H750|KIF2B_BOVIN Kinesin-like protein KIF2B OS=Bos taurus OX=9913 GN=KIF2B PE=2 SV=1
+MASQLFRPLTPRLSSLTPVKPHFGHLQAGISVAIQRTDGRIHLAVVTEVRRDNAWVTVEW
+AEKGVKKGKKVALETIFLLNPALALAGPAAQGRASRSVSLAPPSVIGDQRTAARWAGKIP
+QRNETPSGDSLAVRVPSSPCLMTQRKSACLREIEKLQKQRERRRRLHREIRAQRARDADT
+GNAHYEIRRLIEECRRRLRGGRISGPEPRDGRRICVCVRKRPLNRQEATREDLDIVTIPS
+DNVVMVHESKQKVDLTRYLENQTFCFDHAFDDTASNELVYQFTDQPLVESIFRHGMATCF
+AYGQTGSGKTHTMGGGFSGRDQDCSKGIYAMVAQDVFLLLKTSAYEKLNLKVYGTFFEIY
+GGKVYDLLNWKKKLQVLEDGSQQIQVVGLQEQEVCCVEDMLNLVELGNSCRTSGQTSVNA
+HSSRSHAVFQIILKSRGKLHGKFSLVDLAGNERGADTAKANRKRQLEGAEINKSLLALKE
+CIRALGQNKSHTPFRASKLTQVLRDSFIGQNSSTCMIATISPGMASCENTLNTLRYANRV
+KEITLNLRPRHRCLYPAEREMPRVLENHIRNSEMSLQGDEFIRIPCLQSEEEKETEGIKV
+LSSPLVDTTISWKEASQWPDNKIQDTSDEVNCNVDFCIAQLLSILEKKIDILTEIRRKLK
+LLQADIQKENRHGEVNGERSDLK
+>sp|P03887|NU1M_BOVIN NADH-ubiquinone oxidoreductase chain 1 OS=Bos taurus OX=9913 GN=MT-ND1 PE=1 SV=1
+MFMINILMLIIPILLAVAFLTLVERKVLGYMQLRKGPNVVGPYGLLQPIADAIKLFIKEP
+LRPATSSASMFILAPIMALGLALTMWIPLPMPYPLINMNLGVLFMLAMSSLAVYSILWSG
+WASNSKYALIGALRAVAQTISYEVTLAIILLSVLLMSGSFTLSTLITTQEQMWLILPAWP
+LAMMWFISTLAETNRAPFDLTEGESELVSGFNVEYAAGPFALFFMAEYANIIMMNIFTAI
+LFLGTSHNPHMPELYTINFTIKSLLLTMSFLWIRASYPRFRYDQLMHLLWKNFLPLTLAL
+CMWHVSLPILTSGIPPQT
+>sp|Q2KI42|PSD11_BOVIN 26S proteasome non-ATPase regulatory subunit 11 OS=Bos taurus OX=9913 GN=PSMD11 PE=2 SV=3
+MAAAAVVEFQRAQSLLSTDREASIDILHSIVKRDIQENDEEAVQVKEQSILELGSLLAKT
+GQAAELGGLLKYVRPFLNSISKAKAARLVRSLLDLFLDMEAATGQEVELCLECIEWAKSE
+KRTFLRQALEARLVSLYFDTKRYQEALHLGSQLLRELKKMDDKALLVEVQLLESKTYHAL
+SNLPKARAALTSARTTANAIYCPPKLQATLDMQSGIIHAAEEKDWKTAYSYFYEAFEGYD
+SIDSPKAITSLKYMLLCKIMLNTPEDVQALVSGKLALRYAGRQTEALKCVAQASKNRSLA
+DFEKALTDYRAELRDDPIISTHLAKLYDNLLEQNLIRVIEPFSRVQIEHISSLIKLSKAD
+VERKLSQMILDKKFHGILDQGEGVLIIFDEPPVDKTYEAALETIQNMSKVVDSLYNKAKK
+LT
+>sp|Q32LH4|NIT1_BOVIN Deaminated glutathione amidase OS=Bos taurus OX=9913 GN=NIT1 PE=2 SV=1
+MLGFIIRPPHQLLSLLSCPGLRIPRFSVLCAPPRLRTMAASSSFSELPLVAVCQVTSTPD
+KEQNFKTCAELIREAARLGACLAFLPEAFDFIARDPEETRRLSEPLSGNLLEEYTQLARE
+CGLWLSLGGFHERGQDWEQTQKIYNCHVIMNNMGSVVATYRKTHLCDVEIPGQGPMRESN
+STIPGPSLESPISTPAGKIGLAICYDMRFPELSLALVQAGAEILTYPSAFGSVTGPAHWE
+VLLRARAIETQCYVVAAAQCGRHHEKRASYGHSMVVDPWGTVVARCSEGPGLCLARIDLN
+YLQQLRKQLPVFQHRRPDLYGNLGHPLS
+>sp|A5PJK7|PRR32_BOVIN Proline-rich protein 32 OS=Bos taurus OX=9913 GN=PRR32 PE=2 SV=1
+MACIENVLGGHAPSPTAVAAAENGNREPRPSLPFQCPKDDAGSWGHPGVPLRPPFKVLSD
+LARERLERPSERTGSCIPVDGWRALKPPYGPPPAVAEEPLATGEVNSSEGPAGWRQSGQD
+SINNVSQEFSGSPPALMVGGTKVSNGGTERGGSNAGLYVALPRGQGFFPSRGPQVRGPTH
+ISTLRSGIMMELPPGSTRVTGKERLARVSFPLGGPRHPVKNWPRPMPLASSTTGLGSRTT
+AHCFIPPRPPSFNPFLAMPMAFAPPPIFGPPLPSCFADFPSWGMPAPASSNRENN
+>sp|P17667|MYF5_BOVIN Myogenic factor 5 OS=Bos taurus OX=9913 GN=MYF5 PE=2 SV=1
+MDMMDGCQFSPSEYFYDGSCIPSPDGEFGDEFEPRVAAFGAHKADLQGSDEDEHVRAPTG
+HHQAGHCLMWACKACKRKSTTMDRRKAATMRERRRLKKVNQAFDTLKRCTTTNPNQRLPK
+VEILRNAIRYIESLQELLREQVENYYSLPGQSCSEPTSPTSSCSDGMPECNSPIWSRKSS
+SFDSVYCPDVPNVYATDKSSLSSLDCLSSIVDRITNSEQPGLPLQDPASLSPVASTDSQP
+ATPGASSSRLIYHVL
+>sp|Q3ZBK2|PXL2A_BOVIN Peroxiredoxin-like 2A OS=Bos taurus OX=9913 GN=PRXL2A PE=2 SV=1
+MGMWSIGAGAIGVAALALLLANTDMFLAKPEKAALEYLEDIDLKTLEKDAVTFKAKALWE
+KNGAVIMAVRRPGCFLCREEATDLSSLKPKLDELGVPLYAVVKEHIKNEVKDFQPYFKGE
+IFLDENKKFYGPQRRKMMFMGFVRLGVWQNFFRAWNGGFSGNLDGEGFILGGVFVMGPGK
+QGILLEHREKEFGDKVNLTSVLEAARKIRPQTSASEKQ
+>sp|Q2TBH7|RAB4A_BOVIN Ras-related protein Rab-4A OS=Bos taurus OX=9913 GN=RAB4A PE=2 SV=3
+MSQTAMSETYDFLFKFLVIGNAGTGKSCLLHQFIEKKFKDDSNHTIGVEFGSKIINVGGK
+YVKLQIWDTAGQERFRSVTRSYYRGAAGALLVYDITSRETYNALTNWLTDARMLASQNIV
+IILCGNKKDLDTDREVTFLEASRFAQENELMFLETSALTGENVEEAFVQCARKILNKIES
+GELDPERMGSGIQYGDAALRQLRSPRRAQAPSAQECGC
+>sp|P63243|RACK1_BOVIN Receptor of activated protein C kinase 1 OS=Bos taurus OX=9913 GN=RACK1 PE=2 SV=3
+MTEQMTLRGTLKGHNGWVTQIATTPQFPDMILSASRDKTIIMWKLTRDETNYGIPQRALR
+GHSHFVSDVVISSDGQFALSGSWDGTLRLWDLTTGTTTRRFVGHTKDVLSVAFSSDNRQI
+VSGSRDKTIKLWNTLGVCKYTVQDESHSEWVSCVRFSPNSSNPIIVSCGWDKLVKVWNLA
+NCKLKTNHIGHTGYLNTVTVSPDGSLCASGGKDGQAMLWDLNEGKHLYTLDGGDIINALC
+FSPNRYWLCAATGPSIKIWDLEGKIIVDELKQEVISTSSKAEPPQCTSLAWSADGQTLFA
+GYTDNLVRVWQVTIGTR
+>sp|Q56JV3|RHEB_BOVIN GTP-binding protein Rheb OS=Bos taurus OX=9913 GN=RHEB PE=2 SV=1
+MPQSKSRKIAILGYRSVGKSSLTIQFVEGQFVDSYDPTIKNTFTKLITVNGQEYHLQLVD
+TAGQDEYSIFPQTYSIDINGYILVYSVTSIKSFEVIKVIHGKLLDMVGKVQIPIMLVGNK
+KDLHMERVISYEEGKALAESWNAAFLESSAKENQTAVDVFRRIILEAEKIDGAASQGKSS
+CSVM
+>sp|Q2HJ46|RNF8_BOVIN E3 ubiquitin-protein ligase RNF8 OS=Bos taurus OX=9913 GN=RNF8 PE=2 SV=1
+MGDPGSLVTEGRAGERSWCLRRVGMNTEWLLLEDGNEVTVGRGFGVTYQLVSKICPLMIS
+RNHCILKQNAEGQWTIKDNKSLNGVWLNRERLEPLKVYSIHKGDHIQLGVPLENKENAEY
+EYEVTEEDWERIYPCLSPKSDQMMEKNKGLRTKRKFSLDELEGSGAEGPSNLKSKISKLS
+CEPGQQVKSHGKGKVASQPSEYLDPKLTSFEPSVKTTGAHVNPGPAKVIELLRKKKKASN
+PSASQSSLELFKVTMSRILMLKTQMQEKQVAVLNVKKQTKKGSSKKIVKMEQELQDLQSQ
+LCAEQAQQQARVEQLEKTIQEEQQHLEGLEKEEGEEDLKQQLAQALQEYRSLVEELNRSK
+KNFEAIIQAKDKELEQTKEEKEKVQAQKEEVLSHMNDVLENELQCIICSEYFVEAVTLNC
+AHSFCSYCINEWMKRKVECPICRKDIKSKTRSLVLDNCISKMVDNLNSEVKERRIVLIRE
+RKGKRLF
+>sp|P0C2B8|RM55_BOVIN 39S ribosomal protein L55, mitochondrial OS=Bos taurus OX=9913 GN=MRPL55 PE=1 SV=1
+MSAKGSLLRLLWQCGMTRAAPESCRYLYTSSWRADCNRASLTRVHRQTYARLYPILLVKQ
+DGSTIHIRYPEPRRILTMPVDLDSLSPEERRARFRKREGQLKEKKEEPELADDFDVEQYK
+QFWTKK
+>sp|Q5EA70|T229B_BOVIN Transmembrane protein 229B OS=Bos taurus OX=9913 GN=TMEM229B PE=2 SV=1
+MASAEPLTALSRWYLYAIHGYFCEVMFTAAWEFVVNFNWKFPGVTSVWALFIYGTSILIV
+ERMYLRLRGRCPLLLRCLIYTLWTYLWEFTTGFILRQFNACPWDYSQFDFDFMGLITLEY
+AVPWFCGALLVEQFVIRNTLRLRFDKDAEPGEPSGALALANGHVKTD
+>sp|E1BEA8|TBX6_BOVIN T-box transcription factor TBX6 OS=Bos taurus OX=9913 GN=TBX6 PE=3 SV=2
+MYHPRELYPSLGTGYRLGPPQPGADSSFPPALAEGYRYPDLDTPKLDCFLSGIEAAPRTL
+AAPPPLPPLPPALGTEPSPPSAPEGLHSLPGVSLSLENRELWKEFNSVGTEMIITKAGRR
+MFPACRVSVTGLDPEARYLFLLDVVPVDGARYRWQGRRWEPSGKAEPRLPDRVYIHPDSP
+ATGAHWMRQPVSFHRVKLTNSTLDPHGHLILHSMHKYQPRIHLVRAAQLCSQHWGGVASF
+RFPETTFISVTAYQNPRITQLKIAANPFAKGFRENGRNCKRERDARVKRKLRGPEPAATA
+GYGSGDAPGGPCDSTLGGDVRESDPEQAPVPGLPLPQLLPAVAPVLRPTCCTLPLSTGPP
+VTFQPGIPASRRLQTLPSALLSRLPGAAARASRLRIPSSSTLSILCLALPPRGPLPTALP
+WAWGLPRCGLQTNVLSLCSLPPGLLPPHFHYPFPHTK
+>sp|Q3T0Q0|SAT1_BOVIN Diamine acetyltransferase 1 OS=Bos taurus OX=9913 GN=SAT1 PE=2 SV=1
+MAKFVIRPATAADCSDILRLIKELAKYEYMEEQVMLTEKDLLEDGFGEHPFYHCLVAEVP
+KEHLTPEGHSIVGFAMYYFTYDPWIGKLLYLEDFFVMSDYRGFGIGSEILKKLSQVAMKC
+RCSSMHFLVAEWNEPSINFYKRRGASDLSSEEGWRLFKIDKEYLLKMAAEE
+>sp|Q0IIK4|SPTSB_BOVIN Serine palmitoyltransferase small subunit B OS=Bos taurus OX=9913 GN=SPTSSB PE=3 SV=1
+MDFKRVKDYLSWLYYQYQIISCCAVLEPWEQSMFNTIILTIFAMVVYTAYVFIPIHIRLA
+WEFFSKMCGYHSTISN
+>sp|Q3T0T7|SAR1B_BOVIN GTP-binding protein SAR1b OS=Bos taurus OX=9913 GN=SAR1B PE=2 SV=1
+MSFIFDWIYSGFSSVLQFLGLYKKTGKLVFLGLDNAGKTTLLHMLKDDRLGQHVPTLHPT
+SEELTIAGMTFTTFDLGGHVQARRVWKNYLPAINGIVFLVDCADHERLLESKEELDSLMT
+DETVANVPILILGNKIDRPEAISEERLREMFGLYGQTTGKGNVSLKELNARPLEVFMCSV
+LKRQGYGEGFRWMAQYID
+>sp|E1BDF2|SHRPN_BOVIN Sharpin OS=Bos taurus OX=9913 GN=SHARPIN PE=3 SV=1
+MAPPAGGTAAGSDPGSAAVLLAVHVAVRPLGAGLDVAAQPRRLQLSADPERPGRFRLEML
+GAGPGAVILEWPLESVSYTVRGPCQHELQPPPGGPGTLSLHFANPQEAQRWAALVRDATV
+EGQNGSDSLPPALGPETRPVSPPSPLEVPTPKAPKPKVDLPWSPGDLMEKEELAGRLTRA
+VEGGDEKGAAQAAAILAQRHVALRVQLQEAYFPPGPIRLQVTVEDAASSAHVSLQVHPHC
+TIRALQEQVFSEFGFPPAVQRWVIGRCLCVPEHSLAFYGVQRDGDPAFLYLLSAPREAPG
+RSPQRPQKVDGELGRLFPQSLGLPPTPQPTSSSLPSPLQPGWPCPSCTFINAPSRPGCEM
+CSTQRPCAWDPLPTASIQQLPKVTRREDGPSLPGPRSLDPLLNLSGNLC
+>sp|Q32P96|SPT45_BOVIN Spermatogenesis-associated protein 45 OS=Bos taurus OX=9913 GN=SPATA45 PE=3 SV=1
+MASVNRNSEIIKKLKTDKRLLEEINERRESNCLVERSNQVSLLRVQKRHFHGAYKSFTHD
+QVKKPVPDSDRSSWVKLSLFVHKEKRHFPPKNNAIFG
+>sp|Q32KQ5|TM225_BOVIN Transmembrane protein 225 OS=Bos taurus OX=9913 GN=TMEM225 PE=2 SV=2
+MVHILVRKVEATNMFFSSWTLVFLAVGIIIEEWAELKLGPQKPTITHSPWICCTPLWPSD
+GLEVIRNILIVVLSLSFMHNLLLGFEFTYMIPQTKYTLIMTACLAFLTGILLLGALLLYH
+HMLRQGESVYYSSYKISWIIFTAYLNVLFLFISGFLSLLQYKQPIDGSGSLIPRSARKSQ
+VMEQHGVSIKVVSLPAGTAMPRSIVRLHSAHMKEDSPERLNIQARRVTWAL
+>sp|Q2NL27|RT23_BOVIN 28S ribosomal protein S23, mitochondrial OS=Bos taurus OX=9913 GN=MRPS23 PE=1 SV=1
+MAGSRLETVGSIFTRTRDLIRAGVLKEKPLWFDVYNAFPPLREPVFRRPRLRYGKAKSPT
+QDIYYHEDQIRAKFYAAYGSGPKAFDLFNPNFKSTCQRFVEKYIELQKLGETDEEKLFVE
+AGKALLAEGVILRRVEKARTQQEGSQVSRKSESMGVESQTALEENPPLKEVPQAQHLESP
+GEESKGLSPP
+>sp|O18784|TRPC1_BOVIN Short transient receptor potential channel 1 OS=Bos taurus OX=9913 GN=TRPC1 PE=2 SV=1
+MMAALYPSTDLSGASSSSLPSSPSSSSPNEVMALKDVREVKEENTLNEKLFLLACDKGDY
+YMVKEILEENSSGDLNINCVDVLGRNAVTITIENENLDILQLLLDYGCQSADALLVAIDS
+EVVGAVDILLNHRPKRSSRPTIVKLMERIQNPEYSTTMDVAPVILAAHRNNYEILTMLLK
+QDVSLPKPHAVGCECTLCSAKNKKDSLRHSRFRLDIYRCLASPALIMLTEEDPILGAFEL
+SADLKELSLVEVEFRNDYEELARQCKMFAKDLLAQARNSRELEVILNHTSNDEPLDKRGL
+LEERMNLSRLKLAIKYNQKEFVSQSNCQQFLNTVWFGQMSGYRRKPTCKKIMTVLTVGIF
+WPVLSLCYLIAPKSQFGRIIHTPFMKFIIHGASYFTFLLLLNLYSLVYHEDKKNTMGPAL
+ERIDYLLILWIIGMIWSDIKRLWYEGLEDFLEESRNQLSFVMNSLYLATFALKEEAHNKF
+HDFADRKDWDAFHPTLVAEGLFAFANVLSYLRLFFYVYTSSILGPLQISMGRMLQDFGKF
+LGMFLLVLFSFTIGLTQLYDKGYTPKEQKDCVGIFCEQQSNDTFHSFIGTCFALFWYIFS
+LAHVAILCTRFSYGEELQSFVGAFIVGTYNVVVVIVLTKLLVAMLHKSFQLIANHEDKEW
+KFARAKLWLSYFDDKCTLPPPFNIIPSPKTICYMISSLSKWICSHTSKGKVKRQNSLKEW
+RHLNEKRDENYQKVMCCLVRRYLTSMRQKMQSTDQATVENLNELRQDLSKFRNEIRDLLG
+FRTSKYAMFYPRN
+>sp|Q3SWZ6|SBDS_BOVIN Ribosome maturation protein SBDS OS=Bos taurus OX=9913 GN=SBDS PE=2 SV=1
+MSIFTPTNQIRLTNVAVVRMKRAGKRFEIACYKNKVVGWRSGVEKDLDEVLQTHSVFVNV
+SKGQVAKKEDLISAFGTDDQTEICKQILTKGEVQVSDKERHTQLEQMFRDIATIVADKCV
+NPETKRPYTVILIERAMKDIHYSVKPNKSTKQQALEVIKQLKEKMKIERAHMRLRFILPV
+NEGKKLKEKLKPLIKVIESEDYGQQLEIVCLIDPGCFREVDELIKKETKGKGSLEVLSLK
+DVEEGDEKFE
+>sp|Q9GLJ8|MC4R_BOVIN Melanocortin receptor 4 OS=Bos taurus OX=9913 GN=MC4R PE=2 SV=1
+MNSTQPLGMHTSLHSWNRSAHGMPTNVSESLAKGYSDGGCYEQLFVSPEVFVTLGVISLL
+ENILVIVAIAKNKNLHSPMYFFICSLAVADMLVSVSNGSETIVITLLNSTDTDAQSFTVD
+IDNVIDSVICSSLLASICSLLSIAVDRYFTIFYALQYHNIMTVKRVAITISAIWAACTVS
+GVLFIIYSDSSAVIICLITVFFTMLALMASLYVHMFLMARLHIKRIAVLPGSGTIRQGAN
+MKGAITLTILIGVFVVCWAPFFLHLIFYISCPQNPYCVCFMSHFNLYLILIMCNSIIDPL
+IYALRSQELRKTFKEIICCSPLGGLCDLSSRY
+>sp|P27479|LOX15_BOVIN Arachidonate 15-lipoxygenase OS=Bos taurus OX=9913 GN=ALOX15 PE=1 SV=3
+MGLYRVRVSTGSSFCAGSNNQVHLWLVGEHGEAALGWRLRPARGKEVEFQVDVSEYLGRL
+LFVKLRKRHLLSDDAWFCNWISVQGPGASGNEFRFPCYRWVEGDGILSLPEGTGRTVVDD
+PQGLFKKHREEELAERRKLYRWGNWKDGLILNIAGATINDLPVDERFLEDKRIDFEASLT
+KGLADLAIKDSLNILTCWKSLDDFNRIFWCGQSKLAERVRDSWKEDALFGYQFLNGTNPM
+LLRRSVRLPARLEFPPGMGELQAELEKELQQGTLFEADFSLLDGIKANVILCTQQYVAAP
+LVMLKLQPDGKLLPMAIQLQLPHKGSPPPPLFLPTDPPMTWLLAKCWVRSSDFQLHELHS
+HLLRGHLVAEVIAVATMRCLPSIHPMFKLLIPHLRYTMEINIRARTGLVSDSGVFDQVVS
+TGGGGHVELLQRAGAFLTYSSFCPPDDLADRGLLGVKSSFYAQDALRLWEILSRYVEGIV
+SLHYKTDESVRDDIELQAWCRDITEIGLLGAQDRGFPVTLQSKDQLCHFVTMCIFTCTGQ
+HSSTHLGQLDWYSWVPNAPCTMRLPPPTTKDVTLEKVMATLPNFHQASLQMSITWQLGRR
+QPIMVALGQHEEEYFSGPEPKAVLKKFREELAALEKDIEIRNAQLDWPYEYLRPSLVENS
+VAI
+>sp|Q0P5H8|HERP2_BOVIN Homocysteine-responsive endoplasmic reticulum-resident ubiquitin-like domain member 2 protein OS=Bos taurus OX=9913 GN=HERPUD2 PE=2 SV=1
+MDQNGMEIPVTLIIKAPNQKYSDQTISCFLNWTVGKLKTHLSNVYPSKPLTKDQRLVYSG
+RLLPDHLQLKDILRKQDEYHMVHLVCTSRTPPSSPKSSTNRESHEALASNSNSSSDQSGS
+STPSSSQETLTLATSSSSEGLRQRTLPQAQTDPAQSHQVPYVMQGNVDNQLPGQAVPAGF
+PVYPAFNPLQMLWWQQMYAHQYYMQYQAAVSAQATSNANPAQPAATQPLNLAHVPGEEPP
+PAPNLVAQENRPMNENVQMNAQGGPVLNEEDFNRDWLDWMYTFSRAAILLSIVYFYSSFS
+RFIMVMGAMLLVYLHQAGWFPFRQEGVQHQAPNNNAEVNNDVQNANNLELEEMERLMDDG
+LEDESGEDAGEDASAIQRPGLMASAWSFITTFFTSLIPEGPPQVAN
+>sp|Q0P583|KCNV1_BOVIN Potassium voltage-gated channel subfamily V member 1 OS=Bos taurus OX=9913 GN=KCNV1 PE=2 SV=2
+MELLPPRGRAPPDSSLDSASLTSLDSSVFCSEGEGEPLALGDSFTVNVGGSRFVLSQQAL
+SCFPHTRLGKLAVVVASCRRRGALAAVPSPLELCDDANPVDNEYFFDRSSQAFRYVLHYY
+RTGRLHVMEQLCALSFLQEIQYWGIDELSIDSCCRDRYFRRKELSETLDFKKDTEDQESQ
+HESEQDFSQGRCPTIRQKLWNILEKPGSCTAARIFGVISIIFVAVSIVNMALMSAELSWL
+DPQLLEILEYVCISWFTGEFVLRFLCVRDRCRFLRKVPNIIDLLAILPFYITLLVESLSG
+SQTTQELENVGRIVQVLRLLRALRMLKLGRHSTGLRSLGMTITQCYEEVGLLLLFLSVGI
+SIFSTVEYFAEQSIPDTTFTSVPCAWWWATTSMTTVGYGDIRPDTTTGKIVAFMCILSGI
+LVLALPIAIINDRFSACYFTLKLKEAAVRQREALKKLTKNIATDSYISVNLRDVYARSIM
+EMLRLKGRERASTRSSGGDDFWF
+>sp|P01044|KNG1_BOVIN Kininogen-1 OS=Bos taurus OX=9913 GN=KNG1 PE=1 SV=1
+MKLITILFLCSRLLPSLTQESSQEIDCNDQDVFKAVDAALTKYNSENKSGNQFVLYRITE
+VARMDNPDTFYSLKYQIKEGDCPFQSNKTWQDCDYKDSAQAATGECTATVAKRGNMKFSV
+AIQTCLITPAEGPVVTAQYECLGCVHPISTKSPDLEPVLRYAIQYFNNNTSHSHLFDLKE
+VKRAQRQVVSGWNYEVNYSIAQTNCSKEEFSFLTPDCKSLSSGDTGECTDKAHVDVKLRI
+SSFSQKCDLYPVKDFVQPPTRLCAGCPKPIPVDSPDLEEPLSHSIAKLNAEHDGAFYFKI
+DTVKKATVQVVAGLKYSIVFIARETTCSKGSNEELTKSCEINIHGQILHCDANVYVVPWE
+EKVYPTVNCQPLGQTSLMKRPPGFSPFRSVQVMKTEGSTTVSLPHSAMSPVQDEERDSGK
+EQGPTHGHGWDHGKQIKLHGLGLGHKHKHDQGHGHHGSHGLGHGHQKQHGLGHGHKHGHG
+HGKHKNKGKNNGKHYDWRTPYLASSYEDSTTSSAQTQEKTEETTLSSLAQPGVAITFPDF
+QDSDLIATVMPNTLPPHTESDDDWIPDIQTEPNSLAFKLISDFPETTSPKCPSRPWKPVN
+GVNPTVEMKESHDFDLVDALL
+>sp|A7Z026|PPR37_BOVIN Protein phosphatase 1 regulatory subunit 37 OS=Bos taurus OX=9913 GN=PPP1R37 PE=2 SV=1
+MEIPPQEAPPGPGADGEAEEAPVEAPSPGPASPPADGRLKAAAKRVTFPSDEDIVSGAVE
+PKDPWRHAQNVTVDEIIGAYKQACQKLNCRQIPKLLRQLQEFTDLGHRIDCLDLKGEKLD
+YKTCEALEEVFKRLQFKVVDLEQTNLDEDGASALFDMIEYYESATHLNISFNKHIGTRGW
+QAAAHMMRKTSCLQYLDARNTPLLDHSAPFVARALRIRSSLAVLHLESSSLSGRPLMLLA
+TALKMNMTLRELYLADNKLNGLQDSAQLGNLLKFNCSLQILDLRNNHVLDSGLAYICEGL
+KEQRKGLATLVLWNNQLTHTGMAFLGMTLPHTHSLETLNLGHNPIGNEGVRNLKNGLISN
+RSVLRLGLASTKLTCEGAVAVAEFIAESPRLLRLDLRENEIKTGGLMALSLALKVNHSLL
+RLDLDREPKKEAVKSFIETQKALLAEIQNGCKRNFVLVREREEKEQRLQLSASMPEITVT
+EPQPDDEPREEPAAEAQENGAPGPSPGPDSDSDSDSEGEDRDEADGERAEAPCPTLVPPT
+DSLGPGDRSPPGCPSSPAEQRISVSSPGWGHKVFVVTRVESPPERAEPPVPPAPPGPVSP
+PASASPPTSPFPTPTEAASTPDPGPPEPQPPLEPPQVGPPLPNGLKPEFALALSPEPPPG
+PEAKVGSCGLEHELSCSKNEKELEELLLEASQESGQETL
+>sp|A6QL70|PED1B_BOVIN PC-esterase domain-containing protein 1B OS=Bos taurus OX=9913 GN=PCED1B PE=2 SV=1
+MVHLLASEVRQLLHNKFVVILGDSVQRAVYKDLVLLLQKDCLLTLSQLKAKGEHTFEQDE
+LVHGGQQGHMHNGTHYREVRQFCSGQHLVRFYFLTRVYSDYLEDVLEELQSGEHHPDLVI
+MNSCLWDITRYGKDFWPSYRRNLERLFGRLRQMLSESCLLVWNTAMPVGDKITSRFVPPE
+VQFTSSSVKISVIEANFYSSAEAQKHGFDVLDLHFHFRRHTGKYLQTDGVHWNQCAHRHL
+SQLLLAHVADAWGVELPRRDPVDKWIPDGPGRRRPGRRLERQPLVCGDQPACPLPRPLPL
+PGRQPLLPTPPCPPLFPLLSPQRHPIPLHQVMPPFPFCPQETCFSSDHPFQSDQFSLNYL
+HSDVPPSIQTEFAVQGDFQYGPQPPMPRFPPPCYQQRVPEVHRGFPRYHPPDPYMPWRRR
+PKTSKRRASAYKEPRPQ
+>sp|Q95121|PEDF_BOVIN Pigment epithelium-derived factor OS=Bos taurus OX=9913 GN=SERPINF1 PE=1 SV=1
+MQALVLLLWTGALLGFGRCQNAGQEAGSLTPESTGAPVEEEDPFFKVPVNKLAAAVSNFG
+YDLYRVRSGESPTANVLLSPLSVATALSALSLGAEQRTESNIHRALYYDLISNPDIHGTY
+KDLLASVTAPQKNLKSASRIIFERKLRIKASFIPPLEKSYGTRPRILTGNSRVDLQEINN
+WVQAQMKGKVARSTREMPSEISIFLLGVAYFKGQWVTKFDSRKTSLEDFYLDEERTVKVP
+MMSDPQAVLRYGLDSDLNCKIAQLPLTGSTSIIFFLPQKVTQNLTLIEESLTSEFIHDID
+RELKTVQAVLTIPKLKLSYEGELTKSVQELKLQSLFDAPDFSKITGKPIKLTQVEHRVGF
+EWNEDGAGTNSSPGVQPARLTFPLDYHLNQPFIFVLRDTDTGALLFIGKILDPRGT
+>sp|Q29S00|OSCP1_BOVIN Protein OSCP1 OS=Bos taurus OX=9913 GN=OSCP1 PE=2 SV=1
+MSMRTLPLLFLNLGGEMLYILDQRLRAQNIPGDKARKVMNDIISTMFNQKFMDELFKPQE
+LYSKKALRTVYDRLAHASIMRLNQASMDKLYDLMTMAFKYQVLLCPRPKDVLLVTLNHLD
+AIKGLIQDSPTILHQVDKTFRQLTDIYGGLSAGEFQLIRQTLLIFFQDLHIRVSIFLKDK
+VQNSSGRFVLPVSGPVPWGTEVPGLIRTFNNKGKEVKRTEFKHGGSYVAAPKEGSLELYG
+DRVLKLGTNMYSVNRPVETHMSAASKNLASQTQESIVPNPLAKEELNLLARLIGGMEIQK
+PSGPEPGFRLNLFTTDEEEEQAALTRPEELSYKVINIQATQDQQTNQELVRIMGEFEITD
+QSRQSSSKGDDWLAMMDEL
+>sp|Q2HJ84|PKNX1_BOVIN Homeobox protein PKNOX1 OS=Bos taurus OX=9913 GN=PKNOX1 PE=2 SV=1
+MMATQTLSIDSYPDGQQMQVVTELKTEQDPNCSEPDVEGVSPPPVGSQTPMDADKQAIYR
+HPLFPLLALLFEKCEQSTQGSEGTTSASFDVDIENFVRKQEKEGKPFFCEDPETDNLMVK
+AIQVLRIHLLELEKVNELCKDFCSRYIACLKTKMNSETLLSGEPGSPYSPVQSQQIQSAI
+TGTLSPQGIVVPASALQQGNVTMATVAGGTVYQPVTVVTPQGQVVTQALSPGTIRIQNSQ
+LQLQLNQDLSILHQDDGSSKNKRGVLPKHATNVMRSWLFQHIGHPYPTEDEKKQIAAQTN
+LTLLQVNNWFINARRRILQPMLDSSCSETPKTKKKTAQNRPVQRFWPDSIASGAAQPAAS
+ELTVSEGAVVTITAPVSMNVDSLQSLSSDGATLAVQQVMMAEQSEDDSVDSTGDGGAALA
+PGHLGGLVLENSDSLQ
+>sp|Q08DP0|PGM1_BOVIN Phosphoglucomutase-1 OS=Bos taurus OX=9913 GN=PGM1 PE=2 SV=1
+MVKIVTVKTKAYQDQKPGTSGLRKRVKVFQSSSNYAENFIQSIISTVEPAQRQEATLVVG
+GDGRFYMKEAIQLIVRIAAANGIGRLVIGQNGILSTPAVSCIIRKIKAIGGIILTASHNP
+GGPNGDFGIKFNISNGGPAPEAITDKIFQISKTIEEYAICPDLHVDLGVLGKQQFDLENK
+FKPFTVEIVDSVEAYATMLRNIFDFNALKELLSGPNRLKIRIDAMHGVVGPYVKKILCEE
+LGAPANSAVNCVPLEDFGGHHPDPNLTYAADLVETMKTGEHDFGAAFDGDGDRNMILGKH
+GFFVNPSDSVAVIAANIFSIPYFQQTGVRGFARSMPTSGALDRVANATKIALYETPTGWK
+FFGNLMDASKLSLCGEESFGTGSDHIREKDGLWAVLAWLSILATRKQSVEDILKDHWQKY
+GRNFFTRYDYEEVEAEGANKMMKELEALISDRSFVGKQFPVGDKVYTVEKIDNFEYSDPV
+DGSISRNQGLRLLFADGSRIIFRLSGTGSAGATIRLYIDSYEKDLAKIYQDPQVMLAPLI
+SIALKVSQLQEKTGRTAPTVIT
+>sp|Q27960|NPT2B_BOVIN Sodium-dependent phosphate transport protein 2B OS=Bos taurus OX=9913 GN=SLC34A2 PE=1 SV=1
+MAPWPELENSQPTSEKYTVKADGEQSAKPEKAKETEKDDTGTPITKIELVPSHSTATLIE
+EPTEVEDPWDLPELKDTGLKWSERDTKGKILCVFQGIGKFILLLVFLYFFVCSLDVLSSA
+FQLVGGKVAGKFFNNNSIMSNPLAGMVIGVLVTVLVQSSSTSTSIVVSMVASSLLPVHAA
+IPIIMGANIGTSITNTIVALMQAGDRKEFRRAFAGATVHDFFNWLSVLVLLPLEAATGYL
+ERLTNLVVESFHFKNGEEAPELLKVITDPFTKLIIQLDKSILNQIAMNDESVQNKSMIKI
+WCKTFTNVTERNVTVPSPENCTSPSLCWTDGLYTWTIKNVTYKENIAKCQHIFVNFNLSD
+AIVGTILLITSLLILCTCLILIVKLLGSVLRGQVAAVIKKTINTDFPYPFSWVTGYLAIL
+VGAGMTFIVQSSSVFTSAMTPLIGIGVISIQRAYPLTLGANIGTTTTAILAALASPGSTL
+KSSLQIALCHFFFNISGIILWYPIPFTRLPIRLAKGLGNISSKYRWFAIVYLIVFFLLIP
+LAVFGLSLIGWPVLVGVASPIVLVILLVVVLKILQSFCPGSLPQKLRSWDFLPFWMRSLE
+PWDKLITSLTSCFQMRCCCCCRVCCRLCCGLCGCSKCCRCTKCSEDLEEGKDEPVKSPEA
+FNNLAMDKEAQDGVTKSEVDASGTKIVSSVTAL
+>sp|Q3T112|PSB8_BOVIN Proteasome subunit beta type-8 OS=Bos taurus OX=9913 GN=PSMB8 PE=1 SV=2
+MALLDVCGAPGGQRGDWAVPLAGSRQRSDPGHYGFSLRSPELALPRGMQPTEFFRSLGGN
+GESKVQIEMAHGTTTLAFKFQHGVIVAVDSRASAGNYIATLKVNKVIEINPYLLGTMSGC
+AADCLYWERLLAKECRLYYLRNGERISVSAASKLLSNMMCQYRGMGLSMGSMICGWDKKG
+PGLYYVDENGTRLSGNMFSTGSGNSHAYGVMDSGYRPDLSIEEAYDLGRRAIVHATHRDS
+YSGGVVNMYHMKEDGWVKVESTDVSDLMHQYREASQ
+>sp|P52174|NDKA1_BOVIN Nucleoside diphosphate kinase A 1 OS=Bos taurus OX=9913 GN=NME1-1 PE=1 SV=3
+MANSERTFIAIKPDGVQRGLIGEIIKRFEQKGFRLVAMKFMRASEDLLKEHYIDLKDRPF
+FAGLVKYMHSGPVVAMVWEGLNVVKTGRVMLGETNPADSKPGTIRGDFCIQVGRNIIHGS
+DSVESAEKEIALWFHPEELVNYKSCAQNWIYE
+>sp|Q58DE2|MSTRO_BOVIN Protein maestro OS=Bos taurus OX=9913 GN=MRO PE=2 SV=1
+MDQTPRRMLGQPLSSPATQPKKRSTSVMSFFSKVSWNLRLQKQEPLKNVFFILAETARDP
+SVKKRHMVMRGLGTMACETPDKVRKYKKIILDLLVHGLYDPVSSEVIHESMKTLTIILGK
+MQGKALGSFFIDITLQTRTLLDDENDSLRYSAFVLFGQLADLAGRKWKSFFTRQVKQTQD
+SLLTHLQDRNPQVAKACKTTFRACSPYLRQSKDYSFQNEEDQRNPKLCRQLVSERGQETF
+FQSLRSRCRCKAEGGRHTRELPP
+>sp|Q1JQE6|NCEH1_BOVIN Neutral cholesterol ester hydrolase 1 OS=Bos taurus OX=9913 GN=NCEH1 PE=2 SV=2
+MRSSCVLLTALLALAAYYIYIPLPSSVSDPWKLMLLDATFRSAQQVSNLIHFLGLSHHLL
+ALNFIIVSFGKKSAWSSAQVKVTDTDFDGVEVRVFEGPPKPEEPLKRSIVYIHGGGWALA
+SAKIRYYDELCTTMAEELNAVIVSIEYRLVPKVYFPEQIHDVVHATKYFLQPEVLHKYSV
+DPGRVGISGDSAGGNLAAALGQQFNQDTNLKNKLKVQALIYPVLQALDFNTPSYQQNMNT
+PILPRYVMVKYWVDYFNGNYDFVQAMIVNNHTSLDVDEASALRARLNWTSLLPTSITKNY
+KPVMQTTGNSRIVQEIPQLLDARSAPLIADQEVLQHLPKTYILTCEHDVLRDDGIMYAKR
+LESAGVEVTLDHFEDGFHGCMIFTSWPTNFSVGIRTRNSYIKWLDQNL
+>sp|Q58CN9|NAPEP_BOVIN N-acyl-phosphatidylethanolamine-hydrolyzing phospholipase D OS=Bos taurus OX=9913 GN=NAPEPLD PE=2 SV=1
+MDENETNQLLMTSNQYPKEAVRKRQNSRNSGGSDSSRFSRKSFKLDYRLEEDVTKSKKGK
+DGRFVNPWPTWKNPSIPSLLRWVITERDHSSVPCSKELDKELPVLKPYFIDDPEEAGVRG
+AGLRVTWLGHATVMVEMDELILLTDPIFSARASPSQRMGPKRFRRAPCTVEELPRIDAVL
+VSHNHYDHLDCNSVIALNERFGNELRWFVPLGLLDWMQKCGCENVIELDWWEENCVPGHD
+KVTFVFTPSQHWCKRTLMDDNKVLWGSWSVLGPWNRFFFAGDTGYCSAFEEIGKRFGPFD
+LAAIPIGAYEPRWFMKYQHVDPEEAVKIHIDVQAKKSVAIHWGTFALANEHYLEPPAKLC
+EALEKYRLKTEDFLVLKHGESRYLNTDDEDVE
+>sp|Q1LZF1|PAX6_BOVIN Paired box protein Pax-6 OS=Bos taurus OX=9913 GN=PAX6 PE=2 SV=1
+MQNSHSGVNQLGGVFVNGRPLPDSTRQKIVELAHSGARPCDISRILQVSNGCVSKILGRY
+YETGSIRPRAIGGSKPRVATPEVVSKIAQYKRECPSIFAWEIRDRLLSEGVCTNDNIPSV
+SSINRVLRNLASEKQQMGADGMYDKLRMLNGQTGSWGTRPGWYPGTSVPGQPTQDGCQQQ
+EGGGENTNSISSNGEDSDEAQMRLQLKRKLQRNRTSFTQEQIEALEKEFERTHYPDVFAR
+ERLAAKIDLPEARIQVWFSNRRAKWRREEKLRNQRRQASNTPSHIPISSSFSTSVYQPIP
+QPTTPVSSFTSGSMLGRTDTALTNTYSALPPMPSFTMANNLPMQPPVPSQTSSYSCMLPT
+SPSVNGRSYDTYTPPHMQTHMNSQPMGTSGATSTGLISPGVSVPVQVPGSEPDMSQYWPR
+LQ
+>sp|Q0P5M0|PRR19_BOVIN Proline-rich protein 19 OS=Bos taurus OX=9913 GN=PRR19 PE=2 SV=1
+MDPGEPAPKPFQQPEKPGRVRRRKTRRERNEALAGSRQPLTYQHPPVASRDPHMVLRNSV
+APTAAKLVVITQGRLSRDHRGLFNHEVKSLDVARLLSSESLELGTPALTTKSSPSPGRGQ
+QPSLQSRGKENQVPGGSGPGPPSPPQLPDLEQLLGELQCQLILPRAFPRRNLVQEARDAI
+VGTLQACHGCVPDLTLVLRGCQPHLPGTDPGALKRQRMTPSWINSPEQAPGEGRQRRRQG
+TKELTFAVPPTSSTPTVHQVSLAPPKGPWPPPLSSLPSPSGAAWGPPTAFDLLKSIWLVA
+TPPPPRPWGIGPPQLLPQPPSPLLPRSSALDWSPSPPAPLPSLSWMVAQSSPEAWSFPPM
+RLY
+>sp|P10522|MYP0_BOVIN Myelin protein P0 OS=Bos taurus OX=9913 GN=MPZ PE=1 SV=2
+MAPGAPSSSPSPILAALLFSSLVLSPVQAIVVYTDKEVHGAVGSQVTLYCSFWSSEWVSD
+DLSFTWRYQPEGGRDAISIFHYAKGQPYIDEVGTFKERIQWVGDPHRKDGSIVIHNLDYG
+DNGTFTCDVKNPPDIVGKTSQVTLYVFEKVPTRYGVVLGAVIGGVLGVVLLALLLFYLIR
+YCWLRRQAALQRRLSAMEKGKLHKTAKDASKRGRQTPVLYAMLDHSRSTKAASEKKTKGL
+GESRKDKK
+>sp|Q0VCA7|OXSM_BOVIN 3-oxoacyl-[acyl-carrier-protein] synthase, mitochondrial OS=Bos taurus OX=9913 GN=OXSM PE=2 SV=1
+MLSDGLQIFLRITKCHLIHARSCQRLVNERRFLATAPAPGLRRRVVITGIGLVTPLGVGT
+QLVWDRLVRGESGIVSLVGDEYQSIPCSVAAYVPRGCDEGQFNEQNFVPKSDTKSMSPPT
+VMAIAAAELALKDAGWHPQSEADQAATGVAIGMGMVPLEVISETALTFQTKGYSKVSPFF
+VPKILVNMASGQVSIRHKLKGPNHAVSTACTTGAHAVGDSFRFVAHGDADVMVAGGTDSC
+ISPLSLAGFARARALSTNTDPKSACRPFHPQRDGFVMGEGAAVLVLEEHRHALRRGARVY
+AEIVGYGLSGDAGHITAPDPGGEGAFRCMAAAVKDAGIQPEEVSYINAHATSTPLGDAAE
+NKAIKQLFKDHAHVLAVSSTKGATGHLLGTAGAAEAAFTALACYHRKLPPTLNLDCTEPH
+FDLNYVPLKAQEWKAENRRIALTNSFGFGGTNATLCIAGM
+>sp|Q28062|PGCB_BOVIN Brevican core protein OS=Bos taurus OX=9913 GN=BCAN PE=1 SV=1
+MAPLFLPLLATLVLAWIPVALADALEGDSSEDRAFRVRIAGDAPLQGVLGGALTIPCHVH
+YLRPSPSRRAAQGSPRVKWTFLSGGREAEVLVARGLRVKVSEAYRFRVALPAYPASLTDV
+SLVLSELRPNDSGIYRCEVQHGIDDSSDAVEVKVKGVVFLYREGSARYAFSFAGAQEACA
+RIGARIATPEQLYAAYLGGYEQCDAGWLSDQTVRYPIQTPREACYGDMDGFPGVRNYGVV
+DPDDLYDVYCYAEELNGELFLGAPPDKLTLEEARTYCQERGAKIATTGQLYAAWDGGLDR
+CSSGWLSDGSVRYPIVTPSQRCGGGLPGVKTLFLFPNQTGFPNKHSRFNVYCFRDSAQPS
+AIPEAANPASHLASDALEAIVTVTETLEELKLPQEAVESESRGAIYSIPIIEDGGGGSST
+PEDPAEAPRTLLEFETQSIVPPLGSSEEEGKVLEQEEKYRGEEEKEEEEEEEEVEDEALW
+AWPSELSSLDPEAPLPTEPVPEESLTQASPPVRAALQPGVSPPPYDEPEAPRPPRVLGPP
+TKTLPTPREGNLASPPPSTLVGAREIEEETGGPELSGAPRGESEETGSSEDAPSLLPATR
+APGDTRDLETPSEENSRRTVPAGTSVRAQPVLPTDSASRGGVAVAPSSGDCVPSPCHNGG
+TCLEEEEGVRCLCLPGYGGDLCDVGLHFCSPGWDAFQGACYKHFSARRSWEEAENKCRMY
+GAHLASISTPEEQDFINNRYREYQWIGLNDRTIEGDFLWSDGVPLLYENWNPGQPDSYFL
+SGENCVVMVWHDQGQWSDVPCNYHLSYTCKMGLVSCGPPPELPLAEVFGRPRLRYEVDTV
+LRYRCREGLTQRNLPLIRCQENGRWGLPQISCVPRRPARALRPVEAQEGRPWRLVGHWKA
+RLNPSPNPAPGP
+>sp|Q2NL31|MTNA_BOVIN Methylthioribose-1-phosphate isomerase OS=Bos taurus OX=9913 GN=MRI1 PE=2 SV=1
+MTLEAIRYSRGSLQILDQLLLPQQSRYEAVGSVRQAWEAIRAMKVRGAPAIALVGCLSLA
+VELQAGAGGPGLAALVAFVQDALSFLVTARPTAVNMARAARDLADLAAQEAEREGATEEA
+VRERVICWAEDMLDKDLRDNRSIGDLGAHHLLKRAAPQGGKVTVLTHCNTGALATAGYGT
+ALGVIRSLHNLGRLEHAFCTETRPYNQGARLTAFELVYEQIPATLIADSMAAAAMAHQGV
+SAVVVGADRVVANGDTANKVGTYQLAIAAKHHGIPFYVAAPSSSCDLRLETGREIVIEER
+PDQELTDVNGVRIAAPGIGVWNPAFDVTPHDLITGGIITELGVFAPEELQAALSATIS
+>sp|Q0P5J0|QRIC1_BOVIN Glutamine-rich protein 1 OS=Bos taurus OX=9913 GN=QRICH1 PE=2 SV=2
+MNNSLENTISFEEYIRVKARSVPQHRMKEFLDSLASKGPEALQEFQQTATTTMVYQQGGN
+CIYTDSTEVAGSLLELACPVTTSVQPQTQPEQQIQVQQPQQVQVQVQVQQSPQQVSAQQL
+SPQLTVHQPAEQPIHVQVQIQGQAAQPAAPSIQTPSLQSPSPSQLQAAQIQVQHMQAAQQ
+IQAPEIPEEHIPHQQIQAQLVAGQSLAGGQQIQIQTVGALSPPPSQQGSPREGERRVGTA
+SVLQPVKKRKVDMPITVSYAISGQPVATVLAIPQGQQQSYVSLRPDLLTVDSAHLYSATG
+TITSPTGETWTIPVYSAQPRGDPQQQSITHIAIPQEAYNAVHVSGSPTALAAVKLEDDKE
+KMVGTTSVVKNSHEEVVQTLANSLFPAQFMNGNIHIPVAVQAVAGTYQNTAQTVHIWDPQ
+QQPQQQTPQEQTPPPPQQQQQQQLQVTCSAQTVQVAEVEPQSQPQPSPELLLPNSLKPEE
+GLEVWKNWAQTKNAELEKDAQNRLAPIGRRQLLRFQEDLISSAVAELNYGLCLMTREARN
+GEGEPYDPDVLYYIFLCIQKYLFENGRVDDIFSDLYYVRFTEWLHEVLKDVQPRVTPLGY
+VLPSHVTEEMLWECKQLGAHSPSTLLTTLMFFNTKYFLLKTVDQHMKLAFSKVLRQTKKN
+PSNPKDKSTSIRYLKALGIHQTGQKVTDDMYAEQTENPENPLRCPIKLYDFYLFKCPQSV
+KGRNDTFYLTPEPVVAPNSPIWYSVQPISREQMGQMLTRILVIREIQEAIAVASASTMH
+>sp|Q0VCE9|RIMKB_BOVIN Beta-citrylglutamate synthase B OS=Bos taurus OX=9913 GN=RIMKLB PE=2 SV=1
+MCSSVAAKLWFLTDRRIREDYPQKEILRALKAKCCEEELDFRAVVMDELVLTVEQGNLGL
+RINGELITAYPQVVVVRVPTPWVQSDSDITVLRHLEKMGCRLMNRPQAILNCVNKFWTFQ
+ELAGHGVPLPDTFSYGGHENFAKMIDEAEVLEFPMVVKNTRGHRGKAVFLARDKHHLADL
+SHLIRHEAPYLFQKYVKESHGRDVRVIVVGGRVVGTMLRCSTDGRMQSNCSLGGVGMMCS
+LSEQGKQLAIQVSNILGMDVCGIDLLMKDDGSFCVCEANANVGFIAFDKACNLDVAGIIA
+DYAASLLPSGRLTRRMSLLSVVSTASETSEPELGPQANTAVDNMSASSSSVDSDPETTER
+ELLTKLPGGLFNMNQLLANEIKLLVE
+>sp|Q3T0L7|RL28_BOVIN 60S ribosomal protein L28 OS=Bos taurus OX=9913 GN=RPL28 PE=2 SV=3
+MSAHLQWMVVRNCSSFLIKRNKQTYSTEPNNLKARNSFRYNGLIHRKTVGVEPAADGKGV
+VVVMKRRSGQRKPATSYVRTTINKNARATLSSIRHMIRKNKYRPDLRMAAIRRASAILRS
+QKPVMVKRKPSRPTKSS
+>sp|A3KMV1|SHKB1_BOVIN SH3KBP1-binding protein 1 OS=Bos taurus OX=9913 GN=SHKBP1 PE=2 SV=1
+MAAAAPAVDGVPGRGPPGEVIHLNVGGKRFSTSRQTLTWIPDSFFSSLLSGRISTLKDET
+GAIFIDRDPTVFAPILNFLRTKELDPRGVHGSSLLHEAQFYGLTPLVRRLQLLEELDRSS
+CGNVLFTGYLPPPVFPVKRRNRHSLVGPQQAGGRPAPVRRSNTMPPNLGNAGLLGRMLDE
+KSPPSPSGLPEEPGMVRLVCGHHNWIAVAYTQFLVCYRLKEASGWQLVFSSPRLDWPIER
+LALTARVLGGALGEHDKMVAVATGSEILLWALQPEGGGSEIGVFHLGVPVEALFFVGNQL
+IATSHTGRIGVWNAVTKHWQVQEVQPITSYDAAGSFLLLGCNNGSIYYVDVQKFPLRMKD
+NDLLVSELYRDPAEDGVTALSVYLTPKTSDSGNWIEIAYGTSSGGVRVIVQHPETVGSGP
+QLFQTFTVHRSPVTKIMLSEKHLISVCADSNHVRTWSVTRFRGMISTQPGSTPLASFKIL
+ALESADGHGGCSAGNDIGPYGERDDQQVFIQKVVPSASQLFVRLSSTGQRVCSVRSVDGS
+PTTAFTVLECEGSRRLGSRPRRYLLTGQANGSLAMWDLTTAMDGLGQAPAGGLTEEELME
+QLEQCDLAPLASSRGSLPSPSPRTSLTSLHSAFSNTSLSSRRGSPSPPQAEARRRGGGSF
+VERCQELVRSGPEPRRPPTPAPRPSSGLGAPLVPPKTKLNETSF
+>sp|A2VE14|SAE1_BOVIN SUMO-activating enzyme subunit 1 OS=Bos taurus OX=9913 GN=SAE1 PE=2 SV=1
+MVEKEEAGGGISEEEAAQYDRQIRLWGLEAQKRLRASQVLLVGMKGLGAEIAKNLILAGV
+KGLTMLDHEQVSPEDPGAQFLIRTGSVGRNRAEASLERAQNLNPMVDVKVDTENIEKKPE
+SFFTQFDAVCLTCCSRDVIVKVDQICHKNSIKFFTGDVFGYHGYTFANLGEHEFVEEKTK
+VAKVSQGVEDGPDTKRAKLDSSETTMVKKKVVFCSVKEALEVDWSSDKAKAALKRTTPDY
+FLLQVLLKFRTDKGRDPSSDTFGEDSELLLQIRNDVLDALGVNPDLLPEDFVRYCFSEMA
+PVCAVVGGILAQEIVKALSQRDPPHNNFFFFDGMKGNGIVECLGPN
+>sp|Q3T142|RM45_BOVIN 39S ribosomal protein L45, mitochondrial OS=Bos taurus OX=9913 GN=MRPL45 PE=2 SV=1
+MAAPLPRSLSCLSRAVGWWSRQPILMTQSTAVVPVRTKKRFTPPIYQPKYKTEKEFTEYA
+RKAGLVIPQESLERPIHLACTASIFDAYVPPEGDARVSSLSKEGLAQRTERLKKNVASQL
+SIRRIKESDPNFKVKDFPEKAQDIFIEAHLCLNNSDHDRLHTLVTENCFPDMVWDIKYKT
+VSWSFVESLEPPQVVQVRCSSLVTKSNTYGQVTVRMHTRQTLAIYDRFGRLMYGQEDVPR
+DVLEYVVFEKHLANPYGSWRMHGKIVPPWAPPKQPILKTVMIPGPQLKPGEEYEELQREA
+HKPQLA
+>sp|Q05B63|TRM11_BOVIN tRNA (guanine(10)-N2)-methyltransferase homolog OS=Bos taurus OX=9913 GN=TRMT11 PE=2 SV=1
+MAPPGILNRYLLLMAQEHLEFRLPEIKSLLSLFGGQFISSQETYGKSPFWILSIPSEDIA
+RNLMKRTVCAKSIFELWGHGKCPEELYSSLKNYPVEKMVPFLHSDSTYKIKIHTFNKTLT
+QEEKVKRIDALEFLPFEGKVNLKKPQHVFSILEDYGLDPNHIPENPHNIYFGRWIADGQR
+ELIESYSVKKRHFIGNTSMDAGLSFIMANHGKVKKNDIVFDPFVGTGGLLIASAHFGAYV
+YGTDIDYNTVHGLGKASRKNQKWRGPDENIRANLRQYGLEKYYLDVLVSDASKPSWRKGT
+YFDAIITDPPYGIRESTRRTGSQKEIPKGIEKCPESHVPVSLSYHLSDMFFDLLNFSAET
+LVLGGRLVYWLPVYTPEYTEEMVPWHPCLKLISNCEQKLSSHTSRRLITMEKVKEFENRD
+QYSHLLSDHFLLYQGHNSFREKYFSGVTKRIAKEEKSSQE
+>sp|A4IFL0|TIM23_BOVIN Mitochondrial import inner membrane translocase subunit Tim23 OS=Bos taurus OX=9913 GN=TIMM23 PE=2 SV=1
+MEGGGGSGNKTTGGLAGFFGAGGAGYSHADLAGVPLTGMNPLSPYLNVDPRYLVQDTDEF
+ILPTGANKTRGRFELAFFTIGGCCMTGAAFGAMNGLRLGLKETQNMAWSKPRNVQILNMV
+TRQGALWANTLGSLALLYSAFGVIIEKTRGAEDDLNTVAAGTMTGMLYKCTGGLRGAARG
+GLAGLTLTGLYALYNNWEHMKGSVLQQSL
+>sp|Q1RMU7|SESQ2_BOVIN Sesquipedalian-2 OS=Bos taurus OX=9913 GN=PHETA2 PE=2 SV=1
+MKLNERSVAHYALSDSPADHTGFLRTWGGAGTPPTPSGAGRKCWFVLKGNLLFSFESREG
+RAPLSLVVLEGCTVELAEAPVPEEFAFAIRFDAPGVRPHLLAADGPAAQEAWVKALSRAS
+FGYMRLVVRELESQLREARHSLDLHRRSSWKAVSSRCKPQAPDRWSPGLENGHSLSRDCS
+PMGLVEEGGSRPAGRGLAEWELQGPASLLLGRGQSPVSPETSCFSTLHEWYGREIMELRR
+AWLQRAQENQPECKDQDRP
+>sp|Q3SZB3|TIM50_BOVIN Mitochondrial import inner membrane translocase subunit TIM50 OS=Bos taurus OX=9913 GN=TIMM50 PE=2 SV=1
+MAASAAVFLRLRSGLRQGARGLCARLATPPPRAPDQAAEIGSRAGTKAQTQGPQQQRSSE
+GPSYAKKVALWLARLLGAGGTVSVIYIFGNNAVDENGAKIPDEFDNDPILVQQLRRTYKY
+FKDYRQMIIEPTSPCLLPDPLREPYYQPPYTLVLELTGVLLHPEWSLATGWRFKKRPGIE
+TLFQQLAPLYEIVIFTSETGMTAFPLIDSVDPHGFISYRLFRDATRYMDGHHVKDISCLN
+RDPARVVVVDCKKEAFRLQPYNGVALRPWDGNSDDRVLLDLSAFLKTIALNGVEDVRTVL
+EHYALEEDPLEAFKQRQSRLEQEEQQRLAELSKSSKQNLFFSSLTSRLWPRSKQP
+>sp|A5PJN5|TCAF1_BOVIN TRPM8 channel-associated factor 1 OS=Bos taurus OX=9913 GN=TCAF1 PE=2 SV=1
+MATPSAAFEALMNGVTSWNVPEDAVPCELLLIGEASFPVMVNDMGQVLIAASSYGRGRLV
+VVSHEDYLVEAQLTPFLLNAVGWLCSSPGAPVGVHPSLAPLAKILEGSGVEAKVEPEVKD
+SLGVYCIDAYNETMTEKLVKFMKRGGGLLIGGQAWDWANQGDDERVLFTFPGNLVTSVAG
+VYFTDNKGDTSFFKVSKKMPKIPVLVSCEDDLSEDRDELLHGISELDITNSDCFPSQLLV
+HGALAFPLGLDSYHGCVIAAARYGRGRVVVTGHKVLFTVGKLGPFLLNAVRWLDAGRRGK
+IVVQTELRTLSGLLAVGGIDTSIEPNLTSDASVYCFEPVSDVGVKELQEFVAEGGGLFVG
+AQAWWWAFKNPGVSPLARFPGNLLLNPFGISITSQSLNPGPFRTPKAGIRTYHFRSTLAE
+FQVIMGRKRGNVEKGWLAKLGPDGAAFLQIPAEEIPAYMSVHRLLRKLLSRYRLPVATRE
+NPVINDCCRGAMLSLATGLAHSGSDLSLLVPEIEDMYSSPYLRPSESPITVEVNCNNPGT
+RYCWMSTGLYIPGRQIIEVSLPEAAASADLKIQIGCHTDDLTRASKLFRGPLVINRCCLD
+KPTKSITCLWGGLLYIIVPQSSKLGTVPVTIKGAVRAPYYKLGETTQEEWKRQIQENPGP
+WGELATDNIILTVPTANLRTLENPEPLLRLWDEVMQAVARLGAEPFPLRLPQRIVADVQI
+SVGWMHAGYPIMCHLESVQELINEKLIRTKGLWGPVHELGRNQQRQEWEFPPHTTEATCN
+LWCVYVHETVLGIPRGRANIALWPPVREKRVRIYLGKGPNVKNWNAWTALETYLQLQEAF
+GWEPFIRLFTEYRNQTNLPTDNVDKMNLWVKMFSHQVQKNLAPFFEAWAWPIQKEVATSL
+AYLPEWEENIMKLYLLTQMPH
+>sp|Q95115|STA5A_BOVIN Signal transducer and activator of transcription 5A OS=Bos taurus OX=9913 GN=STAT5A PE=2 SV=2
+MAGWIQAQQLQGDALRQMQVLYGQHFPIEVRHYLAQWIESQPWDAIDLDNPQDRAQATQL
+LEGLVQELQKKAEHQVGEDGFLLKIKLGHYATQLQNTYDRCPMELVRCIRHILYNEQRLV
+REANNGSSSAGILVDAMSQKHLQINQTFEELRLVTQDTENELKKLQQTQEYFIIQYQESL
+RIQAQFAQLAQLNPQERLSRETALQQKQVSLEAWLQREAQTLQQYRVELAEKHQKTLQLL
+RKQQTIILDDELIQWKRRQQLAGNGGPPEGSLDVLQSWCEKLAEIIWQNRQQIRRAEHLC
+QQLPIPGPVEEMLAEVNATITDIISALVTSTFIIEKQPPQVLKTQTKFAATVRLLVGGKL
+NVHMNPPQVKATIISEQQAKSLLKNENTRNECSGEILNNCCVMEYHQATGTLSAHFRNMS
+LKRIKRADRRGAESVTEEKFTVLFESQFSVGSNELVFQVKTLSLPVVVIVHGSQDHNATA
+TVLWDNAFAEPGRVPFAVPDKVLWPQLCEALNMKFKAEVQSNRGLTKENLVFLAQKLFNS
+SSSHLEDYNGMSVSWSQFNRENLPGWNYTFWQWFDGVMEVLKKHHKPHWNDGAILGFVNK
+QQAHDLLINKPDGTFLLRFSDSEIGGITIAWKFDSPDRNLWNLKPFTTRDFSIRSLADRL
+GDLNYLIYVFPDRPKDEVFSKYYTPVLAKAVDGYVKPQIKQVVPEFVSASADSAGSNATY
+MDQAPSPAVCPQPHYNMYPQNPDPVLDQDGEFDLDETMDVARHVEELLRRPMDSLEPSLP
+PPTGLFTPGRGSLS
+>sp|A4IF63|TRIM2_BOVIN Tripartite motif-containing protein 2 OS=Bos taurus OX=9913 GN=TRIM2 PE=2 SV=1
+MASEATNIPSPVVRQIDKQFLICSICLERYKNPKVLPCLHTFCERCLQNYIPAHSLTLSC
+PVCRQTSILPEKGVAALQNNFFITNLMDVLQRSPGSSAEESSILETVTAVAAGKPLSCPN
+HDGNVMDFYCQSCETAMCRECTEGEHAEHPTVPLKDVVEQHKASLQVQLDAANRRLPEID
+SALQFISEIIHQLTNQKASIVDDIHSTFDELQKTLNVRKSVLLMELEVNYGLKHKVLQSQ
+LDTLLEGQESIKSCSNFTAQALNHGTETEVLLVKKQMSEKLNELADQDFPLHPRENDQLD
+FIVETEGLKKSIHNLGTILTTNAVASETVATGEGLRQTIIGQPMSVTITTKDKDGELCKT
+GNAYLTAELSTPDGSVADGEILDNKNGTYEFLYTVQKEGDFTLSLRLYDQHIRGSPFKLK
+VIRSADVSPTTEGVKRRVKSPGSGHVKQKAVKRPASMYSTGKRKENPIEDDLIFRVGTKG
+RNKGEFTNLQGVAASTNGKILIADSNNQCVQIFSNDGQFKSRFGIRGRSPGQLQRPTGVA
+VHPSGDIIIADYDNKWVSIFSSDGKFKTKIGSGKLMGPKGVSVDRNGHIIVVDNKACCVF
+IFQPNGKIVTRFGSRGNGDRQFAGPHFAAVNSNNEIIVTDFHNHSVKVFNQEGEFMLKFG
+SNGEGNGQFNAPTGVAVDSNGNIIVADWGNSRIQVFDGSGSFLSYINTSADPLYGPQGLA
+LTSDGHVVVADSGNHCFKVYRYLQ
+>sp|Q59I47|SMUG1_BOVIN Single-strand selective monofunctional uracil DNA glycosylase OS=Bos taurus OX=9913 GN=SMUG1 PE=2 SV=1
+MAVPQPFPSGPHLQPAGALMEPQPSPRSLAEGFLQEELRLNDELRQLQFSELVGIVYNPV
+EYAWEPHRSYVTRYCQGPKQVLFLGMNPGPFGMAQTGVPFGEVSVVRDWLGLGGPVRTPP
+QEHPKRPVLGLECPQSEVSGARFWGFFRNLCGQPEVFFRHCFVHNLCPLLLLAPSGRNIT
+PAELPAKQREQLLGVCDAALCRQVQLLGVRLVVGVGRVAEQRARRALASLMPEVQVEGLL
+HPSPRSPQANKGWEAVAKERLNELGLLPLLTS
+>sp|Q9N2I8|TRXR2_BOVIN Thioredoxin reductase 2, mitochondrial OS=Bos taurus OX=9913 GN=TXNRD2 PE=1 SV=2
+MAALRGAAARFRGRAPGGARGAAGRQCYDLLVIGGGSGGLACAKEAAQLGKKVAVLDYVE
+PSPQGTRWGLGGTCVNVGCIPKKLMHQAALLGGMIRDAPHYGWGVAQAPHSWATLADAVQ
+NHVKSLNWGHRIQLQDRKVKYFNVKASFVDTHTVCGVSKGGEETLLSAEHIVIATGGRPR
+YPTHIEGALEYGITSDDLFWLKESPGKTLVVGASYVALECAGLLTGLGLDTTVMIRSVPL
+RAFDQQMASLVTEHMAGHGTRILRGCAPEKVEKLPGQQLRVTWVDLTSDRKDAGTFDTVL
+WAIGRVPETASLNLEKAGVHTNPVTGKILVDAQETTSVPHIYAIGDVAEGRPELTPTAIM
+AGRLLAQRLSGRTSDLMDYSSVPTTVFTPLEYGCVGLSEEAAVARHGEEHVEVYHAFYKP
+LEFTVPQRDASQCYIKMVCLREPPQLVLGLHFLGPNAGEVIQGFALGIKCGASYQQLMRT
+VGIHPTCAEEVAKLRISKRSGLDPTVTGCUG
+>sp|Q3ZBU7|TBB4A_BOVIN Tubulin beta-4A chain OS=Bos taurus OX=9913 GN=TUBB4A PE=2 SV=1
+MREIVHLQAGQCGNQIGAKFWEVISDEHGIDPTGTYHGDSDLQLERINVYYNEATGGNYV
+PRAVLVDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELVDAVLDVV
+RKEAESCDCLQGFQLTHSLGGGTGSGMGTLLISKIREEFPDRIMNTFSVVPSPKVSDTVV
+EPYNATLSVHQLVENTDETYCIDNEALYDICFRTLKLTTPTYGDLNHLVSATMSGVTTCL
+RFPGQLNADLRKLAVNMVPFPRLHFFMPGFAPLTSRGSQQYRALTVPELTQQMFDAKNMM
+AACDPRHGRYLTVAAVFRGRMSMKEVDEQMLSVQSKNSSYFVEWIPNNVKTAVCDIPPRG
+LKMAATFIGNSTAIQELFKRISEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVS
+EYQQYQDATAEEGEFEEEAEEEVA
+>sp|Q7YRQ8|TFPI2_BOVIN Tissue factor pathway inhibitor 2 OS=Bos taurus OX=9913 GN=TFPI2 PE=2 SV=1
+MDSVRPLWLMLLSLLLVGTALGDASQAPPGNNAEICLLPPDDGPCRARIPSYYYDRYTQS
+CREFMYGGCEGNANNFETLEACNEACWKIEKVPKICRLKVNKKQCGELREQYFFNLSSMT
+CKKFISGGCHSNENRFPDEATCMDFCAPKRAPVFCYSPKDEGLCSANVTRYYFNPRHKAC
+EAFNYTGCGGNDNNFVNLKDCKRTCVKALKKEKNKKMPRLLLANRRLKIKKKQF
+>sp|O97563|TIMP4_BOVIN Metalloproteinase inhibitor 4 OS=Bos taurus OX=9913 GN=TIMP4 PE=2 SV=2
+MPQSPRPVPSWALLLRLLALLRPPGLGEACSCAPAHPQQHVCHSALAIRAKISSEKVVPA
+STDPADPQKMIRYEIKQIKMFKGFEKVNDIQYIYTPFDSSLCGVKLEANSQKRYLLTGQI
+LSDGKVFVHLCNYIEPWENLSFLQRESLNHHYHLNCGCQITTCYAVPCTISAPNECLWTD
+WLLERKLYGYQAQHYVCMKHVDGSCSWYQGRLPLRKEFVDIIQP
+>sp|P81125|SNAA_BOVIN Alpha-soluble NSF attachment protein OS=Bos taurus OX=9913 GN=NAPA PE=1 SV=1
+MDNSGKEAEAMALLAEAERKVKNSQSFFSGLFGGSSKIEEACEIYARAANMFKMAKNWSA
+AGSAFCQAAHVHLQLQSKHDAATCFVDAGNAFKKADPQEAINCLMRAIEIYTDMGRFTIA
+AKHHISIAEIYETELVDIEKAIAHYEQSADYYKGEESNSSANKCLLKVAGYAAQLEQYQK
+AIDIYEQVGTNAMDSPLLKYSAKDYFFKAALCHFCIDMLNAKLAVQKYEELFPAFSDSRE
+CKRIKKLLEAHEEQNVDSYTEAVKEYDSISRLDQWLTTMLLRIKKTIQGDEEDLR
+>sp|Q3T0Z8|RUXF_BOVIN Small nuclear ribonucleoprotein F OS=Bos taurus OX=9913 GN=SNRPF PE=3 SV=2
+MSLPLNPKPFLNGLTGKPVMVKLKWGMEYKGYLVSVDGYMNMQLANTEEYIDGALSGHLG
+EVLIRCNNVLYIRGVEEEEEDGEMRE
+>sp|Q1JPD8|S43A3_BOVIN Solute carrier family 43 member 3 OS=Bos taurus OX=9913 GN=SLC43A3 PE=2 SV=1
+MQGRSLPFRVATLVTGLLECLGFAGVLFGWTSLVFVFKKQHYFEELCELDAGSLGNATGL
+DECRARDERFSLIFTLASFTINFMTFPTGYIFDRFKTTVARLIAIFLYTSATLTIAFTSA
+DSAVLLFLAMPMLAVGGILFLITNLQIGNLFGKHRSTIITMYNGAFDSSSAVFLIIKLLY
+EQGVTIKASFLFISVCSAWHVGRTLLLMPRGHIPYPLPANYHYGLCSRDSPPEEDKKEAE
+SEKLELQSKEFLSPKKETPGQQQAPGSFWSHTFSPRFAWHVVWLSVIQLWHYLFIGTLNS
+LLNNLASRDSAIVSTYTNAFAVTQFFGVLCAPWNGLLMDRLKHKYQEEAKRTGAVAKAAA
+LRSVVPSLTLTSLLSLGFAVCASIPVLPLQYATFILQVVSRSFLYGCNAAFLTLAFPSEH
+FGKLFGLVMALSAVVSLLQFPLFTLIKGPLQNDPLYVNLMLVLLTLLTFIHPFLVNRECQ
+RKESPREVA
+>sp|Q3T0K2|TCPG_BOVIN T-complex protein 1 subunit gamma OS=Bos taurus OX=9913 GN=CCT3 PE=1 SV=1
+MMGHRPVLVLSQNTKRESGRKVQSGNINAAKTIADIIRTCLGPKSMMKMLLDPMGGIVMT
+NDGNAILREIQVQHPAAKSMIEISRTQDEEVGDGTTSVIILAGEMLSVAEHFLEQQMHPT
+VVISAYRKALDDMISTLKKISIPVDTSNRDTMLNIINSSITTKVISRWSSLACNIALDAV
+KTVQFEENGRKEIDIKKYARVEKIPGGIIEDSCVLRGVMINKDVTHPRMRRYIKNPRIVL
+LDSSLEYKKGESQTDIEITREEDFTRILQMEEEYIQQLCEDIIQLKPDVVITEKGISDLA
+QHYLMRANITAIRRVRKTDNNRIARACGARIVSRPEELREEDVGTGAGLLEIKKIGDEYF
+TFITECKDPKACTILLRGASKEILSEVERNLQDAMQVCRNVLLDPQLVPGGGASEMAVAH
+ALTEKSKAMTGVEQWPYRAVAQALEVIPRTLIQNCGASTIRLLTSLRAKHTQENCETWGV
+NGETGTLVDMKELGIWEPLAVKLQTYKTAVETAVLLLRIDDIVSGHKKKGDDQSRQGGAP
+DAGQE
+>sp|Q2KI76|SELS_BOVIN Selenoprotein S OS=Bos taurus OX=9913 GN=SELENOS PE=2 SV=2
+MERDGDQLSARPTLETEGLRFLHVTVGSLLATYGWYIVFSCILLYVVFQKLSTRLRALRQ
+RHLDQAAAALEPDIVVKRQEALAAARLKMQEELNAQVEKHKEKLRQLEEEKRRQKIEMWD
+SMQEGKSYKGNTRKPQEEDSPGPSTSSVIPKRKSDRKPLRGGGYNPLSGEGGGTCSWRPG
+RRGPSSGGUG
+>sp|Q2HJ81|TBB6_BOVIN Tubulin beta-6 chain OS=Bos taurus OX=9913 GN=TUBB6 PE=2 SV=1
+MREIVHIQAGQCGNQIGTKFWEVISDEHGIDPAGGYVGDSALQLERINVYYNESSSQKYV
+PRAALVDLEPGTMDSVRSGPFGQLFRPDNFIFGQTGAGNNWAKGHYTEGAELVDSVLDVV
+RKECEHCDCLQGFQLTHSLGGGTGSGMGTLLISKIREEYPDRIMNTFSVMPSPKVSDTVV
+EPYNATLSVHQLVENTDETYCIDNEALYDICFRTLKLTTPTYGDLNHLVSATMSGVTTSL
+RFPGQLNADLRKLAVNMVPFPRLHFFMPGFAPLTARGSQQYRALTVPELTQQMFDAKNMM
+AACDPRHGRYLTVAAVFRGPMSMKEVDEQMLAIQNKNSSYFVEWIPNNVKVAVCDIPPRG
+LKMSATFIGNSTAIQELFKRISEQFSAMFRRKAFLHWFTGEGMDEMEFTEAESNMNDLVS
+EYQQYQDATADEGEEAFEDDEEEVNE
+>sp|Q0VCM0|S10AG_BOVIN Protein S100-A16 OS=Bos taurus OX=9913 GN=S100A16 PE=3 SV=1
+MADSYTELEKAVVVLVENFYKYVSKHSLVKNKISKSSFRKMLQKELNHMLTDTGNRKAAD
+KLIQNLDANHDGRISFDEYWTLIGGITSPIANLIRQQEQQSSS
+>sp|Q28203|TNR5_BOVIN Tumor necrosis factor receptor superfamily member 5 OS=Bos taurus OX=9913 GN=CD40 PE=2 SV=3
+MVRLPLQCLFWGFFLTAVHSEPATACGEKQYPVNSLCCDLCPPGQKLVNDCTEVSKTECQ
+SCGKGEFLSTWNREKYCHEHRYCNPNLGLRIQSEGTLNTDTTCVCVEGQHCTSHTCESCT
+PHSLCLPGFGVKQIATGLLDTVCEPCPLGFFSNVSSAFEKCHRWTSCERKGLVEQHVGTN
+KTDVVCGFQSRMRTLVVIPVTMGVLFAVLLVSACIRNITKKRQAKALHPTAERQDPVETI
+DPEDFPGPHPPPPVQETLCWCQPVAQEDGKESRISVQERE
+>sp|Q148D5|SUCB1_BOVIN Succinate--CoA ligase [ADP-forming] subunit beta, mitochondrial OS=Bos taurus OX=9913 GN=SUCLA2 PE=2 SV=1
+MAASVFYSRLLAAATLRSHRPRTALPAAAQVLGSSGLFNNHGVQIQHQQQRNLSLHEYLS
+MELLQEAGVSIPKGHVAKSPDEAYAIAKKLGSKDVVIKAQVLAGGRGKGTFESGLKGGVK
+IVFSPEEAKAVSSQMIGKKLFTKQTGEKGRICNQVLVCERRYPRREYYFAITMERSFQGP
+VLIGSSHGGVNIEDVAAETPEAIVKEPIDIVEGIKKEQAVRLAQKMGFPASIVDSAAENM
+IKLYDPFLKYDATMVEINPMVEDSDGAVLCMDAKINFDSNSAYRQKKIFDLQDWTQEDER
+DKDAAKADLNYIGLDGNIGCLVNGAGLAMATMDIIKLHGGTPANFLDVGGGATVHQVTEA
+FKLITSDKKVLSILVNIFGGIMRCDVIAQGIVMAVKDLEIKIPIVVRLQGTRVDDAKALI
+ADSGLKILACDDLDEAAKMVVKLSEIVTLAKQAQVDVKFQLPI
+>sp|Q3T134|SPCS1_BOVIN Signal peptidase complex subunit 1 OS=Bos taurus OX=9913 GN=SPCS1 PE=3 SV=1
+MLEHLSSLPTQMDYKGQKLAEQMFQGIILFSAIVGFIYGYLAEQFGWTVYIVMAGFAFSC
+LLTLPPWPIYRRHPLKWLPVQDSSTEDKKPGERKVKRHAKNN
+>sp|Q3MHX5|SUCB2_BOVIN Succinate--CoA ligase [GDP-forming] subunit beta, mitochondrial OS=Bos taurus OX=9913 GN=SUCLG2 PE=2 SV=1
+MAAPVGAQARKLLRDLVLRPPLLAARSQVVQLTSRRWLNLQEYQSKKLMSDNGVKVQRFF
+VADTANEALEAAKRLNAKEIVLKAQILAGGRGKGVFSSGLKGGVHLTKDPKVVGQLAKQM
+IGYNLATKQTPKEGVKVKKVMVAEALDISRETYLAILMDRSCNGPVLVGSPQGGVDIEEV
+AASNPELIFKEQIDIIEGIKDSQAQRMAENLGFLGPLKNQAADQIKKLYNLFLKIDATQV
+EVNPFGETPEGQVVCFDAKINFDDNAEFRQKDIFAMDDKSENEPIENEAARYDLKYIGLD
+GNIACFVNGAGLAMATCDIIFLNGGKPANFLDLGGGVKESQVYQAFKLLTADPKVEAILV
+NIFGGIVNCAIIANGITKACRELELKVPLVVRLEGTNVHEAQNILSNSGLPITSAVDLED
+AAKKAVASVAKK
+>sp|Q2TBP5|TMM53_BOVIN Transmembrane protein 53 OS=Bos taurus OX=9913 GN=TMEM53 PE=2 SV=1
+MASAQLDYTIEIPDQPCRSQENSPDQGGKEAGTRLPLVILLGWGGCSDKNLAKYSAIYHK
+RGCIVIRYTAPWHMVFFSETLGIPSLRVLAQKLLELLFDYEVEKEPLLFHVFSNAGVMLY
+RYVLELLQTHQRFCHLRVVGTIFDSGPGDSNLLGALRALAVVLEHRPAALRLLLLVAFTL
+VAFLFHVLLAPLTALFHTHFYDRLLDAASRWPELYLYSRADEVVLARDVERMVEARLAHQ
+VLVRSVDFVSSAHVSHLRDYPTYYTTLCINFMHSCVHCSGPCPPHLTSAPEINA
+>sp|Q3ZKN0|S27A1_BOVIN Long-chain fatty acid transport protein 1 OS=Bos taurus OX=9913 GN=SLC27A1 PE=2 SV=1
+MRAPGAGSASVASLVLLWLLGLPWTWSTAAALGVYVGGGGWRFLRIVCKTARRDLFGLSV
+LIRVRLELRRHQRARHTIPQIFQAVVQRQPERLALVDAGSGACWTFAQLDAYSNAVANLF
+RRLGFAPGDVVAIFMEGRPEFVGLWLGLAKAGVEAALLNVNLRREPLAFCLGTSGAKALV
+FGGELAAAVAEMSGELGKSLVKFCSGDVGPDGVFPDTQLLDPLLKETSTAPLAQPPGKGM
+DDRLFYIYTSGTTGLPKAAIIVHSRYYRIAAFGHYSYSMQAADVLYDCLPLYHSAGNIMG
+VGQCLIYGLTVVLRKKFSASRFWDDCVKYNCTVVQYIGEICRYLLKQPVREAEGRHRVRL
+AVGNGLRPSIWEEFTERFGVRQIGEFYGATECNCSIANMDGKVGSRGFNSRILPHVYPIR
+LVKVNEDTMELLRDAQGLCIPCQTGEPGLLVGQINQQDPLRRFDGYISESATSKKIAHSV
+FRKGDSAYLSGDVLVMDELGYMYFRDRSGDTFRWRGENVSTTEVEGVLSRLLGQTDVAVY
+GVAVPGVEGKACMAAIADPHGRLSPNALYEELQKVLAPYARPIFLRLLPQVDTTGTFKIQ
+KTRLQHEGFDPRQTSDRLFFLDLKQGHYLPLDQGVYTRICSGAFAL
+>sp|A6H773|TMM70_BOVIN Transmembrane protein 70, mitochondrial OS=Bos taurus OX=9913 GN=TMEM70 PE=2 SV=1
+MLFLALGGPWAAGLRLSGKRTALWASSALRDPRAAVSRAASCRGSSGRVGGTGLSAAAPV
+LRRVRAQIPVCWERGVRCSHTQLDKSEDGRLIYTGNLARTVFGVKCFSYSTSLISLAFLP
+YIFAQNNVIFGSLPLQILFYGTIGSFTVITPALLHFLTKGYVIRLYHEARTDTYKAITYS
+VVLSEKSTVFHQNDVKIPNSTHVFTTFYAKTKSLLVNPALFPNPEDYNHLMGYDKPFTFD
+LEEASEKKQLKEEK
+>sp|Q5E9V5|KLD8B_BOVIN Kelch domain-containing protein 8B OS=Bos taurus OX=9913 GN=KLHDC8B PE=2 SV=1
+MATGGGRAFAWQVFPPMPTCRVYGTVAFQDGHLLVLGGCGRAGLPLDTAETLDMASHTWV
+ALAPLPTARAGAAAVVLGKQVLVVGGVDEGQSPVAAVEAFLADEGRWERRATLPQAAMGV
+ATVERDGMVYALGGMGPDTAPQAQVRVYEPRRDCWLSLPSMPTPCYGASTFLHGNKIYVL
+GGRQGKLPVTAFEAFDLEARTWTRHPSLPSRRAFAGCAMAEGSVFSLGGLQQPGPHNFYS
+RPHFVNTVEMFDLEHGSWTKLPRSLRMRDKRADFVVGSLGDHVVAIGGLGNQPCPLGSVE
+GFGLARRRWEALPAMPTARCSCSSLQAGPRLFAIGGVAQGPSQAVEALCLRDGV
+>sp|P01156|NEUT_BOVIN Neurotensin/neuromedin N OS=Bos taurus OX=9913 GN=NTS PE=1 SV=3
+MMAGMKIQLVCMILLAFSSWSLCSDSEEEMKALETDLLTNMHTSKISKASVPSWKMSLLN
+VCSLINNLNSQAEETGEFHEEELITRRKFPAALDGFSLEAMLTIYQLQKICHSRAFQHWE
+LIQEDILDAGNDKNEKEEVIKRKIPYILKRQLYENKPRRPYILKRGSYYY
+>sp|Q1RMS5|NXF1_BOVIN Nuclear RNA export factor 1 OS=Bos taurus OX=9913 GN=NXF1 PE=2 SV=1
+MADEGKSYNEHDDRVSFPQRRKKGRGPFRWKSGEGNRRSGRGGSSVRSSRLEDDDRDVAM
+SDVQDAPRVRYTPYAARPNRRGDNWNERTRIHVTLPLRRDRSAAERGGAGTSQDGTSKNW
+FKITIPYGRKYDKSWLLNVIQSKCSVPFTPIEFHYENTRAQFFVEDASTASALKAVNYKI
+LDRENRRISIIINSSSPPHSVQNELKPEQVEQLKLIMSKRYDGSQQALDLKGLRSDPDLV
+AQNIDVVLNRRSCMAATLRIIEENIPELLSLNLSNNKLYRLDDLSSIVQKAPNLKILNLS
+GNELKSERELDKIKGLKLEELWLDGNTLCDTFRDQSTYISAVRERFPKLLRLDGHELPPP
+IAFDVEAPTTLPPCKGSYFGTETLKNLVLHFLQQYYAVYDSGDRQRLLDAYHDGACCSLS
+IPFTPQNPARSNLAEYFKDSRNVKKLKDPTLRFRLLKHTRLNVVAFLNELPKTQHDINSF
+VVDISAQTSTLLCFSVNGVFKEVDGKSRDSLRAFTRTFVAVPASNSGLCIVNDELFVRNA
+SADEIQRAFAMPAPTPSSSPVPTLSPEQQEMLQAFSTQSGMNLEWSQKCLQDNNWDYTRS
+AQAFTHLKAKGEIPEVAFMK
+>sp|Q3SZJ9|PMM2_BOVIN Phosphomannomutase 2 OS=Bos taurus OX=9913 GN=PMM2 PE=2 SV=1
+MAAPGPALCLFDVDGTLTAPRQKITKDMDCFLQKLRQKIKIGVVGGSDFEKVQEQLGDDV
+IKKYDYVFPENGLVAYRDGKLLCKQNIQGHLGEALIQDLINYCLSYIAKIKLPKKRGTFI
+EFRNGMLNVSPIGRSCSQEERIEFYELDQKENIRQKFVEDLRKEFAGKGLTFSIGGQISF
+DVFPDGWDKRYCLGHVEKDGYKTIYFFGDKTMPGGNDHEIFTDPRTVGYTVAAPEDTRRI
+CEELFC
+>sp|Q8MJV4|NPB_BOVIN Neuropeptide B OS=Bos taurus OX=9913 GN=NPB PE=1 SV=1
+MAGPAMLVAAALALCLLLASPGLAWYKPTAGQGYYSVGRAAGLLSGFHRSPYARRSEPRG
+GTRSLGGVGTFREMRPNLRSLAVCVEEVTPNLQSCEPLPDGRATFQCKADVFLSLSASDC
+RK
+>sp|Q9GK16|PRND_BOVIN Prion-like protein doppel OS=Bos taurus OX=9913 GN=PRND PE=2 SV=1
+MRKHLGGCWLAIVCILLFSQLCSVKARGIKHRIKWNRKVLPSTSQVTEARTAEIRPGAFI
+KQGRKLDIDFGVEGNRYYEANYWQFPDGIHYNGCSKANVTKEKFITSCINATQAANQEEL
+SREKQDNKLYQRVLWQLIRELCSTKHCDFWLERGAGLRVTLDQPMMLCLLVFIWFIVK
+>sp|Q05B89|NIF3L_BOVIN NIF3-like protein 1 OS=Bos taurus OX=9913 GN=NIF3L1 PE=2 SV=1
+MLSSRVRLVATTARLVHSLIYSSSRSFMDLKALLSSLNDFASLSFAESWDNVGLLVEPSP
+PHTVNTLFLTNDLTEEVMEEALQKKADLILSYHPPIFRPMKRITWKTWKERLVIRALENR
+VGIYSPHTAYDAAPQGVNNWLAKGLGVCTSRPIHPSKAPDYPTEGTHRVEFSVTHTQDPD
+KVISALKEIAGVSVTSFSARTDDEEQTRLSLNCTQQALMQVVAFLSQNRQFYQKTEILSL
+EKPLLLYTGMGRLCTLDESVSLETMIERIKSHLKLSHVRLALGIGKTLESPVKVVALCAG
+SGSSVLQGTDADLYLTGEMSHHDVLDAASQGISVILCEHSNTERGFLSDLRDMLDAHLEN
+KINIILSETDRDPLHVI
+>sp|A6H7C2|PEX3_BOVIN Peroxisomal biogenesis factor 3 OS=Bos taurus OX=9913 GN=PEX3 PE=2 SV=1
+MFRSTWNFLKRHKKKCIFLGTVLGGVYILGKYGQKKIREIQEREAAEYIAQARRQYHFES
+NQRTCNMTVLSMLPTLREALMQQLNSESLTALLKTRPSNKLEIWEDLKIISFTRSIVAVY
+STCMLVVLLRVQLNIIGGYIYLDNAAVGKNGTTVLAPPDVQQQYLSSIQHLLGDGLTELI
+TVIKQAVQKILGSVSLKHSLSLLDLEQKLKEIRDLVEQHKSSSWINNDGSKSLLCHYMMP
+DEETPLAVQACGLSPRDVTTIKLLNETRDMLESPDFSTVLNTCLSRGFSRLLDNMAEFFR
+PTEQDLQHGNSINSLSSVSLPLAKIIPIINGQIHSVCSETPSHFVQDLLMMEQVKDFAAN
+VYEAFSTPQQLEK
+>sp|P55803|MOG_BOVIN Myelin-oligodendrocyte glycoprotein OS=Bos taurus OX=9913 GN=MOG PE=1 SV=1
+MASLLSSSLPSCLPSLLFLLLQLTSSSAGQFRVIGPGHPIRALVGDEVELPCRISPGKNA
+TGMEVGWYRPPFSRVVHLYRNGKDQDEEQAPEYRGRTQLLKETIGEGKVTLRIRNVRFSD
+EGGFTCFFRDHSYQEEAAMELKVEDPFYWINPGVLVLIAVLPVLLLQITVGLVFLCLQRR
+LRGKLWAEIENLHRTFDPHFLMVPCWKITLFVIVPVLGPLVALIICYNWLHRRLAGQFLE
+ELRNPF
+>sp|Q7YS69|REBL1_BOVIN GTPase RhebL1 OS=Bos taurus OX=9913 GN=RHEBL1 PE=2 SV=1
+MPLVRLSQLVPLLLKGKTSLAHQFVEGEFLEDYDPTVENTYSKIVTVGKDEFHLHLVDTA
+GQDEYSILPYSFIIGVHGYVLVYSVTSLHSFQVIESLYQKLHEGHGKTRLPVVLVGNKAD
+LSPDREVQAVEGKKLAASWGATFMESSARNNQLTQGIFTKVIQEIARVENSYGQERRCHL
+M
+>sp|Q58DS9|RAB5C_BOVIN Ras-related protein Rab-5C OS=Bos taurus OX=9913 GN=RAB5C PE=2 SV=1
+MAGRGGAARPNGPAAGNKICQFKLVLLGESAVGKSSLVLRFVKGQFHEYQESTIGAAFLT
+QTVCLDDTTVKFEIWDTAGQERYHSLAPMYYRGAQAAIVVYDITNTDTFARAKNWVKELQ
+RQASPNIVIALAGNKADLASKRAVEFQEAQAYAEDNSLLFMETSAKTAMNVNEIFMAIAK
+KLPKNEPQNAAGAPGRNRGVDLQENNPASRSQCCSN
+>sp|E1B7X3|R146A_BOVIN E3 ubiquitin-protein ligase RNF146-A OS=Bos taurus OX=9913 GN=RNF146A PE=3 SV=1
+MMAGCGETDHSINMLPTNRKANESCSNTAPSLTVPECAICLQTCVHPVSLPCKHVFCYLC
+VKGASWLGKRCALCRQEIPEDFLDRPTLLSPELKAASRGNGEYAWYYEGRNGWWQYDERT
+SRELEDAFSKGKKSTEMLIAGFLYVADLENMVQYRRNEHGRRRKIKRDIIDIPKKGVAGL
+RLDCDANTVNLARESSADGADSVPAQSGASVQSSSVRPLTSVDGQLTSPATPSPDVGTSL
+EDSFAHLQLGGDSIAERSHRGEGEEEHESPSSGRVPAPDTSIEETESDASSDSEDVSALV
+AQHSLTQQRLLVPNPSQTVSDRSVAAGGIVSVRSRRPDGQCTVTEV
+>sp|Q8HZT6|RDH10_BOVIN Retinol dehydrogenase 10 OS=Bos taurus OX=9913 GN=RDH10 PE=1 SV=1
+MNIVVEFFVVTFKVLWAFVLAAARWLVRPKEKSVAGQVCLITGAGSGLGRLFALEFARRR
+ALLVLWDINTQSNEETAGMVRHIYRDLEAADAAALQAGNGEEEILPHCNLQVFTYTCDVG
+KRENVYLTAERVRKEVGEVSVLVNNAGVVSGHHLLECPDELIERTMMVNCHAHFWTTKAF
+LPTMLEINHGHIVTVASSLGLFSTAGVEDYCASKFGVVGFHESLSHELKAAEKDGIKTTL
+VCPYLVDTGMFRGCRIRKEIEPFLPPLKPDYCVKQAMKAILTDQPMICTPRLMYIVTFMK
+SILPFEAVVCMYRFLGADKCMYPFIAQRKQATNNNEAKNGI
+>sp|Q2HJ51|RA51D_BOVIN DNA repair protein RAD51 homolog 4 OS=Bos taurus OX=9913 GN=RAD51D PE=2 SV=1
+MGVLRAGLCPGLTQDMVQLLQSRGIKTVVDLVCADLEEVAQKCGLSYKALVALRRVLLAQ
+FSAFPFNGADLYEELKTSTAILSTGIGSLDKLLDAGLYTGEVTEIVGAPGSGKTQVCLCV
+AAHVAHGLQQNVLYIDSNGGLTASRILQLLQARTPDEEEQAGALQRIQVVRAFDIFQMLD
+VLQDLRGAVSQQVSSSSGTLKVVVVDSVAAVVAPLLGGQQREGLALMMQLARELKTLARD
+LSVAVLVTNHMTRDRDSGQLKPALGRSWSFVPSTRLLLDSTQSSGSLGSWRVVCLTKSPR
+LPTGCQETVDLGSLGTPAFQGDHKGH
+>sp|Q2KI49|RM50_BOVIN 39S ribosomal protein L50, mitochondrial OS=Bos taurus OX=9913 GN=MRPL50 PE=1 SV=1
+MAALWVAGVGRKSLTVVASGAPRREFWSRLRKEKQPVVAETVEEVKKEPILVCPPLRSQA
+YIPPKDLQSRLESHVKEVFGSSVPTSWQEISLEDVHMKFSFLARLADDLGHAVPNSRLHQ
+MCRVRDVLDFYTVPVQDRSKFDELIASNLPPNLKITWGY
+>sp|A6QPE1|RBM48_BOVIN RNA-binding protein 48 OS=Bos taurus OX=9913 GN=RBM48 PE=2 SV=2
+MASSGGQIGGVLDHHVQRAVCDSRAKYREGRRPRAVKVYTINLESWYLLIQGVPAVGAMK
+ELVERFALYGTIEQYNALDEYPAEDFTEVYLIKFLNLQSARIAKRKMDEQSFFGGLLHVC
+YAPEFETVEETRKKLRERNAYVARMTKNKDHYMTKKHKDAKDFRGAFHSKTSGFPAASLN
+TSTGNSDLCLPYSSELPLCYFSPKCKCSSGERVDRPSNSSQDGRNFDETLGRCDHCDSLQ
+KMQMKTLQNSLARPGTQKALTPSEAVDRFMPRTTQLQERKRRREDDCKRETLAEACTSSK
+EVMIGPQLPGIPKVDTHDGSWNTTASLIREKLKEVISSVPKPPEDKVEDVHRSRPLKQRR
+RI
+>sp|Q3T073|SERP2_BOVIN Stress-associated endoplasmic reticulum protein 2 OS=Bos taurus OX=9913 GN=SERP2 PE=3 SV=1
+MVAKQRIRMANEKHSKNITQRGNVAKTLRPQEEKYPVGPWLLALFVFVVCGSAIFQIIQS
+IRMGM
+>sp|Q3SZN0|SEPT6_BOVIN Septin-6 OS=Bos taurus OX=9913 GN=SEPTIN6 PE=2 SV=1
+MAATDIARQVGEGCRTVPLAGHVGFDSLPDQLVNKSVSQGFCFNILCVGETGLGKSTLMD
+TLFNTKFEGEPATHTQPGVQLRSNTYDLQESNVGLKLTIVSTVGFGDQINKEDSYKPIVE
+FIDAQFEAYLQEELKIRRVLHTYHDSRIHACLYFIAPTGHSLKSLDLVTMKKLDSKVNII
+PIIAKSDAISKSELTKFKIKITSELVNNGVQIYQFPTDDESVAEINGTMNAHLPFAVIGS
+TEELKIGNKMMKARQYPWGTVQVENEAHCDFVKLREMLIRVNMEDLREQTHSRHYELYRR
+CKLEEMGFKDTDPDSKPFSLQETYEAKRNEFLGELQKKEEEMRQMFVQRVKEKEAELKEA
+EKELHEKFDRLKKLHQDEKKKLEDKKKSLDDEVNAFKQRKTAAELLQSQGSQAGGSQTLK
+RDKEKKN
+>sp|Q2T9Q1|TBC20_BOVIN TBC1 domain family member 20 OS=Bos taurus OX=9913 GN=TBC1D20 PE=2 SV=1
+MALRSARGDGPTSGRWDGGAEKGDFNAKRKKKVAEIYQALNSEPTDVAALRRMAISEGGL
+LTDEIRRKVWPKLLNVNTNDPPPISGKNLRQMSKDYQQVLLDVRRSLRRFPPGMPEEQRE
+GLQEELIDIILLILERNPQLHYYQGYHDIVVTFLLVLGERLATSLVEKLSTHHLRDFMDP
+TMDNTKHILNYLMPIIDQVNPELHDFMQSAEVGTIFALSWLITWFGHVLSDFRHVVRLYD
+FFLACHPLMPIYFAAVIVLYREQEVLDCDCDMASVHHLLSQIPQDLPYETLISRAGDLFV
+QFPPSELAREAAAQPQAEKTAASTFKDFELASAQQRPDMVLRQRFRGLLRPDERTKDVLT
+KPRTNRFVKLAVMGLTVALGAAALAVVKSALEWAPKFQLQLFP
+>sp|E1B7X9|SMRCD_BOVIN SWI/SNF-related matrix-associated actin-dependent regulator of chromatin subfamily A containing DEAD/H box 1 OS=Bos taurus OX=9913 GN=SMARCAD1 PE=3 SV=2
+MNLFNLDRFRFEKRNKIEEAPEATPQPSQPGPSSPISLSAEEENAEGEVSRAGTPDSDVT
+EKTEDSSVPETPENDRKASISYFKNQRGIQYIDLSSDSEDVVSPNCSSTVQEKKFNKDTV
+IIVSEPSEDEESQGLPTMATRNNNDITNLKNLSFFPNYSDNLSTVRQTRYSENLSSDLLK
+LIDSTSTMDGAIAAALLMFGDAEGGGPRKRKLSSSSEPFEEDEFNDDQSMKKKRLDHGEE
+SNESAESSTNWEKQESIVLKLQKEFPNFDKEELREVLKEHEWMYTEALESLKVFAEDQDM
+QYASPSEFPNGKEVSSRSQNYPKNAAKTKLKQKCSMKPQNGFNKKRKKNVFNPKRVIEDS
+EYDSGSDVGSSLDEDYSSGEEVMEDGYKGKILHFLQDASIGELTLIPQCSQKKAQKITEL
+RPFNSWEALFTKMSKTNGLSEDLIWHCKTLIQERDVVIKLMNKCEDISNKLTKQVTMLTG
+NGGGWNTEQPSILNQSLSLKPYQKVGLNWLALVHKHGLNGILADEMGLGKTIQAIAFLAY
+LYQEGNKGPHLIVVPASTIDNWLREVNLWCPTLKVLCYYGSQEERKQIRYNIHSRYEEYN
+VIVTTYNCAISSSDDRSLFRRLKLNYAIFDEGHMLKNMGSIRYQHLMTINANNRLLLTGT
+PVQNNLLELMSLLNFVMPHMFSSSTSEIRRMFSSKTKPADEQSIYEKERIAHAKQIIKPF
+ILRRVKEEVLKQLPPKKDRIELCAMSEKQEQLYMNLFNRLKKSINNMEKNTEMCNVMMQL
+RKMANHPLLHRQYYTAEKLKEMSQLMLKEPTHCEANPDLIFEDMEVMTDFELHVLCKQYR
+HINNFQLDMDLILDSGKFRVLGCILSELKQKGDRVVLFSQFTMMLDILEVLLKHHQHRYL
+RLDGKTQISERIHLIDEFNTDMDIFVFLLSTKAGGLGINLTSANVVILHDIDCNPYNDKQ
+AEDRCHRVGQTKEVLVIKLIGQGTIEESMLKINQQKLKLEQDMTTVDEGDEGSMPADIAT
+LLKTSMGL
+>sp|Q2KJJ5|TBL3_BOVIN Transducin beta-like protein 3 OS=Bos taurus OX=9913 GN=TBL3 PE=2 SV=1
+MAEVAAGVGRFKSNYAVERKIEPFYKGGKVQLDQTGQHLFCVCGTRVNILDVASGAVLRS
+LEQEDQEDITAFDLSPDDKVLVTASRALLLAQWAWQEGSVTRLWKAIHTAPVATMAFDPT
+STLLATGGCDGAVRVWDVVRCYGTHHFRGSPGVVHLVAFHPDPARLLLFSSAADTSIRVW
+SLQERSCLAVLTAHYSAVTSLTFSADGHTMLSSGRDKICVIWDLRSLQATRTVPVFESVE
+AAVLLPEEPAPELGVKSAGLHFLTAGDQGALRVWEAASGRCVHAQQRLRGPGRELTHCTL
+AHAAGLLLSVTADHNLLLYDARSLRLRKQFAGYSEEVLDVRFLGPEDSHVVVASNSPCLK
+VFDLQTSACQILHGHTDIVLALDVFRKGRLFASCAKDQSIRVWRMNKSGEVACVAQGSGH
+THSVGTICCSRLKETFLVTGSQDCTVKLWPLPEALLSKGTGHEGGPVFLQAQATQHCHDK
+DINSVAVAPNDKLLATGSQDRTAKLWALPRCQLLGTFSGHRRGLWCVQFSPMDQVLATAS
+ADGTIKLWALQDFSCLKTFEGHDASVLKVAFVSRGTQLLSSGSDGLLKLWTIKNNECVRT
+LDAHEDKVWGLHCSRLDDRALTGASDSRVVLWKDVTEAEQAEEQAKREEQVVKQQELDNL
+LHEKRYLRALGLAISLDRPHTVLTVIQAIRRDPESCEKLETTVLQLRRDQKEALLRFCVT
+WNTNSRHCHEAQAVLGVLLRHEAPDELLTYDGVRASLEGLLPYTERHFQRLSRMLQAATF
+LDFLWHNMKLPALPTAPAAL
+>sp|A6QPF8|T120B_BOVIN Transmembrane protein 120B OS=Bos taurus OX=9913 GN=TMEM120B PE=2 SV=1
+MSGQLERCEREWHELEGEFQELQETHRIYKQKLEELNALQTSCSSSINKQKTRLKDLKLT
+LQRYKRHASREEAELVQQMGANIKERQNVFFDMEAYLPKKNGLYLNLVLGNVNVTLLSNQ
+AKFAYKDEYEKFKLYLTIILLLGAVACRFFLHYRVTDEVFNFLLVWYYCTLTIRESILIS
+NGSRIKGWWVSHHYVSTFLSGVMLTWPNGLIYQKFRNQFLAFSIFQSCVQFLQYYYQRGC
+LYRLRALGERNHLDLTVEGFQSWMWRGLTFLLPFLFCGHFWQLYNAVTLFELSSHEECRE
+WQVFVLALTFLVLFLGNFLTTLKVVHTKLQQNRSKAKKP
+>sp|Q2T9Y0|RSRC1_BOVIN Serine/Arginine-related protein 53 OS=Bos taurus OX=9913 GN=RSRC1 PE=2 SV=1
+MGRRSSDTEEESRSKRKKKHRRRSSSSSSSDSRTYSRKKGGRRSRSKSRSWSRDLQPRSH
+SYDRRRRHRSSSSSSYGSRRKRSQSRSRGRGKSYRVQRSRSKSRTRRSRSRPRPRSHSRS
+SERSSHRRTRSRSRDRERRKGRDKEKREKEKDKGKDKELHNIKRGESGNIKAGLEHLPPA
+EQAKARLQLVLEAAAKADEALKAKERNEEEAKRRKEEDQTTLVEQVKRVKEIEAIESDSF
+VQQTFRSSKEIKKAVEASEVKHAATTSGPASVVADPPSNEKEIDPSNIPTAIKYQDDNSL
+AHPNLFIEKADAEEKWFKRLIALRQERLMGSPVA
+>sp|Q0VCC1|S66A2_BOVIN Solute carrier family 66 member 2 OS=Bos taurus OX=9913 GN=SLC66A2 PE=2 SV=1
+MEAEGLGWLLVPLHQLVSWGAAGAMVFGGVVPYIPQYRDIRRTQNAEGFSTYVCLVLLVA
+NILRILFWFGRHFESPLLWQSVVMILTMLLMLKLCTEVRVANELNLKRRVFSDFDPHHFW
+HWSSFADYVQCVLAFTGVAGYITYLSIDSALFVETLGFLAVLTEAMLGVPQLYRNHRHQS
+TEGMSIKMVLMWTSGDTFKTAYFLLNGAPLQFSVCGLLQVLVDLAILGQAYVFTRYPLKP
+APHAAHPASAKAL
+>sp|Q2TBI4|TRAP1_BOVIN Heat shock protein 75 kDa, mitochondrial OS=Bos taurus OX=9913 GN=TRAP1 PE=2 SV=1
+MARELRMLLLWGRRLRAPALAAACGGKPVLCPWRPPAQSWGPPRSLASSFHVGRPFSSQA
+AEDQAEAGPLHSVISSLEAVQGSATKHEFQAETKKLLDIVARSLYSEKEVFIRELISNAS
+DALEKLRHKLVSEGQALPDMEIHLQTDADRGTITIQDTGVGMSREELVSNLGTIARSGSK
+AFLDALQNQAEAGSKIIGQFGVGFYSAFMVADRVEVYSRSVDAGSLGYRWLSDGSGVFEV
+AEASGVRTGTKIIIHLKADSREFASEARVRDVVTKYSNFVSFPLYLNGRRMNTLQAIWMM
+DPKDVGEGQHEEFYRYVAQAHDRPRYTLHYRTDAPLSIRSIFYVPDAKPSMFDVSRELGS
+SVSLYSRKVLIQTKATNILPTWLRFVRGVVDSEDIPLNLSRELLQESALIRKLQGVLQQR
+LIKFFTDQSKKDAEKYARFFEDYGLFVREGIVTTAEQEVKEDIAKLLRYESSALPAGQLT
+SLSDYASRMQAGTRNIYYLCAPNRHLAEHSPYYEAMKRKNTEVLFCYEQFDELTLLHLRE
+FDKKKLISVETDIVVDHYKEEKFEDGAPAGDCLSEKETEDLMAWMRNALGSRITDVKVTL
+RLDTHPAMITVLEMGAARHFLRMRQLAKTQEERAQLLQPTLEINPRHVLIKKLSQLRDSE
+PDLAQLLVDQIYENAMITAGLIDDPRPMVGRLNQLLVKALERH
+>sp|A6QNM8|SYTC2_BOVIN Threonine--tRNA ligase 2, cytoplasmic OS=Bos taurus OX=9913 GN=TARSL2 PE=2 SV=1
+MAAEALAAEAVASRLARQEEDIRWLWAEVHRLRDEQLNAPDRCQAEGPCLTREVAQLRAE
+NRELRHCLYRLRLCLAEELSHQARLESAARAEAGRAAAGAQPPPSQSLEEDVKKEIDPDN
+EVKNPPNFMKERLKFFEILKKDHQLLLAIYEKKGDSSNVITVRVADGRTVKGEAWKTTPY
+QVAAEISQELAETTVVAKVNGELWDLDRPLEGDATVELLTFEDEEARAVYWHSSAHVLGE
+ALELHYGGLLCCSPPGDGSFHYDVYLEDRAVSSAELPVLESMCQAIIKEQQPFERLEVST
+KVLLDLFKYNKFKCRILKEKVATPTTTVYRCGPLVELCKGPHVRHTGTIKVIKIFKNSLA
+YWADNPEMEMLQRVYGVSFPDEQRMAAWEELQEEARARDHRTIGKEQELFFFHDLSPGSC
+FFLPRGACIYNTLIDFIREQHHQRNFTEVLSPNMYSCKLWEASGHWQHYSENMFTFDIDK
+DTFALKPMNCPGHCLMFAHRPRSWREMPIRLADFGVLHRNEPSGALSGLTCVRRFQQDDA
+HIFCTVGQLEEEIKGCLQFLQSVYSTFGFSFQLNLSTRPENFLGKIELWDEAEKQLQNSL
+MEFGKPWKINPGEGAFYGPKIDLEIKDALGRYHQCATIQLDFQLPIRFNLTYVSKDGDDK
+KNPVIIHQAILGSVERMIAILSENYGGKWPFWLSPRQVMVIPVGPTCEKYALQWLEKRKR
+QIML
+>sp|Q3MHE8|SRPRA_BOVIN Signal recognition particle receptor subunit alpha OS=Bos taurus OX=9913 GN=SRPRA PE=2 SV=1
+MLDFFTIFSKGGLVLWCFQGVSDSCTGPVNALIRSVLLQERGGNNSFTHEALTLKYKLDN
+QFELVFVVGFQKILTLTYVDKLIDDVHRLFRDKYRTEIQQQSALSLLNGTFDFQNDFLRL
+LREAEESSKIRAPTTMKKFEDSEKAKKPVRSMIETRGEKPKEKAKNNKKNKGAKKEGSDG
+PLATSKAAPAEKSGLPVGPENGEELSKEEQIRRKREEFIQKHGRGMEKSSKSSKSDAPKE
+KGKKAPRVWALGGSANKEVLDYSTPTTNGAPEAAPPEDINLIRGTGPGRQLQDLDCSSSD
+DEGAAQNSTKPSATKGTLGGMFGMLKGLVGSKSLTREDMESVLDKMRDHLIAKNVAADIA
+VQLCESVANKLEGKVMGTFSTVTSTVKQALQESLVQILQPQRRVDMLRDIMDAQRHQRPY
+VVTFCGVNGVGKSTNLAKISFWLLENGFSVLIAACDTFRAGAVEQLRTHTRRLSALHPPE
+KHGGRTMVQLFEKGYGKDAAGIAMEAIAFARNQGFDVVLVDTAGRMQDNAPLMTALAKLI
+TVNTPDLVLFVGEALVGNEAVDQLVKFNRALADHSMAQTPRLIDGIVLTKFDTIDDKVGA
+AISMTYITSKPIVFVGTGQTYCDLRSLNAKAVVAALMKA
+>sp|Q3T0Z4|T4S20_BOVIN Transmembrane 4 L6 family member 20 OS=Bos taurus OX=9913 GN=TM4SF20 PE=2 SV=1
+MTCCEGWTSCNGFSLLVLLLLGVTLNAIPLILNFVDEDQFFENPISCFEWWFPGIIGAGV
+MAIPATTMSLAARKRACCNNKTGMFLSSLLNAITVIGAAYCLLVSIQALAEGPLICNSQS
+NTTSSCEFSLKNLTANYKESFDLQWFFEDSCVPHTDSNNPSITNTTANNWRVYNLHFNSI
+ENQHRIIHFSVFLGLLLVGILEILFGLSQIIIGFFGCLCGGVSNGRSQIV
+>sp|Q2KIG8|T4S5_BOVIN Transmembrane 4 L6 family member 5 OS=Bos taurus OX=9913 GN=TM4SF5 PE=2 SV=1
+MCTGKCARFVGLSLIPLSLVCIVANALLLVPNGQTTWTKDHLSLQVWLMAGFVGGGLMVL
+CPGISAVRAGGKGCCGAGCCGNRCRMLRSVFCSAIGLLGAIYCLSVSGTGLRIGPQCLMN
+GSWDYHFQDTAGSYLLNRTQWNLCVEPPDVVLWNVTLFSLLVAASCLEILLCGVQLVNAS
+IGVLCGDCRKKQGSSQ
+>sp|Q28001|S6A17_BOVIN Sodium-dependent neutral amino acid transporter SLC6A17 (Fragment) OS=Bos taurus OX=9913 GN=SLC6A17 PE=2 SV=1
+NVWRFPYLCQKNGGGAYLVPYLVLLIIIGIPLFFLELAVGQRIRRGSIGVWHYVCPRLGG
+IGFSSCIVCLFVGLYYNVIIGWSIFYFFKSFQYPLPWSECPVSRNGTVAVVEAECEKSSA
+TTYFWYREALDISNSISESGGLNWKMTLCLLVAWRIVGMAVVKGIQSSGKVMYFSSLFPY
+VVLACFLVRGLLLRGAIDGILHMFTPKLDKMLDPQVWRDAATQIF
+>sp|Q3SYZ4|SYDC_BOVIN Aspartate--tRNA ligase, cytoplasmic OS=Bos taurus OX=9913 GN=DARS PE=2 SV=1
+MPSANASRRSQEKPREIMDAAEDYAKERYGVSSMIQSQEKPDRVLVRISDLTVQKAGEVV
+WVRARVHTSRAKGKQCFLVLRQQQFNVQALVAVGDHASKQMVKFAANINKESIVDVEGVV
+RKVNQKIGSCTQQDVELHVQKIYVISSAEPRLPLQLDDAVRPEVEGEEEGRATVNQDTRL
+DNRVIDLRTSTSQAIFRLQSGICHPFRETLTNKGFVEIQTPKIISAASEGGANVFTVSYF
+KNNAYLAQSPQLYKQMCICADFEKVFCIGPVFRAEDSNTHRHLTEFVGLDIEMAFNYHYH
+EVVEEIADTLVQIFKGLQKRFQTEIQTVNKQFPCEPFKFLEPTLRLEYCEALAMLREAGI
+EMGDEEDLSTPNEKLLGRLVKEKYDTDFYILDKYPLAVRPFYTMPDPRNPKQSNSYDMFM
+RGEEILSGAQRIHDPQLVTERALHHGIDLEKIKAYIDSFRFGAPPHAGGGIGLERVTMLF
+LGLHNVRQTSMFPRDPKRLTP
+>sp|Q29397|SV2A_BOVIN Synaptic vesicle glycoprotein 2A OS=Bos taurus OX=9913 GN=SV2A PE=2 SV=1
+MEEGFRDRAAFIRGAKDIAKEVKKHATKKVVKGLDRVQDEYSRRSYSRFEEEDDDDDFPA
+PADGYYRGEGAQDEEEGGASSDATEGHDEDDEIYEGEYQGIPRAESGGKGERMADGAPLA
+GVRGGLGDGEGPPGGRGEAQRRKEREELAQQYEAILRECGHGRFQWTLYFVLGLALMADG
+VEVFVVGFVLPSAEKDMCLSDSNKGMLGLIVYLGMMVGAFLWGGLADRLGRRQCLLISLS
+VNSVFAFFSSFVQGYGTFLFCRLLSGVGIGGSIPIVFSYFSEFLAQEKRGEHLSWLCMFW
+MIGGVYAAAMAWAIIPHYGWSFQMGSAYQFHSWRVFVLVCAFPSVFAIGALTTQPESPRF
+FLENGKHDEAWMVLKQVHDTNMRAKGHPERVFSVTHIKTIHQEDELIEIQSDTGAWYQRW
+GVRALSLGGQVWGNFLSCFGPEYRRITLMMMGVWFTMSFSYYGLTVWFPDMIRHLQAVDY
+AARTKVFPGERVEHVTFNFTLENQIHRGGQYFNDKFIGLRLKSVSFEDSLFEECYFEDVT
+SSNTFFRNCTFINTVFYNTDLFEYKFVNSRLVNSTFLHNKEGCPLDVTGTGEGAYMVYFV
+SFLGTLAVLPGNIVSALLMDKIGRLRMLAGSSVMSCVSCFFLSFGNSESAMIALLCLFGG
+VSIASWNALDVLTVELYPSDKRTTAFGFLNALCKLAAVLGISIFTSFVGITKAAPILFAS
+AALALGSSLALKLPETRGQVLQ
+>sp|Q2T9X2|TCPD_BOVIN T-complex protein 1 subunit delta OS=Bos taurus OX=9913 GN=CCT4 PE=1 SV=3
+MPENVAPRTGPPAGAAGAAGGRGKSAYQDRDKPAQIRFSNISAAKAVADAIRTSLGPKGM
+DKMIQDGKGDVTITNDGATILKQMQVLHPAARMLVELSKAQDIEAGDGTTSVVIIAGSLL
+DSCTKLLQKGIHPTIISESFQKALEKGIEILTDMSRPEELSDRETLLNSAATSLNSKVVS
+QYSSLLSPMSVDAVMKVIDPATATSVDLRDIKIVKKLGGTIDDCELVEGLVLTQKVANSG
+ITRVEKAKIGLIQFCLSAPKTDMDNQIVVSDYVQMDRVLREERAYILNLVKQIKKTGCNV
+LLIQKSILRDALSDLALHFLNKMKIMVVKDIEREDIEFICKTIGTKPVAHVDQFTADMLG
+SAELAEEVSLNGSGKLIKITGCASPGKTVTIVVRGSNKLVIEEAERSIHDALCVIRCLVK
+KRALIAGGGAPEIELALRLTEYSRTLSGMESYCIRAFADAMEVIPSTLAENAGLNPISTV
+TELRNRHAQGEKTTGINVRKGGISNILEEQVVQPLLVSVSALTLATETVRSILKIDDVVN
+TR
+>sp|P79105|S10AC_BOVIN Protein S100-A12 OS=Bos taurus OX=9913 GN=S100A12 PE=1 SV=3
+MTKLEDHLEGIINIFHQYSVRVGHFDTLNKRELKQLITKELPKTLQNTKDQPTIDKIFQD
+LDADKDGAVSFEEFVVLVSRVLKTAHIDIHKE
+>sp|A5D7V7|S46A3_BOVIN Solute carrier family 46 member 3 OS=Bos taurus OX=9913 GN=SLC46A3 PE=2 SV=1
+MKIPFVEPVICLSVFAVTLNSPLTTQYVYRRIWEETGNYSIALESNTSECAKNKSSPIFA
+FQEEVQKKVSLFNLEMDISGLIPGLVSTFVFLSHSDHGGRKFPLILSSVGALANSAWLCL
+LSYFALPIQLLIASTFIGALFGNYTTFLGASFAYIVDQCKEKKQRTIRIAIIDFLFGVVS
+GLTGLSSGYFIRGLGFVWSFLIVTVALFVNLIYILLFLEDSMKESSSQNISVSWTETFKN
+LFHRTYMLFKNASGEQQSLCCLLLFTMITYFFVTIGVSPIFVLYELDSPLCWDEVLIGYG
+SALGSVTFFSSFLGIWLFSYCMEDIHMAFIGTFTTMVGMAMTAFARTTLMMFLVRLPFLF
+TVMPLSVLRSMISKVVHSTEQGTMFACLAFLETLGGITAVSTFNGIYSATVAWCKGFVFL
+LSAVLLLIPAISLCVIKYVSRNTGSYVLLIQEESSEDTSDR
+>sp|P82913|RT15_BOVIN 28S ribosomal protein S15, mitochondrial OS=Bos taurus OX=9913 GN=MRPS15 PE=1 SV=3
+MLRAAWRALSSIRTQAVTQPPVLGLPGGGCAKLLSVQRDLPSSLILQAARGYATQKPVQQ
+SQEDDPPPSMLLKDYQNVPGIEKVDDVVKRLISLEMANKKEMLKIKKEQLMSKVVENPKD
+TSSLEAQIVALTVKIRSYEEHMQKHQKDKAHKRYLLMSIDKRQKMLKNLRKTNYPVFEKT
+CKELGIEYTFPPPYHRKIHRRLATKKALCIRVFQEVQKLKKQKRALKTAAAAAQKQGQRN
+PESPSEARSEAIKETQ
+>sp|Q1RMR2|RU17_BOVIN U1 small nuclear ribonucleoprotein 70 kDa OS=Bos taurus OX=9913 GN=SNRNP70 PE=2 SV=1
+MTQFLPPNLLALFAPRDPIPYLPPLEKLPHEKHHNQPYCGIAPYIREFEDPRDAPPPTRA
+ETREERMERKRREKIERRQQEVETELKMWDPHNDPNAQGDAFKTLFVARVNYDTTESKLR
+REFEVYGPIKRIHMVYSKRSGKPRGYAFIEYEHERDMHSAYKHADGKKIDGRRVLVDVER
+GRTVKGWRPRRLGGGLGGTRRGGADVNIRHSGRDDTSRYDERPGPSPLPHRDRDRDRERE
+RRERSRERDKERERRRSRSRDRRRRSRSRDKEERRRSRERSKDKDRDRKRRSSRSRERAR
+RERERKEELRGGGGGGGDMAEPSEAGDAPPDDGPPGELGPDGPDGPEEKGRDRDRDRRRS
+HRSERERRRDRDRDRDREHKRGERGGDRGRDEARGGGGGGQDNGLEGLGNDGRDMYMESE
+GGDGYLAPENGYLMEAAPE
+>sp|Q58CU5|TM213_BOVIN Transmembrane protein 213 OS=Bos taurus OX=9913 GN=TMEM213 PE=3 SV=2
+MKRLHLAPWTSLVLGLAFLSFHPVYLAEASSGSNSTSTVHHPENLETLEQCPNVDFCPQA
+ARCCHTGVDEYGWIAAAVGWSLLFLTLILLCVDKLMKLTPDESKDLQA
+>sp|Q3SZU5|SPCS3_BOVIN Signal peptidase complex subunit 3 OS=Bos taurus OX=9913 GN=SPCS3 PE=2 SV=1
+MNTVLSRANSLFAFSLSVMAALTFGCFITTAFKDRSVPVRLHVSRIMLKNVEDFTGPRER
+SDLGFITFDITADLENIFDWNVKQLFLYLSAEYSTKNNALNQVVLWDKIVLRGDNPKLLL
+KDMKTKYFFFDDGNGLKGNRNVTLTLSWNVVPNAGILPLVTGSGHVSVPFPDTYEITKSY
+>sp|Q28918|STAR_BOVIN Steroidogenic acute regulatory protein, mitochondrial OS=Bos taurus OX=9913 GN=STAR PE=1 SV=2
+MLLATFKLCAGSSYRHVRSMKGLQQQAVLAIGQELNRRALGGPAPAAWINQVRRRGSLLG
+SQLEDPLYSDQELAYIQQGEEAMQRALGILKDQEGWKKESRQANGDEVLSKVIPDVGKVF
+RLEVVVDQPMERLYEELVERMEAMGEWNPNVKEIKVLQKIGKDTVITHELAAEVAGNLVG
+PRDFVSVRCTKRRGSMCVLAGMATLYEEMPQQKGVIRAEHGPTCMVLRPLAGSPSRTKLT
+WLLSIDLKGWLPKTIINQVLSQTQVDFANHLRKRLESCPALEARC
+>sp|Q2TA35|TM2D2_BOVIN TM2 domain-containing protein 2 OS=Bos taurus OX=9913 GN=TM2D2 PE=2 SV=1
+MVLGGYPVSYLLLCGQAALLLGNLLLLHCVSRSHSHNATAEPELTSAGAAHPEGSPGAAS
+WEYGDPHSPVILCSYLPDEFIECEDPVDHVGNTTAFQELGYGCLKFGGQAYRDVEHTRVQ
+CRALDGIECASPRTFLRENKPCIKYTGHYFITTLLYSFFLGCFGVDRFCLGHTGTAVGKL
+LTLGGLGIWWFVDLILLITGGLMPSDGSNWCTIY
+>sp|Q3SYX6|SPT4H_BOVIN Transcription elongation factor SPT4 OS=Bos taurus OX=9913 GN=SUPT4H1 PE=3 SV=1
+MALETVPKDLRHLRACLLCSLVKTIDQFEYDGCDNCDAYLQMKGNREMVYDCTSSSFDGI
+IAMMSPEDSWVSKWQRVSNFKPGVYAVSVTGRLPQGIVRELKSRGVAYKSRDTAIKT
+>sp|A6QNW6|S26A8_BOVIN Testis anion transporter 1 OS=Bos taurus OX=9913 GN=SLC26A8 PE=2 SV=1
+MQPDRSFQSFASRYRQSSFTYDVKRDVYNEENFQQEHRKKTASSGNVDIDISTVSHHVQC
+RCSWHKFRRCLLTVFPFLEWMCFYRFKDWLLGDLLAGISVGLVQIPQVLMLGLLARHLIP
+PLNVSYAAFCASVIYGIFGSCHQMSIGTFFLVSALAINVLRTQPFNRGHLLLGTFIQADF
+SNTSFYENYNRSLSSVASVTLLTGIIQLSMGMLGFGFIVAYIPEAAISAYLAATALHVML
+SQLTCIFGIMISYNSGPIAFFYNIINYCLGLPKANSTSILLFLTAMVALRINKCIRISFN
+EYPIEFPMEVFLVLGFAAFSNKVNMATENSLMLMEMIPYSFLFPVTPDMSNLTEVLIESF
+SLALVSSSLLVFLGKKIASFHNYDVNSNQDLIAIGLCNVVSSFFRSYVFTGAVARTIIQD
+KTGGRQQFASLVGAGIMLLLMMKMARFFYRLPNAIVAGIILSNVLPYLEAVYTLPSLWRQ
+NQYDCLIWMVTFMSAILLGLDIGLVVAVTFAFFIITVQSHRTKILLLGQIPNTNIYRSFQ
+DYREVANIPGVKIFQCCNAITFVNVHYLKRKVLEEIEMVKMPLTEEEIYTLFSQNEEGAQ
+RGKICRCYCNCDEPEPSPRVIYTERYEVQRGRESSFINLVRCSRFESMNTAQTMSEDQVP
+YITSSSSQRNPNYEEVEKVWLSDDPSRSMTITLPEASDTQVRATKLLPYSTSTILPSIHT
+IILDFSMVHLVDARALVVLRQMFSAFQNANILVLIAGCHSFVVRSLEKNDFFDAGITKAQ
+LFLTLHDAVLFALSRKLPESSELSVDESETVIQETFSETDKKEESRHKTNRSLIEAPRSK
+SPGFSLLPDPEMEEESDLDLYSTIQMSKDHGLDLDLDLDREVEPESELEPESELDQETEL
+EPEPEASPKPNRQKYWSLFRAIIPRSPTQTQARTQSVDRRHQNVKPYTSKADTSEDALEI
+>sp|Q3SZZ5|TM208_BOVIN Transmembrane protein 208 OS=Bos taurus OX=9913 GN=TMEM208 PE=2 SV=1
+MAPKGKVGTRGKKQIFEENKETLKFYLRIILGANAIYCLVTLVVFYSSASFWAWMALLFS
+LAVYGASYHSMSSMARAAFSEDGALVDGGMDLNMEQGMAEHLKDVILLTAIVQVLSCFSL
+YIWSFWLLAPGRALYLLWVNVLGPWFTADSGAPAPEHNEKRQRRQERRQMKRL
+>sp|P31754|UMPS_BOVIN Uridine 5'-monophosphate synthase OS=Bos taurus OX=9913 GN=UMPS PE=2 SV=1
+MAAADALLGSLVTGLYDVQAFKFGNFVLKSGLSSPVYIDLRGIISRPSILNQVAEMLFQT
+AENAEINFDTVCGVPYTALPLATIVCSTHEIPMLIRRKEKKDYGTKRLIEGAVNPGDTCL
+IIEDVVSSGSSVWETAEVLQKEGLKVTDAVVLVDREQGGRDNLQARGIRLHSVCTLSTVL
+CILEQQKKINAETVERVKRFIQENAFVAANPNDSLPSVKKEPKELSFGARAELPGTHPVA
+AKLLRLMQKKETNLCLSADVSESRELLQLADALGSRICLLKIHVDILNDFTLDVMKELTT
+LAKRHEFLIFEDRKFADIGNTVKKQYEGGVFKIASWADLVNAHAVPGSGVVKGLEEVGLP
+LHRACLLVAEMSSAGTLATGSYTEAAVQMAEEHSEFVIGFISGSRVSMKPEFLHLTPGVQ
+LEAGGDNLGQQYHSPQEVIGKRGSDIIIVGRGIIASANQLEAAKMYRKAAWEAYLSRLAV
+>sp|A7MB12|UTP15_BOVIN U3 small nucleolar RNA-associated protein 15 homolog OS=Bos taurus OX=9913 GN=UTP15 PE=2 SV=1
+MAGYKPVSIQTYPVLGEKITQDTLYWNNYKTPVQIKEFGAVSKVDFSPQPPYNYAVTASS
+RIHIYGRYSQEPIKTFSRFKDTAYCATFRQDGRLLVAGSEDGGVQLFDISGRAPLRQFEG
+HTKAVHSVDFTADKYHVVSGADDYTVKLWDIPNSKEILTFKEHSDYVRCGCASKLNTDLF
+VTGSYDHTVKMFDARTNQSVISVEHGQPVESVLLFPSGGLLVSAGGRYVKVWDMLKGGQL
+LVSLKNHHKTVTCLCLSSSGQRLLSGSLDRKVKVYSTTSYKVVHSFDYTASILSLALAHE
+DETIVVGMTNGILSVKHRKSEAKKDSVPRRRRPAYRTFIKGKNYMKQQDDILINRPSKKH
+LELYDRDLKNFRISKALDRVLEPTCTIKTPEVTVSIIKELNRRGVLANALAGRDEKEISR
+VLNFLIRNLSQPRFAPVLINAAEIIIDIYLPVIGQSPVVDKKFLILQGLVEKEIDYQREL
+LETLGMMDMLFATMTRKESTSVLQHNTSDGFLENNKIES
+>sp|P13272|UCRI_BOVIN Cytochrome b-c1 complex subunit Rieske, mitochondrial OS=Bos taurus OX=9913 GN=UQCRFS1 PE=1 SV=3
+MLSVAARSGPFAPVLSATSRGVAGALRPLVQAAVPATSESPVLDLKRSVLCRESLRGQAA
+GRPLVASVSLNVPASVRYSHTDIKVPDFSDYRRPEVLDSTKSSKESSEARKGFSYLVTAT
+TTVGVAYAAKNVVSQFVSSMSASADVLAMSKIEIKLSDIPEGKNMAFKWRGKPLFVRHRT
+KKEIDQEAAVEVSQLRDPQHDLERVKKPEWVILIGVCTHLGCVPIANAGDFGGYYCPCHG
+SHYDASGRIRKGPAPLNLEVPSYEFTSDDMVIVG
+>sp|A5D7K7|YIPF7_BOVIN Protein YIPF7 OS=Bos taurus OX=9913 GN=YIPF7 PE=2 SV=1
+MSNLGQFDSDFYQSNYTIDNQEQTCNDSNACGNLYGSRKQQASEQPQPAFVPAEMLASSG
+YSGQFFQPASNQDYYSPSSYIDSFEEEPPLLEELGINFDHIWQKTLTVLNPMKPADGSIM
+NETDLTGPILFCMALGATLLLAGKVQFGYVYGMSAIGCLGIHALLNLMSSSGVSYGCVAS
+VLGYCLLPMVILSSCAIFFSLQGTFGTVSALVIIGWCSLSASKIFTSALAMEGQQLLIAY
+PCALLYGLFALVTVF
+>sp|Q58CQ9|VNN1_BOVIN Pantetheinase OS=Bos taurus OX=9913 GN=VNN1 PE=1 SV=1
+MIMSQLLNYVAVLFFCVSRASSLDTFIAAVYEHAVILPNATLVPVSPEEALAVMNRNLDL
+LEGAVTSASKQGAHIIVTPEDGIYGFNFTRESIYPYLEDIPDPQVNWIPCNNPDRFGHTP
+VQQRLSCLAKDNSIYIVANIGDKKSCNASDPQCPPDGRYQYNTDVVFDSKGKLVARYHKQ
+NLFLNEDQFNAPKEPEVVTFNTTFGKFGIFTCFDILFHDPAVTLVRDSHVDTILFPTAWM
+NVLPHLSAIEFHSAWAMGMRVNFLASNLHYPLKKMTGSGIYAPDSPRAFHYDMKTEEGKL
+LLAQLDSHPHPTPVVNWTSYASGVEAHSVGNQEFTGIIFFDEFTFLELKEIGGNYTVCQR
+DLCCHLSYKMSEKRSDEVYALGAFDGLHTVEGSYYLQICTLLKCKTTDLHTCGDSVETAS
+TRFEMFSLSGTFGTQYVFPEVLLSEIQLAPGEFQVSNDGRLFSLKPTSGPVLTVTLFGRL
+YEKDSAPNTLSDLTTQALRLNPKTDAWKSK
+>sp|P81401|VIP_BOVIN VIP peptides OS=Bos taurus OX=9913 GN=VIP PE=1 SV=2
+METRSKPQLLVFLTLFSVLFSQTLAWPLFGAPSALRMGDRIPFEGANEPDQVSLKADTDI
+LQDALAENDTPYYDVSRNVRHADGVFTSDYSRLLGQLSAKKYLESLIGKRVSNSISEDQG
+PIKRHSDAVFTDNYTRLRKQMAVKKYLNSILNGKRSSEGESPDFLEELEK
+>sp|Q29RH4|THOC3_BOVIN THO complex subunit 3 OS=Bos taurus OX=9913 GN=THOC3 PE=2 SV=1
+MAIPQASMGPSSLGQSGPGSMAPWCSVSSGPTRYVLGMQELFRGHSKTREFPAHSAKVHS
+VAWSCDGRRLASGSFDKTASVFLLEKDRLVKENNYRGHGDSVDQLCWHPSNPDLFVTASG
+DKTIRIWDVRTTKCIATVNTKGENINICWSPDGQTIAVGNKDDVVTFIDAKTHRSKAEEQ
+FKFEVNEISWNNDNNMFFLTNGNGCINILSYPELKPVQSINAHPSNCICIKFDPMGKYFA
+TGSADALVSLWDVDELVCVRCFSRLDWPVRTLSFSHDGKMLASASEDHFIDIAEVETGDK
+LWEVQCESPTFTVAWHPKRPLLAFACDDKDGKYDSSREAGTVKLFGLPNDS
+>sp|Q3T0I4|THOC4_BOVIN THO complex subunit 4 OS=Bos taurus OX=9913 GN=ALYREF PE=2 SV=1
+MADKMDMSLDDIIKLNRSQRGGRGGGRGRGRAGSQGGRGGGAQAAARVNRGGGPIRNRPA
+IARGAAGGGGRNRPAPYSRPKQLPDKWQHDLFDSGFGGGAGVETGGKLLVSNLDFGVSDA
+DIQELFAEFGTLKKAAVHYDRSGRSLGTADVHFERKADALKAMKQYNGVPLDGRPMNIQL
+VTSQIDTQRRPAQSVNRGGMTRNRGSGGFGGGGGTRRGTRGGSRGRGRGTGRSSKQQLSA
+EELDAQLDAYNARMDTS
+>sp|Q29465|SYYC_BOVIN Tyrosine--tRNA ligase, cytoplasmic OS=Bos taurus OX=9913 GN=YARS PE=2 SV=4
+MGDSLSPEEKLSLITRNLQEVLGEEKLKEILKERELKVYWGTATTGKPHVAYFVPMSKIA
+DFLKAGCEVTILFADLHAYLDNMKAPWDVLELRTSYYENVIKAMLESIGVPLEKLRFIKG
+TDYQLSKEYTLDVYRLSSVVTQHDAKKAGAEVVKQVEHPLLSGLLYPGLQALDEEYLKVD
+AQFGGVDQRKIFTFAEKYLPALGYSKRIHLMNPMVPGLTGSKMSSSEEESKIDLLDRKED
+VKKKLKKAFCEPGNVENNGVLAFIRHVLFPLKSEFVILRDEKWGGNKTYTAYLDLEKDFA
+DEVVHPGDLKNSVEVALNKLLDPIREKFNTPALKKLSSAAYPDPSKQKPAVKGPAKNSEP
+EEVIPSRLDIRVGKVISVDKHPDADSLYVEKIDVGEAEPRTVVSGLVQFVPKEELQDRLV
+VVLCNLKPQKMRGVKSQGMLLCASVEGVNRKVEPLDPPAGSAPGERVFVKGYEKGQPDEE
+LKPKKKVFEKLQADFKISDEYIAQWKQTNFMTKMGSVSCKSLKGGNIS
+>sp|Q864V4|UPK3B_BOVIN Uroplakin-3b OS=Bos taurus OX=9913 GN=UPK3B PE=1 SV=1
+MGLPSRQPRLWLLLLVVLGWPQPCLTLDLIPYTPRITSWDLEGKVTATTFSLEQPRCVLD
+RHSSAADTVWLVVAFSNASRVFQNPQTLAEIPASPRLLTDGHYMTLPLTMDQLPCEDPAD
+GSGRAPVLRVGNDAGCLADLHQPRYCNAPLPGPGPYRVKFLLTNSRGSPQAETRWSDLIA
+LRQGKSPGSIDTWPGRRSGDMIIITSILSSLAGLLLLAFLAASSVRFSSLWWPEEAPEQL
+RIGSFMGKRYMTHHIPPSEAATLPVGCEPGLERFPSLSP
+>sp|Q32PI1|VRK1_BOVIN Serine/threonine-protein kinase VRK1 OS=Bos taurus OX=9913 GN=VRK1 PE=2 SV=1
+MPRVKAAQAGRQGPAKRRLAEHFAAGEIITDMNKKEWKLGSPIGQGGFGCIYLADMNSSK
+SVGNDAPCVVKVEPSDNGPLFTELKFYQRAAKPEQIQKWIRTHKLKYLGVPKYWGSGLHD
+KNGKSYRFMIIDRFGSDLQKIYEANAKRFSRKTVLQLSLRILDILEYIHEHEYVHGDIKA
+SNLLLSYKNPDQVYLVDYGLAYRYCPEGTHKEYKEDPKRCHDGTVEFTSIDAHNGVAPSR
+RGDLEILGYCMIQWLSGHLPWEDNLKDPNYVRDSKIRYRENIASLMDKCFPEKNKPDEIA
+KYMETVKLLDYVEKPLYQKLRDILLQGLKAIGSKDDGKLDLTVVENGSLKAKPVAKKRKK
+EAEESVESSVEDMECSDKQTEEATQTRSKTRKRVQK
+>sp|Q2YDN8|VRK3_BOVIN Inactive serine/threonine-protein kinase VRK3 OS=Bos taurus OX=9913 GN=VRK3 PE=2 SV=1
+MICPDCGKGIEATFKFCPYCGKPLPAEKHEGSQSFVKPFTSSSQGSRRKTNTSSETSSKK
+VKWCSYAASPSLPLPSEGKSSGSEDTLSTSGKPKGHLSRSPTPRSSPQTTRQSPQTLKRS
+RMTASLEALPVGTVLTDKSGQHWKLRCLQTRDDQGILYEAESDSTTGSESSPQKQRFSLK
+LDAKDGRLFNEQNFFQRAAKPLQVNKWKKLYSIPQLAIPTCIGFGVHQDKYRFLVFPTLG
+RSLQSILDDFPKHVMSVRSVFQMACRLLDALEFLHENEYVHGNVTAENIFVNPENLCQVT
+LAGYGFTFRYSPGGRHVAYTEGSRSPHEGHLEFISMDLHKGCGPSRRSDLQTLGYCLLKW
+LYGTLPWTNCLPNTEEIVKLKQKFLDNPEGLVGQCSRWITPSETLQEYLKVVMALQYEEK
+PPYSTLRNELEALLQDLRASAYDPLDLQVVP
+>sp|Q9GMA3|VSX1_BOVIN Visual system homeobox 1 OS=Bos taurus OX=9913 GN=VSX1 PE=2 SV=1
+MTGRDALSDGRARSRALVPGGPSTGSRPRGFAITDLLGLEAELPAPEGPGPGSGCEGPAA
+APRAGPGLGGSCPARGALPLGLGLLCGFGAQPPAAARAPCLLLADVPFLPPEGPEPLAPR
+FPGRPPPSAARQKRSESVSTSDEDSPSEDRSDRKASPAPSKRKKRRHRTVFTAHQLEELE
+KAFSEAHYPDVYAREMLAMKTELPEDRIQVWFQNRRAKWRKREKRWGGSSVMAEYGLYGA
+MVRHCIPLPDSVLGSGEGGLLGSCAPWLLGMHKKSAGTIRKAESEEKLAGLWGSDHLKEG
+SSPHQAGPQRGLEEGSPVSGVEDVVIDLSSSTRQETDRAQQGVGAQGRPDSEGLEGLQPG
+KEGAL
+>sp|Q5E9A6|VPS25_BOVIN Vacuolar protein-sorting-associated protein 25 OS=Bos taurus OX=9913 GN=VPS25 PE=2 SV=1
+MAMSFEWPWQYRFPPFFTLQPNVDTRQKQLAAWCSLVLTFCRLHKQSSMTVMEAQESPLF
+NNVKLQRKLPVESIQVVLEELRKKGNLEWLDKNKSSFLIMWRRPEEWGKLIYQWVSKSGQ
+NNSVFTLYELTNGEDTEDEEFHGLDEATLLRALQALQQEHKAEIITVSDGRGVKFF
+>sp|P79110|TXTP_BOVIN Tricarboxylate transport protein, mitochondrial OS=Bos taurus OX=9913 GN=SLC25A1 PE=2 SV=1
+MAAARAPRALTSASPGSGKAKLTHPGKAILAGGLAGGIEICITFPTEYVKTQLQLDERSH
+PPRYRGIGDCVRQTVRSHGLLGLYRGLSSLLYGSIPKAAVRFGTFEFLSNHMRDAQGRLD
+STRGLLCGLGAGVPEAVVVVCPMETIKVKFIHDQTSASPKYRGFFHGVREIVREQGLKGT
+YQGLTATVLKQGSNQGIRFFVMTSLRNWYRGDNPNKPMNPLITGVFGAIAGAASVFGNTP
+LDVIKTRMQGLEAHKYRNTLDCGLQILRNEGLKAFYKGTVPRLGRVCLDVAIVFIIYDEV
+VKLLNKVWKAD
+>sp|Q32PA5|UBE2C_BOVIN Ubiquitin-conjugating enzyme E2 C OS=Bos taurus OX=9913 GN=UBE2C PE=2 SV=1
+MASQNRDPVAASVAAARKGAEPSGGAARGPVGKRLQQELMTLMMSGDKGISAFPESDNLF
+KWVGTIHGAAGTVYEDLRYKLSLEFPSGYPYNAPTVKFLTPCYHPNVDTQGNICLDILKD
+KWSALYDVRTILLSIQSLLGEPNIDSPLNTHAAELWKNPTAFKKYLQETYSKQVSSQDP
+>sp|A7Z050|VIP1_BOVIN Inositol hexakisphosphate and diphosphoinositol-pentakisphosphate kinase 1 OS=Bos taurus OX=9913 GN=PPIP5K1 PE=2 SV=1
+MWSLPASEGESATAHFFLGAGDEGLGTRGLGMRPEESDSELLEDEEDEVPPEPQIIVGIC
+AMTKKSKSKPMTQILERLCRFDYLTVIILGEDVILNEPVENWPSCHCLISFHSKGFPLDK
+AVAYSKLRNPFLINDLAMQYYIQDRREVYRILQEEGIDLPRYAVLNRDPARPEECNLIEG
+EDQVEVNGAVFPKPFVEKPVSAEDHNVYIYYPSSAGGGSQRLFRKIGSRSSVYSPESSVR
+KTGSYIYEEFMPTDGTDVKVYTVGPDYAHAEARKSPALDGKVERDSEGKEIRYPVMLTAM
+EKLVARKVCVAFKQTVCGFDLLRANGHSFVCDVNGFSFVKNSMKYYDDCAKILGNTIMRE
+LAPQFQIPWSIPMEAEDIPIVPTTSGTMMELRCVIAIIRHGDRTPKQKMKMEVTHPRFFS
+LFEKHGGYKTGKLKLKRPEQLQEVLDITRLLLAELEKEPGGEIEEKTGKLEQLKSVLEMY
+GHFSGINRKVQLTYYPHGVKASNEGQDTQREALAPSLLLVLKWGGELTPAGRVQAEELGR
+AFRCMYPGGQGDYAGFPGCGLLRLHSTFRHDLKIYASDEGRVQMTAAAFAKGLLALEGEL
+TPILVQMVKSANMNGLLDSDGDSLSSCQHRVKARLHHILQQDAPFGPEDYNQLAPTGSTS
+LLSSMAVIQNPVKVCDQVFDLIENLTHQIRERMQDPKSVDLQLYHSETLELMLQRWSKLE
+RDFRQKSGRYDISKIPDIYDCVKYDVQHNGSLGLQGTAELLRLSKALADVVIPQEYGISR
+EEKLEIAVGFCLPLLRKILLDLQRTHEDESVNKLHPLYSRGVLSPGRHVRTRLYFTSESH
+VHSLLSVFRYGGLLDETKDTQWQRALAYLSAISELNYMTQIVIMLYEDNTRDPLSEERFH
+VELHFSPGVKGVEEEGSAPTGCGFRPASSENEERKADQGSVEDLCPGKASDEPDRALQTS
+PLPSEGPGLPKRSPLIRNRKAGSMEVLSETSSSRPGGHRLFSSSRPPTEMKQSGLGSQCT
+GLFSTTVLGGSSSAPNLQDYARSQGKKLPPASLKHRDELLFVPAVKRFSVSFAKHPTNGF
+EGCSMVPTIYPLETLHNALSLRQVSEFLSRVCQRHTEAQAQASAALFDSMHSNQASDSPF
+SPPRTLHSPTLQLQQRSEKPPWYSSGPSSTVSSAGPSSPTAVDGNCPFGFSDQPSVSSHV
+TEEYQGLGLLQEAPGSGAQEPPLEGQQEPFEQNQSPQEPPVETKKPCQEVAEEVSQPCQD
+IPEEVNQPCQQVSDICQPCEENHDDVDQTCQEVPQISQPCEDASQLYQKVSKEVCELCQN
+SEEVNQPCQGVPVEIGRLVHGFPVGVGGLAQEVLGEVGRPTQEIPEELSQSCQEFSVDIG
+RLAQEASAINLLSPDTPEVDNPPLEFPGEGALQAQEVSEWVKQQQSYVVPELIDQLSREE
+VPQVQCPPSNANPQSQSLAPDQNAPLPPATCDSSFSH
+>sp|Q9BH10|ZP2_BOVIN Zona pellucida sperm-binding protein 2 OS=Bos taurus OX=9913 GN=ZP2 PE=1 SV=1
+MACRQRGDSGRPSSWFRADWRSFFLSFTLLTSVNSIDVNQLDPAFPGTVTCYENRMVVEF
+KRTLGNKIQHASVVDSLGLKMLNCTYVLDPEKLTLKAPYESCTKRVLGQHQMTITFMNDN
+TAHRQKTVLYHVSCPVMQAGRHDQHSGSTICSKDFMSFTFHFFPGLADDTAGPKPQMGWT
+VTVGDGERAQNLTLQEALTQGYNLLIENQKMSIQVLFHATGVTHYSQGNSHLYMVPLKLT
+HVSPGQTIILSSRLICASDPVTCNATHMTLTIPEFPGKLKSVSFENKNIAVNQLHNSGIV
+MEIANGLRLHFSKTLLKTKFSEKCLPYQFYLSSLKLTFYTQLETVSMVIYPECVCESTVS
+IVSGELCTQDGFMDVEVYRHQTKPALNLDTLRVGDSSCQPTIKAPFQGLVKFHIPLNGCG
+TRHKFENGKVIYENEIHALWADLPPSTISRDSEFRMTVRCYYSSSNMLINTNVESLPPPV
+ASVKPGPLALTLQTYPDNSYLQPYGDKDYPVVRYLRQPIYLEVRVLNRTDPNIKLVLDDC
+WATSTMDPASLPQWNIIVDGCEYNLDNHRTTFHPVGSSVAYPNHYQRFAVKTFAFVSEDP
+AFSHLVYFHCSALICDQLSSNFPLCSASCLVSSRSRRATGATEEEKMIVSLPGPILLLSD
+GSSFRDAVDSKGHGTSGYAAFKTMVAVVALAGVVATLSLISYLRKKRITVLNH
+>sp|Q9BH11|ZP4_BOVIN Zona pellucida sperm-binding protein 4 OS=Bos taurus OX=9913 GN=ZP4 PE=1 SV=2
+MWLLLQLVWLCFLLSLGLNSWHQSKVPEYPDELRCGLRSFQFTINPLSQETETPPVLVAW
+DNHGLPHSLQNDSDCGTWVSEGPGSSLVGEASYSGCYVTEWESYYIMTVGIERAGVSGSG
+AFIETKLFKCPVNLPDVPNAGLCDSVPVWDRLPCAPSPITQGDCKQLGCCYNSEEVISCY
+YGNTVTSHCTQDGHFSIAVSRNVTSPPLLLNSVHLAFRNDSECKPVMATHTFVLFRFPFT
+TCGTTKQITGKQAVYENELVAARDVRTWSRGSITRDSTFRLQVSCSYSASSSALPVNVQV
+LTLPPPLPETQPGNLTLELKIAKDKRYRSYYTASDYPVVKLLRDPIYVEVSIHQRTDPSL
+ELRLDQCWATPGADALLQPQWPLLVNGCPYTGDNYQTKLIPVWEASDLPFPSHYQRFSIS
+TFSFVDSVAKRALKGPVYLHCSASVCQPAGTPSCVTLCPARRRRSSDIHFQNNTASISSK
+GPLILLQAIQDSSEKLHKYSRSPVDSQALWVAGLSGILIVGALFMSYLAIRKWR
+>sp|Q2TBX0|ZPR1_BOVIN Zinc finger protein ZPR1 OS=Bos taurus OX=9913 GN=ZNF259 PE=2 SV=1
+MSAGGAVEPGLPAAAAAPSAAPARDPGPGHLFRPISAEDEEQQPTEIESLCMNCYRNGMT
+RLLLTKIPFFREIIVSSFSCEHCGWNNTEIQSAGRIQDQGVRYTLTVRAQEDMDREVVKT
+DSATTRIPELDFEIPAFSQKGALTTVEGLISRAISGLEQDQPTRRANEEAVAERIDEFIA
+KLKELKQVASPFTLIIDDPSGNSFVENPHAPRKDDALVITHYNRTLQQEEMLGLQAEAPE
+EKPEEEDIRNEVLQFNTNCPECNAPAQTNMKLVQIPHFKEVIIMATNCENCGHRTNEVKS
+GGAVEPLGTRITFHITDPSDMTRDLLKSETCSVEIPELEFELGMAVLGGKFTTLEGMLKD
+IRELVTKNPFTLGDSSSPGQTEKLQEFSQKLDQILEGILKAHFIMDDPAGNSYLQNVYAP
+EDDPEMKVEHYKRTFDQNEELGLNDMKTEGYETGLPAQR
+>sp|E1BK52|STK10_BOVIN Serine/threonine-protein kinase 10 OS=Bos taurus OX=9913 GN=STK10 PE=3 SV=3
+MAFANFRRILRLSTFEKRKSREYEHVRRDLDPNEVWEIVGELGDGAFGKVYKAKNKETGA
+LAAAKVIETNSEEELEDYIVEIEILATCDHPYIVKLLGAYYYDGKLWIMIEFCPGGAVDA
+IMLELDRGLTEPQIQVVCRQMLEALTFLHGKKIIHRDLKAGNVLMTLEGDIRLADFGVSA
+KNLKTLQKRDSFIGTPYWMAPEVVMCETMKDTPYDYKADIWSLGITLIEMAQIEPPHHEL
+NPMRVLLKIAKSDPPTLLSPSKWSAEFRDFLKTALDKNPETRPSAAQLLEHPFVSSVTSN
+KALRELVAEAKAEVMEEIEDGRDEGDEEDAVEAASPLENHTRDSSEVSQLSIDADKLLKE
+SPFTPPPPSQPQDGANGPSEPPGHGALPATSPLDVAPGNENGQAVPVPLRKFRPVSMGAR
+IQVSEEKQAADQGGDLSPAASRSQKASQSRPNSSALETLRSELTNGSLELPTPGAQSLSK
+RDSDCGSVSTSGSTDFGTSLSADMSVNKESGSLSIKDSRLHNKTLKRTRKFVVDGVEVSI
+TTSKIISEDEKKDEEMRFLRRQELRELRLLQKEEHRNQTQLSSKHELQLEQMHKRFEQEI
+NAKKKFFDIELENLERQQKQQVEKMEQDHAVRRREEAKRIRLEQERDYAKFQEQLKLMKK
+EVKNEVEKLPRQQRKESMKQKMEEHTQKKQLLDRDFLAKQKEDLELAMKRITADNRREIC
+DKERECLTRKQELLRDREAALWEMEEHHLQERHQLVKQQLKDQYFLQRHELLRKHEKERE
+QMQRYNQRMIEQLKVRQQQEKARLPKIQRSEGKTRMAMYKKSLHINGGGSAAEQREKIKQ
+FSQQEEKRQKAERLQQQQKHENQMRDMLAQCESNMSELQQLQNEKCHLLIEHETQKLKAL
+DESHNQNLKEWRDKLRPRKKALEEDLNQKKREQEMFFKMNEESECANPTSPNKVTKFFPY
+SSADAA
+>sp|Q3T130|TM45B_BOVIN Transmembrane protein 45B OS=Bos taurus OX=9913 GN=TMEM45B PE=2 SV=1
+MANFKGHALPGSFFLIVGLWWSLKYPLKYFYQKEKSNQLTHHYQRLEIIEAAIRTLFAVI
+GILVEQFVPDGPHLHLYHEDHWVKLMNWQHSTMYLFFAVSGIMDMLTYLITHVPLGLDRL
+VMALAAFNEGFLFYYHVRDRPPLDQHIHSLLLYTVFGGALSLAVEVVLRDNIVLELFRTS
+LLLLQGTWFWQIGFVLFPPFGGPEWDQNSHDNIMFVTMCFCWHYLAALCILAASYSLVYC
+FLTRVKRPEDREVIGIQKLRSDHTYRKALLSGSDEE
+>sp|P48733|UROM_BOVIN Uromodulin OS=Bos taurus OX=9913 GN=UMOD PE=2 SV=1
+MKCLFSPNFMWMAAVVTSWVIIPAATDTSSAKSCSECHSNATCTVDGAATTCACQEGFTG
+DGLECVDLDECAVLGAHNCSATKSCVNTLGSYTCVCPEGFLLSSELGCEDVDECAEPGLS
+RCHALATCINGEGNYSCVCPAGYLGDGRHCECSPGSCGPGLDCVREGDALVCVDPCQVHR
+ILDEYWRSTEYGSGYICDVSLGGWYRFVGQAGVRLPETCVPVLHCNTAAPMWLNGTHPSS
+DEGIVNRVACAHWSGDCCLWDAPIQVKACAGGYYVYNLTAPPECHLAYCTDPSSVEGTCE
+ECRVDEDCKSDNGEWHCQCKQDFNVTDLSLLERRLECGVDDIKLSLSKCQLKSLGFEKVF
+MYLHDSQCSGFTERGDRDWMSVVTPARDGPCGTVMTRNETHATYSNTLYLADEIIIRDLN
+IRINFACSYPLDMKVSLKTSLQPMVSALNISMGGTGTFTVRMALFQSPAYTQPYQGSSVT
+LSTEAFLYVGTMLDGGDLSRFVLLMTNCYATPSSNATDPLKYFIIQDRCPRAADSTIQVE
+ENGESPQGRFSVQMFRFAGNYDLVYLHCEVYLCDTVNEKCRPTCPETRFRSGSIIDQTRV
+LNLGPITRKGGQAAMSRAAPSSLGLLQVWLPLLLSATLTLMSP
+>sp|A6QPD8|U730_BOVIN Putative UPF0730 protein encoded by LINC00643 homolog OS=Bos taurus OX=9913 PE=5 SV=1
+MVQECCSQSLYYEELHSYHIVPYASENAIYGMGYTSSHLEQNSQLLIYKMN
+>sp|Q3ZBV0|TSN13_BOVIN Tetraspanin-13 OS=Bos taurus OX=9913 GN=TSPAN13 PE=2 SV=1
+MVCGGFACSKNCLCALNLLYTLVSLLLIGIAAWGIGFGLISSLRVVGVVIAVGIFLFLIA
+LVGLIGAVKHHQVLLFFYMIILLLVFIVQFSVSCACLALNQEQQAQLLEVGWNNTASARD
+DIQRNLNCCGFRSFNPNDTCLASCVKSSHPCSPCAPIIGRYAGEVLRFVGGIGLFFSFTE
+ILGVWLTYRYRNQKDPRANPSAFL
+>sp|P27628|TUFT1_BOVIN Tuftelin OS=Bos taurus OX=9913 GN=TUFT1 PE=2 SV=2
+MNGTRNWCTLVDVHPEGQTAGSVDVLRLTLQSELTGDELERIAQKAGRKTYAMVSSHSTS
+HSLASELVESNDGHEEIIKVYLKGRSGDKMIHEKNINQLKSEVQYIQEARNCLQKLREDI
+SSKLDRDPGDSVHKQEIQVVLEKQNGLSEGPLTTYSSPPEVDTHINEDVESLRKTVQDLL
+VKLQEAEQQHQSDCSAFKVTLSQYQREAKQSQVALQRAEDRAEQKEAEVGELQRRLQGME
+TEYQAILAKVREGETALEELRSKNVDCQAEQEKAANLEKEVAGLREKIHHLDDMLKSQQR
+KVRQMIEQLQNSKAVIQSKDTTIQELKEKIAYLEAENLEMHDRMEHLIEKQISHGNFSTQ
+NRAKTENLGSIRISKPPSPKPMPLIRVVET
+>sp|Q1RMW1|UBE2F_BOVIN NEDD8-conjugating enzyme UBE2F OS=Bos taurus OX=9913 GN=UBE2F PE=2 SV=1
+MLTLASKLKRDDGLKGSRASATASDSTRRVSVRDRLLVKEVAELEANLPCTCKVHFPDPN
+KLHCFQLTVTPDEGYYQGGKFQFETEVPDAYNMVPPKVKCLTRIWHPNITETGEICLSLL
+REHSIDGTGWAPTRTLKDVVWGLNSLFTDLLNFDDPLNIEAAEHHLRDKEDFRNKVEDYI
+KRYAR
+>sp|Q2TA32|TYSY_BOVIN Thymidylate synthase OS=Bos taurus OX=9913 GN=TYMS PE=2 SV=1
+MPAAGSEPSRPPSPPGVQEQSAEPRPPPPPHGELQYLGQIEHILRCGFRRDDRTGTGTLS
+VFGMQARYNLRDEFPLLTTKRVFWKGVLEELLWFIKGSTNAKELSSKGVKIWDANGSRDF
+LDGLGFSDRAEGDLGPVYGFQWRHFGAEYKDMDSEYSGQGVDQLQKVIDTIKTNPNDRRI
+ILCAWNPKDLPLMALPPCHALCQFYVVNGELSCQLYQRSGDMGLGVPFNIASYALLTYMI
+AHITDLKPGDFVHTLGDAHIYLNHIEPLKTQALMELRGQSSRSLDGDGQAGTSRWAPVAT
+DTERDRCCELQREPRPFPKLKILRKVETIDDFQAEDFQIEGYNPNPTIKMEMAV
+>sp|Q08DG8|ZN135_BOVIN Zinc finger protein 135 OS=Bos taurus OX=9913 GN=ZNF135 PE=2 SV=1
+MTAGLLTAGDPDQVTFEDVVVDFTQEEWGHLEPAQRTLYRDVMLETFGLLVSVGHWLPKP
+DVISLLEQEAELWAADKGGPRGVCPDLETRPQSKLSTENQGVTEEIPNSALVERFLQESL
+WHSKDEGAAGHREQGPEKSDSCVVQAACTLVKTLTEEQQQGDGCGENLSLRPDLPTQPMT
+PERQGAPTWGTHGQRENPDSNAQQKTCAKEKPYGCQECGKAFSHSLALIEHHRTHTGERP
+YECPECGKGFRNSSALTKHQRIHTGEKPYKCAQCGRTFNQIAPLIQHQRTHTGEKPYECS
+ECGKSFSFRSSFSQHERTHTGEKPYTCSQCGKAFRQSIHLTQHLRIHTGEKPYQCGECGK
+AFSHSSSLTKHQRIHTGEKPYECQACGKAFTQITPLIQHQRIHTGERPYECSECGRAFSQ
+STLLTEHRRIHTGEKPYGCNECGKAFSHSSSLSQHERTHTGEKPYACSQCGKAFRQSTHL
+TQHQRTHTGEKPYECSDCGKAFSHSSSLTKHQRIHTGEKPYECNECGKAFSQLAPLIQHQ
+RIHTGEKPYECNQCGRAFSQSSLLIEHQRIHTKEKPYGCNECGKSFSHSSSLSQHERTHT
+GEKPYDCQDCGKSFRQRTHLTQHQRIHTGEKPYECRDCGKAFTHSSSFIKHQRTHTG
+>sp|Q0VD53|VP26A_BOVIN Vacuolar protein sorting-associated protein 26A OS=Bos taurus OX=9913 GN=VPS26A PE=2 SV=1
+MSFLGGFFGPICEIDVVLNDGETRKMAEMKTEDGKVEKHYLFYDGESVSGKVNLAFKQPG
+KRLEHQGIRIEFVGQIELFNDKSNTHEFVNLVKELALPGELTQSRSYDFEFMQVEKPYES
+YIGANVRLRYFLKVTIVRRLTDLVKEYDLIVHQLATYPDVNNSIKMEVGIEDCLHIEFEY
+NKSKYHLKDVIVGKIYFLLVRIKIQHMELQLIKKEITGIGPSTTTETETIAKYEIMDGAP
+VKGESIPIRLFLAGYDPTPTMRDVNKKFSVRYFLNLVLVDEEDRRYFKQQEIILWRKAPE
+KLRKQRTNFHQRFESPESQASAEQPEM
+>sp|Q32KN0|ZN572_BOVIN Zinc finger protein 572 OS=Bos taurus OX=9913 GN=ZNF572 PE=2 SV=1
+MEQEQKLLVSDSNGLTKKESLKNTITGDESKNNLKTVQFSNSKADKERASKWSRSDGPEN
+YKDEDTKEILLTGSQGGKTERDDSYENDSNLGSQRNCTEKEEEQPNHWGWSPGDHTGPAG
+QQNPSFGDKPYKCSECWKSFSNSSHLRIHQRTHSGEKPYRCSECGKCFSNSSHLIQHLRT
+HTGEKPYQCGECGKSFSNTSHLIIHERTHTGEKPYKCPECAKSLSSSSHLIQHHRSHTGE
+KPYECPLCGKCFSHSYVLVEHQRTHTGEKPYKCPDCGKSFSQSSSLIRHQRTHTGEKPYK
+CPECGKGFGCNSTLIKHQRIHTGEKPYQCIECGKNFSRSSNLVTHQKMHTDDKTYQSSEY
+EESLSQNYSLIEECRIQPGEKPYKCCECGKSFGLSSHLIRHQRTHTGEKPYRCSECWKTF
+SQSSTLVIHQRTHTGEKPYKCPDCGECFSQSFNLIRHRRTHMGEKPYKCTDCEKCFSRSA
+YLSQHRKIHVGKSFESPEVEDFPHEWTWKNYSGEIALIPSFSVPSSSPS
+>sp|P23356|UCHL1_BOVIN Ubiquitin carboxyl-terminal hydrolase isozyme L1 OS=Bos taurus OX=9913 GN=UCHL1 PE=1 SV=2
+MQLKPMEINPEMLNKVLTRLGVAGQWRFEDVLGLEEESLGSVPAPACALLLLFPLTAQRC
+FKLGREAASRFHHPDYPGRLFILLVSQHENFRKKQIEELKGQEVSPKVYFMKQTIGNSCG
+TIGLIHAVANNQDKLEFEDGSVLKQFLSETEKLSPEDRAKCFEKNEAIQAAHDAVAQEGQ
+CRVDDKVNFHFILFNNVDGHLYELDGRMPFPVNHGTSSEDSLLQDAAKVCREFTEREQGE
+VRFSAVALCKAA
+>sp|Q3MHI8|TM10A_BOVIN tRNA methyltransferase 10 homolog A OS=Bos taurus OX=9913 GN=TRMT10A PE=2 SV=1
+MSSEMLPAFSETCNVERQKNLSEDGEQNQKPGSSERFQPISKRQMKKLMKQKQWEEQREL
+RKQKRKEKRKRKQLERQCQPESNSDGSDRKRIRRDVVHSPLRLIIDCSFDSLMVLKDIKK
+LHKQIQRCYAENRRALHPVQFYLTSHGGQLKKNMDENDKGWVNWKDIHIKPEHYSEFIQK
+EDLIYLTSDSPNILKELDESKAYVIGGLVDHNHHKGLTYKQASDHGIDHAQLPLGNFVKM
+NSRKVLAVNHVFEIILEYLETRDWQEAFFTILPQRKGAVPTDQACESCSHDKKFARVEGG
+LNSDSSEEENRHELDSTHEEEKQDKENSTESTVNSVPH
+>sp|Q95LA9|TLR2_BOVIN Toll-like receptor 2 OS=Bos taurus OX=9913 GN=TLR2 PE=2 SV=1
+MPRALWTAWVWAVIILSTEGASDQASSLSCDPTGVCDGHSRSLNSIPSGLTAGVKSLDLS
+NNDITYVGNRDLQRCVNLKTLRLGANEIHTVEEDSFFHLRNLEYLDLSYNRLSNLSSSWF
+RSLYVLKFLNLLGNLYKTLGETSLFSHLPNLRTLKVGNSNSFTEIHEKDFTGLTFLEELE
+ISAQNLQIYVPKSLKSIQNISHLILHLKQPILLVDILVDIVSSLDCFELRDTNLHTFHFS
+EASISEMSTSVKKLIFRNVQFTDESFVEVVKLFNYVSGILEVEFDDCTHDGIGDFRALSL
+DRIRHLGNVETLTIRKLHIPQFFLFHDLSSIYPLTGRVKRVTIENSKVFLVPCLLSQHLK
+SLEYLDLSENLMSEETLKNSACKDAWPFLQTLVLRQNRLKSLEKTGELLLTLENLNNLDI
+SKNNFLSMPETCQWPGKMKQLNLSSTRIHSLTQCLPQTLEILDVSNNNLDSFSLILPQLK
+ELYISRNKLKTLPDASFLPVLSVMRISRNIINTFSKEQLDSFQQLKTLEAGGNNFICSCD
+FLSFTQGQQALGRVLVDWPDDYRCDSPSHVRGQRVQDARLSLSECHRAAVVSAACCALFL
+LLLLTGVLCHRFHGLWYMKMMWAWLQAKRKPRKAPRRDICYDAFVSYSERDSYWVENLMV
+QELEHFNPPFKLCLHKRDFIPGKWIIDNIIDSIEKSHKTIFVLSENFVKSEWCKYELDFS
+HFRLFDENNDAAILILLEPIDKKAIPQRFCKLRKIMNTKTYLEWPVDETQQEGFWLNLRA
+AIRS
+>sp|P82923|RT02_BOVIN 28S ribosomal protein S2, mitochondrial OS=Bos taurus OX=9913 GN=MRPS2 PE=1 SV=2
+MATGAVLPRLLGAGVRAAPRGRAAQRGRTLGSAAAAAAREPERDSDRSARILSEPLKHSD
+FFNVKELFSVRSLFNARVHLGHKAGCRHRFMEPYIFGSRLGQDIIDLEQTATHLQLALNF
+TAHVAFRGGIILFVSRARQFSHLIESTARSCGEYAHTRYFKGGLLTNAPLLLGARVRLPD
+LIIFLHTLNNVFEPHVAVRDAAKMSIPTVGVVDTNCNPCLITYPVPGNDDSPPAVQLFCQ
+LFQTAVTRAKEKRRQLEALYRLQGAPGPHPANPAAPGAPSPGAQAQLGMGHSP
+>sp|Q5QQ49|XYLT2_BOVIN Xylosyltransferase 2 OS=Bos taurus OX=9913 GN=XYLT2 PE=2 SV=1
+MVASARVQKLVRRYKLAIATALAILLLQGLVVWSFSVLEDDEPGEKGRQKKSRPLDPSEG
+SKDTDSSAGRRGSAGRRHGRWRGRAESPGVPVAKVVRAVTSRHRTGRRIPPTPPPEAPGR
+QNLSGAAAEEALVGAAGFPHGDTGSVEGAPQPTDNSFTPKCEIVGKDALSALARASSKQC
+QQEIANVVCLHQAGSLMPKAVPRHCQRAGKMSPGIPWDEVRAQQPADGPPVRIAYMLVVH
+GRAIRQLKRLLKAVYHKQHFFYVHVDERSNYLHREVVELARQYDNVRVTPWRMVTIWGGA
+SLLRMYLRSMQDLLEVPGWAWDFFINLSATDYPTRTNEELVAFLSKNRDKNFLKSHGRDN
+SRFIKKQGLDRLFHECDSHMWRLGERQIPAGIVVDGGSDWFVLTRSFVEYVVYTDDPLVA
+QLRQFYTYTLLPAESFFHTVLEISPACESLVDNNMRVTTWNRKMGSKSQYKHIVDWCGCS
+PNDFKPQDFLRLQQTARPTFFARKFEAVVNQEIIGQLDYYLYGNYPAGTPGLRSYWENVY
+DEPDGIHSLSDVTLTLYHSFSRLGLRRAEASLRAPGESSCRFEPRGLPSSVHLYFYDDHF
+QGYLVTQAVQSSAQGPAETLEMWLMPQGSLKLLGHSDQASRLQSLEVGQVGTEWDPKERL
+FRNFGGLLGPLDEPVAMQRWARGPNLTVTVVWIDPTYVVATSYDIVVDAETEVTQYKPPL
+SRPLRPGAWTVRLLQFWEPLGETRFLVLPLTFNRKLPLRKGKFSQMIAGPPHNEYMEQSF
+QGLSGILNLPQLEPAEEAARLHAELTGPALEAWTDGEQSSFWSVAGVCAVGPSACPSLEL
+CRLTSWSSLSPDPKSELGPVKADGRLR
+>sp|A5PJC4|UB2L6_BOVIN Ubiquitin/ISG15-conjugating enzyme E2 L6 OS=Bos taurus OX=9913 GN=UBE2L6 PE=2 SV=1
+MTASKRVAKELEDLQKELPKYLRNLCSEEDNVLVWHALLLPERPPYNLKAFRLCISFPRE
+YPFQPPTVKFTTRIYHPNVDRDGRVCLPIISKKNWKASTRTCQVLEALNMLVNQPEPGQP
+VRLELAEQLTQDPELFDQMAQEFTLQFGVDRPS
+>sp|Q95119|TYRP2_BOVIN L-dopachrome tautomerase OS=Bos taurus OX=9913 GN=DCT PE=2 SV=2
+MSPLGWGLLLGCLGCALPSGARAQFPRVCMTVGSLQAKECCPPLGADPANVCGSREGRGQ
+CAEVQTDTRPWSGPYVLRNQDDRERWPRKFFDRTCRCTGNFAGYNCGNCRFGWTGPKCDQ
+KKPLVVRRDVHSLTPQEREQFLDALDLAKYTLHPDYVITTQHWLGLLGPNGTRPQIANCS
+IYDFFVWLHYYSVRDTLLGPGRPYKAIDFSHQGPAFVTWHRYHLLWLERDLQRLTGNESF
+ALPYWNFATGRNECDVCTDQLLGAARQDDPTLISQNSRFSSWEIVCDSLNDYNRRVTLCN
+GTYEGLLKRNQMGRNSEKLPTLKDIQNCLSLKKFDSPPFFQNSTLSFRNALEGFGKADGT
+LDSQVMNFHNLVHSFLNGTSALPHSAANDPVFVVLHSFTDAIFDEWMKRFNPPVDAWPRE
+LAPIGHNRMYNMVPFFPPVTNEELFLTADQLGYSYAIDLPVEETPDWTTVLSVVTGMLVV
+LVVLFALLLFLQYRRLRKGYTPLVETQLSNKRYTEEA
+>sp|Q58DT8|WDR55_BOVIN WD repeat-containing protein 55 OS=Bos taurus OX=9913 GN=WDR55 PE=2 SV=1
+MDRMCEERAAEDGSDEEDPDATEAPARIRDTPEDIVLEAPASGLAFHPARDLLAAGDVDG
+DVFVFSYSCQEGETKELWSSGHHLKSCRAVVFSEDGQKLVTVSKDKAIHFLDVELGRLER
+RISKAHGAPINSLLLVDENVLATGDDTGGIRLWDQRKEGPLMDMRQHEEYIADMALDPDK
+KLLLTASGDGCLGVFNIKRRRFELLSEPQSGDLTSVTLMKYGRKVACGSSEGTIYLFNWD
+GFGATSDRFALRAESIDCMVPVTESLLCAGSTDGVIRAVNILPNRVVGSVGQHAEEPVEN
+LALSHCGCFLASSGHDQRLKFWDMAQLRALVVDDYRRRKKKGGPLRALSSKAWSTDDFFA
+GLREEGEDAKTLEEEESEDDSD
+>sp|Q2HJE4|UBP15_BOVIN Ubiquitin carboxyl-terminal hydrolase 15 OS=Bos taurus OX=9913 GN=USP15 PE=2 SV=1
+MAEGGAADLDIQRSDIATLLKTSLRKGDTWYLVDSRWFKQWKKYVGFDSWDKYQMGDQNV
+YPGPIDNSGLLRDGDAQSLKEHLIDELDYILLPTEGWNKLVSWYTLMEGQEPIARKVVEQ
+GMFVKHCKVEVYLTELKLCENGNMNNVVTRRFSKADTIDTIEKEIRKIFNIPDEKETRLW
+NKYMSNTFEPLNKPDSTIQDAGLYQGQVLVIEQKNEDGTWPRGPSTPNVKNSNYCLPSYT
+AYKNYDYSEPGRNNEQPGLCGLSNLGNTCFMNSAFQCLSNTPPLTEYFLNDKYQEELNFD
+NPLGMRGEIAKSYAELIKQMWSGKYSYVTPRAFKTQVGRFAPQFSGYQQQDCQELLAFLL
+DGLHEDLNRIRKKPYIQLKDADGRPDKVVAEEAWENHLKRNDSIIVDIFHGLFKSTLVCP
+ECAKISVTFDPFCYLTLPLPMKKERTLEVYLVRMDPLTKPMQYKVVVPKIGNILDLCTAL
+SLLSGVPADKMIVTDIYNHRFHRIFAMDENLSSIMERDDIYVFEININRTEDTEHVIIPV
+CLREKFRHSSYTHHTGSSLFGQPFLMAVPRNNTEDKLYNLLLVRMCRYVKISTDTEDTEG
+SLHCCKDQNINGNGPNGIHEEGSPSEMETDEPDDESSQDQELPSENENSQSEDSVGGDND
+SENGLCTEETCRGQLTGHKKRLFTFQFNNLGNTDINYIKDDTRHIRFDDRQLRLDERSFL
+ALDWDPDLKKRYFDENAAEDFEKHESVEYKPPKKPFVKLKDCIELFTTKEKLGAEDPWYC
+PNCKEHQQATKKLDLWSLPPVLVVHLKRFSYSRYMRDKLDTLVDFPINDLDMSEFLINPN
+AGPCRYNLIAVSNHYGGMGGGHYTAFAKNKDDGKWYYFDDSSVSTASEDQIVSKAAYVLF
+YQRQDTFSGTGFFPLDRETKGASAATGIPLESDEDSNDNDNDIENENCMHTN
+>sp|Q5E9L7|VPS16_BOVIN Vacuolar protein sorting-associated protein 16 homolog OS=Bos taurus OX=9913 GN=VPS16 PE=2 SV=1
+MDCYTANWNPLGDSAFYRKYELYSMDWDLKEELRDCLVAAAPYGGPIALLRNPWRKEKPA
+SARPVLEIYSASGVPLASLLWKSGPVVSLGWSAEEELLCVQEDGVVLVYGLHGDFRRHFS
+MGNEVLQNRVLDARIFHTEFGSGVAILTGAHRFTLSANVGDLKLRRMPEVPGLXSAPSCW
+TTVCQDRVAHILLAVGPDLYLLDHAACSAVTPPGLAPGVSSFLQMAVSFTYRHLALFTDT
+GYIWMGTASLKEKLCEFNCNIRAPPKQMVWCSRPRSKERAVVVAWERRLMVVGDAPESIQ
+FVLDEDSYLVPELDGVRVFSRSTHEFLHEVPVASEEIFKIASMAPGALLLEAQKEYEKES
+QKADEYLREIQELGQLPQAVQQCIEAAGHEHWPDMQKSLLRAASFGKCFLDRFPPDSFVR
+MCQDLRVLNAIRDYHIGIPLTYSQYKQLTIQVLLDRLVLRRLYPLAIQICEYLRLPEVQG
+VSRILAHWACYKVQQKDVSDEDVARAINQKLGDTPGVSYSDIAARAYGCGRTELAIKLLE
+YEPRSGEQVPLLLKMKRSKLALSKAIESGDTDLVFTVLLHLKNELNRGDFFMTLRNQPMA
+LSLYRQFCKHQELETLKDLYNQDDNHQELGSFHIRASYAAEERIEGRVAALQTAADAFYK
+AKNEFAAKATEDQMRLLRLQRRLEDELGGQFLDLSLHDTVTTLILSGQNKRAEQLARDFR
+IPDKRLWWLKLTALADLEDWEELEKFSKSKKSPIGYLPFVEICMKQHNKYEAKKYASRVG
+PEQKVKALLLVGDVAQAADVAIEHRNEAEMSLVLSHCTGATDGATADKIQRARAQAQKK
+>sp|Q1LZI1|UD3A1_BOVIN UDP-glucuronosyltransferase 3A1 OS=Bos taurus OX=9913 GN=UGT3A1 PE=2 SV=1
+MAGQQALLLFGFILPGLLFSEAAKILTVSLVGGSHFLLMHQISQILQDHGHNVTMLLQKG
+NLLLPGFKEEEKSYKVFNWFLPEDCNEEFKRSFHSFMEKTFGGRCKFEHFLNIMELLGHH
+CSHLLRRKDVMKSLKNENFDLVIVEMFDYCPFLVAEKLGKPFVAILPSALGTVDFGLPSP
+LSYVPVFYSLLTDQMDFWGRVKNFLMFFEFFKKQWKIQSAYDDTIKEHFPDDSRPVLSHL
+LTKAELWFVNTDFAFDFARPLLPNTVCIGGLMSKPVKPVPQEFENFITKFGDSGFVLVSL
+GSMVSFIRSQEVLKEMNAAFAHLPQGVIWKYNPSHWPKDIKLAPNVKIVHWLPQNDLLGH
+PRIRLFVSHGGMNSIMEAIQHGVPMVGIPLFGDQHENLLRVKAKKFGVSIQLKQIKAETL
+ALKMKQVIEDKRYKSAAEAASIIRRSQPLTPAQRLVGWINHILQTGGAAHLKPHAFQQPW
+YEQYLLDVFLFLLVVTLGTMWLCGKLLGLVARWLCGARKLKKA
+>sp|Q5EA03|TM186_BOVIN Transmembrane protein 186 OS=Bos taurus OX=9913 GN=TMEM186 PE=2 SV=1
+MAAVLRAVARSPGPAAWGRPLHRLCCCGGQDPRRWVGSGPPHSKEKPLGPETEKFQMVYR
+FDAIKAFGYLSRLKVAQTALTVAALPPGLYCYSQGLMPFSSLCLAGGVAGFALAMLCWMS
+HFFRRLVGILYVNEEGTVLRVAHLTFWGRRQDTYCPVADVIPMTESPDRPQELFMRIQQY
+SGKQTFYLTLRYGRVLDQERFTQVFGVLDALK
+>sp|A2VDV2|STK38_BOVIN Serine/threonine-protein kinase 38 OS=Bos taurus OX=9913 GN=STK38 PE=2 SV=1
+MAMTGSTPCSSMSSHTKERVTMTKVTLENFYSNLIAQHEEREMRQKKLEKVMEEEGLKDE
+EKRLRRSAHARKETEFLRLKRTRLGLEDFESLKVIGRGAFGEVRLVQKKDTGHVYAMKIL
+RKADMLEKEQVGHIRAERDILVEADSLWVVKMFYSFQDKLNLYLIMEFLPGGDMMTLLMK
+KDTLTEEETQFYIAETVLAIDSIHQLGFIHRDIKPDNLLLDSKGHVKLSDFGLCTGLKKA
+HRTEFYRNLNHSLPSDFTFQNMNSKRKAETWKRNRRQLAFSTVGTPDYIAPEVFMQTGYN
+KLCDWWSLGVIMYEMLIGYPPFCSETPQETYKKVMNWKETLTFPPEVPISEKAKDLILRF
+CCEWEHRIGAPGVEEIKNNSFFEGVDWEHIRERPAAISIEIKSIDDTSNFDEFPESDILK
+PTVATSNHPDTDYKNKDWVFINYTYKRFEGLTARGAIPSYMKAAK
+>sp|P34993|SSR2_BOVIN Somatostatin receptor type 2 OS=Bos taurus OX=9913 GN=SSTR2 PE=2 SV=1
+MDLVSELNETQPWLTTPFDLNGSVGAANISNQTEPYYDLASNVVLTFIYFVVCIIGLCGN
+TLVIYVILRYAKMKTITNIYILNLAIADELFMLGLPFLAMQVALVHWPFGKAICRVVMTV
+DGINQFTSIFCLTVMSIDRYLAVVHPIKSAKWRRPRTAKMINVAVWGVSLLVILPIMIYA
+GLRSNQWGRSSCTINWPGESGAWYTGFIIYAFILGFLVPLTIICLCYLFIIIKVKSSGIR
+VGSSKRKKSEKKVTRMVSIVVAVFIFCWLPFYIFNVSSVSVAISPTPALKGMFDFVVVLT
+YANSCANPILYAFLSDNFKKSFQNVLCLVKVSGTDDGERSDSKQDKSRLNETTETQRTLL
+NGDLQTSI
+>sp|Q08D83|RTN3_BOVIN Reticulon-3 OS=Bos taurus OX=9913 GN=RTN3 PE=2 SV=1
+MAEPSAATQSPSVSSSSSGAESSAPGGGSGSPGACPALGTKSCGSSCADSFVSSSSSQPV
+SLFSTSQVHDLIFWRDVKKTGFVFGTTLIMLLSLAAFSVISVVSYLILALLSVTISFRVY
+KSVIQAVQKSEEGHPFKAYLDVDITLSSEAFHNYVNAAMVHINRALKLIIRLFLVEDLVD
+SLKLAVFMWLMTYVGAVFNGITLLILAELLVFSIPIVYEKYKTQIDHYVGIARDQTKSIV
+EKIQAKLPGIAKKKAE
+>sp|Q6YC49|S35A3_BOVIN UDP-N-acetylglucosamine transporter OS=Bos taurus OX=9913 GN=SLC35A3 PE=2 SV=1
+MSANLKYLSLGILVFQTTSLVLTMRYSRTLKEEGPRYLSSTAVVVAELLKIMACILLVYK
+DSKCSLRALNRILHDEILNKPMETLKLAIPSGIYTLQNNLLYVALSNLDAATYQVTYQLK
+ILTTALFSVSMLSKKLGVYQWLSLVILMTGVAFVQWPSDSQELNSKELSAGSQFVGLMAV
+LTACFSSGFAGVYFEKILKETKQSVWIRNIQLGFFGSIFGLMGVYVYDGELVSKNGFFQG
+YNRLTWIVVVLQALGGLVIAAVIKYADNILKGFATSLSIILSTLISYFWLQDFVPTSVFF
+LGAILVITATFLYGYDPKPAGNPTKA
+>sp|Q2LGB5|TOLIP_BOVIN Toll-interacting protein OS=Bos taurus OX=9913 GN=TOLLIP PE=2 SV=1
+MATTVSTQRGPVYIGELPQDFLRITPTQQQQQIQLDAQAAQQLQYGGALGTVGRLSVTVV
+QAKLAKNYGMTRMDPYCRLRLGYAVYETPTAHNGAKNPRWNKVIQCTVPPGVDSFYLEIF
+DERAFSMDDRIAWTHVTIPEALKQGKVVDEWYSLSGRQGDDKEGMINLVLSYTSLPAAMM
+MPPQPVVLMPTVYQQGVGYVPITGMPTVCSPGVVPVALPPAVSAQPRCSEEDLKAIQDMF
+PNMDREVIRSVLEAQRGSRDAAINSLLQMGEES
+>sp|Q5KR47|TPM3_BOVIN Tropomyosin alpha-3 chain OS=Bos taurus OX=9913 GN=TPM3 PE=2 SV=1
+MEAIKKKMQMLKLDKENALDRAEQAEAEQKQAEERSKQLEDELAAMQKKLKGTEDELDKY
+SEALKDAQEKLELAEKKAADAEAEVASLNRRIQLVEEELDRAQERLATALQKLEEAEKAA
+DESERGMKVIENRALKDEEKMELQEIQLKEAKHIAEEADRKYEEVARKLVIIEGDLERTE
+ERAELAESKCSELEEELKNVTNNLKSLEAQAEKYSQKEDKYEEEIKILTDKLKEAETRAE
+FAERSVAKLEKTIDDLEDELYAQKLKYKAISEELDHALNDMTSI
+>sp|A8YXY3|SEP15_BOVIN Selenoprotein F OS=Bos taurus OX=9913 GN=SELENOF PE=2 SV=2
+MAARRDGWLGPAFGLRLLLATVLQTVSALGAEFSSESCRELGFSSNLLCSSCDLLGQFNL
+LQLDPDCRGCCQEEAQFETKKLYAGAILEVCGUKLGRFPQVQAFVRSDKPKLFKGLQIKY
+VRGSDPVLKLLDDSGNIAEELSILKWNTDSVEEFLSEKLERI
+>sp|A5D7B1|RSAD1_BOVIN Radical S-adenosyl methionine domain-containing protein 1, mitochondrial OS=Bos taurus OX=9913 GN=RSAD1 PE=2 SV=1
+MALPRSQARGWVKAAKMAQRRRPADDTGGPQSPAPGSQRAALYVHWPYCEKRCSYCNFNK
+YIPRGVDEAALRRCLVIEAQTLLRLSGVRRVESVFFGGGTPSLASPHTVAAVLEAVAQAA
+HLPADSEVTLEANPTSASGSRLAAFGAAGVNRLSIGLQSLDDTELQLLGRTHSARDALQT
+LAEAQRLFPGRVSVDLMLGLPAQQVGPWLRQLQGLLRCCDDHVSLYQLSLERGTTLFTQV
+QQGALPAPDPELAAEMYQEGRAVLREAGFRQYEVSNFARNGALSTHNWTYWQCGQYLGVG
+PGAHGRFIPQGAGGHTREARIQTLEPDSWMKEVMLFGHGTRRRVPLSELELLEEVLAMGL
+RTDVGITHQHWQQFEPQLTLWDLFGASKEVKELQEQGLLLLDHRGLRCSWEGLAVLDSLL
+LSLLSRLQEAWQQRTPSSVPRG
+>sp|Q3T021|SPAT9_BOVIN Spermatogenesis-associated protein 9 OS=Bos taurus OX=9913 GN=SPATA9 PE=2 SV=1
+MPIKPVGWICGQVLKNFSGRIEGIQKVIMDLIDEFKDEFPTILRLSQSNQKREPMQKPSK
+IRMAIALAKINRGTLIQGLNSISRSSKSVAKLLQPQLACRLLELRAISHRLLKEVNAPRQ
+PLYNIQVRKGSLFEIISFPAKTALTSIMCASYAALIYLTVCVNAVLEKIMKIFQEEESIR
+QNREESENFRNAFSEPVLSEPLFPEGEIKAKPYRSLPEKPDSISDRPKLPANKLSNKIQV
+LHSVFDQSAEMNE
+>sp|A5PJY4|TM138_BOVIN Transmembrane protein 138 OS=Bos taurus OX=9913 GN=TMEM138 PE=2 SV=1
+MLQTSNYSLVLSLQFLLLSYDLFVNSFSELLRMAPVIQLVLFIIQDIAILFNIIIIFLMF
+FNTFVFQAGLVNLLFHKFKGTIILTAVYFALSISLHVWVMNLRWKNSNCFVWTDGLQTLF
+VFQRLAAVLYCYFYKRTAVRLGDPRFYQDSLWLRMEFMQVRR
+>sp|A6H7F7|TPC2L_BOVIN Trafficking protein particle complex subunit 2-like protein OS=Bos taurus OX=9913 GN=TRAPPC2L PE=2 SV=1
+MAVCVAVIAKENYPLYIRSIPTENELKFHYMVHTSLDVVDEKISAMGKALVDQRELYLGL
+LYPTEDYKVYGYVTNSKVKFVMVVDSSNTALRDNEIRSMFRKLHNSYTDVMCNPFYNPGD
+RIQSRAFDGMVTSMMIQVC
+>sp|Q3ZCD7|TECR_BOVIN Very-long-chain enoyl-CoA reductase OS=Bos taurus OX=9913 GN=TECR PE=2 SV=1
+MKHYEVEILDAKTREKLCFLDKVEPQATIAEIKNLFTKTHPQWYPARQSLRLDPKGKSLK
+DEDVLQKLPVGTTATLYFRDLGAQISWVTVFLTEYAGPLFIYLLFYFRVPFIYGRKYDFT
+SSRHTVVHLACICHSFHYIKRLLETLFVHRFSHGTMPLRNIFKNCTYYWGFAAWMAYYIN
+HPLYTPPTYGAQQVKLALAIFVICQLGNFSIHMALRDLRPAGSKTRKIPYPTRNPFTWLF
+LLVSCPNYTYEVGSWIGFAIMTQCLPVALFSLVGFTQMTIWAKGKHRSYLKEFRDYPPLR
+MPIIPFLL
+>sp|A5PK63|RS17_BOVIN 40S ribosomal protein S17 OS=Bos taurus OX=9913 GN=RPS17 PE=2 SV=1
+MGRVRTKTVKKAARVIIEKYYTRLDNDFHTNKRVCEEIAIIPSKKLRNKIAGYVTHLMKR
+IQRGPVRGISIKLQEEERERRDNYVPEVSALDQEIIEVDPDTKEMLKLLDFGSLSNLQVT
+QPTVGMNFKTPRGAV
+>sp|P01289|TKN1_BOVIN Protachykinin-1 OS=Bos taurus OX=9913 GN=TAC1 PE=1 SV=2
+MKILVAVAVIFFISTQLSAEEIGANDDFNYWSDWSDSDQIKEEMPEPFEHLLQRIARRPK
+PQQFFGLMGKRDADSSIEKQVALLKALYGHGQLSHKRHKTDSFVGLMGKRALNSVAYERS
+VMQDYERRRK
+>sp|Q58DK4|TKFC_BOVIN Triokinase/FMN cyclase OS=Bos taurus OX=9913 GN=TKFC PE=2 SV=1
+MTSKKLVNSVAGCADDALAGLVACNPSLQLLQGHRVALRSDLDSLKGRVALLSGGGSGHE
+PAHAGFIGKGMLTGVIAGAVFTSPAVGSILAAIRAVAQAGTVGTLLIVKNYTGDRLNFGL
+AREQARAEGIPVEMVVVGDDSAFTVLKKAGRRGLCGTVLIHKVAGALAEAGVGLEEITDR
+VSVVAKAMGTLGVSLSSCSVPGSKPTFELSADEVELGLGIHGEAGVRRIKMATANEIVAL
+MLDHMTSSSNASHVPVPPGSSVVLMVNNLGGLSFLELGIIADAAVCSLEGHGVKIARALV
+GTFMSALEMPGVSLTLLLVDEPLLKLIDAETTASAWPNVAKVWVTGRKRSRAAPTEPLAA
+PDSTTAAGEASKQMVLVLEWVCTTLLGLEEHLNALDRAAGDGDCGTTHSRAARAIXGWLK
+EGPPPASPAQLLSKLSFLLLEKMGGSSGALYGLFLTAAAQPLKAKTDLPAWSAAMDAGLE
+AMQKYGKAAPGDRTMLDSLWAAGQELQAWKSPGANMLQILTKAVKSAEAAAEATKNMEAG
+AGRASYISSARLDQPDPGAVAAAAILRAILEVLQSQGA
+>sp|Q3T178|VPS28_BOVIN Vacuolar protein sorting-associated protein 28 homolog OS=Bos taurus OX=9913 GN=VPS28 PE=2 SV=1
+MFHGIPATPGMGAPGNKPELYEEVKLYKNAREREKYDNMAELFAVVKTMQALEKAYIKDC
+VTPNEYTAACSRLLVQYKAAFRQVQGSEISSIDEFCRKFRLDCPLAMERIKEDRPITIKD
+DKGNLNRCIADVVSLFITVMDKLRLEIRAMDEIQPDLRELMETMHRMSHLPPDFEGRQTV
+SQWLQTLSGMSASDELDDSQVRQMLFDLESAYNAFNRFLHA
+>sp|A5PJS6|UBP10_BOVIN Ubiquitin carboxyl-terminal hydrolase 10 OS=Bos taurus OX=9913 GN=USP10 PE=2 SV=1
+MALRSPQYIFGDFSPDEFNQFFVTPRASVELPPYGGTVLCGAQAADDLPDGHDYQRIEFG
+VNEVIEPSDTLPRTPNYSISSTLNPQAPEFILSCTTSKKLPDDIDKEVNYSSANCQYPGP
+ALALDGGSPAEAEALENDGVSGGLGQRERKKKKKRPPGYYSYLKDGGEGGPSAEALVNGH
+AGPAVSNSVGAEDTDLMGDVPTAGTPRTWGSPQDATDFVSDAGPAGAFPGALDGGARTAG
+QLEGCPGADSEASCLPAEAGRDTLLRTAVAQPSVGTDTTENLGVTNGQILESLGEGTAAN
+GVELHTVESSDSDPAKAESAPPPADAPASAAGTVPASQPAKSWASLFHDSKPSSSSLPVV
+SVETKYSPPATSPLVSEKQAEVKEGLVPVSEDPVAIKIAELLENVTLIHKPVSLQPRGLI
+NKGNWCYINATLQALVACPPMYHLMKLIPLYSKVQRPCTSTPMIDSFVRLMNEFTNMPVP
+PKPRQALGDKIVRDIRPGAAFEPTYIYRLLTVIKSSLSEKGRQEDAEEYLGFILNGLHEE
+MLNLKKLLSPNNDKLTISNGPKSHSVNEDEQEEPGEGSEDEWEQVGPRNKTSVTRQADFV
+QTPITGIFGGHIRSVVYQQSSKESATLQPFFTLQLDIQSDKIRTVQDALESLVARESVQG
+YTTKTRQEVEISRRVTLEKLPPVLVLHLKRFVYEKTGGCQKLIKNIEYPVDLEISKELLS
+PGVKNKNFKCHRTYRLFAVVYHHGSSATGGHYTTDVFQIGLNGWLRIDDQTVKVVSQQQV
+VRPAAERTAYLLYYRRVDLL
+>sp|Q17QJ5|TSN5_BOVIN Tetraspanin-5 OS=Bos taurus OX=9913 GN=TSPAN5 PE=1 SV=1
+MSGKHYKGPEVSCCIKYFIFGFNVIFWFLGIAFLGIGLWAWNEKGVLSNISSITDLGGFD
+PVWLFLVVGGVMFILGFAGCIGALRENTFLLKFFSVFLGIIFFLELTAGVLAFVFKDWIK
+DQLYFFINNNIRAYRDDIDLQNLIDFTQEYWQCCGAFGADDWNLNIYFNCTDSNASRERC
+GVPFSCCTKDPAEDVINTQCGYDARQKPEVDQQIVIYTKGCVPQFEKWLQDNLTIVAGIF
+IGIALLQIFGICLAQNLVSDIEAVRASW
+>sp|A0JNB1|ZN227_BOVIN Zinc finger protein 227 OS=Bos taurus OX=9913 GN=ZNF227 PE=2 SV=1
+MPSQDSDFPQKEHEKMTEFQEAVTFKDVAVVFTEEELGLLDSAQRKLYQDVMVENFRNLV
+SVGHVPFKADMVSQLETEEKLWTMERETQRNGHSSEIHSAVTSGSKIPNEMETLGKVMFK
+YLSCEELSCWQIWKHTTNDLTLQRKSSWFLHGDSLQVSEDENHIMNHKGDHFGCLENQEF
+LIPTAQASCGSRCLSESENPSRGKQMSVKNHLHVCEGFTKNSPLSDPGKTDAKQTPCKGE
+RPHPCRVCGEGFSHGAVLPVHQVDPGEKCSHLQTHQRIHPGGTVNKCPKSGDGFHQNSFH
+PHHSNPTGEKSYRCDSCGKAFGSSTGLIIHYRTHTGEKPYRCEACGKCFSQSSNFQCHQR
+VHTEEKPYKCEECGKGFGWSVNLRVHQRVHRGEKPYKCEECGKGFTQAAHYHIHQRVHTG
+EKPYKCDVCGKGFSHNSPLICHRRVHTGEKPYRCEACGKGFTRNTDLHIHFRVHTGEKPY
+TCKECGKGFSQASNLQVHQNVHTGEKRFKCETCGKGFSQSSKLQTHQRVHTGEKPYRCDV
+CGKDFSYSSNLKLHQVIHTGEKPYTCEACGKGFSWRSNLHAHQRVHSGEKPYKCEACDKS
+FSQAIDFRVHQRVHTGEKPYKCGVCGKGFSQSSGLQSHQRVHTGEKPYKCDVCGKGFRYS
+SQFIYHQRGHTGEKPYKCEECGKGFGRSLNLRHHQRVHTGEKPHKCEECGKAFSLPSNLR
+VHLSVHTREKLFKCEDCGKGFSQSSRLQAHQRVHTGEKPYKCNICGKDFSHRSRLTYHQK
+VHTGKNL
+>sp|P04409|KPCA_BOVIN Protein kinase C alpha type OS=Bos taurus OX=9913 GN=PRKCA PE=1 SV=3
+MADVFPAAEPAAPQDVANRFARKGALRQKNVHEVKNHRFIARFFKQPTFCSHCTDFIWGF
+GKQGFQCQVCCFVVHKRCHEFVTFSCPGADKGPDTDDPRSKHKFKIHTYGSPTFCDHCGS
+LLYGLIHQGMKCDTCDMNVHKQCVINVPSLCGMDHTEKRGRIYLKAEVTDEKLHVTVRDA
+KNLIPMDPNGLSDPYVKLKLIPDPKNESKQKTKTIRSTLNPRWDESFTFKLKPSDKDRRL
+SEEIWDWDRTTRNDFMGSLSFGVSELMKMPASGWYKLLNQEEGEYYNVPIPEGDEEGNVE
+LRQKFEKAKLGPAGNKVISPSEDRRQPSNNLDRVKLTDFNFLMVLGKGSFGKVMLADRKG
+TEELYAIKILKKDVVIQDDDVECTMVEKRVLALLDKPPFLTQLHSCFQTVDRLYFVMEYV
+NGGDLMYHIQQVGKFKEPQAVFYAAEISIGLFFLHKRGIIYRDLKLDNVMLDSEGHIKIA
+DFGMCKEHMMDGVTTRTFCGTPDYIAPEIIAYQPYGKSVDWWAYGVLLYEMLAGQPPFDG
+EDEDELFQSIMEHNVSYPKSLSKEAVSICKGLMTKHPGKRLGCGPEGERDVREHAFFRRI
+DWEKLENREIQPPFKPKVCGKGAENFDKFFTRGQPVLTPPDQLVIANIDQSDFEGFSYVN
+PQFVHPILQSAV
+>sp|Q29438|ODFP1_BOVIN Outer dense fiber protein 1 OS=Bos taurus OX=9913 GN=ODF1 PE=2 SV=1
+MAALSCLLDSVRRDIKKVDRELRQLRCIDELSARCLCDLYMHPYCCCDLHPYPYCLCYSK
+RSRSCGLCDLYPCCLCDVKLYCLRPSLRSLERKAIRAIEDEKRELAKLRRTTNRILASSC
+CSSNILGSVNVCGFEPDQVKVRVKDGKVCVSAERENRYDCLGSKKYSYMNICKEFSLPPC
+VDEKDVTYSYGLGSCVKIESPCYPCTSPCNPCNPCNPCSPCSPCNPCNPCSPCSPCSPCN
+PCDPCNPCYPCGSRFSCRKMIL
+>sp|Q5E9V3|MYOZ2_BOVIN Myozenin-2 OS=Bos taurus OX=9913 GN=MYOZ2 PE=2 SV=1
+MLSHNTMVKQRKQQASAIMKEIHGNDVDVMHLGKKVSIPRDIMLEELSHLSNRGARLFKM
+RQRRSDKYTFENFQYETKAQINHNIAMQNEKLDGINLESGSQQAPFTPPNTPDPRSPPNP
+ENIAPGYSGPLKEIPPERFNTTAVPKYYQSPWEQAISNDPELLEALYPKFFKPEGKAELP
+DYRSFNRVATPFGGFEKASKMVKFKVPDFDLLLLTDPRFMAFANPLSGRRSFNRTPKGWI
+SENIPIVITTEPTEDNTIPESEDL
+>sp|Q8SQ24|MYOZ1_BOVIN Myozenin-1 OS=Bos taurus OX=9913 GN=MYOZ1 PE=2 SV=1
+MPLSGTPAPNKKRKSSKLIMELTGGGQESSGLNLGKKISVPRDVMLEELSLLTNRGSKMF
+KLRQLRVEKFIYENHPDVFSDSSMDRFQKFIPTVGGQLGTAGQGFSYSKSSGGGQAGRSG
+SAGQYGSDQQHHHQGSGSGSGSGSGPGSGGAGGPGGHSGRGGALPDNQAGGEGKHITVFK
+TYISPWEKAMGIDPQQKVELGIDLLAYGAKAELPKYKSFNRTAMPYGGYEKASKRMTFQM
+PKFDLGPLLSEPLVLYNQNLSNRPSFNRTPIPWLSSGEPVDYNVDISIPLDGETEEL
+>sp|Q7YS80|MYF6_BOVIN Myogenic factor 6 OS=Bos taurus OX=9913 GN=MYF6 PE=2 SV=1
+MMMDLFETGSYFFYLDGENVTLQPLEVAEGSPLYPGSDGTLSPCQDQMPPEAGSDSSGEE
+HVLAPPGLQPPHCPGQCLIWACKTCKRKSAPTDRRKAATLRERRRLKKINEAFEALKRRT
+VANPNQRLPKVEILRSAINYIERLQDLLHRLDQQDKMQELGVDPFSYRPKQENLEGADFL
+RTCSSQWPSVSDHSRGLVITAKEGGTSIDSSASSSLRCLSSIVDSISSEEHKLPCVEEVV
+EK
+>sp|P80025|PERL_BOVIN Lactoperoxidase OS=Bos taurus OX=9913 GN=LPO PE=1 SV=1
+MWVCLQLPVFLASVTLFEVAASDTIAQAASTTTISDAVSKVKIQVNKAFLDSRTRLKTTL
+SSEAPTTQQLSEYFKHAKGRTRTAIRNGQVWEESLKRLRRDTTLTNVTDPSLDLTALSWE
+VGCGAPVPLVKCDENSPYRTITGDCNNRRSPALGAANRALARWLPAEYEDGLALPFGWTQ
+RKTRNGFRVPLAREVSNKIVGYLDEEGVLDQNRSLLFMQWGQIVDHDLDFAPETELGSNE
+HSKTQCEEYCIQGDNCFPIMFPKNDPKLKTQGKCMPFFRAGFVCPTPPYQSLAREQINAV
+TSFLDASLVYGSEPSLASRLRNLSSPLGLMAVNQEAWDHGLAYLPFNNKKPSPCEFINTT
+ARVPCFLAGDFRASEQILLATAHTLLLREHNRLARELKKLNPHWNGEKLYQEARKILGAF
+IQIITFRDYLPIVLGSEMQKWIPPYQGYNNSVDPRISNVFTFAFRFGHMEVPSTVSRLDE
+NYQPWGPEAELPLHTLFFNTWRIIKDGGIDPLVRGLLAKKSKLMNQDKMVTSELRNKLFQ
+PTHKIHGFDLAAINLQRCRDHGMPGYNSWRGFCGLSQPKTLKGLQTVLKNKILAKKLMDL
+YKTPDNIDIWIGGNAEPMVERGRVGPLLACLLGRQFQQIRDGDRFWWENPGVFTEKQRDS
+LQKVSFSRLICDNTHITKVPLHAFQANNYPHDFVDCSTVDKLDLSPWASREN
+>sp|O46685|OGR1_BOVIN Ovarian cancer G-protein coupled receptor 1 OS=Bos taurus OX=9913 GN=GPR68 PE=2 SV=1
+MGNITADNTSMNCDIDHTIHQTLAPVVYVMVLVVGFPANCLSLYYGYLQIKARNELGVYL
+CNLTVADLFYICSLPFWLQYVLQHDHWSHDDLSCQVCGILLYENIYISVGFLCCISIDRY
+LAVAHPFRFHQFRTLKAAMGVSALIWVKELLTSIYFLMHEEVVEDADRHRVCFEHYPLEP
+RQRGINYYRFLVGFLFPICLLLASYRGILRAVRRSHGTQKSRKDQIQRLVLSTVVIFLAC
+FLPYHVLLLVRSLWESSCDFAKGIFNAYHFSLLLTSFNCVADPVLYCFVSETTHRDLARL
+RGACLAFLTCARTGRAREAYPLGAPEASGKSEDPEVLTRLHPAFQTPHPPGMGGSPAGGL
+S
+>sp|Q3SZL5|PIGS_BOVIN GPI transamidase component PIG-S OS=Bos taurus OX=9913 GN=PIGS PE=2 SV=3
+MAATGAAATDLEVVRGKRAALFFATVVIVLGLPLWWKTTETYRAPLPYSQISGLNSLKLR
+LMVPVTVVFTQESVPLDDQEKLPFTVVHEREIPLKYKLKIKCRFQKAYRRALDHEEAALS
+LGNIQEAEAMLAEPSEQAEGSLTVYVISERCSLLPQDMMSYIGPKRMAVVRGITHREAFN
+IIGRRIIQVVQAMSLTEDVLAAALADHLPEDKWSSDKRRPLKSSLGYEITFSLLNPDPKS
+HDVHWDIEGAVRRYVQPFLSALSAAGNFSVDSQILYYAVLGVNPRFDSASSSYYLAAHSL
+PHVINPVESRLGSSAASLYPVLNFLLYVPELAHSPLYIQDKDGAPVATNAFHSPRWGGIM
+VYNVDPKAYNGSQLPVRVEVDMMRVMEVFLAQLRLLFGIAQPQLPPKCLFFGPKSEGIMT
+WELDRLLWARSVENLATATTTLTSLAQLLGKISNIVIKDDVASEVYRAVAAVQKAAEELS
+SGHLASAFAASQEAVTSSERAFFDPSLLHLLYFPDDQKFAIYIPLFLPMAVPILLSLFKI
+FLETRKSWKKPEKTD
+>sp|A6QLW9|RFX2_BOVIN DNA-binding protein RFX2 OS=Bos taurus OX=9913 GN=RFX2 PE=2 SV=1
+MQNSESGSDSPASVALCSSAAAQAPVAQPVTASPQRVLVQAAGSAPKGAQMQPLSLPRVQ
+QVTQQVQPAQHVYPAQLQYVEGGDAVFTNGALRTAYAYNPEPQMYAPSSAASYFEAPGGA
+QVTVAGSSPPAVPSHSMVGITMDVGGSPIVSSAGAYLIHGGMDGTRHTLAHTSRSSPATL
+EMAIENLQKSEGITSHKSGLLNSHLQWLLDNYETAEGVSLPRSSLYNHYLRHCQEHKLDP
+VNAASFGKLIRSVFMGLRTRRLGTRGNSKYHYYGIRLKPDSPLNRLQEDTQYMAMRQQPV
+HQKPRYRPAQKTDSLGESGSHSSLHSTPEQAMAAQSQHHQQYIDVSHVFPEFPAPDLGSV
+LLQESITLHDVKALQLAYRRHCEATLDVVMNLQFHYIEKLWLSFWNSKSSSDGPTSLPAS
+DEEPEGAVLPKDKLVSLCKCDPVLRWMRTCDHILYQALVEILIPDVLRPVPSTLTQAIRN
+FAKSLEGWLTNAMSDFPQQVIQTKVGVVSAFAQTLRRYTSLNHLAQAARAVLQNTSQINQ
+MLSDLNRVDFANVQEQASWVCQCEEGVVQRLEQDFKLTLQQQSSLDQWASWLDNVVTQVL
+KQHAGSPSFPKAARQFLLKWSFYSSMVIRDLTLRSAASFGSFHLIRLLYDEYMFYLVEHR
+VAEATGETPIAVMGEFNDLASLSLTLLDKGGCVWALPPVHSGGGGSP
+>sp|F1MSG6|RPGF2_BOVIN Rap guanine nucleotide exchange factor 2 OS=Bos taurus OX=9913 GN=RAPGEF2 PE=1 SV=2
+MKPLAIPANHGVMGQQEKHSLPADFTKLHLTDSLHPQVTHVSSSHSGCSITSDSGSSSLS
+DIYQATESEAGDMDLSGLPETAVDSEDDDDEEDIERASDPLMSRDIVRDCLEKDPIDRTD
+DDIEQLLEFMHQLPAFANMTMSVRRELCAVMVFAVVERAGTIVLNDGEELDSWSVILNGS
+VEVTYPDGKAEILCMGNSFGVSPTMDKEYMKGVMRTKVDDCQFVCIAQQDYCRILNQVEK
+NMQKVEEEGEIVMVKEHRELDRTGTRKGHIVIKGTSERLTMHLVEEHSVVDPTFIEDFLL
+TYRTFLCSPMEVGKKLLEWFNDPSLRDKVTRVVLLWVNNHFNDFEGDPAMTRFLEEFENN
+LEREKMGGHLRLLNIACAAKAKRRLMTLTKPAREAPLPFILLGGSEKGFGIFVDSVDSAS
+KATEAGLKRGDQILEVNGQNFENIQLSKAMEILRNNTHLSITVKTNLFVFKELLTRLSEE
+KRNGAPHLPKIGDIKKASRYSIPDLAVDVEQVIGLEKVNKKSKANTVGGRNKLKKILDKT
+RISILPQKPYNDIGIGQSQDDSIVGLRQTKHIPTALPVSGTLSSSNPDLLQSHHRILDFS
+ATPDLPDQVLRVFKADQQSRYIMISKDTTAKEVVVQAIREFAVTATPDQYSLCEVSVTPE
+GVIKQRRLPDQLSKLADRIQLSGRYYLKNNMETETLCSDEDAQELLRESQISLLQLSTVE
+VATQLSMRNFELFRNIEPTEYIDDLFKLKSKTSCANLKTFEEVINQETFWVASEILRETN
+QLKRMKIIKHFIKIALHCRECKNFNSMFAIISGLNLAPVARLRTTWEKLPNKYEKLFQDL
+QDLFDPSRNMAKYRNVLNSQNLQPPIIPLFPVIKKDLTFLHEGNDSKVDGLVNFEKLRMI
+AKEIRHVGRMASVNMDPALMFRTRKKKWRSLGSLSQGSTNATVLDVAQTGGHKKRVRRSS
+FLNAKKLYEDAQMARKVKQYLSNLELEMDEESLQTLSLQCEPATNTLPKNPTDKKPVKSE
+TSPVAPRAGLQPKAQPQPQPPQPPHKLNQGLQVPAVSLYPSRKKVPVKDLPPFGINSPQA
+LKKILSLSEEGSLERHRRPAEDTISNTSSQLSSPPTSPQSSPRKGYTLAPSGTVDNFSDS
+GHSEISSRSSIVSNSSFDSLPVSLPDERRQRPSVSIVETSLASGRLERRPAVEPDQYSLG
+SCAPLSESRGLYAAATVISSPSTEELSQDQGDRASLDAADSGRGSWTSCSSGSHDNIQTI
+QHQRSWETLPFGHTHFDYPGDAAGLWASSSHMDQIMFPDHSAKYSRQSQSRESLDQAQSR
+ASWASSTGYWGEDSEGDTGTIKRRGGKDVSLDADSSSMTAVTAEEAKPAAMAAHIAVTPS
+AAKGLIARKEGRYREPPPTPPGYVGIPITDFPEAHPHPARKPPDYTVALQRSRMLARPAE
+PPAPGSARPAPRPQWHRPGDGDPRAGPCAPPGLTAEEDEDEQVSAV
+>sp|Q3ZBX6|RM03_BOVIN 39S ribosomal protein L3, mitochondrial OS=Bos taurus OX=9913 GN=MRPL3 PE=1 SV=1
+MPGWRLLAWAGARVLDRGTGGLGTALGSGNRTDICVLVRSLHGKSGTWWDEHLSEENVSF
+VKQLVSDENKAQLASKLCPLKDEPWPIHPWEPGSSRVGLVALKLGMMPLWTKDGKKHVVT
+LLQVQDCHVLKYTPKENHNGKMAALTVGGKTVSRFHKSTSILEFYQELGLPPKQKIKMFN
+VTDNAVIKPGTPLYAAHFRPGQYVDVTAKTIGKGFQGVMKRWGFKGQPATHGQTKTHRRP
+GAISTGDVARVWPGTKMPGQLGNVDRTAFGLKVWRINTKHNIIYVNGSVPGHRNCLVKIK
+DSVLPAYKDFCKNLPFPTYFPDEDEKELPEDLYDEEVCQPGAPSITFV
+>sp|Q2TBR2|RM20_BOVIN 39S ribosomal protein L20, mitochondrial OS=Bos taurus OX=9913 GN=MRPL20 PE=1 SV=1
+MVFLSAPLWLRNRITDRYWRVQEVLKHARHFRGRKNRCYRLAVRAVTRAFVRCTRARSLK
+KRHLRTLWINRITAASQEHGLKYPAFILNLIKCQVELNRKVLADLAIYEPKTFKSLAALS
+KRRREEGFVAALGDGKEPEGIFSRVAQHH
+>sp|Q32PI0|SLX1_BOVIN Structure-specific endonuclease subunit SLX1 OS=Bos taurus OX=9913 GN=SLX1A PE=2 SV=1
+MGPGCFFGVYLLYCLNPRHRGRVYVGFTVNPARRVQQHNGGRKKGGAWRTSGRGPWEMVL
+IVHGFPSAVAALRFEWAWQHPQASRRLTHVGRRLRGEATFAFHLRVLAHMLRAPPWVRLP
+LTLRWLRADFRQDLCPPPPPHMPLAFGPPPPRAPPPRSQAGPSDDMGFEPQQDVDVRCTL
+CARALQDEESPLCCPHPGCSLRAHVICLAEEFLQEEPGQLLPLEGACPGCKNSLLWGDLI
+WLCRMGTEEDEEDSELEEEHWTDMLKT
+>sp|Q29RZ0|THIL_BOVIN Acetyl-CoA acetyltransferase, mitochondrial OS=Bos taurus OX=9913 GN=ACAT1 PE=2 SV=1
+MPVLAALLRRGPLLQRRVQEIRYAERSYVSKPTLNEVVIVSAIRTPIGSFLGSLSSLPAT
+KLGSIAIQGAIEKAGIPKEEVKEAYMGNVLQGGEGQAPTRQAVLGAGLPISTPCTTINKV
+CASGMKAIMMASQNLMCGHQDVMVAGGMESMSNVPYVMNRGATPYGGVKLEDLIVKDGLT
+DVYNKIHMGNCAENTAKKLNITREEQDTYALNSYTRSKAAWEAGRFGNEVVPVTITVKGK
+PDVVVKEDEEYKRVDFSKIPKLKTVFQRENGTVTAANASTLNDGAAAVVLMTADAAKRLN
+VKPLARIAAFADAAVEPIDFPLAPAYAVPKVLKDAGLKKEDITMWEVNEAFSVVVLANIK
+MLEMDPQKVNINGGAVSLGHPIGMSGARIVVHLAHALKQGEYGLASICNGGGGASAMLIQ
+KL
+>sp|P82925|RT31_BOVIN 28S ribosomal protein S31, mitochondrial OS=Bos taurus OX=9913 GN=MRPS31 PE=1 SV=3
+MFPRVSAVLPFRPLSRLPLCSAGPEASAATVVPLASPHGTVRTKCNIQRYFGTNSVIYSK
+KDDKSVPACEISKETENQGSTKENKKKDLVNIIKGMKVELSTVNVQTTKPPNRGQLKSLE
+AAIRRLQKSPEDAPQKSKSLSPELVAAATAVADSLPFDKQTTKSELLRQLRQHEEDSKAQ
+KDGEKPKISFSNIISDMKVARSSTARASTRPVHQIQFDEGADDFVDREETADLRKRFRKN
+IFKGKRLNIFELKPVTEEAPETEAAPSLWDVEFAKQLAAVTEQPFQNGFEEMIQWTKEGK
+LWEFPINNEAGFDDDGSEFHEHIFLDKYLEGFPKQGPIRHFMELVTCGLSKNPYLSVKQK
+VEHIEWFRNYFNEKQDILKESGINFS
+>sp|Q3SZL9|TM50B_BOVIN Transmembrane protein 50B OS=Bos taurus OX=9913 GN=TMEM50B PE=2 SV=1
+MAGFLDNFRWPECECIDWSERRNAVASVVAGILFFTGWWIMIDAAVVYPKPEQLNHAFHT
+CGVFSTLAFFMINAVSNAQVRGDSYESGCLGRTGARVWLFIGFMLMFGSLIASMWILFGA
+YVTQNTDVYPGLAVFFQNALIFFSTLIYKFGRTEELWT
+>sp|A4FV52|VGLU1_BOVIN Vesicular glutamate transporter 1 OS=Bos taurus OX=9913 GN=SLC17A7 PE=2 SV=1
+MEFRQEEFRKLAGRALGKLHRLLEKRQEGAETLELSADGRPVTTQTRDPPVVDCTCFGLP
+RRYIIAIMSGLGFCISFGIRCNLGVAIVSMVNNSTTHRGGHVVMQKAQFNWDPETVGLIH
+GSFFWGYIVTQIPGGFICQKFAANRVFGFAIVATSTLNMLIPSAARVHYGCVIFVRILQG
+LVEGVTYPACHGIWSKWAPPLERSRLATTAFCGSYAGAVVAMPLAGVLVQYSGWSSVFYV
+YGSFGIFWYLFWLLVSYESPALHPSISEEERKYIEDAIGESAKLMNPVTKFNTPWRRFFT
+SMPVYAIIVANFCRSWTFYLLLISQPAYFEEVFGFEISKVGLVSALPHLVMTIIVPIGGQ
+IADFLRSRRIMSTTNVRKLMNCGGFGMEATLLLVVGYSHSKGVAISFLVLAVGFSGFAIS
+GFNVNHLDIAPRYASILMGISNGVGTLSGMVCPIIVGAMTKHKTREEWQYVFLIASLVHY
+GGVIFYGVFASGEKQPWAEPEEMSEEKCGFVGHDQLAGSDESEMEDEAEPPGAPPAPPPS
+YGATHSTVQPPRPPPPVRDY
+>sp|A5PJU7|RMI2_BOVIN RecQ-mediated genome instability protein 2 OS=Bos taurus OX=9913 GN=RMI2 PE=2 SV=1
+MAAPTDSLSVSGPTAVRLPRSPPIKVLAEQLRRDAEGGPGSWRLSRAAVGREPLELRAVW
+MQGTVVEAGGGVARLRDPSGSFSVRGLERVPRGRPCLVPGKYVMVMGVIQACSPEPCLQA
+VKMTDLSDNPLHESLWELEVEDLHRHIYSLDDVGTGD
+>sp|A5PJR4|PURA1_BOVIN Adenylosuccinate synthetase isozyme 1 OS=Bos taurus OX=9913 GN=ADSSL1 PE=2 SV=1
+MSGTRASNDRPPSAGGVKRGRLQHEAATTGSRVTVVLGAQWGDEGKGKVVDLLATDADVV
+SRCQGGNNAGHTVVVDGKEYDFHLLPSGIINPKAVSFIGNGVVVHLPGLFEEAEKNEKKG
+LKDWEKRLVISDRAHLVFDFHQAVDGLQEVQRQAQEGKNIGTTRKGIGPAYSSKAARTGL
+RICDLLSDFDEFSSRFKNLARQHQSMFPSLEVDVEGQLKRLKGFAERIRPMVRDGVYFMY
+EALHGPPKKILVEGANAALLDIDFGTYPFVTSSNCTVGGVCTGLGIPPQNIGEVYGVVKA
+YTTRVGIGAFPTEQINEIGDLLQSRGHEWGVTTGRKRRCGWLDLMILRYAHMVNGFTALA
+LTKLDILDALDEIKVGVAYKLGGKRIPYFPANQEILQKVEVEYETLPGWKADTTGARKWE
+DLPPQAQSYIRFVENHVGVAVKWVGVGKSRDSMIQLF
+>sp|Q1RMU5|RBM5_BOVIN RNA-binding protein 5 OS=Bos taurus OX=9913 GN=RBM5 PE=2 SV=1
+MGSDKRVSRTERSGRYGSIIDRDDRDERESRSRRRDSDYKRSSDDRRGDRYDDYRDYDSP
+ERERERRNSDRSEDGYHSDGDYGEHDYRHDISDERESKTIMLRGLPITITESDIREMMES
+FEGPQPADVRLMKRKTGVSRGFAFVEFYHLQDATSWMEANQKKLVIQGKHIAMHYSNPRP
+KFEDWLCNKCCLNNFRKRLKCFRCGADKFDSEQEVPPGTTESVQSVDYYCDTIILRNIAP
+HTVVDSIMTALSPYASLAVNNIRLIKDKQTQQNRGFAFVQLSSAMDASQLLQILQSLHPP
+LKIDGKTIGVDFAKSARKDLVLPDGNRVSAFSVASTAIAAAQWSSTQSQSGEGGSVDYSY
+LQPGQDGYAQYAQYSQDYQQFYQQQAGGLESDASSASGTAVTTTSAAVVSQSPQLYNQTS
+NPPSSPTEEAQPSTSTSTQAPAASPTGVVPGTKYAVPDTSTYQYDESSGYYYDPTTGLYY
+DPNSQYYYNSLTQQYLYWDGEKETYMLAAESNSHQQTGLPPAKEGKEKKEKPKSKTAQQI
+AKDMERWAKSLNKQKENFKNSFQPVNSLREEERRESAAADAGFALFEKKGALAERQQLIP
+ELVRNGDEDNPLKRGLVAAYSGDSDNEEELVERLESEEEKLADWKKMACLLCRRQFPNKD
+ALVRHQQLSDLHKQNMDIYRRSRLSEQELEALELREREMKYRDRAAERREKYGIPEPPEP
+KRKKQFDAGTVNYEQPTKDGIDHSNIGNKMLQAMGWREGSGLGRKCQGITAPIEAQVRLK
+GAGLGAKGSAYGLSGADSYKDAVRKAMFARFTEME
+>sp|Q2LGB7|TCAM2_BOVIN TIR domain-containing adapter molecule 2 OS=Bos taurus OX=9913 GN=TICAM2 PE=2 SV=1
+MGIGKSKMDPCHLSVPWGKSQSVDTSQSHHMSDSKQSEEISLHGDAVCSSTAEMPAEEQE
+GVEERPEEDTEEEVFLKFVILHAEEDTGEALRVQSLLENDFGIKPGIIFAEMPCGRQHLQ
+NLDDAVNGSAWTILLLTENFLRDTWCKFQFYSSLMNSVNRQHKYNSVIPMRPLNNPLPRE
+RTPFALRTINALEEESRGFPTQVERIFQESVYRIQQAIWKETRNTVQRQSVA
+>sp|Q3SZR8|SRSF3_BOVIN Serine/arginine-rich splicing factor 3 OS=Bos taurus OX=9913 GN=SRSF3 PE=2 SV=1
+MHRDSCPLDCKVYVGNLGNNGNKTELERAFGYYGPLRSVWVARNPPGFAFVEFEDPRDAA
+DAVRELDGRTLCGCRVRVELSNGEKRSRNRGPPPSWGRRPRDDYRRRSPPPRRRSPRRRS
+FSRSRSRSLSRDRRRERSLSRERNHKPSRSFSRSRSRSRSNERK
+>sp|Q08DM7|SEPT3_BOVIN Neuronal-specific septin-3 OS=Bos taurus OX=9913 GN=SEPTIN3 PE=2 SV=3
+MSKGLPETRTDAAMSELVPEPRPKPAVPMKPIGINPNLLGYIGIDTIIEQMRKKTMKTGF
+DFNIMVVGQSGLGKSTLVNTLFKSQVSRKASSWNREEKIPKTVEIKAIGHVIEEGGVKMK
+LTVIDTPGFGDQINNENCWEPIEKYINEQYEKFLKEEVNIARKKRIPDTRVHCCLYFISP
+TGHSLRPLDLEFMKHLSKVVNIIPVIAKADTMTLEEKSEFKQRVRKELEVNGIEFYPQKE
+FDEDLEDKTENDKIRESMPFAVVGSDKEYQVNGKRVLGRKTPWGIIEVENLNHCEFALLR
+DFVIRTHLQDLKEVTHNIHYETYRAKRLNDNGGLPPGEGLLGTVLPPVPATPCPTAE
+>sp|A0RZB4|SPRN_BOVIN Shadow of prion protein OS=Bos taurus OX=9913 GN=SPRN PE=2 SV=1
+MNWAAAVCWALLLAATFLCDGSAAKGGRGGARGSARGGRGAARVRVRPAPRYAGSSMRVA
+AGAAAGAAAGAAAGLAAGSSWRRAAGPAELGPEDAEDGAPGSNGTGRGVYSYWAWTSGTG
+PTGHRHLCPLLGGALGALRLLRP
+>sp|Q32L59|TMC5B_BOVIN Transmembrane and coiled-coil domain-containing protein 5B OS=Bos taurus OX=9913 GN=TMCO5B PE=2 SV=1
+MEEAGQDPLDDVRDVMEIPKLEITKQNLDSLNSDLEKDLQRMDEANQVLLKKIQEKEETI
+QSLERDITLSVRQAREREELDHRTAEKEAALRDLELETAKLEKNKEILSRSVVEVQKEIS
+RKFKNVSLDKEALKQMLAELKVKLQKSTESCASQEKELVKIESDYQSVYQLCEDQAHYIK
+KYQEILRQMEKEKEMLLLEKEVQRWRSSIQSAKTRPGADCGSDHELLIAKFRLKLKKVGK
+TTRPFRCKAQNNATQIVKPGSTLVETIQSNMEKTIVKKQKRIFWYRHFRYFIFVVMIFFR
+LLGYVLFYLQYINPDLLVDALPMVMSRETLTRLRDALFPFLTLEVEEVLPH
+>sp|Q32KQ2|WDR53_BOVIN WD repeat-containing protein 53 OS=Bos taurus OX=9913 GN=WDR53 PE=2 SV=1
+MAVKWTGGHSSPILCLNASQEGLVASGAEGGDLVVWGEDGTLLGHTCFQGAEDVTNVLFS
+PSCPTKLYASHGETISILDVRSLKEPLDDFHVNEEEINCLSLNETENLLASADDSGTIKI
+LDLENKKISRSLKRHSNICSSVAFRPQRPQSLVSCGLDMQVMLWNLRKARPLWITNLQED
+ETEEMESPQSPGQLLNPALAHSVSVASCGNVFSCGAEDGKVRIFRVMGVKCEQELGFKGH
+SLGVSQVCFLRESYLLLTGGNDGKIKLWDVSSEIEKKHKSPTKHTHRKKTKRAAYTKQGG
+GTHASVTGEDEHGKILPKLSIEHGEKVNWLLSTKIKGYRNILVADQTSCISVYPLKEF
+>sp|Q0VC89|ZDH20_BOVIN Palmitoyltransferase ZDHHC20 OS=Bos taurus OX=9913 GN=ZDHHC20 PE=2 SV=1
+MAPCTLWRCCQRTVGWVPVLFITFVVVWSYYAYVVELCVFTLSGNGENGKAVVYLVAFHL
+FFVMFVWSYWMTIFTSPASPSKEFCLSNSEKERYEKEFSQERQQEILRRAARDLPIYTTS
+ASKTVRYCERCQLIKPDRAHHCSACDMCILKMDHHCPWVNNCVGFSNYKFFLLFLFYSLL
+YCLFVATTVLQYFIKFWTNELTDTRAKFHVLFLFFVSTMFFISVLSLLSYHCWLVGKNRT
+TIESFRAPMFSYGTDGNGFSLGCSKNWRQVFGDEKKYWLLPVFSSQGDGCSFPTRLVGTD
+PEQASVSNQSESARSIGSNQPFPIKPLSESKNRLLDSDPQWLESGSEEGVGGSGTNNHVT
+VAIEN
+>sp|Q56JV6|TWF1_BOVIN Twinfilin-1 OS=Bos taurus OX=9913 GN=TWF1 PE=2 SV=1
+MSHQTGIQASEDVKDIFARARNGKYRLLKISIENEKLVIGSCRKPSDSWDQDYDSFVLPL
+LEDKQPCYVLFRLDSQNAQGYEWIFIAWSPDHSHVRQKMLYAATRATLKKEFGGGHIKDE
+MFGTVKEDVSLHGYKKYLLSQSSPAPLTAAEEELRQIKINEVQTDVSVDAKHQTLQGVAF
+PISQEAFQALEKLNNRQLNYVQLEIDIKNEIIILANTINTELKDLPKRIPKDAARYHFFL
+YKHSHEGDYLESIVFIYSMPGYTCSIRERMLYSSCKSPLLEIVERQLQMDIIRKIEIDNG
+DELTADFLYEEVHPKQHAHKQSFAKPKGPSGKRGIRRIIRGPAETEATTE
+>sp|Q2KJG3|SYNC_BOVIN Asparagine--tRNA ligase, cytoplasmic OS=Bos taurus OX=9913 GN=NARS PE=2 SV=3
+MSLEVVRAAAGMVLAELYVSDREGNDVTGDGTKEKPFKTGLKALMTVGKEPFPTIYVDSQ
+KENERWDVISKSQMKNIRKLWHREQMKSESREKKEAEDNLRREKNLEEAKKITIKNDPSL
+PEPKCVKIRELKGYRGQRIKVFGWVHRLRRQGKNLMFLVLRDGTGFLQCVLSDDLCQCYN
+GVVLSTESSVAVYGVLNLTPKGKQAPGGHELSCDFWELIGLAPAGGADNLINEESDVDVQ
+LNNRHMMIRGENMSKILKARSVITRCFRDHFFDRGYHEITPPTLVQTQVEGGATLFKLDY
+FGEEAYLTQSSQLYLETCIPALGDVFCIAQSYRAEQSRTRRHLAEYTHVEAECPFLTFEE
+LLNRLEDLVCDVVDRVLKSPAGNIVRDLNPNFKPPKRPFKRMNYSDAIVWLKEHNIKKED
+GTFYEFGEDIPEAPERLMTDTINEPILLCRFPVEIKSFYMQRCPEDPRLTESVDVLMPNV
+GEIVGGSMRIWDNEEILAGYKREGIDPTPYYWYTDQRKYGTCPHGGYGLGLERFLTWILD
+RYHIRDVCLYPRFVQRCKP
+>sp|P02784|SFP1_BOVIN Seminal plasma protein PDC-109 OS=Bos taurus OX=9913 PE=1 SV=2
+MALQLGLFLIWAGVSVFLQLDPVNGDQDEGVSTEPTQDGPAELPEDEECVFPFVYRNRKH
+FDCTVHGSLFPWCSLDADYVGRWKYCAQRDYAKCVFPFIYGGKKYETCTKIGSMWMSWCS
+LSPNYDKDRAWKYC
+>sp|Q5E9D1|SUMO1_BOVIN Small ubiquitin-related modifier 1 OS=Bos taurus OX=9913 GN=SUMO1 PE=3 SV=1
+MSDQEAKPSTEDLGDKKEGEYIKLKVIGQDSSEIHFKVKMTTHLKKLKESYCQRQGVPMN
+SLRFLFEGQRIADNHTPKELGMEEEDVIEVYQEQTGGHSTV
+>sp|Q5EA85|SEM4A_BOVIN Semaphorin-4A OS=Bos taurus OX=9913 GN=SEMA4A PE=2 SV=1
+MALPALGLDSWSFLGLFLFQLLLLFLPPATTAGREGQGPTPRVKYHAGDGRRALSFFHQK
+GLQDFDTLLLSDDGGTLYVGAREAILALNIEDPGVPRLKNMIPWPASDRKKSECAFKRKS
+NETQCFNFIRVLVSFNSTHLYACGTFAFSPACTFIELQDSRLLPISEDRVVEGKGQSPFD
+PTHKHTAVMADGMLYSGTMNNFLGSEPILLRTLGSQPVLKTDNFLRWLQPDASFVAAIPS
+TQVVYFFFEETASEFEFFEKLRISRVAQVCKNDVGGEKLLQKKWTTFLKAQLLCTQPEQL
+PFNVIRHAVLQADNSSTDPQVYAVFTSQWHVGGTRSSAVCAFSLKDIKSVFEGKYKELDK
+ETSRWTTYEYPDISPRPGSCSKGPSSDKALTFMKDHFLMDEPVVGTPLLVKSGVEYTWLA
+VETAQGIDGQSHLVMYLGTSTGSLHKAVVSGDHSAYLVEEIQLFPDPEPVRNLQLAPTQG
+AVFVGFSGGIWKVPRANCSVYESCMDCVLARDPHCAWDPESQTCRLLPTPILKSWKQDMQ
+QGNPEWACASGPMGRSLGPRSRPQIIKEVLAVPNSILELPCPQSSALASYHWSHGVEAIP
+EAPSTVYNGSLLLLLRDGAGGLYQCWATENDFSYPVVSYWVHSQDQPLALDPKLAGIPRE
+RMEAPLTRVGGGAALAAPKSYWPHFLTVTVLLALVLSGALVTFLVSPLGALRARGKVQGC
+GTLPSREKAPLSSEQCLQPSKEGRTSASDMDADNNLQGTEVA
+>sp|Q32KL8|TBATA_BOVIN Protein TBATA OS=Bos taurus OX=9913 GN=TBATA PE=2 SV=1
+MATEVRAQLAERSLPSPKGELKLEKKSGCQPRSHGDSGPQKELMIPGIVDFKLIREAVRT
+SKPQTPSAYRFGRLSHHSFFSRHHPQPQHVTHIQDLTGKPVCVVRDELSLPTISVPVGDP
+QSNRDPRLYSEAWKKELKDLASRVAIFTKESELKSKEKEEPQREQGAKYSAETGRLIPAS
+TWAMARRHSHQGRNQPASRDEGDQTFVLQDQELLILELLSQILQTDSLNAIQFWLLYAPA
+KEKDMALGLLQTAVAQLLPQPLVPIPAEKLLNQLLEVQDSLQERHQLPYSQSPKKMKTPP
+LSKSEKPENIGKAQVLRVHSSQNPEEKAAKAEG
+>sp|Q32L09|RYDEN_BOVIN Repressor of yield of DENV protein homolog OS=Bos taurus OX=9913 GN=RYDEN PE=2 SV=1
+MSQEGVELEKSVRRLREKFHGKVSSKKAGTLMRKFGSDHTGVGRSIVYGVKQKDGQELSN
+DLDTQDPPEDMKQDRDIQAVATSLLPLTEDNLRMFQRAQEDLIPAVDRQFACSSCDHVWW
+RRVPQRKEVSRCRKCRKRYDPVPSDKMWGVAEFHCPKCRHNFRGWAQMGSRSPCYGCGFP
+VYPTRILPPRWDRDPDRRSTHTHSCSAEDCYNRREPHVPGTSCAHPKSRKQNHLPKVLHP
+SNLHISSGSTVATCLSQGGLLEDLDNLILEDLKEEEEEEEEEEEEGGHGE
+>sp|A5PJJ7|S16C6_BOVIN Short-chain dehydrogenase/reductase family 16C member 6 OS=Bos taurus OX=9913 GN=SDR16C6 PE=2 SV=1
+MNVLLDTSIFLGKFLYYFLESLYYKIIPKKKKDVTGEIVLITGAASGLGRLLAIKFASLG
+AILVLWDINEEGNMETCRIIKEERDAKVFAYTCDCSNRQDVYRVADQVKKEVGNVTILIN
+NAGVVTGREFLKTPDHMVERSFLVNVMSHFWTYKAFLPAMLEANHGHLVCISSFAGIVGI
+NELSDYCASKFAAYGFAESLHFELKLLQKSKINTTIVCPYFIKTGMFEGCSTKYPLLLPM
+LTQEYAAQSILNAILEEQLYLIMPRFSHVALFLKQIISTNMMMTMAEYLGMDISLASFIE
+REKSGEVQTKTEGKQQ
+>sp|Q9TUM3|STA5B_BOVIN Signal transducer and activator of transcription 5B OS=Bos taurus OX=9913 GN=STAT5B PE=2 SV=2
+MAVWIQAQQLQGDALHQMQALYGQHFPIEVRHYLSQWIEGQAWDSIDLDNPQENIKATQL
+LEGLVQELQKKAEHQVGEDGFLLKIKLGHYATQLQNTYDRCPMELVRCIRHILYNEQRLV
+REANNGTSPAGSLADAMSQKHLQINQTFEELRLVTQDTENELKKLQQTQEYFIIQYQESL
+RIQAQFAQLAQLNPQERLSRETALQQKQLSLEAWLQREAQTLQQYRVELAEKHQKTLQLL
+RKQQTIILDDELIQWKRRQQLAGNGGPPEGSLDVLQSWCEKLAEIIWQNRQQIRRAEHFC
+QQLPIPGPVEEMLAEVNATITDIISALVTSTFIIEKQPPQVLKTQTKFAATVRLLVGGKL
+NVHMNPPQVKATIISEQQAKSLLKNENTRNDYSGEILNNCCVMEYHQATGTLSAHFRNMS
+LKRIKRSDRRGAESVTEEKFTILFESQFSVGGNELVFQVKTLSLPVVVIVHGSQDNNATA
+TVLWDNAFAEPGRVPFAVPDKVLWPQLCEALNMKFKAEVQSNRGLTKENLVFLAQKLFNS
+SSSHLEDYNGMSVSWSQFNRENLPGRNYTFWQWFDGVMEVLKKHLKPHWNDGAILGFVNK
+QQAHDLLINKPDGTFLLRFSDSEIGGITIAWKFDSQERMFWNLMPFTTRDFSIRSLADRL
+GDLSYLIYVFPDRPKDEVYSKYYTPVPCEPATAKAVDGYVKPQIKQVVPEFVSASADSAG
+GSATYMDQAPSPAVCPQPHYNMYPQNPDPVLDNDGDFDLDDTIDVARRVEELLGRPMDSQ
+WIPHAQS
+>sp|A6QPU5|SYDM_BOVIN Aspartate--tRNA ligase, mitochondrial OS=Bos taurus OX=9913 GN=DARS2 PE=2 SV=1
+MFCWLSRLCGELSTPTRRTTQLIWSSAARSMVLSSQRIPELSSFVARTNTCGELRSSHLG
+QEVTLCGWIQFRRQNIFLVLRDFHGLVQVVIPQDESAASVKKILCEAPMESVVQVSGTVI
+SRPPGQKNPKMPTGEIEIKVKTAKLLNSCKKLPFEIKDFMKKTETLRLQYRYLDLRSVQM
+QYNLRLRSQMVMKMREYLCNLHGFVDVETPTLFKRTPGGAKEFVIPSREPGKFYSLPQSP
+QQFKQLLMVGGLDRYFQVARCYRDEGSRPDRQPEFTQIDIEMSFVDQTGVQSLIEGLLQY
+SWPSDKDPLVVPFPSMPFAEALASYGTDKPDTRFGMKIVDISDMFRNTEVGFLQDALSKP
+QGTVKAICIRKGAKYLKRKDIESIRKFAADHFNEEVLPIFLKTNENWNSPVAKFIMEEQG
+LGLVKLLETQEEDVVLLTAGEHKKACSLMGKLRLECADLLEARGVVLRDPALFSFLWVVD
+FPLFLPKEENPQELESAHHPFTAPHPSDIHLLYTEPHKVRSQHYDLVLNGNEIGGGSIRI
+HNSELQHCVLDTVLKEDVKLLSHLLQALDYGAPPHGGIALGLDRLMCLVTGAPSIRDVIA
+FPKSFRGHDLMSNAPDSIPPEELKPYHIQVSWPMDAETEKSSSNHPCRSES
+>sp|P61635|STAT3_BOVIN Signal transducer and activator of transcription 3 OS=Bos taurus OX=9913 GN=STAT3 PE=2 SV=1
+MAQWNQLQQLDTRYLEQLHQLYSDSFPMELRQLLAPWIESQDWAYAASKESHATLVFHNL
+LGEIDQQYSRFLQESNVLYQHNLRRIKQFLQSRYLEKPMEIARIVARCLWEESRLLQTAA
+TAAQQGGQANHPTAAVVTEKQQMLEQHLQDVRKRVQDLEQKMKVVENLLDDFDFNYKTLK
+SQGDMQDLNGNNQSVTRQKMQQLEQMLTALDQMRRSIVSELAGLLSAMEYVQKTLTDEEL
+ADWKRRQQIACIGGPPNICLDRLENWITSLAESQLQTRQQIKKLEELQQKVSYKGDPIVQ
+HRPMLEERIVELFRNLMKSAFVVERQPCMPMHPDRPLVIKTGVQFTTKVRLLVKFPELNY
+QLKIKVCIDKDSGDVAALRGSRKFNILGTNTKVMNMEESNNGSLSAEFKHLTLREQRCGN
+GGRANCDASLIVTEELHLITFETEVYHQGLKIDLETHSLPVVVISNICQMPNAWASILWY
+NMLTNNPKNVNFFTKPPIGTWDQVAEVLSWQFSSTTKRGLSIEQLTTLAEKLLGPGVNYS
+GCQITWAKFCKENMAGKGFSFWVWLDNIIDLVKKYILALWNEGYIMGFISKERERAILST
+KPPGTCLLRFSESSKEGGVTFTWVEKDISGKTQIQSVEPYTKQQLNNMSFAEIIMGYKIM
+DATNILVSPLVYLYPDIPKEDAFGKYCRPESQEHPEADPGSAAPYLKTKFICVTPTTCSN
+TIDLPMSPRTLDSLMQFGNNGEAAEPSAGGQFESLTFDMELTSECATSPM
+>sp|Q0VC91|SOCS4_BOVIN Suppressor of cytokine signaling 4 OS=Bos taurus OX=9913 GN=SOCS4 PE=2 SV=1
+MAENSESNSKNVDVRPKTSRSRSADRKDGYVWSGKKLSWSKKSESCSDAETVSAIEKTEV
+PLRSQERKHSCSSIELDLDHSCGHRFLGRSLKQKLQDAVGQCFPIKNCSSRHSSGLPSKR
+KIHISELMLDKCPFPPRSDLAFRWHFIKRHTAPISPKSDEWVSTDLSQSELRDGQLKQRR
+NMEEVSCFSHTSVQPCVITSNNSSGRGGPGTDSIVNLASNNSIEDSDMDSDDEIITLCTS
+SRKRNKPKWEIDEEILQLETPPKYHTQIDYVHCLVPDLLQINNNPCYWGVMDKYAAEALL
+EGKPEGTFLLRDSAQEDYLFSVSFRRYSRSLHARIEQWNHNFSFDAHDPCVFHSPDITGL
+LEHYKDPSACMFFEPLLSTPLIRTFPFSLQHICRTVICNCTTYDGIDALPIPSSMKLYLK
+EYHYKSKVRVLRIDAPEQQC
+>sp|Q08E39|SPY2_BOVIN Protein sprouty homolog 2 OS=Bos taurus OX=9913 GN=SPRY2 PE=2 SV=1
+MEARAQSGSGSQPLLQAPRDSGRQRGEPDPRDALPQQVHVLSLDQIRAIRNTNEYTEGPT
+VLPRAGLKPAPRPTAQHKHERLHGLPEPRQPSRPQHPPAHPSARASLARSISTVSSGSRS
+STRTSTSSSSSEQRLLGSSFSSGPLADRIIRVQPKSELKPGELKPLSKEDVGLHAYKCED
+CGKCKCKECTYPRPLPSDWICDKQCLCSAQNVIDYGTCVCCVKGLFYHCSNDDEDNCADN
+PCSCSQSHCCTRWSAMGVMSLFLPCLWCYLPAKGCLKLCQGCYDRVNRPGCRCKNSNTVC
+CKVPTVPPRNFEKPT
+>sp|A6QR22|TM40L_BOVIN Mitochondrial import receptor subunit TOM40B OS=Bos taurus OX=9913 GN=TOMM40L PE=2 SV=1
+MGNTLGLAPMGALPRRSPRREEPLPNPGSFDELHRLCKDVFPAQMEGVKLVVNKVLSSHF
+QVAHTVHMSALGLPGYHLHAAYAGDWQLSPTEVFPTVVGDMDSGGSLNAQVLLLLAERLR
+AKAVFQTQQAKFLTWQFDGEYRGDDYTATLTLGNPDLIGESVIVVAHFLQSLTHRLVLGG
+ELVYHRRPGEEGAILTLAGKYSAVHWVATLNVGSGGAHASYYHRANEQVQVGVEFEANTR
+LQDTTFSFGYHLTLPQANMVFRGLVDSNWCVGAVLEKKMPPLPVTLALGAFLNHWRNRFH
+CGFSITVG
+>sp|Q2KIG2|WDR5_BOVIN WD repeat-containing protein 5 OS=Bos taurus OX=9913 GN=WDR5 PE=2 SV=1
+MATGEKKPETEAARAQPTPSSSATQSKPTPVKPNYALKFTLAGHTKAVSSVKFSPNGEWL
+ASSSADKLIKIWGAYDGKFEKTISGHKLGISDVAWSSDSNLLVSASDDKTLKIWDVSSGK
+CLKTLKGHSNYVFCCNFNPQSNLIVSGSFDESVRIWDVKTGKCLKTLPAHSDPVSAVHFN
+RDGSLIVSSSYDGLCRIWDTASGQCLKTLIDDDNPPVSFVKFSPNGKYILAATLDNTLKL
+WDYSKGKCLKTYTGHKNEKYCIFANFSVTGGKWIVSGSEDNLVYIWNLQTKEIVQKLQGH
+TDVVISTACHPTENIIASAALENDKTIKLWKSDC
+>sp|A3KFF6|WBP2L_BOVIN Postacrosomal sheath WW domain-binding protein OS=Bos taurus OX=9913 GN=WBP2NL PE=2 SV=1
+MAVNQSHTESRRGALIPSGESVLKQCEDVDLCFLQKPVESYLFNGTKKGTLFLTSYRVVF
+VTSHLVNDPMLSFMMPFGLMSDCTIEQPIFAPNYIKGTIQAAPGGGWEGQAVFKLSFRKG
+GAIEFAQLMVKAASAAARGIPLGSVNYWFDTSGLYIITVPGAAVCSSQTPCPAYPIVIYG
+PPPPGYTVQPGEYGTPPEGYGAQPGGYGAPPMGYGAPPVGYGVPPGGYGVPPGGYGVPPG
+GYGAPPGGYGVPPGGYGAPPGGYGAPPAGYGAPPAGNEALPPAYEAPSAGNTAASHRSMT
+AQQETSLPTTSSS
+>sp|Q2VPS3|UTER_BOVIN Uteroglobin OS=Bos taurus OX=9913 GN=SCGB1A1 PE=3 SV=1
+MKLTIAIVLVTLTLFCRPASTEVCPSLLYVLGNLIAGTPSSFEATLEPFSPDEDMKEATS
+QLKTLVDTLSPKAKDSMLELMMKIIQSPECA
+>sp|Q0II50|USB1_BOVIN U6 snRNA phosphodiesterase OS=Bos taurus OX=9913 GN=USB1 PE=2 SV=1
+MSAAPLVGYSSSGSEDEAEAGARVRPGAEGRSRGQSPLPGQRLPVPDSVLHMFPSTEEGP
+VDDSAKHGGRVRTFPHERGNWATHVYIPYEAREEFLDLLDALLCHAQTYVPRLVRMEAFH
+LSLSQSVVLRHHWILPFVQALKDRVASFHRFCFTTDQVKIYTNQEKTRTFVGLEVTSGHA
+HFLDLVAEVDRVMEEFDLSTFYQDPSFHISLAWCVGDARLQMEGPCLQELQGIVDEFEDS
+EMLLRAYAEQIRCKSGNKFFSMPLK
+>sp|P0CG53|UBB_BOVIN Polyubiquitin-B OS=Bos taurus OX=9913 GN=UBB PE=1 SV=1
+MQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYN
+IQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLI
+FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVEPSDTIENVKA
+KIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKT
+ITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLR
+LRGGC
+>sp|Q9XSI3|RL10_BOVIN 60S ribosomal protein L10 OS=Bos taurus OX=9913 GN=RPL10 PE=2 SV=4
+MGRRPARCYRYCKNKPYPKSRFCRGVPDAKIRIFDLGRKKAKVDEFPLCGHMVSDEYEQL
+SSEALEAARICANKYMVKSCGKDGFHIRVRLHPFHVIRINKMLSCAGADRLQTGMRGAFG
+KPQGTVARVHIGQVIMSIRTKLQNKEHVIEALRRAKFKFPGRQKIHISKKWGFTKFNADE
+FENMVAEKRLIPDGCGVKYIPNRGPLDKWRALHS
+>sp|Q148K0|TCEA2_BOVIN Transcription elongation factor A protein 2 OS=Bos taurus OX=9913 GN=TCEA2 PE=2 SV=1
+MMGKEEEIARIARRLDKMVTKKSAEGAMDLLRELKAMPVTLHLLQSTRVGMSVNALRKQS
+SDEEVVTLAKSLIKSWKKLLDASDAKARERRRGGSLPTSSSKEASEAQDPSRKRPELPRM
+PSTPRITTFPPVPVTCDAVRTKCREMLTAALQTDHDHVAIGADCECLAGQIEECIFRDVG
+NTDMKYKNRVRSRLSNLKDAKNPGLRRKVLCGAITPQQIAVMTSEEMASDELKEIRKAMT
+KEAIREHQMARTGGTQTDLFTCGKCRKKNCTYTQVQTRSSDEPMTTFVVCNECGNRWKFC
+>sp|Q05B65|SRFB1_BOVIN Serum response factor-binding protein 1 OS=Bos taurus OX=9913 GN=SRFBP1 PE=2 SV=1
+MAEPETLNLNNEVVKMRKEVKRIRVLVIRKLVRSVGRLKSKKGREDALLKNQRRAQRLLE
+EIRAMKELKPDVVTKSALGEDINFEKICKKPDSTAAERAIARLAVHPLLKKKIDVLKAAV
+KSFKDARQNVVEVKSSNSASEENHSKDTLCSNDDASKLQHEGTIIREQKEKEAKILAKKP
+INNSKEKIAKMDHGPKAMDVPNSLSKPSGKDSPASSASQKTPSDPKMKALSKTKKMKESS
+SSLDVDSDGEELYEEEKEYFDDSTEERFYKQSSMSEDSDSGDDFFIGKVKRARKKESSCR
+FSDKEQNPPKNLLLEEGILETHQNLQNDKNKPRTEARRFESVFFQSLSGSKSLERNHREQ
+VPRSKTMDFQHIEPQNKNQFNKKAQRGFENTKQKSQLPLHPSWEASRRRKEQQSKIAVFQ
+GKKITFDD
+>sp|Q3T0Y3|ST1B1_BOVIN Sulfotransferase family cytosolic 1B member 1 OS=Bos taurus OX=9913 GN=SULT1B1 PE=2 SV=1
+MTSPKDVLRKNLKLIHGCPITYAFANNWEKIEQFQSRPDDIMIVTYPKSGTTWISEIVDM
+VLHDGDVEKCKRDVITAKVPMLELALPGLRTSGLEQLEKNPSPRVVKTHLPIDLIPKSFW
+ENNCKIIYLARNAKDVAVSFYHFDLMNNLQPLPGTWGEYLEKFLTGNVAYGSWFNHVKSW
+WKKKEGHPILFLFYEDMKENPKQEIKKVVRFLEKNLDDEILDKIIYHTSFEMMKDNPLVN
+YTHLPSEVMDHSKSSFMRKGIAGDWKNYFTVAQNEKFDAIYKKEMSETELQFRTEI
+>sp|Q2KI09|TCEA3_BOVIN Transcription elongation factor A protein 3 OS=Bos taurus OX=9913 GN=TCEA3 PE=2 SV=1
+MGQEEELLRIAKKLEKMVARKKTEGALDLLKKLGSWQMSIQLLQTTRIGVAVNGVRKHCS
+NKEVVALAKVLIRNWKQLLDSPATPKGEKGEERVKAKKKEKGLDCSDWKPETSLSPPRKK
+RVEEPKDRRDSVDSKSSATSSPKRPSMERSNSSKSKAETPRTPSSPSSPTFAPSVCLLAP
+CYLTGDSVRDKCVEMLSAALKADDDYKDYGVNCDKMASEIEDHIYQELKSTDMKYRNRVR
+SRISNLKDPRNPGLRRNVLSGAISAGLIAKMTAEEMASDELRELRNAMTQEAIREHQMAK
+TGGTTTDLFQCSKCKKKNCTYNQVQTRSADEPMTTFVLCNECGNRWKFC
+>sp|Q5E984|TCTP_BOVIN Translationally-controlled tumor protein OS=Bos taurus OX=9913 GN=TPT1 PE=2 SV=1
+MIIYRDLISHDEMFSDIYKIREVADGLCLEVEGKMVSRTEGNIDDSLIGGNASAEGPEGE
+GTESTVITGVDIVMNHHLQETSFTKEAYKKYIKDYMKSIKGKLEEQRPERVKPFMTGAAE
+QIKHILANFKNYQFFIGENMNPDGMVALLDYREDGVTPYMIFFKDGLEMEKC
+>sp|Q1RMQ3|SYS1_BOVIN Protein SYS1 homolog OS=Bos taurus OX=9913 GN=SYS1 PE=2 SV=1
+MAGQFRSYVWDPLLILSQIVLMQTVYYGSLGLWLALVDGLVHSSPSLDQVFDAEILGFST
+PPGRLSTMSFVLNALTCALGLLYFIRRGKQCLDFTVTVHFFHLLGCWLYSSRFPSALTWW
+LVQAVCIALMAVIGEYLCMRSELKEIPLNSAPKSSV
+>sp|Q9XSC9|TCO2_BOVIN Transcobalamin-2 OS=Bos taurus OX=9913 GN=TCN2 PE=1 SV=1
+MGHLGALLFLLGGLGALANICEITEVDSTLVERLGQRLLPWMDRLSQEQLNPSIYVGLRL
+SSLQAGAKEAHYLHSLKLSYQQSLLRPASNKDDNDSEAKPSMGQLALYLLALRANCEFIG
+GRKGDRLVSQLKRFLEDEKRAIGHNHQGHPRTSYYQYSLGILALCVHQKRVHDSVVGKLL
+YAVEHKPHLLQDHVSVDTMAMAGMAFSCLELSNLNPKQRNRINLALKRVQEKILKAQTPE
+GYFGNVYSTPLALQLLMGSLRPSVELGTACLKAKAALQASLQHKTFQNPLMISQLLPVLN
+QKSYVDLISPDCQAPRALLEPALETPPQAKVPKFIDVLLKVSGISPSYRHSVSVPAGSSL
+EDILKNAQEHGRFRFRTQASLSGPFLTSVLGRKAGEREFWQVLRDPDTPLQQGIADYRPK
+DGETIELRLVGW
+>sp|P26917|SMS_BOVIN Somatostatin OS=Bos taurus OX=9913 GN=SST PE=3 SV=1
+MLSCRLQCALAALSIVLALGGVTGAPSDPRLRQFLQKSLAAAAGKQELAKYFLAELLSEP
+NQTEIDALEPEDLSQAAEQDEMRLELQRSANSNPAMAPRERKAGCKNFFWKTFTSC
+>sp|Q3SZI1|TINAG_BOVIN Tubulointerstitial nephritis antigen OS=Bos taurus OX=9913 GN=TINAG PE=2 SV=1
+MCAGYKILILAYLTTEIWMERQYLSQREVDPGAEFTRNHTISEGTRFKRAVFEGQYCRRF
+GCCADRDDGCVTQFYEADALCYCDKFCERENSDCCPDYKSFCREEKGWPPRTKPWSPEGC
+HRDGQHYEEGSVIKENCNSCTCSGQQWKCSQHVCLVQPGLIEHVNKGDYGWTAQNYSQFW
+GMTLEEGFKYRLGTLPPSPLLLSMNEVTASLTKTTDLPEFFIASYKWPGWTHGPLDQKNC
+AASWAFSTASVAADRIAIQSQGRYTANLSPQNLISCCAKKRHGCNSGSVDRAWWYLRKRG
+LVSHACYPLFKDQNATNNGCAMASRSDGRGKRHATTPCPNSIEKSNRIYQCSPPYRVSSN
+ETEIMREIMQNGPVQAIMQVHEDFFNYKTGIYRHITSTNEDSEKYRKFRTHAVKLTGWGT
+LRGAQGQKEKFWIAANSWGKSWGENGYFRILRGVNESDIEKLIIAAWGQLTSADEP
+>sp|O19116|SFRP1_BOVIN Secreted frizzled-related protein 1 OS=Bos taurus OX=9913 GN=SFRP1 PE=2 SV=1
+MGGGRWAAAGALLALAAGLLAAGSASEYDYVSFQSDIGAYQSGRFYTKPPQCVDIPADLR
+LCHNVGYKRMVLPNLLEHETMAEVKQQASSWVPLLNKNCHIGTQVFLCSLFAPVCLDRPI
+YPCRWLCEAVRDSCEPVMQFFGFYWPEMLKCDKFPEGDVCIAMTPPNATEASKPQGTTVC
+PPCDNELKSEAIIEHLCASEFALRMKIKEVKKENGDKKIVPKKKKPLKLGPIKKKELKKL
+VLYLKNGADCPCHQLDNLSHHFLIMGRKVKSQYLLTAIHKWDKKNKEFKTFMKKMKNHEC
+PTFQSVFK
+>sp|Q32L86|T126A_BOVIN Transmembrane protein 126A OS=Bos taurus OX=9913 GN=TMEM126A PE=2 SV=1
+MENHEPDGTIIKENLTDIIARKINQLPEAERNLLENGSTYVGLNAALCGLIANSLFRRIL
+HVTQARIAAGLPMAVIPFLTANVSYKGFVSLPLNTGDLQCETCTVTRGGLVGLVFGGLYP
+VFLAIPVNGGLAARYNSALLPEKGNILNYWIRISKPVFRKMLFPILLQTGFAAYLGSRQY
+KLLIKALQLPEPGLEIE
+>sp|Q32PH7|SUV92_BOVIN Histone-lysine N-methyltransferase SUV39H2 OS=Bos taurus OX=9913 GN=SUV39H2 PE=2 SV=1
+MAAAGAEAPGAWCVPCLVSLDTLQELCRKEKLTCKSIGITKRNLNNYEVEYLCDYKVVKD
+MEYYLVKWKGWPDSTNTWEPLQNLKCPLLLQQFFNDKHNYLSQVKKGKAITLKENHRALK
+PAVAEYIVKKAKQRIALQRWQDELNRRKTHKGMIFVENTVDLEGPPSDFYYINEYKPAPG
+ISLVNEATFGCSCTDCFFEKCCPAEAGVLLAYNKNQQIKIPPGTPIYECNSRCQCGPDCP
+NRIVQKGTQYSLCIFRTSNGCGWGVKTLVKIKRMSFVMEYVGEVITSEEAERRGQLYDNK
+GITYLFDLDYESDEFTVDAARYGNVSHFVNHSCDPNLQVFNVFIDNLDTRLPRIALFSTR
+TINAGEELTFDYQMKGSGDVSSDSIDHSPAKKRARTVCKCGAVTCRGYLN
+>sp|Q2KIF8|SYCM_BOVIN Cysteine--tRNA ligase, mitochondrial OS=Bos taurus OX=9913 GN=CARS2 PE=2 SV=1
+MLWTRRACGGAWLLRAARGPEPGRSASGARGQGWLQPTGYDTGVKVYNSLTRRKDPLIVS
+RADAASWYSCGPTVYDHAHLGHACSYVRFDIIRRILSRVFGCTVVMVMGITDVDDKIIRR
+ANEMSVSPASLARLYEEDFKQDMAALKVLPPTAYLRVTEHVPQIVAFIERLIANGHAYCT
+AKGNVYFDLQSRGDRYGKLVGVAPGPVGEPVDSDKRHTSDFALWKAAKPQEPFWGSPWGD
+GRPGWHIECSTIASLVFGSQLDIHSGGVDLAFPHHENEIAQCEAFHQCPQWGNYFLHSGH
+LHVKGGGEKMSKSLKNYVTIKDFLRSTSPDVFRLFCLRSSYRSAIDYSEGALLEARSLLR
+ATAAFVEDARAYLRGQLAGGPIQEDVLWERLGRTKAAVQAALADDFDTVRAVDAVMDLVH
+HGNRQLKAASEEPRGPRSPAVLGAVVASVEHFFETVGVSLAERQCVPGAGSPAALYSLVE
+ELVRFRLKVRQFALASGEAPGEARRQRLLERQPLLEACDALRRDLAVHGISIKDRSGSST
+WELLDPRTEDPKPRS
+>sp|E1BJD1|SMRD2_BOVIN SWI/SNF-related matrix-associated actin-dependent regulator of chromatin subfamily D member 2 OS=Bos taurus OX=9913 GN=SMARCD2 PE=3 SV=1
+MSGRGAGGFPLPPLSPGGGAVAAALGAPPPPAGPGMLPGPALRGPGPAGGVGGPGAAAFR
+PMGPAGPAAQYQRPGMSPGSRMPMAGLQVGPPAGSPFGTAAPLRPGMPPTMMDPFRKRLL
+VPQAQPPMPAQRRGLKRRKMADKVLPQRIRELVPESQAYMDLLAFERKLDQTIARKRMEI
+QEAIKKPLTQKRKLRIYISNTFSPSKAEGDTAGTTGTPGGTPAGDKVASWELRVEGKLLD
+DPSKQKRKFSSFFKSLVIELDKELYGPDNHLVEWHRMPTTQETDGFQVKRPGDLNVKCTL
+LLMLDHQPPQYKLDPRLARLLGVHTQTRAAIMQALWLYIKHNQLQDGHEREYINCNRYFR
+QIFSCGRLRFSEIPMKLAGLLQHPDPIVINHVISVDPNDQKKTACYDIDVEVDDPLKAQM
+SNFLASTTNQQEIASLDVKIHETIESINQLKTQRDFMLSFSTDPQDFIQEWLRSQRRDLK
+IITDVIGNPEEERRAAFYHQPWAQEAVGRHIFAKVQQRRQELEQVLGIRLT
+>sp|A4FV45|TM214_BOVIN Transmembrane protein 214 OS=Bos taurus OX=9913 GN=TMEM214 PE=2 SV=1
+MAAKTAGGGRWEVVKKGRRPGAGGSGKGGGGDRRALGEANGVWKYDLTPPIQTTSTLYER
+GFEKIMKRQNKEQVPPPAVEPKKPGNKKQAKKVATLTNQNQKQGRFRSLEEALKALDLAD
+LQKELDKSQSVFSGNPSVWLKDLASYLNYKLQVPPSEPTLSQHPHDYPYSLVSRELRGII
+RGLLAKAAGSLELFFDHCLFTMLQELDKTPGESLHGYRICIQAILQDKPKIVTTNLGKFL
+ELLRSHQSRPAKCLTIMWALGQAGFTNLTEGLRVWLGIMLPVLGIKSLSPFAIAYLDRLL
+LMHPNLTKGFGMIGPKDLFPLLDFAYMPNNSLTPSLQEQLCQLYPRLKVLAFGAKPESTL
+HTYFPSFLSRATPGCPLEMKKELLRSLTECLMVDPLSTSVWRQLYPKHLSQSSLLLEHLL
+RSWERIPKKTQKSLQETIQSFKLTNQDLLRKGGGSNQDVVTCDSACKSLLQQARGFQLPW
+TRLLLLVLVFAIGFLCHDFRSHSSFQASLSGQLLQSSGVLPAGQQACTKIYSYSLQGYSW
+LEKTLPAWGSHLLTVVRPGLQLAWAHTNATVGFLSAHCASHLAWFGDSLANLFQRLQTQL
+PDTLNQLLRSLRELLLFLYHSILLPTWHMLLEALAQIQEHCHEACRGEVTWDCMKTQLSE
+AAHWTWLRLQDTTVAFLDWALAMISQQ
+>sp|A0JNP2|SG1D_BOVIN Secretoglobin family 1D member OS=Bos taurus OX=9913 GN=SCGB1D PE=3 SV=2
+MRLSVTALLVTLALCYYEANAIVCPTFAADLTEFFYFPDLLYRLSLAKYNAPPEAVAAKM
+EVKQCTDRFSVKNRLIITNILGKILLNCTVTDVKAVLNPSSA
+>sp|Q58DS4|TM171_BOVIN Transmembrane protein 171 OS=Bos taurus OX=9913 GN=TMEM171 PE=2 SV=1
+MSPAAAAEPDGVRGDRHVSKLIFFLFVIGAILLCVGVLLSIFGFQACQYKTFPDCSMMLK
+IAGPACAVVGLGAVILARSRARLQQTEERLRGNNQADSDRPFLCGESRQFVQCLIFGFLF
+LTSGMLISVLGIWVPGCGSDWMQESLNETDTADSEPQICGFLSLQILGPLIVLVGLCFFV
+VAHVKKRSNLNGDQDASESEERQTQSLEPIQVTVGDAVIIFPPPPPPYFPESSASAAARS
+PGTDGLLPDESPPSYYSIFQSGSPTPEGQGAASERDCELIYTISGTASSSETSHTLHLLS
+ELPPRYEEKETATTTSLSPSSEPSPP
+>sp|Q3T0G1|THAP1_BOVIN THAP domain-containing protein 1 OS=Bos taurus OX=9913 GN=THAP1 PE=2 SV=1
+MVQSCSAYGCKNRYDKDKPVSFHKFPLTRPSLCKKWEAAVRRKNFKPTKYSSICSEHFTP
+DCFKRECNNKLLKEDAVPTIFLCTEPHDKKEDLLEPQEQPPPPPLTPPISQVDAAIGLLM
+PPLQTPDNLSVFCDHNYTVEDTMHQRKRIHQLEQQVEKLRKKLKTAQQRCRRQERQLEKL
+KEVVHFQKEKDGASERGYVILPNDYFEIVEVPA
+>sp|Q1LZB3|S2533_BOVIN Solute carrier family 25 member 33 OS=Bos taurus OX=9913 GN=SLC25A33 PE=2 SV=1
+MATGTQQKENTLLHLFAGGCGGTVGAIFTCPLEVIKTRLQSSRLALRTVYYPQVHLGTIS
+GAGVVRQTSVTPGLLQVLKSILEKEGPRSLFRGLGPNLVGVAPSRAVYFACYSKAKEQFN
+GVFVPNSNIVHVFSAGSAAFVTNSLMNPIWMVKTRMQLERKVRGSKQMNTLQCARYVYQT
+EGIRGFYRGLTASYAGISETIICFAIYESLKKYLKEAPLASSTNGTEKNSTNFFGLMAAA
+ALSKGCASCVAYPHEVIRTRLREEGSKYKSFVQTARLVFREEGYLAFYRGLFAQLIRQIP
+NTAIVLSTYELIVYLLEDHAQ
+>sp|Q0VCZ3|YTHD2_BOVIN YTH domain-containing family protein 2 OS=Bos taurus OX=9913 GN=YTHDF2 PE=2 SV=1
+MSASSLLEQRPKGQGNKVQNGSVHQKDGLNDDDFEPYLSPQARPNNAYTAMSDSYLPSYY
+SPSIGFSYSLGEAAWSTGGDTAMPYLTSYGQLSNGEPHFLPDAMFGQPGALGSTPFLGQH
+GFNFFPSGIDFSAWGNNSSQGQSTQSSGYSSNYAYAPSSLGGAMIDGQSAFASETLNKAP
+GMNTIDQGMAALKLGSTEVASNVPKVVGSAVGSGSITSNIVASNSLPPATIAPPKPASWA
+DIASKPAKQQPKLKTKNGIAGSSLPPPPIKHNMDIGTWDNKGPVAKAPSQALVQNIGQQP
+TQGSPQPVGQQANNSPPVAQASVGQQTQPLPPPPPQPAQLSVQQQAAQPTRWVAPRNRGS
+GFGHNGVDGNGVGQTQAGSGSTPSEPHPVLEKLRSINNYNPKDFDWNLKHGRVFIIKSYS
+EDDIHRSIKYNIWCSTEHGNKRLDAAYRSMNGKGPVYLLFSVNGSGHFCGVAEMKSAVDY
+NTCAGVWSQDKWKGRFDVRWIFVKDVPNSQLRHIRLENNENKPVTNSRDTQEVPLEKAKQ
+VLKIIASYKHTTSIFDDFSHYEKRQEEEESVKKERQGRGK
+>sp|A6H7D1|TT23L_BOVIN Tetratricopeptide repeat protein 23-like OS=Bos taurus OX=9913 GN=TTC23L PE=2 SV=2
+MRASPIRIPTVTSDTDWDFCFHLSQQTKIPEHQRTDESSPTSGSEESEEDTKAKEKVIDH
+MSHPREKLAQSQKKIAQLIKGKKNIEANKELIRCVTLSRIIFGEEHWKCAQALATLAYGY
+LTLRGLPAQAKKHAESAKNVLLTWKGTTTSDKEEKQILETLVMLYYTLGVVCLLQNHGRE
+AYFNLQKSERNMKELRESYKGGTCGLQVSEKDLTVALGRASLANCRLNLALVYFEKAVDN
+VIANKGDSTSELVSLYQEIAQIEQLRRNHEQAIQYLHQAHSICVSLYTEVSPQAAEASAL
+LAKAYAMSGEVQHKA
+>sp|A4FUE7|ZC21A_BOVIN Zinc finger C2HC domain-containing protein 1A OS=Bos taurus OX=9913 GN=ZC2HC1A PE=2 SV=1
+MEGLEENGSVQVGELLPCKICGRTFFPVALKKHGPICQKTATKKRKTFDSSRQRAEGTDI
+PTVKPLKPRPEPPKKPSNWRRKHEEFIATIRAAKGLGQVLKEGGKLPPPPPPSYDPDYIQ
+CPYCQRRFNENAADRHINFCKEQAARISNKGKFSTDTKGKSTSRTQYKPPALKKFNSPGT
+TSSGSSRLPQPSGTSKTVVGAPSGKVSSVSSSSGNKLQTLSPSHKGIPAPHVGTNIKPRN
+STPPSLARNPASGVLTSKRKTYSDSYMARPDGDYISSFNGGNTKGIEGNSAGHLPKFCHE
+CGTKYPVEWAKFCCECGVRRMVL
+>sp|Q0VD38|TM88B_BOVIN Transmembrane protein 88B OS=Bos taurus OX=9913 GN=TMEM88B PE=2 SV=1
+MSDQERETEEDEGGDPSDTAPMLPQRLPDHQASDLMSPGWASLAARGLGTLLFQGWALAR
+LLLHLLLPAAVFLLVLLPAAAVVYLGFLCHSRVHPAPRPACRALLSDRGSAAVIVLGFLS
+LPPLLVLASAARARLARRLHSLLPPPTWSPGPHRQSDGEKQLCAWV
+>sp|Q1RMH8|SNX3_BOVIN Sorting nexin-3 OS=Bos taurus OX=9913 GN=SNX3 PE=2 SV=3
+MAETVADTRRLITKPQNLNDAYGPPSNFLEIDVSNPQTVGVGRGRFTTYEIRVKTNLPIF
+KLKESTVRRRYSDFEWLRSELERESKVVVPPLPGKAFLRQLPFRGDDGIFDDNFIEERKQ
+GLEQFINKVAGHPLAQNERCLHMFLQDEIIDKSYTPSKIRHA
+>sp|Q5BIR3|THSD1_BOVIN Thrombospondin type-1 domain-containing protein 1 OS=Bos taurus OX=9913 GN=THSD1 PE=2 SV=1
+MKQTLKDFSNLLLVVLCDYVLGEAEHLVLGEPGHVALSNSTVTVDFHGANGTLRNVSVLL
+VEASSNQTLTTKYLLTNQSQGTLEFECFYFKEAGDYWFVMTREATNSSLPVPPRERSAFL
+KVEWPVFHVDLSRTSMAAEGTFQVGLFTSQPLCPFPGDKPDILLEVTFTNSLPEARAGQA
+LPLEIRASKRVELAQGQWVEFDCPPVGPEAYVTVTVVLKLLGRDSVIMSTGPIDLAQKFG
+YKLVMEPELTCEAGVEVTVLPPPCIFVQGVIAVFKEAPRLPGERTNRLAENSLALGERRT
+GFNCTLFDMGRNKYCFDFGVSSQSQFSAKEKECMLIRRSIETWGLWQPWSQCSASCGDGV
+RERRRVCLTSSPSRPGCPGMSSETSPCSLEDCAAFQPSSPSPLQPQAPVKSNNVVTVTGI
+SLCLFIIVATVLITLWRKLGRAPKCSTPARHNSLHGPGCRKNSDEENICELSEPRGSFSD
+AGDGPAGSPGDPGIPLTYRRSVPAPPDDEASGSESFQANAQKIIPPLFSYRLAQQQLKEM
+KKKGLTETTKVYHVSQSPLTDTAIDAAATAAAAAAASPGGSESPEEAAAGKFRIKSPFLE
+HPPTVGAGDRPPSRLDHPFSAASCAVSPSQTLLRKSQVRSHSRGSHFRRTASFHEARQAR
+PFRERSLSTLTPRPTPAHGPRARTWDQAGERGRPPSRGTALFPEKRDHGPGAAGASGPLS
+PLPKPHSLGPPPRKPDLGDRQAGFVGAGERPEPPRARRGPSPSHRSVSRKQPSPPAPKDG
+YQRVSPLSPSQGRKDKCQSFPAHPEFAFYDNTSFGLTEAEQRMLDLPGYFGSNEEDETTS
+TLSVEKLVI
+>sp|Q2KIG5|THAS_BOVIN Thromboxane-A synthase OS=Bos taurus OX=9913 GN=TBXAS1 PE=2 SV=1
+MEVLGLFRLEVSGPMVTVALSVVFLALLKWYSTSAFSRLEKLGIRHPKPSPFIGNLAFFR
+QGFWESHMELRKQYGPLSGYYLGRLMFIVISEPDMIEQVLVEKFSNFTNRMATGLEPKPV
+ADSVLFLRDKRWEEVRSVLTVAFSPEKLSEMTPLISRACDVLLAHLERHAQSGEAFDIQR
+TYCCYTTDVVASVAFGTEVNSQEAPEHPFVEHCRRFFASSIPKPLLVLLLSFPSIMVPLA
+RILPNKNRDELNGFFNKLIRNVIALRDQQAAEERRRDFLQMVQDVRHSAATVGVEKFDIV
+RQVFSATKCPANPPRRHSPRPLSKPLSVDEVVGQAFIFLIAGYEIVTNTLSFATYLLATN
+PECQEKLLEEVDCFSKEHLAPEYCSLQEGLPYLDMVIKETLRMYPPAFRFTRVAAQDCEV
+LGQRIPAGAVLETAVGALHYDPEHWPNPENFNPERFTAEAQQRRRPYTYLPFGAGPRSCL
+GVRLGLLELKLTLLHILRKFRFEACPETQVPLQLESKSALGPKNGVYIRIVSR
+>sp|A7Z070|TMG1_BOVIN Transmembrane gamma-carboxyglutamic acid protein 1 OS=Bos taurus OX=9913 GN=PRRG1 PE=2 SV=1
+MGRIFLTGEKANSVLKRYPRANGLFEEIRQGNIERECKEEVCTFEEAREAFENNEKTKEF
+WNTYTKAQQGESNRGSDWFQFYLTFPLIFGLFIILLVIFLIWRCFLRNKTRRQTVTESHI
+PFPQHLNIITTPPPPDEVFDNSGLSPGFLEYVVGRSDSVSTRLSNCDPPPTYEEATGQMN
+LRRSETEPHLDPPPEYEDIINSNSASAIAMVPVATTIK
+>sp|Q3T199|RS23_BOVIN 40S ribosomal protein S23 OS=Bos taurus OX=9913 GN=RPS23 PE=2 SV=1
+MGKCRGLRTARKLRSHRRDQKWHDKQYKKAHLGTALKANPFGGASHAKGIVLEKVGVEAK
+QPNSAIRKCVRVQLIKNGKKITAFVPNDGCLNFIEENDEVLVAGFGRKGHAVGDIPGVRF
+KVVKVANVSLLALYKGKKERPRS
+>sp|P00586|THTR_BOVIN Thiosulfate sulfurtransferase OS=Bos taurus OX=9913 GN=TST PE=1 SV=3
+MVHQVLYRALVSTKWLAESVRAGKVGPGLRVLDASWYSPGTREARKEYLERHVPGASFFD
+IEECRDKASPYEVMLPSEAGFADYVGSLGISNDTHVVVYDGDDLGSFYAPRVWWMFRVFG
+HRTVSVLNGGFRNWLKEGHPVTSEPSRPEPAIFKATLNRSLLKTYEQVLENLESKRFQLV
+DSRAQGRYLGTQPEPDAVGLDSGHIRGSVNMPFMNFLTEDGFEKSPEELRAMFEAKKVDL
+TKPLIATCRKGVTACHIALAAYLCGKPDVAIYDGSWFEWFHRAPPETWVSQGKGGKA
+>sp|A5D7N3|TMM11_BOVIN Transmembrane protein 11, mitochondrial OS=Bos taurus OX=9913 GN=TMEM11 PE=2 SV=1
+MAAWGRRRLGPGSGGGGARERVSLSATDCYIVHEIYNGENAQDQFEYELEQALEAQYKYI
+VIEPTRIGDETARWITVGNCLHKTTVLAGTACLFTPLALPLDYSHYISLPAGVLSLACCT
+LYGISWQFDPCCKYQVEYDAYRLSRLPLHTLTSSTPVVLVRKDDLHRKRLHNTIALAALV
+YCVKKIYELCAV
+>sp|Q56JV9|RS3A_BOVIN 40S ribosomal protein S3a OS=Bos taurus OX=9913 GN=RPS3A PE=2 SV=3
+MAVGKNKRLTKGGKKGAKKKVVDPFSKKDWYDVKAPAMFNIRNIGKTLVTRTQGTKIASD
+GLKGRVFEVSLADLQNDEVAFRKFKLITEDVQGKNCLTNFHGMDLTRDKMCSMVKKWQTM
+IEAHVDVKTTDGYLLRLFCVGFTKKRNNQIRKTSYAQHQQVRQIRKKMMEIMTREVQTND
+LKEVVNKLIPDSIGKDIEKACQSIYPLHDVFVRKVKMLKKPKFELGKLMELHGEGSSSGK
+ATGDETGAKVERADGYEPPVQESV
+>sp|A5D7V9|SYHM_BOVIN Probable histidine--tRNA ligase, mitochondrial OS=Bos taurus OX=9913 GN=HARS2 PE=2 SV=1
+MPQLGLLPGRAWTVLLGLLRPPPGALCIRAVRSHSQVAEALFASQLKPHQEKSNFTIKTP
+KGTRDLSPQQMVVREKILDVVVSCFKRHGAKGLDTPAFELKEILTEKYGEDSGLIYDLKD
+QGGELLSLRYDLTVPFARYLAMNKVKKMKRYHVGKVWRRESPTIVQGRYREFYQCDFDIA
+GQFDPMIPDAECLKIMCEILSGLHLGDFLIKVSDRRILDGIFAVCGVPESKFHAICSSVD
+KLDKISWKDVRHEMVVKKGLAPEVADRIGDYVQCHGGISLVEQMFQDPRLSQNKQALEGL
+GDLKLLFEYLTLFGVAEKVSFDLSLARGLDYYTGVIYEAVLLQTPVHAEEEPLNMGSVAA
+GGRYDGLVGMFDPRGHKVPCVGLSIGVERIFSIVEQRIKTFGEKIRTTETQVFVATPQKN
+FLQERLKLIAELWDAGIKAELMYKNNPKLLPQLHYCENMGIPLVVIIGEQELKEGVIKLR
+SVASREEVAIKRENLVAEIQKRLSES
+>sp|A4FUB8|TM115_BOVIN Transmembrane protein 115 OS=Bos taurus OX=9913 GN=TMEM115 PE=2 SV=1
+MQRALPGARQHLGAILSSASVVVKALCAAVLFLYLLSFAVDTGCLAVTPGYLFPPNFWIW
+TLATHGLMEQHVWDVAISLATVVVAGRLLEPLWGALELLIFFSVVNVSVGLLGAFAYLLT
+YMASFNLVYLFTVRIHGALGFLGGVLVALKQTMGDCVVLRVPQVRVSVVPMLLLGLLLLL
+RLATLLQSPALASYGFGLISSWVYLRFYQRHSRGRGDMADHFAFATFFPEILQPVVGLLA
+NLVHGLLVKVKICQKTVKRYDVGAPSSITISLPGTDPQDAERRRQLALKALNERLKRVED
+QSVWPSMDDDEEEAGAKVDSPMPSDKAPTLPGKGAVPESSLITFEAAPPTL
+>sp|P0C7W1|TOR2X_BOVIN Prosalusin OS=Bos taurus OX=9913 GN=TOR2A PE=3 SV=1
+MAAATRSCRPWGSLLGLIWLVSAAAASWDLSSLRCNFGSFCECDFQPDFQGLECDLAQHL
+AGQHLARSLVVKALKAFLQDPAPTKPLVLSLHGWTGTGKSYVSSLLAHYLFRDGLRSPHV
+HHFSPVIHFPHPSHLERYKKDLKSWVQGNLTVCSRSLFLFDEMDKLAPGLIEVLRPFLGS
+SWVVYGTNYRKAIFIFIRWLLALGHHGRASPGRSGALPATPAAPRAALCAQRAGPSGPGA
+QG
+>sp|Q2KJB1|SEP10_BOVIN Septin-10 OS=Bos taurus OX=9913 GN=SEPTIN10 PE=2 SV=1
+MASEVARHLLFQSHITTKTAHTSSQVSDHEQKQKDSPRSLTMSGHVGFESLPDQLVNRSI
+QQGFCFNILCVGETGIGKSTLIDTLFNTNFEDHESSHFYPHVRLKAQTYELQESNVRLKL
+TIVNTVGFGDQINKEESYQPIVDYIDAQFEAYLQEELKIKRSLFNYHDSRVHVCLYFISP
+TGHSLKTLDLLTMKSLDSKVNIIPVIAKADAISKTELQKFKIKLMSELVSNGVQIYQFPT
+DDETIAKINASMNGHLPFAVVGSMDEVKVGNKMVKARQYPWGVVQVENENHCDFVKLREM
+LICTNMEDLRDQTHTRHYELYRRRKLEEMGFMDVGPENQPLSLQETYEAKRHEFYGERQR
+KEEEMKQLFVQRVKEKEAILKEAERELQAKFEHLKRVHQEEKLRLEEKRRLLEEEIMAFS
+KKKATSEIYQNQTFMTPGSNLRKDKDRKNSNFM
+>sp|Q2YDE9|TES_BOVIN Testin OS=Bos taurus OX=9913 GN=TES PE=2 SV=1
+MDLEAKVKKMGLGHEQGFGAPCLKCKEKCEGFELHFWRKICRNCKCGQEEHDVLLSNEED
+RKVGKLFEDTKYTTLIAKLKSDGIPMYKRNVMILTNPVAAKKNVSINTVTYEWAPPVQNQ
+ALARQYMQMLPKEKQPVAGSEGAQYRKKQLAKQLPAHDQDPSKCHELSPKEVKEMEQFVK
+KYKNEALGVGDVKLPRDMNTQGPNKMYIPGGDRSTTTAVGAMEDKSAEHKRTQYSCYCCK
+LSMKEGDPAIYAERAGYDKLWHPACFVCSTCHELLVDMIYFWKNGKLYCGRHYCDSEKPR
+CAGCDELIFSNEYTQAENQNWHLKHFCCFDCDNILAGEIYVMVNDKPVCKPCYVKNHAEV
+CQGCHNAIDPEVQRVTYNNFSWHASTECFLCSCCSKCLIGQKFMPVEGMVFCSVECKKMM
+S
+>sp|A3KN32|ZNF34_BOVIN Zinc finger protein 34 OS=Bos taurus OX=9913 GN=ZNF34 PE=2 SV=1
+MAALDLCALPQAEVTFEDVAVFLSQEEWGLLGPAQKGLYREVMLETYRNLVSLGAGLAGP
+KPEVIAQLEQGDELWVLDMHGAEQPSVDGSAHGTRTENQEVTSGEMLFGRELDPLRGSVL
+RGPEPGEVHERVREPEGRLDRPGEQRGPRLVTLANEECGLESGGNLRSRSRPVPDQRPHK
+CDICEQSFEQRSYLNNHKRVHRCKKTNIVHDSGEIFAANLVKEDQKIPVGKRLYYCGCCG
+KAFRYSANLVKHQRLHSEEKPYKCEECGKAFHQSCELISHRRMHSGEIPYRCDECGKTFN
+QRPNLMKHQRIHTGEKPYKCSECGKHFSAYSSLIYHQRIHTGEKPYKCSDCGKAFSDGSI
+LIRHRRTHTGEKPYECKECGKGFTQSSNLIQHQRIHTGEKPYKCNECEKAFIQKTKLVEH
+QRSHTGEKPYECNDCGKVFSQSTHLIQHQRIHTGEKPYKCSECGKAFHNSSRLIHHQRSH
+HGEKPYKCADCKKAFSQGTYLLQHRRIHTGEKPYTCGECGKAFRHSSNMSQHQRIHLRED
+FSL
+>sp|A5D7Q3|SEP12_BOVIN Septin-12 OS=Bos taurus OX=9913 GN=SEPTIN12 PE=2 SV=1
+MDPLRQSPSPSSSRASSPRTLSCERLGYVGIEAVLDQLKIKAMKMGFEFNIMVVGQSGLG
+KSTMVNTLFKSKIWKSTMPGLRVPMPQTLQLHYVTHVIEENGVKLKLTVTDTPGFGDQIN
+NDKCWDPILGYINEQYERYLQEEILITRQRHIPDTRVHCCIYFVPPTGHCLRPLDLEFLQ
+RLCRAVNVVPVIARADSLTIEEREAFRHRIQDDLKTHSIEVYPQKSFDEDVNDKILNSKI
+RERIPFAVVGADREHMVNGRCVLGRKTKWGIIEVENMAHCEFPLLRDLLIRSHLQDLKDI
+THNVHYENYRIIRLKESHALPQGPGWVNLAPAPPPAPTGTRASPGPAKMCRWAEDNSDED
+F
+>sp|A5D789|THMS1_BOVIN Protein THEMIS OS=Bos taurus OX=9913 GN=THEMIS PE=2 SV=1
+MALSLEEFIHSLDLRTLPRVLEIQSGFYFEGSIYEMFGNECCLSTGEVIKITDLKIKKIM
+AEICEHVEGCVSPQSFELPMNFPGLFKIVADTTPYLTMEEITKANHIRPSRPNHPCFYHQ
+KDIKLENLIIKKGERIMLNSVEEINGEIMVNCSVLRNHQNHSFTLPLSQEGEFYECEDEH
+IYTLKEIIQWKIPKNRTRTVILTGFSAKWDSTNPFPRGFYGAVILKPVYEIQAVMKFRKD
+IVRILPSLDVEVKDITDSYDANWFLQVLSTQDLYEMANKQFPIVAEVIEAPQGNQLPISI
+LQPGKTIVIHKKCQASKILASEIRSNSSKRHFLIPTSYKGKFKRRPREFPTAYDLEIAKS
+EKEPLHVVATKAFHSPFEELSSVSVGDEFLVHHSQTTEVLCEGIKKVMDGLACEKILKNS
+REVALLPLYMDGGFVEVIHDKKLYQISELCAQFRLPFNVKVSVRDLFIEKDILAAIPGLQ
+LEESITNSYLLISDLANPKKCWEIPVGRVNMTVQLVNNFSGDTGSFLVSTLVEEITEEQY
+YLIRRYEKSFLHPPPRPPKHPSVEKIELTPLSLAKQKTVNLPKSPKSVHVDRSKKLYSNQ
+AGLDSKVPAGCQNDLADLEKEKRKTEATAVTEIFKTEEHKK
+>sp|Q8WN57|TYRP1_BOVIN 5,6-dihydroxyindole-2-carboxylic acid oxidase OS=Bos taurus OX=9913 GN=TYRP1 PE=2 SV=2
+MKSPTLLSLGYMFLVLLFFQQAWAQFPRECATIEALRNGVCCPDLSPLSGPGSDRCGLSS
+GRGRCEVVIADSRPHSHHYPHDGRDDREGWPTRSFNRTCHCNGNFSGHNCGTCRPGWGGA
+ACDQRVLTVRRNLLDLSTEEKNRFVRALDMAKRTTHPQFVIATRRSEEILGPDGNTPQFE
+NISIYNYFVWTHYYSVKKTFLGAGQESFGEVDFSHEGPAFLTWHRYHLLQLERDMQEMLQ
+DPSFSLPYWNFATGKNTCDICTDDLMGSRSNFDSTLISPNSVFSQWRVVCESLEDYDTLG
+TLCNSTEGGPIKRNPAGNVARPMVQRLPKPQDVAQCLEVGSYDTPPFYSNSTNSFRNTVE
+GYSHPTGRYDPAVRSLHNLAHLFLNGTGGQTHLSPNDPIFVLLHTFTDAVFDEWLRRYNA
+DISTYPLENAPIGHNRQYNMVPFWPPVTNIEMFVTAPDNLGYTYEVQWPSRSFSISEIVT
+IAVVAALSLVAVIFAGASCLIRARSNMDEANQPLLTDQYQHYIEEYEKIHNPNQSVV
+>sp|Q2KIX3|TMM42_BOVIN Transmembrane protein 42 OS=Bos taurus OX=9913 GN=TMEM42 PE=2 SV=1
+MAGRPLPGGGGVCAAAYPNTSAGFPPHLQAGAMRRRFWGVFNCLCAGAFGALAAASAKLA
+FGREVNVGFCVLGIIMMATTNSLMWTFFSRGLSLSMSSAIASVTVTFSNILNSAFLGFVL
+YGECQEVLWWGGVFLILCGLTLIHRELPPPRKPLPHKQR
+>sp|Q3ZC25|T106B_BOVIN Transmembrane protein 106B OS=Bos taurus OX=9913 GN=TMEM106B PE=2 SV=1
+MGKSFSHLPLHSNKEDGYDGMTSTENIRNGLVNGEVHNEDGRSGDVSQFPYVEFTGRDSV
+TCPTCQGTGRIPRGQENQLVALIPYSDQRLRPRRTKLYVMASVFVCLLLSGLAVFFLFPR
+SIDVKYIGVKSAYVSYDVQKRTIYLNITNTLNITNNNYYSVEVENITAQVQFSKTVIGKA
+RLNNITSIGPLDMKQIDYTVPTVIAEEMSYMFDFCTLLTIKVHNIVLMMQVTVTTTYFGH
+SEQISQERYQYVDCGRNTTYHLGQSEYLNVLQPQQ
+>sp|Q2KHT7|RS27_BOVIN 40S ribosomal protein S27 OS=Bos taurus OX=9913 GN=RPS27 PE=3 SV=3
+MPLAKDLLHPSPEEEKRKHKKKRLVQSPNSYFMDVKCPGCYKITTVFSHAQTVVLCVGCS
+TVLCQPTGGKARLTEGCSFRRKQH
+>sp|Q1JPD6|STPAP_BOVIN Speckle targeted PIP5K1A-regulated poly(A) polymerase OS=Bos taurus OX=9913 GN=TUT1 PE=2 SV=1
+MAAVDSDIEPLPRGGFRCCLCHITTANQPSLDAHLGGRKHRHLVELRATRKAQGLRSVFV
+SGFPRDVDSTQLSEYFQAFGPVASVVMDKDKGVFAIVEMGDLGAREAVLSQPQHSLGGRR
+LRVRPREQIEFQSPASRSPKRVAPDSHQLIKALAEAPDVEAQMVKLVGLRELSEAERQLR
+SLVVALMQEVFAEFFPGCVVHPFGSSINSFDVHGCDLDLFLDLGDLDEPQPAPKAPESPS
+LDSALASPLDPQALACTPASPPDSQPPASPQDSEALDFEAPSSSLAPRTPDSALASETLA
+SPRSLPPASPLQEDQGDGDQGKAVELAEALKGEKAEGGAMLELVGSILRGCVPGVYRVQT
+VPSARCPVVKFCHRPSGLHGDISLSNRLALHNSRFLSLCSELDGRVRPLVYTLRCWAQGR
+GLSGSGPLLNNYALTLLVIYFLQTRDPPVLPTVSQLTQKAGEQVEVDGWDCSFPRDASRL
+EPSTNKEPLSSLLAQFFSCVSCWDLRGSLLSLREGQALSVAGGLPSNLSEGLRLGPMNLQ
+DPFDLSHNVAANVTSRVAGRLQNCCRAAANYCRSLQYQRRSSRGRDWGLLPLLQPSSPSS
+ILSATPIPLPPASFTQLTAVLAQVLREALGCHIEQGTKRLRSEGGGPGEPPQGGTSKRAK
+LDGQKKSCEEGPEEQQGCAGEHGEDGVEEMVIEVGESVQDWVMRSPGQLGELPLMTGKHL
+ATREEGQSGTAALAKQGPRGPEAACEGSQAEAEKRVSLTVSWRCALWHRVWQGRRRARRR
+LQQQIKEGGGSGAGSGAEWLATEAQVTRELRGLSSTEQRPEAEPLLTFVASTSQADQSLT
+VTPLQDSQGLFPDLHHFLQVFLPQALRNLLK
+>sp|Q2HJF9|RSAD2_BOVIN Radical S-adenosyl methionine domain-containing protein 2 OS=Bos taurus OX=9913 GN=RSAD2 PE=2 SV=1
+MWMLVPIAFALKLLNVFLQPLGSVWSSLGPLFLQLRAASWLAGGERSRPLQDRREAGEPG
+RAEGEDGGDLPTTPTSVNYHFTRQCNYKCGFCFHTAKTSFVLPLEEAKKGLLMLKEAGME
+KINFSGGEPFLQDRGEYLGRLVKFCKQELQLPSVSIVSNGSLIRERWFQKYGEYLDILAI
+SCDSFDEQVNVLIGRGQGKKNHVENLQKLRTWCKEYRVAFKINSVINRFNVDEDMKEQIT
+ALNPVRWKVFQCLIIEGENSGEDALREAERFVISDEEFEAFLDRHKDVSCLVPESNQKMR
+DSYLILDEYMRFLNCRNGRKDPSKSILDVGVEEAIKFSGFDEKMFLKRGGKYVWSKADLK
+LDW
+>sp|Q2TA38|TEKT4_BOVIN Tektin-4 OS=Bos taurus OX=9913 GN=TEKT4 PE=2 SV=1
+MAQTDILLTKEPAPQTVPACQLPRKLYDVARNTGAHTSSGLATSGFRTAKYLLDEWFQNC
+YARYHQAFADRDQSERQRHESQQLAAETEALAQRTQQDSTRKVGERLQDMHGWKSELQRQ
+VEELVSETELLLAQKQRLERALDATAGPFSIVTDNLQCRERRQHPDLVRDCVEIELLKEA
+ELIRNIQELLKRTIKQAVSQIRLNWEHKETCEMDWSDKVEAYNIDEACCRYNNQSTDVQF
+YPHSAKFEESASTPETWAKFTQEHLYRAERERLASVNLRNLIDCILQDTSEDLRLQCDAV
+NLAFGRRCEELEDARHKLEHHLRKTLREISDQEHNIAALKQAIKDKEAPLKVAQTRLYQR
+SHRPNVELCRDAAQFRLASEVEELNLSLAALKEKLLEAEQSLRNLEDTRMSLEKDIAIKT
+NSLFIDRHKCMAHRAHYPTVLQLAGYQ
+>sp|A2VDR9|ZN750_BOVIN Zinc finger protein 750 OS=Bos taurus OX=9913 GN=ZNF750 PE=2 SV=1
+MSLLKERKPKKPHYIPRPPGKPFKYKCFQCPFTCNEKSHLFNHMKYGLCKNSITLVSEQD
+RVPKCSKPNSSDPKQTNQPDPVVKPTSSKPVPSGLSHLDAKLQHSLAKDDIKENLDLHAR
+GPHRCLGQKPTPHKEAAPPSPAPEAAVGTQPVLEGAVRPSAFVPVGEHRLKGQELTETPE
+ALALTHAPAKASSFHTKSAFHAPGYPWRAGSPFLTPEFPHKIPSTKGFGATSPYVHPSIT
+EYPPHFYTEHGLATIYSPYLLAGNSPECDSPLLSVYGAQDQRHFLPHPGPIPKHLNPAPS
+TYDHYRFFQQYHSSLPIPYGFYRPESAFSSYGLRLPPVAGISRDQSSHLLEEAALGYQAL
+SPSKLNSSNSHKKHTELEKQSPTPEAKEPSKDGQRDTEGTKMSPRAGSAATGSPGRPSPT
+NFTQTSQPCAGLCGLSDAPASSAPGRIPPPEQGLAAFKPIKKNTEHPHSQAPENRAVSPK
+SLEALSTDAPTQLGSLEAAPSSPEDGSRAAPLNLSTKPEAEPAATCSPAHGGFVEPQDAP
+LNLSVKDPCNALTSRPSVCSPPRGAEPAAAPTPSPTQQREPASSGDGPDPSSVEAPVPSP
+TGKAQDIRAADSDEQKQTAAVALCQLAAYSPGNVEPAAQEPTCRPDAPTPRAPESQEAQC
+DLRPKGQKRTSPREVGKGQQGSKKAKPSDTARVFTLRKRTRVS
+>sp|Q29RZ9|WDR92_BOVIN WD repeat-containing protein 92 OS=Bos taurus OX=9913 GN=WDR92 PE=2 SV=1
+MSAFEKPQIISHIQKSLSYTVFDCKWMPCSAKFVTMGNFARGTGVIQLYEIQQGDLKLLR
+EIEKAKPIKCGTFGATSLQQRYLATGDFAGNLHIWNLEAPEVPVYSVKGHKEIINTIDGV
+GGLGIGEGAPEIVTGSRDGTVKVWDPRQKDDPVANMEPVQGENKRDCWTVAFGNAYNQEE
+RVVCAGYDNGDIKLFDLRNMSLRWETNIKNGVCSLEFDRKDISMNKLVATSLEGKFHVFD
+MRTQHPTKGFASVSEKAHKSTVWQVRHLPQNREVFLTAGGAGSLHLWKYEYPIQRSKKDS
+EGVEMGVAGSVSLLQNVTLSTQPVSSLDWSPDKRGLCICSSFDQMVRVLIVTKLHKI
+>sp|A1A4I9|UFL1_BOVIN E3 UFM1-protein ligase 1 OS=Bos taurus OX=9913 GN=UFL1 PE=2 SV=1
+MADAWEEIRRLAADFQRAQFAEATQRLSERNCIEIVNKLIAQKQLEVVHTLDGKEYITPA
+QISKEMRDELHVRGGRVNIVDLQQAINVDLTHIENRIGDIVKSEKHVQLVLGQLIDENYL
+DRLAEEVNDKLQESGQVTIAELCKTYDLPGNFLTQALTQRLGRIINGHIDLDNRGVIFTE
+AFVSRHKARIRGLFSAITRPTAVNSLISRYGFQEQLLYSVLEELVNDGRLRGTVVGGRQD
+KAVFIPDIYSRTQSTWVDSFLRQNGYLEFDALSRLGIPDAMSYIKKRYKTTQLLFLKAAC
+VGQGLVDQVEASVEEAISSGTWVDIAPLLPSSLSVEDAAILLQHVMRALSKQASAVVFSD
+TIVVSEKFINDCTDLFSELMHQKAEKEMKNNPVHLITEEDLKQISILESINTSKKDKKDE
+RRRKATEGSGSVRGGGGSNAREYKIKKTKKKGRKDDDSDDESSHTGKKKPEITFMFQDEI
+EDFLRKHLQDAPEEFISELAEYLIKPLNKTYLEVVHSVYMSSTSSASGTGRKRTIKDLQE
+EVSNLYNNIRLFEKGMKFFTDDTQAALTKHLLKTVCTDITNLVFNFLASDLMMAVDDPAT
+ITSEVRKKILSKLSEETKVALTKLHNSLNEKSIEDFLACLDSAAEACDIMLKKGDKKRER
+QVLFQHRQALVEQLKVTEDPALTLHLTSVLLFQFSTHSMLHAPGRCVPQIIAFLSSKIPE
+DQHALLVKYQGLVVKHLVSQNKKTGQGEDPLSDELDKEQEDIINTTRKELQELSSSIKDL
+VLKSRKSSVTEE
+>sp|P39942|VATD_BOVIN V-type proton ATPase subunit D OS=Bos taurus OX=9913 GN=ATP6V1D PE=1 SV=1
+MSGKDRIEIFPSRMAQTIMKARLKGAQTGRNLLKKKSDALTLRFRQILKKIIETKMLMGE
+VMREAAFSLAEAKFTAGDFSTTVIQNVNKAQVKIRAKKDNVAGVTLPVFEHYHEGTDSYE
+LTGLARGGEQLAKLKRNYAKAVELLVELASLQTSFVTLDEAIKITNRRVNRIEHVIIPRI
+ERTLAYIITELDEREREEFYRLKKIQEKKKILKEKSDKDLEQRRAAGEVIEPANLLAEEK
+DEDLLFE
+>sp|A4IF98|RMI1_BOVIN RecQ-mediated genome instability protein 1 OS=Bos taurus OX=9913 GN=RMI1 PE=2 SV=1
+MSVTSIALRVETWLSATWHVKVPVTWLEACINWIQEENDHVNLSQAQMNKQVFEQWLLTD
+LRDLEHRLLPSGILETPKGELNGFFALQINSLVDVSQPAYAQIQKLRGKNTTNDLITAET
+QVTPKPWEAKPSRMLMLQLTDGIVQIQGMEYQSIPALHSDLPPGTKILIYGNISFRLGVL
+LLKPENVKMLGGEVDALLEEYAQEKVLARLIGEPDPIVSVIPNNSNQIIPRITDVLDPAL
+GPSDEELLASLDENDELAANNNTSLERSCFIGNSSNTVPIRQSDFETELVISPRPREKPR
+NQSMLFTDEELDDFSLEEALLLEEAVQKEQMETKELQLLTLNRTTDESIEKFSHRSNTLN
+NFSFICKNGNNNWSEKNLSEQMTSEDKSLSCPSTRDQNSSSLSVNHNVPLPHDFTNKGKS
+SETYKIKQISSSDGHSLNNKMFNGELVSNVPKRSSDVPNENEHHLQTCSLQLSENSTGLP
+ITMDLYSPPFIYLSVLMASKPKEVTTVKVKAFIVTLTGNLSSSGGIWSVRAKISDGTAYL
+DVDFVDEILTSLIGFSVSEMKRLKKDPCKYQKFLEGLQKCQRELIDLCCLMTISFNPSLS
+KAMVLALQDVNTDHLENLKRRLKK
+>sp|Q08DT6|RM47_BOVIN 39S ribosomal protein L47, mitochondrial OS=Bos taurus OX=9913 GN=MRPL47 PE=2 SV=1
+MAAASLAVFCRRVSAALKASTSLISSQTLASTGCRFSLSLLTKNTPNVTSFHQCRLLQTT
+LSRRGLEEFFDDPKNWGEEKVKSGASWTCQQLRNKSNEDLHKLWYVLLKERNMLLTLEQE
+AKRQRLPMPSPERLEKVVDSMDALDKVVQEREDALRLLQTGQEKPRPGAWRRDIFGRIIW
+HKFKQWPIPWYLNKRYNRKRFFAMPYVERFVRLRIEKQARIKARKISLTQKKEKFLQKKF
+PHLSEAQKSSAA
+>sp|A8PUI7|SKA3_BOVIN Spindle and kinetochore-associated protein 3 OS=Bos taurus OX=9913 GN=SKA3 PE=2 SV=2
+MDPIRGFCGKLRSLAVTLDSETARLQRALDGEDSDFEDCPMRVLHDLNSEVRILKDDVNI
+LLDKANLESQENIGFIKATKILMRKNSMDIMKIKEFFQKYGYTPRAKKNSVDEQEVTDSK
+PESSECERPEKPDLKDDLLDSAAASTSVSEKSPRSPQLSDFGLERYIVSQVLPNPPQAVN
+NQKEEPKILTPFSKHSLLKTPKCALKMDDFECVTPKLEHFGISEYTMCLNDDYTIGLKNV
+KTNSETIETEPVPNDNVFATPGLITQPLGKNNAEHTHSPLAPTFCTPGLKVPSTKTSTAM
+VSTNSSSNDLETKESTSLVSNSDECFENFADPSSPTISSYENLLKTPTPPEVTTIPEDIR
+QILSKYNSNLASPVAVRAVSPINVFLPRHGGQNMRDASNKENW
+>sp|Q3T084|TCPW_BOVIN T-complex protein 1 subunit zeta-2 OS=Bos taurus OX=9913 GN=CCT6B PE=2 SV=3
+MAAIKAINSKAEVARAQAALAVNICAARGLQDVLRTNLGPKGTMKMLVSGAGDVKLTKDG
+NVLLHEMQIQHPTASLIAKVATAQDDITGDGTTSNVLIIGELLKQADLYISEGLHPRIIA
+EGFEIAKIKALEVLEQVKIKKEMKREIHLDVARTSLQTKVHPQLADVLTEAVVDSVLAIR
+RPNYPIDLFMVEIMEMKHKSETDTKLIKGLVLDHGARHPDMKKRVDDAFILTCNVSLEYE
+KTEVSSGFFYKTAEEKEKLVKAERKFIEDRVQKIIDLKDKVCAQSNKGFVVINQKGIDPF
+SLDALAKHGILALRRAKRRNMERLSLACGGVAVNSVEDLSVDCLGHAGLVYEYTLGEEKY
+TFIEDCINPRSVTLLVKGPNKHTLTQIKDAVRDGLRAIKNAIEDGCVVPGAGAVEVVIAE
+ALVTYKHTIQGRARLGVQAFADALLIIPKVLAQNSGYDLQETLVKVQAEHSNSKQPVGID
+LNTGEPMVAADAGVWDNYCVKKQLLHSCTVIATNILLVDEIMRAGMSSLKG
+>sp|Q4JF29|TCAM1_BOVIN TIR domain-containing adapter molecule 1 OS=Bos taurus OX=9913 GN=TICAM1 PE=2 SV=2
+MACTGPSLSGAFDILGAAGQDKLLYLKHKLKTLRPGCRGAYLLHAMVLLKLGQETEARIS
+LEALKADAVAQLVARQWAGVDSTETPEEPPDLSWAVARVYHLLTEEKLCPATMREEAYRA
+ALRAFRSRDDLQLGELQEEARDRCGWDVLGDLGGVQTLRSDLGCLPPSSASLSRTRSDPR
+PIEHLSGWSTACSLRSTGSPASLGSNLEISQSPTMALLSVHHSHHGPSKLCDHPQASVVP
+EPAPMGCQEPEEMSWPPSVEAADSPVRPSSPGPGLPEVTTDACPASPHDPPEVPEISAHY
+PVECTDVPAAPKSLPSPSKNTCLVTDQTPVQLSEEDTAYLSAQPRPPTPSVPQTSPSFPS
+ASTSPFPSPSTPPEAHPTPSKAHPTPPKAHSTPPKAHPTSPKAHPTSPKAHPTVWNPEPP
+PPELESSEQKFYNFVVLHASADEHIALRVRERLEALGVHDGATFCEDFQVPGRGELHCLQ
+DALDHSAFIILLLTSNFDCRLSQHQTNQSLMSSLTRHGWQDCVIPFLPLESSLAQLSPST
+SSLLTGLVLLDEHSKIFARKVTNTFKPQMLRARKAKWRKEQDARALREQSQQLESERQHA
+AAWGAAYSAYVHSYLAYQTQVEKLQVALANYMPFGTQLPFGGQGSLGTPPSSFPTLPGHQ
+PPPLPPWLGGTPPPIFPQPPQTFPQPPPTFPQPPPTFQQPPPACPQPLDFSQAPPARPQS
+PGLQPLIIHHAQMVQLGVNNHMWNQRGTQAPEDNTRETE
+>sp|Q3MHL7|TCPZ_BOVIN T-complex protein 1 subunit zeta OS=Bos taurus OX=9913 GN=CCT6A PE=1 SV=3
+MAAVKTLNPKAEVARAQAALAVNISAARGLQDVLRTNLGPKGTMKMLVSGAGDIKLTKDG
+NVLLHEMQIQHPTASLIAKVATAQDDITGDGTTSNVLIIGELLKQADLYISEGLHPRIIT
+EGFEAAKEKALQFLEQVKVSKEMDRETLIDVARTSLRTKVHAELADVLTEAVVDSILAIK
+KQDEPIDLFMVEIMEMKHKSETDTSLIRGLVLDHGARHPDMKKRVEDAYILTCNVSLEYE
+KTEVNSGFFYKSAEEREKLVKAERKFIEDRVKKIIELKKKVCGDSDKGFVVINQKGIDPF
+SLDALAKEGIIALRRAKRRNMERLTLACGGIALNSLDDLNPDCLGHAGLVYEYTLGEEKF
+TFIEKCNNPRSVTLLIKGPNKHTLTQIKDAIRDGLRAVKNAIDDGCVVPGAGAVEVAMAE
+ALVKYKPSVKGRAQLGVQAFADALLIIPKVLAQNSGFDLQETLVKVQAEHSESGQLVGVD
+LNTGEPMVAAEAGIWDNYCVKKQLLHSCTVIATNILLVDEIMRAGMSSLKG
+>sp|Q9TTA5|SMAL1_BOVIN SWI/SNF-related matrix-associated actin-dependent regulator of chromatin subfamily A-like protein 1 OS=Bos taurus OX=9913 GN=SMARCAL1 PE=2 SV=2
+MSISPLKCPCLLQRSRGKIEANRQKALARRAEKLLAEQHQKPAQSKQGPSQNLPRDPSKS
+GSHGIFFKQQNPSSSSHGDQRPQNPHSFSPNTSEQAKGMWQRPEEMPTACPSYRPPNQVT
+VAGISPPLANSPPGVPSQQLWGCELGQGHPQASLETQSTPFANTTHEPLAKVKNFQETAA
+SSCGQPPRDPELEARMARPSTSGQNISGSVMPRTEGRLQQKAGTPLHRVVGSQQGRCIRN
+GERFQVKIGYNEALIAVFKSLPSRSYDPATKTWNFSMTDYGPLMKAAQRLPGITLQPLEG
+AEGHMESPSTSSGIIAKTGLPAAPSLAFVKGQCVLISRARFEADISYSEDLIALFKQMDS
+RKYDVKTRKWSFLLEEYSKLMERVRGLPQVQLDPLPKTLTLFRAQLQKTSLSPVADIPEA
+DLSRVDSKLVSSLLPFQRAGVNFAIAQRGRLLLADDMGLGKTIQAICIAAYYRKEWPLLV
+VVPSSVRFTWEQAFCRWLPSLNPLDINVVVTGKDRLTDGLVNIVSFDLLSKLEKQLKPPF
+KVVIIDESHFLKNIKTARCRAAMPLLKVAKRVILLSGTPAMSRPAELYTQILAVRPTFFP
+QFHAFGLRYCGAKRQPWGWDYSGSSNLGELKLLLEEAVMLRRLKGDVLSQLPAKQARWWW
+SPQARSTPGPEPPWMPPPRMTTKDKTKQQQKEALILFFNRTAEAKIPSIIEYILDLLESG
+REKFLVFAHHKVVLDAITKELERKRVQHIRIDGSTSSADRETSASSFSCPRALRGVLSIT
+AANMGLTFSSADLVVFGELFWNPGVLMQAEDRVHRIGQLSSVSIHYLVARGTADDYLWPL
+IQEKIKVLGEAGLSETNFSEMTEATDYFSKDSKQQKIYNLFQKSFEEDGNDMELLEAAES
+FDPGSQDTGDKLDESTLTGSPVKKKRFEFFDNWDSFTSPL
+>sp|Q1JQC6|SIR4_BOVIN NAD-dependent protein lipoamidase sirtuin-4, mitochondrial OS=Bos taurus OX=9913 GN=SIRT4 PE=2 SV=1
+MRMSFGLTFKRTAKVHWRANFSQQCSLRSTGLFVPPSPPLDPEKVKELQRFITLSKRLLV
+MTGAGISTESGIPDYRSEKVGLYARTDRRPIQHGDFVRSAPVRQRYWARNFVGWPQFSSR
+QPNPAHWALSNWERLGKLHWLVTQNVDALHTKAGSQRLTELHGCMHRVLCLDCGEQTPRG
+VLQERFQVLNPTWSAEAHGLAPDGDVFLTEEEVQSFQVPSCSRCGGPLKPDVVFFGDTVK
+PDKVDFVHKRVKEADSLLVVGSSLQVYSGYRFILTAREKKLPIVILNIGPTRSDDLASLK
+LDSRCGELLPLIDPR
+>sp|A5D7B7|SEPR_BOVIN Prolyl endopeptidase FAP OS=Bos taurus OX=9913 GN=FAP PE=1 SV=1
+MKTWLKIVFGVATSAVLALLVMCIVLRPSRVHNSEESTTRALTLKDILNGTFSYKTFFPN
+WISGQEYLHQSTDNNVVFYNIETGESYTILSNTTMKSVNASNYGLSPDRQFAYLESDYSK
+LWRYSYTATYHIYDLTNGEFIRRNELPRPIQYLCWSPVGSKLAYVYQNNIYLKQRPEDPP
+FQITYNGKENKIFNGIPDWVYEEEMLATKYALWWSPNGKFLAYAEFNDTEIPVIAYSYYG
+DEQYPRTINIPYPKAGAKNPVVRIFIIDATYPEHIGPREVPVPAMIASSDYYFSWLTWVT
+DDRICLQWLKRIQNVSVLSTCDFREDWQTWNCPKTQEHIEESRTGWAGGFFVSTPVFSHD
+TISYYKIFSDKDGYKHIHYIRDTVENAIQITSGKWEAINIFRVTQDSLFYSSNEFEGYPG
+RRNIYRISIGSHSPSKKCITCHLRKKRCQYYTASFSDYAKYYALVCYGPGLPISTLHDGR
+TDQEIKILEDNKELENALKNIQLPKEEIKKLKVDDITLWYKMILPPQFDKSKKYPLLIQV
+YGGPCSQSVRSIFAVSWISYLASKEGIVIALVDGRGTAFQGDKLLYAVYRKLGVYEVEDQ
+ITAVRKFIEMGFIDEKRIAIWGWSYGGYVSSLALASGTGLFKCGIAVAPVSSWEYYASIY
+TERFMGLPTKDDNLKHYKNSTVMARAEYFRNVDYLLIHGTADDNVHFQNSAQIAKALVNA
+QVDFQAMWYSDQNHGLSGLSTKHLYTHMTHFLKQCFSLSD
+>sp|Q32LM0|SHLB1_BOVIN Endophilin-B1 OS=Bos taurus OX=9913 GN=SH3GLB1 PE=2 SV=1
+MNIMDFNVKKLAADAGTFLSRAVQFTEEKLGQAEKTELDAHLENLLSKAECTKIWTEKIM
+KQTEVLLQPNPNARIEEFVYEKLDRKAPSRINNPELLGQYMIDAGTEFGPGTAYGNALIK
+CGETQKRIGTADRELIQTSALNFLTPLRNFIEGDYKTIAKERKLLQNKRLDLDAAKTRLK
+KAKAAETRASSEQELRITQSEFDRQAEITRLLLEGISSTHAHHLRCLNDFVEAQMTYYAQ
+CYQYMLDLQKQLGSFPSNYHSNNNQTAVAPVPSASSNVIGSSALTSTSSLVITSPSNLTD
+LKECGGSRRARVLYDYDAANSTELSLLADEVITVFSVVGMDSDWLMGERGNQKGRVPITY
+LELLN
+>sp|Q2T9Q7|TCF23_BOVIN Transcription factor 23 OS=Bos taurus OX=9913 GN=TCF23 PE=2 SV=1
+MSQREARGARAMPGLGQSPAKATPRLLAGTERKRSRLSRRGQDLWEESSWSNQRWSRTAP
+NPRGARARSLARGRSEASPENAARERSRVRTLRQAFLALQATLPAVPPDTKLSKLDVLVL
+ATSYIAHLTRTLGQEMPGPSWPPFLRGLRYLHPLKKWPMRSRLYAGGLGCSGLDSTTASN
+SGQRTKEAEAGPHVSGEPDALLSTRQLSPAPSDK
+>sp|A6H769|RS7_BOVIN 40S ribosomal protein S7 OS=Bos taurus OX=9913 GN=RPS7 PE=2 SV=1
+MFSSSAKIVKPNGEKPDEFESGISQALLELEMNSDLKAQLRELNITAAKEIEVGGGRKAI
+IIFVPVPQLKSFQKIQVRLVRELEKKFSGKHVVFIAQRRILPKPTRKSRTKNKQKRPRSR
+TLTAVHDAILEDLVFPSEIVGKRIRVKLDGSRLIKVHLDKAQQNNVEHKVETFSGVYKKL
+TGKDVNFEFPEFQL
+>sp|Q0VC16|TGO1_BOVIN Transport and Golgi organization protein 1 homolog OS=Bos taurus OX=9913 GN=MIA3 PE=2 SV=2
+MAAAQGLLFWLLLLGPPCRVPGQPEQDPGRRFSQFKLCADEECCMLMYRGEALEDFTGPD
+CRFVNFKKGDTVYVYYKLAGGSPEVWAGSVGHTFGYFPKDLIQVVHEYTQEELQVPTDET
+DFVCFDGGRDDFDNYNVEDLLGFLELYDSATEDSEKVKEKTAQRVEEPPEASNESDAEPE
+PGEPNSEESESVLSENTAELRERSEAQKSHPQVNSQTGHAQGERTSFESFGEMLQDKLKV
+PDSENNKTSNSSQVSHEQEKIDAYKLLKTEMTLDLKTKFGSTADALVSDDETTRLVTSLE
+DDFVEDLDPEYYTVGKEEEENKEDFDELPLLTFTDGEDTKSPGHSGIEKHPTEKEQNSNK
+EHKVEETQPPGIKKGDKEIPKHREDTVFSDVMEGEENTDTDLESSDSKEEDDPLVMDSRL
+GKPRPEDHTDPEKAADHLVNVEVPKADSDDDPEVGAGLHMKDKGRKVEEPRRDWVQHEVG
+LEDETQEDQAVQGSSQSGHLRSSPAAEKSTETLKSAFANQENDLKGAAVHISKEMLHEEK
+PSGRSLEGASKSDSVPQAKAAGNQGEEGKTEREPVGVAAPSGDHQPNASKDSVDEVDGSI
+SGPKPHVLSGEHPSAELIKDRLLKLQNQTRFSSPDDMGLPGDLEKKRPILERKLSWQQGG
+VAAAVNKQVSEKRELPEEEVTRVTKDASDEGQEVRKTGQTDSIEGRGFRPKEPNPEDEDY
+SPEELLEDENAVSAKQSKERSPEIQDKRLDVDLQNPEKPVSGAIKTDPETEKNKEETRHV
+SENERKNETAGKAVDSLGRDAGGPVVEKEGSSPVHQKVQRPSEGSDVPGKKQNQTPELGE
+ASQKKDPDYLKEDNHEGHPKTSGLMEKPGVEPSKEDDEHAEKFVDPGSRGSASEDPDDDP
+FPWAPHAPVQPEESVHLEDLPIISSFFKDQQSLQRFQKYFDIHKLEAMFQDMSSKLKSAQ
+RESLPYNVEKVLDKVFRAWESHILTEAENMLDARVTENRDLETKDSSVFEEAAVLDDVQD
+LIYFVRYKHSTVEETAPPAAAQPVEGGWDGPAEDTQPPLEENFPQEHMEVPLMQIPKEPG
+HLAQPVTRDMGTSGVAQKPQTEEDGDPGIITPQGTPVDADDAQKQLAANTEEPASVTPLE
+NAIAFIYSLVFHLTKTLLATLPDDVQPGPDFYGLPWKPVLITASLGIVSFAVFFWRTVLA
+VKSRVYQVTEQQISEKLKNIMKENAELVQKLSSYEQKIKESKKHVQETKKQNMILSDEAI
+KFKDKIKSLEETNEILGDTAKSLRAMLESEREQNAKNQDLISENKKSIEKLKDVISVNAS
+EFSEVQIALNEAKLSEEKVKSECHRVQEENARLKKKKEQLQQEIKDWSKSHAELSEQIRS
+FEKSQKDLEVALTHKDDNINALTNCITQLNRLDCESESEDQNKGGSESDELANGEVGGDR
+SEKVKNQIKQMMDVSRTQTAISVVEEDLKLLQCKLRASMSTKCNLEDQIKKLEEDRSSLQ
+SAKTVLEDECKTLRQKVEILNELYQQKEMALQKKLSQEEYERQEREQRLSAADEKAVLAA
+EEVKTYKRRIEEMEDELQKTERSFKNQIATHEKKAHDNWLKARAAERAIAEEKREAANLR
+HKLLELTQKMAMMQEEPVIVKPMPGRPNTQNPPRRGPLSQNGSFGPSPVSGGECSPPLTA
+DPPARPLSATLNRREMPRSEFGSVDGPLPRPRWASEASGKPSASDPESGAAPTVNSSSRS
+SSPSKVMDEGKVSMAAKGPPPFPGTPLMSSPVGGPLLPPIRYGPPPQLCGPFGPRPLPPP
+FGPGMRPPLGLREYAPGVPPGKRDLPLDPREFLPPGHAPFRPLGSLGPREYFFPGTRLPP
+PNHGPQDYPPSSAARDLPPSGSRDEPPPASQGASQDCSPALKQSP
+>sp|Q0P5G1|TONSL_BOVIN Tonsoku-like protein OS=Bos taurus OX=9913 GN=TONSL PE=2 SV=1
+MSLERELRQLSKAKTKAQRSGQLREEASVCHQLGELLASHGCYAEALREHQQELQLLETT
+DDPLGCAVAHRKIGERLAEMEDYSAALQHQHRYLELACALSNHVEQQRAWATIGRTHLDI
+YDHHQSQDALQQAQDAFEKSLAILDEKLQGSLPKRELSEMRTRIYLNLGLTCESLQQVAL
+CSAYFKKSIFLAEQNHLYEDLFRARYNLGAIHWRRGQHSQAMRCLEGARECARVLKQAFL
+ESECCLLLSQVLLDLGDFLAAKRALKKAYRLGSQKPLQKASVCRTLKYVLAVVQLQQRLE
+ESEESDPEVAMGICEQLGDLFSKAGDFPKAAAAYQKQLRFAELLSRPGPELAVIHVSLAA
+TLGDMKDHRQAVHHYEAELKLQEGNPLEEAKTWLNIALSREEAGDAYEVLALCFQKALGC
+AQLAGQPQLQRQILQHLHAVQLRLQPQEAPSTETRLQELKAAGDEDEGDGEDEEDEEDDD
+ALEATELELSESENEADASPPLEEDEELRGCLGRQRVNKWSRRNDVGETLLHRACIEGQL
+GRVQDLVRQGHPLNPRDYCGWTPLHEACNYGHLDIVRFLLDHGAAVDDPGGQGCDGITPL
+HDALNCGHFEVAELLIERGASVTLRTRKGHNPLETLQQWVKLYGKDLDSETQEKAAAMGR
+LLQAASLGRAPHSSQAPQTLPSNHLFDPETSPPSSPCPGTPEVCEASTRVSQGLAVSTVA
+RPRRSRHKVASSSSSEGEDSAGPSQPTQKRPRHASPSLQTKAPMPGPASDREAATTSTSW
+AAYREAIRGVGSAQTCRLGPSPLRGPSEIPIPQAALIPQEECLAGDWLEEDFPMSPGHRG
+RCPARPQSSGDGGRHRASGPGSDTARRPRAQARQSRLPYLESWSTPVRADRANSQATEPA
+RSPDVPRVVAPTGENPTTGHLPGQVLPPPIRVRVRVQDNLFLIPVPHREAHSVAWLAEQA
+AQRHYQASGLLPRLSLQKEGALLAPQDPIPDVLQSNEEVLAEVTSWDLPPLRDRYRRACQ
+TLEQGEHQQVLQAVEHQGSAPTFSACSLALRQAQLTPLLRALKLHSALRELRLAGNRLGD
+GCVAELLATLDTVPGLTLLDLSSNHLGPEGLRQLAAGLLGQTTLQNLEELDLSMNPLGDG
+CGQALASILRACPVLCTLHLQACGFGPGFFLSHQVALGSAFQDTKCLKTLSLSYNGLGPT
+ALGPVLGSLPAHSLLRLELSSVVTGKSDVGLTDPVVHYLSQEGCVLEHLSLSANHLGDKD
+VRALSRCLPLCPSLVSLDLSANPEVSSAGLEELLSTLQKRPQGLSFLGLSGCAVQGPLGL
+DLWDKVVAQLQELQLCTRRLSAEDRNALHQLLPSQLGPKVCTLDQGPKLFFRHL
+>sp|A4IFQ0|THOC5_BOVIN THO complex subunit 5 homolog OS=Bos taurus OX=9913 GN=THOC5 PE=2 SV=1
+MSSESSKKRKPKVIRSDGAPAEGKRTRSDTEQEGKYYSEEAEVDLRDPGRDYELYKYTCQ
+ELQRLMAEIQDLKSRGGKDAAVEIEDRRIQSCVHFMTLKKLNRLAHIRLKKGRDQTHEAK
+QKVDAYHLQLQNLLYEVMHLQKEITKCLEFKSKHEEIDLVSLEEFYKEAPPDISKAEVTM
+GDPHQQTLARLDWELEQRKRLAEKYRECLSNKEKILKEIEVKKEYLSSLQPRLNSNMQAS
+LPVQEYLFMPFDQAHKQYETARHLPPPLYVLFVQATAYGQACDKMLSVAIEGSVDEAKAL
+FKPPEDSQDDESDSDAEEEQTTKRRRPTLGVQLDDKRKEMLKRHPLSVLLDLKCKDDSVL
+HLTFYYLMNLNIMTVKARVTTATELITPISAGDLLSPDSVLSCLYPGDHGKKTPNPANQY
+QFDKVGILTLRDYVLDLGHPYLWVQKLGGLHFPKEQPQHTVITDHSLSASHMETTMKLLK
+TRVQSRLALHKQFASLEHGIVPVTSDCHYLFPAKVVSRLVKWVTIAHEDYTELHFTKDIV
+EAGLAGDTNLYYMALVERGTAKLQAAVVLNPGYSSIPPIFQLCLNWKGEKTNNNDDNIRA
+MESEVNVCYKELCGPRPGHQLLTNQLQRLCVLLDVYLETESHDDSVEGPKEFPQEKMCLR
+LFRGPSRIKPFKYNHPQGFFSHR
+>sp|Q3ZBK5|TP8L2_BOVIN Tumor necrosis factor alpha-induced protein 8-like protein 2 OS=Bos taurus OX=9913 GN=TNFAIP8L2 PE=2 SV=1
+MESFSSKSLVLQAEKKLLSKMAGRSVAHLFADETSSEVLDELYRVSKEYTRSRPQAQRVI
+KDLIKVAVKVAVLHRSGCFNPSELALATRFRQKLRQGAMTALSFGEVDFTFEAAVLASLL
+TECRDVLLELVERHLTPKSHGRIRHVFDHFSDAGLLTALYGPDYTQHLGKICGGLRKLLD
+EGKL
+>sp|Q6GV17|TLR10_BOVIN Toll-like receptor 10 OS=Bos taurus OX=9913 GN=TLR10 PE=2 SV=2
+MRYIRSIYIFCSIVTSVRSGASELPEERELTTNFSSMSLTKVPEGLTPITTTLDLSYNLL
+FQLQHSDFRSLSKLKVLILCHNRIQELDIKTFEFNKELSYLDVSNNRLKSVTWFSLAGLR
+HLDLSFNDFDTLPISVETGNMSHLETLGLSGAKIQKSDFQKIAHLQLNTVLLGLRTLSHY
+EEGSLPILNTTRLHIVLPVNTNFWVLLHDGIKTSKILEVINIDLQKSQFTSYESQQIPIL
+ENAKTSILLLNKVDLSWDDLFLIFQLVWHTSVEYFQIQHVTFGGKVYLDHNSFDYSNTVM
+RTIKLEHVHFRIFNIPQESIYLLFTKMDIENLTISDAQMPHMLFPMYPTRFQYLNFANNI
+LTDDVFKKSIQLPHLKTLILKDNKLETLSLVSHFASNTSLRHLDLSENLLQHENDENCLW
+PETLVTMNLSFNKFADSVFGCLPRNIQILDLNSNKIQTVPKAITHLTSLRELNLAFNFLT
+DLPGCSHFRRLLVLNVEMNLILSSSLDFFQSCQEVKTLNAGRNPFRCTCELRDFIQLGKY
+SEGMMVGWSDSYICEYPLNLKGTQLKDVHLPEISCNTGLLIVTIVVVMLVLGMAVAFCCL
+HFDLPWYLRMLHQWTQTWLRVRKTTQEQLKRSVQFHVFISYSEHDSAWVKYELIPSLEKE
+DGSVLICLHEGNSDPGKSMTEDTINCIEKSYKSIFVLSPSFVQTEWCHYEPYFAHHNLFH
+ESLDYIILILLEPIPLYCIPTRYPELKALMEKKAYLEWPKDRRKCGLFWANLRAALHVNL
+LDTRGTCELQTFTELNEGFGGSAISLIRTDCL
+>sp|P82931|RT06_BOVIN 28S ribosomal protein S6, mitochondrial OS=Bos taurus OX=9913 GN=MRPS6 PE=1 SV=4
+MPRYELALILKAMQRPETAAALKRTLEALMDRGAVVRSLENLGERTLPYKMSAHSQRHTR
+GGYFLVDFYAPTTTVASIMEHLSRDIDVIRPNVVKHPLTQEVKECEGIVPVPLEEKLYST
+KKRK
+>sp|Q32L78|TPC6B_BOVIN Trafficking protein particle complex subunit 6B OS=Bos taurus OX=9913 GN=TRAPPC6B PE=2 SV=1
+MADEALFLLLHNEMVSGVYKSAEQGEVENGRCITKLENMGFRVGQGLIERFTKDTARFKD
+ELDIMKFICKDFWTTVFKKQIDNLRTNHQGIYVLQDNKFRLLTQMSAGKQYLEHASKYLA
+FTCGLIRGGLSNLGIKSIVTAEVSSMPACKFQVMIQKL
+>sp|P06526|TDT_BOVIN DNA nucleotidylexotransferase OS=Bos taurus OX=9913 GN=DNTT PE=1 SV=2
+MDPLCTASSGPRKKRPRQVGASMASPPHDIKFQNLVLFILEKKMGTTRRNFLMELARRKG
+FRVENELSDSVTHIVAENNSGSEVLEWLQVQNIRASSQLELLDVSWLIESMGAGKPVEIT
+GKHQLVVRTDYSATPNPGFQKTPPLAVKKISQYACQRKTTLNNYNHIFTDAFEILAENSE
+FKENEVSYVTFMRAASVLKSLPFTIISMKDTEGIPCLGDKVKCIIEEIIEDGESSEVKAV
+LNDERYQSFKLFTSVFGVGLKTSEKWFRMGFRSLSKIMSDKTLKFTKMQKAGFLYYEDLV
+SCVTRAEAEAVGVLVKEAVWAFLPDAFVTMTGGFRRGKKIGHDVDFLITSPGSAEDEEQL
+LPKVINLWEKKGLLLYYDLVESTFEKFKLPSRQVDTLDHFQKCFLILKLHHQRVDSSKSN
+QQEGKTWKAIRVDLVMCPYENRAFALLGWTGSRQFERDIRRYATHERKMMLDNHALYDKT
+KRVFLKAESEEEIFAHLGLDYIEPWERNA
+>sp|A6QR20|SLF1_BOVIN SMC5-SMC6 complex localization factor protein 1 OS=Bos taurus OX=9913 GN=SLF1 PE=2 SV=2
+MEDDAPKHIIQMTGFKVEEKEALGKLLLKLDCTFIKSEKYKNCTHLIAERLCKSEKFLAA
+CAAGKWVLTKDYIIHSAQSGRWLDETTYEWGYKIEKDSHYSPQMQSAPKRWREELKRTGA
+PGAFHKWKVVLLVRADKRSDSLVRVLEAGKANVILPKNSPTGITHVIASNARIKAEQEKD
+DFKAPFYPIQYLEDFLLEKEIHNDEDSQTNSTWKNHSSQEKSNDFRENMGFLEMKGTLKE
+TMCRTQKEMKNHDEDVTISSILTEHQSKERFRDSRKNLKFVKMRNALGRHTYRNQEMKKK
+DEDIQSIYTLRKKRKKEKERDSRKDIEHDRSTLRKHIYRDQKERKNSVFAGHAKESKTKD
+IRTNVDIVDLKNALRKHIYRAQAVRYRGIRIDKQPAYNVEVKNAEFPRGILNLIESLIEG
+QFFKEAIEELSSLQAHYIPPVYLLHALLENILQDNIDTFSGRYFHILSALLHLHPPWKSP
+AMSTYYLELFQCPTCMKGTWSLIEVLIRSCLFNESFCHQISENIIGSKVLHLTLLKFFFN
+LIESEVRHLSQKLYDWSDSQSLKITGKAVLLEIFWSGNETSGLLTKPVNMLLEWTIYSHK
+EKCKSNDVFRHELAYLLTGILGAAIDYWIVLGLNMGRNVMRHMSDDVGSYVSLSCDDFSS
+QDLEIFISSFSSSWLQMFVAEAVFKKLCLQNSISISSEPLSLQKMVYSYLPALGKTGVRG
+TRKMQKPKKIGLRPCFESQRALIMLNGAKQKQGEGLPEIPELNLAKCSSSLKRLKKKSEG
+ELSCSKENCPSLVTKINFHKTNLKGETALHRACINNQVDRLILLLSMPGIDINVKDNAGW
+TPLHEACNYGNTVCVQEILQRCPEVDLLTQVDGVTPLHDALSNGHVEIGKLLLQHGGPVL
+LQQRNSKGELPLDYVVSSQIKEELFAITKIEDTVENFHAQAEKHFYHQQLEFGSFLLSRM
+LLNFCSIFGLSSESLAFKGLTHLSELLIACQNYKETTSVHTDWLLDLYARNIMTLQKLPN
+ALKELPENVKVCPGVHTEALLVTLEVMCRSVTEIS
+>sp|Q3SZF8|SMD2_BOVIN Small nuclear ribonucleoprotein Sm D2 OS=Bos taurus OX=9913 GN=SNRPD2 PE=3 SV=1
+MSLLNKPKSEMTPEELQKREEEEFNTGPLSVLTQSVKNNTQVLINCRNNKKLLGRVKAFD
+RHCNMVLENVKEMWTEVPKSGKGKKKSKPVNKDRYISKMFLRGDSVIVVLRNPLIAGK
+>sp|P61420|VA0D1_BOVIN V-type proton ATPase subunit d 1 OS=Bos taurus OX=9913 GN=ATP6V0D1 PE=1 SV=1
+MSFFPELYFNVDNGYLEGLVRGLKAGVLSQADYLNLVQCETLEDLKLHLQSTDYGNFLAN
+EASPLTVSVIDDRLKEKMVVEFRHMRNHAYEPLASFLDFITYSYMIDNVILLITGTLHQR
+SIAELVPKCHPLGSFEQMEAVNIAQTPAELYNAILVDTPLAAFFQDCISEQDLDEMNIEI
+IRNTLYKAYLESFYKFCTLLGGTTADAMCPILEFEADRRAFIITINSFGTELSKEDRAKL
+FPHCGRLYPEGLAQLARADDYEQVKNVADYYPEYKLLFEGAGSNPGDKTLEDRFFEHEVK
+LNKLAFLNQFHFGVFYAFVKLKEQECRNIVWIAECIAQRHRAKIDNYIPIF
+>sp|A7E3S5|WDR4_BOVIN tRNA (guanine-N(7)-)-methyltransferase non-catalytic subunit WDR4 OS=Bos taurus OX=9913 GN=WDR4 PE=2 SV=1
+MASSAGLALCGHALVVRGGSRLLATSTSSSDGDSLFIYDCSAAEKKSQENKGEDGQPVDQ
+GSDTVLASTFSKSGSYFVLTDDSKRLILFRTNPWQCLSVRTVVRRCTALTFTASEEKILV
+ADKSGDVYSFSVLEPHGGGRLELGHLSMLLDVAVSPDDRFVLTADRDEKIRVSWAAAPHS
+IESFCLGHTEFVSRIFVVPNHPELLLSSSGDCTLRLWEYRSGRELHCCPLTSLQEPTEPW
+SDKRFAVSRITYWSQEDCVALSCDGLPVVYIFQLDAAQRQLVPRQLLTFQHRVWDAAFEE
+GHGLWVLQDCREDPLVLYRPVGGQWQSAPESAELRRVCAHVRVNWAMLEGCAGVDSGFSS
+LYKATCDNMTTYLKKKEERLQQQLEKKRRQAPPPGPNGPTKKMRAGELAQGCSS
+>sp|E1BP92|VRTN_BOVIN Vertnin OS=Bos taurus OX=9913 GN=VRTN PE=3 SV=1
+MTSREQLVQHVLQELQEAVESEGLEGLVGAALEAKQVLSSFALPTRRGGGPGPQVLEVDS
+VALSLYPEDAPRNMLPLVCQGEGSLLFEAASLLLWGDAGLSLELRARTVVEMLLHRHYYL
+QGMIDSKVMLQAVRYSLRSEESPEMTSLPSATLEAIFDADVKATCFPSSFSNVWHLYALA
+SVVQRNIYSIYPLRNLKIRPYFNRVIRPRRCDHTPATLHIMWAGQPLSGHLFRHQYFAPV
+VGLEEVEAESAHPGPAPLPPPAKTLELLNREPGLSYSHLGEHSSVTKSTFYRWRRQSQEH
+RQKVATRFSAKHFLQDSFHRGGVVPLQQFLQRFPEISRSTYYAWKHELVGSGACQALTPT
+EELTKLPERQVAEGLGCSSTAASSPGVVFMQRAKLYLEHCIALNTLVPYRCFKRRFPGIS
+RSTYYNWRRKALRRNPSFKPVPALLESGPPQPVPVGEKALLPWKGGEVGEGAAKATGGGP
+PAPRAFLPLRVPLSRWQRRLRRAARKQVLGGHLPFCRFRLRYPSLSPSSFWVWKSLARSW
+PGSLSKLHIPAPTLGRGSRKEAEEKEAGRNVIAAVAPPEGTWPMAASPGQDPNGQVLVMD
+MLATTKFKAQAKLFLQKRFQSKSFPSYKEFSTLFPLTARSTYYMWKRALYDGLTLVDG
+>sp|Q3SYZ6|XYLB_BOVIN Xylulose kinase OS=Bos taurus OX=9913 GN=XYLB PE=2 SV=1
+MAERAARHCCLGWDFSTQQVKVVAVDAELSVFYEDSVHFDRDLVEFGTQGGVHVHKDGLT
+VTSPVLMWVQALDIILEKMKASGFDFSQVLALSGAGQQHGSVYWKTGASQVLTSLSPDLP
+LREQLQACFSISNCPVWMDSSTAAQCRQLEAAVGGAQALSLLTGSRAYERFTGNQIAKIY
+QQNPEAYSHTERISLVSSFAASLFLGSYSPVDYSDGSGMNLLQIQDKVWSQACLGACAPR
+LEEKLGRPVPSCSIVGAISSYFVQRYGFPPECKVVAFTGDNPASLAGMRLEEGDIAVSLG
+TSDTLFLWLQEPTPALEGHIFCNPVDPQHYMALLCFKNGSLMREKIRDESASGSWSKFSK
+ALQSTGMGNSGNLGFYFDVMEITPEIIGRHRFTAENHEVSAFPQDVEIRALIEGQFMAKK
+IHAEALGYRVMPKTKILATGGASHNRDILQVLADVFGAPVYVIDTANSACVGSAYRAFHG
+PSLLCLVSIY
+>sp|Q32P97|UXT_BOVIN Protein UXT OS=Bos taurus OX=9913 GN=UXT PE=2 SV=1
+MATPPKRRAVEATAEKVLRYEAFISDVLQRDLQKVLDHRDKVYEQLAKYLQLRNVIERLQ
+EANHSELYMQVDLGCNFFVDTVVPDTSRIYVALGYGFFLELTLAEALKFIDRKSSLLTEL
+SDNLTKDSMNIKAHIHMLLEGLRELQGLQNFPETQH
+>sp|Q2TA39|ZMAT5_BOVIN Zinc finger matrin-type protein 5 OS=Bos taurus OX=9913 GN=ZMAT5 PE=2 SV=1
+MGKRYFCDYCDRSFQDNLHNRKKHLNGLQHLKAKKLWYDMFRDAAAILLDEQNKRPCRKF
+LLTGQCDFGSNCRFSHMSERDLQELSVQVEEERRAREWPLDVAELPEVCLEDWLEKRAKR
+LSSAPSSRAEPVRATVFQYPVGWPPVQELPPSLRAPPPGGWPLQPSVQWG
+>sp|A5D962|PHF23_BOVIN PHD finger protein 23 OS=Bos taurus OX=9913 GN=PHF23 PE=2 SV=1
+MLEAMAEPSPEDPPPTLKPETQPPEKRRRTIEDFNKFCSFVLAYAGYIPPSKEESDWPAS
+GSSSPLRGESAADSDGWDSAPSDLRTIQTFVKKAKSSKRRAAQAGPTQPGPPRSTFPRLQ
+APDSATLLEKMKLKDSLFDIDGPKMASPLSPTSLTHASRPPAALTPVPLSQGDLSQPPRK
+KDRKNRKLGPGGATGFGVLRRPRPAPGDGEKRSRIKKSKKRKLKKAERGDRLPPPGPPRA
+PPSDTDSEEEEEEEEEEEEMAAMVGGEAPAPVLPTPEAPRPPATVHPEGAPPTDGESKEV
+GSTETSQDGDASSSEGEMRVMDEDIMVESGDDSWDLITCYCRKPFAGRPMIECSLCGTWI
+HLSCAKIKKTNVPDFFYCQKCKELRPEARRLGGPPKSGEP
+>sp|P03902|NU4LM_BOVIN NADH-ubiquinone oxidoreductase chain 4L OS=Bos taurus OX=9913 GN=MT-ND4L PE=1 SV=1
+MSMVYMNIMMAFTVSLVGLLMYRSHLMSSLLCLEGMMLSLFVMAALTILNSHFTLASMMP
+IILLVFAACEAALGLSLLVMVSNTYGTDYVQNLNLLQC
+>sp|Q58DH2|PISD_BOVIN Phosphatidylserine decarboxylase proenzyme, mitochondrial OS=Bos taurus OX=9913 GN=PISD PE=2 SV=1
+MPGKSTRPLPAPRPCCAPCPFWSPRLAGMQGTGSTRSTGSESWRSWAWTSRPSLLVTGRL
+HFPQLALRRRLGQLSCMSKPALKLRSWPLTVLYYLLPLGALRPLSRVGWRPVSRVALYKS
+VPTRLLSRAWGRLNQVELPHWLRRPVYSLYIWTFGVNMKEAAVEDLHHYRNLSEFFRRKL
+KPQARPVCGLHSVISPSDGKILNFGQVKNCEVEQVKGVTYSLESFLGPRTPSEDLPFPPA
+TPHSSFRSQLVTREGNELYHCVIYLAPGDYHCFHSPTDWTVSHRRHFPGSLMSVNPGMAR
+WIKELFCHNERVVLTGDWKHGFFSLTAVGATNVGSIRIYFDRDLHTNSPRYSKGSYNDFS
+FVTHANKEGIPMRKGEHLGEFNLGSTIVLIFEAPKDFNFRLQAGQKIRFGEALGSL
+>sp|P79114|MYO10_BOVIN Unconventional myosin-X OS=Bos taurus OX=9913 GN=MYO10 PE=1 SV=1
+MDNFFPEGTRVWLRENGQHFPSTVNSCAEGVVVFQTDYGQVFTYKQSTITHQKVMPMQPT
+DEEGVDDMATLTELHGGAIMHNLYQRYKRNQIYTYIGSIIASVNPYKTITGLYSRDAVDR
+YSRCHLGELPPHVFAIANECYRCLWKRHDNQCVLISGESGAGKTESTKLILKFLSAISQQ
+SVDLSSKEKTSSVEQAILESSPIMEAFGNAKTVYNNNSSRFGKFVQLNIGQKGNIQGGRI
+VDYLLEKNRVVRQNPGERNYHIFYALLAGLGHEEREEFYLSVPENYHYLNQSGCVTDRTI
+SDQESFREVIMAMEVMQFSKEEVREVLRLLAGILHLGNIEFITAGGAQVSFKTALGRSAE
+LLGLDPAQLTDALTQRSMFLRGEEILTPLNVQQAADSRDSLAMALYARCFEWVIKKINSR
+IKGKDDFKSIGILDIFGFENFEVNHFEQFNINYANEKLQEYFNKHIFSLEQLEYSREGLV
+WEDIDWIDNGECLDLIEKKLGLLALINEESHFPQATDSTLLEKLHNQHANNHFYVKPRVA
+VNNFGVKHYAGEVQYDVRGILEKNRDTFRDDLLNLLRESRFDFIYDLFEHVSSRNNQDTL
+KCGSKHRRPTVSSQFKDSLHSLMATLSASNPFFVRCIKPNMQKMPDQFDQAVVVNQLRYS
+GMLETVRIRKAGYAVRRPFQDFYKRYKVLMRNVALPEDIRGKCTALLQLYDASNSEWQLG
+KTKVFLRESLEQKLEKRQEEEVTRAAMVIRAHVLGYLARKQYKKVLDCVVIIQKNYRAFL
+LRRRFLHLKKAAVVFQKQLRGQIARRVYRQLLAEKRAEEEKRKREEEEKRKREEEERERE
+RERREAELRAQQEEAARKQRELEALQQESQRAAELSRELEKQKENKQVEEILRLEKEIED
+LQRMKERQELSLTEASLQKLQQLRDEELRRLEDEACRAAQEFLESLNFDEIDECVRNIER
+SLSVGSGCTGEQGAGAEKPSFNFSQPYPEEEEVDEGFEADDDAFKDSPNPSEHGHSDQRT
+SGIRTSDESSEEDPYMNDTVVPTSPSADSTVLLAPSEHDSSAGEPTYCLPQTPGALPAPE
+GDYDYDQDDYEDGAITSGSSVTFSNSCSSQWSPDYRCSVGTYNSSGAYRFSSEGAQSSFE
+DSEEDFDSRFDTDDELSYRRDSVYSCVTLPYFHSFLYMKGGLMNSWKRRWCVLKDETFLW
+FRSKQEALKQGWLHKKGGGSSTLSRRNWKKRWFVLRQAKLMYFENDSEEKLKGTVEVRAA
+KEIIDNTSKENGIDIIMADRTFHLIAESPEDASQWFSVLSQVHASTDQEIREMHDEQANP
+QNAVGTLDVGLIDSVCASDSPDRPNSFVIITANRVLHCNADTPEEMHHWITLLQRSKGDT
+RVEGQEFIVRGWLHKEVKNSPKMSSLKLKKRWFVLTHNSLDYYKSSEKNALKLGTLVLNS
+LCSVVPPDEKIFKETGYWNVTVYGRKHCYRLYTKLLNEATRWSSAIQNVTDTKAPIDTPT
+QQLIQDIKENCLNSDVVEQIYKRNPILRHTHHPLHSPLLPLPYGDINLNLLKDKGYTTLQ
+DEAIKIFNSLQQLESMSDPIPIIQGILQTGHDLRPLRDELYCQLIKQTNKVPHPGSVGNL
+CSWQILTCLSCTFLPSRGILKYLKFHLRRIREQFPGTEMEKYALFIYESLKKTKCREFVP
+SRDEIEALIHRQEMTSTVHCHGGGSCKITVNSHTTAGEVVEKLIRGLAMEDSRNMFALFE
+YNGHVDKAIESRTIVADVLAKFEKLAATSEVGEQPWKFYFKLYCFLDTDNVPKDSVEFAF
+MFEQAHEAVIHGHYPAPEENLQVLAALRLQYLQGDYAPHAPVPPLEEVYSLQRLKARISQ
+STKSFTPGERLEKRRTSFLEGTLRRSFRTGSAIRQKAEEEQMVDMWVKEEVCSARASILD
+KWKKFQGMSQEQAMAKYMALIKEWPGYGSTLFDVECKEGGFPQDLWLGVSADAVSVYKRG
+EGRPLEVFQYEHILSFGAPLANTYKIVVDERELLFETSEVVDVAKLMKAYISMIVKKRYS
+TSRSVSSQGSSR
+>sp|A6QLY7|PBIP1_BOVIN Pre-B-cell leukemia transcription factor-interacting protein 1 OS=Bos taurus OX=9913 GN=PBXIP1 PE=2 SV=1
+MASCPDSDNSWVLAGSESLPVETLGPESGNDPESERAPRAPQSPSRAAAEESAGTLDGGE
+TVSQNESSKSGPILSEEAEAKQGVLEGDDPGVESPGPGDTEAQGDLEETPEVVGLEPDSQ
+DLEDQSPHRSLPSSPKTAWIREEAHHSSSDDDTDVDVEGLRRRRGREPGTPQPAATLGVE
+DQVQGEGAGGQLGISLNMCLLGSLVLLGLGVLLFGGLSESESGPLEEVDLQVLPDVESDT
+EMLEAVGDGQDGLQQLQTSEVLDSVPSLQNMALLLDKLAKENQDIRLLQAQLQAQKEELQ
+SLMRQPKGLEEENARLRGALQQGEASQRALESELQQLRAQLQGLEADCVQGADGLCLQWG
+RGPQAGQVTKEQGPTGQEPSPGFLEQKKQLEAEAQALRQELERQRRLLGSVQQDLERSLK
+EAGRGDPARAGLAELGHRLAQKLRGLENWGQHPGVPANVSEAWHQKPHFQNSREPSGKEK
+WWDRQGDWKTEHWKHKKEASGREKSWRGEEDRELVGRRKEGKPRVEEWAGKKDGKQQRSK
+EPPRKSGRPHPSGERQKHPRWKEGAKDRHDPLPLWAELSRHKYQAPQGCSGVHECARQEG
+LAFFGIELAPVRQQELASLLRTYLARLPWAGPLTEELPLSPAYFGEDGIFRHDRLRFRDF
+VDALEDQLEEVAVRQTGDDDAVDDFEDFIFSHFFGDKALKKRSGKKDKHLQNRVVGPREE
+HSPHRQG
+>sp|E1BE10|PLD6_BOVIN Mitochondrial cardiolipin hydrolase OS=Bos taurus OX=9913 GN=PLD6 PE=3 SV=1
+MRPLRWQVAVVAAAGLALALETLPAVLRWLWVRRRRPRREVLFFPSQVTCTEALLRSPGA
+TPSGCPCSLPHGESSLSRLLSALLAARVSLELCLFAFSSPQLGRAVQLLHQRGVRVRVVT
+DCDYMALNGSQIGLLRKAGIQVRHDQDLGYMHHKFAIVDRKVLITGSLNWTTQAIQNNRE
+NVLIVEDEEYVRLFLEEFERIWEEFNPTRFSFFPQKERAR
+>sp|Q5E9Z7|RPC4_BOVIN DNA-directed RNA polymerase III subunit RPC4 OS=Bos taurus OX=9913 GN=POLR3D PE=2 SV=1
+MSEGNAAGEPSAPGGPRPLLSGARGLIGRRPAPPLTPGRLPSIRSRDLTLGGVKKKTFTP
+NIISRKIKEEPKEEVTVKKEKRERDRDRQRDSHGRGRGRPEVIQSHSIFEQGPAEMMKKK
+GNWDKTVDMSDVGPSHIINIKKEKRETDEETKQILRMLEKDDFIDDPGLRNDIRNMPVQL
+PLAHSGWLFKEENEETDVKPRLAGPKEEDMEVDMPAVKVKEEPRDEDEEAKMKAPLRAAR
+KIPGLPKDVSVAELLRELSLTQEEELLFLQLPDSLPGQPPTQDIKPIKTEVQSEDGQMVV
+IKQEKDREARLAENTCTLADLTEGQVGKLLIRKSGKVQLLLGKVTLDVTMGTTCSFLQEL
+VSVGLGDSRTGDMTVLGHIKHKLVCSPNFESLLDHRHR
+>sp|Q3SZ59|RL36A_BOVIN 60S ribosomal protein L36a OS=Bos taurus OX=9913 GN=RPL36A PE=3 SV=3
+MVNVPKTRRTFCKKCGKHQPHKVTQYKKGKDSLYAQGKRRYDRKQSGYGGQTKPIFRKKA
+KTTKKIVLRLECVEPNCRSKRMLAIKRCKHFELGGDKKRKGQVIQF
+>sp|Q95KE5|RM43_BOVIN 39S ribosomal protein L43, mitochondrial OS=Bos taurus OX=9913 GN=MRPL43 PE=2 SV=2
+MTARGTASRFLTSVLHNGLGRYVQQLQRLSFSLSRDAPSSRGAREFVEREVTDFARRNPG
+VVIYVNPRPCCVPRVVAEYLNGAVREESLNCKSVEEIATLVQKLADQSGLDVIRIRKPFH
+TDSPSIQGQWHPFTNKPTALGGLRPREVQNPAPTQRPAQ
+>sp|A7Z019|SMCA4_BOVIN Transcription activator BRG1 OS=Bos taurus OX=9913 GN=SMARCA4 PE=2 SV=1
+MSTPDPALGGTPRPGPSPGPGPSPGAMLGPSPGPSPGSAHSIMGPSPGPPSAGHPIPTQG
+PGGYPQDNMHQMHKPMESMHEKGMSDDPRYTQMKGMGMRSGGHAGMGPPPSPMDQHSQGY
+PSPLGGSEHASSPVPASGPSSGPQMSSGPGGAPLDGADPQALGQQNRGPTPFNQNQLHQL
+RAQIMAYKMLARGQPLPDHLQMAVQGKRPMPGMQPQMPALPPPSVSATGPGPSPGPAPPN
+YSRPHGMGGPNMPPPGPSGVPPGMPGQPPGGPPKPWPEGPMANAAAPTSTPQKLIPPQPT
+GRPSPAPPAVPPAASPVMPPQTQSPGQPAQPAPMVPLHQKQSRITPIQKPRGLDPVEILQ
+EREYRLQARIAHRIQELENLPGSLAGDLRTKATIELKALRLLNFQRQLRQEVVVCMRRDT
+ALETALNAKAYKRSKRQSLREARITEKLEKQQKIEQERKRRQKHQEYLNSILQHAKDFKE
+YHRSVTGKIQKLTKAVATYHANTEREQKKENERIEKERMRRLMAEDEEGYRKLIDQKKDK
+RLAYLLQQTDEYVANLTELVRQHKAAQVAKEKKKKKKKKKAENAEGQTPAIGPDGEPLDE
+TSQMSDLPVKVIHVESGKILTGTDAPKAGQLEAWLEMNPGYEVAPRSDSEESGSEEEEEE
+EEEEQPQPAQPPTLPVEEKKKIPDPDSDDVSEVDARHIIENAKQDVDDEYGVSQALARGL
+QSYYAVAHAVTERVDKQSALMVNGVLKQYQIKGLEWLVSLYNNNLNGILADEMGLGKTIQ
+TIALITYLMEHKRINGPFLIIVPLSTLSNWAYEFDKWAPSVVKVSYKGSPAARRAFVPQL
+RSGKFNVLLTTYEYIIKDKHILAKIRWKYMIVDEGHRMKNHHCKLTQVLNTHYVAPRRLL
+LTGTPLQNKLPELWALLNFLLPTIFKSCSTFEQWFNAPFAMTGEKVDLNEEETILIIRRL
+HKVLRPFLLRRLKKEVEAQLPEKVEYVIKCDMSALQRVLYRHMQAKGVLLTDGSEKDKKG
+KGGTKTLMNTIMQLRKICNHPYMFQHIEESFSEHLGFTGGIVQGLDLYRASGKFELLDRI
+LPKLRATNHKVLLFCQMTSLMTIMEDYFAYRGFKYLRLDGTTKAEDRGMLLKTFNEPGSE
+YFIFLLSTRAGGLGLNLQSADTVIIFDSDWNPHQDLQAQDRAHRIGQQNEVRVLRLCTVN
+SVEEKILAAAKYKLNVDQKVIQAGMFDQKSSSHERRAFLQAILEHEEQDEEEDEVPDDET
+VNQMIARHEEEFDLFMRMDLDRRREEARNPKRKPRLMEEDELPSWIIKDDAEVERLTCEE
+EEEKMFGRGSRHRKEVDYSDSLTEKQWLKAIEEGTLEEIEEEVRQKKSSRKRKRDSDAGP
+STPTTSTRSRDKDDESKKQKKRGRPPAEKLSPNPPNLTKKMKKIVDAVIKYKDSSSGRQL
+SEVFIQLPSRKELPEYYELIRKPVDFKKIKERIRNHKYRSLNDLEKDVMLLCQNAQTFNL
+EGSLIYEDSIVLQSVFTSVRQKIEKEDDSEGEESEEEEEGEEEGSESESRSVKVKIKLGR
+KEKAQDRLKGGRRRPSRGSRAKPVVSDDDSEEEQEEDRSGSGSEED
+>sp|A8E4N3|RSPH3_BOVIN Radial spoke head protein 3 homolog OS=Bos taurus OX=9913 GN=RSPH3 PE=2 SV=1
+MARSEARRQAREKRPRAVPEERALRERRQPRPRREPLESGAGDHRRPPQASAGAAGHASF
+MRRLVDQEDAGGQSQEPEVLGRTGNLPRKPASRNSPEAPPLDGTLGCWATGAGAAGGFGG
+AQNRACVAPTSCPGNLPARPLPFLPPLLASRNPCPWHYVHLSGSHDTLVPTCFEAKLHQK
+GSGPTPSATSTLAERASPAMATYTYTSRPRALPCQRRRYRDDLMQQPEEPVHYGNIMYDR
+RVIRGNTYALQSVPLPGQPDPVEIQRQQQARRRAFARKQAQEQLRPRTPEPVEGRKHVDV
+QTELYLEEIADRIVEVDMECQTDAFLDKPPTPLFIPAKTGKDVATEILEGELFDFDLEVK
+PMLEVLVGKTIEQSLLEVMEEEELANLRASQYAYEELRNIELAEVQRLEEQERRHREEKE
+RRKQQQWQVVHKHNETSQKIAARAFAQRYLADLLPSVFDSLRDGGYFYDPVERDIEIGFL
+PWLMNEVDKTMESSMVGRTVLDMLIREVVERRLNLYEQKEGRHASVRPENGLGGPGGTRE
+PLVGFESQDQGASQAQRPLPDRDSLQRTPYDARYAERVSSQERRLAEENDELTEMRKSSK
+REELSQ
+>sp|A6QQU6|TM144_BOVIN Transmembrane protein 144 OS=Bos taurus OX=9913 GN=TMEM144 PE=2 SV=1
+MSSNATDLTTGFIASIVAIVLFGSNFVPLKKYETGDGMFLQWVLCAAIWLVALVVNLILH
+CPKFWPFAMVGGCIWATGNIAVVPIIKTIGLGLGILIWGSFNALTGWASSRFGWFGMDAE
+EVAKPVLNYIGAGLSVVSAFIFLFIKSEIPNNTYSVDTTPLISEHVINKTQDPDPDCSWV
+DKLSTAQSHLVGCSLAVISGILYGSTFVPIIYIKDHSKRNDSIYAGASQNDLDYIFAHFS
+GIFLTSTVYFLAYCVAMKNNPKLHPEAVLPGFLSGVLWAIATCCWFIANRSLSAVVSFPI
+ITAGPGFIATMWGVFMFKEIQGRKNYLLMMLAFCIILTGALCTAFSKI
+>sp|Q32PH2|TM143_BOVIN Transmembrane protein 143 OS=Bos taurus OX=9913 GN=TMEM143 PE=2 SV=1
+MLHVTRGVWGSIVRVWPLLPSFLGHSRALSSLEAKMGEYRKMWNPTEPRDWAQQYRERHI
+PFSKEQLLRLLIQEFHSTPAEKAALEEFTAHVDFCTLFHYHHVLTQLQALYDPINPDRET
+LDQPSLTDPQRLSNEKEVLQALEPLLAQANFSPLTEDTLAYALVVHHPQDEVQVTINLDQ
+YIYMHFWALGQRVGKMPRKSSVGSKRFFFKSPPAERRYFKRVILAARTKKGHLVLKSFKD
+TPLEGLEQLLPELKVRTSTLQRAILNVTLIVSGVVFFVNVGMVVLSDLKMATSLLLLLFA
+AFMGLRAAKMFGHRRSAQALELAHMLYYRSTSNNAELLSALVLRAQDEHTKETLLAHSFL
+ARRPGGAKGPPEETSQWLQSEVENWLLAQSGCDVAFNGKRALAHLQALPPTVGMYPPPGL
+PKLDLLATLSSPKSAPSDDNSLEKPLGPAQPSHLVGN
+>sp|P63292|SLIB_BOVIN Somatoliberin OS=Bos taurus OX=9913 GN=GHRH PE=1 SV=1
+MLLWVFFLVTLTLSSGSHGSLPSQPLRIPRYADAIFTNSYRKVLGQLSARKLLQDIMNRQ
+QGERNQEQGAKVRLGRQVDGVWTDQQQMALESTLVSLLQERRNSQG
+>sp|P83107|SSLP1_BOVIN Secreted seminal-vesicle Ly-6 protein 1 OS=Bos taurus OX=9913 GN=SSLP1 PE=2 SV=1
+MAKCLLLLLLVVLSSLLGLPQALECFQCNRVNASGVCETGGSTCQTQGSQQCFLRRIFEN
+GTLSYGHQGCSQLCIPMKLFNPSVIVEYKCCHDSPLCNKF
+>sp|O18789|RS2_BOVIN 40S ribosomal protein S2 OS=Bos taurus OX=9913 GN=RPS2 PE=2 SV=2
+MADDAGAAGGPGGPGGPGMGGRGGFRGGFGSGVRGRGRGRGRGRGRGRGARGGKAEDKEW
+LPVTKLGRLVKDMKIKSLEEIYLFSLPIKESEIIDFFLGASLKDEVLKIMPVQKQTRAGQ
+RTRFKAFVAIGDYNGHVGLGVKCSKEVATAIRGAIILAKLSIVPVRRGYWGNKIGKPHTV
+PCKVTGRCGSVLVRLIPAPRGTGIVSAPVPKKLLMMAGIDDCYTSARGCTATLGNFAKAT
+FDAISKTYSYLTPDLWKETVFTKSPYQEFTDHLVKTHTRVSVQRTQAPAVATT
+>sp|Q3ZBK1|WDR89_BOVIN WD repeat-containing protein 89 OS=Bos taurus OX=9913 GN=WDR89 PE=2 SV=1
+MEKIEEQFANLNIVKRSSETKEPTYLLGIDTSKTVQTEKGSLVAVLCSNGSIRIHDKERL
+NVIREFRGYPGLNGVKFANSHDSVYSSCTDGTVKCWDARLASGKPVQLFKGYPSNIFISF
+DISSNDHVICAGTEKVDDDALLVFWDARINSQDLSTTKEPLGAYSETHSDDITQVRFHPS
+NPNMVVSGSTDGLVNVFDISADNEDDALVTTCNSVSSVSFIGWSGKDYKQIYCMTHDEGF
+CWWDLNHLDTDEPITCLNVPDVREVINVKEGILDYLIGGLYHEKTDKLFVVGGTNTGIIR
+IMNCMTSGLVHVTSLQGGHAATVRSFCWNMQDDSLLTGGEDAQLLLWKPGAVEKTFTKKD
+SMKIASSVHQRVRVHSNDSYKRRKKQ
+>sp|Q32KW2|UBXN1_BOVIN UBX domain-containing protein 1 OS=Bos taurus OX=9913 GN=UBXN1 PE=2 SV=1
+MAELTALESLIEMGFPKGRAEKALALTGNQGIEAAMDWLMEHEDDPDVDEPLATPLGHVL
+GREPTPSEQGGPKGPGSAVGEGKPVLTEEERQEQTKRMLELVAQKQREREEREEREALER
+ERQRRRQGQELTAARQRLQEDEMRRAAEERRREKAEELEARQRVREKIERDKAERAKKYG
+GNVGSQPSPPATEPGPVPSSPSREPPTKREYDQCRIQVRLPDGTSLTQTFRAREQLAAVR
+LYVELHRGEELGGAQDPVQLLSGFPRRAFSEADMERPLQELGLVPSAVLIVAKKCPG
+>sp|A6QR55|UBP4_BOVIN Ubiquitin carboxyl-terminal hydrolase 4 OS=Bos taurus OX=9913 GN=USP4 PE=2 SV=1
+MAEGGGYRERPDAETQKSELGALMRTTLQRGAQWYLIDSRWFKQWKKYVGFDSWDMYNVG
+EHNLYPGPIDNSGLFSDPESQTLKEHLIDELDYVLVPAEAWNKLLNWYGCVEGQQPIVRK
+VVEHGLFVKHCKVEVYLLELKLCENSDPTNVLSCHFSKADTIATIEKEMRKLFNIPAERE
+TRLWNKYMSNTYEQLSKLDNTVQDAGLYQGQVLVIEPQNEDGTWPRQTQQSKSSTAPSRN
+FTTSPKSSASPYSSVSASPIANGDSTNTSGMHSSGVSRGGSGFSASYNCQESPLTHVQPG
+LCGLGNLGNTCFMNSALQCLSNTAPLTDYFLKDEYEAEINRDNPLGMKGEIAEAYAELIK
+QMWSGRDAHVAPRMFKTQVGRFAPQFSGYQQQDSQELLAFLLDGLHEDLNRVKKKPYLEL
+KDANGRPDAVVAKEAWENHRLRNDSVIVDTFHGLFKSTLVCPECAKVSVTFDPFCYLTLP
+LPLKKDRVMEIFLVPADPRCRPTQYRVVVPLMGAVSDLCEALSKLSGIAAENMVVTDVYN
+HRFHKIFQMDEGLNHIMPRDDIFVYEVCSTSPDGSECVTLPVYFRERKSRPSSTSTGAVL
+YGQPLLVSVPKHKLTLESLYQAVCERISRYIKQPLPDESGSSPLELGACNGSRSGCAGED
+EEEMEHQEEGREQLSETEGSGDDEPGSDHGEATQKKNKGRPCPRRLFTFSLVNSYGTADI
+NSLATDGKLLKLNSRSTLAIDWDSETRSCYYNEQESETYEKHVSMLQPQKKKKTAVALRD
+CIELFTTMETLGEHDPWYCPNCKKHQQATKKFDLWSLPKILVVHLKRFSYNRYWRDKLDT
+VVEFPVRGLNMSEFVCDPSARPYVYDLIAVSNHYGAMGVGHYTAYAKNKLNGKWYYFDDS
+NVSLACEDQIVTKAAYVLFYQRRDDEFHKTPSLSFPGSSDGGARPSSSQQGTGDDETYSM
+DTN
+>sp|Q32LB0|WDR70_BOVIN WD repeat-containing protein 70 OS=Bos taurus OX=9913 GN=WDR70 PE=2 SV=1
+MERPGPSDGSDASGPDPQLAVTMGFTGFGKKARTFDLEAMFEQTRRTAVERSRKTLEARE
+REEEMNREKELRRQNEDLEPTSSGSNVARACSKSSSRDTSSSESDESSDSSDDELIGPPL
+PLKMVEEPVNPMEEGVLGPLPPPLAEDVEEEDDDDGDSEEEENPVRKIPDSHEITIKHGT
+KTVSALGLDPSGARLVTGGYDYDVKFWDFAGMDASFKAFRSLQPCECHQIKSLQYSNTGD
+MILVVSGSSQAKVIDRDGFEVMECIKGDQYIVDMANTKGHTAMLHTGSWHPKIKGEFMTC
+SNDATVRTWEVENPKKQKSVFKPRTMQGKKVIPTTCTYSRDGSLIAAACQNGSIQIWDRN
+LTVHPKFHYKQAHDPGTDTSCVTFSYDGTVLASRGGDDTLKLWDIRQFNKPLFSASGLPT
+MFPMTDCCFSPDDKLIVTGTSVQRGCGSGKLVFFERRTFQRVYEIDITDASVVRCLWHPK
+LNQIMVGTGNGLAKVYYDPNKSQRGAKLCVVKTQRKAKQAETLTQDYIITPHALPMFREP
+RQRSTRKQLEKDRLDPLKSHKPEPPVAGPGRGGRVGTHGGTLSSYIVKNIALDKTDDSNP
+REAILRHAKAAEDNPYWVSPAYSKTQPKTMFAQVESDDEETKNEPEWKKRKI
+>sp|Q08DS0|KLH21_BOVIN Kelch-like protein 21 OS=Bos taurus OX=9913 GN=KLHL21 PE=2 SV=1
+MERPAPLAVLPFSDPAHALSLLRGLSQLRAERKFLDVTLEAAGGRDFPAHRAVLAAASPY
+FRAMFAGQLRESRAERVRLHGVPPDMLQLLLDFSYTGRVAVSGDNAEPLLRAADLLQFPA
+VKEACGAFLQQQLDLTNCLDMQDFAEAFSCAGLASAAQRFILRHVGELGAEQLERLPLAR
+LLRYLRDDGLCVPKEEAAYQLALRWVRADPPRRAAHWPQLLESVRLPFVRRFYLLAHVEA
+EPLVARCPPCLRLLREARDFQAARYDRHDRGPCPRMRPRPSTGLAEILVLVGGCDQDCDE
+LVTVDCYNPQTGQWRYLAEFPDHLGGGYSIVALGNDIYVTGGSDGSRLYDCVWRYNSSVN
+EWTEVAPMLKAREYHSSSVLDGLLYVVAADSTERYDHTTDSWEALQPMTYPMDNCSTTAC
+RGRLYAIGSLAGKETMVMQCYHPDMDLWSLVDCGQLPPWSFAPKTVTLNGLMYFIRDDSA
+EVDVYNPTKNEWDKIPSMNQVHVGGSLAVLGGKLYVSGGYDNTFELSDVVEAYDPETRAW
+SVVGRLPEPTFWHGSVSIFRQFMPQTPLGGRGFELDGGSSDMDVGQPRPPQNPAELH
+>sp|P05126|KPCB_BOVIN Protein kinase C beta type OS=Bos taurus OX=9913 GN=PRKCB PE=2 SV=4
+MADPAAGPPPSEGEESTVRFARKGALRQKNVHEVKNHKFTARFFKQPTFCSHCTDFIWGF
+GKQGFQCQVCCFVVHKRCHEFVTFSCPGADKGPASDDPRSKHKFKIHTYSSPTFCDHCGS
+LLYGLIHQGMKCDTCMMNVHKRCVMNVPSLCGTDHTERRGRIYIQAHIEREVLIVVVRDA
+KNLVPMDPNGLSDPYVKLKLIPDPKSESKQKTKTIKCSLNPEWNETFRFQLKESDKDRRL
+SVEIWDWDLTSRNDFMGSLSFGISELQKAGVDGWFKLLSQEEGEYFNVPVPPEGSEGNEE
+LRQKFERAKIGPGPKTPEEKTTNTISKFDNNGNRDRMKLTDFNFLMVLGKGSFGKVMLSE
+RKGTDELYAVKILKKDVVIQDDDVECTMVEKRVLALPGKPPFLTQLHSCFQTMDRLYFVM
+EYVNGGDLMYHIQQVGRFKEPHAVFYAAEIAIGLFFLQSKGIIYRDLKLDNVMLDSEGHI
+KIADFGMCKENIWDGVTTKTFCGTPDYIAPEIIAYQPYGKSVDWWAFGVLLYEMLAGQAP
+FEGEDEDELFQSIMEHNVAYPKSMSKEAVAICKGLMTKHPGKRLGCGPEGERDIKEHAFF
+RYIDWEKLERKEIQPPYKPKARDKRDTSNFDKEFTRQPVELTPTDKLFIMNLDQNEFAGF
+SYTNPEFVINV
+>sp|Q3SZM9|NAGK_BOVIN N-acetyl-D-glucosamine kinase OS=Bos taurus OX=9913 GN=NAGK PE=2 SV=1
+MVTLYGGVEGGGTRSKVLLLSEDGQILAEADGLSTNHWLIGTDKCVERINEMVNRAKRKA
+GVDPLVPLRGLGLSLSGGDQEDAVRMLMEELRDRFPYLSESYLITTDAAGSIATATPDGG
+VVLISGTGSNCRLINPDGSESGCGGWGHMMGDEGSAYWIAHQAVKIVFDSIDNLEAAPHD
+IGYVKQAMFNYFQVPDRLGILTHLYRDFDKSRFAGFCRKVAEGAQQGDPLSRCIFRKAGE
+MLGRHVVAVLPEIDPVLFQGEMGLPILCVGSVWKSWELLKEGFLLALTQGREIQAQNSFS
+GFTLLKLRHSSALGAASLGAKHIGHLLPMDYSTSAIAFYSYTFS
+>sp|Q3ZBG0|PSA7_BOVIN Proteasome subunit alpha type-7 OS=Bos taurus OX=9913 GN=PSMA7 PE=1 SV=1
+MSYDRAITVFSPDGHLFQVEYAQEAVKKGSTAVGVRGKDIVVLGVEKKSVAKLQDERTVR
+KICALDDNVCMAFAGLTADARIVINRARVECQSHRLTVEDPVTVEYITRYIASLKQRYTQ
+SNGRRPFGISALIVGFDFDGTPRLYQTDPSGTYHAWKANAIGRGAKSVREFLEKNYTDEA
+IETDDLTIKLVIKALLEVVQSGGKNIELAVMRRDQPLKILNPEEIEKYVAEIEKEKEENE
+KKKQKKAS
+>sp|A6QNM3|ORC2_BOVIN Origin recognition complex subunit 2 OS=Bos taurus OX=9913 GN=ORC2 PE=2 SV=2
+MTSTLELKADKMLKVQFVGDDDVLNHILDREGGPKIKKERAQLLVNTQKIIKKPENELEE
+DDQEVLKDHNYVEVLGQDVQESLKNGSATDGGNKVYPFQNRKHPEKMAKLASELAKTPRK
+SVLLNMKNDPKITIDIPQCSKGHSSSDKSQLKNNDKSEFLSTAPRGLRKRLIVPRSHSDS
+ESEYSASNSEDDEGVAQEYEEDTNEDILSQKIQAQNREVSAPVFRETPSKKMKREKTSHL
+VEKYFEAHSSSKVLTSDRTLQKLKRAKLDQQTLHNLLSKVSPSFSAELKQLNQQHEQLFH
+KWMLQLHLGFNIVLYGLGSKRDLLERFRTTMLQDCIHIVINGFFPGISVKSVLNSVTEVL
+DHVGTFRSVLDQLEWITNKFKEDSSLELFLLIHNLDSQMLRGDKSQQIIGQLSSLRNIYL
+IASIDHLNAPLMWDHAKQSLYNWLWYETTTYSHYTEETSYENSLLVKQSGSLPLSSLIHV
+LRSLTPNARGIFRLLIKYQLDNQDNPSYIGLCFQDFYQQCREAFLVNSDLTLRAQLTEFR
+DHKLIRTKKGTDGVEYLLIPVDNGILTDFLENEEEEL
+>sp|A6QQ24|PIGL_BOVIN N-acetylglucosaminyl-phosphatidylinositol de-N-acetylase OS=Bos taurus OX=9913 GN=PIGL PE=2 SV=1
+MEVAAPLLCLAAAVLVWGVLWVWGSWERMTRPEQAGLPGGGSRTLLVTAHPDDEAMFFAP
+TILGLARLRHQLFLLCFSAGNYYNQGEIRKKELLQSCDVLGIPPSNVMIIENRDFPDDPD
+VRWDPDRAADVLLQHVEANGIKLVVTFDEGGVSGHSNHVALNAAVRTLQAEGKLPKGCSV
+LTLQSVNLLRKYLCLLDLPCSLLLARDALFVLTQREAAQAQRAMSCHRSQLLWFRRLYML
+FSRYMRINSLNFL
+>sp|A6QQJ3|PERI_BOVIN Peripherin OS=Bos taurus OX=9913 GN=PRPH PE=2 SV=1
+MSHPSGLRSSVSSTSYRRTFGPPPSLSPGAFSYSSSSRFSSSRLLGSASPGSSVRLGSFR
+GPRAGTGALLRLPSERLDFSMAEALNQEFLATRSNEKQELQELNDRFANFIEKVRFLEQQ
+NAALRGELNQARGQEPARADQLCQQELRELRRELELLGRERDRVQVERDGLAEDLAALKQ
+RLEEETRKREDAEHNLVLFRKDVDDATLSRLELERKIESLMDEIEFLKKLHEEELRDLQL
+SVESQQVQHVEVEATVKPELTAALRDIRAQYESIAAKNLQEAEEWYKSKYADLSDAANRN
+HEALRQAKQEMNESRRQIQSLTCEVDGLRGTNEALLRQLRELEEQFALEAGGYQAGAARL
+EEELRQLKEEMARHLREYQELLNVKMALDIEIATYRKLLEGEESRISVPVHSFASLSIKT
+TVPEVEPPQETHSRKMVLIRTIETRDGEQVVTESQKEQHSELDKSPQSY
+>sp|Q3MI03|OGFD1_BOVIN Prolyl 3-hydroxylase OGFOD1 OS=Bos taurus OX=9913 GN=OGFOD1 PE=2 SV=2
+MNGKRPAEPGSDRAGKKVKKEVMAKFSDAVTEETLKKQVAEAWSRRTPFRHEAIVMDMDP
+FLHCVIPNFIQSQNFLEGLQKELLNLDFHEKYNDLYKFQQSDDLKKRREPHICALRKILF
+EHFRSWISDISKIDLESTIDMSCAKYEFSDALLCHDDELEGRRIAFILYLVPPWDASLGG
+TLDLFSVDEHFQPKQIVKSLIPSWNTLVFFEVSPVSFHQVSEVLSEEKSRLSISGWFHGP
+SLTRPPTYFEPLIARSPHIPQDHEILYDWINPTYLDMEYQAQIQEEFEESSEILLKEFLQ
+PEKFAEVCEALERGRVEWSSRGPPNKRFYEKAEESQLPDILRDCMALFRSEAMFLLLSNF
+TGLKLHFLAPSEDEPEDKKERDAVSAAENTEEGTSHSSSEPENSWAATSDSSLQSEGPTD
+PEEDEAKKESSVPTCQGELRHWKTGHYTLIHDNSKTEFALDLLLYCGCEGWEPEYGGFTS
+YIAKGEDEELLTVNPENNSLALVYRDRETLKFVKHINHRSLEQKKSFPNRTGFWDFSFVY
+YE
+>sp|A6QQZ7|MPP7_BOVIN MAGUK p55 subfamily member 7 OS=Bos taurus OX=9913 GN=MPP7 PE=2 SV=1
+MPALSTGSGNDTGLYELLVALPAQLQPHVDSQEDLTFLWDVFGEKSLHSLVKIHEKLHYY
+EKQNPVPILQGAAALADDLAEELQNKPLNSEIRELLKLLSKPNVKALLSVHDTVAQKNYD
+PVLPPMPDDIDNEEDSVKIIRLVKNREPLGATIKKDEQTGAIVVARIMRGGAADRSGLIH
+VGDELREVNGIPVEDKRPEEIIQILAQSQGAITFKIIPSIKEETPSKEGKMFIKALFDYD
+PNEDKAIPCKEAGLSFKKGDILQIMSQDDATWWQAKHEGDANPRAGLIPSKHFQERRLAL
+RRPEILVQPLKVSSRKSSGFRRSFRLSRKDKKTNKSMYECKRSDQYDTADVPTYEEVTPY
+RRQTNEKYRLVVLVGPVGVGLNELKRKLLISDTQHYGVTVPHTTRPRRSQESDGVEYIFI
+SKHLFETDVQNNKFIEYGEYKNNYYGTSIDSVRSVLAKNKVCLLDVQPHTVKHLRTLEFK
+PFVIFIKPPSIERLRETRKNAKIISSRDDQGAAKPFTEDDFQEMIKSAQIMESQYGHLFD
+KTIVNDDLAVAFNELKTTFDKLETDTHWVPVSWLHS
+>sp|Q29RV1|PDIA4_BOVIN Protein disulfide-isomerase A4 OS=Bos taurus OX=9913 GN=PDIA4 PE=2 SV=1
+MRPRKAWMLVLLLALVQLLAVASAGAPDEDSTDKEDAIEEDEEEDEDDDDDDDDLEVKEE
+NGVLILNDANFDNFVADKDTVLLEFYAPWCGHCKQFAPEYEKIAATLKENDPPIPVAKID
+ATSESALASRFDVSGYPTIKILKKGQEVDYEGSRTQEEIVAKVKEVSQPNWTPPPEVTLV
+LTKDNFDEVVNDADIILVEFYAPWCGHCKKLAPEYEKAAKELSKSSPPIPLAKVDAIAET
+DLAKRFDVSSYPTLKIFRKGKAFSYNGPREKYGIVDYMMEQSGPPSKQILALKQVQEFLK
+DGDDVIIIGVFKSESDPAYQLYQDAANSLREDYKFHHTFSTEIAKFLKVSLGKLVVMQPE
+KFQSKYEPKSYVMDIKDSTEAAAITEHVVKHTLPLVGHRKAADAKRYTRRPLVVVYYSVD
+FSFDYRAATQFWRNKVLEVAKDFPEYTFAVADEEDFATELKDLGLSESGEEVNAAILDEG
+GRRFAMEPDDFDADALRDFVTAFKKGKLKPVIKSQPVPKNNKGPVKVVVGKTFDSIVMDP
+KKDVLIEFYAPWCGHCKQLEPVYTSLGKKYKGHKNLVIAKMDATANDVTSDRYKVEGFPT
+IYFAPSGDKKKPIKFEDGNRDLEHLSKFIEEHATKLSRTKEEL
+>sp|Q5EA10|PIGM_BOVIN GPI mannosyltransferase 1 OS=Bos taurus OX=9913 GN=PIGM PE=2 SV=1
+MSPTTHWGDKFLNLRVPPAGVFVVAFFARVALIFYGVFQDRTLLVRYTDIDYQVFTDAAR
+FVTEGHSPYLRATYRYTPLLAWLLTPNIYLNELFGKFLFISFDLFTAFLLYRLLLLKGLG
+RRQACGYCVFWLLNPLPMAVSSRGNADSIVASLVLMTLYLIEKRLVACAAVSYGFAVHLK
+MYPVTYILPIALHLLPERDSDEGLRQSRYSFQVRLYEFLKRLCHRAVLLFVAVAGLTFFA
+LSFGFYYRYGWEFLEHAYLYHLTRRDIRHNFSPYFYMLYLTAESKWSFSLGIAAFLPQFI
+LLSAVSFAYYRDLVFCCFLHTSIFVTFNKVCTSQYFLWYLCLLPLVMPLVRIPWRRAVVL
+LMLWFIGQALWLAPAYVLEFQGKNTFLFIWLAGLFFLLINCSILIQIISHYKEEPLTDRT
+KYD
+>sp|Q5E9X7|PRS35_BOVIN Inactive serine protease 35 OS=Bos taurus OX=9913 GN=PRSS35 PE=2 SV=2
+MGAMFFGLMLFTLGWTLIDGSESEQDFMWHVRKIPRLVSERTFHLTSPAFEADAKMVLNR
+VCGIECQKDLPAPSLSDLEDSLSYETVFENGSRTLTRVKVQGWVPEPTQNLTSQGAPVRR
+KRQVYGTDSRFSILDKKFLTNFPFNTAVKLSTGCSGILISPNHVLTAAHCVHDGNGYIKG
+SKKLRVGLLKMRNKGGGKRRRGSRRNRREVSGAGREGSQDSLKETAKAGRRRKGSARRQR
+AADGRPSFQWTRVKNTHIPKGWARGESRDPALDYDYALLELKRPHKKKYMELGVSPTIKK
+LPGGMIHFSGFDQDRADQLVYRFCSVSDESNDLLYQYCDAESGSTGSGVYLRLKEPDKKR
+WKRKIIAIYSGHQWVDVHGVQKDYNVAVRITPLKYAQICLWMHGDDANCTQG
+>sp|Q3ZBF0|NADE_BOVIN Glutamine-dependent NAD(+) synthetase OS=Bos taurus OX=9913 GN=NADSYN1 PE=2 SV=1
+MGRKVTVATCALNQWALDFEGNLQRILKSIEIAKHRGARYRLGPELEICGYGCWDHYYES
+DTLLHSLQVLAALLESPVTQDIICDVGMPVMHRNVRYNCRVIFLNRKILLIRPKMALANE
+GNYRELRWFTPWSRSRQTEEYFLPRMLQDLTKQETVPFGDAVLSTWDTCIGSEVCEELWT
+PHSPHVDMGLDGVEIFTNASGSHHVLRKAHARVDLVTMATTKNGGIYLLANQKGCDGDRL
+YYDGCALIAMNGSIFAQGSQFSLDDVEVLTATLDLEDIRSYRAEISSRNLAASRVSPYPR
+VKVDFALSCHEDLLEPVSEPIEWKYHSPAEEISLGPACWLWDFLRRSRQAGFFLPLSGGV
+DSAATACLVYSMCHQVCEAVKRGNLEVLADVRTIVNQLSYTPQDPRELCGRVLTTCYMAS
+ENSSQETCDRARELAQQIGSHHIGLHIDPVVKALVGLFSLVTGASPRFAVHGGSDRENLA
+LQNVQARVRMVIAYLFAQLSLWSRGAPGGLLVLGSANVDESLLGYLTKYDCSSADINPIG
+GISKTDLRAFVQLCVERFQLPALQSILAAPATAELEPLAHGRVSQTDEEDMGMTYAELSV
+YGRLRKVAKTGPYSMFCKLLDMWRDTCSPRQVADKVKCFFSKYSMNRHKMTTLTPAYHAE
+SYSPDDNRFDLRPFLYNTRWPWQFRCIENQVLQLEGRQRQELDGVD
+>sp|Q58DT1|RL7_BOVIN 60S ribosomal protein L7 OS=Bos taurus OX=9913 GN=RPL7 PE=2 SV=1
+MEGAEEKKKKVPAVPETLKKKRKNFAELKIKRLRKKFAQKMLRKARRKLIYEKAKHYHKE
+YRQMYRTEIRMARMARKAGNFYVPAEPKLAFVIRIRGINGVSPKVRKVLQLLRLRQIFNG
+TFVKLNKASINMLRIVEPYIAWGYPNLKSVNELIYKRGYGKINKKRIALTDNALIARSLG
+KYGIICMEDLIHEIYTVGKRFKEANNFLWPFKLSSPRGGMKKKTTHFVEGGDAGNREDQI
+NRLIRRMN
+>sp|Q32PI6|RM04_BOVIN 39S ribosomal protein L4, mitochondrial OS=Bos taurus OX=9913 GN=MRPL4 PE=1 SV=1
+MQQLVRAGARAWLRPRGCRGLSALTEEAVQSAEKPEPLANAGPQAPVLRRCELPVPLHRR
+PVQAWVESLRGYEQERVGLTELHPDVFSTAPRLDILHQVAIWQKNFKRISYAKTKTRAEV
+RGGGRKPWQQKGSGRARHGSIRSPIWRGGGVAHGPRGPTSYYYMLPMKVRVQGLKVALTV
+KLAQDDLHIVDSLELPTTDPQYLMELARYRRWGDSVLFVDLEHEDMPQNVVAATSGLKTF
+NLIPAIGLNVHSMLKHQTLVLTLPTVAFLEEKLLWHDSRYTPLYPFRLPYRDFP
+>sp|A6QQ92|SERP3_BOVIN Serpin E3 OS=Bos taurus OX=9913 GN=SERPINE3 PE=2 SV=1
+MQSLLLALLLLPVCSPGGASNLHEDLTLLRTDLALRLYRSVAAAGNQTNLVLSPAGAFIP
+LELLQFGARGNTGRQLAQALGYTVHDPKVREWLQTVYAVLPSTNPGAKLELACTLYVQTG
+TPLAPCFVEQVSRWANSSLELANFREPNSTAMLANGWGPRQTAGDEPVGSAWERGGGAES
+AQLVLVSTVSFQSAWRHQFSSDTQLLPFTCAQGLTLEVPMMYQMAEVNFGQFQDPAGHQV
+GVLELPYLGNVASLLLVLPRDRDTPLSHIEPHLTASLLHAWTASLKRARMEVFLPRFRIQ
+NHFDLKNILYSWGVIDLFDPLRANLKGISGQDGFYVSEAIHKAKIEVSEEGTKASAATAL
+LLLKRSRIPIFKADRPFIFFLREPNTAFVFSIGRVLNPLH
+>sp|A5D7B5|SMI19_BOVIN Small integral membrane protein 19 OS=Bos taurus OX=9913 GN=SMIM19 PE=3 SV=2
+MPGGYGVMGDDGAMDYSVHEAWNEATNVYLVVILVSFGLFMYAKRNKRKIMRIFSLPPPA
+ETLSEPNFYDTISKIRLRQQLEMYSISRKYDYQQPQSQADSVQLSLE
+>sp|A5D7K1|SARG_BOVIN Specifically androgen-regulated gene protein OS=Bos taurus OX=9913 GN=SARG PE=2 SV=1
+MPERELWPAGPGLEPATRVGSCDSMMSTTSTRSGSSDSSYDFLSAEEKECLLFLEETIGS
+LDTEADSGLSTEKSEQATTPQVPRALPKTQPAPQGHPEEITGRVPEPKRVTPFSSAHPPG
+PQSLGLRSGSYSLPRNIHIGRNQNLRKSTTLTNSHNPGGSEGLVSGPETEQVSQSREPRQ
+TLATPPDAALELDGALIPPPEAFRDTQPQQRGQGSLPRGPGELSPRPQVHPSLSSQRNRE
+PAPEAMSQKASEKGSTGEPVPPRPPPLVSSRDAGSGDAAVLSGGHPSARPAPLTAPKPRK
+LPPNIVLKSSRSSFHSDPQNRLSRHSEAAPGDPSPASSSLQEQRKARREALEKLGLPQDQ
+EEPSPRLSRPSVRLKETGVQAVSPAPAQVPGRAPAAAPTQGPSPGKAPALAQPPSPGKVL
+VPAQESTPGTAPAAKSTPIPIPKGPRAHSPLTQRKPDSGLTLQESGVPGLRQMSFKSNTL
+ERSGIGLSSYLSAEKASSPQTSTSLEKGSFLDRISPSVLRNSRPRPASLGTGKDFEGIQV
+GKLADLEQEGGPKRLSFQGQSRDKLPRPPCVSVRISPKGVSDEHRREALKKLGLLKE
+>sp|A6QLM0|TM189_BOVIN Transmembrane protein 189 OS=Bos taurus OX=9913 GN=TMEM189 PE=2 SV=1
+MAGAEDGPGQQPELEDDEAASCRRWGAQHAGARELAALYSPGKRFQEWCCVVLCFSLIAH
+NMAHLLLLARWEHTPLVMLGMVAGALLADFLSGLVHWGADTWGSVELPIVGKAFIRPFRE
+HHIDPTAITRHDFIETNGDNCLLTLLPLLNMAYKFRTQSPEVLEQLYPWECFVFCLIIFG
+TFTNQIHKWSHTYFGLPCWVVFLQDWHVILPRKHHRIHHVSPHETYFCITTGWLNYPLER
+MGFWRRLEDIIQALTGEKPRADDMKWAQKIK
+>sp|Q8MKF1|THTPA_BOVIN Thiamine-triphosphatase OS=Bos taurus OX=9913 GN=THTPA PE=1 SV=3
+MAQGLIEVERKFVPGPSTEERLQELGGTLEHRVTFRDSYYDTPELSLMRADYWLRQREGS
+GWELKCPGAAGVSGPHTEYTELTAEPSIVAQLCEVLGAEVPGAGGVAAVLGPLGLQLVAS
+FVTKRSAWKLVLSGADGEERLLRVDLDTADFGYAVGEVEALVHKEAEVPAALEKIHHLSS
+LLGVLEQGRAPAKLIVYLQRFRPQDYQRLLEVYGSKEKP
+>sp|Q05B54|TM134_BOVIN Transmembrane protein 134 OS=Bos taurus OX=9913 GN=TMEM134 PE=2 SV=2
+MSASRPQFSIDDAFELSLEDTGPGLEPSGVARFGPLHFERRARFEVADEDKQSRLRYQNL
+ENDEDGAQASPEPDGGVSSRDSGQTSIRSSQWSFSSISSSTQRSYNACCSWTQHPLIQKN
+HRVVLASFLLLLLGLVLILTGVGLEVAPSPGVSSAIFFVPGFLLLVPGVYHVIFIYCAVK
+GHRGFQFFYLPYFEK
+>sp|Q3T0Z3|UBL5_BOVIN Ubiquitin-like protein 5 OS=Bos taurus OX=9913 GN=UBL5 PE=3 SV=1
+MIEVVCNDRLGKKVRVKCNTDDTIGDLKKLIAAQTGTRWNKIVLKKWYTIFKDHVSLGDY
+EIHDGMNLELYYQ
+>sp|Q2KJB6|VA0D2_BOVIN V-type proton ATPase subunit d 2 OS=Bos taurus OX=9913 GN=ATP6V0D2 PE=2 SV=1
+MLESAELNFNADHGYLEGLVRGCKAGLLTRRDYVNLVQCENLEDLKIHLQTTDYGNFLAN
+QATPLTVSVIDTEMRKKLCREFEYFRNHSLEPLSTFFTYMTCSYMIDNVILLMNGALQNK
+PVKDVLVKCHPLGHFTEMEAVNIAETPSDLFNAILVETPLAPFFQDCTSENALDELNIEI
+LRNKLYKSYIEAFYKFCKNHGDVTAEVMCPILEFEADRRAFIITLNSFGTELSKEDRETL
+YPTCGKLHPEGLRLLAQAEDFEQMKRVADSYGVYKPLFEAVSDSSGGKTLEDVFYEREVQ
+MNVLAFNRQFHYGVFYAYTKLKEQEMRNIVWIAECISQRQRTKINSYIPIL
+>sp|Q2KIS3|UBL7_BOVIN Ubiquitin-like protein 7 OS=Bos taurus OX=9913 GN=UBL7 PE=2 SV=1
+MSLSDWHLAVKLADQPLAPKSILRLPETELGEYSLGGYSISFLKQLIAGKLQESVPDPEL
+IDLIYCGRKLKDDQTLDFYGIQPGSTVHVLRKSWPEPDQKPEPVDKVAALREFRVLHTAL
+HSSSSYREAVFKMLSNKESLDQIIVATPGLSSDPIALGVLQDKDLFSVFADPNMLDTLVP
+AHPALVNAIVLVLHSVAGSTPMPGADSSSRGMPSSAYRDMPGGFLFEGLSDDEDDFHPSA
+RSTPSSSTPSSRPASLGYSGAAGPRPITQSELATALALASTPESSSHTPTPGTQGQSSGT
+SPMSSSVQSGTPITNDLFSQALQHALQASGQPSLQSQWQPQLQQLRDMGIQDDELSLRAL
+QATGGDIQAALELIFAGGAP
+>sp|A6QNM7|UBP33_BOVIN Ubiquitin carboxyl-terminal hydrolase 33 OS=Bos taurus OX=9913 GN=USP33 PE=2 SV=1
+MSSFRSHCPHLDSVGEITKEDLIQKSHGSCQDCKVRGPNLWACLENRCSYVGCGESQVDH
+STIHSQETKHYLTVNLTTLRVWCYACSKEVFLDRKLGTQPSLPHVKPLHQIQENGVQDFK
+IPSNTTLKTPLVAVFDDLDIEVEEEDELKARGLTGLKNIGNTCYMNAALQALSNCPPLTQ
+FFLDCGGLARTDKKPAICKSYLKLMTELWHKSRPGSVVPTTLFQGIKTVNPTFRGYSQQD
+AQEFLRCLMDLLHEELKEQVMEVEEDPQTIMTEETMEEDKSQSDVDFQSCESCSSSDKAE
+NENGSRSFSEDNNETTMLIQDDENNSEMSKDWQKEKMCNKINKVHSEGELDKDRDSVSET
+ADLNNQETVKVQIHSRASEYITDVHLNDLSTPQILPSNEGVNPRLSASPPKSGNLWPGLP
+PTHKKVQSALSPKRKKQHKKYRSVISDIFDGTIISSVQCLTCDRVSVTLETFQDLSLPIP
+GKEDLAKLHSSSHPTSIVKAGSCGEAYAPQGWIAFFMEYVKRFVVSCVPSWFWGPVVTLQ
+DCLAAFFARDELKGDNMYSCEKCKKLRNGVKFCKVQKFPEILCIHLKRFRHELMFSTKIS
+THVSFPLEGLDLQPFLAKDSPVQIVTYDLLSVICHHGTASSGHYIAYCRNNLNNLWYEFD
+DQSVTEVSESTVQNAEAYVLFYRKSSEEAQKERRRISNLLNIMEPSLLQFYISRQWLNKF
+KTFAEPGPISNNDFLCIHGGVPPRKAGYIEDLVLMLPQNIWDNLYSRYGGGPAVNHLYIC
+HTCQIEAEKIEKRRKTELEIFIRLNRAFQEEDSPATFYCISMQWFREWESFVKGKDGDPP
+GPIDNTKIAVTKCGNVILRQGADSGQISEETWNFLQSIYGGGPEVILRPPVVHVDPDAVQ
+AEEKIEVETRSL
+>sp|Q2TBM7|MMP23_BOVIN Matrix metalloproteinase-23 OS=Bos taurus OX=9913 GN=MMP23 PE=2 SV=1
+MGRGACVPSAASGAGDRARQLGAVLGALCLFPALVLLAWPGTPANGAGARVAQGDAAPQT
+SGVLASGSLGPPHPPVPRRRRYTLTPARLRWEHFNLTYKILSFPRNLLSPSETRRGLAAA
+FRMWSDVSPFSFREVAPEQPSDLRIGFYPVNHTDCLVSPLHHCFDGPTGELAHAFFPPHG
+GIHFDDSEYWVLGRTRYSWKKGVWLTDLVHVAAHEIGHALGLMHSQHGRALMHLNATLRG
+WKALSQDELWGLHRLYGCLDRLFVCASWARRGFCDTRRRLMKRLCPSSCDFCYEFPFPTV
+AATPPPPRTKTKLVPEGRNVTFRCGQKILHKKGKVYWYKDQEPLEFSYPGYLALGEAHLS
+IIANAINEGTYTCVVRRRQRVLSTYSWRIRVRS
+>sp|P49876|IFNAF_BOVIN Interferon alpha-F OS=Bos taurus OX=9913 GN=IFNAF PE=2 SV=1
+MAPAWSLLLALLLLSCNAICSLGCHLPHIHSLANRRVLMLLQQLRRVSPSSCLQDRNDFA
+LPQEALGGSQLQKAQAISVLHEVTQHTSQLFSTEGFGAVWDESLLDKLRAALDQQLTDLQ
+ACLRQEEGLRGAPLVKKDSSLAVRKYFHRLTLYLQEKRHSPCAWEVVRAEVMRAFSSSTN
+LQESFRRKD
+>sp|Q08DA7|GTDC1_BOVIN Glycosyltransferase-like domain-containing protein 1 OS=Bos taurus OX=9913 GN=GTDC1 PE=2 SV=1
+MSILILEAFYGGSHKQLVDLLQEELEDSVLYTLPAKKWHWRARTSALYFSQNIPTSEHYR
+ILFASSVLNLTELAALRPDLGKLKKILYFHENQLVYPVKKCQERDFQYGYNQILSCLVAD
+VVVFNSVFNMESFLTSIGKFMKLIPDHRPKDLESIIRPKCQVIYFPIRFPDVSRFMPKHK
+AAHLQKILSLKENGGNAPSTALPFQQQLRGSENLLKSFDSKSGPCDAAQQESLGCSVRQA
+SYLKTFNSSDDSSTHHGEHKQNRCDVLAEADDQQRPLHIVWPHRWLEAVYCGCYPLCPKD
+LVYPEIFPAEYLYSTPEQLSKRLQNFCKRPDITRKHLYKGEMAPFSWAALHGKFRSLLTA
+EPREDL
+>sp|Q32L23|LIMK2_BOVIN LIM domain kinase 2 OS=Bos taurus OX=9913 GN=LIMK2 PE=2 SV=1
+MAAQAGDDAWRCQGCGDYVAPNQRLYRTVSEAWPTSCFRCSECQDSLTNWYYEKDGKLYC
+HKDYWGKFGEFCHGCSLLMTGPVMVAGEFKYHPECFACMSCKVIIEDGDAYALVQHATLY
+CGKCHNEVVLAPMFERLSTESVQDQLPYSVTHISMPATTEGRRGFSVSVESACSNYATTV
+QVREVNRMHISPNNRNAIHPGDRILEINGAPVRTLRVEEVEDAISQTTQTLQLLIEHDPV
+SQRLDQLQLDARLSPCAQNDRHAHTLSPLDTKENLEGTLRRRSLRRSNSISKSPGPSSPK
+EPLLLSRDISRSESLRCSSSCSQQIFRPCDLIHGEVLGKGFFGQAIKVTHKATGKVMVMN
+ELIRCDEETQKTFLTEVKVMRSLDHPNVLKFIGVLYKDKKLNLLTEYIEGGTLKDFLRNV
+DPFPWQQKVRFAKGIASGMAYLHSMCIIHRDLNSHNCLIKLDKTVVVADFGLSRLIVEER
+KKPPVEKATTKKRTLRKSDRKKRYTVVGNPYWMAPEMLNGKSYDETVDVFSFGIVLCEII
+GQVYADPDCLPRTLDFGLNVKLFWEKFVPEECPPAFFPLAAICCRLEPESRPAFSKLEDF
+FEALSLYLGELGIPLPTELEDLDHTVSMEYGLIRNPPP
+>sp|Q0P5D0|PPIH_BOVIN Peptidyl-prolyl cis-trans isomerase H OS=Bos taurus OX=9913 GN=PPIH PE=2 SV=1
+MAVANSSPVNPVVFFDVSIGGQEVGRMKIELFADVVPKTAENFRQFCTGEFRKDGVPIGY
+KGSTFHRVIKDFMIQGGDFVNGDGTGVASIYRGPFADENFKLRHSAPGLLSMANSGPSTN
+GCQFFITCSKCDWLDGKHVVFGKIIDGLLVMRKIENVPTGPNNKPKLPVVISQCGEM
+>sp|Q2TBI8|NUD22_BOVIN Uridine diphosphate glucose pyrophosphatase NUDT22 OS=Bos taurus OX=9913 GN=NUDT22 PE=2 SV=1
+MDPEVSLLLQCPPGGLPEKQVRAELSPAYDRRPLPGGDKAIIAIWESRLQAQPWLFNAPK
+FRLHSATLAPTGLPGPQLLLRLGLTSYQDFLGTNWASSAAWLRQQGATDWGDKQAYLADP
+LGVGAALATADDFLVFLRRSGQVAEAPGLVDVPGGHPEPQALCPGDSPLHKDLPGELVVH
+ELFSSVLQEICDEVNVPPLTLSQPLLLGIACNETSAGRASAEFYVQCSLTSEQVRRHYMS
+GGPEAHESTGIIFVEKQSMQRLQETEMWPELCPSAKGAIFLYNRVQGSST
+>sp|Q58D20|NLE1_BOVIN Notchless protein homolog 1 OS=Bos taurus OX=9913 GN=NLE1 PE=2 SV=3
+MAAAAAADEAATRDVQRLLVQFQDEGGQLLGSPFDVPVDITPDKLQLVCNALLAQEDPLP
+LAFYVHDAEIVSSLGRTLESQAVETEKVLDIIYQPQAIFRVRAVTRCTSSLEGHSEAVIS
+VAFSPTGKYLASGSGDTTVRFWDLSTETPHFTCQGHRHWVLSISWSPDGKKLASGCKNGQ
+ILLWDPSTGKQVGRALTGHSKWITALSWEPLHANPECRYVASSSKDGSVRVWDTTAGRCE
+RTLTGHAQSVTCLRWGGDGLLYSASQDRTIKVWRAHDGVLCRTLQGHGHWVNTMALSTDY
+ALRTGAFEPAEASVNAQDLRGSLQELKERALSRYNLVRGQGPERLVSGSDDFTLFLWSPA
+EDKKPLARMTGHQALINQVVFSPDSRVIASASFDKSIKLWDGRTGKYLASLRGHVAAVYQ
+IAWSADSRLLVSGSSDSTLKVWDVKAQKLSTDLPGHADEVYAVDWSPDGQRVASGGKDKC
+LRIWRR
+>sp|Q3T0Q4|NDKB_BOVIN Nucleoside diphosphate kinase B OS=Bos taurus OX=9913 GN=NME2 PE=1 SV=1
+MAHAERTFIAIKPDGVQRGLVGEIIKRFEQKGFRLVAMKFLQASEELLKQHYIDLKDRPF
+FPGLVKYMNSGPVVAMVWEGLNVVKTGRVMLGETNPADSKPGTIRGDFCIQVGRNIIHGS
+DSVKSAEKEINLWFKPEELIEYKPCAFDWIYE
+>sp|O77774|NCF1_BOVIN Neutrophil cytosol factor 1 OS=Bos taurus OX=9913 GN=NCF1 PE=2 SV=1
+MGDHFIRHIALLGFEKRFVPSQHYVYMFLVKWQDLSEKVVYRRFTEIYEFHKILKEMFPI
+EAGDINPENRIIPHLPAPRWYDGQRVAESRQGTLTEYCSTLMSLPVKISRCPHLLNFFKV
+RPDDLKLPTDSQVKKPETYLMPKDGKNNAADITGPIILQTYRAIADYEKGSSSQMALATG
+DVVDVVEKNESGWWFCQMKTKRGWVPASYLEPLDSPDEAEDPEPNYAGEPYITIKAYTAV
+LEDEISLEEGEAIEVIHKLLDGWWVIRKEDVTGYFPSMYLQKAGQDVAQAKSQIKSRGAP
+PRRSSIRNAHSIHQRSRKRLSQDTYRRNSVRFMQQRRHQRPEPQRSRSALREQQQPKTER
+PKPQPAVPPRPSADLILHRCSESTKRKLASAV
+>sp|Q02380|NDUB5_BOVIN NADH dehydrogenase [ubiquinone] 1 beta subcomplex subunit 5, mitochondrial OS=Bos taurus OX=9913 GN=NDUFB5 PE=1 SV=1
+MAAMSLLHRASVSAVAALSGRRLGTRLGFGGFLTRDFPKTVAPVRHSGDHGKRLFIIKPS
+GFYDKRFLKLLRFYILLTGIPVAIGITLINVFIGEAELAEIPEGYVPEHWEYFKHPISRW
+IARTFFDGPEKNYERTMAILQIEAEKAELRLKELEVRRLMRARGDGPWFHYPTIDKELID
+HSPKATPDN
+>sp|Q2KJ15|PDGFA_BOVIN Platelet-derived growth factor subunit A OS=Bos taurus OX=9913 GN=PDGFA PE=2 SV=1
+MRTWACLLLLGCGYLANALAEEAEIPREVIERLAHSQIHSIRDLQRLLEIDSVGAEEPLE
+TSLRAHGGHGAKHALEKRPVPIRRKRSIEEAIPAVCKTRTVIYEIPRSQVDPTSANFLIW
+PPCVEVKRCTGCCNTSSVKCQPSRVHHRNVKVAKVEYFRKKAKLKEVQVRLEEHLECTCT
+SASPSPDHREEEAGRRRESGKKRKRKRLKPT
+>sp|A0JNQ6|OOEP_BOVIN Oocyte-expressed protein homolog OS=Bos taurus OX=9913 GN=OOEP PE=2 SV=1
+MVDNAGDYEARGDRLLGFPLPSPRVRIRPWWFPAQELRNPLVFFLEAWLADLIFGPDRAL
+VPEMEWMSQALLMVDAVDAGNLVEVTVFARPAVQRQVKSVLLSQASVHREQRARAEKMEQ
+LEEFLKAQAPGPQVPQHPVA
+>sp|Q2TBK2|RM09_BOVIN 39S ribosomal protein L9, mitochondrial OS=Bos taurus OX=9913 GN=MRPL9 PE=1 SV=2
+MAAAAFAVPRGVQLRVLTERLLRGGVRELLRPRLSGSTPGSERDFSLSHSRGTVIVERWW
+KVPLAGEGRKPRLHRRHRVYKLVEDTKHRPKENLELILTQSVDELGVRGDLVSVKKSVGR
+NRLLPEGLAVYASPENKKLFEEEKLLRQEGKLDKIQTKAGEATVKFLRSCHLEVGMKNNV
+KWELNPEIVARHFLRNLGVVVAPHALKLPEEPITQRGEYWCEVTVNGLDTVRVPMSVVNF
+ERPKTKRYKYWLAQQAAKGMASTSFQKI
+>sp|Q32PB2|RIAD1_BOVIN RIIa domain-containing protein 1 OS=Bos taurus OX=9913 GN=RIIAD1 PE=4 SV=1
+MANLTGTIKGLYPETLSPEQLEKLRGFKIQTRITNEKYLRTHKEVELLISGFFREMFLKR
+PDNIPEFAADYFTDPRLPNKIHMQLIKEKKAA
+>sp|Q56JX8|RS13_BOVIN 40S ribosomal protein S13 OS=Bos taurus OX=9913 GN=RPS13 PE=2 SV=3
+MGRMHAPGKGLSQSALPYRRSVPTWLKLTSDDVKEQIYKLAKKGLTPSQIGVILRDSHGV
+AQVRFVTGNKILRILKSKGLAPDLPEDLYHLIKKAVAVRKHLERNRKDKDAKFRLILIES
+RIHRLARYYKTKRVLPPNWKYESSTASALVA
+>sp|Q2KHX8|RCL1_BOVIN RNA 3'-terminal phosphate cyclase-like protein OS=Bos taurus OX=9913 GN=RCL1 PE=2 SV=1
+MASQAHSLSYSGCNFLRQRLVLSTLSGRPVKIRKIRARDDNPGLRDFEASFIRLLDKVTN
+GSRIEINQTGTTLYYQPGLLYGGSMEHDCSVLRGIGYYLESLLCLAPFMKHPLRIVLRGV
+TNDQVDPSVDVLKATALPLLKQFGIDGESFELKVVRRGMPPGGGGEVFFSCPVRKVLKPI
+QLIDPGKIKRIRGMAYSVRVSPQMANRIVDSARSILNKFIPDIYIYTDHMKGINSGKSPG
+FGLSLVAETTNGTFLSAELASNPQGQGAAMLPEDLGRNCARLLLEEIYRGGCVDSTNQSL
+ALLLMTLGQQDVSKVLLGPLSPYTIEFLRHLKSFFQIMFKIETKPCGEELKGGDKVLMTC
+VGIGFSNLSKTLK
+>sp|Q0VC21|RM15_BOVIN 39S ribosomal protein L15, mitochondrial OS=Bos taurus OX=9913 GN=MRPL15 PE=1 SV=1
+MAGPVRGAAGPWALDLLRALPRVSLANLRPNPGSRKPERRRRGQRRGRKCGRGHKGERQR
+GTRPRLGFEGGQTPFYLRIPKYGFNEGHSFRRQYQPLSLNRLQYLIDLGRVDPTQPIDLT
+QLVNGRGVTIQPSKRDYGVQLVEEGADTFKAKVNIEVQLASELAIAAIEKNGGVVTTAFY
+DPRSLEILCKPIPFFLRGQPIPKRMLPPEALVPYYTDARNRGYLADPARFPEARLELAKK
+YGYILPDITKDELFKMLSSRKDPRQIFFGLAPGWVVNMADKKILKPTDEKLLEYYSS
+>sp|A5D7S3|TRM1L_BOVIN TRMT1-like protein OS=Bos taurus OX=9913 GN=TRMT1L PE=2 SV=1
+MENMAEEELLPQEKVEVVQVPVPTPTPDSARVPAPAPDSAPVSASTPAPASAPTPASAPV
+PAPALAQASALSPSLASAPDEAESKRHISIQRQLADLEKLAFVTEGDCDSANSLNSDNLD
+AGNKQACPLCPKEKFRACNSHKLHRHLQNLHWKVSVEFEGYRMCICHLPCRPVKPNIIGE
+QISSKMGAHYHCIICSATITRRTDMLGHVRRHVNKGETKSRYIAASAAKPPKEILKEADT
+DVQVCPNYTVPQKTDSYFNPKMKLNRQLIFCTLAALAKERKPLECLDAFGATGIMGLQWA
+KHLGNAVKVTINDLNENSVTLIQENCHLNKLKVVVDSKEKEEREDILEEGEENLGNIKVT
+KMDANVLMHLRSFDFIHLDPFGTSVNYLDSAFRNIRNLGIVSVTSTDISSLYAKAQHVAR
+RHYGCNIVRTEYYKELAARIVVAAVARAAARCNKGIEVLFAVALEHFVLVVVRVLRGPTS
+ADETAKKIQYLIHCQWCEERIFQKDGNMVEENPYRQLPCNCHGSMPGKTAIELGPLWSSS
+LFNTGFLKRMLSESLHHGLDDIQTLIKTLIFESECTPQFSVHAPSNLNKPEECGVFIKTT
+DDTTTDSHSAQGKRKSNETTANLVKRQKTDVNTEHPPFYYNIHRHSIKGMNMPKLKKFLC
+YLSQAGFRVSRTHFDPMGVRTDAPLMQFKSILLKYSTPTYTGGQSEGHVQPASEDTVADR
+VEMSVNDKAEAGGCRRW
+>sp|Q3MHN8|TRM5_BOVIN tRNA (guanine(37)-N1)-methyltransferase OS=Bos taurus OX=9913 GN=TRMT5 PE=2 SV=1
+MRSLLKQFAFSRRLLKVESCRITESASLILLPWASLIQKLNRVPVIFLLDQRKRFSTMPE
+IETNHRDSELFSPPSEVRGMTELDRTAFKKTVTIPVLKVRKEVVNKLMRSLKRAALQRPG
+IKRVIEDPEDGEGRLIMLDPYKMFTVDSFEKEELSILKQLNVNPQISKYNLDLTYENFKS
+EEILRAVLPEGQDVTSGFSRVGHIAHLNLRDHQLPYKHLIGQVMIDKNPGITSAVNKINN
+IDNTYRNFEMEVLSGEENMMTKVRENNYTYEFDFSKVYWNPRLSTEHSRITELLKPGDVL
+FDVFAGVGPFAIPAAKKKCTVFANDLNPESHKWLLHNCKLNKVDQKVKVFNLDGRDFLQG
+PVREELMQQLGPLSKERKHSVHIVMNLPAKAIEFLSAFKALLEGQPCGSELLPIVHCYSF
+SKDANPAKDVQQRAATVLGISLEAYSSVHLVRNVAPNKEMLCITFRIPAAILYKNQTVNR
+DNHEGPPLKRQRTDKDF
+>sp|Q2TBN1|SMRD1_BOVIN SWI/SNF-related matrix-associated actin-dependent regulator of chromatin subfamily D member 1 OS=Bos taurus OX=9913 GN=SMARCD1 PE=2 SV=1
+MAARAGFQSVAPSGGAGASGGAGAAAALGPGGTPGPPVRMGPAPGQGLYRSPMPGAAYPR
+PGMLPGSRMTPQGPSMGPPGYGGNPSVRPGLAQSGMDQSLKRPAPQQIKQVQQQAVQNRN
+HNAKKKKMADKILPQRIRELVPESQDYMDLLAFERKLDQTIMRKRLDIQEALKRPIKQKR
+KLRIFISNTFNPAKSDAEDGEGTVASWELRVEGRLLEDSALSKYDATKQKRKFSSFFKSL
+VIELDKDLYGPDNHLVEWHRTATTQETDGFQVKRPGDVNVRCTVLLMLDYQPPQFKLDPR
+LARLLGIHTQTRPVIIQALWQYIKTHKLQDPHEREFVICDKYLQQIFESQRMKFSEIPQR
+LHALLMPPEPIIINHVISVDPNDQKKTACYDIDEEVDDTLKTQMNSFLLSTASQQEIATL
+DNKIHETIETINQLKTQREFMLSFARDPQGFINDWLQSQCRDLKVMTDVVGNSEEERRAE
+FYFQPWAQEAVCRYFYSKVQQRRQELEQALGIRNT
+>sp|Q6T8D8|TELT_BOVIN Telethonin OS=Bos taurus OX=9913 GN=TCAP PE=2 SV=1
+MATSELSCLVSEENCERREAFWAEWKDLTLSTRPEEGCSLHEEDTQRHETYHRQGQCQAL
+VQRSPWLVMRMGILGRGLQEYQLPYQRVLPLPIFTPAKVGTKEEREETPIQLQELLALET
+ALGGQCVDRQDVAEITKQLPPVVPVSKPGTLRRSLSRSMSQEAQRG
+>sp|Q2KJI2|STT3A_BOVIN Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit STT3A OS=Bos taurus OX=9913 GN=STT3A PE=2 SV=1
+MTKLGFLRLSYEKQDTLLKLLILSMAAVLSFSTRLFAVLRFESVIHEFDPYFNYRTTRFL
+AEEGFYKFHNWFDDRAWYPLGRIIGGTIYPGLMITSAAIYHVLHFFHITIDIRNVCVFLA
+PLFSSFTTIVTYHLTKELKDAGAGLLAAAMIAVVPGYISRSVAGSYDNEGIAIFCMLLTY
+YMWIKAVKTGSIYWAAKCALAYFYMVSSWGGYVFLINLIPLHVLVLMLTGRFSHRIYVAY
+CTVYCLGTILSMQISFVGFQPVLSSEHMAAFGVFGLCQIHAFVDYLRSKLNPQQFEVLFR
+SVISLVGFVLLTIGALLMLTGKISPWTGRFYSLLDPSYAKNNIPIIASVSEHQPTTWSSY
+YFDLQLLVFMFPVGLYYCFSNLSDARIFIIMYGVTSMYFSAVMVRLMLVLAPVMCILSGI
+GVSQVLSTYMKNLDISRQDKKSKKQQDSTYPIKNEVASGMILVMAFFLITYTFHSTWVTS
+EAYSSPSIVLSARGGDGSRIIFDDFREAYYWLRHNTPEDAKVMSWWDYGYQITAMANRTI
+LVDNNTWNNTHISRVGQAMASTEEKAYEIMRELDVSYVLVIFGGLTGYSSDDINKFLWMV
+RIGGSTDTGKHIKEHDYYTPTGEFRVDREGSPVLLNCLMYKMCYYRFGQVYTEAKRPLGY
+DRVRNAEIGNKDFELDVLEEAYTTEHWLVRIYKVKDLDNRGLSRT
+>sp|Q9XS96|SNURF_BOVIN SNRPN upstream reading frame protein OS=Bos taurus OX=9913 GN=SNURF PE=3 SV=1
+MERARDRLHLRRTTEQHVPEVEVQVKRRRTASLNNQECHVYLRRSQQQQVPVVDFQAELI
+QAFLAETPRGG
+>sp|Q1JQE1|TM219_BOVIN Insulin-like growth factor-binding protein 3 receptor OS=Bos taurus OX=9913 GN=TMEM219 PE=2 SV=1
+MGSCQAGHYLHFCLAHHPPLVCATLILLLLGLSGLGLGGFLLTHRTDLRSPDIPQDWVSF
+LRSFGQLTLCPVNGTVTGKGRGSHIVGLLTTLNFGDGPDRNKTQTFQAQVQGSRMGLKGS
+FARELVLVTARVTTERTPGTCLYFSAIPEILPSSQPPIPCSEEGAGNATLSPRMSEECVG
+VWSHEGLVLTKLLTSEELTLCGSRLLVLGFFLILFCGLCCLTAACFHPRRESHWSRTRL
+>sp|P82669|RT25_BOVIN 28S ribosomal protein S25, mitochondrial OS=Bos taurus OX=9913 GN=MRPS25 PE=1 SV=2
+MPMKGRFPIRRTLQYLSQGDVVFKDSVKVMTVNYNTHGELGEGARKFVFFNIPQIQYKNP
+WVQIMLFKNMTPTPFLRFYLDSGEQVLVDVETKSNKEIMEHVKKILGKNEETLRRERQER
+EQLSHPAHFGPRKYCLRECICEVEGQVPCPAVVPLPRELTGKFQAALRAGAQD
+>sp|A5D7E2|TM9S4_BOVIN Transmembrane 9 superfamily member 4 OS=Bos taurus OX=9913 GN=TM9SF4 PE=2 SV=2
+MAAAMDWLPWSLLLFSLMCDTGAFYVPGVAPINFHQNDPVEIKAVKLTSSRTQLPYEYYS
+LPFCQPSKITYKAENLGEVLRGDRIVNTPFQVLMNSEKKCEVLCGQSNKPVTLTVEQSRL
+VAERISEDYYVHLIADNLPVATRLELYSNRDGDDKKKEKDVQFEHGYRLGFTDVNKIYLH
+NHLSFILYYHREDLEEDREHTYRVVRFEVIPQSVRLEDLKADEKSSCTLPEGTNSSPQEI
+DPTKENQLYFTYSVHWEESDIKWASRWDTYLTMSDVQIHWFSIINSVVVVFFLSGILSMI
+IIRTLRKDIANYNKEDDIEDTMEESGWKLVHGDVFRPPQYPMILSSLLGSGIQLFCMILI
+VIFVAMLGMLSPSSRGALMTTACFLFMFMGVFGGFSAGRLYRTLKGHRWKKGAFCTATLY
+PGVVFGICFVLNCFIWGKHSSGAVPFPTMVALLCMWFGISLPLVYLGYYFGFRKQPYDNP
+VRTNQIPRQIPEQRWYMNRFVGILMAGILPFGAMFIELFFIFSAIWENQFYYLFGFLFLV
+FIILVVSCSQISIVMVYFQLCAEDYRWWWRNFLVSGGSAFYVLVYAIFYFVNKLDIVEFI
+PSLLYFGYTALMVLSFWLLTGTIGFYAAYMFVRKIYAAVKID
+>sp|P20488|SYPH_BOVIN Synaptophysin OS=Bos taurus OX=9913 GN=SYP PE=1 SV=3
+MLLLADMDVVNQLVAGGQFRVVKEPLGFVKVLQWVFAIFAFATCGSYSGELQLSVDCANK
+TKSDLNIEVEFEYPFRLHEVYFEAPTCQGDPKKIFLVGNYSSSAEFFVTVAVFAFLYSMG
+ALATYIFLQNKYRENNKGPMLDFLATAVFAFMWLVSSSAWAKGLSDVKMATDPENIIKGM
+HVCHQPGNTCKELRDPVTSGLNTSVVFGFLNLVLWVGNLWFVFKETGWAAPFLRAPPGAP
+EKQPAPGDAYGQAGYGQGPGGYGPQDSYGPQGGYQPDYGQPASSGGGGYGPQGDYGQQGY
+GPQGAPTSFSNQM
+>sp|Q17QG5|UB2G2_BOVIN Ubiquitin-conjugating enzyme E2 G2 OS=Bos taurus OX=9913 GN=UBE2G2 PE=2 SV=1
+MAGTALKRLMAEYKQLTLNPPEGIVAGPMNEENFFEWEALIMGPEDTCFEFGVFPAILSF
+PLDYPLSPPKMRFTCEMFHPNIYPDGRVCISILHAPGDDPMGYESSAERWSPVQSVEKIL
+LSVVSMLAEPNDESGANVDASKMWRDDREQFYKVAKQIVQKSLGL
+>sp|Q148N0|ODO1_BOVIN 2-oxoglutarate dehydrogenase, mitochondrial OS=Bos taurus OX=9913 GN=OGDH PE=2 SV=1
+MFHLRTCAAKLRPLTASQTVKTFSQNRPAAARTFGQIRCYTAPVAAEPFLSGTSSNYVEE
+MYYAWLENPKSVHKSWDIFFRNTNAGAPPGTAYQSPLPLSPGSLSAVARAGPLVEAQPNV
+DKLVEDHLAVQSLIRAYQIRGHHVAQLDPLGILDADLDSSVPADIISSTDKLGFYGLDES
+DLDKVFHLPTTTFIGGQESALPLREIIRRLEMAYCQHIGVEFMFINDLEQCQWIRQKFET
+PGVMQFTNEEKRTLLARLVRSTRFEEFLQRKWSSEKRFGLEGCEVLIPALKTIIDKSSEN
+GVDYVIMGMPHRGRLNVLANVIRKELEQIFCQFDSKLEAADEGSGDVKYHLGMYHRRINR
+VTDRNITLSLVANPSHLEAADPVVMGKTKAEQFYCGDTEGKKVMSILLHGDAAFAGQGIV
+YETFHLSDLPSYTTHGTVHVVVNNQIGFTTDPRMARSSPYPTDVARVVNAPIFHVNSDDP
+EAVMYVCKVAAEWRSTFHKDVVVDLVCYRRNGHNEMDEPMFTQPLMYKQIRKQKPVLQKY
+AELLVSQGVVNQPEYEEEISKYDKICEEAFARSKDEKILHIKHWLDSPWPGFFTLDGQPR
+SMTCPSTGLTEDILTHIGNVASSVPVEDFTIHGGLSRILKTRGELVKNRTVDWALAEYMA
+FGSLLKEGIHIRLSGQDVERGTFSHRHHVLHDQNVDKRTCIPMNHLWPNQAPYTVCNSSL
+SEYGVLGFELGFAMASPNALVLWEAQFGDFHNTAQCIIDQFICPGQAKWVRQNGIVLLLP
+HGMEGMGPEHSSARPERFLQMCNDDPDVLPDLKEANFDINQLYDCNWVVVNCSTPGNFFH
+VLRRQILLPFRKPLIIFTPKSLLRHPEARSNFDEMLPGTHFQRVIPEDGPAAQNPGNVKR
+LLFCTGKVYYDLTRERKARDMVEQVAITRIEQLSPFPFDLLLQEVQKYPSAELAWCQEEH
+KNQGYYDYVKPRLRTTISRAKPVWYAGRDPAAAPATGNKKTHLTELQRLLDTAFDLDAFK
+NFS
+>sp|A7MB35|ODPA_BOVIN Pyruvate dehydrogenase E1 component subunit alpha, somatic form, mitochondrial OS=Bos taurus OX=9913 GN=PDHA1 PE=2 SV=1
+MRKMLAAVSRVLSGVAQKPASRVLVASRHFANDATFEIKKCDLHRLEEGPPVTTVLTRED
+GLKYYRMMQTVRRMELKADQLYKQKIIRGFCHLCDGQEACCVGLEAGINPTDHLITAYRA
+HGFTFTRGLSVREILAELTGRRGGCAKGKGGSMHMYAKNFYGGNGIVGAQVPLGAGIALA
+CKYNGKDEVCLTLYGDGAANQGQIFEAYNMAALWKLPCIFICENNRYGMGTSVERAAAST
+DYYKRGDFIPGLRVDGMDILCVREATKFAAAYCRSGKGPILMELQTYRYHGHSMSDPGVS
+YRTREEIQEVRSKSDPIMLLKDRMVNSNLASVEELKEIDVEVRKEIEDAAQFATADPEPP
+LEELGYHIYCNDPPFEVRGANQWIKFKSIS
+>sp|Q6WZ19|NGB_BOVIN Neuroglobin OS=Bos taurus OX=9913 GN=NGB PE=2 SV=2
+MELPEPELIRQSWREVSRSPLEHGTVLFARLFDLEPDLLPLFQYNCRQFSSPEDCLSSPE
+FLDHIRKVMLVIDAAVTNVEDLSSLEEYLAGLGRKHRAVGVKLSSFSTVGESLLYMLEKC
+LGPAFTPATRAAWSQLYGAVVQAMSRGWGGE
+>sp|Q08D88|NFIL3_BOVIN Nuclear factor interleukin-3-regulated protein OS=Bos taurus OX=9913 GN=NFIL3 PE=2 SV=1
+MQLRKMQSIKKEQASLDAGTNVDKMMVLNSALTEVSEDLTTGEELLLNEGSVGKNKSSAC
+RRKREFIPDEKKDAMYWEKRRKNNEAAKRSREKRRLNDLVLENKLIALGEENATLKAELL
+SLKLKFGLISSTAYAQEIQKLSNSTAVYFQDYQTSKSTVSAFVDEHEPSMVASSCISVIK
+HSPQSSLSDVSEVSSLEHSQEGPVQNGCRSPESKFQVIKQEPMELESYAREPRDDRGAYR
+GAVYQNYMGNSFPGYSHSPPLLQVNRSSSNSPRTSETDEGAVGKSSDGEDEQQVPKGPIH
+SPVELQRVHATVVKVPEVNSSALPHKLRIKAKAMQIKVEAFDHEFDGTQKLSSPVDMTSK
+RHFELEKHTTPNLVHSSLTPFSVQVTNIQDWSLKSEHWHQKELNGKTQSSFKTGVVEVKD
+SGYKVSDPENLFLKQGIANLSAEVVSLKRLIATHQISASDSG
+>sp|P85100|MYL3_BOVIN Myosin light chain 3 OS=Bos taurus OX=9913 GN=MYL3 PE=1 SV=1
+MAPKKPDPKKDEAKAGAKAAAAPAPAPAPPPAPEPSKEPEFDPSKIKIEFTPEQIEEFKE
+AFTLFDRTPKCEMKITYGQCGDVLRALGQNPTQAEVLRVLGKPKQEELNSKMMDFDTFLP
+MLQHISKNKDTGTYEDFVEGLRVFDKEGNGTVMGAELRHVLATLGEKLTEDEVEKLMAGQ
+EDSNGCINYEAFVKHIMAG
+>sp|Q32LH3|PIHD2_BOVIN PIH1 domain-containing protein 2 OS=Bos taurus OX=9913 GN=PIH1D2 PE=2 SV=1
+MESSSKGLLTQVTQFWNLLDDLAESNPESYQKFIQQQLKEGKELCAAPEPQLCLQTRILK
+PKEKLLFINLCQWKRIPAPESATHPVPLSIGRPEDISETSDVYTVIDVAYHPDVLQAAEK
+DQVKKDQLIRMAMRCIEEQFQFTLSNCYYVTKFRIKGSIQRMKQNLMGIQTNPADLREKM
+RNELTLEKIRSSTVSNSDQFPQLLLPEDQVSSKTACLIEEISSTEIKVEMKRPAYELKIV
+ADQNEKPLKIELKVELPGVNSVSLCDLSVSEDDILIEVSEKYRLYLNLPESVDTEMTTAK
+FIKEKATLIVTMPLV
+>sp|Q6Y1E2|PLP2_BOVIN Proteolipid protein 2 OS=Bos taurus OX=9913 GN=PLP2 PE=2 SV=1
+MADSERLTAPGCWAACTSFSRTRKGFLLFAEIILCLVILICFSTSTSGYSFLSVIEMIFA
+AIFFVVYMCDLHTKIQIINWPWSDFFRTLVAAILYLITSIVVLVERGNGSKIAAGALGLC
+AAGLFGYDAYITFPLRQQRHTAAPTDPADGPV
+>sp|Q32LG5|REEP6_BOVIN Receptor expression-enhancing protein 6 OS=Bos taurus OX=9913 GN=REEP6 PE=1 SV=1
+MDGLRQRFERFLEQRNLATEALGALEAKTGVDKRYLATGAATLLSLYLLFGYGAPLLCSL
+IGFAYPAYASIKAIESPSKEDDTVWLTYWVVYGLFGLAEFFSDLLLSWFPFYYAGKCAFL
+LFCMAPGPWNGAHMLYHRIIRPLFLKHHEAVDSIVSDISGRALDVAAGMTKDAGKVSVNQ
+LQKAK
+>sp|Q3SYR7|RL9_BOVIN 60S ribosomal protein L9 OS=Bos taurus OX=9913 GN=RPL9 PE=2 SV=1
+MKTILSNQTVDIPENVDINLKGRTVIVKGPRGTLRRDFNHINVELSLLGKKKKRLRVDKW
+WGNRKELATVRTICSHVQNMIKGVTLGFRYKMRSVYAHFPINVVIQENGSLVEIRNFLGE
+KYIRRVRMRPGVACSVSQAQKDELILEGNDIELVSNSAALIQQATTVKNKDIRKFLDGIY
+VSEKGTVQQADE
+>sp|Q2HJ60|ROA2_BOVIN Heterogeneous nuclear ribonucleoproteins A2/B1 OS=Bos taurus OX=9913 GN=HNRNPA2B1 PE=2 SV=1
+MEREKEQFRKLFIGGLSFETTEESLRNYYEQWGKLTDCVVMRDPASKRSRGFGFVTFSSM
+AEVDAAMAARPHSIDGRVVEPKRAVAREESGKPGAHVTVKKLFVGGIKEDTEEHHLRDYF
+EEYGKIDTIEIITDRQSGKKRGFGFVTFDDHDPVDKIVLQKYHTINGHNAEVRKALSRQE
+MQEVQSSRSGRGGNFGFGDSRGGGGNFGPGPGSNFRGGSDGYGSGRGFGDGYNGYGGGPG
+GGNFGGSPGYGGGRGGYGGGGPGYGNQGGGYGGGYDNYGGGNYGSGNYNDFGNYNQQPSN
+YGPMKSGNFGGSRNMGGPYGGGNYGPGGSGGSGGYGGRSRY
+>sp|Q5E9B8|RPB7_BOVIN DNA-directed RNA polymerase II subunit RPB7 OS=Bos taurus OX=9913 GN=POLR2G PE=1 SV=1
+MFYHISLEHEILLHPRYFGPNLLNTVKQKLFTEVEGTCTGKYGFVIAVTTIDNIGAGVIQ
+PGRGFVLYPVKYKAIVFRPFKGEVVDAVVTQVNKVGLFTEIGPMSCFISRHSIPSEMEFD
+PNSNPPCYKTMDEDIVIQQDDEIRLKIVGTRVDKNDIFAIGSLMDDYLGLVS
+>sp|A6QPR6|RFOX2_BOVIN RNA binding protein fox-1 homolog 2 OS=Bos taurus OX=9913 GN=RBFOX2 PE=2 SV=2
+MGRLQMLKHKNEPLTPGYHGFPTRDSQGNQEPTTTPDAMVQPFTTIPFPPPPQNGIPTEY
+GVPHTQDYAGQTSEHNLTLYGSTQAHGEQSSNSPSTQNGSLTTEGGAQTDGQQSQTQSSE
+NSESKSTPKRLHVSNIPFRFRDPDLRQMFGQFGKILDVEIIFNERGSKGFGFVTFENSAD
+ADRAREKLHGTVVEGRKIEVNNATARVMTNKKMVTPYANGWKLSPVVGAVYGPELYAASS
+FQADVSLGNDAAVPLSGRGGINTYIPLIIPGFPYPTAATTAAAFRGAHLRGRGRTVYGAV
+RAVPPAAIPAYPGVVYQDGFYGADLYGGYAAYRYAQPATATAATAAAAAAAAYSDGYGRV
+YTADPYHALAPAASYGVGAVASLYRGGYSRFAPY
+>sp|Q2HJG8|TAF7_BOVIN Transcription initiation factor TFIID subunit 7 OS=Bos taurus OX=9913 GN=TAF7 PE=2 SV=1
+MSKSKDDAPHELESQFILRLPPEYASTVRRAVQSGHVNLKDRLSIELHPDGRHGIVRVDR
+VPLAAKLVDLPRVMESLKTIDKKTFYKTADVCQMLVSTVDGDLYPPVEEPVATADPKASK
+KKDKDKEKKFVWNHGITLPLKNVRKRRFRKTAKKKYIESPDVEKEVKRLLSTDAEAVSTR
+WEIIAEDETKETENQGLDISSPGMSGHRQGHDSLEHDELREIFNDLSSSSEDEDETQHQD
+EEDINIIDTEEDLERQLQDKLNESDEQHQENEGTNQLVMGIQKQIDNMKGKLQETQDRAK
+RQEDLIMKVENLALKNRFQAVLDELKQKEDREKEQLSSLQEELESLLEK
+>sp|Q2TBI6|RM32_BOVIN 39S ribosomal protein L32, mitochondrial OS=Bos taurus OX=9913 GN=MRPL32 PE=1 SV=1
+MAPTMLVLLVPPFPVARGLLRNCWKQLQGKLLQSRPGFSSPPWGPALAVQGPAIFSEPTN
+DTSGSTETSSLLDSIFWMAAPKNRRSIEVNRCRRRNPHKLIKVKNNIDFCPECGHLKQKH
+VLCGYCYEKVRKETAEIRRQIGKQEGGPFKAPTVETVVLYSGETPSEHDQGKRIIERERK
+RPSWFTQN
+>sp|Q9N0F3|SYSM_BOVIN Serine--tRNA ligase, mitochondrial OS=Bos taurus OX=9913 GN=SARS2 PE=1 SV=1
+MAASIVRRLGPLVAGRGLRLRGGCVCNQSFKRSFATERQDRNLLYEHAREGYSALPLLDM
+ESLCAYPEDAARALDLRKGELRSKDLPGIISTWQELRQLREQIRSLEEEKEAVTEAVRAL
+VVNQDNSQVQQDPQYQSLRARGREIRKQLTLLYPKEAQLEEQFYLRALRLPNQTHPDVPV
+GDESQARVLHVVGDKPAFSFQPRGHLEIAEKLDIIRQKRLSHVSGHRSYYLRGAGALLQH
+GLVNFTLNKLIHRGFTPMTVPDLLRGVVFEGCGMTPNAKPSQIYNIDPSRFEDLNLAGTA
+EVGLAGYFMDHSVAFRDLPIRMVCSSTCYRAETDTGKEPWGLYRVHHFTKVEMFGVTGPG
+LEQSSELLEEFLSLQMEILTELGLHFRVLDMPTQELGLPAYRKFDIEAWMPGRGRFGEVT
+SASNCTDFQSRRLHIMFQTEAGELQFAHTVNATGCAVPRLLIALLESYQQKDGSVLVPPA
+LQPYLGTDRITTPTHVPLQYIGPNQPQKPRLPGQPASS
+>sp|Q0V8E7|STRA6_BOVIN Receptor for retinol uptake STRA6 OS=Bos taurus OX=9913 GN=STRA6 PE=1 SV=1
+MSTQAAGNQTSSGATDSEDSYDSWYIDEPQGGQELQPEGLVPSCQPNVPPSLYHTCLAVL
+SILVLFLLAMLVRRRQLWPRCGHGRPGLPSPVDFLTGDRPRTVPAAVFMVLFSSLCLLLP
+TEDPLPFLSLASPPGRDGEAETSRGPWKILALLYYPALYYPLAACATVRHGAAHLLGSLL
+SWAHLGVQVWQRAECPESPKIYKYYSLLASLPLLLGLGFLSLWYPVQLVRSFGHGAATGS
+KGLQSSYSEEYLRTLLCQKKLKSSSHTCKRGFASQAWMYFRHSVYIPQRGFRLPLKLVLS
+VTLTGTAIYQVALLLLVGVVPTIQKVRAGITTDVSYLLAGFGIVLSEDRQEVVELVKHHL
+WALEVCYISALVLSCLLTFLMLVHSLVTHRTNLRALHRGGALDIGPLTQSPRPSRQAIFC
+WMSFTAYQTAFTCLGLLVQQILFFLGTLTLAFLVFMPMLHGRNLLLLHYLKSSWPFWLTL
+ALAVTLQNAAAHWAFLDTHHGRPGLTNRRALYAATFLLFPVNVLVGTMVAAWRVLLSALY
+NAVHLGRMDLSLLPLRAATLDPGYHTYCNFLRMEASQSHPAATAFCALLLRTQRPKPRAT
+PQDGLRLGEEEEGIQLLQTKDLVAKGAGPRARQGRARWGLAYTLLHNPALQAFRKTALPG
+ARPNGAQP
+>sp|Q3ZCF3|SKP1_BOVIN S-phase kinase-associated protein 1 OS=Bos taurus OX=9913 GN=SKP1 PE=2 SV=1
+MPSIKLQSSDGEIFEVDVEIAKQSVTIKTMLEDLGMDDEGDDDPVPLPNVNAAILKKVIQ
+WCTHHKDDPPPPEDDENKEKRTDDIPVWDQEFLKVDQGTLFELILAANYLDIKGLLDVTC
+KTVANMIKGKTPEEIRKTFNIKNDFTEEEEAQVRKENQWCEEK
+>sp|Q7YQI4|T176A_BOVIN Transmembrane protein 176A OS=Bos taurus OX=9913 GN=TMEM176A PE=2 SV=1
+METVDCGEAAPRAPQPASIQVHFHHESGLAKLLLGGCSLLQPLLLPRPRATSRALGRHRL
+LATSWVMQIVLGLLSGVLGGFLYIFSSTTLRNSGAPIWTGAVAVLAGAVAFIYEKRGGIY
+WALLRTLLALAAFSTATAATIIGAGRFYEYHFIFYKGICNVSPSWRPTGAPTLSPDLERL
+QQCTAYVNMLKALFISINAMLLGVWVLLLLASLLPLCLCCWRRYRRKEKRDLPLEETVRS
+E
+>sp|Q17QJ2|TM267_BOVIN Transmembrane protein 267 OS=Bos taurus OX=9913 GN=TMEM267 PE=2 SV=1
+MASETEKTHALLQSCSTESLLSSLGLGLFCTVADRLLQFPIIQQNAWLRALSDNSVHCVI
+GMWSWAIVIGIRKKTDFGEIILAGFLASVIDIDHFLLSGSLSLKAALSLPRRPFLHCSTV
+IPTVVLTLKFTMHFFKLKDSWCFLPWMLFISWTSHHIRDGIRHGLWMCPFGKTSPLPFWL
+YVIITSSLPHICSFVMYFTGTRQMMSSKHGIHIDV
+>sp|Q2YDE2|SPT46_BOVIN Spermatogenesis-associated protein 46 OS=Bos taurus OX=9913 GN=SPATA46 PE=2 SV=1
+MENFSLLSISGTRISSSALSTLPDIMSSRATSLPDIAKPVLPTEVPSPVQALPPQCPGGV
+LRHGVHNIVISPDCILGDAPNGEQLRWNCTIYRPWFSPYSYFLCKDKESHLETYSFSEVQ
+RDEGQRDSCLPEDTADSVCSSSPSPENTCPREATKKSRPGPDTTDSITFQDILMASKWHP
+AQQNGYKCASCCRLYPTLHSLKSHIKRGFKEGFSCKVYYHKLKTLWYKEQKARPGDRLSL
+GSGQAFR
+>sp|Q6B860|RT14_BOVIN 28S ribosomal protein S14, mitochondrial OS=Bos taurus OX=9913 GN=MRPS14 PE=1 SV=1
+MATSMLGSLLRIVRQVVPSSASGQARSYYVDWRMLRDVKRRKMAYEYADERLRINSLRKN
+TILPKHLQEVADEEIAALPRDSCPVRIRNRCVMTSRPRGVKRRWRLSRIVFRHLADHGQL
+SGIQRAIW
+>sp|Q2KIA1|RCAN3_BOVIN Calcipressin-3 OS=Bos taurus OX=9913 GN=RCAN3 PE=2 SV=1
+MLRDTMNSWNDSQSDLCSTDHEEEEEMVFGENEDDLEEMMDLSDLPTSLFACRVHEAVFE
+VPEQKERFEALFTIYDDQVTFQLFKSFRRVRINFSKPEAAARARIELHETDFNGRKLKLY
+FAQVQMSGETRDKSYLQPPQPAKQFLISPPASPPVGWKQGGDATPVINYDLLCAVSKLGP
+GEKYELHAGTESTPSVVVHVCESETEEEEETKNPKQKITQTRRPEPPTAALSEPRAFDCA
+L
+>sp|P31039|SDHA_BOVIN Succinate dehydrogenase [ubiquinone] flavoprotein subunit, mitochondrial OS=Bos taurus OX=9913 GN=SDHA PE=1 SV=3
+MSGVAAVSRLWRARRLALTCTKWSAAWQTGTRSFHFTVDGNKRSSAKVSDAISAQYPVVD
+HEFDAVVVGAGGAGLRAAFGLSEAGFNTACVTKLFPTRSHTVAAQGGINAALGNMEEDNW
+RWHFYDTVKGSDWLGDQDAIHYMTEQAPASVVELENYGMPFSRTEDGKIYQRAFGGQSLK
+FGKGGQAHRCCCVADRTGHSLLHTLYGRSLRYDTSYFVEYFALDLLMESGECRGVIALCI
+EDGSIHRIRARNTVIATGGYGRTYFSCTSAHTSTGDGTAMVTRAGLPCQDLEFVQFHPTG
+IYGAGCLITEGCRGEGGILINSQGERFMERYAPVAKDLASRDVVSRSMTLEIREGRGCGP
+EKDHVYLQLHHLPPAQLAMRLPGISETAMIFAGVDVTKEPIPVLPTVHYNMGGIPTNYKG
+QVLRHVNGQDQGVPGLYACGEAACASVHGANRLGANSLLDLVVFGRACALSIAESCRPGD
+KVPSIKPNAGEESVMNLDKLRFANGSIRTSELRLNMQKSMQSHAAVFRVGSVLQEGCEKI
+SSLYGDLRHLKTFDRGMVWNTDLVETLELQNLMLCALQTIYGAEARKESRGGPRREDFKE
+RVDEYDYSKPIQGQQKKPFEQHWRKHTLSYVDIKTGKVTLEYRPVIDRTLNETDCATVPP
+AIGSY
+>sp|Q5EA00|SMAP2_BOVIN Stromal membrane-associated protein 2 OS=Bos taurus OX=9913 GN=SMAP2 PE=2 SV=1
+MTGKSVKDVDRYQAVLANLLLEEDNKFCADCQSKGPRWASWNIGVFICIRCAGIHRNLGV
+HISRVKSVNLDQWTQEQIQCMQEMGNGKANRLYEAYLPETFRRPQIDHAVEGFIRDKYEK
+KKYMDRSLDINAFRKEKDNKWKRGSEPAPEKKMEPVVFEKVKMPQKKEDPQLPRKTSPKS
+KAPVVDLLGLDAPVSCSIANGKTSNTLEKDLDLLASVSSPSSSVSRKVVGSMPTPGSAGS
+VPENLNLFPEPGSKSEETSKKQLSKDSILSLYGSQTPQMPTQAMFMAPAQMAYPTAYPSF
+PGVTPPNSLMGSMMPPPVGMVAQPGASGMVAPMAMPAGYMGGMQASMMGVPNGMMTTQQA
+SYMAGMAAMPQTMYGVQPAQQLQWNLTQMTQQMAGMNFCGTNGMLSYGQSMNGGNGQAAN
+QTLSPQMWK
+>sp|Q5BIM1|TRI45_BOVIN Tripartite motif-containing protein 45 OS=Bos taurus OX=9913 GN=TRIM45 PE=2 SV=1
+MAEKRRPLLGFVGKLPSGTTAGNSGKTHCPLCMGLFKAPRLLPCLHTVCTTCLEQLEPFS
+VVDIRGGESDTSSEGSVFQELKPRALQPQIGILCPVCDAQVDLPMGGVKALTIDHLAMND
+VMLESLRGEGQGLVCDLCSDREVEKRCQTCKANLCRFCCQAHRRQKKTTYHTMVDLKDLK
+GYSQIGKPILCPAHPAEELRLFCELCDRPVCRDCVVGEHREHPCDFTSNVIHKHGDSVRE
+LLRGTQPHVEALEEALAQIKGTNSAVQERVKAVAADIRTFSEGYIKAIEEHRDKLLKQLE
+DIRVQKENSLQLQKAQLEQLLADMRTGVEFTEHLLTSGSDLEILITKGVVVERLTKLNKV
+EYSAHPGVNEKISFSPKQKAGLCRGYEVYGAINTKEVDPAKCVLQGEDLHRAREKQPASF
+IVLCKDATGESMGRGGDNVQVTVIPNDKKDSPVKTMVHDNKDGTYYVSYTPKEPGTYTVL
+VCVKEQHVQGSPFTVTVRKRHRSHPGVFHCCTFCSSGGQKTARCACGGTMPGGYLGCGHG
+HKGHPGRPHWSCCGKFAEKSECTWAGGQSAPRSLLRTVAL
+>sp|Q3T016|TSACC_BOVIN TSSK6-activating co-chaperone protein OS=Bos taurus OX=9913 GN=TSACC PE=2 SV=1
+MEQLPSHPTNRRAKEEGNAVPLCRAKPSPSFINLQASSPPVTLLKILPTKLPSGINHKPK
+ECLGLLECMYANLQLQTQLAQQQMAILENLQASKTQLAPGKENKNSSLPALSRNLLLSHL
+PQFSK
+>sp|Q32KP0|SMRP1_BOVIN Spermatid-specific manchette-related protein 1 OS=Bos taurus OX=9913 GN=SMRP1 PE=2 SV=1
+MFLFSRKTKTPISTYSDSYRAPTSIKEVYKDPPLWAWEANKFVTPGLTHTAQRHVDPDAL
+QKMLKCAVQDYSYKGSIPSHPYFPEKYWLCPEEADRCNPNYLCGNPNYLCSNQYNTWRMG
+PYNCWNKCTTYLPRLPKEAGMETVVRGMPLVYPPKPERLNAYEREVVVNMLNSLSRNQPL
+PQITPRCGCVDPLPGRLPFQGYESACSGRHYCLRGMDYCVSGPPCTERRLRPLCTELPTV
+RSVSPCEHRSGMQCAVITPQPSYYPCPNLRWDTSHFKKTGGSQRNNYVVHPEFVSETYPD
+YHCW
+>sp|Q2KI85|SMTL2_BOVIN Smoothelin-like protein 2 OS=Bos taurus OX=9913 GN=SMTNL2 PE=2 SV=1
+MESAPDAEEARTVREALGRYEAALEGAVRALHEDMQGLQRGVEQRVAEALRLAGPLARTV
+AELQRDNQRLQTQLERLTRQVESLGLTTGLAPAPGTPSPPPAPGVPNRAPRLGTARFASH
+ATFSLSGRSQSLDHHDEASELEMRRTSNSCIIENGHQPGADPGDGPPEATQTIPAPEPPK
+PRPVSLSLRLPHQPVTAVTRVSERFSGETSATALSPTSAAILGGLSSSPSEATTPWTPSP
+SEKNSSLPRSLSSSGFGAMTASRNNNSPPLVTPPQSPPSPQPPATTQAHRPGERRRELVR
+SQTLPRTSGAQARKALFEKWEQDTAGKGKGETRAKLKRSQSFGVASASSIKQILLEWCRS
+KTLGYQHVDLQNFSSSWSDGMAFCALVHSFFPDAFDYNALSPTQRRQNFELAFTMAENLA
+NCERLIEVEDMMVMGRKPDPMCVFTYVQSLYNHLRRFE
+>sp|A5PJ82|SIM12_BOVIN Small integral membrane protein 12 OS=Bos taurus OX=9913 GN=SMIM12 PE=3 SV=1
+MWPVLWTVVRTYAPYVTFPVAFVVGAVGYHLEWFIRGKEPQPVEEEKSISERREDRKLDE
+LLGKDHTQVVSLKDKLEFAPKAVLNRNRPEKN
+>sp|A6QLV3|SHOC2_BOVIN Leucine-rich repeat protein SHOC-2 OS=Bos taurus OX=9913 GN=SHOC2 PE=2 SV=1
+MSSSLGKEKDSKEKDPKAPSAKEREKEAKASGGFGKESKEKEPKTKGKDAKDGKKDSSAA
+QPGVAFSVDNTIKRPNPAPGTRKKSSNAEVIKELNKCREENSMRLDLSKRSIHILPSSIK
+ELTQLTELYLYSNKLQSLPAEVGCLVNLMTLALSENSLTSLPDSLDNLKKLRMLDLRHNK
+LREIPSVVYRLDSLTTLYLRFNRITTVEKDIKNLSKLSMLSIRENKIKQLPAEIGELCNL
+ITLDVAHNQLEHLPKEIGNCTQITNLDLQHNELLDLPDTIGNLSSLSRLGLRYNRLSAIP
+RSLAKCSALEELNLENNNISTLPESLLSSLVKLNSLTLARNCFQLYPVGGPSQFSTIYSL
+NMEHNRINKIPFGIFSRAKVLSKLNMKDNQLTSLPLDFGTWTSMVELNLATNQLTKIPED
+VSGLVSLEVLILSNNLLKKLPHGLGNLRKLRELDLEENKLESLPNEIAYLKDLQKLVLTN
+NQLTTLPRGIGHLTNLTHLGLGENLLTHLPEEIGTLENLEELYLNDNPNLHSLPFELALC
+SKLSIMSIENCPLSHLPPQIVAGGPSFIIQFLKMQGPYRAMV
+>sp|F1MJW3|SCNNG_BOVIN Amiloride-sensitive sodium channel subunit gamma OS=Bos taurus OX=9913 GN=SCNN1G PE=3 SV=2
+MAPGEKIKAKIKKNLPVTGPQAPNIKELMQWYCLNTNTHGCRRIVVSRGRLRRLLWILFT
+LTAVALIFWQCALLISSFYTVSVSIKVHFQKLDFPAVTICNINPYKYSAVRHLLADLEQE
+TRAALKTLYGFSEITSRKRREAQSWSSVRKGTDPKFLNLAPLMAFEKGDTGKARDFFTGR
+KRKVNARIIHKASDVMHIHNSKEVVGFQLCSNDTSDCAVYTFSSGVNAIQEWYKLHYMNI
+MAQVSQEKKINMSYSADELLVTCFFDGVSCDARNFTLFHHPMYGNCYTFNNRQNETILST
+SMGGSEFGLQVILYINEEEYNPFLVSSTGAKVIIHRQDEYPFVEDVGTEIETAMATSIGM
+HLTESFKLSDPYSQCTEDWSDVQITNIYNATYSLQICLHSCFQAKMVENCGCAQYSQPLP
+RGADYCNYQQHPNWMYCYYQLHQAFVREELGCQSVCKEACSFKEWTLTTSLAQWPSEVSE
+KWLLSILTWDQSQQIKKKLNKTDLAKLLIFYKDLNQRSIMENPANSIEQLLSNIGGQLGL
+WMSCSVVCVIEIIEVFFIDSLSIIARHQWHKAKGWWARRRAPACPEAPRAPQGRDNPSLD
+IDDDLPTFTSALSLPPAPGSQVPGTPPPRYNTLRLERAFSSQLTDTQTTFPH
+>sp|O02785|SNAT_BOVIN Serotonin N-acetyltransferase OS=Bos taurus OX=9913 GN=AANAT PE=1 SV=1
+MSTPSIHCLKPSPLHLPSGIPGSPGRQRRHTLPANEFRCLTPKDAAGVFEIEREAFISVS
+GNCPLNLDEVRHFLTLCPELSLGWFVEGRLVAFIIGSLWDEERLTQESLTLHRPGGRTAH
+LHALAVHHSFRQQGKGSVLLWRYLQHAGGQPAVRRAVLMCEDALVPFYQRFGFHPAGPCA
+VVVGSLTFTEMHCSLRGHAALRRNSDR
+>sp|A1A4M6|STAR5_BOVIN StAR-related lipid transfer protein 5 OS=Bos taurus OX=9913 GN=STARD5 PE=2 SV=1
+MDLATAAQVSEAVAEKMLQYRRDKSGWKICREGNGVSVSWRPSVEFPGNLYKGEGIVNGT
+PEQVWDCVKPLAGTLRAQWDENVNSFEIIESLTDTLLFSRTTTPSAVMKLISPRDFVDLI
+LVRTYEDGTISSNAANVEHPSCPPNPAYVRGFNHPCGCFCEPLPGEPNKTSLVTFFQTDL
+SGYLPQSVVDSFFPRSMAGFYANLEKAVKKFFG
+>sp|P79103|RS4_BOVIN 40S ribosomal protein S4 OS=Bos taurus OX=9913 GN=RPS4 PE=2 SV=3
+MARGPKKHLKRVAAPKHWMLDKLTGVFAPRPSTGPHKLRECLPLIIFLRNRLKYALTGDE
+VKKICMQRFIKIDGKVRTDITYPAGFMDVISIDKTGENFRLIYDTKGRFAVHRITPEEAK
+YKLCKVRKIFVGTKGIPHLVTHDARTIRYPDPLIKVNDTIQIDLETGKITDFIKFDTGNL
+CMVTGGANLGRIGVITNRERHPGSFDVVHVKDANGNSFATRLSNIFVIGKGNKPWISLPR
+GKGIRLTIAEERDKRLAAKQSSG
+>sp|Q08E40|S39AC_BOVIN Zinc transporter ZIP12 OS=Bos taurus OX=9913 GN=SLC39A12 PE=2 SV=1
+MCFWTKPSVSWVSLFLLLSLDPSTETEKPSTQDSSSTWSPGQLTEVLRVLSADDPRPLNH
+SRSLIRTLLEKTGCPRRTDGRQGDCNLCFEPDALLLIAGGDFEDQLKEEVIQRVSLLLLY
+YIIHQEEICSSKLNMSNKEYTFYLHSLLSLRQDEDSYFLSQNETEDILAFTRQYFDTSRN
+QCMETKVLQKKSGILSSDGADENTLPQLAATIIALSLQGVCLGQRNLPPPDYFTEYIFSA
+LNSTNTLHLSELDKLLNTLWTKSTCIRKDKIHQLRRKQNSLTLHDGDYSNLSVSMDPESE
+DGPISWDQTCFSAGQLVNIFLQNKLSPISKEDFKQMSPGIIQQLLSCSCQLPQNQQAKIA
+PTTLEKYGYSTVAVTLLTLGSMLGTTLILFHSCEENYRLILQLFVGLAVGTLSGDALLHL
+IPQILGLHMQETSEFGHFYENKGHIWKLLGLIGGIHGFFLIEKCFTLLVSPGAKKGPEDA
+QAAEIPIPSVNTPNRKCKTISLLAIMILVGDSLHNFADGLVIGAAFSSSSEAGVTTTIAI
+LCHEIPHEMGDFAVLLSSGLPVKIAILMNFISALTAFIGLYIGLSVSTDPCIQNWILTVT
+AGMFLYLSLVEMLPEMTHVQTQRPWLMFLLQNFGLTLGWLSLFLLAIYEQNIKI
+>sp|A6QQF6|SBSN_BOVIN Suprabasin OS=Bos taurus OX=9913 GN=SBSN PE=2 SV=1
+MHLASLLSSCSLLLLLGALPGWAANNDPIEKVIEGINRGLSSAEREVGKALEGINNGITQ
+AGREVEKVFNGLSSMGNQAGKELEKGVQGLNRGLDKVAHGINNGVGHTGKEAEKLAHGVN
+HAAGQVGKEADTVIQGVPHGVNQAGSDAGRFGQGAHHATGQAGKEAEKFGQGAHHAAGQF
+GNEAEKFGQGAHHAAGQFGNEAEKFGQGVHHAAGQFGNEAEKFGQGVHHAAGQAGKEGEK
+IVQGVQHGVNQAGKEAEKFGQGVHHGAGQFGNEAEKFGQGVHHAAGQAGKEGEKIVQGVQ
+HGVNQAGKEAEKFGQGVHHGAGQFGNEAEKFGQGVHHAAGQAGKEGEKIVQGVQHGVNQA
+GKEAEKFGKDVHYAAGQAGKEGEKIVQGVQHGVNQAGKEAEKFGQGVHHAAGQFGKEAEK
+FGQGAHHAAEQAGKQAGKVAQGVHDGVNQAGKEAEKLGHGVNHAAGQAGKEAEKLGQGVH
+HAAGQAGKQEDRLQQNVHNGVNQAGKEANQLLNDGHPGGSTTQHGGAATTTLTSGASVNK
+PFMALSVLWKSVTSIIP
+>sp|A0JN52|SF3B3_BOVIN Splicing factor 3B subunit 3 OS=Bos taurus OX=9913 GN=SF3B3 PE=2 SV=1
+MFLYNLTLQRATGISFAIHGNFSGTKQQEIVVSRGKILELLRPDPNTGKVHTLLTVEVFG
+VIRSLMAFRLTGGTKDYIVVGSDSGRIVILEYQPSKNMFEKIHQETFGKSGCRRIVPGQF
+LAVDPKGRAVMISAIEKQKLVYILNRDAAARLTISSPLEAHKANTLVYHVVGVDVGFENP
+MFACLEMDYEEADNDPTGEAAANTQQTLTFYELDLGLNHVVRKYSEPLEEHGNFLITVPG
+GSDGPSGVLICSENYITYKNFGDQPDIRCPIPRRRNDLDDPERGMIFVCSATHKTKSMFF
+FLAQTEQGDIFKITLETDEDMVTEIRLKYFDTVPVAAAMCVLKTGFLFVASEFGNHYLYQ
+IAHLGDDDEEPEFSSAMPLEEGDTFFFQPRPLKNLVLVDELDSLSPILFCQIADLANEDT
+PQLYVACGRGPRSSLRVLRHGLEVSEMAVSELPGNPNAVWTVRRHIEDEFDAYIIVSFVN
+ATLVLSIGETVEEVTDSGFLGTTPTLSCSLLGDDALVQVYPDGIRHIRADKRVNEWKTPG
+KKTIVKCAVNQRQVVIALTGGELVYFEMDPSGQLNEYTERKEMSADVVCMSLANVPPGEQ
+RSRFLAVGLVDNTVRIISLDPSDCLQPLSMQALPAQPESLCIVEMGGTEKQDELGERGSI
+GFLYLNIGLQNGVLLRTVLDPVTGDLSDTRTRYLGSRPVKLFRVRMQGQEAVLAMSSRSW
+LSYSYQSRFHLTPLSYETLEFASGFASEQCPEGIVAISTNTLRILALEKLGAVFNQVAFP
+LQYTPRKFVIHPESNNLIIIETDHNAYTEATKAQRKQQMAEEMVEAAGEDERELAAEMAA
+AFLNENLPESIFGAPKAGNGQWASVIRVMNPIQGNTLDLVQLEQNEAAFSVAVCRFSNTG
+EDWYVLVGVAKDLILNPRSVAGGFVYTYKLVNNGEKLEFLHKTPVEEVPAAIAPFQGRVL
+IGVGKLLRVYDLGKKKLLRKCENKHIANYISGIQTIGHRVIVSDVQESFIWVRYKRNENQ
+LIIFADDTYPRWVTTASLLDYDTVAGADKFGNICVVRLPPNTNDEVDEDPTGNKALWDRG
+LLNGASQKAEVIMNYHVGETVLSLQKTTLIPGGSESLVYTTLSGGIGILVPFTSHEDHDF
+FQHVEMHLRSEHPPLCGRDHLSFRSYYFPVKNVIDGDLCEQFNSMEPNKQKNVSEELDRT
+PPEVSKKLEDIRTRYAF
+>sp|P00760|TRY1_BOVIN Cationic trypsin OS=Bos taurus OX=9913 PE=1 SV=3
+MKTFIFLALLGAAVAFPVDDDDKIVGGYTCGANTVPYQVSLNSGYHFCGGSLINSQWVVS
+AAHCYKSGIQVRLGEDNINVVEGNEQFISASKSIVHPSYNSNTLNNDIMLIKLKSAASLN
+SRVASISLPTSCASAGTQCLISGWGNTKSSGTSYPDVLKCLKAPILSDSSCKSAYPGQIT
+SNMFCAGYLEGGKDSCQGDSGGPVVCSGKLQGIVSWGSGCAQKNKPGVYTKVCNYVSWIK
+QTIASN
+>sp|P06579|TRBM_BOVIN Thrombomodulin (Fragment) OS=Bos taurus OX=9913 GN=THBD PE=2 SV=1
+RGARGETEGRWSREAPGAWACGVERGGCQHECKGSAGASNCLCPADAALQADGRSCGLPA
+EHPCHQLCEHFCHLHGLGNYTCICEAGYQLAADQHRCEDVDDCAQLPSPCPQRCVNTEGG
+FQCHCDTGYELVDGECVDPVDPCFDNNCEYQCQPVGRSEHKCICAEGFAPVPGAPHKCQM
+FCNQTSCPADCDPHYPTICRCPEGYIIDEGSTCTDINECDTNICPGQCHNLPGTYECICG
+PDSALSGQIGIDCDPTQVNEERGTPEDYGGSGEPPVSPTPGATARPSPAPAGPLHSGVLV
+GISIASLSLVVALLALLCHLRKKQGASRGELEYKCGVPAKELMLQQVKTERTPQKL
+>sp|Q17QT4|RUSD1_BOVIN RNA pseudouridylate synthase domain-containing protein 1 OS=Bos taurus OX=9913 GN=RPUSD1 PE=2 SV=1
+MEPGSVENLCVVYRSHDFLVVNKHWDVRIDSKAWRETLTLQKQLRHRFPELADPDTYYGF
+RFCHQLDFSTSGALCVALNKAAAGSAYRCFKDRRVTKAYLALVRGHVQESRMTISYAIGK
+NSTEGRTHTMCIEGTQGCENPKPSLTELVVLEHGLYAGDPVSKVLLQPLTGRTHQLRVHC
+SALGHPIVGDLTYGHALGQEDQPFRMMLHAFYLRIPTSAECVEACTPDPFVPSLDACWSP
+HTLLQPLDELVQVLRAAPDPDPSEGGPGPCSPCTPLPGPGRPPPPPETEVQRASCLQWLS
+EWTLEPDN
+>sp|Q2TBK7|RUSD3_BOVIN Mitochondrial mRNA pseudouridine synthase RPUSD3 OS=Bos taurus OX=9913 GN=RPUSD3 PE=2 SV=1
+MGGWRVLGQASGGWRRGLGIRATSTAAGFGTKARHQLQRRGASKPSDPPGDQPFPGLLRP
+GTFSREELVDVLRAAVVDSKGPLVTLNKPQGLPVTGKPGELTLLSVLPELSRSLGLGEQE
+VQVVRASGKEASGLVLLSSCSQTASRLQKFFTHSRRARKPTATYCAVTDGIPVTSEGKIQ
+AALKLEHIDGVNLVVPVQSPSRKDIMEGVKRTLSHFRVVATGSGCALVQLQPLTVFPSQL
+QAHMALQLCPVLGDHTYSARVGTVLGQRFLLPVESTKPQRQVLDEALLGRLCLTASQAAR
+LPLHLHLHCLRLPGARPRDPPIELLAPLPSYFSRTLQCLGLHYQ
+>sp|Q08DD7|SNX11_BOVIN Sorting nexin-11 OS=Bos taurus OX=9913 GN=SNX11 PE=2 SV=1
+MGFWCRMLENQEQEEVITVRVQDPRVQNEGSWNSYVDYKIFLHTNSKAFTAKTSCVRRRY
+REFVWLRKQLQRNAGLVPVPELPGKSTFFGSSDEFIEKRRQGLQHFLEKVLQSVVLLSDS
+QLHLFLQSQLSVPEIEACVQGRSPVSVSDAILRYAMSNCGWAQEERRGSSHLAEGDQPKS
+CCFLPRPGRRSSPSPPPGEEKDPFEVWAPVVDSEAPPLESPTLPPTSSPSCCGFARPDEG
+LSASQPVRRVLGGGHAVPLDPGQLETVLEK
+>sp|Q58DQ5|RT09_BOVIN 28S ribosomal protein S9, mitochondrial OS=Bos taurus OX=9913 GN=MRPS9 PE=1 SV=3
+MAAPSVSCGAAVPYRLFLAGRVSFAREQGLWKAAASGLQTGTRCQILRLKHSPAVTTTKN
+VAALRRESYTVDFIKKQIEEFNIGKRHLANMMGEDPETFTQEDVDRAITYLFPSGLFEKR
+ARPIMKHPEEIFPKQRAVQWGEDGRPFHFLFYTGKQSYYSLMHEAYGKVLHAEERQDQLR
+AKGLFSEKSKSKDLIGSRWLIKEELEEMLVEKLSDQDYAQFIRLLERLSALPCDAAEEEF
+VGRFRRTVTVQSKKHLIEPLQYDEQGMAFSTGQGKRKTANAEAVVYGHGSGKIEINGVDY
+LLYFPVTQDREQLMFPFHFLDRLGKHDVTCTVSGGGRSSQAGAIRLAMSRALCSFITEDE
+VEWMRQAGLLTTDPRVRERKKPGQEGARRKFTWKKR
+>sp|Q8HY86|STAT_BOVIN Statherin OS=Bos taurus OX=9913 GN=STATH PE=3 SV=1
+MKIFFFAFIMALMVAMIKADSSEEEHRLRFNPRFYYPNQQGGYIPSYPAYPYPYPYPVQ
+>sp|A6QLK2|SOSB1_BOVIN SOSS complex subunit B1 OS=Bos taurus OX=9913 GN=NABP2 PE=2 SV=1
+MTTETFVKDIKPGLKNLNLIFIVLETGRVTKTKDGHEVRTCKVADKTGSINISVWDDVGN
+LIQPGDIIRLTKGYASVFKGCLTLYTGRGGDLQKIGEFCMVYSEVPNFSEPNPEYSAQQA
+PNKTVQNDSGPAAPQPPTGPPATSPASESQNGNGLSAPPGSGGGPHPPHTPSHPPSTRIT
+RSQPNHTAAGPPGPSNNPVSNGKETRRSSKR
+>sp|E1B7L7|UBN2_BOVIN Ubinuclein-2 OS=Bos taurus OX=9913 GN=UBN2 PE=3 SV=1
+MAEPRRVAFISLSPVRRREAEFPGTEREPEYPREPPRLEPQPYREPARTEPPAPREVAPR
+SDAQPPPREKPLPQREVSRAEPPMSLQREPPRPEPPPPPLPQLHLQPPPPRESTSRAEPQ
+PRPPRETVRLELVLKDPTDESCVEFSYPELLLCGEQRKKPVYTEDPFNDDHQERQEVEML
+AKKFEMKYGGKPRKHRRDRLQDLIDIGFGYDETDPFIDNSEAYDELVPASLTTKYGGFYI
+NTGTLQFRQASDTEEDDTTDNQKHKPPKIPKIKEDDIEMKKRKRKEEGEKEKKPRKKVPK
+QLGVMALNSHKSEKKKKRYKDSLSLAAMIRKFQKEKDALKKESNPKTPLNFSTSSLNKPP
+SAAVALGNDVSDLNLTSADPDLPIFVSTNEHELFQEAENALEMLDDFDFDRLLDAASNGS
+PLSESGGENGNTTQPTYASQVMPKVVPTLPEGLPVLLEKRIEDLRVAAKLFDEEGRKKFF
+TQDMNNILLDIELQLQELGPVIRSGVYSHLEAFVPCNKETLVKRLKKLHLNVQDDRLREP
+LQKLKLAVSNVMPEQLFKYQEDCQARNQAKCAKFQTDEEREKNGSEEDDEEKPGKRVIGP
+RKKFHWDDTIRTLLCNLVEIKLGCYELEPNKSQSAEDYLKSFMETEVKPLWPKGWMQARM
+LFKESRSVHNHLTSAPAKKKVIPAPKPKVKECSPKKDQKTPASSVASVGGPSTSSSTSAV
+ASTSSGSTPVQETICLDDSLDEELSFHPPALDLVSEALAVINNGNKGPPAGSRISMPTAK
+PRPGLREEKLASIMSKLPLATPKKLDSTQTAHSSSLIAGHTGPVPKKPQDLAHTGISSGL
+IAGSSIQNPKVSLEPLPARLLQQGLQRSSQIHASSSSQTHVSSSSQAQVAASSHTLGTSE
+AQDASPLTQVTKVHQHSAVQQNYVSPLQATISKSQTNPVVKLSNNPQLSCSSPLTKTSDK
+PLMYRLPLSTPPPGNGSQGSHSLVSRTVPSTTTSTNYLAKAMVSQISTQGFKSPFSMAAS
+PKLASSPKPATSPKPLPSPKPSASPKPSQSAKPSVSTKLISKSNPTPKPTVSPSSSSPNA
+LVAQSSHSSSNNPVHKQPSGMNISRQSPTLNLLPLNRTSGLPSTKNLQAPSKLTNSPSTG
+TVGKNSLSGIAMNVPASRGSNLNSSGANRTSLSGGTGSGTQGATKPLSTPHRPSSASGSS
+VVAASVQSTAGASLLANASPLTLMTSPLSVTNQNVTPFGMLGGLVPVTMPFQFPLELLGF
+GTDTAGVTTTSGSTSAAFHHSLTQNLLKGLQPGAQHAAALSHAPLPTHVQQTFNDGGQSK
+GDTKLPRKSQ
+>sp|Q0IIJ3|WASF1_BOVIN Wiskott-Aldrich syndrome protein family member 1 OS=Bos taurus OX=9913 GN=WASF1 PE=2 SV=1
+MPLVKRNIDPRHLCHTALPRGIKNELECVTNISLANIIRQLSSLSKYAEDIFGELFNEAH
+SFSFRVNSLQERVDRLSVSVTQLDPKEEELSLQDITMRKAFRSSTIQDQQLFDRKTLPIP
+LQETYDVCEQPPPLNILTPYRDDGKEGLKFYTNPSYFFDLWKEKMLQDTEDKRKEKRKQK
+QKNLDRPHEPEKVPRAPHDRRREWQKLAQGPELAEDDANLLHKHIEVANGPASHFETRPQ
+TYVDHMDGSYSLSALPFSQMSELLTRAEERVLVRPHEPPPPPPMHGAGDAKPIPTCISSA
+TGLIENRPQSPATGRTPVFVSPTPPPPPPPLPSALSTSSLRASMTSTPPPPVPPPPPPPT
+TALQAPAVPPPPAPLQIAPGVLHPAPPPIAPPLVQPSPPVARAAPVCETVPVHPLPQGEV
+QGLPPPPPPPPLPPPGIRPSSPVTVAALAHPPSGLHPTPSTAPGPHVPLMPPSPPSQVTP
+ASEPKRHPSTLPVISDARSVLLEAIRKGIQLRKVEEQREQEAKHERIENDVATILSRRIA
+VEYSDSEDDSEFDEVDWLE
+>sp|A5PK00|VPS36_BOVIN Vacuolar protein-sorting-associated protein 36 OS=Bos taurus OX=9913 GN=VPS36 PE=2 SV=1
+MDRFVWTSGLLEINETLVIQQRGVRIYDGEEKIKFDPGTLLLSTHRLIWRDQKNHECCMA
+IPLSQIVFIEEQAAGIGKSAKIVVHLHPAASNKEPGPFQSSKNSYIKLSFKEHGQIEFYR
+RLSEEMTQRRWENMPVPQSLQTNRGPQPGRIRAVGIVGIERKLEEKRKETDKNISEAFED
+LSKLMVKAKEMVELSKSIANKIKDKQGDITEDETIRFKSYLLSMGIANPVTRETYGSGTQ
+YHMQLAKQLAGILQAPLEERGGIMSLTEVYCLVNRARGMELLSPEDLVNACKMLEALKLP
+LRLRVFDSGVMVIELQSHKEEEMVASALETVSEKGSLTSEEFAKLMGMSVLLAKERLLLA
+EKMGHLCRDDSVEGLRFYPNLFMTQS
+>sp|Q2KJH4|WDR1_BOVIN WD repeat-containing protein 1 OS=Bos taurus OX=9913 GN=WDR1 PE=2 SV=3
+MPYEIKKVFASLPQVERGVSKIVGGDPKGNSFLYTNGKCVILRNIDNPAIADIYTEHAHQ
+VVVAKYAPSGFYIASGDVSGKLRIWDTTQKEHLLKYEYQPFAGKIKDIAWTEDSKRIAVV
+GEGREKFGAVFLWDSGSSVGEITGHNKVINSVDIKQSRPYRLVTGSDDNCAAFFEGPPFK
+FKFTISDHGRFVNCVRFSPDGNRFATASADGQIFIYDGKTGEKVCALGGSKAHDGGIYAI
+SWSPDSTHLLSASGDKTSKIWDVNVNSVVNTFTMGSNVLDQQLGCLWQKDHLLSISLSGY
+INYLDKNNPSKPLRVIKGHSKSIQCLTVHKNGGKSYIYSGSHDGHINYWDSETGENDSFA
+GKGHTNQVSRMTVDEHGQLVSCSMDDTVRYTNLTLRDYSGQGVVKLDVQPKCLAVGPGGY
+TVVVCIGQIVLLKDQRKCFSIDNPGYEPEVVAVHPGGETVAVGGADGNVRLYSILGTTLK
+DEGKLLEAKGPVTDLAFSHDGAFLAVCDASKVVTVFSVADGYSENNVFYGHHAKIVCLAW
+SPDNEHFASGGMDMMVYVWTLSDPETRVKIQDAHRLHHVSSLAWLDEHTLVTTSHDASVK
+EWTIAY
+>sp|Q3SZZ2|XBP1_BOVIN X-box-binding protein 1 OS=Bos taurus OX=9913 GN=XBP1 PE=2 SV=1
+MVVVAPAQSPAAGAPKVLLLSGQPAATGGAPAGRALPVMVPGQQGASPEGASGVPPQARK
+RQRLTHLSPEEKALRRKLKNRVAAQTARDRKKARMSELEQQVVDLEEENQKLLLENQLLR
+EKTHGLVVENQELRQRLGMDALVTEEEAETKGNGAGLVAGSAESAALRLRAPLQQVQAQL
+SPLQNISPWTLMALTLQTLSLTSCWAFCSTWTQSCSSDVLPQSLPAWSSSQKWTQKDPVP
+YRPPLLHPWGRHQPSWKPLMN
+>sp|Q1RMM1|ZC3HF_BOVIN Zinc finger CCCH domain-containing protein 15 OS=Bos taurus OX=9913 GN=ZC3H15 PE=2 SV=1
+MPPKKQAQAGGSKKAEQKKKEKIIEDKTFGLKNKKGAKQQKFIKAVTHQVKFGQQNPRQV
+AQSEAEKKLKKDDKKKELQELNELFKPVVAAQKISKGADPKSVVCAFFKQGQCTKGDKCK
+FSHDLTLERKCEKRSVYIDARDEELEKDTMDNWDEKKLEEVVNKKHGEAEKKKPKTQIVC
+KHFLEAIENNKYGWFWVCPGGGDICMYRHALPPGFVLKKDKKKEEKEDEISLEDLIERER
+SALGPNVTKITLESFLAWKKRKRQEKIDKLEQDIERRKADFKAGKALVISGREVFEFRPE
+LVDDDDEEADDTRYTQGTGGDEVDDSVSVNDIDLSLYIPRDVDETGITVASLERFSTYTS
+EKDENKLSEASGGRAENGERSDLEEDNEGEGQENGAIDAVPVDENLFTGEDLDELEEELN
+TLDLEE
+>sp|Q148F0|URM1_BOVIN Ubiquitin-related modifier 1 OS=Bos taurus OX=9913 GN=URM1 PE=3 SV=1
+MAAPLSVEVEFGGGAELLFDGVKKHQVTLPGQEEPWDIRSLLVWIKKNLLKERPELFIQG
+DSVRPGILVLVNDADWELLGELDYQLQDQDSVLFISTLHGG
+>sp|Q32LB6|TAF1D_BOVIN TATA box-binding protein-associated factor RNA polymerase I subunit D OS=Bos taurus OX=9913 GN=TAF1D PE=2 SV=1
+MDSLNYTTACDSAVETENQSDNSSSGSSLFKTQCVPVPPKRRQRNTIRKFVHIPKNTQAT
+ESSSDSSIEPRPLTLKAIFERFKNKKRKRKKKKYKPTGRSVGRPKGRRTTRYSQITEKQF
+KDKRPGFPFLESENGRKPLPWRKILTFEQAVARGFFNYLEKLKYEYYLKESLKQMNVAED
+LEKDDLDSRRYRYLDDDGSLSPIEESAAEEETAANLEHDECDIKLVENSYFIISSEFPKK
+KQNVHLDQEEYTEETALLKKRTSKSKHWIEDKMA
+>sp|Q3MHL8|SMAP_BOVIN Small acidic protein OS=Bos taurus OX=9913 GN=SMAP PE=2 SV=1
+MSAARESHPHGVKRSASPDDDLGSSNWEAADLGNEERKQKFLRLMGAGKKEHTGRLVIGD
+HKSTSHFRTGEEDKKINEELESQYQQSMDSKLSGRYRRHCGLGFSEVDDHDGEGDVAGDD
+DDDDSPDPESPDDSESDSESEKEESTEELQAAEHPDEVEDSKNKKDAKSNYKMMFVKSSG
+S
+>sp|P48018|SYT1_BOVIN Synaptotagmin-1 OS=Bos taurus OX=9913 GN=SYT1 PE=1 SV=1
+MVSESHHEALAAPPVTTVATVLPHNATEPASPGEGKEDAFSKLKEKFMNELHKIPLPPWA
+LIAIAIVAVLLVLTCCFCICKKCLFKKKNKKKGKEKGGKNAINMKDVKDLGKTMKDQALK
+DDDAETGLTDGEEKEEPKEEEKLGKLQYSLDYDFQNNQLLVGIIQAAELPALDMGGTSDP
+YVKVFLLPDKKKKFETKVHRKTLNPVFNEQFTFKVPYSELGGKTLVMAVYDFDRFSKHDI
+IGEFKVPMNTVDFGHVTEEWRDLQSAEKEEQEKLGDICFSLRYVPTAGKLTVVILEAKNL
+KKMDVGGLSDPYVKIHLMQNGKRLKKKKTTIKKNTLNPYYNESFSFEVPFEQIQKVQVVV
+TVLDYDKIGKNDAIGKVFVGYNSTGAELRHWSDMLANPRRPIAQWHTLQVEEEVDAMLAV
+KK
+>sp|Q3ZBM7|TRPT1_BOVIN tRNA 2'-phosphotransferase 1 OS=Bos taurus OX=9913 GN=TRPT1 PE=2 SV=3
+MNSFGGRRRETAGPKGRRAHRPPQDQDRDVQLSKALSYALRHGALKLGLPMGADGFVPLD
+ALLQLPQFRSFSAEDVQRVVDTNVKQRFALQPGDPSTGPLIRANQGHSLQVPELELEPLE
+TPQALPLMLVHGTFRQHWPSILLKGLSCRGRTHIHLAPGLPGDPGVISGMRPNCEVAVFI
+NGPLALADGIPFFRSTNGVILTPGNADGVLPPKYFKEALQLRPTRKPLSLAGNEEKEHQR
+DSKHSSRGRGMTQQ
+>sp|A5D7U4|SCNNB_BOVIN Amiloride-sensitive sodium channel subunit beta OS=Bos taurus OX=9913 GN=SCNN1B PE=2 SV=1
+MHVKKYLLKGLHRLQKGPGYTYKELLVWYCDNTNTHGPKRIICEGPKKKAMWFVLTLLFT
+SLVCWQWGLFIKTYLNWEVSVSLSIGFKTMDFPAVTICNASPFQYSKVQHLLKDLDELME
+AVLGRILGPELSQVNDTRALNLSIWHHTPLVFINEQNPHHPVVLDLFEDNFNGSASNSPA
+PGRPCSAHRCKVAMRLCSHNGTTCTFRNFSSATQAVTEWYTLQATNIFAQVPNQELVAMG
+YPAERLILACLFGAEPCNYRNFTPIFHPDYGNCYIFNWGMTEKALPSANPGTEFGLKLIL
+DMGQEDYVPFLTSTAGARLMLHEQRSYPFIKEEGIYAMAGMETSIGVLVDKLQRKGEPYS
+QCTKNGSDVPIQNLYSNYNTTYSIQACIRSCFQEHMIRECGCGHYLYPLPHKRKYCNNQE
+FPDWAHCYSALRISLAQRETCIYACKESCNDTQYKMTISMAVWPSEASEDWIFHVLSQER
+DQSSNITLSRKGIVKLNIYFQEFNYRTIEESAANNIVWLLSNLGGQFGFWMGGSVLCLIE
+FGEIIIDFVWITIIKLVALAKSVRQKRAQARYEGPPPTVAELVEAHTNFGFQPDLATPGP
+DVEAYPHEQNPPIPGTPPPNYDSLRLQPLDVIESDSEGDAI
+>sp|Q32L60|TRI13_BOVIN E3 ubiquitin-protein ligase TRIM13 OS=Bos taurus OX=9913 GN=TRIM13 PE=2 SV=2
+MELLEEDLTCPICCSLFDDPRVLPCSHNFCKKCLEGILEGNVRNSLWRSSPFKCPTCRKE
+TSATGVNSLQVNYSLKGIVEKYNKIKVSPKMPVCKGHLGQPLNIFCLTDMQLICGICATR
+GEHTKHVFCSIEDAYAQERDAFESLFQSFETWRRGDALSRLDTLETSKRKSLQLLTKDSD
+KVKEFFEKLQYTLDQKKNEILSDFETMKLAVMQAYDPEINKLNTILQEQRMAFNIAEAFK
+DVSEPIIFLQQMQEFREKIKVIKETPLPPSNLPSSPLMKNFDTSQWEDIKLVDVDKLSLP
+QDTGTFISKIPWRLYPLFVVVILLGLLIFFSPTMFLEWSLFDEIATWKDNLSNFSSYLTR
+SADFVEQSVFYWEQLTDGLFIFSERLKSFTLVVLNNVAEFVCKYKLL
+>sp|A6H7E1|SYMM_BOVIN Methionine--tRNA ligase, mitochondrial OS=Bos taurus OX=9913 GN=MARS2 PE=2 SV=1
+MLRVSAFRLLGRRGASRVSLLEDFSFRYYSSGPLGVRDDTRDSRAYFTTPIFYVNAAPHI
+GHLYSALLADALCRHHRLRVPSDAATGFSTGTDEHGLKIQQAAAAAGLAPSELCDRVSAQ
+FQQLFREADISSTDFIRTTEARHRIAVQHFWGMLKSRGLLYKGLYEGWYCASDECFLPEA
+KVTRQPGPSGDLCPVSLESGHPVSWTKEENYIFRLSQFREPLQQWLRGDPQAITPEPFHH
+TVLQWLEEELPDLSVSRRSSHLHWGIPVPGDDSQTIYVWLDALVNYLTVVGYPDAEFKSW
+WPNTSHIIGKDILKFHAIYWPALLLGAGMSPPHRIYVHSHWTVCGQKMSKSLGNVVDPRT
+CLDRYTVDGFRYFLLRQGVPSWDCDYYDEKVVKLLDSELADALGGLLNRCTANKINPSGI
+YPAFCATCFPSEPGLVGPSGRAQAEDYALVSAVATLPKQVADHYDNFQIYKALEAVSSCV
+RQTNGFVQRHAPWKLNWESPVDAPWLGTVLHVALECLRVFGTLLQPVTPSLADRLLSRLG
+VSSTERSLGELHFLSRFYGHPSPFEGRRLGPETGVLFPRLDQSRSWLVKAHKT
+>sp|Q3MHM5|TBB4B_BOVIN Tubulin beta-4B chain OS=Bos taurus OX=9913 GN=TUBB4B PE=2 SV=1
+MREIVHLQAGQCGNQIGAKFWEVISDEHGIDPTGTYHGDSDLQLERINVYYNEATGGKYV
+PRAVLVDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELVDSVLDVV
+RKEAESCDCLQGFQLTHSLGGGTGSGMGTLLISKIREEYPDRIMNTFSVVPSPKVSDTVV
+EPYNATLSVHQLVENTDETYCIDNEALYDICFRTLKLTTPTYGDLNHLVSATMSGVTTCL
+RFPGQLNADLRKLAVNMVPFPRLHFFMPGFAPLTSRGSQQYRALTVPELTQQMFDAKNMM
+AACDPRHGRYLTVAAVFRGRMSMKEVDEQMLNVQNKNSSYFVEWIPNNVKTAVCDIPPRG
+LKMSATFIGNSTAIQELFKRISEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVS
+EYQQYQDATAEEEGEFEEEAEEEVA
+>sp|Q58DK8|TRI38_BOVIN E3 ubiquitin-protein ligase TRIM38 OS=Bos taurus OX=9913 GN=TRIM38 PE=2 SV=1
+MASKKMREEATCSICLHLMTNAVSISCGHSYCHVCIVSFFENLNRMTPGLKTFSCPQCRA
+PFTMASLRPNKQLGNLIEVIKEMDQEMSCEEHGEKLHLFCEDEGQLICWLCDRGAQHKGH
+ATALVEEACQGYKEKLQQAVTKLRQLEEECMNLKVFTAEQITKWNEKIEFQKQKIQSDFK
+TLQRFLHEEEKSYLWKLEKEKEQTLRRLRDNEANLEQKNHELQSHILELENKCQGSAQKL
+LQDVKDTLSRSWAVKLEQPEALSLDLHTVCNVSELYFDVKKMLRRHQVSVTLDPETAHYE
+LILSEDRRQVIRGCPQENLDNSSRRFSALPCILGYEGFTSGKHYFEVDVGEGTGWDLGVC
+MENVQRDTVMPQTPQSGFWAIRRCKEGYVALTSPLTSIHLTEKPLVVGIFLDFEAGVVSF
+YNMTTGSHIFTFPKASFSDTLRPYFQVYLYSPLFLPPPDE
+>sp|P79342|S10AD_BOVIN Protein S100-A13 OS=Bos taurus OX=9913 GN=S100A13 PE=3 SV=2
+MAAEPLTELEAAIETVVTTFFTFAGREGRKGSLSVNEFKELVTQQLPHLLKDVGSLDEKM
+KSLDVNQDSELKFSEYWRLIGELAKEIRKEKALEIRKK
+>sp|Q3T0E2|SYCP3_BOVIN Synaptonemal complex protein 3 OS=Bos taurus OX=9913 GN=SYCP3 PE=2 SV=1
+MVPSGRKHSGKLAKPSVGDQAIRAYEFEQEDKKDLSGSEEDAIEEKTPTLEKQGKKRTSA
+AVEDMGGEVQNMLERFGADINKSLLAKRKRLEMYTKASLKTSNQKLENVWKIQQEQRQKL
+NQEYSQQFLTLFQQWDIDMQKAEEQEEKLANLFRQQQKVFQQSRIVQSQRLKTIRQLYEQ
+FIKSMEDLEKNHENLLTGAQNELKKEMALLQKKIMMETVSCYSEL
+>sp|Q5EA91|TM164_BOVIN Transmembrane protein 164 OS=Bos taurus OX=9913 GN=TMEM164 PE=2 SV=1
+MSRYSYQSLLDWLYGGVDPSFAGNGGPDCAAFLSWQQRLLESVVVLTLALLEILVALRHI
+LRQTKEDGRGGRGCQPEQVTQRPEEGKESLSKNLLLVALCLTFGVEVGFKFATKTVIYLL
+NPCHLVTMMHLPFELEIYYIQHVMLYVVPIYLLWKGGAYTPEPLSSFRWALPSTGLMFFY
+HFSILQILGLVTEVNLNNMLCPAISDPFYGPWYRIWASGHQTLMTMTHGKLVILFSYMAG
+PLCKYLLDLLRLPAKKID
+>sp|Q5E9Z1|RUSD4_BOVIN Mitochondrial RNA pseudouridine synthase RPUSD4 OS=Bos taurus OX=9913 GN=RPUSD4 PE=2 SV=1
+MVAPGCSVPGLWVRGFGQCLGSLFTLFSKPLCSAAAAASQPLDAQRLAERLRAQKQQQKT
+KEPAPTNPVQRRVRELVRFTEQLQRVHPNVLAKALSRGIVHQDKELVVINKPYGLPVHGG
+PGVKLCISDVLPVLAKILHGPKAKPLHLCHRLDKETTGVMVLAWEKEVAHQVQELFRTRQ
+VTKKYWAITVRVPVPEAGVVDIPIVEKEAQGQQHHHKMTLSPSYRMDDGKMVRVRSSRNA
+QLAVTQYQVLSSSLSAALLELQPITGIKHQLRVHMSFGLDCPILGDHKYSDWNRLAPQKL
+SAGILKKLGLQQSKARHLPLHLHACQLTLPALQPQKEELTLLCRPPRYFVNSLRRLGLKM
+PSRDHSADEEAAQPGAQ
+>sp|Q0VCB1|TMM81_BOVIN Transmembrane protein 81 OS=Bos taurus OX=9913 GN=TMEM81 PE=2 SV=1
+MKTSATSFIPGSLVLAFCLPVVATSPKTLAIPEKLQEAVGKVTVNATTCTVICGLGFKEE
+TVCEVGPDGVRRKCKSQRLECLTNWLCGMLHFTLLIGKEFKLSCLSPDILEIGQGAFRFT
+WRLARGIISTDDEVFKPFRASSYFIKFQSIQEYDSGTYRCDVQLLKNLRLVKRLYFGLRV
+LPPNLVNLNFHQSLTEDQKLVDEGLEVNLDNYSRPQHPPWKKKVAIAVGIGVAGGVTGGV
+LVSIVLCGRLSVIHSSASLETLQALLPKGGMLRKPD
+>sp|Q3SZ97|SMIM7_BOVIN Small integral membrane protein 7 OS=Bos taurus OX=9913 GN=SMIM7 PE=3 SV=1
+MIGDILLFGTLLMNAGAVLNFKLKKKDTQGFGEESREPSTGDNIREFLLSLRYFRIFIAL
+WNVFMMFCMIVLFGS
+>sp|Q3SWY6|STK25_BOVIN Serine/threonine-protein kinase 25 OS=Bos taurus OX=9913 GN=STK25 PE=2 SV=1
+MAHLRGFANQHSRVDPEELFTKLDRIGKGSFGEVYKGIDNRTKEVVAIKIIDLEEAEDEI
+EDIQQEITVLSQCDSPYITRYFGSYLKSTKLWIIMEYLGGGSALDLLKPGPLEETYIATI
+LREILKGLDYLHSERKIHRDIKAANVLLSEQGDVKLADFGVAGQLTDTQIKRNTFVGTPF
+WMAPEVIKQSAYDFKADIWSLGITAIELAKGEPPNSDLHPMRVLFLIPKNSPPTLEGHHS
+KPFKEFVEACLNKDPRFRPTAKELLKHKFITRYTKKTSFLTELIDRYKRWRSEGHGEESS
+SEDSDIDGDPEDGEQGPIWTFPPTIRPSPHGKLHKGTALHGPQKSAEPVKRQPRSQCLST
+LVRPVFGELKEKHKQSGGGVGALEELENAFSLAEESCPGISDKLMAHLVERVQRFSHSRN
+HLTSTR
+>sp|Q29RH2|TET5B_BOVIN Terminal nucleotidyltransferase 5B OS=Bos taurus OX=9913 GN=TENT5B PE=2 SV=1
+MMPSESETESRDRAAAQVGTAAAAAVAKAAPAGGGPDPEASSASLGQHLSGLSWPQVKRL
+DALLSEPIPIHGRGNFPTLSVQPRQIVQVVRSSLEEQGLRVHGVRLHGSAASHVLHPESG
+LGYKDLDLVFRVDLRSEASFQLTKEVVLACLLDFLPAGVSRAKITPLTLKEAYVQKLVKV
+CTDTDRWSLISLSNKSGKNVELKFVDSVRRQFEFSVDSFQILLDSLLLFSQCSPTPMSEA
+FHPSVTGESLYGDFAEALDHLRHRVIATRSPEEIRGGGLLKYCHLLVRGFRPRPSTDVGA
+LQRYMCSRFFIDFPDLVEQRRTLERYLEAHFSGADAARRYACLVTLHRVVNESTVCLMNH
+ERRQTLDLITALALQALAEQGPAAAAALAWRCPAIPDGLVPATTVSYYVTPVQPLLARAH
+ASYPTWLPCN
+>sp|Q32P59|SLIRP_BOVIN SRA stem-loop-interacting RNA-binding protein, mitochondrial OS=Bos taurus OX=9913 GN=SLIRP PE=3 SV=1
+MAASAARGAMALRTNIGRPVAFVRKIPWTAASSELREHFAQFGHVRKCTVPFDKETGFHK
+GMGWIHFSSEEELHNALQQENHVIDGVKLHVQPQRPKALQGDQTSDEEKDF
+>sp|Q0II87|TFAM_BOVIN Transcription factor A, mitochondrial OS=Bos taurus OX=9913 GN=TFAM PE=2 SV=1
+MALLRGVWGVLNALGKSGADLCAGCGSRLRYPFSFAYVPKWFSSSLSGYPKKPMTSYVRF
+SKEQLPIFKAQNPDAKNSELIKKIAKLWRELPDSEKKIYEDAYRADWQVYKEEINRIQEQ
+LTPSQMVSLEKEIMQKRLKKKALIKKRELTMLGKPKRPRSAYNIFIAERFQEARDGTSQV
+KLKAINENWKNLSNSQKQVYIQLAKDDKIRYYNEMKSWEEQMMEVGREDLIRRSIKYPAK
+NDPEKF
+>sp|Q0VCT3|TE2IP_BOVIN Telomeric repeat-binding factor 2-interacting protein 1 OS=Bos taurus OX=9913 GN=TERF2IP PE=2 SV=1
+MAEAMELGKDPNGPTHSSTLFVREDGSSMSFYVRPSPAKRRLSTLILHGGGTLCRVQEPG
+AVLLAQPGEAAAEASGDFISTQYILDCVERNEKLELEAYRLGPAPAAYQAPETKPGVLAG
+GVAAAEPEPQSQAGRMVFTDADDVAIITYVKEHARSASSVTGNALWKAMEKSSLTQHSWQ
+SMKDRYLKRLRGQEHKYLLGEAPVSPSSQKLKRKAEQDPEAADSGEPQNKRTPDLPEEEF
+EKEEIKENEAAVKKMLVEATREFEEIVVDESPDFEIHITMCDDDPCTPEEDSETQPDEEE
+EEEEKVSAPEVGAAIKIIRQLMEKFNLDLSTVTQAFLKNSGELEATSSFLESGQRADGYP
+IWSRQDDLDLQKDDEATRDALVKKFGAQNVARRIEFRKK
+>sp|Q2KIS7|TETN_BOVIN Tetranectin OS=Bos taurus OX=9913 GN=CLEC3B PE=2 SV=1
+MELWGPCVLLCLFSLLTQVTAETPTPKAKKAANAKKDAVSPKMLEELKTQLDSLAQEVAL
+LKEQQALQTVCLKGTKVHMKCFLAFVQAKTFHEASEDCISRGGTLGTPQTGSENDALYEY
+LRQSVGSEAEVWLGFNDMASEGSWVDMTGGHIAYKNWETEITAQPDGGKVENCATLSGAA
+NGKWFDKRCRDKLPYVCQFAIV
+>sp|Q08DP1|TM10B_BOVIN tRNA methyltransferase 10 homolog B OS=Bos taurus OX=9913 GN=TRMT10B PE=2 SV=1
+MDWKLEGSAQKTESRVLQEHEITLDDPGEDGVSESFQLLQIDVGCEHWEQETLPTGSAAW
+CSKNVQRKQRHWEKIVAAKKSKRKQEKERRKANRVENSGIYPQHSKRFLRSLIKERLLEA
+KHSGPRLCIDLSMTNHMSKKELSRLAGQIRRLYGSNKKADRPFWIYLTGFTTDSPLYEEC
+LRMNDGFSSYLLDRTEEDCFSLFPLETLVYLTPDSDHALENVDLNKVYILGGLVDESIQK
+KVTFQKAQEHSVKTARLPIQEYMVKCQNGKNYHSEILTINQVFDILSTYFETQNWPEALK
+KGVSSRKGYVLRNSVE
+>sp|Q32PD5|RS19_BOVIN 40S ribosomal protein S19 OS=Bos taurus OX=9913 GN=RPS19 PE=2 SV=3
+MPGVTVKDVNQQEFVRALAAFLKKSGKLKVPEWVDTVKLAKHKELAPYDENWFYTRAAST
+ARHLYLRGGAGVGSMTKIYGGRQRNGVMPSHFSRGSKSVARRVLQALEGLKMVEKDQDGG
+RKLTPQGQRDLDRIAGQVAAANKKH
+>sp|Q3T0B7|RS27L_BOVIN 40S ribosomal protein S27-like OS=Bos taurus OX=9913 GN=RPS27L PE=3 SV=3
+MPLARDLLHPSLDEEKKKHKKKRLVQSPNSYFMDVKCPGCYKITTVFSHAQTVVLCVGCS
+TVLCQPTGGKARLTEGCSFRRKQH
+>sp|A5D7I0|T10IP_BOVIN Testis-specific protein 10-interacting protein OS=Bos taurus OX=9913 GN=TSGA10IP PE=2 SV=2
+MGQETNMLNAHQQLVRTSSGRPGHDKRQQTPGTATGLLKLLSSTPYAEQRSLGSGDGVIH
+AQKQRSRSAGQTPKKDRRLRGRNKKGQSSAEAEDVVPSSPRKPSFPFQWAWESFTTDGRA
+LHQPSSVLAPGHQALPLPLAVHHHKSRRKSTPALPEAHSFCWKTEEPNLERRQQLRACSC
+TSIPPGRGTSQELEPSGEGGLQSPRKSSGSGLEPEESEPEGLGAEEAERGLIPGELPQLP
+RRGLILEEEQFSEATEEAEDGEHRAPWRRRTSSRRKGRNSGEEAWEESEVRRLESVSSST
+NLREPQRRKPRAKELEGPWDLEKLQRKLQQELDCGPEKQPWKSLRAAVQASNWSRKAHPL
+GDDETFLFANFPNRTFHKRQEATRNLLRAWELQQREEQQQAEVRRAREQRVQHQVARCLA
+AYAPRGSRGPGAAQRKLEELRRQERQRFVEYQAELQGIQHRVQARPYLFQQAMQANARLN
+VTRRFSQVLSALGLDEEQLLAKAGKRDMEGAPRRHRSHRSVGARMEPSSQSPPKMEPTGS
+QADQHFAPNPDQELSP
+>sp|A5PJD8|TEX37_BOVIN Testis-expressed sequence 37 protein OS=Bos taurus OX=9913 GN=TEX37 PE=2 SV=1
+MSGVVFPGQAPVDLDIYQSSYMIDYRPYGKHKYARVTSEEQAKLDTQLQDKEFYRPTPSP
+NPKLEDGYPAFKRPHMTAKDLGQPGFFPPQGRVGPVEDEWRFTSTCPSVYPASHALYLAH
+GDPNRIQQSADFPCLLEPEHQPAPDVGKGYFLLPGCACTYHCTVKVPILNRWGPLMPFYQ
+>sp|Q5I2M5|TLR9_BOVIN Toll-like receptor 9 OS=Bos taurus OX=9913 GN=TLR9 PE=1 SV=1
+MGPYCAPHPLSLLVQAAALAAALAEGTLPAFLPCELQPHGQVDCNWLFLKSVPHFSAGAP
+RANVTSLSLISNRIHHLHDSDFVHLSNLRVLNLKWNCPPAGLSPMHFPCRMTIEPNTFLA
+VPTLEELNLSYNGITTVPALPSSLVSLSLSHTSILVLGPTHFTGLHALRFLYMDGNCYYM
+NPCPRALEVAPGALLGLGNLTHLSLKYNNLTEVPRRLPPSLDTLLLSYNHIVTLAPEDLA
+NLTALRVLDVGGNCRRCDHARNPCRECPKNFPKLHPDTFSHLSRLEGLVLKDSSLYKLEK
+DWFRGLGRLQVLDLSENFLYDYITKTTIFNDLTQLRRLNLSFNYHKKVSFAHLHLASSFG
+SLVSLEKLDMHGIFFRSLTNITLQSLTRLPKLQSLHLQLNFINQAQLSIFGAFPSLLFVD
+LSDNRISGAATPAAALGEVDSRVEVWRLPRGLAPGPLDAVSSKDFMPSCNLNFTLDLSRN
+NLVTIQQEMFTRLSRLQCLRLSHNSISQAVNGSQFVPLTSLRVLDLSHNKLDLYHGRSFT
+ELPQLEALDLSYNSQPFSMQGVGHNLSFVAQLPSLRYLSLAHNGIHSRVSQKLSSASLRA
+LDFSGNSLSQMWAEGDLYLCFFKGLRNLVQLDLSENHLHTLLPRHLDNLPKSLRQLRLRD
+NNLAFFNWSSLTVLPRLEALDLAGNQLKALSNGSLPPGIRLQKLDVSSNSIGFVIPGFFV
+RATRLIELNLSANALKTVDPSWFGSLAGTLKILDVSANPLHCACGAAFVDFLLERQEAVP
+GLSRRVTCGSPGQLQGRSIFTQDLRLCLDETLSLDCFGLSLLMVALGLAVPMLHHLCGWD
+LWYCFHLCLAHLPRRRRQRGEDTLLYDAVVVFDKVQSAVADWVYNELRVQLEERRGRRAL
+RLCLEERDWLPGKTLFENLWASVYSSRKTMFVLDHTDRVSGLLRASFLLAQQRLLEDRKD
+VVVLVILRPAAYRSRYVRLRQRLCRQSVLLWPHQPSGQGSFWANLGIALTRDNRHFYNRN
+FCRGPTTAE
+>sp|E1BLT8|ZDHC5_BOVIN Palmitoyltransferase ZDHHC5 OS=Bos taurus OX=9913 GN=ZDHHC5 PE=3 SV=1
+MPAESAKRFKPSKYVPVSAAAIFLVGATTLFFAFTCPGLSLSVSPAVPVYNAVVFLFVLA
+NFSMATFMDPGVFPRAEEDEDKEDDFRAPLYKTVEIKGIQVRMKWCATCRFYRPPRCSHC
+SVCDNCVEEFDHHCPWVNNCIGRRNYRYFFLFLLSLTAHITGVFGFGLLYVLYHMEELSG
+VRTAVTMAVMCVAGLFFIPVAGLTGFHVVLVARGRTTNEQVTGKFRGGVNPFTNGCCNNV
+SRVLCSSPAPRYLGRPKKEKTIVIRPPFLRPEVSDGQITVKIMDNGIQGELRRTKSKGSL
+EVTESQSADAEPPPPPKPDLSRYTGLRTHLSLATNEESSLLGKDSPPTPTMYKYRPGYSS
+SSASAAMPHSSSAKLSRGDSLKEPPSIAESSRQPSYRSEPSLEPESFRSPTLGKGFPFDP
+LSSGSRSSSLKSAQGTGFELGPLQSIRSEGTTSTSYKSLANQTRNGSLSYDSLLTPSDSP
+DFESVQAGPEPEPPLGYTSPFLSARLAQQREAERHPRLAPGGPAPREPSPVRYDNLSRHI
+VASLQEREKLLRQSPPPGREEEPGLGDSGVQCTPGSGHAPRTSSSSDDSKRSPLGKTPLA
+RPVAPRFGKPDGLRGRGLASPEPGLPAPYLGRSVSYSSQKAPPGVPETEEVALQPLLTPK
+DEVQLKTAYSKSNGQPKSIGSAPPGPGQPPLSSPTRGGVKKVSGVGGTTYEISV
+>sp|P46201|UTMP_BOVIN Uterine milk protein OS=Bos taurus OX=9913 PE=2 SV=1
+MSHGRMNLALSLVFILCGLFNSIFCEKQQHSQKHMNLVLLKKISALSQKMEAHPKDFAQE
+LFKALIIEDPRKNIIFSPMAMTTTLATLSLGIKSTMRTHHPEDLKLEPKLLDVHKYLQPL
+VHVGRELVKQKVLKHQHILFINRKMMVNQMLLQQISKLQGMDIQMIDFTDIEKAKKTISH
+HVAEKTHTKITNLITDLNPETILCLVNHIFFKGILKRAFQPKLTQKEVFFVNDQTKVQVD
+MMRKTERMLYSRSEELHATMVKMPCKGNVSLTLMLPDAGQFDTDLKKMTAKRAKLQKISD
+FRLVRLILPKLKISFKINFKHLLPKIDPKHILTATAISQAITSKAPLPNLEALHQAEIEL
+SEHALTVDTAIHTDNLLKVPVKAKEVPAVVKVPMKAKEVPAVVKVPMNTKEVPVVVKVPM
+NTKEVPVVVKVNRPFLLFVEDEKTQRDLFVGKVLNPQVE
+>sp|Q3SZU9|TM141_BOVIN Transmembrane protein 141 OS=Bos taurus OX=9913 GN=TMEM141 PE=3 SV=1
+MVNLGLSRVDDAVASKHPGLGEYAACQSNAFVKGVSTFVTGTGATFGLQMLVQRKLPYPF
+QWKVLLAVVAGSVASYWVTRVESQKCSNLWLFLETGQLPKDMGTDRRS
+>sp|Q3ZCI1|TM14C_BOVIN Transmembrane protein 14C OS=Bos taurus OX=9913 GN=TMEM14C PE=1 SV=1
+MPKDSGPLVPLHWLGFGYAALVASGGIIGYAKAGSVPSLAAGLLFGGLAGLGSYQLSQDP
+KNIWLFLVTSGTLAGIMGMRFYNSRKFMPAGLIAGASLLMVVKLGISALSKPHQ
+>sp|Q32LA4|UPP_BOVIN Uracil phosphoribosyltransferase homolog OS=Bos taurus OX=9913 GN=UPRT PE=2 SV=1
+MATELRCPDSMPCHNQQVNSASTQNPEPQQAGDLILAHAGGNSDSAATLTLLSGHAHSSV
+PAELDPQASGGATFNSENNGGTGNYDAPASDSLLGDCEISRQIGAQLKLLPMNDQIRELQ
+TIIRDKTASRGDFMFSADRLIRLVVEEGLNQLPYEECMVTTPTGFKYEGVKFEKGNCGVS
+IMRSGEAMEQGLRDCCRSIRIGKILIQSDEETQRAKVYYAKFPPDIYRRKVLLMYPILST
+GNTVIEAVKVLIEHGVQPSVIILLSLFSTPHGAKSIIQEFPEITILTTEVHPVAPTHFGQ
+KYFGTD
+>sp|P38573|UPK1B_BOVIN Uroplakin-1b OS=Bos taurus OX=9913 GN=UPK1B PE=1 SV=4
+MAKDDSTVRCFQGLLIFGNVIIGMCSIALMAECIFFVSDQNSLYPLLEATNNDDIYAAAW
+IGMFVGICLFCLSVLGIVGIMKSNRKILLVYFILMFIVYAFEVASCITAATQRDFFTPNL
+FLKQMLERYQNNSPPNNDDQWKNNGVTKTWDRLMLQDNCCGVNGPSDWQKYTSAFRTENS
+DADYPWPRQCCVMNSLKEPLNLDACKLGVPGYYHSQGCYELISGPMNRHAWGVAWFGFAI
+LCWTFWVLLGTMFYWSRIDY
+>sp|Q2HJE1|WDR91_BOVIN WD repeat-containing protein 91 OS=Bos taurus OX=9913 GN=WDR91 PE=2 SV=2
+MAEAVERTDEMVREYLLFRGFTHTLRQLDAEIKADKEKGFRVDKIVDQLQQLMQVYDLAA
+LRDYWNYLERRLFSRLEDVYRPTINKLKTSLFRFYLVYTIQTNRSDKAQEFFAKQASELQ
+NQAEWKDWFVLPFLPSPDTNPTFATYFSRQWADTFIVSLHNFLSVLFQCMPVPVILNFDA
+ECQRTNQVQEENEVLRQKLFALQAEIHRLKKEEQQPEEEEALVQHKLPPYVSNMDRLGDS
+ELAMVCSQRNASLSQSPRVGFLSSLLPQSKKSPSRLSPAQGPPQTQSSAKKESFGSQTTK
+GREPAGAPKDGKSLFGGLAPGESSWSQHRQRRLQDHGKERKELLSMTLQCAEKKPEAGGP
+EAEPCPEPHVEALETLTRVPTAGPEGGGVRPEQPFIVLGQEEYGEHHSSIMHCRVDCSGR
+RVASLDVDGVIKVWSFNPIMQTKASSISKSPLLSLEWATKRDRLLLLGSGVGTVRLYDTE
+AKKNLCEININDDMPRILSLACSPSGASFVCSAAASSLTAHVDVLAPDIGSRGTNQVPGR
+LLLWDTKTMKQQLQFSLDPEPIAINCTAFNHNGNLLVTGAADGVIRLFDMQQHECAMSWK
+AHCGEVYSVEFSYDENTVYSIGEDGKFIQWNIHKSGLKVSEYGLPADATGPFVLSGYSGY
+KQVQVPRGRLFAFDSEGNYMLTCSATGGVIYKLGGDDKVLESCVSLGGHRAPVVTVDWST
+AMDCGTCLTASMDGKIKLTTLLAHKV
+>sp|Q0VC24|WDR12_BOVIN Ribosome biogenesis protein WDR12 OS=Bos taurus OX=9913 GN=WDR12 PE=2 SV=1
+MAQLQTRFFTDNKKYAVDDVPFSIPAASEIADLSNLINKLLEAKNEFHKHVEFDFLIKGQ
+FLRMPLFKHMELENISSEEVVELEYVEKYTAPQPEQCMFHDDWISAIEGTEEWILTGSYD
+KTSRIWSLEGKSIMTIVGHTDVVKDVAWVKKDSLSCLLLSASMDQTILLWEWNVERNKVK
+ALHCCRGHAGSVDSIAVDSTGTKFCSGSWDKMLKIWSTVPTDEEDEMEESTNRPRKKQKT
+EQLGLTRTPIVTLSGHKEAISSVLWSDAEEICSASWDHTIKVWDVESGSLKSTLTGNKVF
+NCISYSPLCKRLASGSTDRHIRLWDPRTKDGSLVSLSLTSHTGWVTSVKWSPTHEQQLIS
+GSLDNMVKLWDTRSCKAPLYDLAAHEDKVLSVDWTDSGLLLSGGADNKLYSYRYSPTTSH
+VGA
+>sp|Q7PCK7|XRRA1_BOVIN X-ray radiation resistance-associated protein 1 (Fragment) OS=Bos taurus OX=9913 GN=XRRA1 PE=2 SV=2
+LLTGNGLTSLPPSLAVAEQEASVTSLTTKRYILRFPALETLMLDDNKLSNPNCFVSLAGL
+KRLKKLSLDQNRIFRIPYLQQVQLRDGSGDWVGGRGSPRKQPQSMLQSKSWIYEASDDQP
+DYTILPMKKDVDRTEVVFSSYPGFSTSETTKVCALPPIFAILPVALFPSLCELIFHNNPL
+VAHTRGVPPLLKSFLQERVGIHLIRRKIVKAKHHILMPRKDSRKVKTQVPKVPKQPMILP
+HPSVMIKSPSKEMLESEAELTTEPPPTKTISVEREMPIEGLGGPPMPHRTFVPLPPICSD
+STVHSEETSPRSDAAGRLSADQLSDEDTKSTESIFLTQVSGLSSSIFQRDDSEIKEKEQR
+PPSTAPREAKRTQKKPPSASFPRKYHGYEELLTAKPDRPCSTCVKHPLVYRSSKPRLDTL
+QKHYVPKEKRTRRIPVPPRRKTRAQLLDDILIRMRDTQNITEAPLGAVLRQRTEQRLVNQ
+KQFLEAKKLLKEFRARYRRLVRCSLRSVFGTTAPPQARPALSGSQPKLGRFLEFMDEFYQ
+EPTASDLKG
+>sp|O97790|TBCD1_BOVIN TBC1 domain family member 1 OS=Bos taurus OX=9913 GN=TBC1D1 PE=2 SV=2
+MEPITFTARKHPFPNEVSVDFGLQLVGSLPVHSLTTMPMLPWVVAEVRRLSGQSSKKEPG
+TKPVRLCVSPSGLRCEPEPGKSQQWDPLICSSIFECKPQRVHKLIHNSHDPSYFACLIKN
+DAANQQSICYVFKADDQTKVPEIISSIRQAGKIARQEELRCPSEFDDTFAKKFEVLFCGR
+VAVAHRKAPPALIDECIEGFSHVSGGFSSDQSRSALQPPGDGERGPRPMRKSFSQPGLRS
+LAFRKEFQDAGLRSSSFFSSFEESDIENHLISGHNIVQPTDIEENRTMLFTIGQSEVYLI
+SPDTKKIALQKNFKEISFCSQGIRHVDHFGFICRESSGGGGFHFVCYVFQCTNEALVDEI
+MMTLKQAFTVAAVQQTAKAPAQLCEGCPLQGLHKLCERIEGMNSSKTKLELQKHLTTLTN
+QEQATIFEEVQKLRPRNEQRENELIISFLRCLYEEKQKVHIHIGEIKQTSQIAAENIGSE
+LPSSATRFRLDMLKNKAKRSLTESLESILSRGNKARGLQEHSASLDLDSSVSSMFSNTSK
+EPSGYEKEALPISESCFRLLGSSDDLSSDSESQLTEEPALLSPKQGFRRRANTLSHVPVE
+CQEPPQLVRGSPGVSQRKLVRYHSVSTETPHERKDFESKADHISDASRTPVKTRRHSWRQ
+QIFLRVATPQKACESPKRYEDYSELGELPPRSPLEPVCEDGPFGPVPEEKKRTSHELREL
+WQKAILQQILLLRMEKENQKLQASENDLLNKRLKLDYEEITPCLKEVTTVWEKILSTPGR
+SKIKFDMEKMHSAVGQGVPRHHRGEIWKFLAEQYHLKHPFPCKQQPKDTPYKELLKQLTS
+QQHAILIDLGRTFPTHPYYSAQLGAGQLSLYNILKAYSLLDQEVGYCQGLSFVAGILLLH
+MGEEEAFNMLKFLMFDMGLRKQYRPDMIILQIQMYQLSRLLHDYHRDLYNHLEEHEIGPS
+LYAAPWFLTVFASQFPLGFVARVFDMIFLQGSEVIFKVALSLLGSHKPLILQHENLETIV
+DFIKSTLPNLGLVQMEKTISQVFETDISKQLQAYEVEYHVLQEELIDSSPLSDNQRMDKL
+EKTNSSLRKQNLDLLEQLQVANGRIQSLEATVEKLLTSESKLKQATLALELERSALLQTV
+EQLRRQTAELGSQESDPTLPKPSGD
+>sp|Q2YDF1|TRMB_BOVIN tRNA (guanine-N(7)-)-methyltransferase OS=Bos taurus OX=9913 GN=METTL1 PE=2 SV=1
+MAGTETGDAAGTEAPQPQKRYYRQRAHSNPMADHTLRYPVKPEDMDWSELYPEFFAPLPQ
+NQSHDDPKDKKEKRAQAQVEFADIGCGYGGLLVELSPLFPDTLILGLEIRVKVSDYVQDR
+IRALRAAPGGGFQNIACLRSNAMKHLPNFFHKGQLTKMFFLFPDPHFKRTKHKWRIISPT
+LLAEYAYVLRVGGLVYTITDVLELHEWMCTHFEGHPLFERVPLEELSEDPIVGHLGTSTE
+EGKKVLRNGGKNFPAIFRRIQDPALPAVTPTPTPPGH
+>sp|Q32P76|SERF1_BOVIN Small EDRK-rich factor 1 OS=Bos taurus OX=9913 GN=SERF1 PE=3 SV=1
+MARGNQRELARQKNMKKSQEISKGKRKEDSLTTSQRKQRDSEIMQQKQKAANERKSMQTR
+EK
+>sp|Q3ZBS2|TAFA5_BOVIN Chemokine-like protein TAFA-5 OS=Bos taurus OX=9913 GN=TAFA5 PE=2 SV=2
+MAPSPRTGSRQDATALPSMSSTFWAFMILASLLIAYCSQLAAGTCEIVTLDRDSSQPRRT
+IARQTARCACRKGQIAGTTRARPACVDARIIRTKQWCDMLPCLEGEGCDLLINRSGWTCT
+QPGGRIKTTTVS
+>sp|Q58CN8|SESN2_BOVIN Sestrin-2 OS=Bos taurus OX=9913 GN=SESN2 PE=2 SV=2
+MIVADSECRAELKGYLPGAGEEQRESRVRRGPRGPSAFIPVEEVLQEGAESLEQHLGLEA
+LMSSGRVDNLAVVMGLHPDYFTSFWRLHCLLLHTDGPLANSWRHYIAIMAAARHQCSYLV
+GSHMAEFLQTGGDPEWLLGLHRAPEKLRKLSEINKLLAHRPWLITKEHIQALLKTGEHSW
+SLAELIQALVLLTHCHSLASFVFGCGILPEGDPEGSPAPQAPSPPSEQSTPPSRDSLNHS
+GGFEAARDVEALMERMRQLQESLLQDEGASQEEMESRFELEKSESLLVTPSVDILEPFAN
+PDMLCFVEDPTFGYEDFTRRGTQAPPTFRAQDYTWEDHGYSLIQRLYPEGGQLLDEKFQA
+AYSLTYNTIAMHSGVDTSVLRRAIWNYIHCVFGIRYDDYDYGEVNQLLERNLKVYIKTVA
+CYPEKTTRRMYNHFWRHFRHSEKVHVNLLLLEARMQAALLYALRAITRYMT
+>sp|P17289|TY3H_BOVIN Tyrosine 3-monooxygenase OS=Bos taurus OX=9913 GN=TH PE=1 SV=5
+MPTPNAASPQAKGFRRAVSELDAKQAEAIMSPRFVGRRQSLIQDARKEREKAEAAASSSE
+SAEAAAWLERDGEAVLTLLFALPPTRPPALTRAIKVFETFEAHLHHLETRPAQPLRAGSP
+PLECFVRCEVPGPVVPALLSALRRVAEDVRAAGESKVLWFPRKVSELDKCHHLVTKFDPD
+LDLDHPGFSDQAYRQRRKLIAEIAFQYKQGDPIPHVEYTAEETATWKEVYSTLRGLYPTH
+ACREHLEAFELLERFCGYREDRIPQLEDVSRFLKERTGFQLRPAAGLLSARDFLASLAFR
+VFQCTQYIRHASSPMHSPEPECCHELLGHVPMLADRTFAQFSQDIGLASLGVSDEEIEKL
+STLYWFTVEFGLCKQNGEVKAYGAGLLSSYGELLHSLSEEPEIRAFDPDAAAVQPYQDQT
+YQPVYFVSESFSDAKDKLRSYASRIQRPFSVKFDPYTLAIDVLDSPHAIRHALDGVQDEM
+QALAHALNAIS
+>sp|P67808|YBOX1_BOVIN Nuclease-sensitive element-binding protein 1 OS=Bos taurus OX=9913 GN=YBX1 PE=2 SV=3
+MSSEAETQQPPAAPPAAPALSAADTKPGTTGSGAGSGGPGGLTSAAPAGGDKKVIATKVL
+GTVKWFNVRNGYGFINRNDTKEDVFVHQTAIKKNNPRKYLRSVGDGETVEFDVVEGEKGA
+EAANVTGPGGVPVQGSKYAADRNHYRRYPRRRGPPRNYQQNYQNSESGEKNEGSESAPEG
+QAQQRRPYRRRRFPPYYMRRPYGRRPQYSNPPVQGEVMEGADNQGAGEQGRPVRQNMYRG
+YRPRFRRGPPRQRQPREDGNEEDKENQGDETQGQQPPQRRYRRNFNYRRRRPENPKPQDG
+KETKAADPPAENSSAPEAEQGGAE
+>sp|Q1RMJ9|UCN3_BOVIN Urocortin-3 OS=Bos taurus OX=9913 GN=UCN3 PE=2 SV=1
+MLVPAPFLLVLLLLLGAPQVGLSQRSPKAGSSPSCLHTALREAEKSQRKDTSLLIKRTFP
+ALPRGDPEDQEGQEEEDTEKRTFPGSVGGGGGGGAGSTRYKYPSQAQFQGRPSQDKAKSD
+RRTKVTLSLDVPTNIMNILFNIAKAKNLRAKAAANAHLMAQIGRKK
+>sp|Q05589|UROK_BOVIN Urokinase-type plasminogen activator OS=Bos taurus OX=9913 GN=PLAU PE=2 SV=1
+MRVLLACLLVCALVVSDSDGSNEVHKESGESNCGCLNGGKCVTYKYFSNIQRCSCPKKFQ
+GEHCEIDTSKTCYQGNGHSYRGKANRDLSGRPCLAWDSPTVLLKMYHAHRSDAIQLGLGK
+HNYCRNPDNQRRPWCYVQIGLKQFVQFCMVQDCSVGKSPSSPREKEEFQCGQKALRPRFK
+IVGGQVTNAENQPWFAAIYRRHRGGSITYLCGGSLISPCWVVSATHCFIDHPKKENYIVY
+LGQSRLNSDTRGEMQFEVEKLILHEDYSAESLAHHNDIALLKIRTSRGQCAQPSRSIQTI
+CLPPEHEDAHSRTRCEITGFGKENPSDYRYSDELKMTFVSLVSHEVCQQPHYYGAEVTDK
+MLCAADPQWETDSCQGDSGGPLVCTIQGRLTLTGIVSWGRDCAMKYKPGVYTRVSKFLPW
+INTHTRGEINLVL
+>sp|Q5E936|TXD12_BOVIN Thioredoxin domain-containing protein 12 OS=Bos taurus OX=9913 GN=TXNDC12 PE=2 SV=1
+MELRPRLGATCLLGFSFLLLVTSSHGPNGLGKGFGDHIHWRTLEDGKKEAAASGLPLMVI
+IHKSWCGACKALKPKFAESTEISELSHNFVMVNLEDEEEPKDEDFSPDGGYIPRILFLDP
+SGKVRPEIINENGNPSYKYFYISAEQVVQGMKEAQERLTGDAFREKHLEDEL
+>sp|Q2TA03|UB2J2_BOVIN Ubiquitin-conjugating enzyme E2 J2 OS=Bos taurus OX=9913 GN=UBE2J2 PE=2 SV=1
+MSSNSVKRAPTTATQRLKQDYLRIKKDPVPYICAEPLPSNILEWHYVVRGPEMTPYEGGY
+YHGKLIFPREFPFKPPSIYMITPNGRFKCNTRLCLSITDFHPDTWNPAWSVSTILTGLLS
+FMVEKGPTLGSIETSDFTKRQLAAQSLVFNLKDKVFCELFPEVVEEIKQKQKAQDELSSR
+PQALPLPDVVPDGETHHGQHGLPLLNGHAPGAGPHLAGLQQANRHHGLLGGALANLFVIV
+GFAAFAYTVKYVLRSIAQE
+>sp|Q3SZV7|HEMO_BOVIN Hemopexin OS=Bos taurus OX=9913 GN=HPX PE=2 SV=1
+MARALRVPVALWLLGLCWSLAKAHPLARAPELGHGVEGGNVAKPDPEVTERCSDGWGFDA
+TTLDEHGNMLFLKGEFVWKGHAWARQLISERWKDAPSPVDAAFRYDRNSVLLIKGDKFWV
+YPPEKGEEYPKLLQEKFPGIPFPLDAAVECHRGECSHEGVFFFQGNHTWFWDFSTKTIKK
+RSWPAVGNCSSAIRWLNRYYCFRGNKFLRFDPVTGEVNSTYPRDVRDYFMSCPNRGHAHR
+NATQHMDKRCSPHLVLSALLSDNHSATYAFSENHYWRLDSSRDGWHSWRIEHLWPQGPST
+VDAAFLWDKKLYLIQGTQVYIFLTRAGYTLVKDYPKQLEKEFGSPDGVCLHSVDAAFTCP
+GSSQLYIMAGQKLWRLDLNLGAQATWTELPWLHTKVDGALCTEKSLGPHSCSANGLGLYL
+VQGPNLYCYKDVEELSKTKDLPQAQRMNSLLGCAPHQHS
+>sp|Q3ZC31|HEM0_BOVIN 5-aminolevulinate synthase, erythroid-specific, mitochondrial OS=Bos taurus OX=9913 GN=ALAS2 PE=2 SV=1
+MVTAAMLLQRCPVLIRSPTGLLGKMIKTHQFLFGIGRCPILATQGPSFSQIHLKATKAGG
+DSPSWAKSHCPFMLLELQDGKSKIVQKAAPEVQEDVKTFKTDLPTSLASTSLKKTFSSPQ
+EPEKNSEKVTHLIQNNMAGDHVFGYDQFFRDKIMEKKQDHTYRVFKTVNRWADAYPFAEH
+FFEASVASKDVSVWCSNDYLGMSRHPRVLQATQEILQRHGAGAGGTRNISGTSKFHVELE
+QELAELHQKDSALLFSSCFVANDSTLFTLAKILPGCEIYSDAGNHASMIQGIRNSGAAKF
+VFRHNDPDHLKKLLKKSNPETPKIVAFETVHSMDGAICPLEELCDVAHQYGALTFVDEVH
+AVGLYGSRGAGIGERDGIMHKIDIISGTLGKAFGCVGGYIASTRDLVDMVRSYAAGFIFT
+TSLPPMVLSGALESVRLLKGEEGQALRRAHQRNVKHMRQLLMDRGLPVIPCPSHIIPIRV
+GDAMLNTRICDLLLSKYGIYVQAINYPTVPRGEELLRLAPSPHHSPQMMEDFVEKLLEAW
+TEVGLPLQDISIAACNFCRRPVHFELMSEWERSYFGNMGPQYVTTYA
+>sp|Q0VCN1|NMRL1_BOVIN NmrA-like family domain-containing protein 1 OS=Bos taurus OX=9913 GN=NMRAL1 PE=2 SV=1
+MADKKLVVVFGATGAQGGSVARTLLEDGTFRVRVVTRDPGQRAAKQLRLQGAEVVQGDQD
+DEASMELALSGAHATFIVTNYWENCSQEQEVKQGKLLADLAKRLGLRYVVYSGLENIKKL
+TAGRLTVGHFDGKGEVEEYFRDIGVPMTSVRLPCYFENLLSYFLPQKAPDGRSYLLSLPM
+GDVPIDGMSVADLGPVVLSLLKTPEEYVGRNIGLSTCRHTVEEYAALLTKHTGKAVRDAK
+TSPEDYEKLGFPGAQDLANMFRFYALKPDRNIELTLKLNPKARRLDQWLEQHKEDFAGL
+>sp|Q0P5C3|MSX2_BOVIN Homeobox protein MSX-2 OS=Bos taurus OX=9913 GN=MSX2 PE=2 SV=1
+MASPSKGNDLFSSDEEGPAMVAGPGPGPGGAEGAAEERRVKVSSLPFSVEALMSDKKPPK
+ETSPRPAESASAGATLRPLLLPGHGVREAHSPGPLVKPFETASVKSENSEDGAAWMQEPG
+RYSPPPRHMSPTTCTLRKHKTNRKPRTPFTTSQLLALERKFRQKQYLSIAERAEFSSSLN
+LTETQVKIWFQNRRAKAKRLQEAELEKLKMAAKPMLPSGFSLPFPINSPLQAASLYGASY
+PFHRPVLPIPPVGLYATPVGYGMYHLS
+>sp|Q9BDI7|MX2_BOVIN Interferon-induced GTP-binding protein Mx2 OS=Bos taurus OX=9913 GN=MX2 PE=2 SV=1
+MSMSFRPLKYKRHTQTSTQHHPKQDIYFHQQPPGPPLGQTMSPPQWQVEESNPDFLPNNF
+NQLNLDPQQPEAKGGQQMSKGPENNLYRKYEEKVRPCIDLIDSLRALGVEQDLALPAIAV
+IGDQSSGKSSVLEALSGVALPRGSGIITRCPLVLKLTKRECEWTGKITYRNITQQLQNPS
+EVEWEIRRAQNIIAGNGLGISHELINLEITSPEVPDLTLIDLPGITRVAVENQPQDIGLQ
+IKALIKKYIQRQETINLVVVPCNVDIATTEALSMAQEVDPDGDRTIGILTKPDLVDKGTE
+KGVLKVMQNLTYHLKKGYMIVKCRGQQDITNKLSLAEATRKETMFFETHPYFRILLDEGK
+ATVPLLAERLTTELIWHINKSLPLLENQIKEKHQRATEELQQYGDDIPSDEGDKMFFLIE
+KIKVFNEDIGKLIEGEEIVMETESRLCNKIREEFTSWILILTTNIEKVKSILNEEVSKYE
+KKYRGKELLGFVNYKTFETVVKHYLGQLIDPALKMLQKAMEIVWQTFKDTAKKHFAEFCN
+LHQTVQNKIEDIKTKQMAEAANLIQLQFRMEKLVFCQDQIYGVVLNKVREDIFNSMGKAS
+ETPQSKQPFLNDQSSISSIVEIGVHLNAYFMETSKRLANQIPFIIQYFMLQENGDKVQKA
+MMQLLQDTQHYSWLLQEQSDTATKRKFLKEKIFRLTQAQQALYEFPHFKG
+>sp|Q2KJB9|MSD4_BOVIN Myb/SANT-like DNA-binding domain-containing protein 4 OS=Bos taurus OX=9913 GN=MSANTD4 PE=2 SV=1
+MKQLKRKRKSNFSVQETQTLLKEITKRKEVIFSKQLNTTINVMKRMAWEEIAQCVNAVGE
+GEQRTGTEVKRRYLDWRALMKRKKMKANIKLVGSGFPLPTSDLDDSLTEEIDEKIGFRSD
+TNFDWQNVADFRDAGGSLTEVKVEEEERDPQSPEFEIEEEEEMLSSVIPDSRRENELPDF
+PHIDEFFTLNSTPSRSAYDEPHLLVNIEKQKLELEKRRLDIEAERLQVEKERLQIEKERL
+RHLDMEHERLQLEKERLQIEREKLRLQIVNSEKPSLESELGQGEKSIHQPQDIETEKLKL
+ERERLQLEKDRLQFLKFESEKLQIEKERLQVEKERLRIQKEGHLQ
+>sp|Q3ZBJ1|NCBP2_BOVIN Nuclear cap-binding protein subunit 2 OS=Bos taurus OX=9913 GN=NCBP2 PE=2 SV=1
+MSGGLLKALRSDSYVELSQYRDQHFRGDNEEQEKLLKKSCTLYVGNLSFYTTEEQIYELF
+SKSGDIKKIIMGLDKMKKTACGFCFVEYYSRADAENAMRYINGTRLDDRIIRTDWDAGFK
+EGRQYGRGRSGGQVRDEYRQDYDAGRGGYGKLAQNQ
+>sp|P62248|MYDGF_BOVIN Myeloid-derived growth factor OS=Bos taurus OX=9913 GN=MYDGF PE=2 SV=1
+MAAPSGRRNGSGGANLWVSLLLAAAALRPVETVSEPTTVAFDVRPGGVVHSFSQNVGPGD
+KYTCVFTYASQGGTNEKWQMSLGTSEDHQHFTCTIWRPQGKSYLYFTQFKAEVRGAEIEY
+GMAYSKAAFEKESDVPLKNEEFEVTKTAVFHRPGAFKAELSKLVIVAKATRSEL
+>sp|Q1LZE9|PRS23_BOVIN Serine protease 23 OS=Bos taurus OX=9913 GN=PRSS23 PE=2 SV=1
+MAGTPGHPIFLLLLLRAIGQVSPYSTHWKPTWPAYRLPVVLPQSTFNLAKPDFGAEAKLE
+VSSSCGPQCHKGTPLPTYEEAKQYLSYETLYANGSRTETQVGIYVLRSGEEQSSGKSRRK
+RQIYGYDSRFSIFGKDFLLNYPFSTSVKLSTGCTGTLVAEKHVLTAAHCIHDGKTYVKGT
+QKLRVGFLKPKFKDGRGANDSHSALPEKMKFQWIRVKRTHVPKGWIKGNANDIGMDYDYA
+LLELKKPHKRKFMKIGVSPPAKQLPGGRIHFSGYDNDRPGNLVYRFCDVQDETYDLLYQQ
+CDAQPGASGSGVYVRMWKRQQQKWERKIIGIFSGHQWVDVNGSPQDFNVAVRITPLKYAQ
+ICYWIKGNYVDCREG
+>sp|P79350|OPRM_BOVIN Mu-type opioid receptor OS=Bos taurus OX=9913 GN=OPRM1 PE=2 SV=2
+MDSGAVPTNASNCTDPFTHPSSCSPAPSPSSWVNFSHLEGNLSDPCGPNRTELGGSDRLC
+PSAGSPSMITAIIIMALYSIVCVVGLFGNFLVMYVIVRYTKMKTATNIYIFNLALADALA
+TSTLPFQSVNYLMGTWPFGTILCKIVISIDYYNMFTSIFTLCTMSVDRYIAVCHPVKALD
+LRTPRNAKIINICNWILSSAIGLPVMFMATTKYRQGSIDCTLTFSHPTWYWENLLKICVF
+IFAFIMPILIITVCYGLMILRLKSVRMLSGSKEKDRNLRRITRMVLVVVAVFIVCWTPIH
+IYVIIKALITIPETTFQTVSWHFCIALGYTNSCLNPVLYAFLDENFKRCFREFCIPTSST
+IEQQNSTRIRQNTRDHPSTANTVDRTNHQLENLEAETTPLP
+>sp|A4IFC9|PTOV1_BOVIN Prostate tumor-overexpressed gene 1 protein homolog OS=Bos taurus OX=9913 GN=PTOV1 PE=2 SV=1
+MVRPRRAPHRSGAGGPLGGRGRPLRPFTARAARSRSWPASPRGPQPPRIRARSAPPMQGA
+RVFGALGPIGPSSPGLALGGLAVGEHRLSNKLLAWSGVLEWQEKRRPYSDSTAKLKRALP
+CQAYVNQGENLETDQWPQKLIMQLIPQQLLTTLGPLFRNSQLAQFHFTNRDCDSLKGLCR
+VMGNGFAGCMLFPHISPCEVRVLMLLYSSKKKIFMGLIPYDQSGFVNAIRQVITTRKQAV
+GPGGVAGPVQIVNNKFLAWSGVMEWQEPRPEPHSRSKRWLPSHIYVNQGEILRTEQWPRK
+LYMQLIPQQLLTTLVPLFRNSRLVQFHFTKDLETLKSLCRIMDNGFAGCVHFSYKASCEV
+RVLMLLYSSEKKIFIGLIPHDQSNFVNGIRRVIANQQQVLQRNLEQEQQQRGMGG
+>sp|Q2T9U5|REXO5_BOVIN RNA exonuclease 5 OS=Bos taurus OX=9913 GN=REXO5 PE=2 SV=1
+MEPERKRSGSTLKKGRKRRLIPSKVVGAAEATRSHWDLEEKQQPIAKKARLSTVLFAENC
+EVTHGQLCELLKYAVLGKSSFPKPSWCQLFHQNHLKNVVVFILQGLSQLHFYKFYLEFGF
+LRKAFKHKFRMPPPSSDFLADIIGLQKKQIIGNLPKAMEGSLPFASSKVSINLQKDPIIQ
+KYGFKKVGLTRCLLTKEEMKTYHFPLQGFLDCENFVPTKCNGSVTDNSPLFGLDCEMCLT
+SKGRELTRISLVAEGGGCVMDELVKPDNKIVDYLTSFSGITKKILNPVTTKLKDVQRRLK
+ILLPPDAVLVGHSLDLDLRALKMIHPYVIDTSLLYVREQGRRFKLKFLAKAILGKDIQCP
+DRLGHDATEDARTTLELARYFLKYGPKKIAELNLEALFSYQESQEPRNTAEGVQRLNTSV
+LECLDSVGQKLLFLTQEADASALSSSKNCQTIKCLSNKEVLEQARVEIPLFPFSIVQFSF
+EPFSPNLTQEMNKRIKIKWTEMSTVYAGPFNKNCNLSALKRLFKSFGPVQLMTLVLETHQ
+PHLCIQYEVLEAAQLAIESLDGVLVEGSCIKVQRPVTELTLDCDTLVNELEQDSENRGTI
+YLSGVSETFKEHLLQHCSIFLGLEAMILPKDLKSGKQKGYCFLRFKTFGSAQRALNILTG
+KDWKLRGRHALTPRHLHAWLRGLARESRLPGVRVIPPPSEQEAWQMLNVDHPKIAAWHWG
+RKIEKLYHNLCPGTLCLILLPGTKSTHGSLSGLGLMGIKDEEESTIPQTCVCESAHHLPG
+VIS
+>sp|P01252|PTMA_BOVIN Prothymosin alpha OS=Bos taurus OX=9913 GN=PTMA PE=1 SV=2
+MSDAAVDTSSEITTKDLKEKKEVVEEAENGREAPANGNANEENGEQEADNEVDEEEEEGG
+EEEEEEEEGDGEEEDGDEDEEAEAATGKRAAEDDEDDDVDTKKQKTDEDD
+>sp|Q5E9W3|PYRD_BOVIN Dihydroorotate dehydrogenase (quinone), mitochondrial OS=Bos taurus OX=9913 GN=DHODH PE=1 SV=1
+MAWRQLKKRAQDAMVILGGGGLLFASYLTATGDEHFYAELLMPSLQRLLDPETAHRLAVR
+FTSLGLLPRTTFQDSDMLEVRVLGHKFRNPVGIAAGFDKHGEAVDGLYKMGFGFVEIGSV
+TPEPQEGNPRPRVFRLPEDQAIINRYGFNSHGLSVVEHRLRARQQTQARLTEDGLPLGIN
+LGKNKTSVDAASDYAEGVRVLGPLADYLVVNVSSPNTAGLRSLQGKAELRRLLTKVLQER
+DALKVAHKPAVLVKIAPDLTAQDKEDIASVVRELGIDGLIVTNSTVSRPASLQGALRSEP
+GGLSGKPLRDLSTQTIREMYALTQGRVPIVGVGGVSSGQDALEKIRAGASLVQLYTALTY
+RGPPVVGGVKRELEALLKEQGFARVTDAIGADHRR
+>sp|Q0P574|SDHF3_BOVIN Succinate dehydrogenase assembly factor 3, mitochondrial OS=Bos taurus OX=9913 GN=SDHAF3 PE=2 SV=1
+MSALHVSRVRALYRRILLLHRVLPPDLKDLGDRYVKDEFRRHKTAGSKEAERFLQEWEAY
+AAVLWQQANESRQNSTEKACFGISLPEEKLNDFRDEQIGQLQELMQEATKPNRQFNITES
+RKPKL
+>sp|Q58D05|TRAD1_BOVIN TRAF-type zinc finger domain-containing protein 1 OS=Bos taurus OX=9913 GN=TRAFD1 PE=2 SV=1
+MAEFLNDQDTRLCDNCKKEIPVFNFTIHEIHCQRNIGVCPVCKEPFPKCDMETHMATEHC
+QVTCKCNKKLEKRQLKKHEETECPLRLALCQHCDLELSVLKLKDHEDYCGARTELCGTCG
+RNVLVKDLKTHPEVCGRDVEEKRVEAAMPPNAYDESWGPDRIWIASQLRQIEALDPPMRL
+PRRPLRAFESDLFQSRTTNQRSMTAQFPIQNNLLEEQERQERNRSRQTPKERGEDSANLD
+FMLALSLQNEGQAPTLAEQDFWRVIYEADQSREGPSALNDIRGAVDETMLPCEFCEELYP
+EELLIDHQTSCNPSCALPPLSVGSTSPRGVEDPDAIFQKLMRESAGGQFESLMGFSSSAP
+VEDSVVIPCEFCGVQLEEEVLFHHQDQCDQRPATANNHVSEGIPSQDLQPRETSPELPKR
+RVRHQGDLSSGYMNDLKQEMAKGPTYPLPSSRPPNNTTAPPNRLSTSTSGPRPGCQPSPP
+RALKLNNLDSQGVRGHSRNSHNGALAPGHVPAAYPARSLYPENLVPSFPRGPSGRYGASS
+RSEGGRNPRVTPTAASYRSRTAKAKTPKQQGAGDAEEEEE
+>sp|A4IFJ1|SYN1L_BOVIN Synapse differentiation-inducing gene protein 1-like OS=Bos taurus OX=9913 GN=SYNDIG1L PE=2 SV=1
+MESLSELQNPLLPRSPTHLHGPYPYPEASPAWPCREKIYSYLLGGAGPAHAHQLLDPGSL
+QLAVEAWYRPSCLLGRDKVKEPRPGSCETSFTEGREPPAGPTERSTEPGQAEEDVAIQTV
+SYGVQEEFQGQEGDPEEEESDATSTESESEDNFLTLPPRDHLGLTIFSMLCCFWPLGIAA
+FYFSQGTSKAISKGDFRLANTTSRRALFLATLSIAVGAGLYVAVVVALAAYMSQNGHS
+>sp|A2VDU2|SIN1_BOVIN Target of rapamycin complex 2 subunit MAPKAP1 OS=Bos taurus OX=9913 GN=MAPKAP1 PE=2 SV=1
+MAFLDNPTIILAHIRQSHVTSDDTGMCEMVLIDHDVDLEKIHPPSMPGDSGSEIQGSNGE
+TQGYVYAQSVDITSSWDFGIRRRSNTAQRLERLRKERQNQIKCKNIQWKERNSKQSAQEL
+KSLFEKKSLKEKPPNSGKQSILSVRLEQCPLQLNNPFNEYSKFDGKGHVGTTATKKIDVY
+LPLHSSQDRLLPMTVVTMASARVQDLIGLICWQYTSEGREPKLNDNVSAYCLHIAEDDGE
+VDTDFPPLDSNEPIHKFGFSTLALVEKYSSPGLTSKESLFVRINAAHGFSLIQVDNTKVT
+MKEILLKAVKRRKGSQKISGPQYRLEKQSEPNVAVDLESTLESQSAWEFCLVRENSSRAD
+GVFEEDSQIDIATVQDMLSSHHYKSFKVSMIHRLRFTTDVQLGISGDKVEIDPVTSQKAS
+TKFWIKQKPISIDSDLLCACDLAEEKSPSHAIFKLTYLSNHDYKHLYFESDAATVNEIVL
+KVNYILESRASTARADYFAQKQRKLNRRTSFSFQKEKKSGQQ
+>sp|Q32KN8|TBA3_BOVIN Tubulin alpha-3 chain OS=Bos taurus OX=9913 GN=TUBA3 PE=2 SV=1
+MRECISIHVGQAGVQIGNACWELYCLEHGIQPDGQMPSDKTIGGGDDSFNTFFSETGAGK
+HVPRAVFVDLEPTVVDEVRTGTYRQLFHPEQLITGKEDAANNYARGHYTIGKEIVDLVLD
+RIRKLADLCTGLQGFLIFHSFGGGTGSGFASLLMERLSVDYGKKSKLEFAIYPAPQVSTA
+VVEPYNSILTTHTTLEHSDCAFMVDNEAIYDICRRNLDIERPTYTNLNRLIGQIVSSITA
+SLRFDGALNVDLTEFQTNLVPYPRIHFPLATYAPVISAEKAYHEQLSVAEITNACFEPAN
+QMVKCDPRHGKYMACCMLYRGDVVPKDVNAAIATIKTKRTIQFVDWCPTGFKVGINYQPP
+TVVPGGDLAKVQRAVCMLSNTTAIAEAWARLDHKLDLMYAKRAFVHWYVGEGMEEGEFSE
+AREDLAALEKDYEEVGVDSVEAEAEEGEEY
+>sp|Q7PCJ8|SAT2_BOVIN Diamine acetyltransferase 2 OS=Bos taurus OX=9913 GN=SAT2 PE=2 SV=1
+MAFVMIREAKEGDCGNILRLIRELAEYEKLSDQVKISEEALRADGFGETPFYHCLVAEIL
+SAPGEPQGPCVVGYGLYYFSYSTWKGRNIYLEDIYVKPEYRGQGIGSKIIKKVAEVALDK
+GCSQLRLAVLDWNKRAMDLYKALGAQDLTEAEGWHCFRFEGEAMRELAGK
+>sp|O46631|SHPS1_BOVIN Tyrosine-protein phosphatase non-receptor type substrate 1 OS=Bos taurus OX=9913 GN=SIRPA PE=2 SV=1
+MEPARPAPGRLRPLLCLLLAASNAWTGTAGDGELQVIQPERSVSVAAGETATLHCTVTSL
+SPVGPIKWFKGTGPGREFIYSQKEAPFPRVTNVSDATKRNNMDFSIRISNITPADAGVYY
+CVKFRKEERGDMEFKSGPGTHLTVSAKPSPPVLSGPTVRATPEQTVNFTCTSHGFSPRNI
+SLKWFKNGNELSASQTSVDPEDNNVSYSINSTTKVLLATGDVHSQVICEVAHVTLQGGPP
+LRGTANLSETIRVPPTLEITGSPSAGNQVNVTCQVNKFYPRHLQLTWLENGNMSRTEAAS
+VFVENKDGTFNQTSWFLVNSSAHREAVVLTCQVEHDGQPAVSKNHTLEVSAPQKDQDTGQ
+TPGPNDSNWTSIFIVVGVVCALLVALLIAALYLLRIRQNKAKGSTSSTRLHEPEKNTRET
+TQIQDNNDITYADLNLPKGKKSTPKANEPNNHTEYASIQARPPPVSEDTLTYADLDMVHL
+NRTPKQPAPKPEPSYSEYASVQVQRK
+>sp|Q148E7|SNX15_BOVIN Sorting nexin-15 OS=Bos taurus OX=9913 GN=SNX15 PE=2 SV=1
+MSRQAKDDFLRHYTVSDPRTHPKGYTEYKVTAQFISKRDPEDVKEVVVWKRYSDFRKLHG
+DLAYTHRNLFRRLEEFPAFPRGQVFGRFEASVIEERRKGAEDLLRFTVHIPALNNSPQLK
+EFFRGGEVTRPSEVSGDLHILPPPLIPTPPPDEPRVQPHETWLPQPLPAERRGLEELEVP
+ADPPPSSPAQEALDLLFNCGSTEEASSSPARGPLTEAELALFDPFSKEEGAGPSPTHIGE
+LAALEAGSGRPDQEPWEPGGQAEEDDEEGEPAPAYLSQATELITQALRDEKAGAYPAALQ
+GYRDGVHILLQGVPGDPSPARREGVKKKAAEYLKRAEEILHLHLS
+>sp|A6QPA0|SHSA2_BOVIN Protein shisa-2 homolog OS=Bos taurus OX=9913 GN=SHISA2 PE=2 SV=1
+MWAGCHPDAASLLRLLLAALLAAGALASGEYCHGWLDAQGVWRIGFQCPERFDGGDATIC
+CGSCALRYCCSSADARLDQGGCDNDRQQGAGEPGRADKDGPDGSAVPIYVPFLIVGSVFV
+AFIVLGSLVAACCCRCLRPKQEPQLSRAPGGPRLVETIPMIPSASTSRGSSSRQSSTAAS
+SSSSANSGARAPPTRSQTNCCLPEGTMNNVYVNMPTNFSVLNCQQATQIVPHQGQYLHPP
+FVGYTVQPDSVPLTPVPPFLDGLQTGYRQLQAPFPHTNSEQKMYPAVTV
+>sp|O97680|THIO_BOVIN Thioredoxin OS=Bos taurus OX=9913 GN=TXN PE=3 SV=3
+MVKQIESKYAFQEALNSAGEKLVVVDFSATWCGPCKMIKPFFHSLSEKYSNVVFLEVDVD
+DCQDVAAECEVKCMPTFQFFKKGQKVGEFSGANKEKLEATINELI
+>sp|Q32PF0|TM201_BOVIN Transmembrane protein 201 OS=Bos taurus OX=9913 GN=TMEM201 PE=2 SV=1
+MEGVSALLARCPTAGLAGGLGVTACAAAGVLLYRIARRMKPTHTVVNCWFCNQDTVVPYG
+NRNCWDCPHCEQYNGFQENGDYNKPIPAQYLEHLNHVVSGSPGPRAPAQPLQWVSSQVLL
+CRRCSHHQTAKVKQLAAFSPRDEGRYDEEIEVYRHHLEQMYKLCRPCQAAVEHYIKHQNR
+QLRALLLSHQFKRREADQTHTQSFCASAVKAPAQVIVLRALAFLACAFLLTTALYGTSNP
+FAPGAPLPPTLPTGSNGSAPPDNGTATGAEGWRQLLGLLPEHAAEKLREAWAFGQSHQMG
+VVALGLLTCLLAMLLAGRIRLRRIDAFSTGLWALLLGLHLAEQYLQAASPSWLDTLKFST
+TSLCCLVGFTAAVATRKATGPRRFRPRRSEKQQ
+>sp|Q0D2G3|TM254_BOVIN Transmembrane protein 254 OS=Bos taurus OX=9913 GN=TMEM254 PE=2 SV=1
+MGKARGDEAYFQRSSLFWVTIIILSFGYYTWVIFWPESIPYQSLGPLGPFTQYLLKHHHT
+LVHAWYWLAWMIHVGESLYAIVLCKSKGITNTWTQLLWFLQTFLFGLASLYYLIAFRPKH
+QKQT
+>sp|A5D7F8|SH3R1_BOVIN E3 ubiquitin-protein ligase SH3RF1 OS=Bos taurus OX=9913 GN=SH3RF1 PE=2 SV=1
+MDESALLDLLECPVCLERLDASAKVLPCQHTFCKRCLLGIVGSRNELRCPECRTLVGSGV
+EQLPSNILLVRLLDGIKQRPWKPGPVGGSGTNGTSALRAQSSAVVTCSPKDGPSSQGGPQ
+PRAQAWSPPVRGIPQLPCAKALYNYEGKEPGDLKFSKGDIIVLRRQVDENWYHGEVGGVH
+GFFPTNFVQIIKPLPQPPPQCKALYDFEVKDKEADKDCLPFAKDDVLTVIRRVDENWAEG
+MLADKIGIFPISYVEFNSAAKQLIEWDQPPGPGVAAGEGALATTPSSTTTKQPDGKKNTK
+KRHSFTSLSMASKASQAAQQRHSMEISPPVLISSSNPAAAARIGELAGLSCSAPSQVHIS
+TTGLIVTPPPSSPVTTGPSFTFPAEAPYPAALATLNPPLPPPPLQAATPTGTAVAAAAGM
+GPRPTAGPTDQTTHPRPQPRPSVYVAIYPYTPRKEDELELRKGEMFLVFERCQDGWFKGT
+SMHTSKIGVFPGNYVAPVTRAVTSASQGKVPMLTTGPASRGGVLANPPSTGGPAQKPPGN
+GVAGGPGVPTAVVSAAHVQTSPQAKVLLHASGQMTVNQARSAARTVSAHSQERPTAAVTP
+IQVQSTPGQSHHPLVSPQPPAPLGPPAHAAASGLGRVGGPLACATAPASIPAASLEPEPS
+SRPATLLPGTPTSPDSGSAARPDKDGKKEKKGLLKLLSGASTKRKPRGSPPASPTLDAEL
+GAELSCGPPGPPCACPGPCDGDTMAPGPQRRASSLDSAPVAPPPRQPCSSLGPAASEVRP
+AVCERHRVVVSYPPQSEAELELKEGDIVFVHKKREDGWFKGTLQRNGKTGLFPGSFVENI
+>sp|Q8MJ87|NUB1_BOVIN NEDD8 ultimate buster 1 (Fragment) OS=Bos taurus OX=9913 GN=NUB1 PE=1 SV=1
+LGLRACDGNVDHAAVHIANRREELDQIKKEEREKKKRRLENINHLKGMGYSMRAARQALH
+QAAGNLEEALKILLHNPQLWWLNDSAPESNNRQQSPSQEKIDQLVYMGFDAVAAKAALRV
+FRDNVQLAAQTLVHNGGRLPPDLQLSAEDSSSTPSTSPSDSAGTSSASTDEDMETEAVNE
+ILEDIPEHEEDYLDSTLEDEEIIIAEYLSYVENIKSAAKKN
+>sp|P11180|ODP2_BOVIN Dihydrolipoyllysine-residue acetyltransferase component of pyruvate dehydrogenase complex (Fragment) OS=Bos taurus OX=9913 GN=DLAT PE=1 SV=1
+VETDKATVGF
+>sp|P03920|NU5M_BOVIN NADH-ubiquinone oxidoreductase chain 5 OS=Bos taurus OX=9913 GN=MT-ND5 PE=1 SV=2
+MNMFSSLSLVTLLLLTMPIMMMSFNTYKPSNYPLYVKTAISYAFITSMIPTMMFIHSGQE
+LIISNWHWLTIQTLKLSLSFKMDYFSMMFIPVALFVTWSIMEFSMWYMYSDPNINKFFKY
+LLLFLITMLILVTANNLFQLFIGWEGVGIMSFLLIGWWYGRADANTAALQAILYNRIGDI
+GFILAMAWFLTNLNTWDLQQIFMLNPSDSNMPLIGLALAATGKSAQFGLHPWLPSAMEGP
+TPVSALLHSSTMVVAGIFLLIRFYPLTENNKYIQSITLCLGAITTLFTAMCALTQNDIKK
+IIAFSTSSQLGLMMVTIGINQPYLAFLHICTHAFFKAMLFMCSGSIIHSLNDEQDIRKMG
+GLFKAMPFTTTALIVGSLALTGMPFLTGFYSKDLIIEAANTSYTNAWALLMTLIATSFTA
+IYSTRIIFFALLGQPRFPTLVNINENNPLLINSIKRLLIGSLFAGYIISNNIPPTTIPQM
+TMPYYLKTTALIVTILGFILALEISNMTKNLKYHYPSNAFKFSTLLGYFPTIMHRLAPYM
+NLSMSQKSASSLLDLIWLEAILPKTISLAQMKASTLVTNQKGLIKLYFLSFLITILISMI
+LFNFHE
+>sp|Q3T0C2|PGDH_BOVIN 15-hydroxyprostaglandin dehydrogenase [NAD(+)] OS=Bos taurus OX=9913 GN=HPGD PE=2 SV=1
+MHVNGKVALVTGAAQGIGRAFAEALLLKGAKVALVDWNLEAGVKCKAALDEQFEPQKTLF
+IQCDVADQEQLRDTFRKVVDHFGKLDILVNNAGVNNEKNWEKTLQINLVSVISGTYLGLD
+YMSKQNGGEGGININMSSLAGLMPVAQQPVYCASKHGIVGFTRSAAMAANLMNSGVRLNA
+ICPGFVDTPILKSIEKEENMGKYIEYMGPIKDMMKYYGILDPSMIANGLITLIEDDALNG
+AIMKITTSKGIHFQDYDTTPFHMKMQ
+>sp|Q29RM3|REEP5_BOVIN Receptor expression-enhancing protein 5 OS=Bos taurus OX=9913 GN=REEP5 PE=2 SV=1
+MSAAMRQRFDQFLHQKNCMTDLLAKTEAKTGVNRSFIALGVIGLLALYLVFGYGASLLCN
+LIGFGYPAYVSIKAIESPNKEDDTQWLTYWVVYGVFSIVEFFSDLFLSWFPFYYMLKCGF
+LLWCMAPSPANGADLLYKRIIRPFFLKHESQVDNVVNDLKDKAKETADTISKEARKAAVS
+LLGEEKKST
+>sp|Q28175|RPE65_BOVIN Retinoid isomerohydrolase OS=Bos taurus OX=9913 GN=RPE65 PE=1 SV=3
+MSSQVEHPAGGYKKLFETVEELSSPLTAHVTGRIPLWLTGSLLRCGPGLFEVGSEPFYHL
+FDGQALLHKFDFKEGHVTYHRRFIRTDAYVRAMTEKRIVITEFGTCAFPDPCKNIFSRFF
+SYFRGVEVTDNALVNIYPVGEDYYACTETNFITKVNPETLETIKQVDLCNYVSVNGATAH
+PHIENDGTVYNIGNCFGKNFSIAYNIVKIPPLQADKEDPISKSEIVVQFPCSDRFKPSYV
+HSFGLTPNYIVFVETPVKINLFKFLSSWSLWGANYMDCFESNETMGVWLHIADKKRKKYI
+NNKYRTSPFNLFHHINTYEDHEFLIVDLCCWKGFEFVYNYSYLANLRENWEEVKKNARKA
+PQPEVRRYVLPLNIDKADTGKNLVTLPNTTATAILCSDETIWLEPEVLFSGPRQAFEFPQ
+INYQKYGGKPYTYAYGLGLNHFVPDRLCKLNVKTKETWVWQEPDSYPSEPIFVSHPDALE
+EDDGVVLSVVVSPGAGQKPAYLLILNAKDLSEVARAEVEINIPVTFHGLFKKS
+>sp|Q1JQC5|RMD3_BOVIN Regulator of microtubule dynamics protein 3 OS=Bos taurus OX=9913 GN=RMDN3 PE=2 SV=1
+MSSLGTLGGARAGLGLLLGTAAGLGFLCALYSQRWKRTQRRGQSQSQSNSLDYTQTSEPG
+RQVRPLRAAPGEAGDAAVLSSLPRGQEVVLDRLEFVLTSLVALRREVEELRSSLQGLAGQ
+IVGEVRSHMEENQKVARRRRFPFARERSDSTGSSSVYFTAASGATFTDAESEGGYTTANA
+ESDYERDSERESDGDGEDEVSCETVKMGRKDSLDLEVEVALGLEPEAPEAGGSPGQEDVM
+PLLQQADELHQGSEQGKREGFQLLLNNKLVHGSRQDFLWRLARAYSDMCELTEEASEKRS
+YALSGKEEAEVALEKGNENAECHQWYAVLCGQLAEHEGIQRRIQSGFSFKEHVDKAIALK
+PENPMAHFLLGRWCYQVSHLSWLEKKTATALSESPLGATVQDALSSFLKAEELQPGFSKA
+GRIYICKCYKELGKNPEAKEWMKLALELPNVTKEDSAFQKDLEELEVILGE
+>sp|Q1RMG8|RPAC2_BOVIN DNA-directed RNA polymerases I and III subunit RPAC2 OS=Bos taurus OX=9913 GN=POLR1D PE=2 SV=1
+MEEDQELERKMSGVKTSMAEGERKTALEMVQAAGTDRHCVTFVLHEEDHTLGNSLRYMIM
+KNPEVEFCGYTTTHPSESKINLRIQTRGALPAVEPFQRGLTDLMNVCQHVLDKFEASIKE
+YKDQKASRNEATF
+>sp|Q3T0W3|RN181_BOVIN E3 ubiquitin-protein ligase RNF181 OS=Bos taurus OX=9913 GN=RNF181 PE=2 SV=1
+MASYFDEHDCEPLDRERDPRTNMLLELARSLFNRMDFEDLGLVVDWDHHLPPPAAKTAVE
+NLPRTVIRGSQAELKCPVCLLEFEEEETAIEMPCHHLFHSNCILPWLSKTNSCPLCRHEL
+PTDDDTYEEHKRDKARKQQQKHRLENLHGAMYT
+>sp|Q17QW3|RDH14_BOVIN Retinol dehydrogenase 14 OS=Bos taurus OX=9913 GN=RDH14 PE=2 SV=1
+MAVGTAAALLAALGGILWLAARRFVGSSVQRLHQGRDSGLMRGKTVLITGANSGLGRATA
+AELLRLGARVIMGCRDRERAEEAAGQLRREVCPAGGPDSGPNSGGAGELVVKELDLASLS
+SVRSFCQEMLQEEPRLDVLINNAGVFQCPYMKTEDGFEMQFGVNHLGHFLLTNLLLGLLK
+SSAPSRIVVVSSKLYKYGDINFEDLNSEQSYNKSFCYSRSKLANILFTRELARRLEGTSV
+TVNVLHPGIVRTNLGRHIHIPLLVRPLFNLVSWAFFKTPEEGAQTAVYLASSPEVEGVSG
+RYFGDCKEEELLPKAMDESVARKLWDISEVMVGILK
+>sp|Q1JP63|SVOP_BOVIN Synaptic vesicle 2-related protein OS=Bos taurus OX=9913 GN=SVOP PE=2 SV=1
+MEEDLFQLRQLPVVKFRRTGESARSEDDTASGEHEVQIEGVRAGLEAVELDDGAAVPKEF
+ANPTDDTFMVEDAVEAIGFGKFQWKLSVLTGLAWMADAMEMMILSILAPQLHCEWRLPSW
+QVALLTSVVFVGMMSSSTLWGNISDQYGRKTGLKISVLWTLYYGILSAFAPVYSWILVLR
+GLVGFGIGGVPQSVTLYAEFLPMKARAKCILLIEVFWAIGTVFEVVLAVFVMPSLGWRWL
+LILSAVPLLLFAVLCFWLPESARYDVLSGNQEKAIATLKRIATENGAPMPLGKLIISRQE
+DRGKMRDLFTPHFRWTTLLLWFIWFSNAFSYYGLVLLTTELFQAGDVCSISSRKKAVEAK
+CSLACEYLSEEDYMDLLWTTLSEFPGVLVTLWIIDRLGRKKTMALCFVVFSFCSLLLFIC
+VGRNMLTLLLFIARAFISGGFQAAYVYTPEVYPTATRALGLGTCSGMARVGALITPFIAQ
+VMLESSVYLTLAVYSGCCLLAALASCFLPIETKGRGLQESSHREWGQEMVGRGAHGTGVA
+RSNSGSQE
+>sp|P82919|RT18A_BOVIN 28S ribosomal protein S18a, mitochondrial OS=Bos taurus OX=9913 GN=MRPS18A PE=1 SV=3
+MAALNVLVSGCGRFLRGLLTGPTVTSWARPPARGFREVVEIQEGKTTIIEGRITGTPKES
+PNPPNPSGQCPICRWNLKHKYSYEDVLLLSQFIRPHGGMLPRSITGLCQEEHRKIEECVK
+MAHRAGLLPNHRPKLPEGFFPKTRPRLNRYLTRWSPRSVKPIYNKGHRWNKVRMAVGSPL
+LKDNVSYTGRPLVLYH
+>sp|Q3T104|SC61G_BOVIN Protein transport protein Sec61 subunit gamma OS=Bos taurus OX=9913 GN=SEC61G PE=3 SV=1
+MDQVMQFVEPSRQFVKDSIRLVKRCTKPDRKEFQKIAMATAIGFAIMGFIGFFVKLIHIP
+INNIIVGG
+>sp|Q2TBL9|TPPC4_BOVIN Trafficking protein particle complex subunit 4 OS=Bos taurus OX=9913 GN=TRAPPC4 PE=2 SV=1
+MAIFSVYVVNKAGGLIYQLDSYAPRAEAEKTFSYPLDLLLKLHDERVLVAFGQRDGIRVG
+HAVLAINGVDVNGKYTADGKEVLEYLGNPANYPVSIRFGRPRLTSNEKLMLASMFHSLFA
+IGSQLSPEQGSSGIEMLETDTFKLHCFQTLTGIKFVVLADPRQAGIDSLLRKIYEIYSDF
+ALKNPFYSLEMPIRCELFDQNLKLALEVAEKAGTFGPGS
+>sp|Q0VC44|SPXN_BOVIN Spexin OS=Bos taurus OX=9913 GN=SPX PE=3 SV=1
+MKGFKSLVVMTLTLFLVFSFMGNCNSAPQRLFERRNWTPQAMLYLKGAQGRRFLSDQSRR
+KDLSDRPPLERRSPNSQQLTLPEAAAVLLAFLQKPQEAGDENLDQTRFLEDSLLNW
+>sp|A4IF75|TM182_BOVIN Transmembrane protein 182 OS=Bos taurus OX=9913 GN=TMEM182 PE=2 SV=1
+MRLNIAIFFGALFGALGVLLFLVAFGSDYWLLATEVAKCSGEQNIENVTFHHEGFFWRCW
+FNGIVEENDSNIWQFWYTNQPLWKNCTHAYLSPYPFLRGEHNSTSYDSAVIYRGFWAVLM
+LLGVVAVVTASFLIICAAPFTSHLLYKAGGGAYIAAGVLFALVVMLYVIWVQAVADLESY
+RHRKMRDCLDFSPSVLYGWSFFLAPAGIFFSLLAGLLFLIVGRHIQIHH
+>sp|O97593|SMC1A_BOVIN Structural maintenance of chromosomes protein 1A OS=Bos taurus OX=9913 GN=SMC1A PE=1 SV=1
+MGFLKLIEIENFKSYKGRQIIGPFQRFTAIIGPNGSGKSNLMDAISFVLGEKTSNLRVKT
+LRDLIHGAPVGKPAANRAFVSMVYSEEGAEDRTFARVIVGGSSEYKINNKVVQLHEYSEE
+LEKLGILIKARNFLVFQGAVESIAMKNPKERTALFEEISRSGELAQEYDKRKKEMVKAEE
+DTQFNYHRKKNIAAERKEAKQEKEEADRYQRLKDEVVRAQVQLQLFKLYHNEVEIEKLNK
+ELASKNKEIEKDKKRMDKVEDELKEKKKELGKMMREQQQIEKEIKEKDSELNQKRPQYIK
+AKENTSHKIKKLEAAKKSLQNAQKHYKKRKGDMDELEKEMLSVEKARQEFEERMEEESQS
+QGRDLTLEENQVKKYHRLKEEASKRAATLAQELEKFNRDQKADQDRLDLEERKKVETEAK
+IKQKLREIEENQKRIEKLEEYITTSKQSLEEQKKLEGELTEEVEMAKRRIDEINKELNQV
+MEQLGDARIDRQESSRQQRKAEIMESIKRLYPGSVYGRLIDLCQPTQKKYQIAVTKVLGK
+NMDAIIVDSEKTGRDCIQYIKEQRGEPETFLPLDYLEVKPTDEKLRELKGAKLVIDVIRY
+EPPHIKKALQYACGNALVCDNVEDARRIAFGGHQRHKTVALDGTLFQKSGVISGGASDLK
+AKARRWDEKAVDKLKEKKERLTEELKEQMKAKRKEAELRQVQSQAHGLQMRLKYSQSDLE
+QTKTRHLALNLQEKSKLESELANFGPRINDIKRIIQSREREMKDLKEKMNQVEDEVFEEF
+CREIGVRNIREFEEEKVKRQNEIAKKRLEFENQKTRLGIQLDFEKNQLKEDQDKVHMWEQ
+TVKKDENEIEKLKKEEQRHMKIIDETMAQLQDLKNQHLAKKSEVNDKNHEMEEIRKKLGG
+ANKEMTHLQKEVTAIETKLEQKRSDRHNLLQACKMQDIKLPLSKGTMDDISQEEGSSQGE
+DSVSGSQRTSNIYAREALIEIDYGDLCEDLKDAQAEEEIKQEMNTLQQKLNEQQSVLQRI
+AAPNMKAMEKLESVRDKFQETSDEFEAARKRAKKAKQAFEQIKKERFDRFNACFESVATN
+IDEIYKALSRNSSAQAFLGPENPEEPYLDGINYNCVAPGKRFRPMDNLSGGEKTVAALAL
+LFAIHSYKPAPFFVLDEIDAALDNTNIGKVANYIKEQSTCNFQAIVISLKEEFYTKAESL
+IGVYPEQGDCVISKVLTFDLTKYPDANPNPNEQ
+>sp|Q32KZ9|TEKT1_BOVIN Tektin-1 OS=Bos taurus OX=9913 GN=TEKT1 PE=2 SV=1
+MAKLLQQPPKFLRAEWQIANKNQYHRAEAQRSRSERLVAESQRLVDEIEKTTRKSQSDVN
+KKLEQRLEEVRFWKKELDDKLEQLVYATEDLLLYQTRLQKALESFKEPLHITEKCLEYRE
+KRVGIDLVHDEVEQELIKEHEIIRGVMTLLTRTLEETCEQIRLNRSAKYNLEKDLRDKFT
+AITIDDICFSLNNNSPNIKYSENVVRVEPNSVSLEDWLDFSNTNVEKADKQRNNSLTLKA
+LVDRILFQTASDLRRQCDVVDTAFKNGLKETKDARDKLALHLDKVMEEIASQEKNIVVLE
+KAILDQEGPAKVAHTRLETRTHRPNVELCRDVAQYRLIKEVDEITHNVARLKETLAQAHV
+ELKGLNRRQLALQEEIQIKENTIYIDEVLCVPMRKSIPPRDGDDHGEWAGGSHPEAVC
+>sp|Q704V6|TLR6_BOVIN Toll-like receptor 6 OS=Bos taurus OX=9913 GN=TLR6 PE=2 SV=1
+MIKDKESPIRSCHFVYIVALVFGTIIQFSDESEFVVDMSKTSLIHVPKDLPPKTKVLDLS
+QNNISELHLSDISFLSGLRVLRLSHNRIQGLDISIFKFNHDLEYLDLSHNQLQKISCHPI
+TTTLKHLDLSFNDFDALPICKEFGNLTQLNFLGLSATKLQQLDLLPIAHLHLSCILLDLE
+DYMKENKKESLQILNTKKLHLVFHPNSFFSVQVDISANSLGCLQLTNIKLNDYNCQVLLK
+FLSGLTGGPTLLNFTLNHVETTWKCLVKVFQFLWPKPIEYLNIYNLTIVESIDEEVFTYY
+KTTLKALKIEHITNKVFIFSQTALYTVFSEMNILMLTISDTRFIHMLCPQEPSTFKFLNF
+TQNSFTDSVFQNCDTLARLETLILQKNELKDLFKTSLMTKDMLSLETLDVSWNSLEYDRS
+NGNCSWVGSIVVLNLSSNALTDSVFRCLPPRIKVLDLHNNRIRSIPKDVTGLETLQELNL
+ASNSLAHLPGCGIFSSLSILIIEHNSISNPSADFFQSCQKIRSLKAGNNPFQCSCELRDF
+IQSVGQVSSDVVEGWPESYKCDYPESYKGTPLKDFQVSELSCNTALLIITIVVPGLVLAV
+AVTVLCIYLDLPWYLRMVCQWTQTRRRARNVPLEELQRTLQFHAFISYSEHDSAWVKNEL
+IPNLEKEDIRICLHERNFVAGKSIVENIINCIEKSYKSIFVLSPNFVQSEWCHYELYFAH
+HNLFHEGSDNLILILLDPIPQYSIPSSYHKLRALMAQRTYLEWPKEKSKHGLFWANLRAS
+INIKLMEKAAEIH
+>sp|Q2KIU7|RSPH9_BOVIN Radial spoke head protein 9 homolog OS=Bos taurus OX=9913 GN=RSPH9 PE=2 SV=1
+MDAESLLLALELASGSGQGLSPDRRASLLTSLMLVKRDYRFDRVLFWGRILGLVADYYIA
+QGVSEDQLAPRKTLYSLNCMEWSLLPPATKEMETQTSVVKGRFMGDPSHEYEHTELQKVN
+EGEKVFEEEVVVQIKEETRLVSVIDQIDKAVAVIPRGALFKTPFGPINVNRTFEGLSLSE
+AKKLSSYFHFREPVELKNKTLLEKAELDPSLDFMDSLEHDIPKGSWSVQMERGNALVVLR
+SLLWPGLTFYHAPRTKNYGYIYVGTGEKNLDLPFML
+>sp|F1MM41|ZNRF1_BOVIN E3 ubiquitin-protein ligase ZNRF1 OS=Bos taurus OX=9913 GN=ZNRF1 PE=3 SV=2
+MGGKQSTAARSRGPFPGVSTDDSAVPPPGGAPHFGHYRAGGGAMGLRSRSVSSVAGMGMD
+PSSAGGVSFGLYTPASRGAGDAERAPGSGGSASDSTYAHGNGYQETGGGHHRDGMLYLGS
+RASLADALPLHIAPRWFSSHSGFKCPICSKSVASDEMEMHFIMCLSKPRLSYNDDVLTKD
+AGECVICLEELLQGDTIARLPCLCIYHKSCIDSWFEVNRSCPEHPAD
+>sp|Q08DH8|XRCC3_BOVIN DNA repair protein XRCC3 OS=Bos taurus OX=9913 GN=XRCC3 PE=2 SV=1
+MDLDRLDLNPRIVAAVKKAKLRSVKEVLHLSGPDLQRRTHLSSPDVQLLLRASASLLRGH
+GVCTALHLLRQEGPFPEQHQRLSLGCPVLDALLRGGLPLDGITELAGRSSAGKTQLALQL
+CLAVQLPPRHGGLGAGAVYVCTEDAFPSRRLQQLIAQQQRLRADVPGHVISKIRFGHQIF
+IEHAADVDTLLQCVREKVPVLLARGMARLVVIDSVAAPFRCEFDGAALALRAQRLLALGA
+ELRRLSCAFRSPVLCVNQVTEAVEEQDLVAGPPGMSPALGITWANQLLVRLLADRQRPEE
+APLTPPGRTLRVVFAPHLPASSCSYTIAAEGVRGMPGTACS
+>sp|Q32P84|TM258_BOVIN Transmembrane protein 258 OS=Bos taurus OX=9913 GN=TMEM258 PE=3 SV=1
+MELEAMSRYTSPVNPAVFPHLTVVLLAIGMFFTAWFFVYEVTSTKYTRDIYKELLISLVA
+SLFMGFGVLFLLLWVGIYI
+>sp|A5PK29|TP8L1_BOVIN Tumor necrosis factor alpha-induced protein 8-like protein 1 OS=Bos taurus OX=9913 GN=TNFAIP8L1 PE=2 SV=1
+MDTFSTKSLALQAQKKLLSKMASRAVASAFIDDTSSEVLDELYRATKEFTRSRKEAQKLV
+KNLVKVAVKLGVLLRAGQLGAEELARLQRLRQQARRLAMTAVSFHQVDFTFDRRVLATTL
+LECRDLLHQAAGAHLTAKSHGRINHVFGHLADCDFLAALYSPAEPYRSHLRRICDGLTRM
+LDEDSI
+>sp|Q2NKZ4|TKTL2_BOVIN Transketolase-like protein 2 OS=Bos taurus OX=9913 GN=TKTL2 PE=2 SV=1
+MADSATLDATTVQVLQDLANRLRVHSIRATCASGSGHPTSCCSAAEIVSVLFFHTMRYRQ
+TEPAHPDNDRFVLSKGHAAPLLYAAWVEAGSISEPDLLNLRTIHCDLEGHPTPRLSFVDV
+ATGSLGQGLGAACGMAYTGKYLDKASYRVFCLLGDGESSEGSVWEALAFASHYGLDNLVA
+VFDVNRLGQSGVAPLKHCTDIYRNRCEAFGWNTYLVDGHDVEALCQAFSQAAQGKNKPTA
+IIAKTYKGRGIPNVEDAENWHGKPLPKERADEIIRLIKSQIKTNRNLLPKPPVEGSPPVS
+ITNIKMTCLPDYKVGDKVATQKAYGLALAKLGLANERVVVLDGDPKNSTFFEIFKKEHPE
+RFIECFAAEQNMVSVALGCATRGRTITFVTTLGAFLTRAFDQIRMGAISQSNINLIGSHC
+GVSVGEDGPSQMALEDLAMFRSIPNCTVFLPSDAVSTEHAVYLAANSEGMCFIRTNRSET
+AVIYTPQEHFEIGRAKVIRHSNNDKVTVIGAGVTLHEALAAADALSQQDISICVIDPFTI
+KPLDAATIISCAKATDGRVVTVEDHYQEGGIGEAVCAAVSGEPAIHVHQLSVSGVSERNR
+KPSELLSIFGVSARHIIAAVKYTLMN
+>sp|A6H7A8|TDIF1_BOVIN Deoxynucleotidyltransferase terminal-interacting protein 1 OS=Bos taurus OX=9913 GN=DNTTIP1 PE=2 SV=1
+MGATGDVEQPRGPGGAERGGPELGDAGAAGQLVLTNPWNIMIKHRQVQRRGRRSQMTTSF
+TDPAISMDLLRAVLQPSINEEIQTVFNKYMKFFQKAALNVRDNVGEEVDAEQLIQEACRS
+CLEQAKLLFSDGEKVIPRLTHELPGIKRGRQAEEECALRGSPIPKKRKGRPPGHILANDR
+AATGMVWKPKSCEPIRREGPKWDPARLNESTTFVLGSRANKALGMGGTRGRIYIKHPHLF
+KYAADPQDKHWLAEQHHMRATGGKMAYLLIEEDIRDLAASDDYRGCLDLKLEELKSFVLP
+SWMVEKMRKYMETLRTENEHRAVEAPPQT
+>sp|G7H7V7|TOPZ1_BOVIN Protein TOPAZ1 OS=Bos taurus OX=9913 GN=TOPAZ1 PE=2 SV=1
+MPRAPPSGPTTAPGREDDARGLRKRSRRPGLGEAGGCGPEAEGLEESRQKRRMVARASGR
+EEVESDKSAKEKRKVTEASSDDPQPGIDLVRKESLTSSESFPTVECSEFQSMAFLQSLGK
+ERLVEGIKRRIRIKKCKSLESPALKMTENKATQNSKVEFQDELYKNTLKYSCNSLSPGVE
+NNSVLKLHDCSCLSHSKDCNDENNLAYKPDGGCMHVPENSSKSKKENPRSLIDKTDPSNI
+PQLLQTEENVMRVSQLLLEENDSYLSKNNGLFSCLQSEKTKHSIEESSIGRKSRKRMKVS
+EKGNGMVIEMKFSNMCNKSELMLQGNQTGADGKETEILEAKKSSLKVLRKVNNNTLSPMD
+PLLSLPETGKKTSPEHCANAVFQKALEQLSKEETKNVSQPLGCTSMDPPEDYFKSMKNSL
+VKSLSDCFPIEKRSSRESLKNEAEESKYSCQRTIPMTGKRTWPCYSCARISAQCWKKASL
+PQSSRQDDFLKHQMNQTHLTDSKLMLQSSVTETNSASSSIEKLDSNLNCLPSVSTVEPTS
+VVIKEPIVNDDEKMKSEELSRSASEVVSNTTEDTSLTNMTHNLTGSKKKDRGNLTKLNLT
+VASQDGQEANNSTSKTVHRKACITKQALVVPDLVKILNTGRLTNFKIPLLKNKTEKRKEI
+NAKSSEREVYSPLELLDSVSGAEVRQSRTKENAVTVTSGPQSLSIQRSVIPVQASSDSFC
+SKNSCIIAPSFLKQGNNKQPSNHISASGRIISNNAAGSLTVENNTFSCDPGCIEKNPTFY
+SNEQEPFKAVSSEVSGRKMTENFSEIKVGFPDILKAYEDDVLLIDVIQDDPDLFGVSNEG
+ELSFPSEVPMISQEPNVAEEHQSTDSKHMELPDKKEPSNALRELPVPDPGSMKSEICASL
+SAASEIKHDSKDANISLGEVTHETSSNEKPRGLSEQTKSSDLDEKCRFSDKVAIREEKET
+ISEVCRRDSKNTEIMVGECHLAALVSKPLCLPVPLPPLNLNAHQEDTLLNPQMNDFRLPG
+KHSVLKLQNPEICEIFKREKNVGVFQKPLGLMIPHRYCKFHFNTLRGCERSQCKFGHVPE
+QGDEKVCMDVFKKYISINELCLLQRAANMFMEYYRKFLPGIHFDLQVLNDLLSSLLKHCL
+LKEVFQVMNLCIMIKMLPALKILLKIFEYVATMKLRNAVPALINIFCKLLEAGMVLDPEH
+FNYIVKLLYQVQASKQEITAVLEMKSRLHMRQFKKNWKCDLEAALNEIEHCKEKGDWTKL
+GNVYLNIKMSCEKFADFQRFCACIAETLTKDCKEERPGVPFCEFAETVSKDLQNSEVDKT
+LLGRIGISAMYFYHKLLQWSKGRKVLDKLYELKIHFTSLKGLIGPEKLAPRCQIVNIAAE
+IFLKSGSLDGAIWVLRESEWIINTPVWPCDRLDVLNRHNLLCTIAHEILAKSLYRQTFEV
+LQNLPGFQNSQETVEVSQYSLLFNKLLDACIESNSLGMSSSVAEFMISKSIPIDFSFLRR
+LITSLGRSCLWLKARAHYKSALSLGCYPPLEGNLYRKLLLIPSYLSEIEMLLAIEIFLVS
+NASSIQSPGTSTQMLQIVLKRSEENKSRSKDDYQAAVERLITAARISDPKLFIKHMTVNV
+NKEQVYSLEQCSALKWLKENMKWAGKVWLFNNH
+>sp|Q2TBG8|UCHL3_BOVIN Ubiquitin carboxyl-terminal hydrolase isozyme L3 OS=Bos taurus OX=9913 GN=UCHL3 PE=2 SV=1
+MEGQRWLPLEANPEVTNQFLKQLGLHPNWQFVDVYGMDPELLSMVPRPVCAVLLLFPITE
+KYEVFRTEEEEKIKSQGQDVTSSVYFMKQTISNACGTIGLIHAIANNKDKMHFESGSTLK
+KFLEESASMSPEERARYLENYDAIRVTHETSAHEGQTEAPNIDEKVDLHFIALVHVDGHL
+YELDGRKPFPINHGETSDETLLEDAIEVCKKFMERDPDELRFNAIALSAA
+>sp|Q1RML4|SPIC_BOVIN Transcription factor Spi-C OS=Bos taurus OX=9913 GN=SPIC PE=2 SV=1
+MACAEQDKLGQAFEDAFEVLRQHSTGDFQYSSDYKNYLAFINHRSHIRGNSNSYGVQPAE
+EPIYNWRTVINSATDLYFEGNIHQSLQNIPENQLVQPALLQQKGGKGRKKLRLFEYLHES
+LCNPEMASCIQWIDQTKGIFQFVSKNKEKLAQLWGKRKGNRKTMTYQKMARALRNYGRTG
+EIIKIRRKLTYQFSEAILQRLSAPYFLEKEIFYSQYVQPDQGYLSLNNWNANYNYTYANY
+HELSHPDC
+>sp|P41976|SODM_BOVIN Superoxide dismutase [Mn], mitochondrial OS=Bos taurus OX=9913 GN=SOD2 PE=2 SV=1
+MLSRAACSTSRRLVPALSVLGSRQKHSLPDLPYDYGALEPHINAQIMQLHHSKHHAAYVN
+NLNVAEEKYREALEKGDVTAQIALQPALKFNGGGHINHSIFWTNLSPNGGGEPQGELLEA
+IKRDFGSFAKFKEKLTAVSVGVQGSGWGWLGFNKEQGRLQIAACSNQDPLQGTTGLIPLL
+GIDVWEHAYYLQYKNVRPDYLKAIWNVINWENVTARYTACSK
+>sp|A2VE99|SEP11_BOVIN Septin-11 OS=Bos taurus OX=9913 GN=SEPTIN11 PE=2 SV=1
+MAVAVGRPSNEELRNLSLSGHVGFDSLPDQLVNKSTSQGFCFNILCVGETGIGKSTLMDT
+LFNTKFESDPATHNEPGVRLKARSYELQESNVRLKLTIVDTVGFGDQINKDDSYKPIVEY
+IDAQFEAYLQEELKIKRSLFNYHDTRIHACLYFIAPTGHSLKSLDLVTMKKLDSKVNIIP
+IIAKADTIAKNELHKFKSKIMSELVSNGVQIYQFPTDEETVAEINATMSVHLPFAVVGST
+EEVKIGNKMAKARQYPWGVVQVENENHCDFVKLREMLIRVNMEDLREQTHTRHYELYRRC
+KLEEMGFKDTDPDSKPFSLQETYEAKRNEFLGELQKKEEEMRQMFVMRVKEKEAELKEAE
+KELHEKFDLLKRTHQEEKKKVEDKKKELEEEVNNFQKKKAAAQLLQSQAQQSGAQQTKKD
+KDKKN
+>sp|O18883|TXND9_BOVIN Thioredoxin domain-containing protein 9 OS=Bos taurus OX=9913 GN=TXNDC9 PE=2 SV=2
+MEANASVDMFSKVLENQLLQTTKLVEEHLDSEIQKLDQMDEDELERLKEKRLEALKKAQQ
+QKQEWLSKGHGEYREIPSERDFFQEDKESKKVVCHFYRDSTFRCKILDRHLVILSKKHLE
+TKFLKLNVEKAPFLCERLRIKVIPTLALVKDGKTQDFVVGFSDLGNTDDFTTETLEWRLG
+CSDILNYSGNLMEPPFQSQKKFGTNFTKLEKKTIRGKKYDSDSDDD
+>sp|Q3ZBF7|TEBP_BOVIN Prostaglandin E synthase 3 OS=Bos taurus OX=9913 GN=PTGES3 PE=1 SV=1
+MQPASAKWYDRRDYVFIEFCVEDSKDVNVNFEKSKLTFSCLGGSDNFKHLNEIDLFHCID
+PNDSKHKRTDRSILCCLRKGESGQSWPRLTKERAKLNWLSVDFNNWKDWEDDSDEDMSNF
+DRFSEMMNNMGGDEDVDLPEVDGADDDSQDSDDEKMPDLE
+>sp|Q3ZC52|TEX30_BOVIN Testis-expressed protein 30 OS=Bos taurus OX=9913 GN=TEX30 PE=2 SV=2
+MSHTEVKLKVPFGNKLLDAVCLVPNKSLTYGVILTHGASGDMNLPHLTSLASHLASHGFF
+CLRFTCKGLNIVHRIKAYKSVLNYLKTSEYKLAGVFLGGRSMGSRAAASVLCHIEPDDAD
+DFVRGLICISYPLHHPKQQHKLRDEDLFRIKDPVLFVSGSADEMCEKNLLEKVAQKMQAP
+HKIHWIEKANHSMAVKGRSTNDVFKEINTQILFWIQEITETDKK
+>sp|Q5E956|TPIS_BOVIN Triosephosphate isomerase OS=Bos taurus OX=9913 GN=TPI1 PE=2 SV=3
+MAPSRKFFVGGNWKMNGRKNNLGELINTLNAAKVPADTEVVCAPPTAYIDFARQKLDPKI
+AVAAQNCYKVANGAFTGEISPGMIKDLGATWVVLGHSERRHVFGESDELIGQKVAHALAE
+GLGVIACIGEKLDEREAGITEKVVFEQTKVIADNVKDWSKVVLAYEPVWAIGTGKTATPQ
+QAQEVHEKLRGWLKSNVSDAVAQSARIIYGGSVTGATCKELASQPDVDGFLVGGASLKPE
+FVDIINAKQ
+>sp|A4IFF3|TTC9C_BOVIN Tetratricopeptide repeat protein 9C OS=Bos taurus OX=9913 GN=TTC9C PE=2 SV=1
+MEKRLQEAQLYKEKGNQCYREGKYRDAVSGYHRALLQLRGLDPSLPSPIPNLGPQGPALT
+PEQENLLHTTQTDCYNNLAACLLQMEPVNYERVKEYSQKVLERQPDNAKALYRAGVAFFH
+LQDYDQARHYLMAAVNRKPKDANVRRYLQRTQLELSSYHRKEKQLYLGMFG
+>sp|Q32L27|UB2Q2_BOVIN Ubiquitin-conjugating enzyme E2 Q2 OS=Bos taurus OX=9913 GN=UBE2Q2 PE=2 SV=1
+MSVSGLKAELKFLASIFDKNHERFRIVSWKLDELHCQFLVPPPAPPGSPHSPPPPLTLHC
+NITESYPSSSPIWFVDSDDPNLTSVLERLEDTKNNNSNGTTEEVTSEEEEEEEMAEDIED
+LDHYEMKEEEPISGKKSEDEGIEKENLAILEKIRKTQRQDHLNGAVSGSVQASDRLMKEL
+RDIYRSQSYKTGIYSVELINDSLYDWHVKLQKVDPDSPLHSDLQILKEKEGIEYILLNFS
+FKDNFPFDPPFVRVVLPVLSGGYVLGGGALCMELLTKQGWSSAYSIESVIMQINATLVKG
+KARVQFGANKNQYNLARAQQSYNSIVQIHEKNGWYTPPKEDG
+>sp|A1A4K8|U2AF1_BOVIN Splicing factor U2AF 35 kDa subunit OS=Bos taurus OX=9913 GN=U2AF1 PE=2 SV=1
+MAEYLASIFGTEKDKVNCSFYFKIGACRHGDRCSRLHNKPTFSQTIALLNIYRNPQNSSQ
+SADGLRCAVSDVEMQEHYDEFFEEVFTEMEEKYGEVEEMNVCDNLGDHLVGNVYVKFRRE
+EDAEKAVIDLNNRWFNGQPIHAELSPVTDFREACCRQYEMGECTRGGFCNFMHLKPISRE
+LRRELYGRRRKKHRSRSRSRERRSRSRDRGRGGGGGGGGGRERDRRRSRDRERSGRF
+>sp|Q08537|UPK2_BOVIN Uroplakin-2 OS=Bos taurus OX=9913 GN=UPK2 PE=1 SV=1
+MASPWPVWTLSWILILLAVLVPGAAADFNISSLSGLLSPVMTESLLVALPPCHLTGGNAT
+LTVRRANDSKVVRSSFVVPPCRGRRELVSVVDSGSGFTVTRLSAYQVTNLAPGTKYYISY
+LVTKGASTESSREIPMSTFPRRKAESIGLAMARTGGMVVITVLLSVAMFLLVLGLIIALA
+LGARK
+>sp|Q6B4J2|VKOR1_BOVIN Vitamin K epoxide reductase complex subunit 1 OS=Bos taurus OX=9913 GN=VKORC1 PE=2 SV=1
+MGATWRSPGWVRLALCLAGLVLSLYALHVKAARARDRDYRALCDVGTAISCSRVFSSRWG
+RGFGLVEHVLGKDSILNQSNSIFGCIFYTLQLLLGCLQGRWASVLLRLSCLVSLAGSVYL
+AWILFFVLYDFCIVCITTYAINVGLTVLSFREVQGPQGKVKGH
+>sp|Q07175|VKGC_BOVIN Vitamin K-dependent gamma-carboxylase OS=Bos taurus OX=9913 GN=GGCX PE=1 SV=1
+MAVSARPARAPRGSDKVKKDKAAQTSGPRQGSRMGKLLGFEWTDVSSWERLVTLLNRPTD
+PAGLAVFRFLFGLMMVLDIPQERGLSSLDRRYLDGLEVCRFPLLDALQPLPLDWMYLIYT
+IMFLGALGMMLGLCYRISCVLFLLPYWYVFLLDKTSWNNHSYLYGLLAFQLTFVDAHHYW
+SVDGLLRARKRNAHVPLWNYAVLRGQIFIVYFIAGIKKLDADWVEGYSMEYLSRHWLFSP
+FKLVLSEEMTSLLVVHWCGLLLDLSAGFLLFFDASRPIGFVFVSYFHCMNSQLFSIGMFP
+YVMLASSPLFCSPEWPRKLVAHCPKKLQELLPLRTAPQPSTSCMYKRSRARGSQKPGLRH
+KLSTAFTLLYLLEQLFLPYSHFLTQGYNNWTNGLYGYSWDMMVHSRSHQHVKITYRDGRT
+GELGYLNPGVFTQSRRWKDHADMLKQYATCLSRLLPKYNVTEPQIYFDIWVSINDRFQQR
+IFDPRVDIVQAAWSPFQRTPWLQPLLMDLSPWRTKLQEIKSSLDNHTEVVFIADFPGLHL
+ENFVSEDLGNTSIQLLQGEVTVELVAEQKNQTLQEGEKMQLPAGEYHKVYTVSSSPSCYM
+YIYVNTTEVALEQDLAYLQELKEKVENGSETGPLPPELQPLLEGEVKGGPEPTPLVQTFL
+RRQQRLQEIERRRNAPFHERLVRFLLRKLFIFRRSFLMTCISLRNLAFGRPSLEQLAQEV
+TYANLRPFEPAGEPSPVNTDSSNPNPPEPDSHPVHSEF
+>sp|Q3T057|RL23_BOVIN 60S ribosomal protein L23 OS=Bos taurus OX=9913 GN=RPL23 PE=2 SV=2
+MSKRGRGGSSGAKFRISLGLPVGAVINCADNTGAKNLYIISVKGIKGRLNRLPAAGVGDM
+VMATVKKGKPELRKKVHPAVVIRQRKSYRRKDGVFLYFEDNAGVIVNNKGEMKGSAITGP
+VAKECADLWPRIASNAGSIA
+>sp|Q58DV5|RM30_BOVIN 39S ribosomal protein L30, mitochondrial OS=Bos taurus OX=9913 GN=MRPL30 PE=1 SV=1
+MAGILRSIVQRPPGRLQTATKGVEPLVCVDWIRHKFTRSRIPDEVFRPSPEDHEKYGGDP
+QQPHKLHIVTRIKSTKRRPYWEKDIIKMLGLQKAHTPQVHKNIPSVNAKLKIVKHLIRIK
+PLKLPQGLPTEEDMSNTCLKSTGELVTRWLLNPADQEAKKC
+>sp|Q3MHY8|RBM7_BOVIN RNA-binding protein 7 OS=Bos taurus OX=9913 GN=RBM7 PE=2 SV=1
+MGAAAAEADRTLFVGNLETKVTEELLFELFHQAGPVIKVKIPKDKDGKPKQFAFVNFKHE
+VSVPYAMNLLNGIKLFGRPIKIQFRAGSSHASQEVSLSYPQHHVGNSSPTSTSPSRTVDN
+MTPSAQTIQRSFSSSENFQRQAVMNNVLRQMSYGGKFGSPHLDQSGFSPSAQSHNHTFNQ
+SSSSQWRQDTPSSQRKVRLNSHPYVMDRHYSREQRYSDLSDHHYRGNRDDFFYEDRNHDG
+WSHDYDNRRDSGRNGKWRSSRH
+>sp|Q5E9C0|RSU1_BOVIN Ras suppressor protein 1 OS=Bos taurus OX=9913 GN=RSU1 PE=2 SV=1
+MSKSLKKLVEESREKNQPEVDMSDRGISNMLDINGLFTLSHITQLVLSHNKLTTVPPNIA
+ELKNLEVLNFFNNQIEELPTQISSLQKLKHLNLGMNRLNTLPRGFGSLPALEVLDLTYNN
+LNENSLPGNFFYLTTLRALYLSDNDFEILPPDIGKLTKLQILSLRDNDLISLPKEIGELT
+QLKELHIQGNRLTVLPPELGNLDLTGQKQIFKAENNPWVTPIADQFQLGVSHVFEYIRSE
+TYKYLYGRHMQANPEPPKKNNDKSKKISRKPLAAKNK
+>sp|P81019|SFP4_BOVIN Seminal plasma protein BSP-30 kDa OS=Bos taurus OX=9913 PE=1 SV=2
+MAPLVGLFLIWAGASVFQQLHPVNGGDIPDPGSKPTPPGMADELPTETYDLPPEIYTTTF
+LPRTIYPQEEMPYDDKPFPSLLSKANDLNAVFEGPACAFPFTYKGKKYYMCTRKNSVLLW
+CSLDTEYQGNWKFCTERDEPECVFPFIYRKKSYESCTRVHSFFWRRWCSLTSNYDRDKAW
+KYC
+>sp|Q5E9S9|S38A5_BOVIN Sodium-coupled neutral amino acid transporter 5 OS=Bos taurus OX=9913 GN=SLC38A5 PE=2 SV=1
+MAISSAEGMELQDPKMNGALPGNAVEQEHEGFLPSHSPSPGRKPAQFMDFEGKTSFGMSV
+FNLSNAIMGSGILGLAYAMAHTGILLFLALLLCIALLSSYSIHLLLTCAGVVGIRAYEQL
+GQRALGPAGKVVVAAVICLHNVGAMSSYLFIIKSELPLVIATFLDMDPEGDWFLKGNLLI
+IIVSVLIILPLALMRHLGYLGYTSGLSLTCMLFFLISVIYKKFQLGCTVGHNGTAVESKS
+SPSLPIHGLNTSCEAQMFTADSQMFYTVPIMAFAFVCHPEVLPIYTELCRPSKRRMQAVA
+NVSIGAMFCMYGLTATFGYLTFYSSVEAEMLHMYSQHDLLILCVRLAVLLAVTLTVPVVL
+FPIRRALQQLLFPSKAFSWPRHVAIALILLVLVNVLVICVPTIRDIFGVIGSTSAPSLIF
+ILPSIFYLRIVPSEVEPLYSWPKIQALCFGVLGVLFMAISLGFMFANWATGQSHVSGH
+>sp|A6QQL9|SRP14_BOVIN Signal recognition particle 14 kDa protein OS=Bos taurus OX=9913 GN=SRP14 PE=3 SV=1
+MVLLESEQFLTELTRLFQKCRLSGSVFITLKKYDGRTKPIPRKGSVEGFEPSDNKCLLRA
+TDGKKKISTVVSSKEVNKFQMAYSNLLRANMDGLKKRDKKSKSKKSKAAQ
+>sp|Q6B856|TBB2B_BOVIN Tubulin beta-2B chain OS=Bos taurus OX=9913 GN=TUBB2B PE=1 SV=2
+MREIVHIQAGQCGNQIGAKFWEVISDEHGIDPTGSYHGDSDLQLERINVYYNEATGNKYV
+PRAILVDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELVDSVLDVV
+RKESESCDCLQGFQLTHSLGGGTGSGMGTLLISKIREEYPDRIMNTFSVMPSPKVSDTVV
+EPYNATLSVHQLVENTDETYCIDNEALYDICFRTLKLTTPTYGDLNHLVSATMSGVTTCL
+RFPGQLNADLRKLAVNMVPFPRLHFFMPGFAPLTSRGSQQYRALTVPELTQQMFDSKNMM
+AACDPRHGRYLTVAAIFRGRMSMKEVDEQMLNVQNKNSSYFVEWIPNNVKTAVCDIPPRG
+LKMSATFIGNSTAIQELFKRISEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVS
+EYQQYQDATADEQGEFEEEEGEDEA
+>sp|A5D979|SPRTN_BOVIN SprT-like domain-containing protein Spartan OS=Bos taurus OX=9913 GN=SPRTN PE=2 SV=1
+MDEDLVLALQLQEEWNLQVSEREPAQEPLSLVDASWELVDPTPDLQGLFVLFNDRFFWGQ
+LEAVEVKWSVRMTLCAGICSYEGRGGMCSIRLSEPLLKLRPRKDLVETLLHEMIHAYLFV
+TNNDKDREGHGPEFCKHMHRINRLTGANITVYHTFHDEVDEYRRHWWRCNGPCQNSKPYY
+GYVKRATNRAPSAHDYWWAEHQKTCGGTYIKIKEPENYSKKGKGKTKLRKQPVSEAENKD
+KPNRGEKQLLIPFTGKGYVLGETSNFSSGKCITSHAINESQEPLSQDHSANALRPHSKTE
+VKFEQNGPSKKTSVASPVLSTSHQNVLSNYFSKVSVASSKAFRSVSGSPVKSLTVGDSTT
+KSVSAGSQRRVTSSRTSLRNSLKAMESTYVTVPQDAGGPEGKLPSKRPRIEDKTFFDLFF
+IKKEQAQSGGGDVTSSSHPPAAAQSPSGASGQSRVVHCPVCQDEVSETQINEHLDWCLER
+DSTQVKS
+>sp|Q1JQA2|SMAD1_BOVIN Mothers against decapentaplegic homolog 1 OS=Bos taurus OX=9913 GN=SMAD1 PE=2 SV=1
+MNVTSLFSFTSPAVKRLLGWKQGDEEEKWAEKAVDALVKKLKKKKGAMEELEKALSCPGQ
+PSNCVTIPRSLDGRLQVSHRKGLPHVIYCRVWRWPDLQSHHELKPLECCEFPFGSKQKEV
+CINPYHYKRVESPVLPPVLVPRHSEYNPQHSLLAQFRNLGQNEPHMPLNATFPDSFQQPN
+SHPFPHSPNSSYPNSPGSSSSTYPHSPTSSDPGSPFQMPADTPPPAYLPPEDPMTQDGSQ
+PMDTNMMAPSLPSEINRGDVQAVAYEEPKHWCSIVYYELNNRVGEAFHASSTSVLVDGFT
+DPSNNKNRFCLGLLSNVNRNSTIENTRRHIGKGVHLYYVGGEVYAECLSDSSIFVQSRNC
+NYHHGFHPTTVCKIPSGCSLKIFNNQEFAQLLAQSVNHGFETVYELTKMCTIRMSFVKGW
+GAEYHRQDVTSTPCWIEIHLHGPLQWLDKVLTQMGSPHNPISSVS
+>sp|Q2T9Z0|TRI17_BOVIN E3 ubiquitin-protein ligase TRIM17 OS=Bos taurus OX=9913 GN=TRIM17 PE=2 SV=1
+MDAVELARKLQEEATCSICLDYFTDPVMTTCGHNFCRECIQLTWEKARGQKKRRKRKGSF
+PCPECRELSPQRNLRPNRLLTKVAEMVRQHPSPQSRDLCQVHRELLKLFCEDDQRPICII
+CRESQEHRPHRVVPIEEAVQEYKLKLEEDMEHLREEMMKTEKLQAKEEHTLAEWQEKVKE
+RRERIMVEFEKMGLFLMEEKQRLLQALKKEEEETVAKLQESTASLKQQSHSLERLLLQLE
+DRNEHTPLQMLQDMKGLLSRKSSLSVQYPEATSIMLKTICRVPGQIEVLKSFQEDVVPDP
+STAYPYLLLYESRQRRYLSTPMDGTPCGKDRFLAYPCAVGQEIYSSGRHYWEVGMNLTGD
+ALWALGVCRDNVSRRNRVPKSPENGFWVVQLCKGKRYAPAAFPPTPVTLAEPPSHMGIFL
+DFEAGELAFYNANDGSHLHSYTQPAFPGPLQPFFCLGAPKSGQMVISTVTLWVKG
+>sp|A2VDN6|SF3A1_BOVIN Splicing factor 3A subunit 1 OS=Bos taurus OX=9913 GN=SF3A1 PE=2 SV=1
+MPAGPVQAVPPPPPAATEPKQPTEEEASSKEDSTPSKPVVGIIYPPPEVRNIVDKTASFV
+ARNGPEFEARIRQNEINNPKFNFLNPNDPYHAYYRHKVSEFKEGKAQEPSAAIPKVMQQQ
+QQASQQQLPQKVQAQVIQETIVPKEPPPEFEFIADPPSISAFDLDVVKLTAQFVARNGRQ
+FLTQLMQKEQRNYQFDFLRPQHSLFNYFTKLVEQYTKILIPPKGLFTKLKKEAENPREVL
+DQVCYRVEWAKFQERERKKEEEEKEKERVAYAQIDWHDFVVVETVDFQPNEQGNFPPPTT
+PEELGARILIQERYEKFGESEEVEMEVESDEEDEKQEKAEEPPSQLDQDTQVQDMDEGSD
+DEEEGQKVPPPPETPMPPPLPPTPDQVIVRKDYDPKASKPLPPAPAPDEYLVSPITGEKI
+PASKMQEHMRIGLLDPRWLEQRDRSIREKQSDDEVYAPGLDIESSLKQLAERRTDIFGVE
+ETAIGKKIGEEEIQKPEEKVTWDGHSGSMARTQQAAQANITLQEQIEAIHKAKGLVPEDD
+TKEKIGPSKPNEIPQQPPPPSSATNIPSSAPPITSVPRPPAMPPPVRTTVVSAVPVMPRP
+PMASVVRLPPGSVIAPMPPIIHAPRINVVPMPPSAPPIMAPRPPPMIVPTAFVPAPPVAP
+VPAPAPMPPVHPPPPMEDEPASKKLKTEDSLMPEEEFLRRNKGPVSIKVQVPNMQDKTEW
+KLNGQVLVFTLPLTDQVSVIKVKIHEATGMPAGKQKLQYEGIFIKDSNSLAYYNMANGAV
+IHLALKERGGRKK
+>sp|A6QLH6|SCYL1_BOVIN N-terminal kinase-like protein OS=Bos taurus OX=9913 GN=SCYL1 PE=2 SV=1
+MWFFARDPVRDFPFELSPEPPEGSPPGPWVLHRGRKKATGSPVSIFVYDVKPVAEEQTQV
+AKAAFKRLKTLRHPNILAYIDGLETDKCLHVVTEAVTPLGVYLKARAEAGGLKELELSWG
+LHQIVKALSFLVNDCSLIHNNVCMAAVFVDRAGEWKLGGLDYMYSAQGNGGGPPRKGIPE
+LEQYDPPELADGSGRAVREKWSADMWRLGCLIWEVFNGPLPRAAALRNPGKIPKSLVPHY
+CELVGANPKVRPNPARFLQNCRAPGGFMNNRFVETNLFLEEIQIKEPAEKQKFFQELSKS
+LDSFPEDFCRHKVLPQLLTAFEFGSAGAVVLTPLFKVGKFLNAEEYQQKIIPVVVKMFSS
+TDRAMRIRLLQQMEQFIQYLDEPTVNTQIFPHVVHGFLDTNPAIREQTVKSMLLLAPKLN
+ETNLNVELMKHFARLQAKDEQGPIRCNTTVCLGKIGSYLSASTRHRVLTSAFSRATKDPF
+APSRVAGVLGFAATHNLYSMNDCAHKILPVLCGLTVDPEKSVRDQAFKAIRSFLSKLESV
+SEDPTQLAEVEKDVHAASSPGMGGAAASWAGWAVTGVSSLTSKLIRAHPTAALAETNVPQ
+RPAPEGLPAPAPTPVPATPTTSGPWETQEESKDTEEDSSAADRWDDEDWGSLEQEAESVL
+AQRDDWSTGNQASRAGQASNPGHRSQESDWSSWEAEGSWEQDWQEPSPPAPPPEGTRLAS
+EYNWGGPEPSDKGYPFAALSVHREAGAQSRRDSWGDDNWEGLETESRQAKAELARKKREE
+RRREMEAKRAEKKAAKGPMKLGTRKLD
+>sp|Q17QL9|T184C_BOVIN Transmembrane protein 184C OS=Bos taurus OX=9913 GN=TMEM184C PE=2 SV=1
+MPCTCTWRNWRQWIRPLAVVLYLLSIVVAVPLCVWELQKLEVGIHTKAWFIAGIFLLLTI
+PISLWVILQHLVHYTQPELQKPIIRILWMVPIYSLDSWIALKYPSIAIYVDTCRECYEAY
+VIYNFMGFLTNYLTNRYPNLVLIIEAKDQQKHFPPLCCCPPWTMGEVLLFRCKLGVLQYT
+VVRPFTTIIALVCELLDIYDEGNFSFSNAWTYLVIINNMSQLFAMYCLLLFYKVLKEELS
+PIQPVGKFLCVKLVVFVSFWQAVVIALLVKVGVISEKHTWEWQTVEAVATGLQDFIICIE
+MFLAAIAHHYTFSYKPYVQEAEEGSCFDSFLAMWDVSDIRDDISEQVRHVGRTVMGHPRK
+KFFPEDQDQNEHTSLLSSSSQDALSVASSVPPSPVGHYQGFGHTVTPQTTPTTANASDDT
+GNDAAGVREEPSEKPVAS
+>sp|Q06599|TNFA_BOVIN Tumor necrosis factor OS=Bos taurus OX=9913 GN=TNF PE=2 SV=2
+MSTKSMIRDVELAEEVLSEKAGGPQGSRSCLCLSLFSFLLVAGATTLFCLLHFGVIGPQR
+EEQSPGGPSINSPLVQTLRSSSQASSNKPVAHVVADINSPGQLRWWDSYANALMANGVKL
+EDNQLVVPADGLYLIYSQVLFRGQGCPSTPLFLTHTISRIAVSYQTKVNILSAIKSPCHR
+ETPEWAEAKPWYEPIYQGGVFQLEKGDRLSAEINLPDYLDYAESGQVYFGIIAL
+>sp|A6QP70|SGCB_BOVIN Beta-sarcoglycan OS=Bos taurus OX=9913 GN=SGCB PE=2 SV=1
+MAAAAAATEQQSSNGPVKKSMREKAVERRNVNKEHNSNFKAGYIPIDEDRLHKTGLRGRK
+GNLAICVIVLLFILAVINLIITLVIWAVIRIGPNGCDSMEFHESGLLRFKQVSDMGVIHP
+LYKSTVGGRRNENLVITGNNQPIVFQQGTTKLSVGKNKTSITSDTGMQFFDPRTQNILFS
+TDYETHEFHLPSGVKSLNVQKASTERITSNATSDLNIKVGGRAIVRGNEGVFIMGKTIQF
+HMGGNMELKAENSIILNGTVMVSTNRLPSSSSGDQFGGDDWVRYKLCMCADGTLFRVQVT
+GQNMGCQTSDNPCGDLY
+>sp|P20616|SCG2_BOVIN Secretogranin-2 OS=Bos taurus OX=9913 GN=SCG2 PE=2 SV=2
+MAEAKTHWLGAVLSLIPLIFLLSEAEAASFQRNQLLQKEPDLRLENVQRFPSPEMIRALE
+YIEKLRQQAHKEESSPDYNPYQGVSVPLQQKENGDLPESSRDSLSEDEWMKIIAEALRQA
+ENEPQSAPKENKPYTLNSEKNFPMDMPDDYETQQWAERKLKHMRFPPMYEENSRDNPFKR
+TNEIVEEQYTPQNLATLESVFQELGKLTGPNSQKRERADEEQKLYTDDEDDIYKANNIAY
+EDVVGGEDWNPVEEKIESQTQEEVRDSKENADKTEQINDEMKRSGQLGLQDEDLRKESKD
+QLSDDVSKVITYLKRLVNAAGSGRSQNGQTGERAIRLFEKPLDPQSIYQLIEISRNLQIP
+PEDLIDMLKTGEKPVEPEQELEIPVEPEDISEVDLDHPDLFQNKMLSKNGYPKAPGHAVA
+EALSEGLSVEDILNLLGMESAANPKPPYFPNQYNREKVLSRLPYGPGRSKANQLPKAVWM
+PDVENRQMAYENLNDKDQELGEYLARMLVKYPEIMNANPAKRVPSQGSTEDDRQDENQIE
+QALKEHLSQHSSQETDKLASVSKRLPVGTPKSDDTPNRPYLDEDLLVKVLEYLNQEKAEK
+GREHIAKRAMENM
+>sp|E1BAR0|SMIM5_BOVIN Small integral membrane protein 5 OS=Bos taurus OX=9913 GN=SMIM5 PE=4 SV=1
+MAASKLMQEIHSIGDRLLLKLQRLPQAEPVEILAFSVLVVFTATVVLLLLIACGFCCCQY
+CWPRRRGRRTQVGPMTPP
+>sp|Q3SZR9|TSN3_BOVIN Tetraspanin-3 OS=Bos taurus OX=9913 GN=TSPAN3 PE=2 SV=1
+MGQCGITSSKTVLVFLNLIFWGAAGILCYVGAYVFITYDDYDHFFEDVYTLIPAVVIIAV
+GALLFIIGLIGCCATIRESRCGLATFVIILLLVFVTEVVVVVLGYVYRAKVENEVDRSIQ
+KVYKTYNGTNPDAASRAIDYVQRQLHCCGIHNYSDWENTDWFKETKNQSVPLSCCRETAS
+SCNGSLANPSDLYAEGCEALVVKKLQEIMMHVIWAALAFAAIQLLGMLCACIVLCRRSRD
+PAYELLITGGAYA
+>sp|Q28021|ROCK2_BOVIN Rho-associated protein kinase 2 OS=Bos taurus OX=9913 GN=ROCK2 PE=1 SV=1
+MSRPPPTGKMPGAPEAVSGDGAGASRQRKLEALIRDPRSPINVESLLDGLNPLVLDLDFP
+ALRKNKNIDNFLNRYEKIVKKIRGLQMKAEDYDVVKVIGRGAFGEVQLVRHKASQKVYAM
+KLLSKFEMIKRSDSAFFWEERDIMAFANSPWVVQLFCAFQDDKYLYMVMEYMPGGDLVNL
+MSNYDVPEKWAKFYTAEVVLALDAIHSMGLIHRDVKPDNMLLDKHGHLKLADFGTCMKMD
+ETGMVHCDTAVGTPDYISPEVLKSQGGDGYYGRECDWWSVGVFLFEMLVGDTPFYADSLV
+GTYSKIMDHKNSLCFPEDAEISKHAKNLICAFLTDREVRLGRNGVEEIKQHPFFKNDQWN
+WDNIRETAAPVVPELSSDIDSSNFDDIEDDKGDVETFPIPKAFVGNQLPFIGFTYYRENL
+LLSDSPSCKENDSIQSRKNEESQEIQKKLYTLEEHLSTEIQAKEELEQKCKSVNTRLEKV
+AKELEEEITLRKNVESTLRQLEREKALLQHKNAEYQRKADHEADKKRNLENDVNSLKDQL
+EDLKKRNQNSQISTEKVNQLQRQLDETNALLRTESDTAARLRKTQAESSKQIQQLESNNR
+DLQDKNCLLETAKLKLEKEFINLQSVLESERRDRTHGSEIINDLQGRISGLEEDVKNGKI
+LLAKVELEKRQLQERFTDLEKEKNNMEIDMTYQLKVIQQSLEQEETEHKATKARLADKNK
+IYESIEEAKSEAMKEMEKKLSEERTLKQKVENLLLEAEKRCSILDCDLKQSQQKINELLK
+QKDVLNEDVRNLTLKIEQETQKRCLTQNDLKMQTQQVNTLKMSEKQLKQENNHLLEMKMS
+LEKQNAELRKERQDADGQMKELQDQLEAEQYFSTLYKTQVRELKEECEEKTKLCKELQQK
+KQELQDERDSLAAQLEITLTKADSEQLARSIAEEQYSDLEKEKIMKELEIKEMMARHKQE
+LTEKDATIASLEETNRTLTSDVANLANEKEELNNKLKEAQEQLSRLKDEEISAAAIKAQF
+EKQLLTERTLKTQAVNKLAEIMNRKEPVKRGNDTDVRRKEKENRKLHMELKSEREKLTQQ
+MIKYQKELNEMQAQIAEESQIRIELQMTLDSKDSDIEQLRSQLQALHIGLDSSSIGSGPG
+DTEADDGFPESRLEGWLSLPVRNNTKKFGWVKKYVIVSSKKILFYDSEQDKEQSNPYMVL
+DIDKLFHVRPVTQTDVYRADAKEIPRIFQILYANEGESKKEQEFPVEPVGEKSNYICHKG
+HEFIPTLYHFPTNCEACMKPLWHMFKPPPALECRRCHIKCHKDHMDKKEEIIAPCKVYYD
+ISSAKNLLLLANSTEEQQKWVSRLVKKIPKKPPAPDPFARSSPRTSMKIQQNQSIRRPSR
+QLAPNKPS
+>sp|Q29RU0|RN128_BOVIN E3 ubiquitin-protein ligase RNF128 OS=Bos taurus OX=9913 GN=RNF128 PE=2 SV=1
+MGQLPGAGVFCRGGCGFSRLLAWCFLLVLSPQTPGSRGAEAVWTAYLNVSWRVPHTGVNR
+TVWELSEEGVYGQDSPLEPVAGVLVPPDGPGALNACNPHTNFTVPTVPGDWGSSVQVSWL
+ALIQRGGGCTFADKIHLAYERGASGAVIFNFPGTRNEVIPMSHPGAGDIVAIMIGNLKGT
+KILQSIQRGIQVTMVIEVGKKHGPWVNHYSIFFVSVSFFIITAATVGYFIFYSARRLRNA
+RAQSRKQRQLKADAKKAIGRLQLRTQKQGDKEIGPDGDSCAVCIELYKPNDLVRILTCNH
+VFHKTCVDPWLLEHRTCPMCKCDILKALGIEVDVEDGSVSLQVPVSNETSSNASPHEEDN
+RSETASSGYASVQGADEPPLEEHAHSANENLQLVNHEANSMAVDVVPHVDNPTFEEDESP
+DQETTVREIKS
+>sp|A4IFB1|SRRT_BOVIN Serrate RNA effector molecule homolog OS=Bos taurus OX=9913 GN=SRRT PE=2 SV=1
+MGDSDDEYDRRRRDKFRRERSDYDRSRERDERRRGDDWNDREWDRGRERRSRGEYRDYDR
+NRRERFSPPRHELSPPQKRMRRDWDEHSSDPYHSGYEMPYAGGGGGPAYGPPQPWGHPDV
+HIVQHPVLPIQARLGSIAEIDLGVPPPVMKTFKEFLLSLDDAVDETEAVKRYNDYKLDFR
+RQQMQDFFLAHKDEEWFRSKYHPDEVGKRRQEARGALQNRLRVFLSLMESGWFDNLLLDI
+DKADAIVKMLDAAVIKMEGGTENDLRILEQEEEEEQAGKPGEPNKKEESRVGPGLGDGER
+KANEKDDKKEDGKQAENESSSDDKIKKSEGDGDKEEKKEDSEKEAKKSSKKRNRKHSGDD
+SFDEGSVSESESESESGQAEEEKEEADETLKEKEKPKEEEREKPKDAPGLECKPRPLHKT
+CSLFMRNIAPNISRAEIISLCKRYPGFMRVALSEPQPERRFFRRGWVTFDRSVNIKEICW
+NLQNIRLRECELSPGVNRDLTRRVRNINGITQHKQIVRNDIKLAAKLVHTLDDRTQLWAP
+EPGTPALPASLPSQNPILKNITDYLIEEVSAEEEELLGSSGGAPPEEPPKEGNPAEINVE
+RDEKLIKVLDKLLLYLRIVHSLDYYNTCEYPNEDEMPNRCGIIHVRGPMPPNRISHGEVL
+EWQKTFEEKLTPLLSVRESLSEEEAQKMGRKDPEQEVEKFVTSNTQELGKDKWLCPLSGK
+KFKGPEFVRKHIFNKHAEKIEEVKKEVAFFNNFLTDAKRPALPEIKPAQPPGPAQILPPG
+LTPGLPYPHQTPQGLMPYGQPRPPILGYGAGAVRPAVPTGGPPYPHAPYGAGRGNYDAFR
+GQGGYPGKPRNRMVRGDPRAIVEYRDLDAPDDVDFF
+>sp|Q1RMW2|T184A_BOVIN Transmembrane protein 184A OS=Bos taurus OX=9913 GN=TMEM184A PE=1 SV=1
+MTDTPGLLGTPLAWTPPARPAGPQMERAGNGSQGPGPLFLTSPLARGVSGVFVWAALVLT
+GHQIYLHLRSYTVPHEQRYIIRLLFIVPVYAFDSWLSLLLLGGHQHYIYFDSVRDCYEAF
+VIYSFLSLCFQYLGGESAIMAEIRGKPVRTSCFHGTCCLRGMTYSIGFLRFCKQATLQFC
+IVKPIMALVTIVLQAFGKYHDGDFNVRSGYLYITLVYNASVSLALYALFLFYSATRELLQ
+PFEPVLKFLTIKAVIFLSFWQGLLLAILERCGVIPEVQVIDGSTVGAGTVAAGYQNFIIC
+IEMLFASIALRYAFTCQVYSEKTESSPAPSAPMQSISSGLKETMSPQDIVQDAIHNFSPA
+YQKYTQQATQEAPRPGQGSVPSPRTPTHSPDGGPGGGRKGRNVEKRMLIPAEEL
+>sp|Q3ZBR6|SEM1_BOVIN 26S proteasome complex subunit SEM1 OS=Bos taurus OX=9913 GN=SEM1 PE=3 SV=1
+MSEKKQPVDLGLLEEDDEFEEFPAEDWAGLDEDEDAHVWEDNWDDDNVEDDFSNQLRAEL
+EKHGYKMETS
+>sp|Q3SZA5|SPSY_BOVIN Spermine synthase OS=Bos taurus OX=9913 GN=SMS PE=2 SV=1
+MAAARHSTLDFMLGAKADGETILKGLQSIFQEQGMTESVHTWQDHGYLATYINKNGSFAN
+LRIYPHGLVLLDLQSYDGDAQGKEVDSLLNKVEERMKELSQDSTERVKRLPPIVRGGAID
+RYWPTADGRLVEYDIDKVVYDEDSPYQNIKILHSKQFGNILILSGDVNLAESDLAYTRAI
+MGSGKEDYSGKDVLILGGGDGGILCEIVKLKPKMVTMVEIDQMVIDGCKKYMRKTCGDVL
+DNLKGDCYQVLIEDCIPVLKRYAKEGREFDYVINDLTAVPISTSPEEDSTWEFLRLILDL
+SMKVLKQDGKYFTQGNCVNLTEALSLYEEQLGRLYCPVEFSKEIVCVPSYLELWVFYTVW
+KKAKP
+>sp|P67810|SC11A_BOVIN Signal peptidase complex catalytic subunit SEC11A OS=Bos taurus OX=9913 GN=SEC11A PE=2 SV=1
+MLSLDFLDDVRRMNKRQLYYQVLNFGMIVSSALMIWKGLMVITGSESPIVVVLSGSMEPA
+FHRGDLLFLTNRVEDPIRVGEIVVFRIEGREIPIVHRVLKIHEKQNGHIKFLTKGDNNAV
+DDRGLYKQGQHWLEKKDVVGRARGFVPYIGIVTILMNDYPKFKYAVLFLLGLFVLVHRE
+>sp|A6QLS2|RSRC2_BOVIN Arginine/serine-rich coiled-coil protein 2 OS=Bos taurus OX=9913 GN=RSRC2 PE=2 SV=2
+MAASDTERDGLAPEKTSPDTDKKKEQSDVSVSPRASKHHYSRSRSRSRERKRKSDNEGRK
+HRSRSRSKEARRHESKDKSSKKHKSEEHNDKEHSSDKGRERLNSSENGEDRHKRKERKSS
+RGRSHSRSRSRERRHRSRSRERKKSRSRSRDRKKSRSRSRERKKSRSRSRERKRRIRSRS
+RSRSRHRHRSRSRSRTRSRSRDRKKRIEKPRRFSRSLSRTPSPPPFRGRNTAMDAQEALA
+RRLERAKKLQEQREKEMVEKQKQQEIAAAAAATGGSVLNVAALLASGTQVTPQIAMAAQM
+AALQAKALAETGIAVPSYYNPAAVNPMKFAEQEKKRKMLWQGKKEGDKSQSAEIWEKLNF
+GNKDQNVKFRKLMGIKSEDEAGCSSVDEESYKTLKQQEEVFRNLDAQYEMARSQTHTQRG
+MGLGFTSSMRGMDTV
+>sp|Q9GLX9|SPON1_BOVIN Spondin-1 OS=Bos taurus OX=9913 GN=SPON1 PE=1 SV=1
+MRLSPVLLRLSRGPALLALALPLAVALAFSDETLDKVPKSEGYCSRILRVQGTRREGYTE
+FSLRVEGDPDFYKPGTSYRVTLSAAPPSYFRGFTLIALKENREGDKEEDHAGTFQIIDEE
+ETQFMSNCPVAVTESTPRRRTRIQVFWIAPPAGTGCVILKASIVQKRIIYFQDEGSLTKK
+LCEQDSTFDGVTDKPILDCCACGTAKYRLTFYGNWSEKTHPKDYPRRANHWSAIIGGSHS
+KNYVLWEYGGYASEGVKQVAELGSPVKMEEEIRQQSDEVLTVIKAKAQWPAWQPLNVRAA
+PSAEFSVDRTRHLMSFLTMMGPSPDWNVGLSAEDLCTKECGWVQKVVQDLIPWDAGTDSG
+VTYESPNKPTIPQEKIRPLTSLDHPQSPFYDPEGGSITQVARVVIERIARKGEQCNIVPD
+NVDDIVADLAPEEKDEDDTPETCIYSNWSPWSACSSSTCDKGKRMRQRMLKAQLDLSVPC
+PDTQDFQPCMGPGCSDEDGSTCTMSEWITWSPCSISCGTGTRSRERYVKQFPEDGSVCTL
+PTEETEKCTVNEECSPSSCLTTEWGEWDECSATCGMGMKKRHRMVKMSPADGSMCKAETS
+QAEKCMMPECHTIPCLLSLWSEWSDCSVTCGKGMRTRQRMLKSLAELGDCNEELEQVEKC
+MLPECPIDCELTEWSQWSECNKSCGKGHMIRTRMIQMEPQFGGTPCPETVQRKKCRIRKC
+LRNPSIQNLRWREARESRRSEQLREESDGDQFPGCRMRPWTAWSECTKLCGGGIQERYMT
+VKKRFKSSQFTSCKDKKEIRACNVHPC
+>sp|Q3SYU3|S39A1_BOVIN Zinc transporter ZIP1 OS=Bos taurus OX=9913 GN=SLC39A1 PE=2 SV=1
+MGPWGEPELLVWRPEAAASEAPVPMGLEVKLGALVLLLVLTLICSLVPVCVLRRPGANPE
+ASASRQKALSLVSCFAGGVFLATCLLDLLPDYLGAIDEALAALHVTLQFPLQEFILAMGF
+FLVLVMEQITLAYKEQSGPPPREETRALLGTVNGGPQHWHDGLGVPQAGGASSAPSALRA
+CVLVFSLALHSVFEGLAVGLQRDQARAMELCLALLLHKGILAVSLSLRLLQSHLRAQVVA
+GCGILFSCMTPLGIGLGTALAESAGPLHQLAQSVLEGMAAGTFLYITFLEILPQELATSE
+QRILKVILLLAGFALLTGLLFIQI
+>sp|Q0VCE3|TRIB3_BOVIN Tribbles homolog 3 OS=Bos taurus OX=9913 GN=TRIB3 PE=2 SV=1
+MRASPLAVPANAPSRKKRLELDDDLDTECPSQKQARSGPQPRLPSCPLTLNPPPAPVHAP
+DVTTPSRLGPYVLLEPEEGSRTYRALHCPTGTEYICKVYPACERLAVLEPYWRLPHHGHV
+ARPAEVLAGTQLLYAFFLRPHGDMHSLVRRRRRLPEPEAAALFRQMAAALAHCHQHGLVL
+RDLKLRRFVFTDRERTKLVLENLEDACVLTGPDDSLWDKHACPAYVGPEILSSRASYSGK
+AADVWSLGVALFTMLAGHYPFQDSEPALLFGKIRRGAFALPEGLSAPARCLVRCLLRREP
+TERLTASGILLHPWLRENAIPAALPRSRHCEADQVVPEGPGLEEAEEEGERDMGLYG
+>sp|Q5E959|STRAP_BOVIN Serine-threonine kinase receptor-associated protein OS=Bos taurus OX=9913 GN=STRAP PE=2 SV=1
+MAMRQTPLTCSGHTRPVVDLAFSGITPYGYFLISACKDGKPMLRQGDTGDWIGTFLGHKG
+AVWGATLNKDATKAATAAADFTAKVWDAVSGDELMTLAHKHIVKTVDFTQDSNYLLTGGQ
+DKLLRIYDLNKPEAEPKEISGHTSGIKKALWCSEDKQILSADDKTVRLWDHATMTEVKSL
+NFNMSVSSMEYIPEGEILVITYGRSIAFHSAVSLDPIKSFEAPATINSASLHPEKEFVVA
+GGEDFKLYKYDYNSGEELESYKGHFGPIHCVRFSPDGELYASGSEDGTLRLWQTVVGKTY
+GLWKCVLPEEDSGELAKPKINFPETAEEELEEIASENSDSIYSSTPEVKA
+>sp|Q9GKZ4|TRAM1_BOVIN Translocating chain-associated membrane protein 1 OS=Bos taurus OX=9913 GN=TRAM1 PE=2 SV=3
+MAIRKKSSKNPPVLSHEFVLQNHADIVSCVAMVFLLGLMFEITAKVSIIFVTLQYNVTLP
+ATEEQATESAFLYYYGIKDLATVFFYMLVAIIIHAIIQEYVLDKINRRMHFSKTKHSKFN
+ESGQLSAFYLFSCIWGTFILISENYISDPTILWRAYPHNLMTFQMKFFYISQLAYWFHAF
+PELYFQKTKKEDIPRQLVYIGLYLFHIAGAYLLNLNHLGLVLLVLHYFVEFLFHISRLFY
+FSDEKYQKGFSLWAVLFVLGRLLTLILSVLTVGFGLARAENQKLDFSTGNFNVLAVRIAV
+LASICITQAFMMWKFINFQLRRWREHSAFQAPAVKKKPPVTKGRSSRKGTENGVNGTVTS
+NGADSPRNRKEKSS
+>sp|Q58DF3|TRABD_BOVIN TraB domain-containing protein OS=Bos taurus OX=9913 GN=TRABD PE=2 SV=1
+MEEPEEQPPHEADTEPVVTSGASEAVPRVLPGDPQNLSDVDAFNLLLEMKLKRRRERPNL
+PHTVTELVAEDGSRVYVVGTAHFSDDSKRDVVKTIREVQPDVVVVELCQYRVSMLKMDER
+TLLREAKEISLEKLQQAIRQNGVASGLMQMLLLKVSAHITEQLGVAPGGEFREAFKEASR
+VPFCKFHLGDRPIPVTFKRAIAALSLWQKVKLAWGLCFLSDPISKDDVERCKQKDLLEQM
+MAEMVGEFPDLHRTIVSERDVYLTYMLRQAARRLELPRASDAEPRKCVPSVVVGVVGMGH
+VPGIEKNWTTDLNIQEIMTVPPPSASGRVSRLAVKAAALGLLGYGLYWTGRRAVSLLLAL
+PAARHCLQRLSDAWPQK
+>sp|P61267|STX1B_BOVIN Syntaxin-1B OS=Bos taurus OX=9913 GN=STX1B PE=1 SV=1
+MKDRTQELRSAKDSDDEEEVVHVDRDHFMDEFFEQVEEIRGCIEKLSEDVEQVKKQHSAI
+LAAPNPDEKTKQELEDLTTDIKKTANKVRSKLKAIEQSIEQEEGLNRSSADLRIRKTQHS
+TLSRKFVEVMTEYNATQSKYRDRCKDRIQRQLEITGRTTTNEELEDMLESGKLAIFTDDI
+KMDSQMTKQALNEIETRHNEIIKLETSIRELHDMFVDMAMLVESQGEMIDRIEYNVEHSV
+DYVERAVSDTKKAVKYQSKARRKKIMIIICCVVLGVVLASSIGGTLGL
+>sp|Q3SZY8|SMAKA_BOVIN Small membrane A-kinase anchor protein OS=Bos taurus OX=9913 PE=3 SV=1
+MGCMKSKQTFPFPTTLESEKRHVSEENFMPEEKFLPRIPSLVNVKEEVKEPPGPQIVVFE
+FAQHLSQEILSDALQQWAGNNLKYYDIPYIESEGP
+>sp|A5D7R1|TR11B_BOVIN Tumor necrosis factor receptor superfamily member 11B OS=Bos taurus OX=9913 GN=TNFRSF11B PE=2 SV=1
+MNKLLCCALVFLDISIKWTTQETFPPKYLHYDPESSRQLMCDKCPPGTFLKQPCTARRKT
+VCAPCPDHYYTDTWHTSDECLYCSPVCKELQYVKQECSRTHNRVCECEEGRYLELEFCLK
+HRSCPPGFGVLHPGTPERNTVCKRCPDGFFSNETSSKAPCRKHTNCSAFGLLLTQKGNAT
+HDNICSGSSESSTHKCGIDMTLCEEAFFRFAVPTKLTPNWLSVLVDNLPGTKVNAESIER
+IKQRHNSREQTFQLLKLWKHQNKDQDMVKKIIQDIDLCENSVRRHIGHLNLTFEQLLKLM
+ESLPGKKVTTEDVEKTVKTCKSSEQLLKLLSLWRIKNGDQDTRKGLLHALKHLKTYHFPK
+TVIQSLKKTIRFLHSFTMYRLYRKLFLEMIGNQVQSLKISCL
+>sp|Q32LK9|SYCE1_BOVIN Synaptonemal complex central element protein 1 OS=Bos taurus OX=9913 GN=SYCE1 PE=2 SV=2
+MAGRPGSSNAEAAGAVGPTDEARGQAESSQKIEDLMEMVKKLQKVGSLEPRVEVLINRIN
+EVQQAKKKASEELGDARTVWETLQKELDSLSGEKVRLKEILSKKQETLRVLRLHCQDKEN
+EAQRKQTMLQECKERISALNSQIEQEKNKQRQLRLDFEEQLEDLMGQYKDLWEFHKPERL
+ALEISTLDSGKEQLLKEEKLVEAKLEDVKHRLCSQFGAKGHTINEGLFLRSPEAAAVVHL
+FEEENRKAQELLEAAAQRQEQLQQKCQQLQQKRQRLKEELEKLGVQVLAQAQSKQEEEAG
+LGEAANPKPLGVSEEKDQEPSTK
+>sp|A5D7C2|SDA1_BOVIN Protein SDA1 homolog OS=Bos taurus OX=9913 GN=SDAD1 PE=2 SV=1
+MSSRNNNKLPSNLPQLQNLIKRDPPAYVEEFLQQYNHYKSNVEIFKLQPNKPSKELAELV
+MFMAQISHCYPEHLSNFPQELKDLLSYNHTVLDPDLRMTFCKALILLRNKNLINPSSLLE
+LFFELLRCHDKLLRKTLYTHIVTDIKNINAKHKNNKVNIVLQNFMYTMLRDSNATAAKIS
+LDVMIELYRRNIWNDAKTVNVITTACFSKVTKILVAALTFFLGKDEEEKQDSDSESEDEG
+PTARDLLVQYATGKKSSKNKKKLEKAMKVLTKHKKKKKPEVFNFSAIHLIHDPQDFAEKL
+LKQLESSKERFEVKMMLMNLISRLVGIHELFLFNFYPFVQRFLQPHQREVTKILLFAAQA
+SHHLVPPEIIQSLLMTVANNFVTDKNSGEVMTVGINAIKEITARCPLAMTEELLQDLAQY
+KTHKDKNVMMSARTLIQLFRTLNPQMLQKKFRGKPTEASIEARVQEYGELDAKDYIPGAE
+ILEVENEEENAEGDEDGWESASLSDEADSDGEWVDVHHSSDEEQKEISEKLNSMPLEERK
+AKAAAVSTSRVLSQEDFQKIRMAQMRKELDAAPGKAQKRKYIEIDSDEERRGELLSLRDI
+ERLHKKPKSDKETRLATAMAGKTDRKEFVRKKTKMNPFSSSTNKEKKKQKNFMMMRYSHN
+VRSKNKRSFREKQLALRDALLKKRKRMK
+>sp|Q0VC50|RT4I1_BOVIN Reticulon-4-interacting protein 1, mitochondrial OS=Bos taurus OX=9913 GN=RTN4IP1 PE=2 SV=1
+MGFLKTCVFRRNACTAVCFWRSQVVQKPSVRKISTTSPRSTVMPAWVIDKYGSNEVLRFT
+QNMMIPMIHYPNEVIIKVHAASINPIDVNMRSGYGATALNMKRDPLHVKIKGEEFPLTLG
+RDVSGVVMECGLDVRYFKPGDEVWAAVPPWKQGTLSEFVVVSGNEVSHKPRSLTHTQAAS
+LPYVALTAWSAINKVGGLNDRNCTGKRVLILGASGGVGTFAIQVMKAWDAHVTAVCSQDA
+SELVRKLGADDVIDYKSGNVEAQLKSSKPFDFILDNVGGSTETWALKFLKKWSGATYVTL
+VTPFLLNMDRLGIADGMLQTGVTVGSKTLKHFWQGVHYRWAFFMASGPCLDDIAELVEAG
+KIQPVIEKTFPFSKVPEAFLKVERGHARGKTVINVV
+>sp|Q6RXL1|SFTPA_BOVIN Pulmonary surfactant-associated protein A OS=Bos taurus OX=9913 GN=SFTPA1 PE=2 SV=2
+MLLCSLTLTLLWMVASGLECDVKEVCLGSPGIPGTPGSHGLPGRDGRDGIKGDPGPPGPM
+GPPGGMPGLPGRDGMTGAPGLPGERGEKGEPGERGPPGFPAYLDEELQGTLHEIRHQVLQ
+SQGVLRLQGSVLAVGEKVFSTNGQSVNFDAIKELCARVGGHIAAPRSPEENEAIVSIVKK
+YNTYAYLGLVEGPTAGDFYYLDGAPVNYTNWYPGEPRGRGKEKCVEIYTDGQWNDKNCLQ
+YRLAICEF
+>sp|Q3T075|STX8_BOVIN Syntaxin-8 OS=Bos taurus OX=9913 GN=STX8 PE=2 SV=1
+MAPDPWFSTYDSTCQIAQEIAEKIQQRNQYERNGENTTKLTVTIRALLQKLKEKIALLKD
+LLLRAVATHQITQLEGDRRQNLLDDLVTRERLLLASFKNEGAEPDLIRSSLMTGGAKRGA
+PNPWLLEEPEETRGLGFDEIRQQQQKIIQEQDAGLDALSSIISRQKQMGQEIGNELDEQN
+EIIDDLANLVENTDEKLRTETRRVNLVDRKSTSCGMIMVILLLLVAIVVVAVWPTK
+>sp|A6H7B1|TOM20_BOVIN Mitochondrial import receptor subunit TOM20 homolog OS=Bos taurus OX=9913 GN=TOMM20 PE=2 SV=1
+MVGRNSAIAAGVCGALFIGYCIYFDRKRRSDPNFKNRLRERRKKQKLAKERAGLSKLPDL
+KDAEAVQKFFLEEIQLGEELLAQGEYEKGVDHLTNAIAVCGQPQQLLQVLQQTLPPPVFQ
+MLLTKLPTISQRIVSAQSLAEDDVE
+>sp|Q24JY6|TM160_BOVIN Transmembrane protein 160 OS=Bos taurus OX=9913 GN=TMEM160 PE=2 SV=1
+MGGGWWWARAARLARLRFRGALLPPPRPRSGGARGSFAPGHGPRAGASPPPVSELDRADA
+WLLRKAHETAFLSWFRNGLLASGIGVISFMQSDMGREAAYGFFLLGGLCVVWGGASYVVG
+LAALRGPMQLSVGGAAAGVGAVLAAGLLWACAVGLYMGQLELDVELVPEDDGTTAAEGPD
+EAGRPPPE
+>sp|Q95108|THIOM_BOVIN Thioredoxin, mitochondrial OS=Bos taurus OX=9913 GN=TXN2 PE=1 SV=2
+MAQRLLLRRFLTSIISGKPSQSRWAPVASRALQTPQYSPGYLTVTPSQARSIYTTRVCST
+TFNIQDGPDFQDRVVNSETPVVVDFHAQWCGPCKILGPRLEKVVAKQHGKVVMAKVDIDD
+HTDLALEYEVSAVPTVLAMKNGDVVDKFVGIKDEDQLEAFLKKLIG
+>sp|Q2NKV5|TMCO2_BOVIN Transmembrane and coiled-coil domain-containing protein 2 OS=Bos taurus OX=9913 GN=TMCO2 PE=2 SV=1
+MSSSSSIWDIIIDYLSLSSIWNYLQATLLGETSVPQQTNLGPLDNLAPAVQVILGISFLI
+LLGVGMYALWKRSVQSIQKILLFAITLYKLYKKGSDFFQALLVNPEGSDLTLQDNNIFLS
+LGLQEKILKKLQTVENKVKDLEGMIISQKPTTKREYSSDHYCSCSDCQSPLPTSGFTSTS
+EM
+>sp|P82929|RT34_BOVIN 28S ribosomal protein S34, mitochondrial OS=Bos taurus OX=9913 GN=MRPS34 PE=1 SV=2
+MARRKVRPRLIAELARRVRALREQRERPRDSVRYALDYETLIRPHSGRKLPLRAWVDVRR
+ESRLLQLLGRLPFFGLGRLVTRKSWLWQHDEPCYWRLTRVRPDYTAQNLDHGKAWGILTF
+KGKTESEAREIEQVMHHDWRLVPKHEEAAFTSFTPAPEETPRPVPYPPLLRAMILAERQK
+NGDPSTEEPMLSLERIRTDPWDYPENQEAKKKTKGTAV
+>sp|Q17QN2|TOE1_BOVIN Target of EGR1 protein 1 OS=Bos taurus OX=9913 GN=TOE1 PE=2 SV=1
+MAADSDDGAASAPTVSDDGVRQSTKSGEELVVQVPVVDVQSDNFKEMWPSLLLAIKTANF
+VAVDTELSGLGDRKCLLNQCIEERYKAVCHAARTRSILSLGLACFKQQPDKGEHSYLAQV
+FNLTLLCMEEYVIEPKSVQFLVQHGFNFNRQYAQGIPYHKGNDKGDESQRQSVRTLFLEL
+IRARRPLVLHNGLIDLVFLYQNFYAHLPENLGTFTADLCEMFPAGIYDTKYAAEFHARFL
+ASYLEYAFRKCERENGKQRAAGSPHLTLEFCSYPSSMRAHIDYRCCAPPPTYRTHSTSIC
+DNFSAYGWCPLGPQCPRSHDIDLIIDTDEAALEDKRRRRRRKEKRRRALLGLPGKQPSRE
+AEDSPPTKQVCKDNRKPEETEQEVAEDETGTQPGSEQGHTNDLEMEPKATSAETTDTATS
+EAPERQVTTDMATSEAPERQVSPNPVPGDGLHRAGFDAFMTGYVMAYVGVSQGSHPCSSE
+PWLPECHNKVYLSGKAVPLTVVKSQFSRSSKAHNQKMKLAWGSS
+>sp|A0JNK6|TM243_BOVIN Transmembrane protein 243 OS=Bos taurus OX=9913 GN=TMEM243 PE=3 SV=1
+MEDFSTRTYGTSGLDNRPLFGETSAKDRIINLVVGSLTSLLILVTLISAFVFPQLPPKPL
+NIFFAVCISLSSITACILIYWYRQGDLEPKFRNLIYYILFSIIMLCICANLYFHDVGK
+>sp|Q2KIN0|ZCHC7_BOVIN Zinc finger CCHC domain-containing protein 7 OS=Bos taurus OX=9913 GN=ZCCHC7 PE=2 SV=2
+MMFGGYETIEAYEDELYREESSSELSVDSEVEFQLYSQVHYAQDLDNVIREEEHEDRNSG
+NSESFSSKPNQKNLIVLSDSEVIQLSDGSEVITLSDEDSIYRCERKNFRVHAKEKTQGSP
+ASLHSNDLADKKCKRDIEKSKPGERSGTIQEVMIIEVSSSEEEESTISESDNVESWMLLG
+CEVDDKDDDILLNIVGCEKSVNEGEDDVNWFISDKDIEAQIGNKRSSGRWTHRYYTANKN
+VTCRNCDKCGHLSKNCPFPQKVRPCCLCSERGHLQYACPARFCLGCSLPMSSTHRCLERA
+SWRKRCDRCDMIGHYADACPEIWRQYHLTTKPGPPKKPKTPSGQSTLVYCYNCGQEGHYG
+HECTERRMFNQTFPTSPFIYYYDDKYKIRERDQRIKRKVKELQKNGDLPRKFKRPHMEAA
+DKRPRDIRTSHASWKNNRWPQEKKETQKETMSRNMREREKHRKAARCHEDDEDFPRGPRV
+HSTPGTSKTQKPHKPFHHSSHYLKPREDRLSKEGKRGKHKKKESCVGEDSNDNLFLIKQR
+KKKSKL
+>sp|Q27987|TSHR_BOVIN Thyrotropin receptor OS=Bos taurus OX=9913 GN=TSHR PE=2 SV=1
+MRPTPLLRLALFLVLPSSLGGERCPSPPCECRQEDDFRVTCKDIQSIPSLPPSTQTLKFI
+ETHLKTIPSRAFSNLPNISRIYLSIDATLQQLESHSFYNLSKVTHIEIRNTRSLTYIDSG
+ALKELPLLKFLGIFNTGLRVFPDLTKIYSTDVFFILEITDNPYMTSIPANAFQGLCNETL
+TLKLYNNGFTSIQGHAFNGTKLDAVYLNKNKYLTVIGQDAFAGVYSGPTLLDISYTSVTA
+LPSKGLEHLKELIARNTWTLRKLPLSLSFLHLTRADLSYPSHCCAFKNQKKIRGILQSLM
+CNESSIRGLRQRKSASALNGPFYQEYEDLGDGSAGYKENSKFQDTQSNSHYYVFFEEQED
+EIIGFGQQLKNPQEETLQAFDSHYDYTVCGGSEDMVCTPKSDEFNPCEDIMGYKFLRIVV
+WFVSLLALLGNVFVLVILLTSHYKLTVPRFLMCNLAFADFCMGLYLLLIASVDLYTQSEY
+YNHAIDWQTGPGCNTAGFFTVFASELSVYTLTVITLERWHAITFAMRLDRKIRLWHAYVI
+MLGGWVCCFLLALLPLVGISSYAKVSICLPMDTETPLALAYIILVLLLNIIAFIIVCACY
+VKIYITVRNPHYNPGDKDTRIAKRMAVLIFTDFMCMAPISFYALSALMNKPLITVTNSKI
+LLVLFYPLNSCANPFLYAIFTKAFQRDVFMLLSKFGICKRQAQAYRGQRVSPKNSTGIRV
+QKVPPDVRQSLPNVQDDYELLENSHLTPKQQDQTSKEYKRTVL
+>sp|Q56K03|RL27A_BOVIN 60S ribosomal protein L27a OS=Bos taurus OX=9913 GN=RPL27A PE=2 SV=3
+MPSRLRKTRKLRGHVSHGHGRIGKHRKHPGGRGNAGGMHHHRINFDKYHPGYFGKVGMRH
+YHLKRNQSFCPTVNLDKLWTLVSEQTRVNAAKNKTGAAPIIDVVRSGYYKVLGKGKLPKQ
+PVIVKAKFFSRRAEEKIKGVGGACVLVA
+>sp|Q3T174|TAF12_BOVIN Transcription initiation factor TFIID subunit 12 OS=Bos taurus OX=9913 GN=TAF12 PE=2 SV=1
+MNQFGPSALINLSNFSSIKPEPASTPPQGSMANSTAVVKIPGTPGTGGRLSPENNQVLTK
+KKLQDLVREVDPNEQLDEDVEEMLLQIADDFIESVVTAACQLARHRKSSTLEVKDVQLHL
+ERQWNMWIPGFGSEEIRPYKKACTTEAHKQRMALIRKTTKK
+>sp|Q08DV9|T131L_BOVIN Transmembrane protein 131-like OS=Bos taurus OX=9913 GN=TMEM131L PE=2 SV=2
+MAGPRRPQPGCYRRTAAAVNLLLGVFHVLLPCGRPGGAQGQAIEPMPNVVELWQAEEGEL
+LLPTQGDSEDDTEEQSQEQSFSDKLFSGKGLHFQPSVLDFGVQFLGQPAAKILYAYNPSR
+ESEVVVNSVFTAARHFHVPPVHCRVIPAMGKTSFRIIFLPTEEGSIESSLFINTSSHGVL
+SYHVSGFGTRVMSAKGSAEQLPNAYFLLPQVQTVQLSQTQAETTNSSLLQVQLECSLHNK
+VCQRLKSCYVESDDVLRLQMSIIVTMENTSKEFEENTQDLLDHLSIIYVATDKSESSDDS
+AVNMYILHSGNSLIWIQDVRHFSQRDVLSLQFEPVLLPTSTTNFTKIASFTCKAPSCESG
+AREDGKKKDTPTLKACLSSPVVQGYFRMDPSATQFHIENHENTSGLWSLWYLNHFDHGVV
+LNDVFVSKETKHVLKILNFTGPLFLPPGCWKIFSLKLAVKDIAINVFTHVFLTTNIGAVF
+AIPLQIYSAPTKEGSLGFEVIAHCGMHYFMGKSKAENPDWEGSLSLDPSTWDVDSELANT
+LYERWKKLKHGDVCKRTVLGVTRFAHSKKSKESEPFAVFLPRLVPEPGLVLNFSATALRN
+SMVKYFVVKNPSSWPVSLQLLPVSLYPKPEAAARLLHKWFGTDMQMINLTTSEFQLTKAC
+PYLGVRSEGSRFGILHLHLQPLERKRVGVVFTPADYGKVSSLILIRNNLTVIDMIGVEGF
+GARELLKVGGRLPGTGGSLRFKVPESTLMDCRRQLKDSKQILSITKNFKVENIGPLPITV
+TSLKINGYNCQGYGFEVLDCHQFSLGPNTSRDISIVFTPDFTSSWVIRELTLVTAADLEF
+RFTLNVTLPHHLLPLCADVVPGPSWEESFWRLTVFFVSLSLLGVILIAFQQAQYILMEFM
+KTRQRQNASSSSQQSTAPVDVISAHSHKSNCKNFLDAYAPSDKGRGKSCLPVSSPQSRTQ
+NATKRSPATCGHSQKKHKCSVYYGRHRGAAVASSAGAPSEDRPAPAKAGGCPAGAGEAWV
+GLRCAGGLSANLQKSLALPRSFLGKDENTLKSAVAASSPSSECHVKEGAQTCVFPKETDF
+QVSESVAELKEREVCPMKTPKKLPENHLPRSSPQCQSDLPDISRKNNGNNQQVPVKNEVD
+NCESLKKVDTKSSSEKKIHKAAKEDGCSEKQDIPSIEQEDPYRKKALQEKKEGNVQNLNW
+NKNRMCRKNKKRGAAQVLRPSDQSELKLVCSEFERSELSSDINVRNWRIQENPGEACKAG
+ASSGSSLPATQGEAEGYFQKPGKKGAEKSCSDSGSDCGSSSGSVRASRGSWGSWSSASSS
+DGDRKPAVGPPRCLLPGDSVSQNDFSSEAPISLNLSRNICNPTDVSGLPAPADAPCPSLP
+AGPAAAEEQGLCAPGDLWPAQPVCVTGGFGCALESGGPAALPPPAAVPSSSFIDWNATCE
+GQFPSVYCPLELNDYNAFPEEGMNYPSGFPCPAEVQTDFIDHSSPSAWGSPPSVPTAWGH
+ASLISSPPYLTSTRSLSPMSGLFGSIWAPQSDVYENCCAINPTTEHSAHMENQAVMCKEY
+YPGFNPFRAYMDLDIWTSTANRNANFPLSRDSSYCGNV
+>sp|P35541|SAA_BOVIN Serum amyloid A protein OS=Bos taurus OX=9913 GN=SAA1 PE=1 SV=2
+MKLFTGLILCSLVLGVHSQWMSFFGEAYEGAKDMWRAYSDMREANYKGADKYFHARGNYD
+AAQRGPGGAWAAKVISDARENIQRFTDPLFKGTTSGQGQEDSRADQAANEWGRSGKDPNH
+FRPAGLPDKY
+>sp|P30931|TF_BOVIN Tissue factor OS=Bos taurus OX=9913 GN=F3 PE=1 SV=1
+MATPNGPRVPCPQAAVARALLFGLVLIQGAGVAGTTDVVVAYNITWKSTNFKTILEWEPK
+PINHVYTVQISPRLGNWKNKCFYTTNTECDVTDEIVKNVRETYLARVLSYPADTSSSTVE
+PPFTNSPEFTPYLETNLGQPTIQSFEQVGTKLNVTVQDARTLVRANSAFLSLRDVFGKDL
+NYTLYYWKASSTGKKKATTNTNGFLIDVDKGENYCFHVQAVILSRRVNQKSPESPIKCTS
+HEKVLSTELFFIIGTVMLVIIIFIVVLSVSLHKCRKVRAERSGKENTPLNAA
+>sp|A6QP01|SELT_BOVIN Thioredoxin reductase-like selenoprotein T OS=Bos taurus OX=9913 GN=SELENOT PE=2 SV=2
+MRLLLLLLVAASAVVRSDASANLGGVPGKRLKMQYATGPLLKFQICVSUGYRRVFEEYMR
+VISQRYPDIRIEGENYLPQPIYRHIASFLSVFKLVLIGLIIVGKDPFAFFGMQAPSIWQW
+GQENKVYACMMVFFLSNMIENQCMSTGAFEITLNDVPVWSKLESGHLPSMQQLVQILDNE
+MKLNVHMDSIPHHRS
+>sp|Q58D15|TRI54_BOVIN Tripartite motif-containing protein 54 OS=Bos taurus OX=9913 GN=TRIM54 PE=2 SV=1
+MNFTVGFKPLLGDAHSMDNLEKQLICPICLEMFSKPVVILPCQHNLCRKCANDVFQASNP
+LWQSRSSTTVSSGGRFRCPSCRHEVVLDRHGVYGLQRNLLVENIIDIYKQESSRPLHSKA
+EQHLMCEEHEDEKINIYCLSCEVPTCSLCKVFGAHKDCEVAPLPTIYKRQKSELSDGIAM
+LVAGNDRVQAVITQMEEVCQTIEENSRRQKQLLNQRFEGLCAVLEERKGELLQALAREQE
+EKLQRVRGLIRQYGDHLEASSKLVESAIQSMEEPQMALYLQQAKELINKVGTMSKVELAG
+RPEPGYERMDQFTVSVEHVAEMLRTIDFQPGTSGEEEDEEVAVEGEEGNAGPEEERTDGR
+ESTGQH
+>sp|Q5E9F5|TAGL2_BOVIN Transgelin-2 OS=Bos taurus OX=9913 GN=TAGLN2 PE=2 SV=3
+MANRGPAYGLSREVQQKIEKQYDADLEQILIQWITTQCRKDVGRPQPGRENFQNWLKDGT
+VLCELINGLYPEGQAPVKKIQASTMAFKQMEQISQFLQAAERYGINTTDIFQTVDLWEGK
+NMACVQRTLMNLGGLAVARDDGLFSGDPNWFPKKSKENPRYFSDNQLQEGKNVIGLQMGT
+NRGASQAGMTGYGMPRQIL
+>sp|Q58DD4|SDC2_BOVIN Syndecan-2 OS=Bos taurus OX=9913 GN=SDC2 PE=2 SV=1
+MRRAWILLTWVLVACVSAESRAELTSDKDMYLDNNSIEEASGVYPIDDDDYASASGSGAG
+EDGESPELTTSRPIPKIPFTSTAPRVETTTLSKIQDKIPAQTKSPEEIDKEKVHLPDSER
+KTDPAEEDTNVYTEKHSDNLFKRTEVLAAVIAGGVIGFLFAIFLILLLVYRMRKKDEGSY
+DLGERKPSSAAYQKAPTKEFYA
+>sp|A6QQX9|TM163_BOVIN Transmembrane protein 163 OS=Bos taurus OX=9913 GN=TMEM163 PE=2 SV=1
+METAAGSERRSTPGPAVPPPPRGHAPLATASGPLSSPAREPPQPEEERQLRISESGQFSD
+GLEDRGLLESSTRLKPHEAQNYRKKALWVSWFSIIVTLALAVAAFTVSVMRYSASAFGFA
+FDAILDVLSSAIVLWRYSNAAAVHSAHREYIACVILGVIFLLSSVCIVVKAIHDLSTKLL
+PEVDDFLFSVSILSGILCSILAVLKFMLGKVLTSRALITDGFNSLVGGVMGFSILLSAEV
+FKHNSAVWYLDGSIGVLIGLTIFAYGVKLLIDMVPRVRQTRHYEMFE
+>sp|Q3T077|TPPP2_BOVIN Tubulin polymerization-promoting protein family member 2 OS=Bos taurus OX=9913 GN=TPPP2 PE=2 SV=1
+MASEAERTFQRFAVFGESSSSGTEMNNKNFSKLCKDCGIMDGKTVTSTDVDIVFSKVKAK
+NARTITFQQFQEAMKELGQKRFKGKSPDEALENIYKLMEGKDPATTGVTKATTVGGVSRL
+TDTSKYTGTHKERFDESGKGKGIAGREDVTDNSGYVSGYKGAGTYDKKGSN
+>sp|Q0VCR1|RTF2_BOVIN Replication termination factor 2 OS=Bos taurus OX=9913 GN=RTF2 PE=2 SV=1
+MGCDGGTIPKRHELVKGPKKVEKVDKDAELVAQWNYCTLSQEILRRPIVACELGRLYNKD
+AVIEFLLDKSSEKALGKAASHIKSIKNVTELRLSDNPAWEGDKGNTKGDKHDDLQRARFI
+CPVVGLEMNGRHRFCFLRCCGCVFSERALKEIKAEVCHTCGAAFQEDDVIVLNGTKEDVE
+VLKSRIEERRLRAKLGKKTKKPKVAESVSKSEVSEETPGPSKVKTGKPEETSLDTREKKT
+NSAPKSAAAHGITSGKAAKRSIADSEESEAYKSLFTTHSSAKRSKEESAHWVTHTSYCF
+>sp|Q0VC48|TMOD4_BOVIN Tropomodulin-4 OS=Bos taurus OX=9913 GN=TMOD4 PE=2 SV=1
+MSSYQKELEKYRDIDEDEILKTLSPEELEQLDCELQEMDPENMLLPAGLRQRDQTKKSPT
+GPLDREALLQYLEQQALEVKERDDLVPFTGEKKGKPYIQPKREIPVEEQVTLEPELEEAL
+AHATDAEMCDIAAILGMYTLMSNKQYYDAICSGEICNTEGISSVVQPDKYKPVPDEPPNP
+TNIEEILKSVRSNDKEVEEVNLNNIQDIPIPMLTELCEAMKTNTHVRSFSLVATRSGDPV
+ANAVADMLRENRSLQSLNIESNFISSTGLMAVLKAVRENATLTELRVDNQRQWPGDAVEM
+EMATVLEQCPSIVRFGYHFTQQGPRARAAQAMTRNNELRRQQKKR
+>sp|Q58DF6|SCAM4_BOVIN Secretory carrier-associated membrane protein 4 OS=Bos taurus OX=9913 GN=SCAMP4 PE=2 SV=1
+MSGKENNFPPLPKFIPLKPCFYQNFSDEIPIEHQVLVKRIYRLWLFYCATLGVNLVACLA
+WWIAGGSGANFGLALLWLLLFSPCGYVCWFRPAYKAFRSDSSFNFMAFFFIFGAQFILTI
+IQAVGFSGWGACGWLAAIGFFQTSVGAAVVMLLPAIMFSMSAAMMAVMIMKVHSIYRGTG
+GSFQKAQTEWSTGTWRNPPSREAQFNNFSGNSLPEYPTVPSYPASGGQWP
+>sp|Q2KIA4|SCD5_BOVIN Stearoyl-CoA desaturase 5 OS=Bos taurus OX=9913 GN=SCD5 PE=2 SV=1
+MPGPAVDAEKVPFRSAKEEIRAGVGVEGSEGGGGGGGRERPGARGHRQDIVWRNVFLMSL
+LHLAAVYSLVLIPKAQPLTLLWAYFCFLLTALGVTAGAHRLWSHRSYKAKLPLRIFLAAA
+NSMAFQNDIFEWSRDHRVHHKYSETDADPHNARRGFFFSHIGWLFVRKHRDVIEKGRKLD
+VTDLLADPVVRFQRKYYKITVVLMCFVVPTLVPWYIWGESLWNSYFLASILRYTISLNVT
+WLVNSVAHMYGNRPYDKHISPRQNPLVTLGAIGEGFHNYHHTFPFDYSASEFGLNFNPTT
+WFIDFMCWLGLATDRKRATKQMIEARKARTGDGSA
+>sp|P62865|UBIM_BOVIN Ubiquitin-like protein FUBI OS=Bos taurus OX=9913 GN=FAU PE=3 SV=1
+MQLFVRAQELHTLEVTGQETVAQIKAHVASLEGIAPEDQVLLLAGTPLEDEATLGQCGVE
+ALSTLEVAGRMLGG
+>sp|Q32KV8|ZN414_BOVIN Zinc finger protein 414 OS=Bos taurus OX=9913 GN=ZNF414 PE=2 SV=1
+MDEEPSGPSLDMPATAEPSSSETDKGVSPVLAAIDKSSSMEEEPGPDRATTPPVWERGGP
+TGGTQQGASPAPDSGHSGPGHTLGPTSTVSGTSEDLRPTRRRPPPGKQIPCSSPGCCLSF
+PSVRDLAQHLRTHCPPKQSLEGKLFRCSALSCTETFPNMQELVAHGKLHYKPNRYFKCEN
+CLLRIRTHRSLFKHLHVCAEHAQSPAPPPPPPALDRESPASERPPESDPAPAPGLPYPLL
+EPFTTPAPAPTGPFLPYLNPAPFGLSPPRLRPFLAAAPGPPASSAAVWKKSQGAGGSPRR
+PQGGSDAPSGHAAPSRIVWEHTRGRYSCMQCAFSTASRPAMTLHLEDHRPGGPAAPAPGQ
+PRPDAPADPAPLAPKASPLLSEGECPVFSPL
+>sp|Q0V8J1|WSB2_BOVIN WD repeat and SOCS box-containing protein 2 OS=Bos taurus OX=9913 GN=WSB2 PE=2 SV=1
+MLSPAPEEPLLLAELKPGRPHQFDWKSSCETWSVAFSPDGSWFAWSQGHCIVKLIPWPLE
+EQFIPKGFEAKSRSSKNETKGRGSPKEKTLDCGQIVWGLAFSPWPSPPSKKLWARHHPQV
+PDVSCLILATGLNDGQIKIWEVQTGLLLLNLSGHQDVVRDLSFTPSGSLILVSASRDKTL
+RIWDLNKHGKQIQVLSGHLQWVYCCSISPDCSMLCSAAGEKSVFLWSMRSYTLIRKLEGH
+QSSVVSCDFSPDSALLVTASYDTNVIMWDPYTGERLRSLHHTQLNPPMDDSDVHISSLRS
+VCFSPEGLYLATVADDRLLRIWALELKTPIAFAPMTNGLCCTFFPHGGVIATGTRDGHVQ
+FWTAPRVLSSLKHLCRKALRSFLTTYQVLALPIPKKMKEFLTYRTF
+>sp|A6QP16|ZRAN1_BOVIN Ubiquitin thioesterase ZRANB1 OS=Bos taurus OX=9913 GN=ZRANB1 PE=1 SV=1
+MSERGIKWACEYCTYENWPSAIKCTMCRAQRPSGTIITEDPFKSGSSDVGRDWDPSSTEG
+GSSPLICPDSSARPRVKSSYSMENANKWSCHMCTYLNWPRAIRCTQCLSQRRTRSPTESP
+QSSGSGSRPVAFSVDPCEEYNDRNKLNTRTQHWTCSICTYENWAKAKKCVVCDHPRPNNI
+EAIEFAETEEASSIINEQDRARWRGSCSSGNSQRRSPPTMKRDSEVKMDFQRIELAGAVG
+SKEELEVDFKKLKQIKNRMKKTDWLFLNACVGVVEGDLAAIEAYKSSGGDIARQLTADEV
+RLLNRPSAFDVGYTLVHLAIRFQRQDMLAILLTEVSQQAAKCIPAMVCPELTEQIRREIA
+ASLHQRKGDFACYFLTDLVTFTLPADIEDLPPTVQEKLFDEVLDRDVQKELEEESPIINW
+SLELATRLDSRLYALWNRTAGDCLLDSVLQATWGIYDKDSVLRKALHDSLHDCSHWFYTR
+WKDWESWYSQSFGLHFSLREEQWQEDWAFILSLASQPGASLEQTHIFVLAHILRRPIIVY
+GVKYYKSFRGETLGYTRFQGVYLPLLWEQSFCWKSPIALGYTRGHFSALVAMENDGYGNR
+GAGANLNTDDDVTITFLPLVDSERKLLHVHFLSAQELGNEEQQEKLLREWLDCCVTEGGV
+LVAMQKSSRRRNHPLVTQMVEKWLDRYRQIRPCTSLSDGEEDEDDEDE
+>sp|O77801|INSL3_BOVIN Insulin-like 3 OS=Bos taurus OX=9913 GN=INSL3 PE=1 SV=1
+MDRRPLTWALVLLGPALAIALGPAAAQEAPEKLCGHHFVRALVRLCGGPRWSSEEDGRPV
+AGGDRELLRWLEGQHLLHGLMASGDPVLVLAPQPLPQASRHHHHRRATAINPARHCCLSG
+CTRQDLLTLCPH
+>sp|A0JNK3|HTRA2_BOVIN Serine protease HTRA2, mitochondrial OS=Bos taurus OX=9913 GN=HTRA2 PE=2 SV=1
+MAALRAGRGAGWSLRGWRALWGGRWGKGPLLTPDLRALLTSGTPDPRTRVTYGTPSFRAR
+LSVGVPEPRTCLRSRTSDLRARLIAGTPDPRTPEDSGTPGTRLRVWLAVALGAGGAVLLL
+FWGGGRGPPAVLASVLGSPPTSPRSQYNFIADVVEKTAPAVVYIEILGRHPFSGREVPIS
+NGSGFVVAADGLIVTNAHVVADRRRVRVRLPSGDTYEAVVTAVDPVADIATLRIQTKEPL
+PTLPLGRSADVRQGEFVVAMGSPFALQNTITSGIVSSAQRPAKDLGLPQTNVEYIQTDAA
+IDFGNSGGPLVNLDGEVIGVNTMKVTSGISFAIPSDRLREFLHRGEKKNSWFGISGSQRR
+YIGVMMLTLTPSILAELQLREPSFPDVQHGVLIHKVILDSPAHRAGLRPGDVILAIGEQL
+VQNAEDIYEAVRTQSQLAVRIRRGQETLTLYVTPEVTE
+>sp|Q3SZI8|IVD_BOVIN Isovaleryl-CoA dehydrogenase, mitochondrial OS=Bos taurus OX=9913 GN=IVD PE=2 SV=1
+MATAAWLLGRRVASWRMRPPLQSLAGLITQRTNSLLPVDDAVNGLNEEQKQLRQTVAKFL
+QEHLAPQAQEIDQSNEFKNLREFWKQLGNLGVLGITAPVQYGGSGLGFLENVLVMEEISR
+VSGAVGLSYGAHSNLCINQIVRNGNETQKEKYLPKLISGEYIGALAMSEPNAGSDVVSMK
+LKAEKKGDHYVLNGNKFWITNGPDADVLVVYAKTDVTAVPASRGITAFIVEKGMPGFSTS
+KKLDKLGMRGSNTCELVFEDCEVPAANILGHLGKGVYVLMSGLDLERLVLAGGPLGIMQA
+VLDHTIPYLHMREAFGQKIGHFQLMQGKMADMYTRLMACRQYVYNVAKACDEGHCTTKDC
+AGVILYSAECATQVALDGIQCLGGNGYINDFPMGRFLRDAKLYEIGAGTSEVRRLVIGRA
+FNADFH
+>sp|P37359|MT3_BOVIN Metallothionein-3 OS=Bos taurus OX=9913 GN=MT3 PE=1 SV=2
+MDPETCPCPTGGSCTCSDPCKCEGCTCASSKKSCCSCCPAECEKCAKDCVCKGGEGAEAE
+EKKCSCCQ
+>sp|A4IF69|NHLC2_BOVIN NHL repeat-containing protein 2 OS=Bos taurus OX=9913 GN=NHLRC2 PE=2 SV=1
+MAAPGARSCNLSGLLPAQTSLEYALLDAVTQEEKDGLVYQYLQKVDGWEQDLLVPEFPEG
+LEWLNTEEPISVYKDLCGKVVILDFFTYCCINCIHLLPDLHALEHTYSDKDGLLIVGVHS
+AKFPNEKVLDNIRSAVLRYNITHPVVNDADASLWQELEVSCWPTLIILGPRGNMLFSLIG
+EGHKEKLFLYTSIALKYYKDRGQIRANKIGIKLYKDSLPPSPLLFPGKITVDHVSNRLVI
+ADTGHHRILVVWKNGQIQYSIGGPNPGRKDGIFSESSFNSPQGVAIMNNIIYVADTENHL
+IRKIDLEAEMVSTVAGIGIQGTDKEGGAKGDEQPISSPWDVVFGRSGPEVQRDNILWIAM
+AGTHQIWALLLDCGRLPKKNELKKGTCLRFAGSGNEENRNNAYPHKAGFAQPSGLSLASE
+GPWSCLFVADSESSTVRTVSLKDGAVKHLVGGERDPMNLFAFGDVDGVGINARLQHPLGV
+TWDQKRNLLYVADSYNHKIKVVDPKTKNCTTLAGTGNASNMIGSSFTDSTFNEPGGLCIG
+ENGQLLYVADTNNHQIKVLDLETKTVSVFPVFRSENAVVDGPCLAGKPKTLPKLPKSAPG
+IRLAPVAASPGQTLQFKLRLDLPSGTKLTEGASSCWFLSAEGNEWLLQGQIPSGEIESIS
+NQPTISLQIPGDCLSLEAILSISVFLYYCSSDSSACMMKGILFSQPLQITDTQQDCIPPV
+ELKYIF
+>sp|Q02372|NDUB8_BOVIN NADH dehydrogenase [ubiquinone] 1 beta subcomplex subunit 8, mitochondrial OS=Bos taurus OX=9913 GN=NDUFB8 PE=1 SV=1
+MAAARAGVLGVRWLQKAARNVVPLGARTASHITKDMLPGPYPKTPEERAAAAKKYNMRVE
+DYEPYPDDGTGYGDYPKLPDRSQQERDPWYDWDHPDLRLNWGEPMHWDLDMYIRNRVDTS
+PTPVNWNLMCKHLFGFVAFMLFMFWVGETYPAYQPVGPKQYPYNNLYLERGGDPNKEPEP
+VVHYEI
+>sp|O97764|QOR_BOVIN Zeta-crystallin OS=Bos taurus OX=9913 GN=CRYZ PE=2 SV=2
+MATGQKLMRAIRVFEFGGPEVLKLQSDVAVPIPKDHQVLIKVQACGVNPVDTYIRSGTHN
+IKPLLPYTPGFDVAGIIEAVGESVSAFKKGDRVFTTRTISGGYAEYALAADHTVYTLPEK
+LDFKQGAAIGIPYFTAYRALLHSACVKPGESVLVHGASGGVGIAACQIARAYGLKVLGTA
+STEEGQKIVLENGAHKVFNHKEADYIDKIKKSVGEKGVDVIIEMLANVNLSNDLNLLSHG
+GRVIVVGSRGTIEINPRDTMTKESSIKGVTLFSSTKEEFQQFAAALQAGMEIGWLRPVIG
+PQYLLEKATQAHENIIHSSGATGKMILLLN
+>sp|Q8MJG0|R9BP_BOVIN Regulator of G-protein signaling 9-binding protein OS=Bos taurus OX=9913 GN=RGS9BP PE=1 SV=2
+MAKEECKALLDALNKATACYHHLVLTIGGSADSQNLREELQKTRQKAQELAVAIRLRLTA
+PLRDRSLGAEERAEFERLWVAFSGCLDLLEADMRRALALSTEFPLQEPRRPLVRTGVEGG
+ATGVAARALSVRSLRHEAHRDFDVEDLHQLEREILQVGEMIQDMEMKVNVPRWTVQARQA
+AGAELLSSASAGVSSVGGVSVEQRTGPCDLSKAKAATIFSAVLLAAVALAVCVAKLS
+>sp|A3KMV8|RBP10_BOVIN Ran-binding protein 10 OS=Bos taurus OX=9913 GN=RANBP10 PE=2 SV=1
+MAAATADPGAGSPQVGDSSGGATGCGLPSPGEQELSRRLQRLYPAVNQHETPLPRSWSPK
+DKYNYIGLSQGNLRVHYKGHGKNHKDAASVRATHPIPAACGIYYFEVKIVSKGRDGYMGI
+GLSAQGVNMNRLPGWDKHSYGYHGDDGHSFCSSGTGQPYGPTFTTGDVIGCCVNLINGTC
+FYTKNGHSLGIAFTDLPANLYPTVGLQTPGEIVDANFGQQPFLFDIEDYMREWRAKVQGT
+VHCFPISARLGEWQAVLQNMVSSYLVHHGYCATATAFARMTETPIQEEQASIKNRQKIQK
+LVLEGRVGEAIETTQRFYPGLLEHNPNLLFMLKCRQFVEMVNGTDSEVRSLSSRSPKSQD
+SYPGSPSLSPRHGPTSSHTHNTGADSPSCSNGVASTKSKQNHSKYPAPSSSSSSSSSSSS
+SSPSSVNYSESNSTDSTKSQPHSSTSNQETSDSEMEMEAEHYPNGVLESMSTRIVNGAYK
+HEDLQTDESSMDDGHPRRQLCGGNQAATERIILFGRELQALSEQLGREYGKDLAHTEMLQ
+DAFSLLAYSDPWSCPVGQQLDPIQREPVCAALNSAILESQNLPKQPPLMLALGQASECLR
+LMARAGLGSCSFARVDDYLH
+>sp|Q3MHY7|RM10_BOVIN 39S ribosomal protein L10, mitochondrial OS=Bos taurus OX=9913 GN=MRPL10 PE=1 SV=2
+MAAAVAGMLRGGLLPQAGRLPTHQFIRYGSKAVTRHRRVMHFERQKLMAVTEYIAPKPVV
+NPRCLPPPPSPPQEETGLIRLLRREIAAVFRDNRMIAVCQNVAMSAEDKLLMRHQLRKHK
+ILMKVFPNQILKPFLEDSKYQNLLPLFVGHNLLLVSEEPKVKEMVRILKSVPFLPLLGGC
+IDDTILSRQGFINYSKLPSLALAQGELVGGLTLLTARTHSLLQHHPLQLTALLDQYARQQ
+HEGDPVVPASAQPDPPNPVQDS
+>sp|Q3MHX3|RBM4_BOVIN RNA-binding protein 4 OS=Bos taurus OX=9913 GN=RBM4 PE=2 SV=1
+MVKLFIGNLPREATEQEIRSLFEQYGKVLECDIIKNYGFVHIEDKTAAEDAIRNLHHYKL
+HGVNINVEASKNKSKTSTKLHVGNISPTCTNKELRAKFEEYGPVIECDIVKDYAFVHMER
+AEDAVEAIRGLDNTEFQGKRMHVQLSTSRLRTAPGMGDQSGCYRCGKEGHWSKECPVDRS
+GRVADFTEQYNEQYGAVRTPYTMGYGDSLYYNNAYGALDAYYKRCRAARSYEAVAAAAAS
+AYNYAEQTLSQLPQVQNTAMASHLTSTSLDPYDRHLLPTSGAAAAAAAAAAAAVTAASSS
+YYGRDRSPLRRATGPVPTVGEGYGYGHESELSQGSSAARNSLYDMARYEREQYADRARYS
+AF
+>sp|Q17QQ4|TAF9_BOVIN Transcription initiation factor TFIID subunit 9 OS=Bos taurus OX=9913 GN=TAF9 PE=2 SV=1
+MESGKMASPKSMPKDAQMMAQILKDMGITEYEPRVINQMLEFAFRYVTTILDDAKIYSSH
+AKKATVDADDVRLAIQCRADQSFTSPPPRDFLLDIARQRNQTPLPLIKPYSGPRLPPDRY
+CLTAPNYRLKSLQKKASASAGRITVPRLSVGSVTSRPSTPTLGTPTPPAMSVSTKVGTPV
+SLTGQRFTVQMPTSQSPAVKASIPATSAVQNVLINPSLIGSKNILITTNMVSSQNTANEA
+SNALKRKHDDDDDDDDDDDDYDNL
+>sp|Q17QN9|S22AG_BOVIN Solute carrier family 22 member 16 OS=Bos taurus OX=9913 GN=SLC22A16 PE=2 SV=2
+MGSSNLELIFDSVGHFGRYQIFLYFICAFQNISCGIHYLASVFLSVSPQHTCRPPGNVSQ
+VLFQDLSTWQLEDIWTQFSVGREDRILVQLQDGAIWELTSCQRFRRDDQSSLDYEYSGQK
+SSFPCLDGYIYDRSKWLSTVVTQWDLVCNREWFGRLIQPTFMFGVLLGAVIFGYLSDRAG
+RRLVLWASSTGVFLFGIAAAFTFDYYSFIVARFLLAISGSGYLVVVFVYVTEFVGMKSRT
+WASIHLHSFFAFGTMVVALTGYFVRTWWIYQIVLSSVTVPFVLCCWMLPETPFWLISGGK
+YEEAQKVIDTMAKWNRTRPCKLSEILSLDHDGSAGNKPSQVEKHTLSELFYDWSIGTRTL
+ILWLIWFTGCLGFYTFSLNSVHLGGSEYLNLFLMGVVEIPAYVLVCLGMDRVGRRNILIF
+SLLSSAVTSGVIMVIPKDYHVWLVVASMAGKFFIGAAFGLIYLYTAELYPTIVRSLAVGS
+GSTVGRVGSIVAPLCIYLSSVWIFMPQLLVGTLALVSGVLTFLLPETLRRPLTTTWEETE
+KESSSGKLLSTTSNTVLENVTVENSEDSSLNK
+>sp|Q2YDF6|RT35_BOVIN 28S ribosomal protein S35, mitochondrial OS=Bos taurus OX=9913 GN=MRPS35 PE=1 SV=1
+MAAPSLPAWLALQTRARTLRAFSTAVSPVTGAQRLSPPTTERTSKHERAPRRKALPPRTE
+KMSVDQDWPSVYPVAAPFKPSAVPLPVRMGYPVKRGVPMAKEGNLELLKIPNFLHLTPVA
+IKKHCEALKDFCTEWPAALDSDEKCEKHFPIEIDTADYISSGPSIRNPKARVVTLRVKLS
+SLNLDDHAKKKLIKLVGDRYCKSTDVLTIKTDRCPLKRQNYDYAMYLLTVLYHESWKTEE
+WEKKKTEADMEEYVWKDSASEKNILETLFQIKAAEKNTELSKEELLSTKEVEDYKNSVVS
+LKNEGDNENTISQYKESVKRLLHLM
+>sp|Q32PE3|SELK_BOVIN Selenoprotein K OS=Bos taurus OX=9913 GN=SELENOK PE=3 SV=3
+MVYISNGQVLDSRSQSPWRLSFITDFFWGIAEFVVLFFRTLLQQDVKKRRGYGSSSDSRY
+DDGRGPPGNPPRRRMGRINHLQGPNPPPMAGGUGR
+>sp|Q0IIE5|TM204_BOVIN Transmembrane protein 204 OS=Bos taurus OX=9913 GN=TMEM204 PE=2 SV=1
+MTVRKVVATAVLVALVSLVLNNAAAFTPNWVYQTLEDGRRRSVGLWWACWLVERPRGAPG
+PGARPGPADVRDCEALGWGSEAAGFQESRGTVKLQFDMMRACNLVATAALAAGQLTFVLG
+LTGLPLLSPDAQCWEEAMAAAFQLASFVLVIGLVTFYRIGPYTSLSWSCYLNIGACLLAT
+LAAAMLIWNVLHRREDCTAPRVIVISRSLTARFRRGLDNDYVESPC
+>sp|Q3SZN2|SC23B_BOVIN Protein transport protein Sec23B OS=Bos taurus OX=9913 GN=SEC23B PE=2 SV=1
+MATYLEFIQQNEERDGVRFSWNVWPSSRLEATRMVVPLACLLTPLKERPDLPPVQYEPVL
+CSRPTCKAILNPLCQVDYRAKLWACNFCFQRNQFPPAYAGISEVNQPAELMPQFSTIEYV
+IQRGAPSPLIFLYVVDTCLEDDDLQALKESLQMSLSLLPPDALVGLITFGRMVQVHELSC
+EGISKSYVFRGTKDLTAKQIQDMLGLTKPAMPVQPMRPAQPQERPSVSSRFLQPIHKIDM
+NLTDLLGELQRDPWPVPQGKRPLRSTGVALSIAVGLLEGTFPNTGARIMLFTGGPPTQGP
+GMVVGDELKVPIRSWHDIEKDNARFMKKATKHYEMLANRTAANGHCIDIYACALDQTGLL
+EMKCCPNLTGGYMVMGDSFNTSLFKQTFQRIFSKDFNGNFRMAFGATLEVKTSRELKVAG
+AIGPCVSLNVKGPCVSENELGVGGTSQWKICGLDPTTTLGIYFEVVNQHNAPIPQGGRGA
+IQFVTHYQHSSTQRRIRVTTVARNWADVQSQLKHIEAAFDQEAAAVLMARLGVFRAETEE
+GPDVLRWLDRQLIRLCQKFGQYNKEDPMSFRLSDSFSLYPQFMFHLRRSPFLQVFNNSPD
+ESSYYRHHFARQDLTQSLIMIQPILYSYSFHGPPEPVLLDSSSILADRILLMDTFFQIVI
+YLGETIAQWRKAGYQDMPEYENFKHLLQAPLDDAQEILQARFPMPRYIHTEHGGSQARFL
+LSKVNPSQTHNNLYAWGQETGAPILTDDVSLQVFMDHLKKLAVSSAC
+>sp|Q28037|VDR_BOVIN Vitamin D3 receptor OS=Bos taurus OX=9913 GN=VDR PE=2 SV=2
+MEATAASTSLPDPGDFDRNVPRICGVCGDRATGFHFNAMTCEGCKGFFRRSMKRKALFTC
+PFNGDCRITKDNRRHCQACRLKRCIDIGMMKEFILTDEEVQRKREMILKRKEEEALKDSL
+RPKLSEEQQRIITTLLEAHHKTYDDTYSDFSQFRPPVRNSEDEGNRPLRSILTPSFSGNS
+SSSCSDHCTSSPDTMEPTSFSNQDLNEEDSDDPSVTLDLSQLSMLPHLADLVSYSIQKVI
+GFAKMIPGFRDLTPEDQIVLLKSSAIEVIMLRSNQSFTLDDDMSWTCGSPDYKYQVSDVT
+RAGHSLELIEPLIKFQVGLKKLNLHEEEHVLLMAICIVSPDRPGVQDAALVEAIQDRLSN
+TLQTYIRCRHPPPGSHLLYAKMIQKLADLRSLNEEHSKQYRCLSFQPESSMKLTPLLFEV
+FGNEIS
+>sp|Q08DH3|TRM9B_BOVIN Probable tRNA methyltransferase 9B OS=Bos taurus OX=9913 GN=TRMT9B PE=2 SV=1
+MDHAAAQLEKQHVHDVYESTAPYFSDLQSKAWPRVRQFLQEQKPGSLIADIGCGTGKYLK
+VNSQVHTLGCDYCAPLVEIARSRGCEVMVCDNLNLPFRDQGFDAIISIGVIHHFSTKQRR
+IRAIKEMARVLVPGGQLMIYVWAMEQKNRHFEKQDVLVPWNKALCSQRLSESGQPGRKQE
+CGHPERGYPYHPACSACHCSVCFEGRCNSKRSHSVDCDSVLAGTCCANISKEGEEENGFY
+NTLGKSFRSWFSSRSLDESTLRKQIEKVRPLKSTESWANSAISIQPSRHSSFDLGHPETL
+SAGEQNLDEEVFVEPSQGPREWLRAPTTCKQLNGDHPGVIRRNGDGNFLGGANAKESCVD
+EGNLEEGTASGSKLWRRISAADSTDSNPGDAISVEEQQPDVLDSRAFMRYYHVFREGELC
+GLLKESVSELHILSSGNDHGNWCVIAEKRESCD
+>sp|Q58CP2|SUMF2_BOVIN Inactive C-alpha-formylglycine-generating enzyme 2 OS=Bos taurus OX=9913 GN=SUMF2 PE=2 SV=1
+MGISLSPLLTVLSLLSGRWLELGNGQTVNMVQLPGGRFQMGTDSPDGRDGEGPVREVTVK
+PFAIDIFPVTNKDFREFVREKKYRTEAEVFGWSFVFEDLVSDELRNKATQRMQSLLWWLP
+VERAFWRQPAGPGSGIREKLEFPVVHVSWNDARAYCAWRGKRLPTEEEWEFAARGGLKGQ
+VYPWGNKFQPNRTNLWQGKFPKGDKAEDGFHGVSPVNAFPPQNDYGLYDLVGNVWEWTAS
+QYQAADQDMRVLRGASWIDTADGSANHRARVTTRMGNTPDSASDNLGFRCASGAGRPPGE
+L
+>sp|A6H6Z7|TPX2_BOVIN Targeting protein for Xklp2 OS=Bos taurus OX=9913 GN=TPX2 PE=2 SV=1
+MSQVTTSYSYDAPTDFINFSSLTDEEDMQHIDSWFDEKANLENKFTGKDGTGGLYQGKTP
+LRKANLHRDVTPLRPVDNTYNKQAEKENLVEESIPSNECPSMKVKETTSRNNPVQPQRRS
+LRLSAKKNLEQKEKQHVKMKAKRCGTPVIINEFPPSKKMKVQKILKSTEEQELEKRMKMQ
+QEVVEMRKRNEEFKKFALAGAGQPVKKSVSQVTKAVDFHFRTDERVKQHPKNQEEYKEVN
+FTSELRKHPPSPARVTKGCTIVMPFNLSQGKKRTFDETASTYVPLAQQVEAFHKRTPTRY
+HLRNRKDDIMPLPSKSVVRICRDPQTPVLQTKHRTRPVTCKSAADLEAEELEKQQQYKFK
+AQELDPRILEGGPILPKKPPVKPPTQPVGFDLEIEKRIQERESKKKLEEEHYEFHSRPCP
+TKILEDVVGVPEKKELPITVPKSPAFALKNRIRMPTKEDKEEEEPVMIRAQPVPYFGMPF
+KPQIPVGRTVEVCPFSFDSRDKERQLQKEKKIKELQKGEVPKFKAHPLPHFDTINLPEKK
+VKNTTQVEPFCLETDRRGALKAQTWKHQLDEELKQQKEAACFKARPNTVISQEPFVPKRE
+KKSVIEGLSGSLVQEPFQLATEKRAKERQELEKRMAEVEALKAQQLEEARQQEEEQQKEE
+LARLRKELVHKANPIRRYQGVEVKSSDQPLTVPVSPKFSTRFHC
+>sp|Q3SX04|T3HPD_BOVIN Trans-L-3-hydroxyproline dehydratase OS=Bos taurus OX=9913 GN=L3HYPDH PE=2 SV=1
+MAGPLTVPWMPPHDPGTPALSVVDMHTGGEPLRIVLAGCPEVVGPTLLAKRRYMRQHLDH
+VRRRLMFEPRGHRDMYGAVLVPSELPDAHLGVLFLNNEGYSSMCGHAVLALGRFALDFGL
+VPAPPSDAQEALVNIHCPCGLVAAFVECEGCRSRGPVRFHSVPAFVLATDFLVDVPGRGK
+VVVDIAYGGAFYAFVSAEKLGLDVCSAKMGDLVAAASAVTEAVKAQFKISHPDSEDLAFL
+YGTILTDGKDTYNEEPTTNICVFADEQVDRSPTGSGVTARIALQYHKGLLELNQTRAFKS
+SATGSVFTGKAVREAKCGDFKAVIVEVSGQAHYTGTASFIVEDDDPLRDGFLLK
+>sp|Q2TBS9|SMU1_BOVIN WD40 repeat-containing protein SMU1 OS=Bos taurus OX=9913 GN=SMU1 PE=2 SV=1
+MSIEIESSDVIRLIMQYLKENSLHRALATLQEETTVSLNTVDSIESFVADINSGHWDTVL
+QAIQSLKLPDKTLIDLYEQVVLELIELRELGAARSLLRQTDPMIMLKQTQPERYIHLENL
+LARSYFDPREAYPDGSSKEKRRAAIAQALAGEVSVVPPSRLMALLGQALKWQQHQGLLPP
+GMTIDLFRGKAAVKDVEEEKFPTQLSRHIKFGQKSHVECARFSPDGQYLVTGSVDGFIEV
+WNFTTGKIRKDLKYQAQDNFMMMDDAVLCMCFSRDTEMLATGAQDGKIKVWKIQSGQCLR
+RFERAHSKGVTCLSFSKDSSQILSASFDQTIRIHGLKSGKTLKEFRGHSSFVNEATFTQD
+GHYIISASSDGTVKIWNMKTTECSNTFKSLGSTAGTDITVNSVILLPKNPEHFVVCNRSN
+TVVIMNMQGQIVRSFSSGKREGGDFVCCALSPRGEWIYCVGEDFVLYCFSTVTGKLERTL
+TVHEKDVIGIAHHPHQNLIATYSEDGLLKLWKP
+>sp|Q3T169|RS3_BOVIN 40S ribosomal protein S3 OS=Bos taurus OX=9913 GN=RPS3 PE=2 SV=1
+MAVQISKKRKFVADGIFKAELNEFLTRELAEDGYSGVEVRVTPTRTEIIILATRTQNVLG
+EKGRRIRELTAVVQKRFGFPEGSVELYAEKVATRGLCAIAQAESLRYKLLGGLAVRRACY
+GVLRFIMESGAKGCEVVVSGKLRGQRAKSMKFVDGLMIHSGDPVNYYVDTAVRHVLLRQG
+VLGIKVKIMLPWDPTGKIGPKKPLPDHVSIVEPKDEILPTTPISEQKGGKPEPPAMPQPV
+PTA
+>sp|O46639|TRFR_BOVIN Thyrotropin-releasing hormone receptor OS=Bos taurus OX=9913 GN=TRHR PE=3 SV=1
+MENETGSELNQTQLQPRAVVALEYQVVTILLVLIICGLGIVGNIMVVLVVMRTKHMRTPT
+NCYLVSLAVADLMVLVAAGLPNITDSIYGSWVYGYVGCLCITYLQYLGINASSCSITAFT
+IERYIAICHPIKAQFLCTFSRAKKIIIFVWAFTSIYCMLWFFLLDLNISTYKDAIVVSCG
+YKISRNYYSPIYLMDFGVFYVVPMILATVLYGFIARILFLNPIPSDPKENSNMWKNDSTH
+QNKNLNSKTSNRYFNSTVSSRKQVTKMLAVVVILFALLWMPYRTLVVVNSFLSSPFQENW
+FLLFCRICIYLNSAINPVIYNLMSQKFRAAFRKLCNCKQKPVEKPANYSVALSYSVIKES
+DRFSTELDDVTVTDTYLSATKVSFDDTCLASEITFNQS
+>sp|Q27967|SPP24_BOVIN Secreted phosphoprotein 24 OS=Bos taurus OX=9913 GN=SPP2 PE=1 SV=2
+MEKMAMKMLVIFVLGMNHWTCTGFPVYDYDPASLKEALSASVAKVNSQSLSPYLFRAFRS
+SVKRVNALDEDSLTMDLEFRIQETTCRRESEADPATCDFQRGYHVPVAVCRSTVRMSAEQ
+VQNVWVRCHWSSSSGSSSSEEMFFGDILGSSTSRNSYLLGLTPDRSRGEPLYEPSREMRR
+NFPLGNRRYSNPWPRARVNPGFE
+>sp|P82920|RT21_BOVIN 28S ribosomal protein S21, mitochondrial OS=Bos taurus OX=9913 GN=MRPS21 PE=1 SV=3
+MAKHLKFIARTVMVQEGNVEGAYRTLNRILTMDGLIEDIKRRRYYEKPCRRRQRESYETC
+RRIYNMEMARKINFLMRKNRADPWQGC
+>sp|Q9GLM4|TENS1_BOVIN Tensin-1 OS=Bos taurus OX=9913 GN=TNS1 PE=2 SV=1
+MSTSRTTEDSCELDLVYVTERIIAVSFPSTANEENFRSNLREVAQMLKSKHGGNYLLFNL
+SERRPDITKLHAKVLEFGWPDLHTPALEKICSVCKAMDTWLNADPHNVVVLHNKGNRGRI
+GVVIAAYMHYSNISASADHALDRFAMKRFYEDKIVPIGQPSQRRYVHYFSGLLSGSIKMN
+NKPLFLHHVIMHGIPNFESKGGCRPFLRIYQAMQPVYTSGIYNVQGDSQTSICITIEPGL
+LLKGDILLKCYHKKFRSPARDVIFRVQFHTCAIHDLGVVFGKEDLDDAFKDDRFPEYGKV
+EFVFSYGPEKIQGMEHLENGPSVSVDYNTSDPLIRWHSYDNFNGHRDDGMEEVVGHTPGT
+LDGSLYAKVKKKDSLHGSNGAVNATRPVLSATPNHVEHTLSVSSDSGNSTASTKTDKTDE
+PAPGPASAPAALSPEEKRELDRLLSGFGLEREKQGAMYHPQHLRSRPVGGPAAPSSGRHI
+VPAQVHVRGGVLSVERETDILDDELPNQDGHSVGSMGTLSSLDGVTNTSEGGYPEALSPL
+TNGLDKPYPMEPMVNGGGYPYESASRAVSAQAGHTAPMRPSYSTQEGLAGYQREGPHPAW
+PQSATTSHYGHDPNGMFRSQSFPETEPQLPPAPARGGSSREAVQRGLNSWQQQQQQQQQQ
+QQPRPPPRQQERVHLESLGLSRPSPQPLAEPPMSGLPEFPRAASQQEIEQSIEALNMLML
+DLEPATAGAPLHKSQSVPGAWPGASPLSSQPFSGSSCQSHPLTQSRSGYIPSGHSLGTPE
+PAPRAPLESVPTGRPYSPYDYQPCPTGPNQSYHPKSPATSSSSSFLPTTQSSVGPQQPPA
+SLPGLTTQPQLPPKEVTSDPSRTPEEEPLNLEGLVAHRVAGVQAREKQPAEPPAPLRKRA
+ASDGQYENQSPEPTSPRSPGVRSPVQCVSPELALTIALNPGGRPKEPHLHSYKEAFEEME
+GTSPTSPPPSGVRSPPGLAKTPLSALGLKPHNPADILLHPTGEPRSYVESVVRTAVAGPR
+TQEPEPKSFSAPAAQAYGHETPLRIGTLGGSFVSPSPLSTSSPILSADSTSVGSFPSGES
+SDQGARTPTQPLLDSGFRSGSLGQPSPLAQRNYQSSSPLPTAGSSYSSPDYSLQQFSSPE
+GQARSQFSVAGVHTVPGSPQARHRTVGTNTPPSPGFGRRAVNPSLAAPSSPSLSHRQVMG
+PLGTGFHGNTGSSPQSSAATTPGSPSLGRHPGAQVSNLHGNVVTRPGSPSLGRHPGAHQG
+TLASNLHSNAVASPGSPSLGRHLGGSGSVVPGSPSLDRHVPYGGYSTPEDRRPTLSRQSS
+ASGYQAPSTPSFPVSPAYYPGLSSPATSPSPDSAAFRQGSPTPALPEKRRMSMGDRAGSL
+PNYATVNGKVSSSPVASGMSSPSGGSTVSFSHTLPDFSKYSMPDNSPETRAKVKFVQDTS
+KYWYKPEISREQAIALLKDQEPGAFIIRDSHSFRGAYGLAMKVSSPPPTIMQQNKKGDMT
+HELVRHFLIETGPRGVKLKGCPNEPNFGSLSALVYQHSIIPLALPCKLVIPNRDPTDESK
+DSSGPANSTSDLLKQGAACNVLFVNSVDMESLTGPQAISKAISETLAADPTPAATIVHFK
+VSAQGITLTDNQRKLFFRRHYPLNTVTFCDLDPQERKWTKTEGGAPAKLFGFVARKQGSA
+TDNACHLFAELDPNQPASAIVSFVSKVMLSAGQKR
+>sp|A6QLI2|SCG3_BOVIN Secretogranin-3 OS=Bos taurus OX=9913 GN=SCG3 PE=2 SV=1
+MGFLWTGTWIVVLMLHSSPIQAFPKPAGSQDKPLHNRELSAERPLNEQIAEAEADEIKKT
+YPPENKPGESNYSFVDNLNLLKAITEKEKNEKERQSVKISPNDNKLNVEDVDSTKNRRLI
+DDYDSTKSGLDRKFQDDPDGLHQLDGTPLTAEDIVQKIATRIYEENDRGVFDRIVSKLLN
+LGLITESQAHTLEDEVAEVLQKLISKEANNYEEELNKPTSKTESQTGKIPEKVTPMAAIQ
+DAFTNGENDETVSNTLTLTNGLERRTKTYSEDNFEELQYFPNFYALLKSIDSEKEAKEKE
+TLITIMKTLIDFVKMMVKYGTISPEEGVSYLENLDETIALQTKNKLEKNVTDNKSKLFAV
+PSEKSHEETDSTKEEAAKMEKEYGTLKDSTKDDDSNPRGKTDEHKGKTEAYLEAIRKNID
+WLKKHNKKENKEDYDLSKMRDFINQQADAYVEKGILDKEEADAIKRIYSSL
+>sp|Q0VCF5|TM39A_BOVIN Transmembrane protein 39A OS=Bos taurus OX=9913 GN=TMEM39A PE=2 SV=1
+MPGGRRGPSRQQLSRSALPSLQTLVGGGCGNGTGLRNRNGSAIGLPVPPITALITPGPVR
+HCQIPDLPVDGSLLFEFLFFIYLLVALFIQYINIYKTVWWYPYNHPASCTSLNFHLIDYH
+LAAFITVMLARRLVWALISEATKAGASSMIHYMVLISARLVLLTLCGWVLCWTLVNLFRS
+HSVLNLLFLGYPFGVYVPLCCFHQDSRAHLLLTDFPYAVQHQAVEESASTVGGLARSKDF
+LSLLLESLKEQFNNATPIPTHSCPLSPDLIRNEVECLKADFNHRIKEVLFNSLFSAYYVA
+FLPLCFVKSTQYYDMRWSCEHLIMVWINAFVMLTTQLLPSKYCDLLHKSAAHLGKWQKLE
+HGSYSNAPQHIWSENTIWPQGVLVRHSRCLYRAVGPYNVAVPSDVSHARFYFLFHRPLRL
+LNLLILIEGSVVFYQLYSLLRSEKWNHTLSMALILFCNYYVLFKLLRDRIVLGRAYSYPL
+NSYELKAN
+>sp|P82924|RT30_BOVIN 28S ribosomal protein S30, mitochondrial OS=Bos taurus OX=9913 GN=MRPS30 PE=1 SV=4
+MAATRCWRFVLRSPGLSLHTAAEATVTAPEVTGSDVKAAPVARYPPIVASLTADSKAARQ
+RRVERWQATVHAAKSVDEKLRILTKMQFMKYVVYPQTFALNADRWYQSFTKTVFLSGLPP
+PQAQPDREPAQVVDLAALRAAVCDCLLQEHFFLRRKKRAPIYQERYAVASPFLDQLVPSL
+TGLLSAYNPVLAAAALDCNRPVHFYWLRGEEIIPGGHRKGRVDAVRYQINDKPHNQIRIS
+RQLPEFVPLDYSVPVEVPVKNCKPDKLPLFKRQYENAIFIGTKTADPLCYGHTQFHLLPD
+KLKRERLLKQNCADQIEVIFRANAIASLFAWTGAQAMYQGFWSEADVTRPFVSQGVITDG
+KYFSFFCYQLNTLALTAQADQNNPRKNICWGTQSMPLYETIEDNDVKGFNDDVLLQLVHF
+LLNRPEEDKAQLLVN
+>sp|A4IFC4|SH3L2_BOVIN SH3 domain-binding glutamic acid-rich-like protein 2 OS=Bos taurus OX=9913 GN=SH3BGRL2 PE=3 SV=1
+MVIRVFLASSSGFVAIKKKQQDVVRFLEANKIEFEEVDITMSEEQRQWMYKNIPPEKKPA
+QGNPLPPQIFNDDQYCGDYDSFFESKESNTVFSFLGLKSQLASKAES
+>sp|Q2M2T9|TERB2_BOVIN Telomere repeats-binding bouquet formation protein 2 OS=Bos taurus OX=9913 GN=TERB2 PE=2 SV=1
+MFQGQRGWFCGSVSHDLRQFWVAEGGTISDPRAADFLFSCDASHPDTLRIYQSLDYIEDN
+ATVFHAYYLSAVANAEIKNSVALGHFILPPASLQKEIRRKIGSFIWEQDQHFLIEKHDEV
+TSNELKVFRESSVLATDHKKDLSKSTEKHFIRTPVVEKQMYFPLQHYPVNNMVTGYISID
+AMKKFLGELHDFIPGSSGYLAYHVQNEINMSAIKNKLKNKY
+>sp|A6QLP7|SSRA_BOVIN Translocon-associated protein subunit alpha OS=Bos taurus OX=9913 GN=SSR1 PE=2 SV=1
+MSSLRRLLLLLLLVFPATLLLRVGPGGSLAVAQDLTEDEETVEDSIIEDEDDEAEVEEDE
+PTDLAEDKEEDDVSGEPEASPSADTTILFVKGEDFPANNIVKFLVGFTNKGTEDFIVESL
+DASFRYPQDYQFYIQNFTALPLNTVVPPQRQATFEYSFIPAEPMGGRPFGLVINLNYKDL
+NGNVFQDAVFNQTVTIIEREDGLDGETIFMYMFLAGLGLLVVVGLHQLLESRKRKRPIQK
+VEMGTSSQNDVDMSWIPQETLNQINKASPRRLPRKRAQKRSVGSDE
+>sp|Q5E9E4|SSRB_BOVIN Translocon-associated protein subunit beta OS=Bos taurus OX=9913 GN=SSR2 PE=2 SV=1
+MRLLAFAVLALFAVTQAEEGARLLASKSLLNRYAVEGRDLTLQYNIYNVGSSAALDVELS
+DDSFPPEDFGIVSGMLNVKWDRIAPASNVSHTVVLRPLKAGYFNFTSATVTYLAQEDGPV
+VIGFTSAPGQGGILAQREFDRRFSPHFLDWAAFGVMTLPSIGVPLLLWYSSKRKYDTPKT
+KKN
+>sp|A3KN36|ZNF19_BOVIN Zinc finger protein 19 OS=Bos taurus OX=9913 GN=ZNF19 PE=2 SV=1
+MAVMPLKVWHQEVVTFEDVAVYFTRTEWAGLSPAQRALYRSVMLEIYRSLTSLGYPVPKP
+ALISLLERGDLPVGLETQDNPPAQGTRDIYKNSRTHMDSELTPTWGISEERDLMMSHGPQ
+KNVLNKSSFLETCELEQHQEIPTVKNIRGKVLRIHYDRKPFRCEECGKCFSYFSYYVRHQ
+RIHTGEKPFECNECGKAFNGNSSLIRHQRIHTGEKPYQCEECGRAFNDNANLIRHQRIHS
+GDRPYLCRECGNGFTSSSEFIIHQRIHTGEKPYECNECGKAFVGNSPLLRHQKIHTGEKP
+YECNECGKSFGRTSHLSQHQRIHTGEKPYSCTICGQAFNFHTKLTRHQRVHSEVKPFDCI
+CCGKVFSTQAQLKRHLRIHIQETSCDECGKVFTSKRNLLQHQRVHTRKKPREYVKYEKTF
+RTSSQLDCAHPGEKPVLDVGCFGLPEFFTPFYW
+>sp|Q58DN3|TSN17_BOVIN Tetraspanin-17 OS=Bos taurus OX=9913 GN=TSPAN17 PE=1 SV=1
+MPGKHQHFQEPEVGCCGKYFLFGFNIVFWVLGALFLAIGLWAWSEKGVLSNISALTDLGG
+LDPVWLFVVVGGVMSVLGFAGCIGALRENTFLLKFFSVFLGLIFFLELATGILAFVFKDW
+IRDQLNLFINNNVKAYRDDIDLQNLIDFAQEYWSCCGARGPNDWNLNIYFNCTDLNPSRE
+RCGVPFSCCVRDPAEDVLNTQCGYDVRLKLELEQQGFIHTKGCVGQFEKWLQDNLIVVAG
+VFVGIALLQIFGICLAQNLVSDIKAVKANW
+>sp|Q8MJI9|PROP1_BOVIN Homeobox protein prophet of Pit-1 OS=Bos taurus OX=9913 GN=PROP1 PE=2 SV=1
+MDTEGSSEQGKQTKEQVCSSLWPEGYPAAETVTSSVDMNTQPYRNLSGVRVGRPKLSLQG
+VQRGRPHSRRRHRTTFSPAQLEQLESAFGRNQYPDIWARESLAQDTGLSEARIQVWFQNR
+RAKQRKQERSLLQPLAHLSPATFSGFLPEPPSCPYSYPTPPPPMTCFPHPYNRALPSQPS
+TGSSFARPYQSEDWYPNLHPTPAGHLACPPPPPMLPLSLEPPKSWN
+>sp|Q02827|NDUC2_BOVIN NADH dehydrogenase [ubiquinone] 1 subunit C2 OS=Bos taurus OX=9913 GN=NDUFC2 PE=1 SV=1
+MMTGRQGRATFQFLPDEARSLPPPKLTDPRLAFVGFLGYCSGLIDNAIRRRPVLLAGLHR
+QLLYITSFVFVGYYLLKRQDYMYAVRDHDMFSYIKSHPEDFPEKDKKTYGEVFEEFHPVR
+>sp|Q865B7|PRGC1_BOVIN Peroxisome proliferator-activated receptor gamma coactivator 1-alpha OS=Bos taurus OX=9913 GN=PPARGC1A PE=2 SV=1
+MAWDMCNQDSVWSDIECAALVGEDQPLCPDLPELDLSELDVNDLDTDSFLGGLKWCSDQS
+EIISNQYNNEPSNIFEKIDEENEANLLAVLTETLDSLPVDEDGLPSFDALTDGDVTTENE
+ASPSSMPDGTPPPQEAEEPSLLKKLLLAPANTQLSYNECSGLSTQNHANHNHRIRTNPAV
+VKTENSWSNKAKSICQQQKPQRRPCSELLKYLTTNDDPPHTKPTENRNSSRDKCTSKKKA
+HTQSQTQHLQAKPTTLSLPLTPESPNDPKGSPFENKTIERTLSVELSGTAGLTPPTTPPH
+KANQDNPFRASPKLKPSCKTVVPPPSKKARYSESSCTQGSNSTKKGPEQSELYAQLSKTS
+VLTSGHEERKAKRPSLRLFGDHDYCQSINSKTEILVSTSQELHDSRQLENKDAPSSNGPG
+QIHSSTDSDPCYLRETAEVSRQVSPGSTRKQLQDQEIRAELNKHFGHPSQAVFDDKADKT
+SELRDSDFSNEQFSKLPMFINSGLAMDGLFDDSEDESDKLNSPWDGTQSYSLFDVSPSCS
+SFNSPCRDSVSPPKSLFSQRPQRMRSRSRSFSRHRSCSRSPYSRSRSRSPGSRSSSRSCY
+YYESGHCRHRTHRNSPLCASRSRSPHSRRPRYDSYEEYQHERLKREEYRREYEKRESERA
+KQRERQRQKAIEERRVIYVGKIRPDTTRTELRDRFEVFGEIEECTVNLRDDGDSYGFITY
+RYTCDAFAALENGYTLRRSNETDFELYFCGRKQFFKSNYADLDSNSDDFDPACIKSKYDS
+LDFDSLLKEAQRSLRR
+>sp|Q5BIP2|PGFRL_BOVIN Platelet-derived growth factor receptor-like protein OS=Bos taurus OX=9913 GN=PDGFRL PE=2 SV=1
+MKIWLLLGLLLMHEALEDVTGQHPPKNKRPKEPGENRIKPTNKKVKPKIPKIKDRDSADP
+TPKTQSIMTQMMDKGRFQKPAATLSLVAGQTLELRCKGNKIGWSYPAYLDTFKDTRLSVK
+QHERYGQLTLVNSTAADTGEFSCWGQLCTGYVCRRDEAKTGSTYIFFTEKGELFVPSPSH
+FDVVYLNPDRQAVVPCRVTVLSAKVTLHREFPAKEIPANGTDIVYDLKRGFVYLQPHVGH
+QGVVYCKAEAGGKSQISVKYQLLYAEVPRGPPSTTILASSNKVKGGDDVSVLCTVLGEPD
+VEVEFRWTYPGQKDERPVTIQDSWRLIHRGLGHTTRISQSVLSIEDFETIDAGYYICTAQ
+NLQGQTTVATTVESS
+>sp|P61585|RHOA_BOVIN Transforming protein RhoA OS=Bos taurus OX=9913 GN=RHOA PE=1 SV=1
+MAAIRKKLVIVGDGACGKTCLLIVFSKDQFPEVYVPTVFENYVADIEVDGKQVELALWDT
+AGQEDYDRLRPLSYPDTDVILMCFSIDSPDSLENIPEKWTPEVKHFCPNVPIILVGNKKD
+LRNDEHTRRELAKMKQEPVKPEEGRDMANRIGAFGYMECSAKTKDGVREVFEMATRAALQ
+ARRGKKKSGCLVL
+>sp|Q32PI9|MPZL1_BOVIN Myelin protein zero-like protein 1 OS=Bos taurus OX=9913 GN=MPZL1 PE=2 SV=1
+MAAPAGAGALIASPDRRRCLWSVLAAALGLLTYGVSALEVYTPKEIFVANGTQGKLTCKF
+KSTNTTGTLTSVSWSFQPEGTDTTVSFFHYSQGQVYAGNYPPFKDRVSWAGDLDKKDASI
+NIENMQFIHNGTYICDVKNPPDIVVQPGHIRLYVVEKEILPAFPVWVVVGIVTAVVLGLT
+LLITMILAVIYRRRNSKRDYAGCNTSENVSPVKQVSRKSPSDTEGLVKSLPSGSHQGPVI
+YAQLDHSGGHHSDRINKSESVVYADIRKN
+>sp|Q3T0M7|RANG_BOVIN Ran-specific GTPase-activating protein OS=Bos taurus OX=9913 GN=RANBP1 PE=2 SV=1
+MAAAKDTHEDHDTSTENADESNHDPQFEPIVSLPEQEIKTLEEDEEELFKMRAKLFRFAS
+ENDLPEWKERGTGDVKLLKHKEKGTIRLLMRRDKTLKICANHYITPMMELKPNAGSDRAW
+VWNTHADFADECPKQELLAIRFLNAENAQKFKTKFEECRKEIEEKEKKGSGKNDSTEKVV
+EKLEALSVQEGEQPQDAAPAAVEEEQ
+>sp|Q3ZC27|RAB19_BOVIN Ras-related protein Rab-19 OS=Bos taurus OX=9913 GN=RAB19 PE=2 SV=1
+MQFSSSARTVDENFDYLFKIILIGDSNVGKTCVVQHFKSGVYMEAQQNTIGVDFTVRALE
+IDGKKVKMQVWDTAGQERFRTITQSYYRSAHAAIIAYDLTRRSTFESVPHWIHEIEKYGA
+ANLVIMLIGNKCDLWEKRHVLFEDACILAEKYGLLAVLETSAKESKNIDEVFVLMARELM
+ARHSLPLYGEGAPGSLPLESTPVLMAPAPREKNQCTC
+>sp|Q28013|RASA3_BOVIN Ras GTPase-activating protein 3 OS=Bos taurus OX=9913 GN=RASA3 PE=2 SV=1
+MAVEEEGLRVFQSVKIKIGEAKNLPTYPGPNKMRDCYCTVNLDQEEVFRTKVVEKSLCPF
+YGEDFYCEIPRSFRHLSFYIFDRDVFRRDSIIGKVAIKKEDLQKYHNRDTWFQLQHVDAD
+SEVQGKVHLELRLSEVITDSGVVCHKLATRILECQGLPIVNGQCDPYATVTLAGPCRSEA
+KKTKVKKKTNNPQFDEVFYFEVTRPCSYSRKSHFDFEDEDVDKLEIRVDLWNASNLKFGD
+EFLGELRVPLKVLRQSSPHEAWYFLQPRDNGSKSLKPGDLGSLRLNVVYTEDHVFSSDYY
+SPLRDLLLKSADVEPVSASAAHILGEVCREKQEAAIPLVRLFLHYGRVVPFISAIASAEV
+RRTQDPNTIFRGNSLTSKCIDETMKLAGMQYLHVTLKPTIEEICQSHKSCEIDPVRLKDG
+ESLESNMENLRQFVDRVFSVITKSGVSCPTVMCDIFFSLREAAAKRFQDDLDVRYTAVSS
+FIFLRFFAPAILSPNLFQLTPHHTDPQTSRTLTLVSKTIQTLGSLSKSKSASFKESYMAA
+FYEFFNEQKYADAVKNFLDLISSSGRRDPKSVQQPILLKEGFMIKRAQGRKRFGMKNFKK
+RWFRLTNHEFTYQKSKGDPPLYSIPIENILAVEPLEEESFKMKNMFQVIQPERALYIQAN
+NCVEAKAWIDILTKVSQCNQKRLAVYHPSAYLNGHWLCCRASSDTAAGCSPCTGGLPANI
+QLDIDGDRETERIYSLSSSYMSKLETMQEACGSRSVYDGPEQEEYSTFIIDDPQETYKTL
+KQVVAGVGALEQEHAQYKRDKFRRTKYGSQEHPIGDKSFQSYIRQQSETPAHSM
+>sp|Q0V8G3|QPCTL_BOVIN Glutaminyl-peptide cyclotransferase-like protein OS=Bos taurus OX=9913 GN=QPCTL PE=2 SV=1
+MPSGGRGRPRLQVGERSLLERPSPPKRRLIPRAQLLPQLLLALTVASVFYTIWRIWHSQT
+EELPLGRELRGPLIGSLPEARVRRVVGQLDPHRLWNTFLRPLLVVRTPGSPGNLQVRKFL
+EATLRTLSAGWHIELDSFTASTPVGPLDFSNVVATLDPGAARHLTLACHYDSKLFPSDSA
+PFVGATDSAVPCSLLLELAQALDQELGKAKERAAPMTLQLIFLDGEEALKQWGPKDSLYG
+SRHLAQLMESTPHGLGSTRIQAIELFMLLDLLGAPNPTFYSHFPRTARWFHRLRSIEKRL
+HRLNLLQSHPWEVMYFQTGEPPGSVEDDHIPFLRRGVPVLHLIATPFPSVWHTSDDSEAN
+LHPPTVHNLSRILAVFLAEYLGL
+>sp|A4FV54|RAB8A_BOVIN Ras-related protein Rab-8A OS=Bos taurus OX=9913 GN=RAB8A PE=2 SV=1
+MAKTYDYLFKLLLIGDSGVGKTCVLFRFSEDAFNSTFISTIGIDFKIRTIELDGKRIKLQ
+IWDTAGQERFRTITTAYYRGAMGIMLVYDITNEKSFDNIRNWIRNIEEHASADVEKMILG
+NKCDVNDKRQVSKERGEKLALDYGIKFMETSAKANINVENAFYTLARDIKAKMDKKLEGN
+SPQGSNQGVKITPDQQKRSSFFRCVLL
+>sp|Q28120|QPCT_BOVIN Glutaminyl-peptide cyclotransferase OS=Bos taurus OX=9913 GN=QPCT PE=1 SV=1
+MAGCRDPRVVDTLHLLLLVAVLPLAVSGVRRGAVDWTQEKNYHQPALLNVSSLRQVAEGT
+SISEMWQNDLRPLLIERYPGSPGSFAARQHIMQRIQRLQADWVLEVDTFLSQTPYGYRSF
+SNIISTLNPTAKRHLVLACHYDSKYFPHWDDRVFVGATDSAVPCAMMLELARALDKQLFS
+LKNISDSRPDLSLQLIFFDGEEAFHLWSPQDSLYGSRHLASKMASTPHPPGARDTNQLHG
+MDLLVLLDLIGAPFPTFPNFFPNTARWFGRLEAIEHGLRELGLLKDHSSERWYFRNYGYG
+GVIQDDHIPFLRRGVPVLHLISSPFPEVWHTMDDNEENLDRTTIDNLNKILQVFVLEYLH
+L
+>sp|Q3MHM7|RL35_BOVIN 60S ribosomal protein L35 OS=Bos taurus OX=9913 GN=RPL35 PE=2 SV=3
+MAKIKARDLRGKKKEELLKQLEDLKVELSQLRVAKVTGGAASKLSKIRVVRKSIARVLTV
+INQTQKENLRKFYKGKKYKPLDLRPKKTRAMRRRLNKHEENLKTKKQQRKERLYPLRKYA
+VKA
+>sp|Q1RMR0|RPC7L_BOVIN DNA-directed RNA polymerase III subunit RPC7-like OS=Bos taurus OX=9913 GN=POLR3GL PE=2 SV=1
+MASRGGGRGCGRGQLTFNVEAVGIGKGDALPPPTLQPSPLFPPLEFRPVPLPSGEEGEYV
+LALKQELRGAMRQLPYFIRPAVPKRDVERYSDKYQMSGPIDNAIDWNPDWRRLPRELKIR
+VRKLQKERTTIILPKRPPKTTEDKEETIQKLETLEKKEEEVTSEEDEEKEEEEEKEEEEE
+EEYDEEEHEEETDYIMSYFDNGEDFGGDSDDNMDEAIY
+>sp|Q3SX43|RRAGA_BOVIN Ras-related GTP-binding protein A OS=Bos taurus OX=9913 GN=RRAGA PE=2 SV=1
+MPNTAMKKKVLLMGKSGSGKTSMRSIIFANYIARDTRRLGATIDVEHSHVRFLGNLVLNL
+WDCGGQDTFMENYFTSQRDNIFRNVEVLIYVFDVESRELEKDMHYYQSCLEAILQNSPDA
+KIFCLVHKMDLVQEDQRDLIFKEREEDLRRLSRPLECACFRTSIWDETLYKAWSSIVYQL
+IPNVQQLEMNLRNFAQIIEADEVLLFERATFLVISHYQCKEQRDVHRFEKISNIIKQFKL
+SCSKLAASFQSMEVRNSNFAAFIDIFTSNTYVMVVMSDPSIPSAATLINIRNARKHFEKL
+ERVDGPKHSLLMR
+>sp|A6QNM2|RRF2M_BOVIN Ribosome-releasing factor 2, mitochondrial OS=Bos taurus OX=9913 GN=GFM2 PE=2 SV=1
+MSNLRIFAVNQKKISSLHYFNNMCSSKIRASLKRLKLHVLLGRNYSSLPGLIGNDIKSLH
+SIINPPIAKIRNIGIMAHIDAGKTTTTERILYYSGYTRSLGDVDDGDTVTDFMAQERERG
+ITIQSAAVTFDWKGYRINLIDTPGHVDFTLEVERCLRVLDGAVAVFDASAGVEAQTLTVW
+RQADKHKVPRICFLNKMDKIGASFNYAVESIREKLKAKPLLLQLPIGEGKAFKGVVDVVR
+TEKLLWNPNSDDGKDFERKPLLEMSDPKLLKETTEARNALIEQVADLDDEFADLVLGEFS
+ENFDLLPAEKLQTAIHRVTLAQTAVPVLCGSALKNKGVQPLLDAITMYLPSPEERNYEFL
+QWYKGDLCALAFKVLHDKQRGPLVFMRIYSGMLKPQTAIHNINGNCTERVSRLLLPFADQ
+HIEIPLLTAGNIALTVGLKHTATGDTIVSSRSSALAASRRAKREGEKKQKENNEAERLLL
+AGVEIPEPVFFCTIEPPSMAKQPDLDHALKCLQREDPSLKVKLDPDSGQTVLCGMGELHI
+EIIHDRIKREYGLETYLGPLQVAYREAILNSIRATDTLDRTLGDKRHLVTVELEAKPVET
+SSLLPVIEYAASVAGDLSQASREAFENGVHSACLQGPLLGSPVQDVAVTLHSLVIHPGTS
+TTMISACVSRCLQKALKKADKQILEPLMNLEVTVSREYLSPVLADLAQRRGNIQEIQSRQ
+DNKVVIGYVPLAEIMGYSTVLRTLTSGSATFALELSNYQAMNPQDQSTLLSQRHGLS
+>sp|Q29RT0|RBMX_BOVIN RNA-binding motif protein, X chromosome OS=Bos taurus OX=9913 GN=RBMX PE=2 SV=1
+MVEADRPGKLFIGGLNLETDEKSLEATFGKYGRISEVLLMKDRETNKSRGFAFITFESPA
+DAKAAVRDMNGKSLDGKAIKVAQATKPAFESGRRGPPLSRSRGRSRGLRGARGGGPRRPP
+SRGGPADDGGYAGDFDLRPSRAPLPMKRGPPPPRRAGPPPKRAAPSGPARSGSGGGMRGR
+APAARGRDGYEGPPRRDPPPPRRDPYLGSREGGYSPRDGYSSRDYSSARDARDFAPSPRE
+YTYRDYGHSSARDECPSRGYGDRDGYGGRDRDYADHPSGGSYRDPFESYGDPRSAAPARG
+PPPSYGGGGGRYEEYRGCSPDAYGGGRDGYAGGRSERYSGGRDRVGRADRGLPQSVERGC
+PPPRESYSRSGRKVPRGGGRLGSRSERGGGGGRSRY
+>sp|O62768|TRXR1_BOVIN Thioredoxin reductase 1, cytoplasmic OS=Bos taurus OX=9913 GN=TXNRD1 PE=2 SV=3
+MNGSKDLPEPYDYDLIIIGGGSGGLAAAKEAAKYDKKVMVLDFVTPTPLGTRWGLGGTCV
+NVGCIPKKLMHQAALLGQALRDSRNYGWNVEETVKHDWERMTEAVQNHIGSLNWGYRVAL
+REKKVTYENAYGEFVGPHRIKATNNKGKEKIYSAERFLIATGERPRYLGIPGDKEYCISS
+DDLFSLPYCPGKTLVVGASYVALECAGFLAGIGLDVTVMVRSILLRGFDQDMANKIGEHM
+QEHGIKFIRQFVPIKVEQIEAGTPGRLRVIAKSTDSDQTIEGEYNTVLLAIGRDACTRKI
+GLENVGVKINEKTGKIPVTEEEQTNVPYIYAIGDILEGKLELTPVAIQAGRLLAQRLYGG
+STVKCDYENVPTTVFTPLEYGSCGLSEEKAVEKFGEENVEVYHSYFWPLEWTIPSRDNNK
+CYAKVVCNIKDNERVVGFHVLGPNAGEVTQGFAAALKCGLTKDQLDSTIGIHPVCAEVFT
+TLSVTKRSGGNILQTGCUG
+>sp|Q3T0Q2|TMM59_BOVIN Transmembrane protein 59 OS=Bos taurus OX=9913 GN=TMEM59 PE=2 SV=2
+MAVPKGSLWLRAQLGIPPLLLLAMALAGGSGTASAEAFDSVLGDTASCHRACQLTYPLHT
+YPKEEELYACQRGCRLFSICQFVDDGIDLNRTKLECESACTEAYSQSDEQYACHLGCQNQ
+LPYAELRQEQLMSLMPKMHLLFPLTLVRSFWSDMVDSAQSFITSSWTFYLQADDGKIVIF
+QSKPEIQYAPQLEQEPTNLKDSSLSKMSYLQMRSSQAHRNYLEDGESDGFLRCLSLNSGW
+ILTVTLVLSVMVLLWICCATVATAVEQYVPSEKLSIYGDLEFMNEQKLNRYPASSLVVVR
+SKAEDHEEAGPLPAKVNLAHSEI
+>sp|A8YXZ8|TOM5_BOVIN Mitochondrial import receptor subunit TOM5 homolog OS=Bos taurus OX=9913 GN=TOMM5 PE=3 SV=1
+MFRIEGLAPKLDPEEMKRKMREDVISSIRNFLIYVALLRVTPFILKKLDSI
+>sp|A6QLW8|S22A7_BOVIN Solute carrier family 22 member 7 OS=Bos taurus OX=9913 GN=SLC22A7 PE=2 SV=1
+MGFEELLDKVGGFGPFQLRNVALLALPRVLLPMHFLLPIFLAAVPAHRCALPGVPDNFSN
+EDAWLEAHLPREPDGRLSACLRFTHPQALPNSTLWGEGQNSGEQPEGEPSTVPCPQGWEY
+NHSEFSSTIATEWDLVCEQKGLNKAISTFFFAGVLVGAEVYGYLSDRFGRRRLLLVAYVS
+SLALGLASAASVSYIMFAITRTLTGMALAGFTIIVMPLELEWLDVRHRTVAGVLSSTFWT
+GGVMLLALIGYLIRDWRWLLLTVTLPCVPGILTLWWVPESARWLLTQGRVEEAHRYLLRC
+ARLNGPPVGEDSLSREALNKVAAAERMVRRPSYLDLFRTPRLRYISLCCMVVWFGVNFSY
+YGVSLDLSGLGLNVYLTQLVFGAVELPSKLLVYLSVRHAGRRLTMAGTLLGAALAVGLRI
+LVSPEMKSWSTALAVMGKAFSEAAFTTAYLFTSELYPTVLRQTGMGLTALVGRLGGSLAP
+LAALLDGVWLSLPKLAYGGIALLAACTALLLPETKQAQLPETIQDVERKSAPSSLQEEEM
+PMKQVQD
+>sp|A7YW81|TM234_BOVIN Transmembrane protein 234 OS=Bos taurus OX=9913 GN=TMEM234 PE=2 SV=1
+MAATLGQVLALVLVAALWGGTQPLLKRASSRLQQVHERTWARQLLQEMKTLFLNPEYLMP
+FSLNQCGSLLYYLTLASTDLTLAVPISNSLAIIFTLIVGKVLGEDIGGKRAFAGMVLTVA
+GITLCITSSVTKTQGQPSAL
+>sp|A1A4P6|TMM80_BOVIN Transmembrane protein 80 OS=Bos taurus OX=9913 GN=TMEM80 PE=2 SV=1
+MAAPRRGKASSTVLSSLPLQMLLCLSGTYYALYFLATLLLLVYKSQVFTYPHSCLVLDLT
+LLFLMGILEAIRLYFGTTGNLMEAEVPLAASLVLTVGSALLSAYFLLWQTLVLRADSALG
+APLLALHGLEAVLQVVAIAAFVS
+>sp|Q2KI08|TOM7_BOVIN Mitochondrial import receptor subunit TOM7 homolog OS=Bos taurus OX=9913 GN=TOMM7 PE=3 SV=1
+MVKLSKEAKQRLQQLFKGGQFAIRWGFIPLVIYLGFKRGADPGMPEPTVLSLLWG
+>sp|Q29RU1|RT12_BOVIN 28S ribosomal protein S12, mitochondrial OS=Bos taurus OX=9913 GN=MRPS12 PE=1 SV=1
+MSWSGLLRGLSMSLNYGLALAPRPWGTRPMATLNQLHRRGPPKFPPSKPGPTEGRPQLKG
+VVLRTFIRKPKKPNSANRKCCRVRLSTGREAVCFIPGEGHNLQEHHVVLVQGGRTQDLPG
+VKLKVVRGKYDCGHVQKKK
+>sp|Q2TBW7|SNX2_BOVIN Sorting nexin-2 OS=Bos taurus OX=9913 GN=SNX2 PE=2 SV=1
+MAAEREPPPLGDGKPTDFEELEDGEDLFTSTVSTLESSPSSPDPASFLAEDISTNSNGPK
+PAEVALDDDREDLFAEATEEVSLDSPEREPILSSETSPAVTPVTPTTLIAPRIESKSMSA
+PVIFDRSRDEIEEEANGDVFDIEIGVSDPEKVGDGMNAYMAYRVTTKTSLSMFSKSEFSV
+KRRFSDFLGLHSKLASKYLHVGYIVPPAPEKSIVGMTKVKVGKEDSSSTEFVEKRRAALE
+RYLQRTVKHPTLLQDPDLRQFLESSELPRAVNTQALSGAGILRMVNKAADAVNKMTIKMN
+ESDAWFEEKQQQFENQDQQLRKLHASVEALVCHRKELSANTAAFAKSAAMLGNSEDHTAL
+SRALSQLAEVEEKIDQLHQEQAFADFYMFSELLSDYIRLIAAVKGVFDHRVKCWQKWEDA
+QITLLKKRETEAKMMVANKPDKIQQAKNEIREWEAKVQQGERDFEQISKTIRKEVGRFEK
+ERVKDFKTVIIKYLESLVQTQQQLIKYWEAFLPEAKAIA
+>sp|P01223|TSHB_BOVIN Thyrotropin subunit beta OS=Bos taurus OX=9913 GN=TSHB PE=1 SV=1
+MTATFLMSMIFGLACGQAMSFCIPTEYMMHVERKECAYCLTINTTVCAGYCMTRDVNGKL
+FLPKYALSQDVCTYRDFMYKTAEIPGCPRHVTPYFSYPVAISCKCGKCNTDYSDCIHEAI
+KTNYCTKPQKSYMVGFSI
+>sp|Q3SWY0|RN183_BOVIN E3 ubiquitin-protein ligase RNF183 OS=Bos taurus OX=9913 GN=RNF183 PE=2 SV=1
+MAEQQGREPECPVCWNPFNNTFHTPKVLDCCHSFCVECLAHISLVTPTRRRLLCPLCRHP
+TVLASGQPVTDLPTDTAVLTLLRLEPHHVILEGHQLCLKDQPKSRYFLRQPRVYTLDLGP
+EPASQAGQPQDVGPSTRPVPIRSRYSLRECFRNPHFRIFAYMMAVILCGTVLFIFSIFCT
+RRFFWGVG
+>sp|A2VDX9|TM158_BOVIN Transmembrane protein 158 OS=Bos taurus OX=9913 GN=TMEM158 PE=2 SV=1
+MLPLLAALLAAACPLPPARGGAVDAPGLLGAPLNASVNASSSDEPAAPRLLASAAPGAPE
+RPEEEAAAPCNISVQRQMLSSLLVRWGRPRGFQCDLLLFSTNAHGRAFFAAAFHRVGPPL
+LIEHLGLAAGGAQQDLRLCVGCGWVRGRRPGRLRPTGATAGAPTALPAYPAAEPPGPLWL
+QGEPLHFCCLDFSLEELQGEPGWRLNRKPIESTLVACFMTLVIVVWSVAALIWPVPIIAG
+FLPNGMEQRRTTASAAAAAPAAVPAGTTAAAAAAAAAAAAAAAVTSGTATK
+>sp|Q0IIL5|SNX10_BOVIN Sorting nexin-10 OS=Bos taurus OX=9913 GN=SNX10 PE=2 SV=1
+MFPEQQKEEFVSVWVRDPRIQKEDFWHSYIDYEICIHTNSMCFTMKTSCVRRRYREFVWL
+RQRLQSNALLVQLPELPSKNLFFNMNNRQHVDQRRQGLEDFLRKVLQNALLLSDSSLHLF
+LQSHLNSEDIEACVSGQTKYSVEEAIHKFALMNRRFPEEEEGKKENDIDYDSESSSSGFG
+HSSDDSSSHGCKMSTAPQES
+>sp|A6QP11|SNP47_BOVIN Synaptosomal-associated protein 47 OS=Bos taurus OX=9913 GN=SNAP47 PE=1 SV=1
+MSGDTCVQTWPCSYYLELEKRWVPGRLSLTSLSLKFMTDKTRETLVSFPLSSIIEIKKEA
+SHFIFSSITILERDHSKHWFSSLQPSRNAVFSVIEHFWRELLLSESGAAAEAASSSMTKG
+KELTCLMACTQKRLEDTARVLHHQGEQLDGISRGLDKMESDLDVADRLLTELESPSWWPF
+SSKLWKTPSETKPKWDASMADSKAFGKEGIVIQVPAVISQRTESHVKPGRLTVLVSGLEI
+YNSDSLLMHRFEREDVDDIKVHTPYEISICQRFIGKPDISYRLISAKMPEVIPILEVQFS
+KKIELLEVAMMLGSTRTSSLAEKGYSVWHAASGLMDQATHCEPSSGSQEGRPLQLQTSEP
+VISEEDTQELGQILRKLKGLALDTETELERQDEALDGITEAVDRATLTIDKHNRRMKKLT
+>sp|A2VDV9|SMIM8_BOVIN Small integral membrane protein 8 OS=Bos taurus OX=9913 GN=SMIM8 PE=3 SV=1
+MSSAPEPPAFKKEPPKEKDLGNIGLRGVRTTTLFRAVNPELFIKPNKPVMAFGLITISLC
+VAYIGYLHATQENKKDLYEAIDSEGHSYMRRKTSKWD
+>sp|Q05B50|THG1_BOVIN Probable tRNA(His) guanylyltransferase OS=Bos taurus OX=9913 GN=THG1L PE=2 SV=1
+MWAAGALKVRDCLAATSVTLRRCLKLGATMAKSKFEYVRDFEADDTCLPHCWVVVRLDGR
+NFHRFAEKHSFIKPNDSRALHLMTKCAQTVMNELEDIVIAYGQSDEYSFVFKRKSNWFKR
+RASKFMTHVVSQFASSYVFYWRDYFEDQPLLYPPGFDGRVIVYPSNQTLKDYLSWRQADC
+HINNLYNTVFWALVQQSGLTPLQAQERLQGTLAADKNEILFSEFNINYNNEPLMYRKGTV
+LIWQKVEEITTKEVKLPAEMEGKKMAVTRTRTMVVPLHCNIIGDAFWKEHPEILDEDS
+>sp|P62992|RS27A_BOVIN Ubiquitin-40S ribosomal protein S27a OS=Bos taurus OX=9913 GN=RPS27A PE=1 SV=2
+MQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYN
+IQKESTLHLVLRLRGGAKKRKKKSYTTPKKNKHKRKKVKLAVLKYYKVDENGKISRLRRE
+CPSDECGAGVFMASHFDRHYCGKCCLTYCFNKPEDK
+>sp|Q6B855|TKT_BOVIN Transketolase OS=Bos taurus OX=9913 GN=TKT PE=2 SV=1
+MEAYHKPDQQKLQALKDTANRLRISSIQATTAAGSGHPTSCCSAAEIMAVLFFHTMRYKA
+LDPRNPHNDRFVLSKGHAAPILYAVWAEAGFLPESELLNLRKINSDLDGHPVPKQAFTDV
+ATGSLGQGLGAACGMAYTGKYFDKASYRVYCMLGDGELSEGSVWEAMAFASIYKLDNLVA
+ILDINRLGQSDPAPLQHQMDIYQKRCEAFGWNAVIVDGHSVEELCKAFGQVKNQPTAIIA
+KTFKGRGITGIEDKESWHGKPLPKNMADQIIQEISGQIQSKKKILATPPEEDAPSVDITN
+IRMPTPPNYKVGDKIATRKAYGQALAKLGHASNRIIALDGDTKNSTFSELFKKEHPDRFI
+ECYIAEQNMVSIAVGCATRDRTVPFCSTFAAFFTRAFDQIRMAAISESNINLCGSHCGVS
+IGEDGPSQMALEDLAMFRSIPMSTVFYPSDGVATEKAVELAANTKGICFIRTSRPENAII
+YKQHEDFQIGQAKVVLKNKDDQVTVIGAGVTLHEALAAADLLKREKINIRVLDPFTIKPL
+DKKLILDSARATKGRILTVEDHYYEGGIGEAVASAVVGEPGVTVTRLAVSQVPRSGKPAE
+LLKMFGIDRDAIAQAVRGLVTRA
+>sp|Q5E9T4|TPK1_BOVIN Thiamin pyrophosphokinase 1 OS=Bos taurus OX=9913 GN=TPK1 PE=2 SV=1
+MEHAITPLDPLLPSGSLKYCLVILNQPLDKCFRHLWHKALLRACADGGANHLYDVTEGER
+ESFLPEFISGDFDSIRPEVREHYAIKGCEIISTPDQDHTDFTKCLEVLQKKIEEKDLQVD
+MIVTLGGLAGRFDQIMASVSTLFQAPQITSLPVIIIQEESLIYLLQPGKHKLHVDTGMEG
+DWCGLIPVGQPCNQVTTTGLKWNLTHQMLGFGTLVSTSNTYDGSGVVTVETDHPLLWTMA
+IKN
+>sp|Q3SZT4|TEX29_BOVIN Testis-expressed protein 29 OS=Bos taurus OX=9913 GN=TEX29 PE=2 SV=1
+MRYAPEFKKSPSHLLKKFAVCDIPLYDICDYNVSRDRCKELGCCFYKGICYEKAVPSYVQ
+VFSALIVIIAGAFVITIIYRVIQESRREKEVPTEAPVSAKSSVQVETQPPSSAGAGSKAP
+SVERPQSKESGREDASLIVTEEEETED
+>sp|Q3T0S0|TM128_BOVIN Transmembrane protein 128 OS=Bos taurus OX=9913 GN=TMEM128 PE=2 SV=1
+MDVLRAREQLRRRYLFPQDAEVPLEHEGYPRPETSTAVEKKEKPLPRLNIHSGFWILASI
+VVTYYVDFFQTVKENFHTSSWFLFGSALLLVSVSIAFYCIVYLEWYRGIEDYDIKYPALI
+PITTATFIVAGICFNVALWHVWSFFTPVLLFTQFMGVVMLTSLLG
+>sp|Q3SZ43|UB2V2_BOVIN Ubiquitin-conjugating enzyme E2 variant 2 OS=Bos taurus OX=9913 GN=UBE2V2 PE=2 SV=3
+MAVSTGVKVPRNFRLLEELEEGQKGVGDGTVSWGLEDDEDMTLTRWTGMIIGPPRTNYEN
+RIYSLKVECGPKYPEAPPSVRFVTKINMNGINNSSGMVDARSIPVLAKWQNSYSIKVVLQ
+ELRRLMMSKENMKLPQPPEGQTYNN
+>sp|Q5EAE3|UBTD2_BOVIN Ubiquitin domain-containing protein 2 OS=Bos taurus OX=9913 GN=UBTD2 PE=2 SV=1
+MGGCVGAQHDSSGSLNENSEGTGVALGRNQPLKKEKPKWKSDYPMTDGQLRSKRDEFWDT
+APAFEGRKEIWDALKAAAHAFESNDHELAQAIIDGANITLPHGALTECYDELGNRYQLPV
+YCLAPPINMIEEKSDIETLDIPEPPPNSGYESQLRLRLSTGKDLKLVVRSTDTVYHMKRR
+LHAAEGVEPASQRWFFSGRPLTDKMRLEELKIPKDYVVQVIMSQPLQNPTPVEN
+>sp|A2VDK6|WASF2_BOVIN Wiskott-Aldrich syndrome protein family member 2 OS=Bos taurus OX=9913 GN=WASF2 PE=2 SV=1
+MPLVTRNIEPRHLCRQTLPSVRSELECMTNITLANVIRQLGSLSKYAEDIFGELFTQANT
+FASRVSSLAERVDRLQVKVTQLDPKEEEVSLQGINTRKAFRSSTVQDQKLFDRNSLPIPV
+LETYNTCDTPPPLNNLTPYRDDGKEALKFYTDPSYFFDLWKEKMLQDTKDIMKEKRKHRK
+EKKDNPNRGNVNPRKIKTRKEEWEKMKMGQEFVESKEKPSRYPPTLVYQNGSIGSVENVD
+AGNYPPPPQSDSISPPSPSFSEDNLPPPPAEFSYPADNNQRAGLKRSSVVSPSHPPPAPP
+LGSAPGPKPGFAPPPAPPPPPPMINTPPPPPPGGFGSPATPPPPSPPSFPPHPDFAAPPP
+PPPPPAVDYSTLPPPPLSQSAGGAPPPPPPPPPPGPPPPPFSGADGQLAAPPPPLSDTTK
+PKSSLPPVSDARSDLLSAIRQGFQLRRVEEQREQEKRDVVGNDVATILSRRIAVEYSDSE
+DDSSEFDEDEWSD
+>sp|Q58D06|WDR74_BOVIN WD repeat-containing protein 74 OS=Bos taurus OX=9913 GN=WDR74 PE=2 SV=1
+MAAAAARWNHVWVGTDTGILKGVNLQRKQAANFTASGQPRREEAVSALCWGAGGETQILV
+GCADGTVKHFSTEEGRFQGQRQCPGGEGTFRGLAQVDGTLITCVDSGILRVWTDKDKEAS
+SDPVLELRVGPGVCRMRQDPARPHIVATGGKENALKVWDLQGSEEPLFRAKNVRNDWLDL
+RVPVWDQDIQFLPESQKLVTCTGYHQVRVYDPASPQRRPVLEATYGEYPLTAVTLTPEGN
+SVIVGNTHGQLAEIDLRQGRLLGCLKGLAGSVRGLQCHPSKPLLASCGLDRVLRIHRIRN
+PRGLEHKVYLKSQLNCLLLSGRDNWEDEPQEPQEPNKVPSEDTETDELWASLEAAAKRKL
+PDWEQTQGALQARRRKKKRPGSTSS
+>sp|Q2TBG7|ISCA2_BOVIN Iron-sulfur cluster assembly 2 homolog, mitochondrial OS=Bos taurus OX=9913 GN=ISCA2 PE=2 SV=1
+MAAVRGLTAVALRVVTPWQRSRLLAASRGPRALREVSFSSPEAGEGQIHLTDSCVQRLLE
+ITEGSEFLRLEVEGGGCSGFQYKFSLDTVINPDDRVFEKGGARVVVDSDSLAFVKGAHVD
+FSQELIRSSFQVLNNPQAQQGCSCGSSFSVKL
+>sp|Q3SZG8|ISCA1_BOVIN Iron-sulfur cluster assembly 1 homolog, mitochondrial OS=Bos taurus OX=9913 GN=ISCA1 PE=2 SV=1
+MSASLVRATVRAVSKRKLQPTRAALTLTPSAVNKIKQLLKDKPEHVGVKVGVRTRGCNGL
+SYTLEYTKTKGDSDEEVIQDGVRVFIEKKAQLTLLGTEMDYVEDKLSSEFVFNNPNIKGT
+CGCGESFNI
+>sp|P01045|KNG2_BOVIN Kininogen-2 OS=Bos taurus OX=9913 GN=KNG2 PE=1 SV=1
+MKLITILFLCSRLLPSLTQESSQEIDCNDQDVFKAVDAALTKYNSENKSGNQFVLYRITE
+VARMDNPDTFYSLKYQIKEGDCPFQSNKTWQDCDYKDSAQAATGQCTATVAKRGNMKFSV
+AIQTCLITPAEGPVVTAQYECLGCVHPISTKSPDLEPVLRYAIQYFNNNTSHSHLFDLKE
+VKRAQKQVVSGWNYEVNYSIAQTNCSKEEFSFLTPDCKSLSSGDTGECTDKAHVDVKLRI
+SSFSQKCDLYPGEDFLPPMVCVGCPKPIPVDSPDLEEALNHSIAKLNAEHDGTFYFKIDT
+VKKATVQVVGGLKYSIVFIARETTCSKGSNEELTKSCEINIHGQILHCDANVYVVPWEEK
+VYPTVNCQPLGQTSLMKRPPGFSPFRSVQVMKTEGSTTVSLPHSAMSPVQDEERDSGKEQ
+GPTHGHGWDHGKQIKLHGLGLGHKHKHDQGHGHHRSHGLGHGHQKQHGLGHGHKHGHGHG
+KHKNKGKNNGKHYDWRTPYLASSYEDSTTSSAQTQEKTEETTLSSLAQPGVAITFPDFQD
+SDLIATVMPNTLPPHTESDDDWIPDIQTEPNSLAFKLISDFPETTSPKCPSRPWKPVNGV
+NPTVEMKESHDFDLVDALL
+>sp|E1B932|KLH12_BOVIN Kelch-like protein 12 OS=Bos taurus OX=9913 GN=KLHL12 PE=3 SV=2
+MRGIMAPKDIMTNTHAKSILNSMNSLRKSNTLCDVTLRVEQKDFPAHRIVLAACSDYFCA
+MFTSELSEKGKPYVDIQGLTASTMEILLDFVYTETVHVTVENVQELLPAACLLQLKGVKQ
+ACCEFLESQLDPSNCLGIRDFAETHNCVDLMQAAEVFSQKHFPEVVQHEEFILLSQGEVE
+KLIKCDEIQVDSEEPVFEAVISWVKHAKKEREGSLPDLLQYVRMPLLTPRYITDVIDTEP
+FIRCSLQCRDLVDEAKKFHLRPELRTQMQGPRTRAHIRANEVLLVVGGFGSQQSPIDVVE
+KYDPKTQEWSFLPSITRKRRYVASVSLHDRIYVIGGYDGRSRLSSVECLDYTADEDGVWY
+SVAPMNVRRGLAGATTLGDMIYVSGGFDGSRRHTSMERYDPNIDQWSMLGDMQTAREGAG
+LVVASGVIYCLGGYDGLNILNSVEKYDPHTGHWANVTPMATKRSGAGVALLNDHIYVVGG
+FDGTAHLSSVEAYNIRTDSWTTVTSMTTPRCYVGATVLRGRLYAIAGYDGNSSLSSIECY
+DPIIDSYGLVTSMGTQRCDAGVCALREK
+>sp|Q0V8R6|HEXA_BOVIN Beta-hexosaminidase subunit alpha OS=Bos taurus OX=9913 GN=HEXA PE=2 SV=1
+MAGSTLRFSLLLAAAFAGRATALWPWPQYIQTSELRYTIFPQSFQFQYHLSSAAQVGCSV
+LDEAFQRYRDLLFGSVAFRFPHPIEKRHTSEKNSLVVLVVTPGCDQFPSLGSVENYTLTI
+NDEQSLLLSETVWGALRGLETFSQLIWRSPEGTFYVNKTDIEDFPRFPHRGLLLDTSRHY
+LPLASILDTLDVMAYNKFNVFHWHLVDDSSFPYESFTFPELTKKGSYNPATHIYTAQDVK
+EVIEYARLRGIRVLAEFDTPGHTLSWGPGVPGLLTPCYSGSHPSGTFGPVNPALNNTYEF
+MSTFFLEISTVFPDFYLHLGGDEVDFTCWKSNPDIQAFMKKKGFGDDFKKLESFYIQTLL
+DIVSAYGKGYVVWQEVFDNKVKVRPDTIIQVWREEIPVKYVKELALVTRAGFRALLSAPW
+YLNHITYGPDWKEIYLVEPLAFEGSPEQKALVIGGEACMWGEYVDSTNLVPRLWPRAGAV
+AERLWSNKMVSNLDFAFKRLAHFRCELLRRGVQAQPLSVGYCDMEFEQT
+>sp|A6QPM6|PPR35_BOVIN Protein phosphatase 1 regulatory subunit 35 OS=Bos taurus OX=9913 GN=PPP1R35 PE=2 SV=2
+MMVYNGSQLESVEEGEAVAVPGPPPEPRAPEPGAPVPEPGLDLSLSPRSESPGRGRPNCS
+PGRRKGRADRRGGARKGRQVRFLLAPPSPVRSGPPPAAASSSEKPEAPQDLGTPVQQSSL
+ALSLELQAARAAAGGQFDAAKAVEEQLRKSFQTRCGLEESVTEGLNVPRSKRLFRDLVSL
+QVPEEQVLNAALREKLALLPPQARAPPPKEPPGPGPDMTILCDPETLFYESPHLTLEGLP
+PLRLQLRPRPSEDTFLMHRTLRRWEA
+>sp|P23727|P85A_BOVIN Phosphatidylinositol 3-kinase regulatory subunit alpha OS=Bos taurus OX=9913 GN=PIK3R1 PE=1 SV=1
+MSAEGYQYRALYDYKKEREEDIDLHLGDILTVNKGSLVALGFSDGQEAKPEEIGWLNGYN
+ETTGERGDFPGTYVEYIGRKKISPPTPKPRPPRPLPVAPGPSKTEADSEQQASTLPDLAE
+QFAPPDVAPPLLIKLVEAIEKKGLECSTLYRTQSSSNPAELRQLLDCDTASLDLEMFDVH
+VLADAFKRYLLDLPNPVIPVAVSSELISLAPEVQSSEEYIQLLKKLIRSPSIPHQYWLTL
+QYLLKHFFKLSQTSSKNLLNARVLSELFSPLLFRFPAASSENTEHLIKIIEILISTEWNE
+RQPAPALPPKPPKPTTVANNGMNNNMSLQDAEWYWGDISREEVNEKLRDTADGTFLVRDA
+STKMHGDYTLTLRKGGNNKLIKIFHRDGKYGFSDPLTFNSVVELINHYRNESLAQYNPKL
+DVKLLYPVSKYQQDQVVKEDNIEAVGKKLHEYNTQFQEKSREYDRLYEDYTRTSQEIQMK
+RTAIEAFNETIKIFEEQCQTQERYSKEYIEKFKREGNETEIQRIMHNYEKLKSRISEIVD
+SRRRLEEDLKKQAAEYREIDKRMNSIKPDLIQLRKTRDQYLMWLTQKGVRQKKLNEWLGN
+ENTEDQYSLVEDDEDLPHHDEKTWNVGSSNRNKAENLLRGKRDGTFLVRESSKQGCYACS
+VVVDGEVKHCVINKTATGYGFAEPYNLYSSLKELVLHYQHTSLVQHNDSLNVTLAYPVYA
+QQRR
+>sp|A7MBC2|PDXD1_BOVIN Pyridoxal-dependent decarboxylase domain-containing protein 1 OS=Bos taurus OX=9913 GN=PDXDC1 PE=2 SV=1
+MDASLEKKIADPTLAEMGKNLKEAMKMLEDSQRRTEEENGKKLLSGDIPGPLQGSGQDMV
+SILQLVQNLMHGDEDEQPQSTRIQNIGEQGHISLLGHSLGAYISTLDKEKLRKLTTRILS
+DTTLWLCRIFRYENGCAYFHEEEREGLAKICRLAIHSRYEDFVVDGFNVLYNRKPVLYLS
+AAARPGLGQYLCNQLGLPFPCLCRVPCNTVFGSQHQMDVAFLEKLIKDDIERGKLPLLLV
+ANAGTAAVGHTDKIGRLKEVCEQYGIWLHVEGVNLATLALGYVSSSVLAATKCDSMTLTP
+GPWLGLPAVPAVTLYKHDDPALTLVSGLTSNKPADKLRALPLWLSLQYLGLDGIVERIKH
+ACQLSQRLQESLKKVNHIKILVEDELSSPVVVFRFFQELPGSDPGLNAIPAPSAAASAVG
+RERHSCDALNRWLGEQLKQLVPMSGLTVMDLEVEGTCVRFSPLMTAAVLGTRGEDVDQLV
+ACVQSKLPVLTCTLQLREEFKQEVEATAGLLYVDDPNWPGIGVVRYEHANDDKSSLKLDP
+EGEKIHAGLLKKLNELESDLTFKMGPEYKSMKSCIYIGMASDDIDISELVETIAVTAREI
+EEDSRLLENMTEVVRKGIQEAQVQLQKANEERLLEEGVLRQIPVVGSVLNWFSPVQASQK
+GRTFNLTAGSLESTEHTYVYKVQGSGVTPPQTPTGTRTKQRLPGQKPFKRSLRGSDAISE
+TSSVGHIEDLEKMEQSSGGQEASEANSHERHPEAPAPPEAEPPGALQDGAQGLQDDRPQV
+EEPESLR
+>sp|Q2HJC0|NGRN_BOVIN Neugrin OS=Bos taurus OX=9913 GN=NGRN PE=2 SV=2
+MAFSPNVLLGGRVCAAVARSGFATRRVTIPGSTSREPDPDFDWEPEERELQEVESALKRQ
+KKAIRFQKIRRQMEASGAPPRTLTWEAMEQIRYLHREFSESWSVPRLAEGFDVSTDVIRR
+VLKSKFIPTLEQKLKQDQKVLKKIGLARSIPELPGPGDSSKPLSAGQSVSGSLLIPGDEA
+SSRGHGHSTALKAIELNTQSTNITTRQTERNKGVQGLEEGKDFVPVAAGHPTSTCRGARG
+IDSDGLPSDKRLEELKAGEAGDQIFSKRVVQRGREFFDSNGNFLYRI
+>sp|Q05752|NDUA7_BOVIN NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 7 OS=Bos taurus OX=9913 GN=NDUFA7 PE=1 SV=2
+MASATRFIQWLRNWASGRDLQAKLQLRYQEISKRTQPPPKLPVGPSHKLSNNYYCTRDGR
+REAMPPSIVMSSQKVLVAGKPAESSAVAASEKKAVSPAPPIKRWELSQDEPYL
+>sp|Q7YRD0|PNO1_BOVIN RNA-binding protein PNO1 OS=Bos taurus OX=9913 GN=PNO1 PE=2 SV=1
+MESVMEAQSPLAEDGFSQVTRKGGRRAKKRQAEQPSTQEEGGDATCMDTEEARPAKRPVF
+PPLSGDGFLSGKEETRKIPVPANRYTPLKENWLKIFTPIVEHLGLQIRFNLKSRNVEIRT
+CKETKDVSALTKAADFVKAFILGFQVEDALALIRLDDLFLESFEITDVKPLKGDHLSRAI
+GRIAGKGGKTKFTIENVTRTRIVLADVKVHILGSFQNIKMARTAICNLILGNPPSKVYGN
+IRAVASRAADRF
+>sp|Q28145|NXPH2_BOVIN Neurexophilin-2 OS=Bos taurus OX=9913 GN=NXPH2 PE=1 SV=1
+MRLRPLPLVVVPGLLQLLFCDSEKVVHATEGLDWEDKDATGTLVGNVVHSRIINPLRLFV
+KQSPVPKPGHLAYADSMENFWDWLANITEVQEPLARTKRRPIVKTGKFKKMFGWGDFHSN
+IKTVKLNLLITGKIVDHGNGTFSVYFRHNSTGLGNVSVSLVPPSKVVEFEVSPQSTLETK
+ESKSFNCRIEYEKTDRAKKTALCNFDPSKICYQEQTQSHVSWLCSKPFKVICIYIAFYSV
+DYKLVQKVCPDYNYHSETPYLSSG
+>sp|Q5E9A1|NACA_BOVIN Nascent polypeptide-associated complex subunit alpha OS=Bos taurus OX=9913 GN=NACA PE=1 SV=1
+MPGEATDTVPATEQELPQPQAETGSGTESDSDESVPELEEQDSTQATTQQAQLAAAAEID
+EEPVSKAKQSRSEKKARKAMSKLGLRQVTGVTRVTIRKSKNILFVITKPDVYKSPASDTY
+IVFGEAKIEDLSQQAQLAAAEKFKVQGEAVSNIQENTQTPTVQEESEEEEVDETGVEVKD
+IELVMSQANVSRAKAVRALKNNSNDIVNAIMELTM
+>sp|A6QLU8|NXN_BOVIN Nucleoredoxin OS=Bos taurus OX=9913 GN=NXN PE=2 SV=1
+MSGFLEELLGEKLVTGGGEEVDVHSLAARGISLLGLYFGCSLSAPCAQLSASLAAFYGRL
+RGDAAAGPGPGPGAGASAEPEPRRRLEIVFVSSDQDQRQWQDFVRDMPWLALPYKEKHRK
+LKLWNKYRISNIPSLIFLDATSGKVVCRNGLLVIRDDPEGLEFPWGPKPFREVIAGPLLR
+SNGQSLESSSLEGSHVGVYFSAHWCPPCRSLTRVLVESYRKIKEAGQKFEIIFVSADRSE
+DSFKQYFSEMPWLAVPYTDEARRSRLNRLYGIQGIPTLIVLDPQGEVITRQGRVEVLNDE
+DCRGFPWHPKPVLELSDSNAVQLNEGPCLVLFVDSEDDGESEAAKQLIQPIAEKIIAKYK
+AKEEEAPLLFFVAGEDDMTDSLRDYTNLPEAAPLLTILDMSARAKYVMDVEEITPAIVEA
+FVNDFLAEKLKPEPI
+>sp|Q3SYV3|OXA1L_BOVIN Mitochondrial inner membrane protein OXA1L OS=Bos taurus OX=9913 GN=OXA1L PE=2 SV=1
+MALALMCGRRQLLCLLRPQRQFHSVAGPCQWPRKPLTAGLGFPADRCLGHPRYVLLMAPG
+PRGLSTSAVSFGEAQVPAPPVIPATPPPTAVPEVASGEAADVIQAAAEQSFAELGLGSYT
+PVGLIQNLLEFMHVNLGLPWWGAIAACTVLARCLVFPLIVKGQREAAKIHNHLPEIQKFS
+ARIREAKLTGNHTEFYRASSEMTFYQKKHDIKLFRPLILPLTQAPIFISFFIALREMANL
+PVPSLQTGGLWWFQDLTLSDPIYVLPLVVTATMWGVLELGAETGMQSSDLQWMRNFIRLM
+PLAVLPITIHFPTAVFMYWLSSNMFSLGQVACLRIPAVRTVLKIPQRVVHDPDKLAPREG
+FLKSFKQGWKNAEMAHQLQERERRMQNHLELAARGPLRQTFAHNPLLQHGKNDPPNTPNS
+SSSSSSSNKAKSKQPWRDTLG
+>sp|A4FUG7|NUD17_BOVIN Nucleoside diphosphate-linked moiety X motif 17 OS=Bos taurus OX=9913 GN=NUDT17 PE=2 SV=1
+MAAARVLLLLSGRPESVSFAQSVCGLLGAGSGLGPWPTHCGLKRGQLVLSDKPFPGASAR
+LPLQRPPFCPFAALDQQPRAPGVELPPKGRGVDLGVAVILQSSDQTVLLTRRTSTLNISP
+NLWVPPGGHVEPDEELLDGGLRELWEESGLQLPQGQFSWVPLGLWESAYPPKLSWGLPKY
+HHIVLYLLVISQESQQQLQARIQPNAGEVSAFMWLGPDIAAAVAATEDGTETPKHLPQDL
+PSSVPTVELKENGGAQPLALPTSTLLRTTPGTADSRERVSTGTKFALTLWLQHLGRKSRD
+GS
+>sp|P48305|NDUB4_BOVIN NADH dehydrogenase [ubiquinone] 1 beta subcomplex subunit 4 OS=Bos taurus OX=9913 GN=NDUFB4 PE=1 SV=2
+MSFPKYEASRLSSLPTTLDPAEYDISSETRKAQAERLAIRSRLKREYQLQYYDPSRRGVI
+EDPALVRWTYARSANIYPNFRPNTKTSLLGALFGIGPLVFWYYVFKTDRDRKEKLIQEGK
+LDRTFNISY
+>sp|A6H772|PP4C_BOVIN Serine/threonine-protein phosphatase 4 catalytic subunit OS=Bos taurus OX=9913 GN=PPP4C PE=1 SV=1
+MAEISDLDRQIEQLRRCELIKESEVKALCAKAREILVEESNVQRVDSPVTVCGDIHGQFY
+DLKELFRVGGDVPETNYLFMGDFVDRGFYSVETFLLLLALKVRYPDRITLIRGNHESRQI
+TQVYGFYDECLRKYGSVTVWRYCTEIFDYLSLSAIIDGKIFCVHGGLSPSIQTLDQIRTI
+DRKQEVPHDGPMCDLLWSDPEDTTGWGVSPRGAGYLFGSDVVAQFNAANDIDMICRAHQL
+VMEGYKWHFNETVLTVWSAPNYCYRCGNVAAILELDEHLQKDFIIFEAAPQETRGIPSKK
+PVADYFL
+>sp|E1BPK6|MYO6_BOVIN Unconventional myosin-VI OS=Bos taurus OX=9913 GN=MYO6 PE=1 SV=4
+MEDGRPVWAPHPTEGFQMGNIVDIGPDSLTIEPLGQKGKTFLALINQVFPAEEDSKKDVE
+DNCSLMYLNEATLLHNIKVRYSKDRIYTYVANILIAVNPYFDIPKIYSSDSIKSYQGKSL
+GTMPPHVFAIADKAFRDMKVLKMSQSIIVSGESGAGKTENTKFVLRYLTESYGSGQDIDD
+RIVEANPLLEAFGNAKTVRNNNSSRFGKFVEIHFNEKSSVVGGFVSHYLLEKSRICVQGK
+EERNYHIFYRLCAGASEDIRERLHLSSPDNFRYLNRGCTRYFANKETDKQILQNRKTPEH
+LKAGSLKDPLLDDHGDFVRMCTAMKKIGLDDEEKLDLFRVVAGVLHLGNIDFEEAGSTSG
+GCNLKNKSTQSLEYCAELLGLDQDDLRVSLTTRVMLTTAGGTKGTVIKVPLKVEQANNAR
+DALAKTVYSHLFDHVVNRVNQCFPFETSSYFIGVLDIAGFEYFEHNSFEQFCINYCNEKL
+QQFFNERILKEEQELYQKEGLGVNEVHYVDNQDCIDLIEAKLMGILDILDEENRLPQPSD
+QHFTSAVHQKHKDHFRLSIPRKSKLAVHRNIRDDEGFIVRHFAGAVCYETTQFVEKNNDA
+LHMSLESLICESRDKFIRELFESSTNNNKDTKQKAGKLSFISVGNKFKTQLNLLLDKLRS
+TGASFIRCIKPNLKMTSHDFEGAQILSQLQCSGMVSVLDLMQGGFPSRASFHELYNMYKK
+YMPDKLARLDPRLFCKALFKALGLNEVDYKFGLTKVFFRPGKFAEFDQIMKSDPDHLAQL
+VKRVNHWLICSRWKKVQWCSLSVIKLKNKIKYRAEACIKMQKTIRMWLCKRRHKPRIDGL
+VKVGTLKKRLDKFNEVVSALKDGKAEMNKQVKDLEISIDALMAKIKSTMMTREQIQKEYD
+ALVKSSEVLLSALQKKKQQEEEAERLRRIQEEMEKERKRREEDEQRRRKEEEERRMKLEM
+EAKRKQEEEERKKREDDEKRIQAEVEAQLARQREEESQQQAVLEQERRDRELALRIARSE
+AELIIDEAQADPAALRSLDFHPVTSKINGTRRTMTPEQMAKEMSEILSRGPAVQATKAAA
+GTKKHDLSKWKYAELRDTINTSCDIELLAACREEFHRRLKVYHAWKSKNKKRNTETEQRA
+PKSVTDYDFAPFLNNSPQQNPAAQLPARQQEIEMNRQQRFFRIPFIRPADQYKDPQNKKK
+GWWYAHFDGPWIARQMELHPDKPPILLVAGKDDMEMCELNLEETGLTRKRGAEILPRQFE
+EIWERCGGIQYLQSAIESRQARPTYATAMLQNLLK
+>sp|Q2V8Y7|NCS1_BOVIN Neuronal calcium sensor 1 OS=Bos taurus OX=9913 GN=NCS1 PE=1 SV=3
+MGKSNSKLKPEVVEELTRKTYFTEKEVQQWYKGFIKDCPSGQLDAAGFQKIYKQFFPFGD
+PTKFATFVFNVFDENKDGRIEFSEFIQALSVTSRGTLDEKLRWASKLYDLDNDGYITRNE
+MLDIVDAIYQMVGNTVELPEEENTPEKRVDRIFAMMDKNADGKLTLQEFQEGTKADPSIV
+QALSLYDGLV
+>sp|Q0P5M8|MPPA_BOVIN Mitochondrial-processing peptidase subunit alpha OS=Bos taurus OX=9913 GN=PMPCA PE=2 SV=1
+MAAMVLAATRLLRGSGSWGRSRPRFGDPAYRRFSSGGAYPNIPLSSPLPGVPKPVFATVD
+GQEKFETKVTTLDNGLRVASQNKFGQFCTVGILINSGSRYEAKYLSGIAHFLEKLAFSST
+ERFDSKDEILLTLEKHGGICDCQTSRDTTMYAVSADSKGLDTVVGLLADVVLHPRLTDEE
+IEMARMAVQFELEDLNMRPDPEPLLTEMVHEAAYRENTVGLHRFCPAENVGKMDRDVLHA
+YLRNYYTPDRMVLAGVGVEHAQLVECARKYLLGTCPAWGTGAAVHVDRSVAQYTGGIVKL
+ERDMSNVSLGPTPFPELTHIMIGLESCSFLEGDFIPFAVLNMMMGGGGSFSAGGPGKGMF
+TRLYLNVLNRHHWMYNATSYHHSYEDTGLLCIHASADPRQVREMVEIVTREFVLMAGTVD
+VVELERAKTQLTSMLMMNLEARPVIFEDVGRQVLATRSRKLPHELCALIRDVKPEDIKRV
+ASKMLRGKPAVAALGDLSELPAYEHVQAALASRDGRLPRVYRLFR
+>sp|Q66LN0|PGES2_BOVIN Prostaglandin E synthase 2 OS=Bos taurus OX=9913 GN=PTGES2 PE=1 SV=3
+MAHAVRALWPHGRALAWRLGDRPALGLHAQSRAGFTGAAGGSGPAATARKGGPRLLGAAA
+LALGGALGLYHTARWHLRAQDLRAERSATQLSLSSRLQLTLYQYKTCPFCSKVRAFLDFH
+ALPYQVVEVNPVRRAEIKFSSYRKVPIVMAQEGESLQQLNDSSVIISALKTYLVSGQPLA
+DIITYYPPMKAVNDQGKEVTEFCNKYWLMLDEKEAQRMYGGKEARTEEMKWRQWADDWLV
+HLISPNVYRTPAEALASFDYIVKEGNFGTVEGAMAKYMGAAAMYFISKRLKRRHHLRDDV
+REDLYEAANKWVAAVGKDRPFMGGQKPNLADLAVYGVLRVMEGLEAFDDLMRHTHIQPWY
+LRVEKAIAEAPQ
+>sp|A2VE36|PRS45_BOVIN Serine protease 45 OS=Bos taurus OX=9913 GN=PRSS45 PE=2 SV=1
+MAASLSRLSAGLAASRPLGLSRSFLLLVLLLLNSGYKGDSTKPACGQPWWPKNLDLSRHW
+PWEVSLRVGNEHVCGGALIDLKWVVTAAHCIQGTKEYSVILGTSKLKPPNSTRTLLIPVR
+DIIMHPKYWGRTFIMGDVALLQLYNPVIISKYVQPICLPEPNYSLKVGTKCWVTGWGQVK
+QRFSANSTLASELQEAEVFIMDNKKCDQIYRKKSHIPRVVPLVLGDMICATNYREDLCSG
+DSGGPLACEVEGRWILAGVLSWEKACAKVRNPGVYTRITKYSRWIKKQISNGILSVPCTS
+AWLLLLFWLLQPQMGP
+>sp|P29172|TAU_BOVIN Microtubule-associated protein tau OS=Bos taurus OX=9913 GN=MAPT PE=1 SV=3
+MAEPRQEFDVMEDHAQGDYTLQDQEGDMDPGLKESPLQTPADDGSEEPGSETSDAKSTPT
+AEDATAPLVDEGAPGEQAAAQAPAEIPEGTAAEEAGIGDTSNLEDQAAGHVTQARMVSKG
+KDGTGPDDKKTKGADGKPGTKIATPRGAAPPGQKGQANATRIPAKTTPTPKTSPATMQVQ
+KKPPPAGAKSERGESGKSGDRSGYSSPGSPGTPGSRSRTPSLPTPPTREPKKVAVVRTPP
+KSPSAAKSRLQAAPGPMPDLKNVKSKIGSTENLKHQPGGGKVQIINKKLDLSNVQSKCGS
+KDNIKHVPGGGSVQIVYKPVDLSKVTSKCGSLGNIHHKPGGGQVEVKSEKLDFKDRVQSK
+IGSLDNITHVPGGGNKKIETHKLTFRENAKAKTDHGAEIVYKSPVVSGDTSPRHLSNVSS
+TGSIDMVDSPQLATLADEVSASLAKQGL
+>sp|Q0VC20|RXRG_BOVIN Retinoic acid receptor RXR-gamma OS=Bos taurus OX=9913 GN=RXRG PE=2 SV=1
+MYGNYSHFMKFPTGFGGSPGHTGSTSMSPSAALSTGKPMDSHPSYTDTPVSAPRTLSAVG
+TPLNALGSPYRVITSAMGPPSGALAAPPGINLVAPPSSQLNVVNSVSISEDIKPLPGLPG
+IGNMNYPSTSPGSLVKHICAICGDRSSGKHYGVYSCEGCKGFFKRTIRKDLIYTCRDNKD
+CLIDKRQRNRCQYCRYQKCLVMGMKREAVQEERQRSRERAESEAECANSGHEDMPVERIL
+EAELAVEPKTESYGDMNTENSTNDPVTNICHAADKQLFTLVEWAKRIPHFSDLTLEDQVI
+LLRAGWNELLIASFSHRSVSVQDGILLATGLHVHRSSAHSAGVGSIFDRVLTELVSKMKD
+MQMDKSELGCLRAIVLFNPDAKGLSNPSEVETLREKVYATLEAYTKQKYPEQPGRFAKLL
+LRLPALRSIGLKCLEHLFFFKLIGDTPIDTFLMEMLETPLQTT
+>sp|Q1JQD6|TAF1B_BOVIN TATA box-binding protein-associated factor RNA polymerase I subunit B OS=Bos taurus OX=9913 GN=TAF1B PE=2 SV=1
+MDLEEAREFRERCSQCAAVSWGLTDEGKYYCTSCHNVTERSREVIDTGAIPNTKIQAINR
+GLKRKRKLEKGWDWYVCEGFQHILYQQAEALQSLGVGPELKNEVLHNFWKRYLQKSKQAY
+CKNPVYTSRRKTTVLEDNLSHSDWESEPELLSDMSCLSFAESGAESQPDVRTPKPFPIIK
+ASHSETTSVCSGSLDGVEYSLRKEKGVMKMSVPRTLAFCYLSLLWQRETITLSDLLRFVE
+EEHIPYIHAFQHFPEEMKLYGRDKGIFAIESWPNYEVIFKKIIEVATFLDLPRFPDITEN
+CYLHPNILCMKYLMEVNLPDEMHNVTCLVVKSTGIGEVDFLRFDPIAKKAKTVKYDVQAV
+AVIVVALKLLFLLDDNLEWSLSNIAKKYNEKNKEDKPWFDFRKWYQVMKKAIDEKKQKWE
+EARAKFLWKGEKPLYYSAIDRPVVYKRREMVVSLQKQFSTLVDSAPNVEKKKPSSFQFNW
+TEEDSERPCFHGHSLQGVLQQKGQSLTTKNSLYWLSTQKFCKSHCKHVTTYEESNFSLSY
+QFILNLFSFLLRIKTSFLHEEVSLIEKRLFKAKYNKTNKKSSRSRKTRKY
+>sp|Q9TT36|THBG_BOVIN Thyroxine-binding globulin OS=Bos taurus OX=9913 GN=SERPINA7 PE=2 SV=1
+MPLFSLVLLILGLHCAPPNSCEGKITSCLSPQQNATLYKMSSINADFAFNLYRRFTVEIP
+DQNIFFSPVSIPAGLAMLSLGACSSTQTQILEGLGFNLTDTPVAEIQQGFQHLICSLNFP
+KKELELQMGNALFIGKQLKPLEKFLDDVKNLYETEVFSTDFSNVSAAQQEINSHVEKQTK
+GKIVGLIQDLKPNTITVLVNYLCFKAQWANPFDPSKTEEGSSFLVDKTTTVQVPMMHQME
+QYYHLVDTELNCTVLQMDYSKNALALFVLPKEGQMEWVEGAMSSKTLKKWNRLLRKGWVD
+LFVPKFSISATYDLGDILLKMGIQDAFADNADFSGLTKDNGLKVSNVAHKAMFYIGEKGT
+EAVPEVRFLNQPETTLLHPIIQFDRSFLLLILEKNTRSILFLGKVVDPTEA
+>sp|Q0VC33|TSN11_BOVIN Tetraspanin-11 OS=Bos taurus OX=9913 GN=TSPAN11 PE=2 SV=1
+MAHYKVEQDDWLTVYLKYLLFIFNFFFWVGGAAVMAVGVWTLVEKSGYLGVLASSTFAAS
+AYILIFAGALVMVTGFLGFGAVIREDRGCLSAYFCLLLAIFLVELVAGVLAHVYYQRLSD
+ELKQHLTRTLAENYRQPGAAEITASVDRLQQDFKCCGSNSSADWLQSSYILSPEAEGRRV
+PDSCCKTVVARCGQRAHPSNIYKVEGGCISKLEQFLADHLLLMGAVGIGVACLQICGMIL
+TCGLHRRLQLHFY
+>sp|Q95LI3|ZFY_BOVIN Zinc finger Y-chromosomal protein OS=Bos taurus OX=9913 GN=ZFY PE=2 SV=1
+MDEDEFELQPQEPNSCFDGIGTDATHMDGDQIVVEVQETVFVSDVVDSDITVHNFVPDDP
+DSVVIQDVIENVVIEDVQCSDILEEADVSENVIIPEQMLSSDVTEEVSLAHCTVPDDVLA
+SDITSASMSMPEHVLTSESVHVSDVGHVEHIVHGSVVEAEIVTDPLTADVVSEEVLVADC
+ASEAVIDANGIPVDQQDDDKGNCEDYLMISLDDDGKMEHDCSSGMTMDAESEIDPCKVDG
+TCPEVIKVYIFKADPGEDDLGGTVDIVESEPENDHGVELLDQNNSIRMPREKMVYMTVND
+SQQEDEDLNVAEIADEVYMEVIVGEEDAAVAAAAATTVHEQEMDDSEIKTFMPIAWAAAY
+GNNSDGIENRSGTASALLHIDESAGLGRLTKHKPKKRRRPDSRQYQTAIIIGPDGHPLTV
+YPCMICGKKFKSRGFLKRHMKNHPEHLTKKKYRCTDCDYTTNKKISLHNHLESHKLTSKS
+EKAIECDDCGKHFSHAGALFTHKMVHKEKGASKMHKCKFCEYETAEQGLLNRHLLAVHSK
+NFPHICVECGKGFRHPSELKKHMRIHTGEKPYQCQYCEYRSADSSNLKTHVKTKHSKEMS
+FKCDICLLTFSDTKEVQQHALIHQESKTHQCVHCDHKSSNSSDLKRHIISVHTKDYPHKC
+DMCDKGFHRPSELKKHVAAHKGKKMHQCRHCDFKIADPFVLSRHILSVHTKDLPFRCKRC
+KKGFRQQNELKKHMKTHSGRKVYQCEYCEYSTTDASGFKRHVISIHTKDYPHRCEYCKKG
+FRRPSEKNQHITRHHKEVGLP
+>sp|A2VDM0|TIFA_BOVIN TRAF-interacting protein with FHA domain-containing protein A OS=Bos taurus OX=9913 GN=TIFA PE=2 SV=1
+MSSFEDADTEEMVTCLQMTLYHPGHQRSGIFRSIKFFNREKLPTSEVVKFGRNSHTCNYI
+FQDKQVSRVQFSLQVFKKFNSSVVSFEIKNMSKKTSLLVDNKELGYLNKMDLPDKCMIRF
+GDYQFLVEKEDGESLEFFEIQFSLSKKPLLQENNWLSQEPIPECGSYSSCLTQNNSPMEV
+GENEW
+>sp|Q2KIC8|TM100_BOVIN Transmembrane protein 100 OS=Bos taurus OX=9913 GN=TMEM100 PE=2 SV=1
+MTDEPIKEILGTPKSPKPVAMEKNANGEVVVTLVPLVSEIQLAAATGGAELSCYRCVIPF
+AVVVLITGTVVTAVAYSFNSHGSIISILGLVLLSLGLFLLASSALCWKVRQRSKKAKRRE
+SQTTLVVNQRGWFA
+>sp|A5PJN0|SEGN_BOVIN Secretagogin OS=Bos taurus OX=9913 GN=SCGN PE=2 SV=1
+MDSAREPTQGSLDAAGFWQVWQRFDVEEKGYIEEKELDAFFYHMLTKLGVDDAVKEENVQ
+KMKQQFMAPHDVSKDGCIQMKELAGMFLSEDENFLLLFRQETPLDSSVEFMRIWRKYDAD
+SSGFISAAELCNFLRDLFLHHKKAISEAKLEEYTGTMMKIFDKNKDGRLDLNDLARILAL
+QENFLLQFKMDACSSEERKRDFEKIFAHYDVSKTGALEGPEVDGFVKDMMELVQPSIRGV
+DLDKFREILLRHCDVNKDGKIQKSELALCLGLKINP
+>sp|Q2YDD2|SMG9_BOVIN Protein SMG9 OS=Bos taurus OX=9913 GN=SMG9 PE=2 SV=1
+MSESGHSQPGLYGIERRRRWKEPGPGGPQNLSGPGGRERDYIAPWERERRDGSEETSTAV
+MQKTPIILSKPPAERSKQPPPPAAPAAPPAPAPLEKPIVLMKPREEGKGPAATTSTSTPE
+GTAPPPPAAPVPPKGEKEGQRPTQPVYQIQNRGMGTAAPAAMDPVVGQAKLLPPERMKHS
+IKLVDDQMNWCDSAIEYLLDQTDVLVVGVLGLQGTGKSMVMSLLSANTPEEDQRAYVFRA
+QSAEMKERGGNQTSGIDFFITQERIVFLDTQPILSPSILDHLINNDRKLPPEYNLPHTYV
+EMQSLQIAAFLFTVCHVVIVVQDWFTDLSLYRFLQTAEMVKPSTPSPSHESSSSSGSEEG
+AEYYPHLVFLQNKARREDFCPRKLRQMHLMIDQLMAHSHLRYKGTLSMLQCNVFPGLPPD
+FLDSEVNLFLMPFMDSETESETPPRAGPGSSPLFSLLPGYRGHPSFQSLVSKLRSQVMSM
+ARPQLSHTILTEKNWFHYAARIWDGVKKSSALAEYSRLLA
+>sp|A6QM06|SCAP_BOVIN Sterol regulatory element-binding protein cleavage-activating protein OS=Bos taurus OX=9913 GN=SCAP PE=2 SV=1
+MTLTERLREKISQAFYNHGLFCASYPIPIILFTGLCILACCYPLLKLPLPGTGPVEFTTP
+VKDYSPPPLTSDHKPGEPNEQPEWYVGAPVAYIQQIFVKSSVSPWHKNLLAVDVFRSPLS
+RAFQLVEEIRNHVLKDSSGTRSLEDVCLQVTDLLPGLRKLRNLLPEHGCLLLSPGNFWQN
+DRERFHADPDIIRTIHQHEPKTLQTSATLKDLLFGVPGKYSGVSLYTRKRLVSYTITLVF
+QHYHAKFLGSLRARLMLLHPSPNCSLRAESLVHVHFKEEIGIAELIPLVTTYIILFAYIY
+FSTRKIDMVKSKWGLALAAVVTVLSSLLMSVGLCTLFGLTPTLNGGEIFPYLVVVIGLEN
+VLVLTKSVVSTPVDLEVKLRIAQGLSSESWSIMKNMATELGIVLIGYFTLVPAIQEFCLF
+AVVGLVSDFFLQMLFFTTVLSIDIRRMELADLNKRLPPEACLPPAKPVGRPTRFERQPTV
+RPSMPHTITLQPSSFRNLRLPKRLRVIYFLARTRLAQRLIMAGTVVWIGILVYTDPAGLR
+TYLAAQVTEQSPLGEGALAPLPVPSGVLPASHPDPAFSIFPPDASKLPENQTLPGEPPEP
+GGLAEGVHDSPAPEVTWGPEDEELWRKLSFRHWPTLFSYYNITLAKRYVSLLPVIPVTLR
+LNPREALEGRHPQDGRSAWPPPRPGQGGLWEAGPKGPGTAQAQRDLTLYKVAALGLASGI
+VLVLLLLCLYRVLCPRNYGQPGAGPGRRRRGELPCDDYGYAPPETEIVPLVLRGHLMDIE
+CLASDGMLLVSCCLAGHVCVWDAQTGDCLTRIPHPGQRRDSGVGSGLETQETWERLSDGG
+KGGPEEPGDSPPLRHRPRGPPPPALFGDQPDLTCLIDTNFSARPQLPEPAQPEPRYRAGR
+RAQDSAGYDFSRLVQRVYQEGGMAPVHTPALRPPSPGPTFPLAPEDEAGFPPEKSCPSLA
+WAPSTDGSIWSLELQGSLIVVGRSSGRLEVWDAIEGTLRCSSEEVSSGITALVFLDRRIV
+AARLNGSLDFFSLETHTALSPLQFRGAPGRGSSPASPACSSSDRVACHLTHTVPCAHQKP
+ITALKAAAGRLVTGSQDHTLRVFRLEDSCCLFTLQGHSGAITTVYIDQTMVLASGGQDGA
+ICLWDVLTGSRVSHMFAHRGDVTSLTCTTSCVISSGLDDLISIWDRSTGIKLYSIQQDLG
+CGASLGVISDNLLVTGGQGCVSFWDLNYGDLLQTVYLGKNSEAQPARQILVLDNAAIVCN
+FGSELSLVYVPSVLEKLD
+>sp|P17305|STP1_BOVIN Spermatid nuclear transition protein 1 OS=Bos taurus OX=9913 GN=TNP1 PE=2 SV=2
+MSTSRKLKSQGMRRGKNRTPHKGVKRSGSKRKYRKSSLKSRKRCDDANRNLRSHL
+>sp|Q2KI45|TM10C_BOVIN tRNA methyltransferase 10 homolog C OS=Bos taurus OX=9913 GN=TRMT10C PE=2 SV=2
+MPVLLKMSVSITFLRPFARVLVPFTLHRKRRVLYSTIMQRYMSSKIPAASYPNKESTPPS
+EELELDRWKITMKSSVQEEDVSTATSSEDEDPLAATRELVEMWRLLGKEVPEHFSEEELK
+TLMECVSKSSKRKYLKYLYIKEKMKKARQIKKEMKKAEKEEPKKDQLPETIKEDKQQNFL
+FLRLWDRNMDIAMGWKGAQAMQFGQPLVFDMAYDDHMKPKELQNAVSQLLESEGCNRRNV
+DPFHIYFCNLKTGGAYYKELVKRYGEKWNKLLLTATEKSHVDLFPKDSIIYLTADSPNVM
+TTFKHDKIYIVGSFVDKNMQPGTSLAKAKRLKLATECLPLDKYLQWDTGTKNLTLDQMMR
+ILLCLKNTGSWEEALKFVPSRKHAGYLEISQHSQEFLNRMKKSKTFNSFPRGSINRHRKS
+SLKENI
+>sp|Q0P5H2|TDIF2_BOVIN Deoxynucleotidyltransferase terminal-interacting protein 2 OS=Bos taurus OX=9913 GN=DNTTIP2 PE=2 SV=1
+MVVTRSARPQARNEATSVESLRQKNSAVTRLHVHPKGRKGSSPDNPNTTESQTTPERSPA
+PKTRKSGTTGSLPEMNKPATDGEISEAESDCSSVPEVQDPVFRVTRRRQILVAGTPVSSV
+RKRLKITRVSESHTEEVVSEADSHVSGISRIMPPTEITTRRSKAKSQREPKQESHVEVIS
+DAESSCSDISFSGIATRRTTRSMQRKLQAQTEENNTKIVLENEKEIIHTPVNLEDSVNRR
+TSRLLARSLSQINKPNFSNNEIYNDPDDSFFGISGKKLAVKKTRNFTIREEKQDSISPLK
+EITKQNCKSLDEEAKKIIDGGKEGNEKNSQLNLSEFQDTGLQQLVSQRHSTPESDKTTSE
+SSTLNHEAVMKSLAQTFAVVEMDRWNEERKNAIKTSDCSEPGDGSYSDSDEECTVIGVSE
+DMSKEKEVDSESDTKPSNLEFNTTQDKDDSVLLVLSSDESQQSEHSENEEDTVCFVENNG
+NKESLNGDSENLSHDNALFVIDTTPGLSADKNFYLDEEDKAGEVATEEEEEEEEEESEEE
+LSDHDRNKDNEFSDEDNLLSNTKSKLLKLMSSSIDTGLNIKELGGLYINFNADKVQLNKR
+TLTQMKEKRKDELLQKTVITPEFEKNYCVPPYSESKYKLQKKRRQERQKTAGDGWFGMKA
+PELTDELKNDLKALKMRASMDPKRFYKKNDRDGFPKYFQIGTIVDNPADFYHSRVPKKQR
+KRTIVEELLADSEFRRYNRRKYSEIMAEKAANAAGKKFRKKKKFRN
+>sp|A4FUY9|TMM17_BOVIN Transmembrane protein 17 OS=Bos taurus OX=9913 GN=TMEM17 PE=2 SV=1
+MELPDPVRQRLGNFSRTVFSDSNRTGPEYNEGPDNEMVSSLALQMSLYFNTYFFPLWWVS
+SIMMLQMKYSILPDYYKFIVVTIIILITLIEAIRLYLGYMGNLQEKVPELAGFWLLSLLL
+QLPLILFLLFNEGLTNLPLEKAVHIIFTIFLTFQVVSAFLTLRKMVNQLATRFHLQDFDR
+LSASRGDMRRVRSCIEEI
+>sp|Q3T0C7|STMN1_BOVIN Stathmin OS=Bos taurus OX=9913 GN=STMN1 PE=2 SV=3
+MASSDIQVKELEKRASGQAFELILSPRSKESVPEFPLSPPKKKDLSLEEIQKKLEAAEER
+RKSHEAEVLKQLAEKREHEKEVLQKAIEENNNFSKMAEEKLTHKMEANKENREAQMAAKL
+ERLREKDKHIEEVRKNKESKDPADETEAD
+>sp|Q5KR48|TPM2_BOVIN Tropomyosin beta chain OS=Bos taurus OX=9913 GN=TPM2 PE=2 SV=1
+MDAIKKKMQMLKLDKENAIDRAEQAEADKKQAEDRCKQLEEEQQALQKKLKGTEDEVEKY
+SESVKDAQEKLEQAEKKATDAEADVASLNRRIQLVEEELDRAQERLATALQKLEEAEKAA
+DESERGMKVIENRAMKDEEKMELQEMQLKEAKHIAEDSDRKYEEVARKLVILEGELERSE
+ERAEVAESKCGDLEEELKIVTNNLKSLEAQADKYSTKEDKYEEEIKLLEEKLKEAETRAE
+FAERSVAKLEKTIDDLEDEVYAQKMKYKAISEELDNALNDITSL
+>sp|Q3T144|T106C_BOVIN Transmembrane protein 106C OS=Bos taurus OX=9913 GN=TMEM106C PE=2 SV=1
+MGSRHSTYAHRPFSKRRKADDTEDSLAEREQQEAIAQFPYVEFTGRDSITCLTCQGTGYI
+PEQVNELVALIPHSDQRLRPQRTKQYVLLSILLCLLASGLVVFFLFPHSVLVDDDGIKVV
+KVTFDEQRSLVILAITATLKIRNSNFYSVAVTSLSSEVQYMNTVVGSYTTTNISLIPPRS
+EHLVNFTAKAEMGGPYSYVYFFCTLPYIGVHNVVVFVRTSVKISYIGHVTQSSLETHHYV
+DCGVNSTAV
+>sp|Q2KI99|STING_BOVIN Stimulator of interferon genes protein OS=Bos taurus OX=9913 GN=TMEM173 PE=2 SV=1
+MPHSSLHPSIPQPRGLRAQKAALVLLSACLVALWGLGEPPDYTLKWLVLHLASQQMGLLI
+KGICSLAEELCHVHSRYHGSYWRAVRACLCSSMRCGALLLLSCYFYCSLPNMADLPFTWM
+LALLGLSQALNILLGLQGLAPAEVSAICEKRNFNVAHGLAWSYYIGYLRLILPGLPARIQ
+IYNQFHNNTLQGAGSHRLHILFPLDCGVPDDLNVADPNIRFLHELPQQSADRAGIKGRVY
+TNSIYELLENGQRAGVCVLEYATPLQTLFAMSQDGRAGFSREDRLEQAKLFCRTLEDILA
+NAPESQNNCRLIVYQEPAEGSSFSLSQEILQHLRQEEREVTMGSTETSVMPGSSVLSQEP
+ELLISGLEKPLPLRSDVF
+>sp|Q3SZW4|T10B_BOVIN Mitochondrial import inner membrane translocase subunit Tim10 B OS=Bos taurus OX=9913 GN=TIMM10B PE=3 SV=1
+MEQQQQQQQQQQQLRNLRDFLLVYNRMTELCFQRCVPSLHHRALDAEEEACLHSCAGKLI
+HSNHRLMAAYVQLMPALVQRRMADYEAASAVPHATAEQLETSPSRSLPSGNLGKGGAG
+>sp|Q2YDP6|TEX35_BOVIN Testis-expressed protein 35 OS=Bos taurus OX=9913 GN=Tex35 PE=2 SV=1
+MSAKRVEPKKTSLSKNYRAVCLELKPEPIKTYDYKGAKQEGPFTKPGGTKELKNELREVR
+EEMKEKMEEIKQIKDVMDKDFDKLQEFVEIMKEMQKDMDEKMDVLINIQKNSKFPLRRGL
+KMQQELRLIGKTDTEPQLRLRKMDGAGGAPLSLHKKMVERQQPKDPMDPLHQCDSCFEKC
+LLCTPQNNYDRGKLPYHAWASFSPLASGPAF
+>sp|Q8HYY4|UACA_BOVIN Uveal autoantigen with coiled-coil domains and ankyrin repeats protein OS=Bos taurus OX=9913 GN=UACA PE=1 SV=1
+MMSCWFSCAPKNRQAADWNKYDDRLMRAAERGDVEKVSSILAKKGVNPGKLDVEGRSAFH
+VVASKGNLECLNAILIHGVDITTSDTAGRNALHLAAKYGHALCLQKLLQYNCPTEHVDLQ
+GRTALHDAAMADCPSSIQLLCDHGASVNAKDVDGRTPLVLATQMCRPTICQLLIDRGADI
+NSRDKQNRTALMLGCEYGCKDAVEVLIKNGADVTLLDALGHDSSYYARIGDNLDILTLLK
+TASENSNKGRELWKKGPSLQQRNLSQMLDEVNTKSNQREHQNIQDLEIENEDLKERLRKI
+QQEQRILLDKVNGLQLQLNEEVMVADDLESEKEKLKSLLAAKEKQHEESLRTIEALKSRF
+KYFESDHLGSGSHFRKEDMLLKQGQMYMTDSQCTSTGMPVHMQSRSMLRPLELALPNQAS
+YSENEILKKELEAMRTFCDSAKQDRLKLQNELAHKVAECKALALECERVKEDSDEQIKQL
+EDALKDVQKRMYESEGKVKQMQTHFLALKEHLTSDAATGNHRLMEELKDQLKDMKVKYEG
+ASAEVGKLRNQIKQNEMLVEEFKRDEGKLMEENKRLQKELSMCELEREKRGRKLTEMEGQ
+LKDLSAKLALSIPAEKFENMKSLLSNELNEKAKKLIDVEREYERSLNETRPLKRELENLK
+AKLAQHVKPEEHEQLKSRLEQKSGELGKRITELTSKNQTLQKEIEKVCLDNKLLTQQVNN
+LTTEMKNHYVPLKVSEEMKKSHDVIVDDLNKKLSDVTHKYTEKKLEMEKLLMENASLSKN
+VSRLETVFIPPERHEKEMMALKSNITELKKQLSELNKKCGEDQEKIYSLMSENNDLKKTM
+SHQYVPVKTHEEIKTALSSTLDKTNRELVDVKKKCEDINQEFVKIKDENEILKRNLENTQ
+NQVKAEYISLREHEEKMSGLRKSMKKVQDNSAEILAKYKKSQEEIVTLHEEIAAQKRELD
+TIQECIKLKYAPIISLEECERKFKATEKELKEQLSQQTQKYNTSEEEAKKCKQENDKLKK
+EILTLQKDLKDKNVHIENSYETERALSRKTEELNRQLKDLLQKYTEAKKEKEKLVEENAK
+QTSEILAAQTLLQKQHVPLEQVESLKKSLSGTIETLKEELKTKQRCYEKEQQTVTQLRQM
+LENQKNSSVPLAEHLQVKEAFEKEVGIIKASLREKEEESQNKTEEVSKLQSEIQNTKQAL
+KKLETREVVDLSKYKATKSDLETQISDLNEKLANLNRKYEEVCEEVLHAKKKELSAKDEK
+ELLHFSIEQEIKDQQERCDKSLTTITELQRRIQESAKQIEAKDNKITELLNDVERLKQAL
+NGLSQLTYGSGSPSKRQSQLIDSLQQQVRSLQQQLADADRQHQEVIAIYRTHLLSAAQGH
+MDEDVQAALLQIIQMRQGLVC
+>sp|Q2T9Q2|UBL4B_BOVIN Ubiquitin-like protein 4B OS=Bos taurus OX=9913 GN=UBL4B PE=2 SV=1
+MFLTVKLLLGRRCSLKVSGQESVAMLKKLVSERLHVPEEQQHLLFRGQLLADDKRLSDYR
+IGPNASISVVVRPLEKPAPEDTRLPQPLWHHLGQVLAKHFGPQDTEAMLQLLRREHEECL
+QRISLGDLEQLARYLLTKEPLAQPTGEREPEVLSPNKEEEKEAVQ
+>sp|Q5E953|UFC1_BOVIN Ubiquitin-fold modifier-conjugating enzyme 1 OS=Bos taurus OX=9913 GN=UFC1 PE=2 SV=1
+MADEATRRVVSEIPVLKTNAGPRDRELWVQRLKEEYQSLIRYVENNKNADNDWFRLESNK
+EGTRWFGKCWYIHDLLKYEFDIEFDIPITYPTTAPEIAVPELDGKTAKMYRGGKICLTDH
+FKPLWARNVPKFGLAHLMALGLGPWLAVEIPDLIQKGVIQHKEKCSQ
+>sp|Q3T0M0|VPS29_BOVIN Vacuolar protein sorting-associated protein 29 OS=Bos taurus OX=9913 GN=VPS29 PE=2 SV=1
+MAGHRLVLVLGDLHIPHRCNSLPAKFKKLLVPGKIQHILCTGNLCTKESYDYLKTLAGDV
+HIVRGDFDENLNYPEQKVVTVGQFKIGLIHGHQVIPWGDMASLALLQRQFDVDILISGHT
+HKFEAFEHENKFYINPGSATGAYNALETNIIPSFVLMDIQASTVVTYVYQLIGDDVKVER
+IEYKKS
+>sp|P62811|WFD18_BOVIN WAP four-disulfide core domain protein 18 OS=Bos taurus OX=9913 GN=WFDC18 PE=3 SV=1
+MKTATVFVLVALIFMTMTTAWALSNPKEKPGACPKPPPRSFGTCDERCTGDGSCSGNMKC
+CSNGCGHACKPPVF
+>sp|Q29RP1|UBP1_BOVIN Ubiquitin carboxyl-terminal hydrolase 1 OS=Bos taurus OX=9913 GN=USP1 PE=2 SV=1
+MPGVIPSESNGLSRGSPSKKNRLSLKFFQKKETKRALDFTDSQENEEKTSEYKGSEIDQV
+VPAAQSSPINCEKRENLLPFVGLNNLGNTCYLNSILQVLYFCPGFKSGVKHLFNIISRKK
+EALKDEANQKDKGNCKEDSLASYELICSLQSLIISVEQLQASFLLNPEKYTDELATQPRR
+LLNTLRELNPMYEGYLQHDAQEVLQCILGNIQETCQLLKKEEVKNVEDLSTKVEEYQKEE
+MSDNNSMEMDNMRHSEDYKEKLSKGNGKRKSDAEFGNMKKKVKISKEHQSSEENQRQTRS
+KRKAAGDTLEISHKIIPKHISENESTRPSQRKSKVKINWLKSAAKQPSILSKFCSMGKIA
+TNQGSKGHCKENEYDLEEDLGKYENDNTTNDCELESPGNNDMPVHVNEVKPINKGAEQIG
+FELVEKLFQGQLVLRTRCLECESLTERREDFQDISVPVQEDELSKVEENSEISPEPKTEM
+KTLRWAISQFASVERIVGEDKYFCENCHHYTEAERSLLFDKMPEVITIHLKCFAASGLEF
+DCYGGGLSKINTPLLTPLKLSLEEWSTKPTNDSYGLFAVVMHSGITISSGHYTASVKVTD
+LNSLELDKENFVIDQTCEIGKPEPLNEEEVRGVVENYDNEEVSIRVSGNNQPSKVLNKKN
+VEAIGLLGGQKSKADYELYNKASNPDKVASTALPENRNSETNNTNGTDESDSNKESSDQT
+GINISGFENKISYVVQSLKEYEGKWLLFDDSEVKVTEEKDFLNSLSPSTSPTSTPYLLFY
+KKL
+>sp|A6QLN9|VWA1_BOVIN von Willebrand factor A domain-containing protein 1 OS=Bos taurus OX=9913 GN=VWA1 PE=2 SV=1
+MLPWTVIGLALSLRLARSGAERGLPASALQGDLLFLLDSSASVSHYEFNRVREFLGRLAA
+LLPVGPGALRASLVHVGSRPHTEFPFGQHSSGSAVQDAIRAAAQRMGDTNTGLALAYAKK
+QLFAKAAGARPGVPKVLVWVTDGGSSDPVGPPMQELKDLGVTVFIVSTGRGNLLELSAAA
+SAPAEKHLHFVDVDDLHIITQALRGSILDAMWPQQLHASEVTSSGFRLAWPSLLTADSGY
+YVLELAPSTDPGAARRQQLPGNATGWAWTGLDSDTDYDVALVPESNVRLLRSQHLRVRTL
+PEETGPELIVVSHTRPRSLRVSWAPALGPDAALGYHVQVGPLRGGAAQSVEVPAGENSTT
+LQGLAPGTAYLVTVTAAFRSGRERALSAKACTPEGERSRAPRPQPQRTGGREP
+>sp|Q08DU1|UTP23_BOVIN rRNA-processing protein UTP23 homolog OS=Bos taurus OX=9913 GN=UTP23 PE=2 SV=1
+MKITRQKHAKKHLGFFRNNFGVREPYQILLDGTFCQAALRGRIQLREQLPRYLMAETQLC
+TTRCVLKELETLGKDLYGAKLIAQKCQVRNCPHFKNAVSGSECLLSMVEDGNPHHYFLAT
+QDQNLSMKVKKKPGIPLMFIIQNTIVLDKPSPKTIAFVKAVESGQLVSVHEKQSIRQLKE
+EQGLVKDPEQRRRKKRKKVSGPNPLSCLKKKKKTQDTNSSASEKKRKRKRIRNRSTSKVL
+SEKQNAEG
+>sp|A2VDT6|ZDH21_BOVIN Probable palmitoyltransferase ZDHHC21 OS=Bos taurus OX=9913 GN=ZDHHC21 PE=2 SV=1
+MGLRIHFVVDPHGWCCMGLIVFVWLYNFFLIPKIVLFPHYEEGHIPGILIIIFYGIAMFC
+LVALVRASITDPGRLPENPKIPHGEREFWELCNKCNLMRPKRSHHCSRCGHCVRRMDHHC
+PWINNCVGEDNHWLFLQLCFYTELLTCYALMFSFCHYYYFLPLKKRNLDLFVVRHELAIM
+RLAAFMGITMLVGITGLFYTQLIGIITDTTSIEKMSNCCEEISRPRKPWQQTFSEVFGTR
+WKILWFIPFRRRQPLRVPYHFANHV
+>sp|Q17QZ7|TTC27_BOVIN Tetratricopeptide repeat protein 27 OS=Bos taurus OX=9913 GN=TTC27 PE=2 SV=1
+MSGVMWTPELAVLRGFPTQAERQQWKQEGVASLESGSFLQLLLEGNYKAILLNSLTQSIL
+NSATITEEKIDSYLEKQIVTFLDDSAELDKIRRQQKILLLGVSSLQLFVQSNWTGPLVDL
+HPQDFLPSVLFQQFSEIKALDAFLLSLLVLDGESIYSLTTKPILLLLARIILVNIRHKLT
+AIQSLPWWTLRCVNIHQQLLEERSPQLFALAEDCIDQVMKLEDLFVDDSGQCLAVQFHLE
+CAYIFLYYYEYKKAKDQFSIAKDICRLQIGLTGALGKRTRFQENYVAQLILDVRREGTVF
+SNCEFTPAPTPQEYLTKNLELNDDTVLNEIKLADCEQFQMPDLCAEELAVILGICTDFQK
+NNPVHKLTEEELLAFTSCLLSQPKFWAIQTSALILRTKLERGSTRRVERAMRQTQALADQ
+FEDKTASVLERLKIFYCCQVPPHWAVQRQLAGLLFELGCTNSALQIFEKLEMWEDVVICY
+ERAGQHGKAEEILRQELEKKETPSLYCLLGDVLRDHSYYDQAWELSRHRSARAQRSKGLL
+HLRSREFKECVECFERSLKINPMQLGVWFSLGCAYLALEDYGGSARAFQRCVTLEPDNAE
+AWNNLSTSYIRLKQKVKAFRTLQEALKCNYEHWQIWENYILTSTDVGEFSEAIKAYHRLL
+DLRDKYKDTQVLKILVRAVMDGMADRSGDVATGLRGKLQELFGRVTSRVTNDGEIWRLYA
+QVYGNGQSDKPDENEKAFQYLSKAYKCDTQSSCWEKNITSFKEVVQRALGLAHVAIKCSK
+NKSSPQEAIQVLSSVRLNLRGLLSKAKQLFTDAASGEIARELADEIAAMDTLVTELQDLS
+NQFRNQY
+>sp|P62763|VISL1_BOVIN Visinin-like protein 1 OS=Bos taurus OX=9913 GN=VSNL1 PE=1 SV=2
+MGKQNSKLAPEVMEDLVKSTEFNEHELKQWYKGFLKDCPSGRLNLEEFQQLYVKFFPYGD
+ASKFAQHAFRTFDKNGDGTIDFREFICALSITSRGSFEQKLNWAFNMYDLDGDGKITRVE
+MLEIIEAIYKMVGTVIMMKMNEDGLTPEQRVDKIFSKMDKNKDDQITLDEFKEAAKSDPS
+IVLLLQCDIQK
+>sp|Q29RK0|ZN574_BOVIN Zinc finger protein 574 OS=Bos taurus OX=9913 GN=ZNF574 PE=2 SV=1
+MTEESEETVLYIEHRYVCSECNQLYGSLEEVLMHQNSHVPQQHFELVGVADPGVTVAAEA
+ASGTGLYQTLVQESQYQCLECGQLLMSPSQLLEHQELHLKMMAPQEAVPAEPPPKAPALS
+SSTIHYECVDCKALFASQELWLNHRQTHLRATPTKPPTPVVLGSPVVVGSPVGQTRVAVE
+HSYRKAEEGGEGAAVPSAAATTTEVVTEVELLLYKCSECSQLFQLPADFLEHQATHFPAP
+APESEEPVLQQETLTPAPVEVPVSQPEPVPSSDHSYELRNGEALGRDRRGRRARRNNSGE
+PGGAATQELFCSACDQLFLSPHQLQQHLRSHREGVFKCPLCSRVFPSPSSLDQHLGDHSS
+ESHFLCVDCGLAFGTEALLLAHRRAHTPNPLHSCPCGKTFVNLTKFLYHRRTHGVGGVPL
+PTTPVPPEEPVLGFPEPAPAETGEPEAPEPPVAEESSAEPAAPGTYRCLLCSREFGKALQ
+LTRHQRFVHRLERRHKCSICGKMFKKKSHVRNHLRTHTGERPFPCPDCSKPFNSPANLAR
+HRLTHTGERPYRCGDCGKAFTQSSTLRQHRLVHAQHFPYRCQECGVRFHRPYRLLMHRYH
+HTGEYPYKCRECPRSFLLRRLLEVHQLVAHAGRQPHRCSSCGAAFPSSLRLREHRCAAAA
+AQAPRRFECGTCGKKVGSAARLQAHEAAHAAAGPGEVLAKEPPAPRAPRAARTPITSPTT
+LGSAAPAAPAAPARRRGLECSECKKLFSTETSLQVHRRIHTGERPYPCPDCGKAFRQSTH
+LKDHRRLHTGERPFACEVCGKAFAISMRLAEHRRIHTGERPYSCPDCGKSYRSFSNLWKH
+RKTHQQQHQAAVRQQLAEAEAAVGLAVMETAVEALPLVEAIEIYPLAEAEGVQISG
+>sp|Q08E15|SIA7F_BOVIN Alpha-N-acetylgalactosaminide alpha-2,6-sialyltransferase 6 OS=Bos taurus OX=9913 GN=ST6GALNAC6 PE=2 SV=1
+MACPRPLSQCDHTPLPGPPAGHWPLPLSRRRREMKSNKEQRSAVFVILFALITILILYSS
+SSANEVFHYGSLRGRTRRPVNLRKWSITDGYIPILGNKTLPSRCGQCVIVTSSSHLLGTK
+LGPEIERAECTIRMNDAPTTGYSADVGNKTTFRVVAHSSVFHVLRRPQEFVNRTPETVFI
+FWGPPNKMQKPQGSLVRVIQRAGLVFPNMEAYAISLSRMRQFDDLFRSETGKDREKSHSW
+LSTGWFTMVIAVELCDHVHVYGMVPPDYCSLRPHLQRMPYHYYEPKGPDECVTYIQNENS
+RKGNHHRFITEKRVFSSWAQLYGITFSHPSWT
+>sp|A7YSY2|SPA5L_BOVIN Spermatogenesis-associated protein 5-like protein 1 OS=Bos taurus OX=9913 GN=SPATA5L1 PE=2 SV=1
+MAPDSGSFPEGPPLKLLPVDTRDRGTQRCRLGPTALRALGAHLGSAVKISLPDGGSCLCT
+AWPRRDGADGFVQLDPQCTSPGSAVGAPGSGGIINLNRLRLVPCPPLRSLAVWPELREQA
+GASGAPSPAAVLEAVQELLRNRPVSQGYVVAAPPGAPGPVAALHIVSGAPSPNPAGLVTP
+RTHISLSEVPPSEEEPQPEVPLGGLSEAADSLRELLRLPLRYPRALASLGLEVPRGVLLA
+GPPGVGKTQLVRAVARETGAELLAVSAPALQGARPGETEENVRRIFKRARELASRRPTLL
+FLDEVDALCPRRGGPHQAPESRVVAQVLTLLDGIGEDREVVVVGSTNRPDALDPALRRPG
+RFDREVVIGTPTLRQRKAILQVITSKMPISGQVDLNLLAEMTVGYVGADLTALCREAAMQ
+ALLHSEKNQDNPTIDETDFLEAFKKIQPSSFRSVIGVTDIKPVGWEQIGGLEDVKLKLKQ
+SIEWPLKFPREFVRMGLTQPKGVLLYGPPGCAKTTLVRALATSCRCSFVSVSGADLFSPF
+VGDSEKILSQVFRQARANTPAIVFLDEIDSILGSRSISRTECNVQDRVLSVLLNELDGVG
+LKTIERRGSKSDQHGKCKQLEKNEELEFQEIFNSNVIVVAATNRPDVLDDALLRPGRLDK
+IIYIPPPDEKGRLSILKVCTKNTPMGPDVSLEKVAAETCFFSGADLGNLCKEAALLALQE
+NGLEVTTVKQEHFLESLKTVKPSLSHKDLTLYKNLFQKKEFSILEDI
+>sp|Q3ZCL8|SH3L3_BOVIN SH3 domain-binding glutamic acid-rich-like protein 3 OS=Bos taurus OX=9913 GN=SH3BGRL3 PE=3 SV=1
+MSGLRVYSTSVTGSREIKSQQSEVTRILDGKRIQYQLVDISQDNALRDEMRALAGNPKAT
+PPQIVNGDQYCGDYELFVEAVEQNTLQEFLKLA
+>sp|P56982|TM14A_BOVIN Transmembrane protein 14A OS=Bos taurus OX=9913 GN=TMEM14A PE=3 SV=1
+MDLIGFGYAALVTFGSILGYKRRGGVLSLIAGLFVGFLAGYGAYRVSNDKRDVKLSLFTA
+FFLATIMGVRFKRSKKIMPAGLVAGLSLLMILRLVLLLL
+>sp|Q2TA46|UBL3_BOVIN Ubiquitin-like protein 3 OS=Bos taurus OX=9913 GN=UBL3 PE=3 SV=1
+MSSNVPADMINLRLILVSGKTKEFLFSPNDSASDIAKHVYDNWPMDWEEEQVSSPNILRL
+IYQGRFLHGNVTLGALKLPFGKTTVMHLVARETLPEPNSQGQRNREKTGESNCCVIL
+>sp|Q5E9F6|VPS72_BOVIN Vacuolar protein sorting-associated protein 72 homolog OS=Bos taurus OX=9913 GN=VPS72 PE=2 SV=1
+MSLAGGRAPRKTAGNRLSGLLEKEEEDEFYQTTYGGFTEESGDDEYQGDQSDTEDEVDSD
+FDIDEGDEPSSDGEAEEPRRKRRVVTKAYKEPLKSLRPRKVSTPAGSSQKTREEKALLPL
+ELQDDGTDSRKSMRQSTAEHTRQTFLRVQERQGQSRRRKGPHCERPLTQEELLREAKITE
+ELNLRSLETYERLEADKKKQVHKKRKCPGPIITYHSVTVPLVGEPGPKEENVDVEGLDPA
+PMASALAARAGTGPVIPPARCSRTFITFSDDATFEEWFPQGRTPKIPVREVCPVTHRPAL
+YRDPVTDIPYATARAFKIIREAYKKYITAHGLPPTASALGPGPPPPEPLPGSGPRALRQK
+IVIK
+>sp|A5D9H7|UBP12_BOVIN Ubiquitin carboxyl-terminal hydrolase 12 OS=Bos taurus OX=9913 GN=USP12 PE=2 SV=1
+MEILMTVSKLASICTMGANASALEKEIGPEQFPVNEHYFGLVNFGNTCYCNSVLQALYFC
+RPFREKVLAYKSQPRKKESLLTCLADLFHSIATQKKKVGVIPPKKFITRLRKENELFDNY
+MQQDAHEFLNYLLNTIADILQEERKQEKQNGRLPNGNIDSENNSTPDPTWVHEIFQGTLT
+NETRCLTCETISSKDEDFLDLSVDVEQNTSITHCLRGFSNTETLCSEYKYYCEECRSKQE
+AHKRMKVKKLPMILALHLKRFKYMDQLHRYTKLSYRVVFPLELRLFNTSGDATNPDRMYD
+LVAVVVHCGSGPNRGHYIAIVKSHDFWLLFDDDIVEKIDAQAIEEFYGLTSDISKNSESG
+YILFYQSRD
+>sp|Q0IIF7|UBP14_BOVIN Ubiquitin carboxyl-terminal hydrolase 14 OS=Bos taurus OX=9913 GN=USP14 PE=2 SV=3
+MPLYSVTVKWGKEKFEGVELNTDEPPMVFKAQLFALTGVQPARQKVMVKGGTLKDDDWGN
+IKMKNGMTVLMMGSADALPEEPSAKTVFVEDMTEEQLASAMELPCGLTNLGNTCYMNATV
+QCIRSVPELKDALKRYAGALRASGEMASAQYITAALRDLFDSMDKTSSSIPPIILLQFLH
+MAFPQFAEKGEQGQYLQQDANECWVQMMRVLQQKLEAIEDDTVKETDSSSASAVTPSKKK
+SLIDQFFGVEFETTMKCTESEEEEVTKGKESQLQLSCFINQEVKYLFTGLKLRLQEEITK
+QSPTLQRNALYIKSSKISRLPAYLTIQMVRFFYKEKESVNAKVLKDVKFPLMLDVYELCT
+PELQEKMVSFRSKFKDLEDKKVNQQPKTGDKDSSPQKEVKYEPFSFADDIGSNNCGYYDL
+QAVLTHQGRSSSSGHYVSWVKRKQDEWIKFDDDKVSIVTPEDILRLSGGGDWHIAYVLLY
+GPRRVEIMEEESEQ
+>sp|Q32L63|VTA1_BOVIN Vacuolar protein sorting-associated protein VTA1 homolog OS=Bos taurus OX=9913 GN=VTA1 PE=2 SV=1
+MAALATLPPLPPQFKSIQHHLRTAQEHDKRDPVVAYYCRLYAMQTGMKIDSKTPECRKFL
+SRLMDQLEALKKQLGDNEAITQEIVGSAHLENYALKMFLYADNEDRAGRFHKNMIKSFYT
+ASLLIDVITVFGELTDENVKHRKYARWKATYIHNCLKNGETPQAGPVGIEEDNVDVEEYE
+DAGATSLPTQPLQPSSSTYDPSNMPSSSYTGIQIPPGAHAPANTPAEVPHSTGVTSNTIQ
+PTPQTIPAIDPALFSTVSQGDIRLTPEDFARAQKYCKYAGSALQYEDVSTAVQNLQKALK
+LLTTGRE
+>sp|A4FUD3|U5S1_BOVIN 116 kDa U5 small nuclear ribonucleoprotein component OS=Bos taurus OX=9913 GN=EFTUD2 PE=2 SV=1
+MDTDLYDEFGNYIGPELDSDEDDDELGRETKDLDEVDEDEDDDDVGDHDEDHPGMEVVLH
+EDKKYYPTAEEVYGPEVETIVQEEDTQPLTEPIIKPVKTKKFTLMEQTLPVTVYEMDSLA
+DLMDNSELIRNVTLCGHLHHGKTCFVDCLIEQTHPEIRKRYDQDLCYTDILFTEQERGVG
+IKSTPVTVVLPDTKGKSYLFNIMDTPGHVNFSDEVTAGLRISDGVVLFIDAAEGVMLNTE
+RLIKHAVQERLAVTVCINKIDRLILELKLPPTDAYYKLRHIVDEVNGLISMYSTDENLIL
+SPLLGNVCFSSSQYSICFTLGSFAKIYADTFGDINYQEFAKRLWGDIYFNPKTRKFTKKA
+PTSSSQRSFVEFILEPLYKILAQVVGDVDTSLPRTLDELGIHLTKEELKLNIRPLLRLVC
+KKFFGEFTGFVDMCVQHIPSPKVGAKPKIEHTYTGGVDSDLGEAMSDCDPDGPLMCHTTK
+MYSTDDGVQFHAFGRVLSGTIHAGQPVKVLGENYTLEDEEDSQICTVGRLWISVARYHIE
+VNRVPAGNWVLIEGVDQPIVKTATITEPRGNEEAQIFRPLKFNTTSVIKIAVEPVNPSEL
+PKMLDGLRKVNKSYPSLTTKVEESGEHVILGTGELYLDCVMHDLRKMYSEIDIKVADPVV
+TFCETVVETSSLKCFAETPNKKNKITMIAEPLEKGLAEDIENEVVQITWNRKKLGEFFQT
+KYDWDLLAARSIWAFGPDATGPNILVDDTLPSEVDKALLGSVKDSIVQGFQWGTREGPLC
+DELIRNVKFKILDAVVAQEPLHRGGGQIIPTARRVVYSAFLMATPRLMEPYYFVEVQAPA
+DCVSAVYTVLARRRGHVTQDAPIPGSPLYTIKAFIPAIDSFGFETDLRTHTQGQAFSLSV
+FHHWQIVPGDPLDKSIVIRPLEPQPAPHLAREFMIKTRRRKGLSEDVSISKFFDDPMLLE
+LAKQDVVLNYPM
+>sp|Q17QK1|RUN3A_BOVIN RUN domain-containing protein 3A OS=Bos taurus OX=9913 GN=RUNDC3A PE=2 SV=1
+MEASFVQTTMALGLSSKKASSRNVAVERRNLITVCRFSVKTLLEKYTTEPIDDSSEEFVN
+FAAILEQILSHRFKGPVSWFSSDGQRGFWDYIRLACSKVPNNCVSSIENMENISTARAKG
+RAWIRVALMEKRMSEYITTALRDTRTTRRFYDSGAIMMREEATVLTGMLIGLSAIDFSFC
+LKGEVLDGKTPVVIDYTPYLKFTQSYDYLTDEEERHSAESSTSEDNSPEHPYLPLVTDED
+SWYSKWHKMEQKFRIVYAQKGYLEELVRLRESQLKDLEAENRRLQLQLEEAAAQNQREKR
+ELEGVILELQEQLTGLIPGDHAPLAQGSKDLTTRLVNQWPSLGTLSGAEGANNPKLYRRH
+SFMSTEPLSAEASLSSDSQRLGEGKRDEEPWGPIGKDPTPSMLGLCGSLASIPSCKSLAS
+FKSNECLVSDSPEGSPALSPS
+>sp|Q5BIN2|SNF5_BOVIN SWI/SNF-related matrix-associated actin-dependent regulator of chromatin subfamily B member 1 OS=Bos taurus OX=9913 GN=SMARCB1 PE=2 SV=1
+MMMMALSKTFGQKPVKFQLEDDGEFYMIGSEVGNYLRMFRGSLYKRYPSLWRRLATVEER
+KKIVASSHGKKTKPNAKDHGYTTLATSVTLLKASEVEEILDGNDEKYKAVSISTEPPTYL
+REQKAKRNSQWVPTLPNSSHHLDAVPCSTTINRNRMGRDKKRTFPLCFDDHDPAVIHENA
+SQPEVLVPIRLDMEIDGQKLRDAFTWNMNEKLMTPEMFSEILCDDLDLNPLTFVPAIASA
+IRQQIESYPTDSILEDQSDQRVIIKLNIHVGNISLVDQFEWDMSEKENSPEKFALKLCSE
+LGLGGEFVTTIAYSIRGQLSWHQKTYAFSENPLPTVEIAIRNTGDADQWCPLLETLTDAE
+MEKKIRDQDRNTRRMRRLANTAPAW
+>sp|Q28039|SC6A9_BOVIN Sodium- and chloride-dependent glycine transporter 1 OS=Bos taurus OX=9913 GN=SLC6A9 PE=2 SV=1
+MAAAQGPVAPSSLEQNGAVPSEATKKDQNLKRGNWGNQIEFVLTSVGYAVGLGNVWRFPY
+LCYRNGGGAFMFPYFIMLIFCGIPLFFMELSFGQFASQGCLGVWRISPMFKGVGYGMMVV
+STYIGIYYNVVICIAFYYFFSSMTPVLPWTYCNNPWNTPDCMSVLDNPNITNGSQPPALP
+GNVSQALNQTLKRTSPSEEYWRLYVLKLSDDIGNFGEVRLPLLGCLGVSWVVVFLCLIRG
+VKSSGKVVYFTATFPYVVLTILFIRGVTLEGAFTGIMYYLTPQWDKILEAKVWGDAASQI
+FYSLGCAWGGLVTMASYNKFHNNCYRDSVIISITNCATSVYAGFVIFSILGFMANHLGVD
+VSRVADHGPGLAFVAYPEALTLLPISPLWSLLFFFMLILLGLGTQFCLLETLVTAIVDEV
+GNEWILQKKTYVTLGVAVAGFLLGIPLTSQAGIYWLLLMDNYAASFSLVIISCIMCVSIM
+YIYGHQNYFQDIQMMLGFPPPLFFQICWRFVSPAIIFFILIFSVIQYQPITYNQYQYPSW
+RVRIGFLMALSSVICIPLYALFQFCRTDGDTLLHRLKNATKPSRDWGPALLEHRTRRYAP
+TTTPSPEDGLEVQPLHPDKAQIPMVGSNGSSRFQDSRI
+>sp|Q2KJD0|TBB5_BOVIN Tubulin beta-5 chain OS=Bos taurus OX=9913 GN=TUBB5 PE=2 SV=1
+MREIVHIQAGQCGNQIGAKFWEVISDEHGIDPTGTYHGDSDLQLDRISVYYNEATGGKYV
+PRAILVDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELVDSVLDVV
+RKEAESCDCLQGFQLTHSLGGGTGSGMGTLLISKIREEYPDRIMNTFSVVPSPKVSDTVV
+EPYNATLSVHQLVENTDETYCIDNEALYDICFRTLKLTTPTYGDLNHLVSATMSGVTTCL
+RFPGQLNADLRKLAVNMVPFPRLHFFMPGFAPLTSRGSQQYRALTVPELTQQVFDAKNMM
+AACDPRHGRYLTVAAVFRGRMSMKEVDEQMLNVQNKNSSYFVEWIPNNVKTAVCDIPPRG
+LKMAVTFIGNSTAIQELFKRISEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVS
+EYQQYQDATAEEEEDFGEEAEEEA
+>sp|Q3MHQ4|SNAI2_BOVIN Zinc finger protein SNAI2 OS=Bos taurus OX=9913 GN=SNAI2 PE=2 SV=1
+MPRSFLVKKHFNASKKPNYSELDTHTVIISPCLYEGYPVPVIPQPEVLRSGAYSPIAVWT
+TASPFHAPLPAGLSPLSGYPASLGRVSPPPPSDTSSKDHSGSESPISDEEERLQSKLSDP
+HAIEAEKFQCNLCNKTYSTFSGLGKHKQLHCDAQSRKSFSCKYCDKEYVSLGALKMHIRT
+HTLPCVCKICGKAFSRPWLLQGHIRTHTGEKPFSCSHCSRAFADRSNLRAHLQTHSDVKK
+YQCKSCSKTFSRMSLLHKHEESGCCAAH
+>sp|Q3ZBE5|SLU7_BOVIN Pre-mRNA-splicing factor SLU7 OS=Bos taurus OX=9913 GN=SLU7 PE=2 SV=2
+MSAAAVDAANAAPLSGSKEMSLEEPKKMTREDWRKKKELEEQRKLGNAPAEVDEEGKDIN
+PHIPQYISSVPWYIDPSKRPTLKHQRPQPEKQKQYSSSGEWYKRGVKENSITTKYRKGAC
+ENCGAMTHKKKDCFERPRRVGAKFTGTNIAPDEHVQPQLMFDYDGKRDRWNGYNPEEHMK
+IVEEYAKVDLAKRTLKAQKLQEELASGKLVEQANSPKHQWGEEEPNSQTEKDHNSEDEDE
+DKYADDIDMPGQNFDSKRRITVRNLRIREDIAKYLRNLDPNSAYYDPKTRAMRENPYANA
+GKNPDEVSYAGDNFVRYTGDTISMAQTQLFAWEAYDKGSEVHLQADPTKLELLYKSFKVK
+KEDFKEQQKESILEKYGGQEHLDAPPAELLLAQTEDYVEYSRHGTVIKGQERAVACSKYE
+EDVKINNHTHIWGSYWKEGRWGYKCCHSFFKYSYCTGEAGKEIANSEECIINDATGEESV
+KKPQTLMEIHQEKLKEEKKKKKKKKRKHRKSSSESDDEEKKHEKLKKALNAEEARLLHVK
+EIMQIDERKRPYNSIYETREPTEEEMEAYRMKRQRPDDPMASFLGQ
+>sp|Q9GL77|S4A4_BOVIN Electrogenic sodium bicarbonate cotransporter 1 OS=Bos taurus OX=9913 GN=SLC4A4 PE=1 SV=1
+MEDEAVLDRGASFLKHVCDEEEVEGHHTIYIGVHVPKSYRRRRRHKRKTGHREKKEKERI
+SENYSDKSDVENADESSSSILKPLISPAAERIRFILGEEDDSPAPPQLFTELDELLAVDG
+QEMEWKETARWIKFEEKVEQGGERWSKPHVATLSLHSLFELRTCMEKGSIMLDREASSLP
+QLVEMIVDHQIETGLLKPDLKDKVTYTLLRKHRHQTKKSNLRSLADIGKTVSSASRMFTN
+PDNGSPAMTHRNLTSSSLNDISDKPEKDQLKNKFMKKLPRDAEASNVLVGEVDFLDSPFI
+AFVRLQQAVMLGALTEVPVPTRFLFILLGPKGKAKSYHEIGRAIATLMSDEVFHDIAYKA
+KDRQDLIAGIDEFLDEVIVLPPGEWDPAIRIEPPKSLPSSDKRKNMYSGGENVQMNGDTP
+PDGGHGGGGHADCEELQRTGRFCGGLIKDIKRKAPFFASDFYDALNIQALSAILFIYLAT
+VTNAITFGGLLGDATDNMQGVLESFLGTAVSGAIFCLFAGQPLTILSSTGPVLVFERLLF
+NFSKDHNFDYLEFRLWIGLWSAFLCLILVATDASFLVQYFTRFTEEGFSSLISFIFIYDA
+FKKMIKLADYYPINSNFKVGYNTQFSCVCMPPDPVNISVSNDTTLAPEDLPTISSSNMYH
+NATFDWAFLTTKECLKYGGKLVGNNCGFVPDITLMSFILFLGTYTSSMALKKFKTSPYFP
+TTARKLISDFAIILPILIFCVIDALVGVDTPKLIVPSEFKPTSPNRGWFVAPFGGNPWWV
+YLAAAIPALLVTILIFMDQQITAVIVNRKEHKLKKGAGYHLDLFWVAILMVVCSFMALPW
+YVAATVISIAHIDSLKMETETSAPGEQPKFLGVREQRVTGTLVFILTGLSVFMAPILKFI
+PMPVLYGVFLYMGVASLNGVQFMDRLKLLLMPLKHQPDFIYLRHVPLRRVHLFTFLQVLC
+LALLWILKSTVAAIIFPVMILALVAVRKGMDYLFSQHDLSFLDDVIPEKDKKKKEDEKKK
+KKKKGSVDSDNDDSDCPYSEKVPSIKIPMDIMEQQPFLSDSKPSDRERSPTFLERHTSC
+>sp|P51867|TNR6_BOVIN Tumor necrosis factor receptor superfamily member 6 OS=Bos taurus OX=9913 GN=FAS PE=2 SV=1
+MSGIWVHLSLIFISVSGPLSKGENAHMAGINSEGLKLNITEANSCQEGLYREHQFCCQPC
+PPGKRKNGDCKRDGDTPECVLCSEGNEYTDKSHHSDKCIRCSICDEEHGLEVEQNCTRTR
+NTKCRCKSNFFCNSSPCEHCNPCTTCEHGIIEKCTPTSNTKCKGSRSHANSLWALLILLI
+PIVLIIYKVVKSRERNKKNDYCNSAASNDEGRQLNLTDVDLGKYIPSIAEQMRITEVKEF
+VRKNGMEEAKIDDIMHDNVHETAEQKVQLLRNWYQSHGKKNAYCTLTKSLPKALAEKICD
+IVMKDITNERENANLQNENENLV
+>sp|Q0II86|SNP29_BOVIN Synaptosomal-associated protein 29 OS=Bos taurus OX=9913 GN=SNAP29 PE=2 SV=1
+MSAYPRSYNPFDEDAEDEDARPAPWSDSRDLADGPGAPADRQQALRQEVLRRAEATAAST
+GRSLSLMYESERIGVVSAEELVRQRGALERTEKMVDKMEQDLKTSQKHINSIKSVFGGLV
+NYFRSKPAETPSAQNGTLTPQPSGRLKDAINSSKEQEAQYQASHPNLRKLQDSDSIPGGA
+GSAVSSEAYPRNPHLRACHQRIDSNLDELSVGLGRLKDIALGIQTEIDEQDDILDRLTSK
+VDKLDVSITSTERKVRQL
+>sp|Q0V7M7|SKA1_BOVIN Spindle and kinetochore-associated protein 1 OS=Bos taurus OX=9913 GN=SKA1 PE=2 SV=2
+MASDLEQLCAHINEKIGNIKRTLSLRNCGQEPTLKTILNKIGDEIIVVNELLNKLELEIQ
+YQEQTNSSLKELFESLEEDYKDVEHLKENIPPHLPQVTVTQNFVNGSDLDPEEPVKVEEP
+APTKKPPKEQRSIKEMPFITSDEFNGIPAYMKSRLTYCHINDVIKEINKAVVSKYKILHQ
+PKKSMSSVARNLYHRFIDEETKETKGHYFVVEADIKEFTTLKVDKRFHGILNILRHCRRL
+SEVRGKGLTRYVIT
+>sp|P82649|RT22_BOVIN 28S ribosomal protein S22, mitochondrial OS=Bos taurus OX=9913 GN=MRPS22 PE=1 SV=2
+MATLRVSLSLWNLHAGSRGAGRVYFRARARPRPGDLFQPLPGVCGAGTPCRGLCSEAESG
+SPKIKKPTFMDEEVQSILIKMTGLDLLKIFKPAVQETKPPTYKLMTQAQLEEATRQAIEA
+AKVRLKMPPVLEERTPINDVLAEDKILEGTETGKYVFTDISYSIPHRERFIVVREPSGTL
+RKASWEERDRMIQIYFPKEGRRVLTPVIFREENLQTMYSQDRHVDVLNLCVAQFEPDSAD
+YIKVHHQTYEDIDKYGKYDLLRSTRHFGGMAWYFVNKKKIDGLLIDQIQRDLVDDAASLV
+QLYHILHPDGQSAQEAKEQAAEGLQLIKVFAKTEAQKGAYIELTLQAYQEAFISSSAAS
+>sp|Q3ZBU9|UBXN4_BOVIN UBX domain-containing protein 4 OS=Bos taurus OX=9913 GN=UBXN4 PE=2 SV=2
+MLWFQGAIPAAIASAKRSGAVFVVFVAGDDEQSTQMAASWEDEKVTEASSNSFVAIKIDT
+RSEACLQFSQIYPVVCVPSSFFIGDSGIPLEVIAGSISADELVTRIHKVRQMHSLKGEAS
+LANGSQSEGSVSTPSASFEHNNTSENCQSRNVELCETPSTSDTKSDSATGGESSGQTTVS
+QEPSGCSNQRPTEDLTVRVERLTKKLEERREEKRKEEEQREIKKEIERRKTGKEMLDYKR
+KQEEELTKRMLEERNREKAEDRAARERIKQQIALDRAERAARFAKTKEEVEAAKAAALLA
+KQAEMEIKRETSTKERSTVARIQFRLPDGSSFTNQFPSDAPLEEARQFAAQTVGNTYGNF
+SLATMFPRREFTKEDYKKKLLDLELAPSASVVLLPAGRPTTSMVHSSSGDFWTLLGTVLY
+PFLAIWRLISNFLFSNPPPAQTSVRAASLETSNLASSSNSEKREPVRKRVLEKRGEDFKK
+EGKIYRLRTQDDGEDENNTWNGNSTQQM
+>sp|A7Z052|WDR6_BOVIN WD repeat-containing protein 6 OS=Bos taurus OX=9913 GN=WDR6 PE=2 SV=1
+MDAHEDYVWPRATSELILLPVTGLECVGERLLAGEGPDVLVYTLDFGGHLRMMKRVQNLL
+GHYLIHGFRVRPEPNGDLDSEVMVAAFGSKGLRIVKISWGQGRFRELWRSGLWNMSDWIW
+DARWLEGNIALALGHNSVVLYDPVVGCSLQDVPCTDRCTLSSACLIGDTWKELTVVAGAV
+SNQLLVWYPAAALTDDKPVVPDRRVSGHVGVIFSMSYLESKGLLATASEDRSVRIWKVGD
+LRVPGGRVQNIGHCFGHSARVWQVKLLENYLISAGEDCVCLVWSHEGEILQAFRGHQGRG
+IRALAAHERQAWVITGGDDSGIRLWHLVGRGHPGSGVFALSFKSHSRPGVLKAVTLAGSW
+RVLAVTDAGALYLYDLEVKCWEQLLEDKRFQSYCLLEAAPGPEGFGLCALANGEGRVKVV
+PINTPTAAVDLTLFPGKVHSLSWALRGYEELLLLASGPGGVVACLEISAAPSGKAIFVKE
+RCRYLLPPSKQRWHTCSAFLPPGDFLVCGDRRGSVLLYPSRPDLLKDLGVVSKVGAITSA
+PGAGSGEGEPSLAEWGPMSTLPSLHGKQGVTSVTCHGGYVYTTGRDGSYYQLFVRGGQLQ
+PVLRQKPCRGMNWVAGVRMVADGNMVILGFHANEFVVWSPRSHEKLHIINCGGGHRSWAF
+SDTEAAMAFAYLKDGDVMLYRALGGCTRPHVILRESLHGREITCVKRVGSITLGPESGVP
+SFLQPDHLEPGEPVEPGSEGPGLIDIVITCSEDTTVCVLALPTATGSAHALTAVCNHISS
+VRAVAVWGVGTPGGPQDPQPGLTAHVVSAGGRAEMHCFTIMVTPDPSPPSRLACHVMHLS
+SHRLDEYWDRQRHRHRMIKVDPETRYMSLAVCELDRPGLGPLVAAACSDGAVRLFLLQDS
+GRRLQLLAETSHHKRCVLKVHAFTHEAPNRRRRLFLCSAATDGSLAFWDLTTMLDQDSPA
+LEAAADLGLPFQLGSPCLTVQAHSCGVNSLHTLPTREGHLVASGSEDGSLHVFVLAVEMP
+ELEEAVGGAELLPQLQVLEEYSVPCAHAAHVTGLKILSRSLMVSASIDQRLTFWRLGHGE
+PTFMNSTVYHVADVADMDCWPVSPEFGHRCALGGQGLEVYNWYD
+>sp|Q95107|WASL_BOVIN Neural Wiskott-Aldrich syndrome protein OS=Bos taurus OX=9913 GN=WASL PE=1 SV=1
+MSSGQQQPPPPRRVTNVGSLLLTPQENESLFTFLGKKCVTMSSAVVQLYAADRNCMWSKK
+CSGVACLVKDNPQRSYFLRIFDIKDGKLLWEQELYNNFVYNSPRGYFHTFAGDTCQVALN
+FANEEEAKKFRKAVTDLLGRRQRKSEKRRDPPNGPNLPMATVDIKNPEITTNRFYGPQIN
+NISHTKEKKKGKAKKKRLTKADIGTPSNFQHIGHVGWDPNTGFDLNNLDPELKNLFDMCG
+ISEAQLKDRETSKVIYDFIEKTGGVEAVKNELRRQAPPPPPPSRGGPPPPPPPPHSSGPP
+PPPARGRGAPPPPPSRAPTAAPPPPPPSRPGVGAPPPPPNRMYPPPLPALPSSAPSGPPP
+PPPPLSVSGSVAPPPPPPPPPPPGPPPPPGLPSDGDHQVPTPAGSKAALLDQIREGAQLK
+KVEQNSRPVSCSGRDALLDQIRQGIQLKSVTDAPESTPPAPAPTSGIVGALMEVMQKRSK
+AIHSSDEDEDEDDDEDFEDDDEWED
+>sp|A7Z056|UBP20_BOVIN Ubiquitin carboxyl-terminal hydrolase 20 OS=Bos taurus OX=9913 GN=USP20 PE=2 SV=1
+MGDSRDLCPHLDSIGEVTKEDLLLKSKSTCQSCGVSGPNLWACLQVSCSYVGCGESFADH
+STLHAQAKKHNLTVNLTTFRVWCYACEKEVFLEPRLAAHPPGPAPKFSEQDSPPPSHPLK
+AVPIAVADEGESESEDDDLKPRGLTGMKNLGNSCYMNAALQALSNCPPLTQFFLECGGLV
+RTDKKPALCKSYQKLVSEVWHRKRPSYVVPTSLSHGIKLVNPMFRGYAQQDTQEFLRCLM
+DQLHEELKEPVVATAAALTEARDSDSSDTDEKREGDRSPSEDEFLSCDSSSDRGEGDGQG
+RSGGGSQAEAELLMADEAGRAISEKERMKDRKFSWGQQRTNSEQVDEDADVDTAMAALEQ
+QPPETQPPSPRSTSPCRTPEPDNEAHMRSSRPCSPVHHHEGHAKLASSPHRASPVRMGPA
+YVLKKAQVPGSRRRKEQSYRSVISDIFDGSVLSLVQCLTCDRVSTTVETFQDLSLPIPGK
+EDLAKLHSAIYQNVPAKPGACGDSYVAQGWLAFIVEYIRRFVVSCTPSWFWGPVVTLEDC
+LAAFFAADELKGDNMYSCERCKKLRNGVKYCKVLRLPEILCIHLKRFRHEVMYSFKISSH
+VSFPLEGLDLRPFLAKECTSQITTYDLLSVICHHGTAGSGHYIAYCQNVINGQWYEFDDQ
+YVTEVHETVVQNAEAYVLFYRKSSEEAVRERQQVVSLAAMREPSLLRFYVSREWLNKFNT
+FAEPGPITNHTFLCSHGGIPPNKYHYIDDLVVILPQNVWEHLYSRFGGGPAVNHLYVCSI
+CQVEIEALAKRRRVEIDTFIKLNKAFQAEESPSVIYCISMQWFREWEAFVKGKDNEPPGP
+IDNSRIAQVKGSGHIQLKPGADYGQISEETWVYLNNLYGGGPEIAIRQSVAQLPDPESLH
+GEQKIEAETRAL
+>sp|Q0VD48|VPS4B_BOVIN Vacuolar protein sorting-associated protein 4B OS=Bos taurus OX=9913 GN=VPS4B PE=2 SV=1
+MASTPTNLQKAIDLASKAAQEDKAGNYEEALQLYQHAVQYFLHVVKYEAQGDKAKQSIRA
+KCTEYLDRAEKLKEYLKKREKKPQKPVKEGQPAPADEKGNDSDGEGESDDPEKKKLQNQL
+QGAIVIERPNVKWSDVAGLEGAKEALKEAVILPIKFPHLFTGKRTPWRGILLFGPPGTGK
+SYLAKAVATEANNSTFFSISSSDLVSKWLGESEKLVKNLFQLARENKPSIIFIDEIDSLC
+GSRSENESEAARRIKTEFLVQMQGVGVDNDGILVLGATNIPWVLDSAIRRRFEKRIYIPL
+PEAHARAAMFKLHLGTTQNSLTEADFRDLGKKTEGYSGADISIIVRDALMQPVRKVQSAT
+HFKKVRGPSRADPNNIVDDLLTPCSPGDPGAIEMTWMDVPGDKLLEPVVCMSDMLRSLSS
+TKPTVNEHDLLKLKKFTEDFGQEG
+>sp|Q0IIC4|ZMAT3_BOVIN Zinc finger matrin-type protein 3 OS=Bos taurus OX=9913 GN=ZMAT3 PE=2 SV=1
+MILLQHAGLPPPKRPSSSPPMSVAARSTGALQLPPQKPFGQEASLPLAGEEEPPKGGEQD
+TALEELCKPLYCKLCNVTLNSAQQAQAHYQGKNHGKKLRNYYAANSCPPPARMSNAVEAV
+AAPAVSVPPQMGSFKPGGRVILATENDYCKLCDASFSSPAVAQAHYQGKNHAKRLRLAEA
+QSNSFSDSSEVGQRRTRKEGNEYKMMPNRRNMYAVQNNSAGPYFNPRSRQRIPRDLAMCV
+TPSGQFYCSMCNVGAGEEVEFRQHLESKQHKSKVSEQRYRNEMENLGYV
+>sp|Q5EA11|TTC4_BOVIN Tetratricopeptide repeat protein 4 OS=Bos taurus OX=9913 GN=TTC4 PE=2 SV=2
+MEQQEPGATLDDGMDSFLEKFQSQPYRGGFHESQWEEEFEKIPLFMKNSPSEIDPLENPD
+LACLQSIIFDEERSPEDQARTYKDEGNDYFKEKDYKKAVISYTEGLKKKCADPDLNAVLY
+TNRAAAQYYLGNFRSSLNDVTAARKLKPCHLKAIIRGASCHLELKNYVEAVNWCDEGLQI
+DATEKKLLDLRAKADKLKRTEQRDVRKAKLKEKKQQDQNEALLQAIKARNIRLVAEAAGE
+DEDSASEGLSELVLYGLSSENPCGARLGVDDQGRLSWPVLFLYPEYAQSDLVSAFHEDSR
+FIDHLMVMFGETPSWDLEQKYCPDNLEVYFEDEDRAELYCVPPSSTLLQVLQHPRYFVKA
+LTPTFLVCVGSSGFCRNYLRGKKVHQVK
+>sp|P62326|TYB4_BOVIN Thymosin beta-4 OS=Bos taurus OX=9913 GN=TMSB4 PE=1 SV=2
+MSDKPDMAEIEKFDKSKLKKTETQEKNPLPSKETIEQEKQAGES
+>sp|Q0V7N0|VAMP1_BOVIN Vesicle-associated membrane protein 1 OS=Bos taurus OX=9913 GN=VAMP1 PE=3 SV=2
+MSAPAQPPTEGAEGAAPGGGPPGPPPNMTSNRRLQQTQAQVEEVVDIMRVNVDKVLERDQ
+KLSELDDRADALQAGASQFESSAAKLKRKYWWKNCKMMIMLGAICAIIVVVIVIYFFA
+>sp|Q0P5K3|UBE2N_BOVIN Ubiquitin-conjugating enzyme E2 N OS=Bos taurus OX=9913 GN=UBE2N PE=2 SV=1
+MAGLPRRIIKETQRLLAEPVPGIKAEPDESNARYFHVVIAGPQDSPFEGGTFKLELFLPE
+EYPMAAPKVRFMTKIYHPNVDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDP
+LANDVAEQWKTNEAQAIETARAWTRLYAMNNI
+>sp|Q0VCF3|SIKE1_BOVIN Suppressor of IKBKE 1 OS=Bos taurus OX=9913 GN=SIKE1 PE=2 SV=1
+MSCTIEKILTDAKTLLERLREHDAAAESLVDQSAALHRRVAAMREAGTALPDQYQEDASD
+IKDMSKYKPHILLSQENTQIRDLQQENRELWVSLEEHQDALELIMSKYRKQMLQLMVAKK
+AADAEPVLKAHQSHSAEIENQIDRICEMGEVMRKAVQMDDDQFCKIQEKLAQLELENKEL
+RELLSISSESLQVRKESSVDTASQGIK
+>sp|P16368|TIMP2_BOVIN Metalloproteinase inhibitor 2 OS=Bos taurus OX=9913 GN=TIMP2 PE=1 SV=2
+MGAAARSLPLAFCLLLLGTLLPRADACSCSPVHPQQAFCNADIVIRAKAVNKKEVDSGND
+IYGNPIKRIQYEIKQIKMFKGPDQDIEFIYTAPAAAVCGVSLDIGGKKEYLIAGKAEGNG
+NMHITLCDFIVPWDTLSATQKKSLNHRYQMGCECKITRCPMIPCYISSPDECLWMDWVTE
+KNINGHQAKFFACIKRSDGSCAWYRGAAPPKQEFLDIEDP
+>sp|A6H770|SI11A_BOVIN Small integral membrane protein 11A OS=Bos taurus OX=9913 GN=SMIM11A PE=3 SV=1
+MNWKVLEHFPLLLYILAAKTLILCLAFAGVKVYQRKRLEAKQQKVEAEKRKQAEKKES
+>sp|Q3ZBY2|TAGL3_BOVIN Transgelin-3 OS=Bos taurus OX=9913 GN=TAGLN3 PE=2 SV=1
+MANRGPSYGLSREVQEKIEQKYDADLENKLVDWIILQCAEDIEHPPPGRAHFQKWLMDGT
+VLCKLINSLYPPGQEPIPKISESKMAFKQMEQISQFLKAAEIYGVRTTDIFQTVDLWEGK
+DMAAVQRTLMALGSVAVTKDDGCYRGEPSWFHRKAQQNRRGFSEEQLRQGQNVIGLQMGS
+NKGASQAGMTGYGMPRQIM
+>sp|Q5E995|RS6_BOVIN 40S ribosomal protein S6 OS=Bos taurus OX=9913 GN=RPS6 PE=2 SV=1
+MKLNISFPATGCQKLIEVDDERKLRTFYEKRMATEVAADALGEEWKGYVVRISGGNDKQG
+FPMKQGVLTHGRVRLLLSKGHSCYRPRRTGERKRKSVRGCIVDANLSVLNLVIVKKGEKD
+IPGLTDTTVPRRLGPKRASRIRKLFNLSKEDDVRQYVVRKPLNKDGKKPRTKAPKIQRLV
+TPRVLQHKRRRIALKKQRTKKNKEEAAEYAKLLAKRMKEAKEKRQEQIAKRRRLSSLRAS
+TSKSESSQK
+>sp|Q58DR8|SUCA_BOVIN Succinate--CoA ligase [ADP/GDP-forming] subunit alpha, mitochondrial OS=Bos taurus OX=9913 GN=SUCLG1 PE=2 SV=3
+MTAALAAARAAATMASGSSGLAAARLLSRAFLLQQNGIRHCSYTTSRKHLYVDRNTKIIC
+QGFTGKQGTFHSQQALEYGTKLVGGTTPGKGGKTHLGLPVFNTVKEAKEQTGATASVIYV
+PPPFAVAAIDEAIEAEVSLVVCITEGIPQQDMVRVKHKLLRQGKTRLVGPNCPGVINPGE
+CKIGIMPGHIHKKGRVGIVSRSGTLTYEAVHQTTQVGLGQSLCVGIGGDPFNGTDFTDCL
+EIFLNDPATEGIILIGEIGGNAEENAAEFLKQHNSGPKAKPVVSFIAGLTAPPGRRMGHA
+GAIIAGGKGGAKEKIAALQSAGVVVSMSPAQLGTTMYKEFEKRKML
+>sp|Q3ZC04|RT63_BOVIN Ribosomal protein 63, mitochondrial OS=Bos taurus OX=9913 GN=MRPL57 PE=1 SV=1
+MFLTALLCRGRIPGRQWIGKHRRPRTVSALAKQNMIRRLEIEAENHYWLSRPFLTAEQER
+GHAAARRAAAFEALKAAQAAKFPAHRRLEDQLDHLNVTRKWS
+>sp|P01246|SOMA_BOVIN Somatotropin OS=Bos taurus OX=9913 GN=GH1 PE=1 SV=1
+MMAAGPRTSLLLAFALLCLPWTQVVGAFPAMSLSGLFANAVLRAQHLHQLAADTFKEFER
+TYIPEGQRYSIQNTQVAFCFSETIPAPTGKNEAQQKSDLELLRISLLLIQSWLGPLQFLS
+RVFTNSLVFGTSDRVYEKLKDLEEGILALMRELEDGTPRAGQILKQTYDKFDTNMRSDDA
+LLKNYGLLSCFRKDLHKTETYLRVMKCRRFGEASCAF
+>sp|Q32PA0|RU1C_BOVIN U1 small nuclear ribonucleoprotein C OS=Bos taurus OX=9913 GN=SNRPC PE=2 SV=2
+MPKFYCDYCDTYLTHDSPSVRKTHCSGRKHKENVKDYYQKWMEEQAQSLIDKTTAAFQQG
+KIPPTPFSAPPPAGAMIPPPPSLPGPPRPGMMPAPHMGGPPMMPMMGPPPPGMMPVGPAP
+GMRPPMGGHMPMMPGPPMMRPPARPMMVPTRPGMTRPDR
+>sp|P82918|RT18B_BOVIN 28S ribosomal protein S18b, mitochondrial OS=Bos taurus OX=9913 GN=MRPS18B PE=1 SV=2
+MAASVLNVLLRRLPYFSPFRGAYGVQVPLQTLCTKAPPEDDSLPPIPVSPYEDEPWKYLD
+SEEYHNRNGSRPVWADYRRNHKGGIPPQRTRKMCIRGNKVAGNPCPICRDQKLHVDFRNV
+KLLKQFVCAHTGIIFHAPYTGVCMKQHKKLTQAIQKARDHGLLSYHIPQVEPRDLDFSTS
+HGAVSATPPAPTLVSGDPWYPWYSWKQPPERELSRLRRLYQGHLREESGPPPESMPKVPL
+TAPNEATSTEQAGPQSAL
+>sp|P0C171|TIP39_BOVIN Tuberoinfundibular peptide of 39 residues OS=Bos taurus OX=9913 GN=PTH2 PE=1 SV=1
+METRQVSRSPRVRLLLLLLLLLVVPWGVRTASGVALPPVGVLSLRPPGRAWADPATPRPR
+RSLALADDAAFRERARLLAALERRHWLNSYMHKLLVLDAP
+>sp|Q5E9T9|RTCB_BOVIN tRNA-splicing ligase RtcB homolog OS=Bos taurus OX=9913 GN=RTCB PE=2 SV=1
+MSRSYNDELQFLEKISKNCWRIKKGFVPNMQVEGVFYVNDSLEKLMFEELRNACRGGGVG
+GFLPAMKQIGNVAALPGIVHRSIGLPDVHSGYGFAIGNMAAFDMNDPEAVVSPGGVGFDI
+NCGVRLLRTNLDESDVQPVKEQLAQAMFDHIPVGVGSKGVIPMNAKDLEEALEMGVDWSL
+REGYAWAEDKEHCEEYGRMLQADPNKVSARAKKRGLPQLGTLGAGNHYAEIQVVDEIFNE
+YAAKKMGIDHKGQVCVMIHSGSRGLGHQVATDALVAMEKAMKRDKIIVNDRQLACARIAS
+PEGQDYLKGMAAAGNYAWVNRSSMTFLTRQAFAKVFNTTPDDLDLHVIYDVSHNIAKVEQ
+HVVDGKERTLLVHRKGSTRAFPPHHPLIAVDYQLTGQPVLIGGTMGTCSYVLTGTEQGMT
+ETFGTTCHGAGRALSRAKSRRNLDFQDVLDKLADMGIAIRVASPKLVMEEAPESYKNVTD
+VVNTCHDAGISKKAIKLRPIAVIKG
+>sp|O62826|TRPC2_BOVIN Short transient receptor potential channel 2 homolog OS=Bos taurus OX=9913 GN=TRPC2 PE=2 SV=1
+MVILSLYLAAFTLRLLLAGLAHKHCRDAPDGAACHYFTSAERSEWRTEDPQFLAEVLFAV
+TSMLSFTRLASILPAHESLGTLQISMGRMIDDMIRFMFILMIILTAFLCGLNNIYVPYQE
+TERLGNFNETFQFLFWTMFGMEEHSVVDMPQFLVPEFVGRALYGIFTIVMVIVLLNMLIA
+MITNSFQKIEDAADVEWKFARSKLYLSYFREGLTLPVPFNILPSPKAIFYLLRRVFRFIC
+CCHFCCKTKKPDYPPIPTFANPGAGAGPGEGERGSYRLRVIKALVQRYIETAQREFEETR
+RKDLGNRLTELTKTVSRLQSEVAGVQRAVVEAGPRRPPGGASVLSRYITRVRNSFQNLGP
+PIPETPELTVPATVGTQESSEIGLPDAGGAQAPASGESGPSSPAHVLVHREQESEGAGDL
+PQEADLGAKEGT
+>sp|A7MB11|TGFA1_BOVIN Transforming growth factor-beta receptor-associated protein 1 OS=Bos taurus OX=9913 GN=TGFBRAP1 PE=2 SV=1
+MMSTKAFTLVPAIEREQLLSDRDRGLLECVECCGRNLYVGTSDCFVYHFLLEEKTLPGGS
+ATFTATRQLHRHLGFKKAVSELRAASALSRLLVLCDGCISLVHMLSLEPVPSGARIKGAT
+AFALNENPVSGDPFCVEVCIISVKRRTIQVFLVYEDRVQIVREVSTPEQPLAVAVDGHFL
+CLALTTQYIILNYSTGAAQDLFPFCSEERRPIVKRIGRQEFLLAGPGGLGMFATVAGISQ
+RAPVRWSENVIGAAVCFPYVVALDDEFITVHSMLDQQQKQTLPFKEGHILQDFEGRVIVA
+TSKGVYILVPLPLEKRIQDLLASHRVEEALVLAKGARRNIPKEKFQVMYRRILLQAGFIQ
+FAQLQFLKAKELFRSGQLDVRELISLYPLLLPTSSSFTRSHPPLHEFADLNQLTQGDQDK
+VAKCKRFLMSYLNEVRSTEVANGYKEDIDTALLKLYAEADHDSLLDLLVTENFCLLPDSA
+AWLEKHKKYFALGLLYHYNHQDAAAVQLWVSIVNGDIQDSTRSDLYEYIVDFLTYSTDPD
+LVWRHADWVLQRSQEVGVQVFTKRPLDEQQSGFNPDDIISCLKKYPQALVKYLEHLVTER
+RLQKEEYHTHLAVLYLDEVLQQRPCTPDKDAEVTETQAKLRRLLQESDLYRVHFLMDRTR
+GAGLPLESAILHGKLEQHEEALHILVHELADFPAAEDYCLWRSEGRDPPYRQRLFHLLLA
+VYLGPGPAAPARTVAAVDLLNRHAVEFDAAQVLQLLPGTWSVQLLRPFLMGAMRDSIHAR
+RTTQVAVGLARSENLIYKYDKMKLKGSSVRLSDEKLCQMCQNPFLEPVFVRYPNGGLVHT
+HCAASRHTEPSSPSAGART
+>sp|Q2KIN8|TF2B_BOVIN Transcription initiation factor IIB OS=Bos taurus OX=9913 GN=GTF2B PE=2 SV=1
+MASTSRLDALPRVTCPNHPDAILVEDYRAGDMICPECGLVVGDRVIDVGSEWRTFSNDKA
+TKDPSRVGDSQNPLLSDGDLSTMIGKGTGAASFDEFGNSKYQNRRTMSSSDRAMMNAFKE
+ITTMADRINLPRNIVDRTNNLFKQVYEQKSLKGRANDAIASACLYIACRQEGVPRTFKEI
+CAVSRISKKEIGRCFKLILKALETSVDLITTGDFMSRFCSNLCLPKQVQMAATHIARKAV
+ELDLVPGRSPISVAEAAIYMASQASAEKRTQKEIGDIAGVADVTIRQSYRLIYPRAPDLF
+PTDFKFDTPVDKLPQL
+>sp|Q2T9X7|UB2E3_BOVIN Ubiquitin-conjugating enzyme E2 E3 OS=Bos taurus OX=9913 GN=UBE2E3 PE=2 SV=1
+MSSDRQRSDDESPSTSSGSSDADQRDPAAPEPEEQEERKPSATQQKKNTKLSSKTTAKLS
+TSAKRIQKELAEITLDPPPNCSAGPKGDNIYEWRSTILGPPGSVYEGGVFFLDITFSSDY
+PFKPPKVTFRTRIYHCNINSQGVICLDILKDNWSPALTISKVLLSICSLLTDCNPADPLV
+GSIATQYLTNRAEHDRIARQWTKRYAT
+>sp|A4K436|RTEL1_BOVIN Regulator of telomere elongation helicase 1 OS=Bos taurus OX=9913 GN=RTEL1 PE=2 SV=1
+MPKITLKGVTVDFPFQPYKCQEEYMSKVLECLQEKVNGILESPTGTGKTLCLLCSTLAWR
+EHLRDAVSARRIAERASGELFPDRTLASWGNAIPEGDVPACYTDIPKIIYASRTHSQLTQ
+VISELRNTSYRPRVCVLGSREQLCIHPEVKKQESNHMQVHLCRRKVASRSCHFYNNVEEK
+SLEQELATPILDIEDLVRSGTKHKLCPYYLSRNLKQQADIIFMPYNYLLDAKSRRAHGID
+LKGTVVIFDEAHNVEKMCEEAASFDLTPHDVASELDVIDRVLEERTKVAQQAELHPEFSA
+DSARSGLNLEPEDLAKLKMILLRLEGAIDAVELPGDNSGVTKPGSYIFELFAEAQITFQT
+KGCILDSLDQLIQHLAGRAGLFTNTAGLQKLVDIIQIVFSVDSAEGDPGPMVGLASQSYK
+VHIHLDAGHRRTAQRSDVWNTTAARKPGKVLSYWCFSPGHSMRELVRQGVRTLILTSGTL
+APMASFSLEMQIPFPVCLENPHVINQHQIWVGVIPKGPDGAQLSSAFDRRFSDECLSSLG
+KVLSNISRVVPHGLLVFFPSYPVMEKSLEFWRARDFTRKLEVRKPLFVEPRSKGGFSEVM
+EAFYARVAAPESSGAIFLAVCRGKASEGLDFADVNGRGVIVTGLPYPPRMDPRVLLKMQF
+LDEMKAQSGAGGQFLSGHDWYRQQASRAVNQAIGRVIRHRHDYGAVFLCDHRFAHADTRA
+QLPSWVRPHVKVYDSFGHVIRDVAQFFRVAQKTMPEPAPRAAAPSLGEGGGIVSVSVSPG
+PLPTRKAMSLDVHVPSLRQRHTGSPVTKDTEGSLCVEYEQEPVRAQRRPAGLLAALGHNE
+QLAEGPGDEALPVEEACGCPTLLGPREKRPAEEQRGRRRKVRLVGSSEVPAASTDTGRAK
+LFMVAVKQALSQASFDTFTQALRDYKSSDDLEALVARLSPLFAEDPKKHSLLQGFYQFVR
+PHHKQQFEEVCLQLTGQGCSSPHKHGHPQRQGAQLALDSSGRKESDPKLTVSQGATRQLD
+PCEQLNQGRPHLASGPFPAGDLNCSLHKGSRAPGAEKQHPSTVSAYLADVRRTLGAAGYS
+QLLTALTTYKQDDDFEKVVAVVAALTTEKPEDLPLLQRFGMFVRPHHKQRFRQMCVDLSG
+PGTQAPGPQEGGPAMPSDPVCEAPSPGPRKTQSKISSFLRCQACWRQHLQVSRKCPGCCA
+ATRKQTLAQVFWPEPQ
+>sp|Q2KJG2|UFM1_BOVIN Ubiquitin-fold modifier 1 OS=Bos taurus OX=9913 GN=UFM1 PE=3 SV=1
+MSKVSFKITLTSDPRLPYKVLSVPESTPFTAVLKFAAEEFKVPAATSAIITNDGIGINPA
+QTAGNVFLKHGSELRIIPRDRVGHC
+>sp|Q0VCJ6|ZBT8A_BOVIN Zinc finger and BTB domain-containing protein 8A OS=Bos taurus OX=9913 GN=ZBTB8A PE=2 SV=1
+MEISSHQSHLLQQLNEQRRQDVFCDCSILVEGKVFKAHRNVLFASSGYFKMLLSQNSKET
+SQPTTATFQAFSPDTFTVILDFVYSGKLSLTGQNVIEVMSAASFLQMTDVISVCKTFIKS
+SLDISEKEKDRYFSLSDKDANSNGIERSSFYSSGWQDESSSPRSHLSPDQGTGIISGKSW
+SKYNYHPASQRNTQQPLTKHEQRKDSIKKAKHLRLSQPSEMTHYKSSKREARTSDSSSHA
+SQSEEQAQMNAEMDSTPVSYQYGQGSDVTSRSFPDDLPRMRFKCPYCTHVVKRKADLKRH
+LRCHTGERPYPCQACGKRFSRLDHLSSHFRTIHQACKLICRKCKRHVTGLTGQVVQEGTR
+RYRLCNECLAEVGIDSLPIDLEAEQHLMSPSDGDKDSRWHMGEDENRSYVEIVEDGSADL
+VIQQVDDSEEEEEKEIKPNIR
+>sp|Q3SWW8|TSP4_BOVIN Thrombospondin-4 OS=Bos taurus OX=9913 GN=THBS4 PE=2 SV=1
+MLAPRGATFLLLHLALQPWLGAGAQATPQVFDLLPSASQRLNPSVLQPILTDPTLNELYV
+ISTFKLQSKSSATIFGLYSSADHSKYFEFTVMGRLNKAILRYLKNDGRIHLVVFNNLQLA
+DGRRHRLLLRLTNLHRGAGSVELFLDCTRVDSIHNLPRAFSGLAQSPEAVELRTFQRKAH
+DSLEELKLVVRGSLIQVASLQDCFLQQSEPLATTNTGDFNRQFLGQMSQLNQLLGEVKDL
+LRQQVKETSFLRNTIAECQACGPLSFQSPTPNTLMPVVPAASPTPPVRRCDSNPCFRGVR
+CTDTRDGFQCGPCPEGYTGNGIVCSDVDECRYHPCYPGVRCVNLAPGFRCDACPVGFTGP
+MMQGVGISFAKTNKQVCTDIDECRNGACVLNSICINTLGSYRCGPCKPGYIGDQMRGCKM
+ERNCRDPELNPCSVNAQCIEERQGDVTCVCGVGWAGDGYICGKDVDIDSYPDEELPCSAR
+NCKKDNCKYVPNSGQEDADRDGIGDACDDDADGDGILNEQDNCVLTHNVDQRNSDKDIFG
+DACDNCRNVLNNDQKDTDGDGKGDACDDDMDGDGIKNILDNCQKVPNSDQEDRDGDGVGD
+ACDSCPEVSNPNQSDVDNDLVGDSCDTNQDSDGDGHQDSTDNCPTVINSAQLDTDKDGIG
+DECDDDDDNDGIPDLVPPGPDNCRLVPNPAQEDSNSDGVGDICEADFDQDQVIDRIDVCP
+ENAEVTLTDFRAYQTVVLDPEGDAQIDPNWVVLNQGMEIVQTMNSDPGLAVGYTAFNGVD
+FEGTFHVNTQTDDDYAGFIFGYQDSSSFYVVMWKQTEQTYWQATPFRAVAEPGIQLKAVK
+SKTGPGEHLRNSLWHTGDTSDQVRLLWKDSRNVGWKDKVSYRWFLQHRPQVGYIRVRFYE
+GSELVADSGVTIDTTMRGGRLGVFCFSQENIIWSNLKYRCNDTIPEDFQEFQTQNFDRLD
+K
+>sp|E1BB03|ZRAB3_BOVIN DNA annealing helicase and endonuclease ZRANB3 OS=Bos taurus OX=9913 GN=ZRANB3 PE=3 SV=3
+MSRGHNIKKSLTPQISCSTSESYKQLDFLPDKLRAKLLPFQKDGITFALRRDGRCMVADE
+MGLGKTVQAIGIAYFYKEEWPLLIVVPSSLRYPWTEEIEKWIPELSPEEINVIQNKTDVG
+RISTSKVTVLGYGLLTTDAETLIDALNNQNFKVVIVDESHYMKSRSATRSRILLPIVQKA
+KRAILLTGTPALGRPEELFMQIEALFPQKFGTWTEYAKRYCNAHVRYFGRRSQWDCRGAS
+NLNELHQLLSDIMIRRLKTEVLTQLPPKIRQRIPFDLPSAAAKELNSSFEEWEKLMRDPY
+SGATETVMGLITRMFKQTAIAKAGAVKDYIKMMLQNDSLKFLVFAHHLSMLQACTEAVIE
+NKTRYIRIDGSVPSSERIHLVNQFQKDPETRVAILSIQAAGQGLTFTAATHVVFAELYWD
+PGHIKQAEDRAHRIGQCSSVNIHYLIANGTLDTLMWGMLNRKAQVTGSTLNGRKEKLQAE
+EGDKEKWDFLQFAEAWTPNERSEELRDEMLFTHFEKEKQRDIRSFFLPNAKKRQLETSCD
+ESRVSQEKNTIVPADPVKTATRGDESDLEPEAKKLKSVAIDDPCRPPEEQPCRPGQAEAL
+LTFGICKAKAQATTPAFCGEGWQCAFCTYINNSVLPYCEMCENPRGGAVPQIDSLNQTQN
+KNKNEKDDSQDTSKKIQTSSDGEKQVLAHSTPEPLAKSKEEISTTESEDRLTPQPGDEQL
+KNWPVYDTLMFCASKNTDRIHVYTKDGNQMNCNFIPLDIKLDLWEDLPASFQLKQNRSLI
+LRFVREWSSLTAMKQKIIKKSGQLFRSPVLALEEIAKQQTKQNSTKRYITKEDVAAASMD
+KVKNDGGHVRLITKGPKPGDPSTKEFLEGGECVPFLNPCTAQGDLILKASTSKGYLQAVD
+NEGNPLCLRCQQPTCQTKQERKADAWDSRFCSLKCQEEFWIRSNNSYLRAKVFEIEHGVC
+QLCNLNAQELFLRLRDAPKSQRKSLLDATWTSKLPLEQLNEMIRSPGEGHFWQVDHIKPV
+SGGGGQCSLDNLQTLCTVCHRERTAQQAKERSQVRRQSLASNHGSDITRFLVKK
+>sp|Q70IB2|RNS10_BOVIN Inactive ribonuclease-like protein 10 OS=Bos taurus OX=9913 GN=RNASE10 PE=2 SV=2
+MKLTLVQIFFMMLLLLLGLGVGLGVGLQMAAAVLEESDQLLDEFLSSDSQDKAEATKEGL
+ASRSTETLLVSNKEVVQPEDTIISEDEVGGDRMLRAEVLLHSNKDYLRSDVMDRECNALM
+ALKVKSKDHTCIPQYIFIHEELDAVKAVCKSPAVACDLKGGKCHKSPRPFDLTFCKLSKS
+GQVIPHCNYVTFILEKYILMSCSDMKVQITS
+>sp|Q3B7M7|RMP_BOVIN Unconventional prefoldin RPB5 interactor OS=Bos taurus OX=9913 GN=URI1 PE=2 SV=1
+MEAPPDPRPHASAAAPLRAPEVARLREEQEKVVTNCQEKIQHWKKVDNDYNALQERLSTL
+PDKLSYNIMVPFGPFAFMPGKLVHTNEVTVLLGDNWFAKCSAKQAVGLVEHRKEHVRKTI
+DDLKKVMKNFESRVEFTEDLQKMSDAAGDIVDIREEIKTDFEFKAKHRIAHKPHSKPKTS
+DIFEAEFANDLKSKDLLADKELWDRLEELERQEELLGEIDIDSKPDTVIANGEDVSSEEE
+KEDQNINVNMMHQVTDSLALSSCYNSLTNSELFNGQVNSPLNYSVNGSSSYHSNEDDGDN
+NDDGGDSENDHDTLGVEDNSIPTIYFSHTVEPKRVRINTGKNTTLKFSEKKEEAKRKRKN
+SSGSGHSPQELPMIRTPADIYRVFVDVVNGEYVPRKSILKSRSRENSVCSDTSESSAADF
+DDRRGVLRSISCEEATCSDASESILEEEQENHQKKLLPLSVTPEAFSGTVIEKEFLSPSL
+TPHPAMAHPVLPTIPERKEVLSEVSEGTTKRVSKFKAARLQQKN
+>sp|Q76I81|RS12_BOVIN 40S ribosomal protein S12 OS=Bos taurus OX=9913 GN=RPS12 PE=2 SV=1
+MAEEGIAAGGVMDVNTALQEVLKTALIHDGLARGIREAAKALDKRQAHLCVLASNCDEPM
+YVKLVEALCAEHQINLIKVDDNKKLGEWVGLCKIDREGKPRKVVGCSCVVVKDYGKESQA
+KDVIEEYFKCKK
+>sp|P82927|RM42_BOVIN 39S ribosomal protein L42, mitochondrial OS=Bos taurus OX=9913 GN=MRPL42 PE=1 SV=2
+MALAAVKWAISSRTMLKHLFPVENGALYCVGHKSTYSSLPDDYNCKVELALTSDARTIVC
+YHPSVDIPYEHTKPIPRPDPVHNNEETHDLVLKTRLEEKSEHLEQGPMIEQLSKMFFTTK
+HRWYPRGQYHRRRRKLNPPKDR
+>sp|Q32LJ4|TPRGL_BOVIN Tumor protein p63-regulated gene 1-like protein OS=Bos taurus OX=9913 GN=TPRG1L PE=2 SV=2
+MLQLRDSVDSAGTSPTALLAAGEEVGPGSGGGGGGGGRPGVGTPLRQTLWPLSVHDPTRR
+ARVKEYFVFRPGTIEQAVEEIRVVVRPVEDGDIQGVWLLTEVDHWNNEKERLVLITDQAL
+LICKYDFISLQCQQVVRVALNAVDTISYGEFQFPPKSLNKREGFGIRIQWDKQSRPSFIS
+RWNPWSTSVPYTTFIEHPMAGVDEKTASLCQLDGFKALLIQAVKKAQKESPLPGQEGGVL
+VLECPLLIETYVGLMSFINNEAKLGYSMTRGKIGF
+>sp|Q08E36|TM198_BOVIN Transmembrane protein 198 OS=Bos taurus OX=9913 GN=TMEM198 PE=2 SV=1
+MPGTVATLRFQLLPPEPDDAFWGAPCEQPLERRYEALPALVCIMCCLFGVVYCFFGYRCF
+KAVLFLTGLLFGSVVIFLLCYRERVLETQLSAGASAGIALGIGLLCGLVAMLVRSVGLFL
+VGLLLGLLLAAAALLGSAPYYQPGSVWGPLGLLLGGGLLCALLTLRWPRPLTTLATAVTG
+AALIATAADYFAELLLLARYAVERLRAAPVPPLCWRSWALLALWPLLSLMGVLVQWRVTT
+ERDSHTEVVISRQRRRVQLMRIRQQEERKEKRRKKRPPRALPRGSRAPPRPGPPDPAYRR
+RPVPIKRFNGDILSPSYIQSFRDRQTGSSLSSFMASPTDADYEYGSRGPLTACSGPPVRV
+>sp|Q3ZBZ8|STIP1_BOVIN Stress-induced-phosphoprotein 1 OS=Bos taurus OX=9913 GN=STIP1 PE=2 SV=1
+MEQVNELKEKGNKALSAGNIDDALQCYSEAIKLDPQNHVLYSNRSAAYAKKGDYQKAYED
+GCKTVDLKPDWGKGYSRKAAALEFLNRFEEAKQTYEEGLKHEANNPQLKEGLQNMEARLA
+ERKFMNPFNMPNLYQKLESDPRTKTLLADPTYRELIEQLRNKPSDLGTKLQDPRIMTTLS
+VLLGVDLGSMDEEEEVATPPPPPPPKKETKPEPMEEDLPENKKQALREKELGNEAYKKKD
+FDTALKHYDKAKDLDPTNMTYITNQAAVYFEKGDYGQCRELCEKAIEVGRENREDYRQIA
+KAYARIGNSYFKEEKYKDAIHFYNKSLAEHRTPDVLKKCQQAEKILKEQERLAYINPDLA
+LEEKNKGNECFQKGDYPQAMKHYTEAIKRNPKDAKLYSNRAACYTKLLEFQLALKDCEEC
+IQLEPTFIKGYTRKAAALEAMKDYTKAMDVYQKALDLDSNCKEAADGYQRCVMAQYNRHD
+SPEDVKRRAMADPEVQQIMSDPAMRLILEQMQKDPQALSEHLKNPVIAQKIQKLMDVGLI
+AIR
+>sp|A6QQS3|SPERT_BOVIN Spermatid-associated protein OS=Bos taurus OX=9913 GN=SPERT PE=2 SV=2
+MSPLECSECFGDQLLHRTYTWHLTLHSRPNFTRKRDTRSESLEIPINVVLPQRGTAEPFL
+RLHNLYPTPRCARQAALPRLSRRVVSQHSYPLNRFSSVPLDPMERPTSQADLELDYNPPR
+VQLSDEMFVFQDGRWVSENCRLQSPYFSPSSSFHHKLHHKRLAKECLLQENKTLREENRA
+LREENRMLRKENKILQVFWEEHQAALGRDDSRASSPLLHKDNASSLEAMKKETALQAHRG
+RENSTLQLLREENRALQQLLEQRKAYWAQPDEKAASTEEIKPISSPHEEPHGLLPDPGPG
+LPSPFEEPKGLPAPPDDSKTLRALREMVSTLSAQPGEEVGKGGPGLPDGSQSLELLREMN
+QALQALREENQSLQVLRDENRLLQEENRALHALREEHRLFQEENKALWENNKLKLQQKLV
+IDTVTEVTARMEMLIEELYAFMPAKSKDPKKPSRV
+>sp|Q70D51|SIAT9_BOVIN Lactosylceramide alpha-2,3-sialyltransferase OS=Bos taurus OX=9913 GN=ST3GAL5 PE=2 SV=2
+MRKKAAGGAERRPLKPRTEAAAAAPAGRAMPSDHSRMKLRRDCSRPSLQWYTRAQNKMRR
+PNLLLKDILKCTLLLFGVWILFYILKLNHTTEECDMKRMPYMDPDRIKRAQQYAQQVLQK
+ECRPQFAKRSMAQLFGSRYSLDLPPFVTKVPAESEAEYKYDPPFGFRKFSGKVQTLLELL
+PEHDFPEHLRAKSCKHCVVIGSGGILHGLEMGHALNQFDVVIRLNNAPVEGYSEHVGNKT
+TIRMTYPEGAPLSDLEYYSSDLFVTVLFKSVDFNWLQAMVKNETLPFWVRLFFWKQVAEK
+IPLQPKQFRILNPVIIKETAFDILQYSEPQSRFWGRDKNVPTIGVIAVVLATHLCDEVSL
+AGFSYALNQPRTPLHYFDNLCMAAMNFQTMHNVTTETNFLLKLVREGVVRDLSGGIHSEF
+>sp|Q5E9L6|STK4_BOVIN Serine/threonine-protein kinase 4 OS=Bos taurus OX=9913 GN=STK4 PE=2 SV=1
+METVQLRNPPRRQLKKLDEDSLTKQPEEVFDVLEKLGEGSYGSVYKAIHKETGQIVAIKQ
+VPVESDLQEIIKEISIMQQCDSPHVVKYYGSYFKNTDLWIVMEYCGAGSVSDIIRLRNKT
+LTEDEIATILQSTLKGLEYLHFMRKIHRDIKAGNILLNTEGHAKLADFGVAGQLTDTMAK
+RNTVIGTPFWMAPEVIQEIGYNCVADIWSLGITAIEMAEGKPPYADIHPMRAIFMIPTNP
+PPTFRKPELWSDSFMDFVKQCLVKSPEQRATATQLLQHPFVKSAKGVSILRDLINEAMDV
+KLKRQEAQQREVDQEEEENSEEDELDSGTMVRAAGDEMGTVRVASSMSDGANTMIEHDDT
+LPSQLGTMVINTEDEEEEGTMKRRDETMQPARPSFLEYFEQKEKENQINSFGKSVPGPLQ
+NSSDWKVPQDGDYEFLKSWTVEDLQKRLLALDPMMEQEIEEIRQKYQSKRQPILDAIEAK
+KRRQQNF
+>sp|Q2HJE9|TI17B_BOVIN Mitochondrial import inner membrane translocase subunit Tim17-B OS=Bos taurus OX=9913 GN=TIMM17B PE=2 SV=1
+MEEYAREPCPWRIVDDCGGAFTMGVIGGGVFQAIKGFRNAPVGMRHRLRGSVNAVRIRAP
+QIGGSFAVWGGLFSTIDCGLVRLRGKEDPWNSITSGALTGAVLAARSVPLAMVGSAMMGG
+ILLALIEGVGILLTRYTAQQFRNAPPFLEDPGQLPSKEGTPGPGYPSYQQYH
+>sp|Q0P5H9|TTC5_BOVIN Tetratricopeptide repeat protein 5 OS=Bos taurus OX=9913 GN=TTC5 PE=2 SV=1
+MMAVEEEEVKEVLQKLQELVDQLYSFRECYFETHSVDDAGRKQQDVREEMEKTLQQMEEV
+VGSVQGNAQVLMLTGKALNVTPDYSPKAEELLSKAVKLEPKLVEAWNQLGEVYWKKGDVA
+AAHTCFSGALTHCKNKVSLQNLSMVLRQLRTDSGDEHSRHVMDSVRQAKLAVQMDILDGR
+SWYILGNAYLSLYFNTGQNPKISQQALSAYAQAEKVDRTASSNPDLHLNRATLHKYEENY
+GEALEGFSRAAALDPAWPEPWQREQQLLDFLTRLTSFLESKGKVKTKKLQSMLGNLRPAH
+LGPCGDGRYQSASGQKVTLERKPLNALQPGVNSGAVVLGKVVFSLTTEEKVPFTFGLVDS
+DGPCYAVMVYNMVQSWGVLIGDSVAIPEPNLRLHRIQHKGKDYSFSSVRVETPLLLVVNG
+KPQGSSSQAAATVASRPQCE
+>sp|Q17QX2|ZGPAT_BOVIN Zinc finger CCCH-type with G patch domain-containing protein OS=Bos taurus OX=9913 GN=ZGPAT PE=2 SV=1
+MDEESLQTALRTYDAQLQQVELALGAGLDPSELADLRQLQGDLKELIELTEASLVSVRKS
+KLLAALDGERPAQEDAEPLALQNAIAETAEVPVAPGAELETVPSRETGPGPTERGQEEDD
+GEDEEGGAALSGRKVNAPYYSAWGTLEYHNAMIVGTEEADDGSPGVRVLYLYPTHKSLKP
+CSFFLEGKCRFQENCRFSHGQVVSVDELRPFQDPDLSSLQAGSACLAKRQDGLWYPARIT
+DVDSGYYTVKFDSLLLKETVVEGDSILPPLRTEPAGSSDSDGSDADDPSYARVVEPGAAN
+PGTCSSAFAGWEVHTRGIGSRLLAKMGYEFGKGLGRHAEGRVEPVHAVVLPRGKSLDQCA
+EILQKRTRAGQAGVSKPPKCRSRGSGPGGRPPPRSVFDFLNEKLKGGAPGAPEVGAAPPG
+RSGKEVYHASRSTKRALSLRLLQTEEKIEQTQRAIRGIQEALARNAGRHSVTTAQLQEKL
+AGAQQQLGQLRAQEAGLQREQRKADTHKKMTEF
+>sp|A6QNZ0|ZSC26_BOVIN Zinc finger and SCAN domain-containing protein 26 OS=Bos taurus OX=9913 GN=ZSCAN26 PE=2 SV=1
+MAIAWGTVPSLAPVNLKKEGLQVVKEDHLSAREQGVKLQGNGTGFRQEPLCKRFRQLRYE
+ETTGPREALSRLRELCRQWLQPETHTKEQILELLVLEQFLTILPEELQARLREHHLESGE
+DAVVFLEDLQLELGGTGQQEDPNQAKKQEVLMEETAPGKATPERQVQPEGDVPQPEREKG
+EAKRIENGKLVVETDSCGRVESSGKPFEPMEVHYKDSNLDRQQAEPKEKTDCKCSEYGQA
+FFQHSDLIKHESSHRKEKLCEAEVCQSLSLPGHQKICSREKGHQCHECGKAFQRSSHLVR
+HQKIHLGEKPYQCKECGKVFSQNAGLLEHLRIHTGEKPYLCIHCGKNFRRSSHLNRHQRI
+HSQEEPCQCKECGKTFSQALLLTHHQRIHSHSRSHQCNECGKTFSLTSDLIRHHRIHTGE
+KPFKCTICQKAFRLNSHLAQHVRIHNEEKPYKCNECGEAFRQRSGLFQHQRYHHKNRLA
+>sp|Q0VC80|YRDC_BOVIN YrdC domain-containing protein, mitochondrial OS=Bos taurus OX=9913 GN=YRDC PE=2 SV=1
+MSPARPCRGLRAVVAASMGLSEGPAGSARSGRLLRSPSPTPGARLLRLPGSGAGRAANPE
+RGGWTEALRAAVAELRAGAVVAVPTDTLYGLACSASCSEALGAVYRVKGRSETKPLAVCL
+GRVADVYRYCHVRVPEGLLKDLLPGPVTLVMERSEELNKDLNPFTPLVGIRIPDHAFMQD
+LAQMFGGPLALTSANLSSQSSSLNVEEFQDLWPHLSLIIDGGPIGDGQSPECRLGSTVVD
+LSVPGKFGIIRPGCALESTSAILQEYGLLPSHGSCW
+>sp|Q3ZBR5|TTC1_BOVIN Tetratricopeptide repeat protein 1 OS=Bos taurus OX=9913 GN=TTC1 PE=2 SV=1
+MGEKSNCRVPEDLFTGLKVTDPQEAECLHPPVSSGKEQHSQSELLKDVDAQPQEDQGEEE
+CFHDASASFETEEPGADKLENKPEDDMNPSELDEEYLMELEKNMPDEEKKRRREESSRLK
+EEGNEQFKKGDYIEAESSYTRALQTCPSCFQKDRSVLFSNRAAARMKQEKKEMAISDCSK
+AIQLNPSYIRAILRRAELYEKTDKLDEALEDYKSILEKDPSVHQAREACMRLPKQIEERN
+ERLKEEMLGKLKDLGNLVLRPFGLSTENFQIKQDSSTGSYSINFVQNPNNNR
+>sp|Q32LB7|VATE2_BOVIN V-type proton ATPase subunit E 2 OS=Bos taurus OX=9913 GN=ATP6V1E2 PE=2 SV=1
+MALSDVDVQKQIKHMMAFIEQEANEKAEEIDAKAEEEFNIEKGRLVQTQRLKIMEYYEKK
+EKQIEQQKKIQMSTLRNQARLKVLRARNDLISELLNDAKLRLSRIVTDPEFYQGLLDKLV
+LQGLLRLLEPVVIVRCRPQDHFLVEAAVQRAIPQYTAVSHRCVEVQVDKEVQLATDTTGG
+VEVYSSDQRIMVSNTLESRLDLLSQQKMPEIRKALFGANANRKFFV
+>sp|A2I7N0|SPA34_BOVIN Serpin A3-4 OS=Bos taurus OX=9913 GN=SERPINA3-4 PE=3 SV=1
+MRAERLSPLLALGLLVAGIRSVHCLPENVVVKDRHRRVDGHTLASSNTDFAFSLYKQLAL
+KDPNKNVMFSPLSVSMALAFLSLGARGPTLTEILEGLKFNPTEIQETQIHQGFQHLLQAL
+NRPRNQLQLSVGNAMFVQEELKLLDKFIEDARVLYSSEAFPTNFRDPEAAKSLINDYVKN
+KTQGKIEELFKDLSPRTELVLVNYVYFKAQWKTRFDPKHTEQAEFHVSDNKTVEVPMMTL
+DLETPYFRDEELGCTLVELTYTSNDSALFILPDKGKMQDLEAKLTPEMLTRWRNSLQPRR
+IHELYLPKFSIKSNYELNDTLSQMGIKKIFTDADLSGITGTADLVVSQVVHGAALDVDEE
+GTEGAAATGIGIERTFLRIIVRVNRPFLIAVVLKDTQSIIFLGKVTNPSEA
+>sp|Q1JQA7|TIGAR_BOVIN Fructose-2,6-bisphosphatase TIGAR OS=Bos taurus OX=9913 GN=TIGAR PE=2 SV=1
+MTRFALTVVRHGETRLNKEKIIQGQGIDEPLSETGFKQAAAAGIFLKDVKFTHVFSSDLT
+RTKQTVHGILEKSKFCKDMTVKYDSRLRERKYGVAEGRPLSELRAMAKAAGEECPAFTPP
+GGETLDQLKRRGKDFFEFLCQLILKEAGQNEQFSQEAPSSCLESSLAEIFPLGKNCASTF
+NSDSGTPGLAASVLVVSHGAYIRSLLDYFLTDLKCSFPATLSRSELTSVSPNTGMTVFIL
+NFEKGGKGRPTAQCVCVNLQGHLAGVNKTP
+>sp|P01250|THP2_BOVIN Thymopoietin-2 OS=Bos taurus OX=9913 PE=1 SV=1
+PEFLEDPSVLTKEKLKSELVANNVTLPAGEQRKDVYVELYLQSLTALKR
+>sp|A5PJK0|SPB10_BOVIN Serpin B10 OS=Bos taurus OX=9913 GN=SERPINB10 PE=2 SV=1
+MDFLAKSINQFALEFSKKLAESAEGKNIFFSPWGISASLAMVYLGTKGTTAAQMAQVLQF
+SRDQDSKFCPDSEKKRKMEFNVGKPEEIYSDFQTLISEINSSSHACILKTANRIYGEKTF
+PFHKKYLEDVKTYFGAEPQSVNFIGASDQIRKEINSWVEKQTEGKILNLLPDDAVDPTTR
+MVLVNALYFKGVWEHQFLVQNTTEKSFKINKTTSKPVQMMSMKEKLQVFYIESPQAMGLQ
+LYYESRDLSLLILLPEDVDGLDQLEKTITYEKLSEWTSADMMELCNVQLNLPKFKLEETY
+DLKSTLSSMGMSDAFNQSKADFSGMSSERNLFLSNVFHKCFVEINEQGTEAAAGTGSEVS
+VRMKLPSIEFNADHPFLFFIRHNKTNGILFYGRFCSP
+>sp|A3KMV5|UBA1_BOVIN Ubiquitin-like modifier-activating enzyme 1 OS=Bos taurus OX=9913 GN=UBA1 PE=2 SV=1
+MSSSPLSKKRRVSGPDPKPGSNCSPAHSVLSEVPSVPANGMAKNVSDADIDEGLYSRQLY
+VLGHEAMKRLQTSSVLVSGLRGLGVEIAKNIILGGVKAVTLHDQGTAQWADLSSQFYLRE
+EDIGKNRAEVSQPRLAELNSYVPVSAYTGPLVEDFLSDFQVVVLTNSPLEDQLRVGEFCH
+SHGIKLVVADTRGLFGQLFCDFGEEMILTDSNGEQPLSAMVSMVTKDNPGVVTCLDEARH
+GFESGDFVSFSEVQGMIELNGSQPMEIKVLGPYTFSICDTSNFSDYIRGGIVSQVKVPKK
+ISFKSLPASLAEPDFVMTDFAKYSRPAQLHIGFQALHHFCAQHGRSPRPHNEEDAAELVT
+IAQAVNARSLPAVQQGSLDEDLIRKLAYVAAGDLAPINAFIGGLAAQEVMKACSGKFMPI
+MQWLYFDALECLPEDKEALTEDKCLPRQNRYDGQVAVFGSDLQERLGKQKYFLVGAGAIG
+CELLKNFAMIGLGCAEDGEIVVTDMDTIEKSNLNRQFLFRPWDVTKLKSDTAAAAVRQMN
+PHIRVTSHQNRVGPDTERIYDDDFFQNLDGVTNALDNVDARMYMDRRCVYYRKPLLESGT
+LGTKGNVQVVIPFLTESYSSSQDPPEKSIPICTLKNFPNAIEHTLQWARDEFEGLFKQPA
+ENVNQYLTDPKFVERTLRLAGTQPLEVLEAVQRSLVLQRPQTWADCVTWACHHWHTQYSN
+NIRQLLHNFPPDQLTSSGAPFWSGPKRCPHPLTFDVSNPLHLDYVIAAANLFAQTYGLTG
+SQDRAAVATLLQSVQVPEFTPKSGVKIHVSDQELQSANASVDDSRLEELKATLPSPEKLP
+GFKMYPIDFEKDDDTNFHMDFIVAASNLRAENYDIPPADRHKSKLIAGKIIPAIATTTAA
+VVGLVCLELYKVVQGHRQLNSYKNGFLNLALPFFGFSEPLAAPRHQYYNQEWTLWDRFEV
+QGLQPNGEEMTLKQFLDYFKTEHKLEITMLSQGVSMLYSFFMPAAKLKERLDQPMTEIVS
+RVSKRKLGRHVRALVLELCCNDESGEDVEVPYVRYTIR
+>sp|E1B9W9|UBP42_BOVIN Ubiquitin carboxyl-terminal hydrolase 42 OS=Bos taurus OX=9913 GN=USP42 PE=3 SV=1
+MTIVDKASESSDPSTYQNQPGSSEAVSPGDMDAGSASWGAVSSLNDVSNHTLSLGPVPGA
+VVYSSSSVPEKSKPSPQKDQALGDGIAPPQKVLFPSEKICLKWQQTHRVGAGLQNLGNTC
+FANAALQCLTYTPPLANYMLSHEHSKTCHAEGFCMMCTMQAHITQALSNPGDVIKPMFVI
+NEMRRIARHFRFGNQEDAHEFLQYTVDAMQKACLNGSNKLDRHTQATTLVCQIFGGYLRS
+RVKCLNCKGVSDTFDPYLDITLEIKAAQSVNKALEQFVKPEQLDGENSYKCSKCKKMVPA
+SKRFTIHRSSNVLTLSLKRFANFTGGKIAKDVKYPEYLDIRPYMSQPNGEPIIYVLYAVL
+VHTGFNCHAGHYFCYIKASNGLWYQMNDSIVSTSDIRSVLSQQAYVLFYIRSHDVKNGGE
+LTHSAHSPGQSSPRPVISQRVVNSKQAASGFIGPQLPSHMMKNPPHLNGTGPLKETPSSP
+MSGPSGNSSVSRTGPVSASPSVQNWSVNRPSVIPEHPKKQKITISIHNKLPVRQGQSQSN
+LHSNSLEHPNKPAPSSTITTSSAIQSTSSAPTPPASSKVPKQMAPREACSRPMMNGRPRL
+SAGVLVPYGAESSEESDEEAKGLGQENGRGLTESACSPALDAEDGDASPHELQEPVALNG
+ATGLDSDPTENGLPSDGAPCPGQPALHSEHPFPKANGLPGKLMPAPLPPLPEDKILETFK
+LGSKAKGSAEETRTPGSEERPLEHPEAELEAGRSPPGDARDNLESVSNLSSKFKKASPPS
+DPSIKPTKEEVSERVSAEPEEAVPSASTFCNPDKDALGDDQLLAPCDPGNLTDDQSKPSP
+DVGGTLPERPQDPVAAEAAGRLSPGPSVPSEGDHEPEPLGHSGRDRGSDAEGPSKSMGVS
+TDEAPSAQLDTITENRPEGPPERSSSERGEDSKAGQKAPEPCLVQEKVSSLRKVDRGHYR
+SRRDRSSSGEHARESRSRTEVQHRRKRPHREHERPRPERPRPEPCALAPQPPCPSSLARS
+GHHHSRSRGAPDQEWGRYHHAEGDHAWTREKYYPDRLRWERCRYHHDRSPLYPSREPRDW
+RPFHAEREYERAGPYGGRPYKDHYRGRKGYELVAKERDRHRFSSPRAGMAHAPPPHPAAK
+YTHDRLSFGAEDGSCDLAARFHEHDNIKSRKRRYDSLENESHVEKKAWRSLQKDPVEEPK
+VKKHKKSKKKKKSKDKHRDRDSRHQQDSDLSVAHSDADLHRHKKKKKKKKRHSRKSEDFG
+RDSEPRLPKAASCETVDHFRKAEGAFPLADGLPLEGAAPFCEKTKHFRMESREVRCRLSQ
+CDQGKGARWESGS
+>sp|A5D785|XPO2_BOVIN Exportin-2 OS=Bos taurus OX=9913 GN=CSE1L PE=2 SV=1
+MELSDANLQTLTEYLKKTLDPDPAIRRPAEKFLESVEGNQNYPLLLLTLLEKSQDNVIKV
+CASVTFKNYIKRNWRIVEDEPNKICEADRVAIKANIVHLMLSSPEQIQKQLSDAISIIGR
+EDFPQKWPDLLTEMVNRFQSGDFHVINGVLRTAHSLFKRYRHEFKSNELWTEIKLVLDAF
+ALPLTNLFKATIELCSTHANDASALRILFSSLILISKLFYSLNFQDLPEFFEDNMETWMN
+NFHTLLTLDNKLLQTDDEEEAGLLELLKSQICDNAALYAQKYDEEFQRYLPRFVTAIWNL
+LVTTGQEVKYDLLVSNAIQFLASVCERPHYKNLFEDQNTLTSICEKVIVPNMEFRAADEE
+AFEDNSEEYIRRDLEGSDIDTRRRAACDLVRGLCKFFEGPVTGIFSGYVNSMLQEYAKNP
+SVNWKHKDAAIYLVTSLASKAQTQKHGITQANELVNLTEFFVNHILPDLKSANVNEFPVL
+KADGIKYITIFRNQVPKEHLLVSIPLLINHLQAESIVVHTYAAHALERLFTMRGPNSATL
+FTAAEIAPFVEILLTNLFKALTLPGSSENEYIMKAIMRSFSLLQEAIIPYIPTLITQLTQ
+KLLAVSKNPSKPHFNHYMFEAICLSIRITCKSNPAAVVNFEEALFLVFTEILQNDVQEFI
+PYVFQVMSLLLETHKNDIPSSYMALFPHLLQPVLWERTGNIPALVRLLQAFLERGSNTIA
+SAAADKIPGLLGVFQKLIASKANDHQGFYLLNSIIEHMPPESVDQYRKQIFILLFQRLQN
+SKTTKFIKSFLVFINLYCIKYGALALQEIFDGIQPKMFGMVLEKIIIPEIQKVSGNVEKK
+ICAVGITKLLTECPPMMDTEYTKLWTPLLQSLIGLFELPEDDTIPDEEHFIDIEDTPGYQ
+TAFSQLAFAGKKEHDPVGQMVNNPKIHLAQSLHKLSTACPGRVPSMVSTSLNAEALQYLQ
+GYLQAASVTLL
+>sp|Q58DH1|XAF1_BOVIN XIAP-associated factor 1 OS=Bos taurus OX=9913 GN=XAF1 PE=2 SV=2
+MEGALQVCRNCKRRVASNHLGLHEAHCLMYLVLCPECKEPVLQHEMEEHCQGGHQQVGCA
+MCQQSVPKHSLDSHEAQECQERPVECQFCQLAVRLNKVDLHEHHCGQQTELCPDCGQHVM
+LRVLARHREECQREQLRLQKGKSIPVPESNICCHYCNQMIPGNKYFHHLDRCRRVSGAVT
+PSPVGKPEIPPSSPLSWAAEDQTSKAEKDVRPKLKNRHRAPFLSEKSTRQAPRGTNKTTN
+LSLKSNGKLRASSPVEDETAYDILRRCSQCDILLPLPTLNHHQEKCRRLASSKGKQV
+>sp|Q1LZC0|ZNF22_BOVIN Zinc finger protein 22 OS=Bos taurus OX=9913 GN=ZNF22 PE=2 SV=1
+MRLGKPKGGISRSSSQGKVYENQRKTGRQRQRWGMTVRFDSSLRRLRRGLDEKPYKCVEC
+EKSFSQSSTLFQHQKIHTGKKSHKCADCGKSFFQSSNLIQHRRVHTGEKPYRCDECGERF
+KQSSNLIQHQRIHTGEKPYQCDECGRCFSQSSHLIQHQRTHTGEKPYQCSECGKCFSQSS
+HLRQHTKVHEEEKPRKTRGRSLRAKTHSLSSWKAGKGRRSAAGLR
+>sp|Q2KHY2|VAMP5_BOVIN Vesicle-associated membrane protein 5 OS=Bos taurus OX=9913 GN=VAMP5 PE=3 SV=1
+MAGKELERCQRQADEVTEIMLNNFDKVLERDGKLAELEQRSDQLLDMSSAFSKTTKTLAQ
+KKRWENARCRIYMGLAVGIALLILLIVLLVIFLPQSSKGSSAPQVQDAGPASGPGE
+>sp|E1BGQ2|ZCHC4_BOVIN rRNA N6-adenosine-methyltransferase ZCCHC4 OS=Bos taurus OX=9913 GN=ZCCHC4 PE=3 SV=1
+MATSRDRLGTLEAEGSSGRQGYSGVEVVLSSDPATPAPLCPHELGPTLLFVKVNQGKEET
+RRFYACSACRDRKDCNFFQWEDEKLSGARLAAREAHNRSCQPPLSRSQCVERYLKFIELP
+LSQRKFCQSCQQLLLPDDEEKHHEHQVVGDVSITQLKRPSKLLYPLENKKTNAQYLFADR
+SCLFLVDLLSNLGFRRVLCVGTPRLHELIRLKESGGTKSNIRSLLLDIDFRYSQFYMEDS
+FCHYNMFNHHFFDGKAALEVCKTFLQEDKGEGVIMVTDPPFGGLVEPLAVTFKKLIAMWK
+EGHSQDNSQKELPIFWIFPYFFESRICQFFPSFCMLDYQVVDYDNHALYKHGKTGRKQSP
+VRIFTNIPPNKIILPIEEGYRFCPLCQRYVSLENQHCEHCNSCTSKDGRKWNHCFLCKKC
+VKPSWIHCSICNHCALPDHSCKGPKDGCFICGELDHKRSACPNISTSKKVNKAVRKQKQR
+KSNKMKMETTKGQSMNHTSATRKKKRRERTHQYLCS
+>sp|P80012|VWF_BOVIN von Willebrand factor (Fragment) OS=Bos taurus OX=9913 GN=VWF PE=1 SV=2
+MFPTRLARLLLAVALTLPGALCGEGALGKSSMARCSLFGADFINTFDESMYSFSGDCSYL
+LAGDCKTHSFSIVGDFQGGRRMGLSVYLGEFFDIHVFVNGTVLQGGQHVSMPYATRGLYL
+ETEVGHHKLSSESYGFVARIDGSGNFQILLSDRHFNKTCGLCGDFNIFAEDDFRTQEGTL
+TSDPYDFANSWALSSEEQRCPRVSPPSSSCNVSSELQKGLWEKCQLLKTASVFARCHALV
+DPEPFVALCERMLCACAQGLRCPCPVLLEYARACAKQGMLLYGWADHSSCRPDCPTGMEY
+KECVSPCHRTCRSLSITEVCREQCVDGCSCPEGQLLDEGRCVESTECPCVHAGKPYPPGA
+SLSRDCNTCICRNSQWVCSNEDCPGECLITGQSHFKSFDDRHFTFSGVCQYLLAQDCQDH
+SFSVVIETVQCADDPDAVCTRSVTVRLPSPHHGLLKLKHGGGVALDGQDVQIPLLQGDLR
+IQHTVTASLQLNFGEDLQIDWDGRGRLLLKLSPVYAGRTCGLCGNYNGNQRDDFLTPAGL
+VEPLVEHFGNSWKLRADCEDLQEQPSDPCSLNPRLTKFADQACAILTSPKFEACHSAVSP
+LPYLRNCRYDVCACSDGRDCLCDAVANYAAACARRGVHVGWREPSFCALSCPHGQVYQQC
+GTPCNLTCRSLSHPDEECTEVCLEGCFCPPGLFLDETGSCVPKAQCPCYYDGEIFQPEDI
+FSDHHTMCYCEDGFMHSATSGAPGSLLPEAVLSSPLSHRSKRSLSCRPPMVKVVCPADNP
+RAEGLECTKTCQNYDLECMSTGCVSGCLPAPGMVRHENRCVALERCPCFHQGREYAPGDR
+VKVDCNSCVCQDRKWNCTDHVCDASCSALGLAHYFTFDGLKYLFPGECQYVLVQDHCGSN
+PGTFRVLVGNEGCSVPSLKCRKRITILVEGGEIELFD
+>sp|Q3SZY7|ZFAN6_BOVIN AN1-type zinc finger protein 6 OS=Bos taurus OX=9913 GN=ZFAND6 PE=2 SV=1
+MAQETNHSQVPMLCSTGCGFYGNPRTNGMCSVCYKEHLQRQNSSNGRISPPAPSVTSLSE
+SLPVQCTDGSVPEAQSALDSTASSVQPSPVSNQSLLSESVASSQVDSTSVDKAIPETEDL
+QASVSETAQQASEEQSKSLEKPKQKKNRCFMCRKKVGLTGFECRCGNVYCGVHRYSDVHN
+CSYNYKADAAEKIRKENPVVVGEKIQKI
+>sp|Q2HJ18|VP33B_BOVIN Vacuolar protein sorting-associated protein 33B OS=Bos taurus OX=9913 GN=VPS33B PE=2 SV=1
+MAFPHRPDAPELPDFSMLKRLARDQLIYLLEQLPGKKDLFIEADLMSPLDRIANVSILKQ
+HEVDKLYKVENKPALSSSEQLCFLVRPRIKNMRYIASLVNADKMAGRTRKYKVIFSPQKF
+YACEMVLEEEGVYGDVSCDEWAFSLLPLDVDLLSMELPEFFRDYFLEGDQRWINTLAQAL
+HLLSTLYGPFPNCYGIGRCAKMSYELWKRLEEEEDGETKGRRPEIGHIFLLDRDVDFVTA
+LCSQVVYEGLVDDTFRIKCGSVDFGPEVTSSDKSLKVLLNAEDKVFNEIRNEHFSNVFGF
+LSQKARNLQAQYDRRRGMDIKQMKNFVSQELKGLKQEHRLLSLHIGACESIMKKKTKQDF
+QELIKTEHALLEGFNIRESTNYIEEHIDRQVSPIESLRLMCLLSITENGLIPKDYRSLKT
+QYLQSYGPEHLLTFFNLRRAGLLTEQAPGDTLTAVESKVSKLVTDKAAGKITDAFSSLAK
+RSNFRAISKKLNLIPRVDGEYDLKVPRDMAYVFSGAYVPLSCRIIEQVLERRGWQGLDEV
+VRLLNCSELAFTDMTKDDKASSESLRLILVVFLGGCTFSEISALRFLGREKGYRFIFLTT
+AVTNSARLMEAMSEVKA
+>sp|A4FV61|ZN512_BOVIN Zinc finger protein 512 OS=Bos taurus OX=9913 GN=ZNF512 PE=2 SV=1
+MSSRLGAVPATPGPTPFKQQRSTRIVGAKNSRTQCSIKDNSFQYTIPHDDSLSGSSSASS
+CEPVSDFPASFRKSTYWMKMRRIKSAAASHVEGPGGVSTKGKRKPRQEEDEDYREFPQKK
+HKLYGRKQRPKAQPNPKAQTRRIRKEPPAYAAGSLEEQWYLEIVDKGSVSCPTCQAVGRK
+TIEGLKKHMENCKQEMFTCHHCGKQLRSLAGMKYHVMANHNSLPILKAGDEIDEPSERER
+LRTVLKRLGKLRCMRESCSSSFTSIMGYLYHVRKCGKGAAELEKMTLKCHHCGKPYRSKA
+GLAYHLRSEHGPISFFPESGQPECLKDMSLESKSGGRVQRRSAKIAVYHLQELASAELAK
+EWPKRKVLQDLVPDDRKLKYTRPGLPTFSQEVLHKWKSDIKKYHRIQCPNQGCEAVYSSV
+SGLKAHLGSCTLGTFVAGKYKCLLCQKEFVSESGVKYHINSVHAEDWFVVNPTTTKSFEK
+LMKIKQRQQEEEKRRQQHRSRRSLRRRQQPGIELPETEPSLRVGKDQRRNHEELLVATSR
+KEPEQEPVPTQFQKVRSPKTNHKRGKK
+>sp|Q0VCK9|VMP1_BOVIN Vacuole membrane protein 1 OS=Bos taurus OX=9913 GN=VMP1 PE=2 SV=1
+MAENGQNCDQRRVAMNKEQYNGNFTDPSSVNEKKRRDREERQNIVLWRQPLITLQYFSLE
+TLVILKEWTSKLWHRQSIVVSFLLLLAVLTATYYVEGAHQQYVQRIEKQFLLYAYWIGLG
+ILSSVGLGTGLHTFLLYLGPHIASVTLAAYECNSVNFPEPPYPDQIICPDEEGTEGTISL
+WSIISKVRIEACMWGIGTAIGELPPYFMARAARLSGAEPDDEEYQEFEEMLEHAETAQDF
+ASRAKLAVQNLVQKVGFFGILACASIPNPLFDLAGITCGHFLVPFWTFFGATLIGKAIIK
+MHIQKLFVIVAFSKHIVEQMVAFIGAVPGIGPSLQKPFQEYLEAQRQKLHHRSEMGTPQG
+ENWLSWMFEKLVVVMVCYFILSIINSMAQSYAKRIQQRLDPKEKTK
+>sp|Q2TBW8|RL10L_BOVIN 60S ribosomal protein L10-like OS=Bos taurus OX=9913 GN=RPL10L PE=2 SV=1
+MGRRPARCYRYCKNKPYPKSRFCRGVPDAKIRIFDLGRKKAKVDEFPLCGHMVSDEYEQL
+SSEALEAARICANKYMVKSCGKDGFHIRVRLHPFHVIRINKMLSCAGADRLQTGMRGAFG
+KPQGTVARVHIGQVIMSIRTKLQNKEHVIEALRRAKFKFPGRQKIHISKKWGFTKFNADE
+FEDKVAKKRLIPDGCGVKYVPNRGPLDKWRALHS
+>sp|Q7YRV4|RO52_BOVIN E3 ubiquitin-protein ligase TRIM21 OS=Bos taurus OX=9913 GN=TRIM21 PE=2 SV=1
+MASAVPLTMMWEEVTCSICLDPMVEPMSIECGHSFCQECISEVGKEGGSVCPVCRRHFLL
+QNLRPNRQVANMVDNLRKISQGAKESPHGELCVVHREKIHLFCEEDGKALCWVCSQSQKH
+RDHPMVPIEEAAQEYQEKLQVALNKLRHKQELAEKLELDIAMKKASWKGKVEAHKLRIHE
+EFVRQKNFLAEEEQKQLQKLEEEERQQLRTLGDTEARLAQQIQALQELIAELERRRRGSA
+LELLQEVKSVLERSESWNLKELDVASTDLRNVFYVPGIKKMLRTCGVHITLDPQTANPWL
+ILSENRRQVRLANTRQEVPENEERFDSYPMVLGAQRFDSGKVYWEVDVTGKEAWDLGVCR
+DNVRRKGQFLLNSDTGFWIIWLWNKQKYEAGTCPQTPLHLQVPPNRIGIFLDYEASTVSF
+YNITDHASLIYTFSECAFAGPLRPFFNPGFNDRGTNSAPLILCPLKTGW
+>sp|Q32PB0|SSBP_BOVIN Single-stranded DNA-binding protein, mitochondrial OS=Bos taurus OX=9913 GN=SSBP1 PE=2 SV=1
+MFRRPVVQVLRQFVRHESEVASSLVLERSLNRVQLLGRVGQDPVMRQVEGKNPDTIFSLA
+TNEMWRSGENETYQMGDVSQKTTWHRISVFRPGLRDVAYQYVKKGSRIYVEGKVDYGEYT
+DKNNVRRQATTIIADNIIFLSDQIKEKP
+>sp|Q0VCY7|SRSF1_BOVIN Serine/arginine-rich splicing factor 1 OS=Bos taurus OX=9913 GN=SRSF1 PE=2 SV=1
+MSGGGVIRGPAGNNDCRIYVGNLPPDIRTKDIEDVFYKYGAIRDIDLKNRRGGPPFAFVE
+FEDPRDAEDAVYGRDGYDYDGYRLRVEFPRSGRGTGRGGGGGGGGGAPRGRYGPPSRRSE
+NRVVVSGLPPSGSWQDLKDHMREAGDVCYADVYRDGTGVVEFVRKEDMTYAVRKLDNTKF
+RSHEGETAYIRVKVDGPRSPSYGRSRSRSRSRSRSRSRSNSRSRSYSPRRSRGSPRYSPR
+HSRSRSRT
+>sp|Q2YDG7|SACA1_BOVIN Sperm acrosome membrane-associated protein 1 OS=Bos taurus OX=9913 GN=SPACA1 PE=2 SV=1
+MKSRGAGCSARLLLTVGWLLLAGLQSTCGINVTAIQDPSLAREGEGEPEGDEEPENDSET
+EKEPQAEAEDDSEGIGIREVILTSGCPGGESKCIVRVEECRGPVDCGWGRPISESLEHVR
+LACVHTSPENRFKYIWRLLRPDQQAIILANDSAILEVHRDTHPKAFECETLDNNEIVASI
+RFTIYTTTELQMKRASRPDTDAVLVFVLTIGVIICIFVIFVLIFIIINWTAVKDFWAKAS
+TTEIQSELSSMRYKDSTSLDQSPTDIPGHEDDALSEWNE
+>sp|Q5EAE2|TADA3_BOVIN Transcriptional adapter 3 OS=Bos taurus OX=9913 GN=TADA3 PE=2 SV=1
+MSELKDCPLQFHDFKSVDHLKVCPRYTAVLARSEDDGIGIEELDTLQLELETLLSSASRR
+LRVLEAETQILTDWQDKKGDRRFLKLGRDHELGAPPKHGKPKKQKLEGKAGHGPGPGPGR
+PKSKNLQPKIQEYEFTDDPIDVPRIPKNDAPNRFWASVEPYCADITSEEVRTLEELLKPP
+EDEAEHYKIPPLGKHYSQRWAQEDLLEEQKDGARAAAVADKKKGLMGPLTELDTKDVDAL
+LKKSEAQHEQPEDGCPFGALTQRLLQALVEENIISPMEDSPIPDMSGKESGADGASTSPR
+NQNKPFSVPHTKSLESRIKEELIAQGLLESEDRPAEDSEDEVLAELRKRQAELKALSAHN
+RTKKHDLLRLAKEEVSRQELRQRVRMADNEVMDAFRKIMAARQKKRTPTKKEKDQAWKTL
+KERESILKLLDG
+>sp|Q2KI11|SCN3B_BOVIN Sodium channel subunit beta-3 OS=Bos taurus OX=9913 GN=SCN3B PE=2 SV=1
+MPAFNRLFPLASLLLILWVGVCFPVCVEVPSETEAVQGNPMKLRCISCMKREEVEATTVV
+EWFYRPEGGKDFLIYEYRNGHQEVESPFQGRLQWNGSKDLQDVSITVLNVTLNDSGLYTC
+NVSREFEFEAHRPFVKTTRLIPLRVTEEAGEDFTSVVSEIMMYILLVFLTLWLLIEMIYC
+YRKVSKAEEAAQENASDYLAIPSENKENSAVPVEE
+>sp|A4FV75|TM38A_BOVIN Trimeric intracellular cation channel type A OS=Bos taurus OX=9913 GN=TMEM38A PE=2 SV=1
+MELLSALSLGELALSFSRVPLFPVFDLSYFIVSILYLKYEPGAVELSRHHPMASWLCAML
+HCFGSYILADLLLGEPVIDYFSNNSSILLASAVWYLIFFCPLDLFYKCVCFLPVKLIFVA
+MKEVVRVRKIAVGIHHAHHHYHHGWFVMIATGWVKGSGVTLMSNLEQLLRGVWKPETNEI
+LHMSFPTKASLYGAILFTLQQTRWLPVSKASLIFIFTMFMVSCKVFLTATHSHSSPFDVL
+EAYICPVLFGSASGGDHHHNNHGGSQGGSGPGSPHSPLPSKSKEELSEGSRKKKTKKAD
+>sp|E1BJD3|TM190_BOVIN Transmembrane protein 190 OS=Bos taurus OX=9913 GN=TMEM190 PE=3 SV=1
+MVGSGIPALGLLLLMQGSADGNGIQGFFYPWSCEGDVWDRESCGGQAAIENPNLCLRLRC
+CYRDGVCYHQRPDENMRRKHMWALGWTCGGLLFLITSICLFWWARRHDMLRLPWFLKGKC
+DLSRTVSLLSKDRTPSEKKTPSVGSIPPAAPTEGALDVSGGTEGEGTEGGEETEGGDEDD
+>sp|A6QPR9|TEFM_BOVIN Transcription elongation factor, mitochondrial OS=Bos taurus OX=9913 GN=TEFM PE=2 SV=2
+MTVPSLLLAGGRWRCFPLPLASSLFQALHNSCCRKESTAPKKIIPHIDFSDETAKESGKT
+LDKLFSSEQQASILHVLNTASNKELEAFKLLRGKKSFNIVEHRKKFGPFQNLESLMNVPL
+FQYKITIQVCNSILNPETGGKKKKLQESRLLRKLIKPEIGRERVKAVNSIVSIVSGTRRI
+AWAHLDRKLAVLDWQQTEYCQLMKGSYLSSVYLEEISSIISKMPKADFYVLEKAGPSFQN
+PSLFPVLLHFHMTEAMLYALLNTTFAQDGHHQVLSMNRNAVGKHFELMIGDTRTSGKEVV
+KQLLSESVLKDEPRVFFPPEKIVRYRQMFSSTEHNRVEELYDSLLQAIAFYELAVF
+>sp|P79125|SPL2B_BOVIN Short palate, lung and nasal epithelium carcinoma-associated protein 2B OS=Bos taurus OX=9913 GN=SPLUNC2B PE=1 SV=1
+MVQLWKLVLLCGLLAGTSASLPDIRGNDVLRKLKSGLERGLDTFDSTIEIIFQNLKTELE
+SRCSDEVVEQQETENFLEQLISRIFQVVSRLTGVRIRNVQVPDITFEATSENSANVLIPI
+TADVTVSLPFLGEIVDLDLNVDLQTTVSIETDTEDPQVVVGECTNNPESISLTVLHSRFG
+LVNDVVDIGVNLARRVVSSVVEGELCPRFRELLESLDAECVEKLIGESQDTTQQEPEGSR
+>sp|Q5BIR5|SPB8_BOVIN Serpin B8 OS=Bos taurus OX=9913 GN=SERPINB8 PE=2 SV=1
+MDALCEANGTFAINLLKMLGEEDHLRNVFFSPLSLSSVLTMVLMGAKGNTAAQMSQALCL
+NESGDVHRGFQSLLREVSTSGPKCLLRTANRLFGEKTCDFLPAFKESCQKFYQADLEELS
+FAEDTEECRKHINDWVMEKTDGKISEILGAGTVSPLTKLVLVNAIYFKGKWNEQFDRKHT
+RGMPFKTNQEKKTVQMMFKQAKFKMGHVEEVPAQVLELPYVGAELSMLILLPDENTDLAV
+VEKALTYEKFRTWTSPEKLTEEKVQVFLPRLKLEASYDLEAFLRSLGMTDAFEEAKADFS
+GMSAKKNVPMSKVAHKCFVEVNEEGTEAAGATAVVRNSRCCRMEPKFCADHPFLFFIRHR
+ETNSILFCGRFSSP
+>sp|Q0P5A4|UCK1_BOVIN Uridine-cytidine kinase 1 OS=Bos taurus OX=9913 GN=UCK1 PE=2 SV=1
+MASAGGGDCEGAGPEADRPHQRPFLIGVSGGTASGKSTVCEKIMELLGQNEVDHRQRKLV
+ILSQDRFYKVLTAEQKAKALKGQYNFDHPDAFDNDLMHRTLKNIVEGKTVEVPTYDFVTH
+SRLAETTVVYPADVVLFEGILVFYSQEIRDMFHLRLFVDTDSDVRLSRRVLRDVQRGRDL
+EQILTQYTTFVKPAFEEFCLPTKKYADVIIPRGVDNMVAINLIVQHIQDILNGDICKWHR
+AGANGRSHKRTFPEPGEHPAVLASGKRSHLESSSRPH
+>sp|Q95LI2|VITRN_BOVIN Vitrin OS=Bos taurus OX=9913 GN=VIT PE=2 SV=2
+MGIVVLTMKASVIEMFLVLLVTGIQSNQEMTKKMKRPKFTVPQISCDVRAGKITNVEFIV
+KCPPGCQDPRYHVYGADVYASYSSVCGAAVHSGVLDNSGGKILVRKVAGQSGYKGSYSNG
+VQSLSLPRWRESFVVSEGKPQKGVTYPSSLTYSSSKSPAAKAGETARADQSPPVPGTAAQ
+PVTVTQAPGTTAIEATHTTLPKPSPSAGSTASGLRPQPAGQRSKDLGEPALWKPESVLLD
+AGFVPKEELSTQSLEPASQGDPSCKVDLSFLIDGSSSIGKRRFRIQKQFLTDVAQTLDIG
+PAGPLMGVVQYGDNPATQFNLKTHMNSQDVKAAIEKISQRGGLSNAGRAISFVTKNFFSK
+FNGNRGGAPNVAVVMVDGWPTDKVEEASRLARESGVNIFFITIEGASENEKQYMLEPNFA
+NKAVCRTNGFYSLTVQNWFSLHKTVQPLVKRVCDTDRLACSKTCLNSADIGFVIDGSSSV
+GTSNFRTVLQFVANLSREFEISDMDTRIGAMQYTYEQRLEFGFDEYSTKSDVLNAIKRVG
+YWSGGTSTGAAIHYALEQLFKKSKPNKRKLMILITDGRSYDDIRIPAMLAHHKGVITYAI
+GVAWAAQDELDIIATHPARDHAFFVDEFDNLYKVVPKVIQNICTEFNSQPRN
+>sp|A6QNZ8|VOPP1_BOVIN Vesicular, overexpressed in cancer, prosurvival protein 1 OS=Bos taurus OX=9913 GN=VOPP1 PE=2 SV=1
+MDSLAWRVAALLLGLLVECTEAKKHCWYFEGLYPTYYICRPYEDCCGSRCCVRALSIQRL
+WYFWFLLMMGVLFCCGAGFFIRRRMYPPPLIEEPAFNVSYTRQPQNPTPGAQPLGLPYYT
+DPGGSGMNPAGNPVAMAFQVQPNSSQGSTAYPPPPSYCNTPPPPYEQVVKTK
+>sp|A7YWC8|VMAC_BOVIN Vimentin-type intermediate filament-associated coiled-coil protein OS=Bos taurus OX=9913 GN=VMAC PE=2 SV=1
+MSAPPPLQIREANAHLAAVHRRAAELEARLEAAECMVRAQAERLARHDQQLSAALDELGR
+AKDREIAALREQLLTSEAAVQSLQAAVRQRDKLIGQLQPRAELLQDLCRRRPPLAGLLAT
+LAEAERLGPLPASDLLPGGPSSPLANSTGEEEGSDQLEPAVFGTTV
+>sp|A6H6W9|SDS3_BOVIN Sin3 histone deacetylase corepressor complex component SDS3 OS=Bos taurus OX=9913 GN=SUDS3 PE=2 SV=1
+MSAAALLAPAPAPAGAPPAPEYYPEEDEELESAEDDERSCRGRESDEDTEDASETDLAKH
+DEEDFVEMKEQMYQDKLASLKRQLQQLQEGTLQEYQKRMKKLDQQYKERIRNAELFLQLE
+TEQVERNYIKEKKAAVKEFEDKKVELKENLIAELEEKKKMIENEKLTMELTGDSMEVKPI
+MTRKLRRRPNDPVPIPDKRRKPAPAQLNYLLTDEQIMEDLRTLNKLKSPKRPASPSSPEH
+LPTTPAESPAQRFEARIEDGKLYYDKRWYHKSQAIYLESKDNQKLSCVISSVGANEIWVR
+KTSDSTKMRIYLGQLQRGLFVIRRRSAA
+>sp|Q32KM1|TBG2_BOVIN Tubulin gamma-2 chain OS=Bos taurus OX=9913 GN=TUBG2 PE=2 SV=1
+MPREIITLQLGQCGNQIGFEFWKQLCAEHGISPEGIVEEFATEGTDRKDVFFYQADDEHY
+IPRAVLLDLEPRVIHSILNSPYAKLYNPENIYLSEHGGGAGNNWASGFSQGEKIHEDIFD
+IIDREADGSDSLEGFVLCHSIAGGTGSGLGSYLLERLNDRYPKKLVQTYSVFPNQDEMSD
+VVVQPYNSLLTLKRLTQNADCVVVLDNTALNRIATDRLHIQNPSFSQINQLVSTIMSAST
+TTLRYPGYMNNDLIGLIASLIPTPRLHFLMTGYTPLTTDQSVASVRKTTVLDVMRRLLQP
+KNVMVSTGRDRQTNHCYIAILNIIQGEVDPTQVHKSLQRIRERKLANFIPWGPASIQVAL
+SRKSPYLPSAHRVSGLMMANHTSISSLFESSCQQYDKLRKREAFLEQFRKEDIFKDNFDE
+LDRSREVVQELIDEYHAATRPDYISWGTQEQ
+>sp|Q06805|TIE1_BOVIN Tyrosine-protein kinase receptor Tie-1 OS=Bos taurus OX=9913 GN=TIE1 PE=2 SV=1
+MVWLEPPLLLPIFFLASHVGAAVDLTLLADLRLTEPQRFFLTCVSGEAGAGRGSDAWGPP
+LLLEKDDRIVRTPRPWQPPHIARNGSSRVTVRGFSQPSDLVGVFSCVGGGGTRVLYVHNS
+PGAHLLPDKVTHTVNKGDTAVLSARVRKEKQTDVIWKSNGSYFYTLDRHEAQDGQFLLQL
+PNVQPSSSGIYSATYLEASPLGSAFFRLIVRGCEAGRWGQDCTKECPGCLHGGVCHDQDG
+ECVCPPGFTGTRCEQACREGRFGQSCQEQCPGTSGCRGLTFCLPDPYGCSCGSGWRGSQC
+QEACAPGRFGADCHLQCQCQNGGTCDRFSGCVCPSGWHGMHCEKSDRIPQILDMVSELEF
+NLDTMPRINCAAAGNPFPVRGSMELRKPDGTVLLSTKAIVEPDRTTAEFEVPRLALGDSG
+LWECRVSTSGGQDSRRFRINVKVPPVPLTAPRLLAKQSRQLVVSPLVSFSGDGPIASVRL
+HYRPQDSTMAWSTIVVDPSENVTLMNLRPKTGYSVRVQLSRPGEGGEGAWGPPTLMTTDC
+PEPLLKPWLEGWHVEGPDRLRVSWSLPPVPGPLVGDGFLLRLWDGARGQERRENVSSPQA
+RTALLTGLTPGTYYQLDVRLYHCTLLGPASPAARVLLPPSGPPAPRHLHAQALSDSEIQL
+MWQRPEAAAGPISKYIVEVQVAGGSGDPLWMDVDRPEETSTIVRGLNASTRYLFRVRASV
+QGPGDWSNVVEQSTLGNGLQIEGPVQEIHAAEEGLDQQLVLAVVGSVSATCLTILAALLT
+LACIRKSCLHRRRTFTYQSGSGEETILQFSSGTLTLTRRPKPQPEPLNYPVLEWEDITFE
+DLIGEGNFGQVIRAMIKKDGLKMNAAIKMLKEYASENDHRDFAGELEVLCKLGHHPNIIN
+LLGACENRGYLYIAIEYAPYGNLLDFLRKSRVLETDPAFAREHGTASTLSSRQLLRFASD
+AANGMQYLSEKQFIHRDLAARNVLVGENLASKIADFGLSRGEEVYVKKTMGRLPVRWMAI
+ESLNYSVYTTKSDVWSFGVLLWEIVSLGGTPYCGMTCAELYEKLPQAYRMEQPRNCDDEV
+YELMRQCWRDRPYERPPFAQIALQLGRMLEARKAYVNMSLFENFTYAGIDATAEEA
+>sp|A5D7P8|SOSB2_BOVIN SOSS complex subunit B2 OS=Bos taurus OX=9913 GN=NABP1 PE=2 SV=1
+MNGVRDPPLFIKDIKPGLKNLNVVFIVLEIGRVTKTKDGHEVRSCKVADKTGSITISVWD
+EIGGLIQPGDIIRLTRGYASMWKGCLTLYTGRGGELQKIGEFCMVYSELPNFSEPNPDYR
+GQQNKGAHNEQKNNSMNNSNNVGTGTFGPMGNGVQTGAEARGCQFSYAGRSNGRGPINPQ
+LPGTANNQTVMTTISNGRDPRRAFKR
+>sp|Q1RMM0|THAP5_BOVIN THAP domain-containing protein 5 OS=Bos taurus OX=9913 GN=THAP5 PE=2 SV=2
+MPRYCAAICCKNRRGRNNKERKLSFYPFPLHDKERLEKWLKNMKRDSWVPSKYQFLCSDH
+FTPDSLDIRWGIRYLKQTAIPTIFALPEDNQEKDPSKKKSQKKKLKSEKEVCLKAKSEES
+FASNEPKKHPVNTDLLPEHAELLESSALVKPPDPKAESVQNNILTLSLVKQGTRKPESIL
+ETSVNQDMGIGGFHTSFENLSSTTITLTTASSEGIQQPLETQEVIEITTNHLANPHFTNN
+SVEIKSAQENPFILSTVTQTVEELNTDKESVIAIFVPTENSKPAINSFIPAPKTVEMEED
+IDIEDSYKDVDYETEVLQIEHSYCRQDVNKEHLWQKVSKLHSKITLLELQEQQTLGRLKS
+LEALIRQLKQENWLSEENVKIIENHFTTYEVTMI
+>sp|A2VDS1|SCLY_BOVIN Selenocysteine lyase OS=Bos taurus OX=9913 GN=SCLY PE=2 SV=1
+MEAAGARNRDARSRAEKSPPESRKVYMDYNATTPLEPEVIEAMTEAMREAWGNPSSSYPA
+GRKAKEIINTARENLAKMIGGQPQDVIFTSGGTESNNLVIQSVVKHFHKVHAANGDTGGH
+PNPVDGALPHIITCTVEHDSIRLPLEHLREERVAEVTFVPVSKVNGQVEAEDILAAVRPA
+TCLVTIMLANNETGVIMPVPEISRRVRALNQQRVAGGLPGVLVHTDAAQALGKQRVDVRD
+LGVDFLTIVGHKFYGPRIGALYVRGLGEHTPLYPMLFGGGQERNFRPGTENTPMIAGLGK
+AAELVAENGEAYEAHMRGVRDYLEERLAAEFGERIHLNSQFPGAERLPNTCNFSIRGPQL
+QGRAVLAQCRTLLASVGAACHSDLGDRPSPVLLSCGVPVDVARNAIRLSVGRSSTRAEVD
+LVVQDLKQAVARLEGQA
+>sp|A1A4J7|SMG8_BOVIN Protein SMG8 OS=Bos taurus OX=9913 GN=SMG8 PE=2 SV=2
+MAGPVSLRELLMGASAWTSSESPEGSPTEGGGSAAGGPEPPWREDEICVVGIFGKTALRL
+NSEKFSLVNTVCDRQVFPLFRHQDPGDSGAGIRTEAGAVGEAGGAGDPGAGAGAGAGAGA
+GDPVRGGVTAAEGNRTEPGSQDYSLLQAYYNQESKVLYLLLTSICDNSQLLRACRALQSG
+EAGGGLSLPHAEAHEFWKHQEKVQCLSLLYLFSVCHILLLVHPTCSFDITYDRVFRALDG
+LRQKVLPLLKTAIKDCPVGKDWKLNCRPCPPRLLFLFQLNGALKVEPPRNQDPAHPDKPK
+KHSPKRRLQHALEDQIYRIFRKSRVLTNQSINCLFTVPANQAFVYIVPGSQEEDPVGMLL
+DQLKSHCTVKDPESLLVPAPLSGSRRYQVMRQHSRQQLSFHTDTSSSSSSGQLVDFTLRE
+FLWQHVELVLSKKGFDDSVGRNPQPSHFELPTYQKWISAASKLYEVAIDGKEEDPASPTG
+ELTSKILSSIKVLEGFLDIDTKFSENRCQKALPMAHSAYQSNLPHNYTMTVHKNQLAQAL
+RVYSQHARGPAFHKYAMQLHEDCYKFWSNGHQLCEERSLTDQHCVHKFHSLPKSGEKPEA
+DRNPPVLYHNSRARSTGACNCGRKQAPRDDPFDIKAANYDFYQLLEEKCCGKLDHINFPV
+FEPSTPDPAPAKNESSPAPPDADADKLKEKEPQTQGESTSLSLALSLGQSTDSLGTYPAD
+PQAGGDNPEVHGQGEVKTEKRPNLVDRQASTVEYLPGMLHSNCPKGLLPKFSSWSLVKLG
+PAKSYNFHTGLDQQGFIPGTNYLMPWDIVIRTRAEDEGDLDTNSWPAPNKAVPGKRSAVV
+MGRGRRRDDIARAFVGFEYEDSRGRRFMCSGPDKVMKVMGSGPKESALKALNSDMPLYIL
+SSSQGRGLKPHYAQLMRLFVVVPDAPLQIILTPQVRPGPPPCPVFYPEKQEITLPPDGLW
+VLRFPYAYVTERGPCFPPKENVQLMSYKVLRGVLKAVTQ
+>sp|Q5E978|SPTSA_BOVIN Serine palmitoyltransferase small subunit A OS=Bos taurus OX=9913 GN=SPTSSA PE=3 SV=1
+MALARAWKQMSWFYYQYLLVTALYMLEPWERTVFNSMLVSIVGMALYTGYVFMPQHIMAI
+LHYFEIVQ
+>sp|Q2YDI7|TEKT5_BOVIN Tektin-5 OS=Bos taurus OX=9913 GN=TEKT5 PE=2 SV=1
+MEFLGTTQTATYCGPKKPCGGSVLPSVASGPTVQECYQPYYLPGYRYLNAWRPSLFYKVA
+NAQTCLDEGATCRSALRPPTILPAVRSALFCRYSPQDWDQSNRLQLCGAEASRLWAGRMT
+GDSMRLMQDKDQLTRQMQEGTCRNLGQRLSDIGFWKSELNYELERLLNENHSLDAIKRRL
+ECAAEELRCPLQVALECLYQREKRIGIDLVHDNVEKNLIREVDMIKCCQEQMRKLAQRID
+IQMRENRDAQHALERDLEDKNSAEFIDEKCLNLRNTSDCISFFHGVEKIDGTISVPETWA
+KFSNDNIRHSQNMRANSIRLREEAQNLFDVLADQLWRQFTNTNLAFNARIAEETDVKNKL
+QTQLAKTLQEIFQTENTIMLLERSIMAKECPLKVAQTRLECRTRRPNVELCRDSPQFKLV
+NEVFTIDDTLQTLKLRLRETRDTLQLLVMTKCRLEHELAIKANTLCIDKEKCMAMRKTFP
+STPCMGICP
+>sp|Q3SZR6|TM147_BOVIN Transmembrane protein 147 OS=Bos taurus OX=9913 GN=TMEM147 PE=2 SV=1
+MTLFHFGNCFALAYFPYFITYKCSGLSEYNAFWKCVQAGVTYLFVQLCKMLFLATFFPTW
+EGGIYDFIGEFMKASVDVADLIGLNLVMSRNAGKGEYKIMVAALGWATAELIMSRCIPLW
+VGARGIEFDWKYIQMSIDSNISLVHYIVASAQVWMITRYDLYHTYRPAVLLLMFLSVYKA
+FVMETFVHLCSLGSWTALLARALVTGLLALSTLALYVAVVNVHS
+>sp|Q0VCV7|VEXIN_BOVIN Vexin OS=Bos taurus OX=9913 GN=VXN PE=2 SV=1
+MHQIYSCSDENIEVFTTVIPSKVTSPARRRVKSSQHLLTKNVVIESDLYAPRPVELLPHR
+TDRRDGEGRWSGRFQNPRLQGPHPAKTPARPVGTSEPKSANLCGNRTYGKALMPPVARIS
+VKAPTVLEAAAPGSENVAVLTRGSRHLKKMTEEFPTLPQGAEASLPLTGSAPCGMPSILR
+KMWTRHKKKSEYVGATNSAFEAD
+>sp|A6QQ85|UPK3L_BOVIN Uroplakin-3b-like protein 1 OS=Bos taurus OX=9913 GN=UPK3BL1 PE=2 SV=2
+MGLGRGQSPLLMALLLLLACLQMGMSLERISYVPQLSSATLAGRLTQSTFTLEQPRGQFS
+HPSISDSDAIWLVVAHSNATQKFTAPQKVEDTPVPADFPQRGYYLTLRASRALYPGGPPS
+NQLRVLRVGNDTRCSPRTRGCNRPLPGPGPYRVKFLVMSDRGPMAETEWSSETRLQQAEV
+LQAAPGPQTAGTVVIIAILSVLLAVLLAALLALLIFTWYDTCGSTPISGPGELVFVRKYD
+THHMSRPSTVGGS
+>sp|P61085|UBE2K_BOVIN Ubiquitin-conjugating enzyme E2 K OS=Bos taurus OX=9913 GN=UBE2K PE=1 SV=3
+MANIAVQRIKREFKEVLKSEETSKNQIKVDLVDENFTELRGEIAGPPDTPYEGGRYQLEI
+KIPETYPFNPPKVRFITKIWHPNISSVTGAICLDILKDQWAAAMTLRTVLLSLQALLAAA
+EPDDPQDAVVANQYKQNPEMFKQTARLWAHVYAGAPVSSPEYTKKIENLCAMGFDRNAVI
+VALSSKSWDVETATELLLSN
+>sp|A6QPT6|ZN274_BOVIN Neurotrophin receptor-interacting factor homolog OS=Bos taurus OX=9913 GN=ZNF274 PE=2 SV=1
+MASGLPTDWFREPVTFEDVALGFTPDEWGKLDLEQKSLYREVMLENYRNLVSVEHQLSKP
+DVVSQLEEEEELWSVERGIPQDTFSECPEAQLEPQLDPFPAGNPLMNIEVVEVLTLNQEV
+AVPRNAQIRALYAEDEGLSPEVLREPPQHLDKPTADPEMARQRFRGFHFEEVAGPREALA
+QLRELCCQWLRPEAHSKDQMLELLVLEQFLGALPEKLRLWVESQHPVDCRQAVALVEDVT
+WISEEETLPTQGAPGTLPPAAQQDTATWPVKALPEDPVTFLDVAVDFSTEEWGLLDPTQR
+TEYHDVMLETFGHLVSVGWETTLDSKQLTPQPGPPEEGPACPLKEEEGSSADDARPSTSG
+EALEAGAPGVWDTALKPVTLASENTLPPQPPGDSPQPQASTGPDRGRVSLQKAVPRKRLR
+KRDPWLKRGTRGACVKLPPKRGGAGKAVESGGDGGRRPCARNAPQITFTRIHKGSQVCRC
+SECGKTFRNPRYFSVHKKIHTGEKPYVCRDCGKAFVQSSSLRQHQRVHTGERPFVCHECG
+RTFNDRSAISQHLRTHTGAKPYPCPDCGKAFRQSSHLIRHQRTHTGERPYSCSKCGKAFT
+QSSHLIGHQKTHSRVKCKKK
+>sp|Q08DM8|TSN_BOVIN Translin OS=Bos taurus OX=9913 GN=TSN PE=2 SV=1
+MSVSEIFVELQGFLAAEQDIREEIRKVVQSLEQTAREILTLLQGVHQGAGFQDIPKRCLK
+AREHFGTVKTHLTSLKTKFPAEQYYRFHEHWRFVLQRLVFLAAFVVYLESETLVTREAVT
+EILGIEPDREKGFHLDVEDYLSGVLILASELSRLSVNSVTAGDYSRPLHISTFINELDSG
+FRLLNLKNDSLRKRYDGLKYDVKKVEEVVYDLSIRGFNKETAAACVEK
+>sp|Q32LC9|ZN330_BOVIN Zinc finger protein 330 OS=Bos taurus OX=9913 GN=ZNF330 PE=2 SV=1
+MPKKKTGARKKAENRREREKQLRASRSTIDLAKHPCNASMECDKCQRRQKNRAFCYFCNS
+VQKLPICAQCGKTKCMMKSSDCVIKHAGVYSTGLAMVGAICDFCEAWVCHGRKCLSTHAC
+ACPLTDAECVECERGVWDHGGRIFSCSFCHNFLCEDDQFEHQASCQVLEAETFKCVSCNR
+LGQHSCLRCKACFCDDHTRSKVFKQEKGKQPPCPKCGHETQETKDLSMSTRSLKFGRQTG
+GEEGDGASGYDAYWKNLASDKYAGASYHDEEEDEYEAEDDEEEEDEGGKDSDAESSDLFT
+NLNLGRTYASGYAHYEEQEN
+>sp|Q2TA17|ZN668_BOVIN Zinc finger protein 668 OS=Bos taurus OX=9913 GN=ZNF668 PE=2 SV=2
+MEVESPEERSPAPGYKRSGRRYKCLSCTKTFPNAPRAARHAATHGLADCTEEMAEAKLKP
+ETDPKAEDASGDKVSGAAAKPRPYACPLCPKAYKTAPELRSHGRSHTGEKPFPCPECGRR
+FMQPVCLRVHLASHAGELPFRCAHCPKAYGALSKLKIHQRGHTGERPYTCADCGKSFADP
+SVFRKHRRTHAGLRPYSCERCGKAYAELKDLRNHERSHTGERPFLCSECGKSFSRSSSLT
+CHQRIHAAQKPYRCPACGKGFTQLSSYQSHERTHSGEKPFLCPRCGRMFSDPSSFRRHQR
+AHEGVKPYRCEKCGKDFRQPADLAMHRRVHTGDRPFKCLQCDKTFVASWDLKRHALVHSG
+QRPFRCEECGRAFAERASLTKHSRVHSGERPFHCNACGKSFVVSSSLRKHERTHRSSEAT
+GAPPQQELVVGLALPVSMAGEGPAAPASGAALGDPPAGLLGLPSESGGVMATQWQVVGMT
+VEHVECQDAGVGEAPGPLGAAGEVGGEEVDEKPPQFVCRECKETFSTLTLLRRHERSHPE
+LRPFHCTQCGKSFSDRAGLRKHSRTHSSVRPYTCPHCPKAFLSASDLRKHERTHPVPIGT
+PTPLEPLVALLGMPEEGPA
+>sp|A5PKG6|UBE4A_BOVIN Ubiquitin conjugation factor E4 A OS=Bos taurus OX=9913 GN=UBE4A PE=2 SV=1
+MTDQENNNNISSNPFAALFGSLADAKQFAAIQKEQLKQQSDELPASPDDSDNSVSESLDE
+FDYSVAEISRSFRSQQEICEQLNINHMIQRIFLITLDNSDPSLKSGNGIPSRCVYLEEMA
+VDLEDQDWLDMNNVEQAVFTRLLLQDPGNHLINMTSSTTLNLSADRDAGEKHIFCYLYSC
+FQRAKEEITKVPENLLPFAVQCRNLTVSNTRTVLLTPEIYVDQNIHEQLVDLMVEAILGG
+HFESVAEFLDEVIEALILDEEVRTFPEVMIPVFDILSSRIKDLELCQILLYAYLDILLYF
+TKQKDMAKVFVDYIQPKDPSNGQMYQKTLLGVILNISCLLKTPGVIENHGYFLNPSRSSP
+QEIKVQEANIHQFMARYHEKIYQMLKNLLQLSPETKHCILSWLGNCLHANAGRTKIWANQ
+MPEIFFQMYASDAFFLNLGAALLKLCQPFCKPRSSRLLTFNPTYCALKELNDEERKIKNV
+HMRGLDKETCLIPAVQEPKFPQNYNLVTENLVLTEYTLYLGFHRLHDQMVKINQNLHRLQ
+VAWRDAQQSSSPAADNLREQFERLMTVYLSTKTAMTEPQMLQNCLNLQVSMAVLLVQLAI
+GNEGSQLMELTFPLPDGYSSLAYVPEFFADNLGDFLIFLRRFADDILETSADSLEHVLHF
+ITIFTGSIERMKNPHLRAKLAEVLEAVMPHMDQTPNPLVSSVFHRKRVFCNFPYASHLAE
+ALIKVFVDIEFTGDPHQFEQKFNYRRPMYPILKYMWGTDTYRESIKDLADYASKNLEAMN
+PPLFLRFLNLLMNDAIFLLDEAIQYLSKIKIQQIEKDRGEWDNLTPEARREKEAGLQMFG
+QLARFHNIMSNETIGTLAFLTSEIKSLFVHPFLAERIISMLNYFLQHLVGPKMGALKVKD
+FSEFDFKPQQLVSDICTIYLNLGDEENFCATVPKDGRSYSPTLFAQTVRVLKKINKPGNM
+IVAFSNLAERIKSLADLQQQEEETYADACDEFLDPIMSTLMSDPVVLPSSRVTVDRSTIA
+RHLLSDQTDPFNRSPLTMDQIRPNTELKEKIQRWLAERKQQQKEQLE
+>sp|Q3ZC82|ZC3HE_BOVIN Zinc finger CCCH domain-containing protein 14 OS=Bos taurus OX=9913 GN=ZC3H14 PE=2 SV=2
+MEIGTEISRKIRSAIKGKLQELGAYVDEELPDYIMVMVANKKSQDQMTEDLSLFLGNNTI
+RFTVWLHGVLDKLRSVTTDPASLKSSDTNLFDGNVPSNKSSFSRGDERRHEAAVPPLAVS
+STRPEKRESRVSTSSQEQKATNVRQTYDDGAATRLMSTVKPLRELAPSEDVIDIKPEPDD
+LIDEDLNFVQENPLSQKKTTVTLTYGSSRPSIEIYRPPATRNTDSGAHLNRLQFQQQQNS
+IHAAKQLDIQSSRVYETGRLCEPEVLNSLEETYSPFFRSNAEKMSIEEENFRKRKLPVVS
+SVVKVKKFSHDGEEEEEDDDCGSRTGSISSSVSVPAKPERRPSLPPSKQANKNLILKAIS
+EAQESVTKTTNYSTVSQKQTLPVAPRTRTSQEDLLAEVAQGHGRVPRISSPVKEEEAQGG
+SVDERQGTQQRQLLSRLQIDPVMAETLQISQDYYDMESMVHADTRSFILKKPKLCEELVV
+AASQASGMETADALQARSGHLVQTRDLVQPDKPASPKFIVTLDGVPSPPGYMSDQEEDMC
+SEGMRPAQHPAASHGGLAGLLHPQRSRVLSRQLEDPDGSFANAEMSELSVAQKPEKLLER
+CKYWPACKNGDECAYHHPVSPCKAFPNCKFAEKCLFVHPNCKYDAKCTKPDCPFTHMSRR
+TPGLPPKPVTAPAPPSSSQLCRYFPACKKMECPFYHPKHCRFNTQCTRPDCAFYHPTITV
+PPRHALKWIRPQTSD
+>sp|Q2KJD7|UBCP1_BOVIN Ubiquitin-like domain-containing CTD phosphatase 1 OS=Bos taurus OX=9913 GN=UBLCP1 PE=2 SV=1
+MALPIIVKWGGQEYSVTTLSEDDTVLDLKQFLKTLTGVLPERQKLLGLKVKGKPAENDVK
+LGALKLKPNTKIMMMGTREESLEDVLGPPPDNDDVVNDFDIEDEVVEVENREENLLKISR
+RVKEYKVEILNPPREGKKLLVLDVDYTLFDHRSCAETGVELMRPYLHEFLTSAYEDYDIV
+IWSATNMKWIEAKMKELGVSTNANYKITFMLDSAAMITVHTPRRGLIDVKPLGVIWGKFS
+EFYSKKNTIMFDDIGRNFLMNPQNGLKIRPFMKAHLNRDKDKELLKLTQYLKEIAKLDDF
+LDLNHKYWERYLSKKQGQ
+>sp|Q2T9Z5|TF2H5_BOVIN General transcription factor IIH subunit 5 OS=Bos taurus OX=9913 GN=GTF2H5 PE=3 SV=1
+MVNVLKGVLIECDPAMKQFLLYLDESNALGKKFIIQDIDDTHVFVIAELVNVLQERVGEL
+MDQNAFSLTQK
+>sp|Q3T067|SCPDL_BOVIN Saccharopine dehydrogenase-like oxidoreductase OS=Bos taurus OX=9913 GN=SCCPDH PE=2 SV=1
+MATQQRPFHLVVFGASGFTGQFVTEEVAREQVSPERTSHLPWAVAGRSREKLLRVLERAA
+MKLGRPTLSSEVGIIICDITNPASLDEMAKQATVVLNCVGPYRFYGEPVIKACIENGTSC
+IDISGEPQFLELMYWKYHEKAAEKGVYIIGSSGFDSIPADLGVIYTRNKMNGTLTAVESF
+LTISSGPEGLCVHDGTWKSAVYGFGDKSNLKKLRNESDMKPVPIVGPKLKRRWPISYCRE
+LNSYSIPFLGADVSVVKRTQRYLHENLEQSPVQYAAYINVGGITSVIKLMFAGLFFLFFV
+RFGIGRQLLIKFTWLFSFGYFSKQGPTQKQIDASSFTMTFFGQGFSQGVSPVKNKPNIRI
+CTQVKGPEAGYVSTSIAMVQAAMILLNDASDLPKAGGVFTPGAAFSRTKLIDRLNEHGIE
+FSVISSTEV
+>sp|Q1JQA4|TSN15_BOVIN Tetraspanin-15 OS=Bos taurus OX=9913 GN=TSPAN15 PE=1 SV=1
+MPRGDSEQVRYCARFSYLWLKFSLVIYSTVFWLIGGLVLSVGIYAEVERQKYKTLESAFL
+APAIILILLGVVMFIVSFIGVLASLRDNLCLLQAFMYILGICLIIELIGGVVALIFRNQT
+IDFLNDNIRRGIENYYDDLDFKNIMDFVQKEFKCCGGEDYRDWSKNQYHDCRAPGPLACG
+VPYTCCFRNTTEVVNTMCGYKTIDKERLSVQNVIYVRGCTNAVLMWFTDNYTIMAGVLLG
+ILLPQFLGVLLTFLYITRVEDIITEHSVTDGLLGPGTKAGVEAAGTGCCMCYPI
+>sp|Q5BIM5|ZFY27_BOVIN Protrudin OS=Bos taurus OX=9913 GN=ZFYVE27 PE=2 SV=1
+MQTSEREGCGPEVSPSTVPEATLESLPVPTKLPAFDLFNLVLSYKRLEVYLEPLKDAGDG
+VRYLLRWQTPLCSLLTCLGLNVLFLTLNEGAWYSVGALMISVPALLGYLQEGCQARLSES
+ELMRRKYHSVRQEDLQRVRLSRPEAVAEVKSFLIQLEALLSRLCGTCEAAYRVLHWENPA
+VSSQFYGALLGTVCMLYLLPLCWVLALLNSTLFLGNVEFFRVVSEYRASLQRRMNPKQEE
+SAFESPPPSDAGGKGALVDCTPAPTPTEDLTPGSVEEAEEAEPDEEFKDAIEEDDEGAPC
+PAEDELVLQDNGFLSKNEVLRSKVSRLTERLRKRYPTNNYGSCTGCSATFSVLKKRRNCS
+NCGNIFCSRCCSFKVPRSSMGATAPEAQRETVFVCASCNQTLSK
+>sp|Q3SZH6|TTLL9_BOVIN Probable tubulin polyglutamylase TTLL9 OS=Bos taurus OX=9913 GN=TTLL9 PE=2 SV=1
+MSRPKNQNYKGHGLQKGKEREQRASIRFKTTLMNTLMDVLRHRPGWVEVKDEGEWDFYWC
+DVSWLRENFDHTYMGEHVRISHFRNHYELTRKNYMVKNLKRFRKQLEREAGKLEAAKCDF
+FPKTFEMPCEYHLFVEEFRKNPGITWIMKPVARSQGKGIFLFRRLKDIMDWKKGTAGKKL
+TSLEAQPARNTVNPSGSHDTRSSDDQKDEIPVENYVAQRYIENPYLIGGRKFDLRVYVLV
+MSYIPLRAWLYRDGFARFSNTRFTLNSIDDQYVHLTNVAVQKTSPDYHPKKGCKWMLQRF
+RQYLASKHGPEAVETLFSDMDNIFIRSLQSVQKVIISDKHCFELYGYDILIDQDLKPWLL
+EVNASPSLTASSQEDYELKTCLLEDTLHIVDMEARLTGREKRVGGFDLMWNDGPVSREEG
+GPDLSGMGNFVTNTHLGCVNDRKEQLRQLFRSLQGQKKTPN
+>sp|O46375|TTHY_BOVIN Transthyretin OS=Bos taurus OX=9913 GN=TTR PE=1 SV=1
+MASFRLFLLCLAGLVFVSEAGSVGAGEPKCPLMVKVLDAVRGSPAANVGVKVFKKAADET
+WEPFASGKTSESGELHGLTTEDKFVEGLYKVELDTKSYWKSLGISPFHEFAEVVFTANDS
+GPRHYTIAALLSPYSYSTTALVSSPKA
+>sp|Q95116|TSP2_BOVIN Thrombospondin-2 OS=Bos taurus OX=9913 GN=THBS2 PE=2 SV=2
+MLWPLLLLALWAWPSAQAGDQDEDTAFDLFSISNINRKTIGAKQFRGPDPSVPAYRFVRF
+DYIPPVSAEHLGRITEAMRRKEGFFLTASMKQDRRSRGTLLALEGPGATHRQFEIVSNGP
+ADTLDLTYWVDGTQHVISLEDVGLADSQWKNVTVQVTGETYSLYVGCDLMDSFALDEPFY
+EHLQTERSRMYVTKGAARESHFRGLLQNVYLVFENSVEDLLSKKGCQQSQGAETNAISEN
+TETLHLSPMVTMEHVGPSAEKSPEVCEHSCEELGSMIRELSGLHVIVNQLHENLRKVSND
+NQFLWELIGGPPKTRNVSACWQDGRFFAENETWVVDSCTKCTCKKFKTVCHQISCPPATC
+ADPWFVEGECCPSCVHDGEEGWSPWAEWTECSATCGSGTQQRGRSCDVTSNTCLGPSIQT
+RACSLGRCDHRIRQDGGWSHWSPWSSCSVTCGVGNVTRIRLCNSPVPQMGGRSCKGSGRE
+TKACQGPPCPVDGRWSPWSPWSACTVTCAGGIRERTRVCNSPEPQHGGKDCVGGAKEQQM
+CNRKSCPIDGCLSNPCFPGAECSSFPDGSWSCGSCPGGFLGNGTHCEDLDECAVVTDVCF
+ATSKAHRCVNTNPGYHCLPCPPRYKGNQPFGVGLEAARTEKQVCEPENPCKDKTHSCHRH
+AECIYLGHFSDPMYKCECQTGYAGDGLICGEDSDLDGWPNKNLVCATNATYHCVKDNCPL
+LPNSGQEDFDKDGIGDACDDDDDNDGVSDEKDNCQLLFNPRQFDYDKDEVGDRCDNCPYV
+HNPAQIDTDNNGEGDACSVDIDGDDVFNERDNCPYVYNTDQRDTDGDGVGDHCDNCPLVH
+NPDQTDVDNDLVGDQCDNNEDIDEDGHQNNQDNCPHIPNANQADHDRDGQGDACDSDDDN
+DGIPDDRDNCRLVANPDQEDSDGDRRGDACKDDFDNDSIPDIDDVCPENNAISETDFRNF
+QMVHLDPKGTTQIDPNWVIRHQGKELVQTANSDPGIAVGFDEFGSVDFSGTFYVNTDRDD
+DYAGFVFGYQSSSRFYVVMWKQVTQTYWEDQPTRAYGYSGVSLKVVNSTTGTGEHLRNAL
+WHTGNTEGQVRTLWHDPKNIGWKDYTAYRWHLTHRPKTGYIRVLVHEGKQVMADSGPIYD
+QTYAGGRLGLFVFSQEMVYFSDLKYECRDV
+>sp|A3KN22|UBC12_BOVIN NEDD8-conjugating enzyme Ubc12 OS=Bos taurus OX=9913 GN=UBE2M PE=2 SV=1
+MIKLFSLKQQKKEEESAGGTKGSSKKASAAQLRIQKDINELNLPKTCDISFSDPDDLLNF
+KLVICPDEGFYKSGKFVFSFKVGQGYPHDPPKVKCETMVYHPNIDLEGNVCLNILREDWK
+PVLTINSIIYGLQYLFLEPNPEDPLNKEAAEVLQNNRRLFEQNVQRSMRGGYIGSTYFER
+CLK
+>sp|Q5EAC5|ZN410_BOVIN Zinc finger protein 410 OS=Bos taurus OX=9913 GN=ZNF410 PE=2 SV=1
+MLSDELESKPELLVQFVQNTSIPLGQGLVESEAKDITCLSLLPVTEASECSRLMLPDDTP
+NHTNSSKEVPSSAVLRSLQVNVGPDGEETRAQTVQKSPEFLSTPESPSLLQDLQPSDSTS
+FILLNLTRAGLGSSAEHLVFVQDEADDSGNDFLSSESTDSSIPWFLRVQELAHDSLIAAT
+RAQLAKNAKTSSNGENVHLGSGDGQPKDSGPLPQMEKKLKCTVEGCDRTFVWPAHFKYHL
+KTHRNDRSFICPAAGCGKSFYVLQRLKVHMRTHNGEKPFVCPESNCGKQFTTAGNLKNHL
+RIHTGEKPFLCEAQGCGRSFAEYSSLRKHLVVHSGEKPHQCQVCGKTFSQSGSRNVHMRK
+HHLQMGAAGSQEQEPAAEPLMGSSLLEEASVTSKNLVSMNSQPSLGGESLNLPNTNSILG
+VDDEVLAEGSPRPLSSVPDVTHHLVTMQSGRQSYELLNQGDLTERRT
+>sp|Q32L81|TAM41_BOVIN Phosphatidate cytidylyltransferase, mitochondrial OS=Bos taurus OX=9913 GN=TAMM41 PE=2 SV=1
+MALQALQSSGVAFRKILSHFPEELSLAFAYGSGVYRQAGPSSDQKNAMLDFVFTVDDPWH
+SKNLKRNWNHYSFLKVLGPRIITAVQNNYGAGVYYNTLITCDGRLIKYGVISTSVLIEDL
+LNWNNLYIAGRLQKPVKIVAMNEDVALRSALDQNLKSAVTAAFLMLPESFSEEDLFTEIA
+GLSYSGDFRMVVGEDKAKVLNIVKPNMAHFRELYGSILQESPQVVYKAQQGSLEIDKSPE
+GQFTQLMTLPKTLQQQINHIMDPPGKNRDVEETLLQVAHDPDCGDVVRLGLAAIVRPSSM
+RQSTKGIFTAGLKKSVVYSSLKLHKMWKGWVRKTS
+>sp|Q3MHJ5|RM54_BOVIN 39S ribosomal protein L54, mitochondrial OS=Bos taurus OX=9913 GN=MRPL54 PE=1 SV=1
+MAARRLFGATGSWARWRAWELPDPAGSVRLHVRDYAKRPVFKGGKGAKGAAVGETLKDPE
+VCTDPVQLTTHPMGVNIYKEGQDVVLKPDSEYPEWLFQMNVGPPKKLEELDPETREYWRL
+LRKHNIWRHNRLSKNQKF
+>sp|Q2HJJ1|RM28_BOVIN 39S ribosomal protein L28, mitochondrial OS=Bos taurus OX=9913 GN=MRPL28 PE=1 SV=1
+MPLHKVPVGLWKRLRLREGIYSRLPAHYLRSLEEARTPTPVHFRPHGAKFKINPKNGQRE
+RVEDVPIPVHYPPESQLGLWGGEGWLKGHRYVNNDKFSKRVKKVWKPQLFQRELYSEILD
+TRFTVTVTMRTLDLIDEAYGFDFYILKTPKEDLCSKFGMDLKRGMLLRLARQDPQLHPDD
+PERRAAIYDKYKAFVIPEAEAEWVGLTLDEAVEKQRLLEEKDPVPLFKVYVEELVEQLQQ
+QALSEPAVVQKRANRT
+>sp|Q3B7L8|RBM22_BOVIN Pre-mRNA-splicing factor RBM22 OS=Bos taurus OX=9913 GN=RBM22 PE=2 SV=1
+MATSLGSNTYNRQNWEDADFPILCQTCLGENPYIRMTKEKYGKECKICARPFTVFRWCPG
+VRMRFKKTEVCQTCSKLKNVCQTCLLDLEYGLPIQVRDAGLSFKDDMPKSDVNKEYYTQN
+MEREISNSDGTRPVGMLGKATSTSDMLLKLARTTPYYKRNRPHICSFWVKGECKRGEECP
+YRHEKPTDPDDPLADQNIKDRYYGINDPVADKLLKRASTMPRLDPPEDKTITTLYVGGLG
+DTITETDLRNHFYQFGEIRTITVVQRQQCAFIQFATRQAAEVAAEKSFNKFIVNGRRLNV
+KWGRSQAARGKEKEKDGTTDSGIKLEPVPGLPGALPPPPAAEEEASANYFNLPPSGPPAV
+VNIALPPPPGIAPPPPPGFGPHMFHPMGPPPPFMRAPGPIHYPSQDPQRMGAHAGKHSSP
+>sp|Q32L15|RN141_BOVIN RING finger protein 141 OS=Bos taurus OX=9913 GN=RNF141 PE=2 SV=1
+MGQQISDQTQLVLNKLPEKVAKHVMLVRESGSLTYEEFLGRVAELNDVTAKVASGQEKHL
+LFEVQPGSDSSAFWKVVVRVVCTKINKSSGIVEASRIMNLYQFIQLYKDITSQAAGVLAQ
+SSTSEDADENSASVTSCQASLWMGRVKQLTDEEECCICMDGRADLILPCAHSFCQKCIDK
+WSDRHRNCPICRLQMTGANESWVVSDAPTEDDMANYILNMADEAGQPHRP
+>sp|Q3MHR5|SRSF2_BOVIN Serine/arginine-rich splicing factor 2 OS=Bos taurus OX=9913 GN=SRSF2 PE=2 SV=3
+MSYGRPPPDVEGMTSLKVDNLTYRTSPDTLRRVFEKYGRVGDVYIPRDRYTKESRGFAFV
+RFHDKRDAEDAMDAMDGAVLDGRELRVQMARYGRPPDSHHSRRGPPPRRYGGGGYGRRSR
+SPRRRRRSRSRSRSRSRSRSRSRYSRSKSRSRTRSRSRSTSKSRSARRSKSKSSSVSRSR
+SRSRSRSRSRSPPPVSKRESKSRSRSKSPPKSPEEEGAVSS
+>sp|P50227|ST1A1_BOVIN Sulfotransferase 1A1 OS=Bos taurus OX=9913 GN=SULT1A1 PE=1 SV=2
+MELIQDTSRPPAKYVKGIPLIKYFAEALGPLESFEAWPDDLLISTYPKSGTTWVSEILDL
+IYQEGDLEKCQRAPVFLRVPFLEFSAPGVPTGVELLKDTPAPRLLKTHLPLALLPKTLLD
+QKVKVIYIARNAKDVAVSYYHFYRMAKVHPDPGTWDSFLEKFMAGEVCYGSWYQHVQEWW
+ELSHTHPVLYLFYEDIKEDPKREIQKILEFIGRSLPEETVDHIVQRTSFKEMKKNPMTNY
+STIPTAVMDHSISAFMRKGITGDWKSTFTVAQNELFEAHYAKKMAGCKLRFRWEL
+>sp|Q3SZ45|SDF2_BOVIN Stromal cell-derived factor 2 OS=Bos taurus OX=9913 GN=SDF2 PE=2 SV=1
+MAVVSLLLFGGLWSAVGSSNLAVVTCGSVVKLLNTRHNVRLHSHDVRYGSGSGQQSVTGV
+TSVDDSNSYWRIRGKTATVCERGTPIRCGQPIRLTHVNTGRNLHSHHFTSPLSGNQEVSA
+FGEEGEGDYLDDWTVLCNGPYWVRDGEVRFKHSSTEVLLSVTGEQYGRPISGQKEVHGMA
+QPSQNNYWKAMEGIFMKPSELLKAEGHHTEL
+>sp|Q6Q137|SEPT7_BOVIN Septin-7 OS=Bos taurus OX=9913 GN=SEPTIN7 PE=2 SV=2
+MSVSARSAAAEERSVNSSTMVAQQKNLEGYVGFANLPNQVYRKSVKRGFEFTLMVVGESG
+LGKSTLINSLFLTDLYSPEYPGPSHRIKKTVQVEQSKVLIKEGGVQLLLTIVDTPGFGDA
+VDNSNCWQPVIDYIDSKFEDYLNAESRVNRRQMPDNRVQCCLYFIAPSGHGLKPLDIEFM
+KRLHEKVNIIPLIAKADTLTPEECQQFKKQIMKEIQEHKIKIYEFPETDDEEENKLVKKI
+KDRLPLAVVGSNTIIEVNGKRVRGRQYPWGVAEVENGEHCDFTILRNMLIRTHMQDLKDV
+TNNVHYENYRSRKLAAVTYNGVDNNKNKGQLTKSPLAQMEEERREHVAKMKKMEMEMEQV
+FEMKVKEKVQKLKDSEAELQRRHEQMKKNLEAQHKELEEKRRQFEDEKANWEAQQRILEQ
+QNSSRTLEKNKKKGKIF
+>sp|A0JN71|SASH3_BOVIN SAM and SH3 domain-containing protein 3 OS=Bos taurus OX=9913 GN=SASH3 PE=2 SV=2
+MLRRKPSNASEKEPTQKKKLSLQRSSSFKDFAKSKPSSPVVSEKEFNLDDNIPEDESSVP
+TPEDAEKSGKKLGKKWRAVISRTMNRKTGKKMVKALSEEMGDTLEEGSASPTSPDCSLDS
+PGPEKMALAFSEQEERELPALSRQASTGSELCSPSPGSGNLGEESTAPQYTGPFCGRARV
+HTDFTPSPYDRDSLKLQKGDVIQIVEKPPVGTWLGLLNGRMGSFKFIYVDVLPEEAVGPA
+RPSRRQSKGKRPKPKTLHELLERIGLEEHTSTLLLNGYQTLEDFKELRETHLNELNIMDP
+QHRAKLLTAAELLLDYDTGSEEAEEGTESGQEPAVSTVADPKVDIPRDSGCFEGSESGRD
+EAELAGTEEQLHGLSLSGAP
+>sp|P82915|RT16_BOVIN 28S ribosomal protein S16, mitochondrial OS=Bos taurus OX=9913 GN=MRPS16 PE=1 SV=2
+MVQLTTVLCKAYRGGHLTIRLALGGCTNRPFYRIVAAHNKCPRDGRFVEQLGSYDPMPNS
+HGEKLVALNLDRIRHWIGCGAHLSKPVEKLLGLSGFFPLHPMVITNAERLRRKRAQEVLL
+AAQKTDTEATETKEN
+>sp|Q3MHP3|S10AE_BOVIN Protein S100-A14 OS=Bos taurus OX=9913 GN=S100A14 PE=3 SV=1
+MGQCRSANAEDAQELSDVERAIETLIKNFHQYSVEGGKETLTPSELRDLVTQQLPHLMPS
+NCGLEEKIANLGNCNDSKLEFGSFWELIGEAAKSVKLENAVQGS
+>sp|P27922|SC6A3_BOVIN Sodium-dependent dopamine transporter OS=Bos taurus OX=9913 GN=SLC6A3 PE=2 SV=1
+MSEGRCSVAHMSSVVAPAKEANAMGPKAVELVLVKEQNGVQLTNSTLLNPPQSPTEAQDR
+ETWSKKADFLLSVIGFAVDLANVWRFPYLCYKNGGGAFLVPYLFFMVVAGVPLFYMELAL
+GQFNREGAAGVWKICPILRGVGYTAILISLYIGFFYNVIIAWALHYLLSSFTTELPWTHC
+NHSWNSPRCSDARAPNASSGPNGTSRTTPAAEYFERGVLHLHESQGIDDLGPPRWQLTSC
+LVLVIVLLYFSLWKGVKTSGKVVWITATMPYVVLFALLLRGITLPGAVDAIRAYLSVDFH
+RLCEASVWIDAAIQICFSLGVGLGVLIAFSSYNKFTNNCYRDAIITTSVNSLTSFSSGFV
+VFSFLGYMAQKHSVPIGDVAKDGPGLIFIIYPEALATLPLSSVWAVVFFVMLLTLGIDSA
+MGGMESVITGLADEFQLLHRHRELFTLLVVLATFLLSLFCVTNGGIYVFTLLDHFAAGTS
+ILFGVLMEVIGVAWFYGVWQFSDDIKQMTGRRPSLYWRLCWKFVSPCFLLFVVVVSIATF
+RPPHYGAYVFPEWATALGWAIAASSMSVVPIYAAYKLCSLPGSSREKLAYAITPETEHGR
+VDSGGGAPVHAPPLARGVGRWRKRKSCWVPSRGPGRGGPPTPSPRLAGHTRAFPWTGAPP
+VPRELTPPSTCRCVPPLVCAHPAVESTGLCSVY
+>sp|Q5EA86|TM183_BOVIN Transmembrane protein 183 OS=Bos taurus OX=9913 GN=TMEM183 PE=2 SV=1
+MARGPGPLTRPRPDTVAMPKRGKRLKFRAQDACSGRVTVADYANSDPAVVRSGRVKKAVA
+NAVQQEVKSLCGLEASQVPAVEALSGAGEPCDIIDSSGETDAQEESIHERTISRKKKSKR
+HREDLNGTGGEEYPMDIWLLLASYIRPEDIVNFSLICKNAWTVTCTAAFWTRLYRRHYTL
+DASLPLRLRPESMEKLHCLRACVIRSLYHMYEPFAARISKNPAIPESTPSTLKNSKCLLF
+WCRKIVGNRQEPMWEFNFKFKKQSPRLKSKCMGGLQPPIQYEDVHTNPDQDCCLLQVTTL
+NFIFIPIVMGMIFTLFTISVSTDMRHHRVRLVFQDYPVHGGQKLRSEQGVQVILDPVHSV
+RLFDWWHPQYPFSLRA
+>sp|A1A4N1|S29A3_BOVIN Equilibrative nucleoside transporter 3 OS=Bos taurus OX=9913 GN=SLC29A3 PE=2 SV=1
+MAIISEDDFRHTSNSTYRTASSSLRADQEALLEKLLDRPPPSLQRPEDRFNGTYIIFFSL
+GIGGLLPWNFFVTAQEYWIFKLSNCSSPAAGEEPKDSDILNYFESYLAVASTVPSVLCLA
+LNFLLVNRVPIRVRVLASLTVMLAIFIVMTVLVKVDTSSWTHSFFTITITCMAILSGTST
+IFNSSVFGMTGSFPMRNSQALISGGAMGGTLSAVASLVDLAVASDVTDSTLAFFLTADIF
+LALCIGLYLLLPRLDYARYYMKPVWPTVFSGEEQLPQDSPSPTSVAPGSSDPQTPPLGPI
+LKKTTGLGFCIIYLFFITSLIFPAICTNIESLSKGSGSPWSTKFFVPLTTFLLYNFADLC
+GRQVTAWIQVPGPRSKALPGLALLRTCFVPLFVFCNYQPRGHLHTVLFQSDVYPVLFTSL
+LGLSNGYLSTLALIYGPKIVPRELAEATGVVMTFYMGLGLVLGSACSALLVHLI
+>sp|P82922|RT29_BOVIN 28S ribosomal protein S29, mitochondrial OS=Bos taurus OX=9913 GN=DAP3 PE=1 SV=3
+MLKGMTRLVSRVHKLDPGRFSHLGTQAPQCPVAHLDNQVPTERTRAISRTLENDPAKHGE
+QHVGQHYNISIQELKTVFPHGLPPRFVMQVKTFNEACLMVRKPALELLHYLKNTNFAHPA
+VRYVLYGEKGTGKTLSLCHIIHFCAKQDWLILHIPDAHLWVKNCRDLLQSTYNKQRFDQP
+LEASIWLKNFKTANERFLSQIKVQDKYVWNKRESTEKGSPLAEVVEQGIMRVRNATDAVG
+IVLKELKRQSSLGVFRLLVAVDGVNALWGRTTLKREDKSPITPEELALIYNLRKMVKNDW
+QGGAIVLTVSQTGSLFKPRKAYLPQELLGKEGFDTLDPFIPILVSNYNPKEFEGCIQYYL
+ENNWLQHEKAHTEEGKKELLFLSNRNPGLLERLCAYL
+>sp|Q17QK8|SPRE_BOVIN Sepiapterin reductase OS=Bos taurus OX=9913 GN=SPR PE=2 SV=1
+MEGSVGKVGGLGRTLCVLTGASRGFGRTLAQVLAPLMSPRSVLVLSARNDEALRQLETEL
+GAEWPGLRIVRVPADLGAETGLQQLVGALCDLPRPEGLQRVLLINNAGTLGDVSKRWVDL
+TDPTEVNNYWTLNLTSTLCLTSSILQAFPDSPGLSRTVVNISSICALQPFKGWGLYCAGK
+AARNMMFQVLAAEEPSVRVLSYGPGPLDTDMQQLARETSVDPDLRKSLQELKRKGELVDC
+KISAQKLLSLLQNDKFESGAHIDFYDE
+>sp|Q3T196|YIF1A_BOVIN Protein YIF1A OS=Bos taurus OX=9913 GN=YIF1A PE=2 SV=1
+MAYHSGYGAHGSKHRARAAPDPPPLFDDTSGGYSSQPGGYPAPGADVAFNVNHLLGDPMA
+NMAMAYGSSIASHGKDMMNKELHRFVSVNKLKYFFAVDTAYVAKKLGLLVFPYTHQNWEV
+QYSRDVPLPPRQDLNAPDLYIPTMAFITYVLLAGMALGIQKRFSPEVLGLCASTALVWVV
+MEVLALLLGIYLATVRSDLSTFHLLAYSGYKYVGMILSVLTGLLFGSDGYYVALAWTSSA
+LMYFIVRSLRTAALGPDSMGGPAPRQRLQLYLTLGAAAFQPLIIYWLTFHLVR
+>sp|A6QLC6|YIPF6_BOVIN Protein YIPF6 OS=Bos taurus OX=9913 GN=YIPF6 PE=2 SV=1
+MAEAVESPGDPGTTTPRPLFAGLSDISISQDIPVEGEITIPVRSRVREFDSSTLNESVQN
+TIMRDLKAVGKKFMHVLYPRKSNTLLRDWDLWGPLILCVTLALMLQRGSVDSEKDGGPQF
+AEVFVIVWFGAVTITLNSKLLGGNISFFQSLCVLGYCILPLTMAMLVCRLVLLAEPGPVN
+FMVRLFVVIIMFAWSIVASTAFLADSQPPNRKALAVYPVFLFYFVISWMILTFTPQ
+>sp|Q2KIS9|TSN8_BOVIN Tetraspanin-8 OS=Bos taurus OX=9913 GN=TSPAN8 PE=2 SV=1
+MAGVNVCIKCSMFIFNFVFWLCGAIILSVAISIRAGKIGQEILAPGDADLNLFIAVNILI
+FVGAVIMILGFLGCCGAMKENQFMMILFFVGLLMILLLQVAAGIVATTRKSKTEQALNKT
+LLINARLLSSTDENERVFQKAFSELQEELKCCGLVNGASDWGSNFQHYYRTCECPSESDS
+SCTKYSGKTIYKQSCFASISQMFSKRLFIVLALAFGLAAIEVLGLIFSIVLYCQMRKK
+>sp|P30535|TSPO_BOVIN Translocator protein OS=Bos taurus OX=9913 GN=TSPO PE=2 SV=1
+MAPPWVPAVGFTLLPSLGGFLGAQYTRGEGFRWYASLQKPPWHPPRWILAPIWGTLYSAM
+GYGSYMIWKELGGFSKEAVVPLGLYAGQLALNWAWPPLFFGTRQMGWALVDLLLTGGMAA
+ATAMAWHQVSPPAACLLYPYLAWLAFAGMLNYRMWQDNQVRRSGRRLSE
+>sp|P23956|VATL_BOVIN V-type proton ATPase 16 kDa proteolipid subunit OS=Bos taurus OX=9913 GN=ATP6V0C PE=1 SV=1
+MSEAKNGPEYASFFAVMGASAAMVFSALGAAYGTAKSGTGIAAMSVMRPEMIMKSIIPVV
+MAGIIAIYGLVVAVLIANSLNDGISLYRSFLQLGAGLSVGLSGLAAGFAIGIVGDAGVRG
+TAQQPRLFVGMILILIFAEVLGLYGLIVALILSTK
+>sp|A6H730|PPAP_BOVIN Prostatic acid phosphatase OS=Bos taurus OX=9913 GN=ACPP PE=2 SV=1
+MRNAALLMTRATSLRLSLLLLLSFLPDLDGGVRAKELRFVTLVFRHGDRSPIETFPNDPI
+KESSWPQGFGQLTQLGMAQHYELGQYIRKRYENFLNESYKREQVHVRSTDIDRTLMSAMT
+NLAALFPPEGISIWNPSLPWQPIPVHTVPVSEDQLLYLPFRNCPRFQELQSETLISEEFQ
+KRLQPYKDFIEVLPKLTGYHDQDLLGIWSKVYDPLFCEGVHNFTLPSWATEDTMTKLKEI
+SELSLLSLYGIHKQKEKSRLQGGVLINEILNHMKSATQPSNRRKLIMYSAHDTTVSGLQM
+ALDVYNGILPPYASCHMMELYFQDGEYFVEMYYRNETRYEPHPLTLPGCTPSCPLAKFVE
+LVAPVISQDWSMECAIRNHKGTEDIIN
+>sp|F1MKX4|PSME4_BOVIN Proteasome activator complex subunit 4 OS=Bos taurus OX=9913 GN=PSME4 PE=1 SV=1
+MEPAERAGGRDPLEPGGRPGPDPQGFVPQKEIVYNKLLPYAERLDAESDLQLAQIKSNLG
+RAVQLQELWPGGLFWTRKLSTYHRLYGRKFSKEDHVLFIKLLYELVSIPKLEISMMQGFA
+RLLINLLKKKELLSRDDLELPWRPLYDMVERILYSKTEHLGLNWFPNSVENVLKTLVKSC
+RPYFPADATAEMLEEWRPLMCPFDVTMQKAITYFEIFLPTSLPPELHHKGFKLWFDELIG
+LWVSVQNLPQWEGQLVNLFARLATDNIGYIDWDPYVPKVFTRILRSLNLPVGSSQVLVPR
+FLTNAYDIGHAVVWITAMMGGPSKLVQKHLAGLFNSITSFYHPSNNGRWLNKLMKLLQRL
+PNSVVRRLHRERYKKPSWLTPVPDSHKLTDQDVTDFVQCIIQPVLLAMFSKTGSLEAAQA
+LQNLALMRPELVIPPVLERTYPALETLTEPHQLTATLSCVIGVARSLVSGGRWFPEGPTH
+MLPLLMRALPGVDPNDFSKCMITFQFIATFSTLVPLVDCSSVLQERNDLTEVERELCSAT
+AEFEDFVLQFMDRCFGLIESSTLEQTREETETEKMTHLESLVELGLSSTFSTILTQCSKE
+IFMVALQKVFNFSISHIFETRVAGRMVADMCRAAVKCCPEESLKLFVPHCCGVITQLTMN
+DDVLNEEELDKELLWNLQLLSEITRVDGKKLLLYREQLVKILQRTLHLTCKQGYTLSCNL
+LHHLLRSTTLIYPTEYCSVPGGFDKPPSEYFPIKDWGKPGDLWNLGIQWHVPSSEEVAFA
+FYLLDSFLQPELIKLQRCGDGELEMSRDDVLQSLTIVHNCLIGSGNLLPPLKGEPVTNLV
+PSMVSLEETKLYTGLEYEIDLSRENYRETIARVIRKLLNHILNNSEDDTKSLFLIIKIIG
+DLLQFQGSHKHEFDSRWKSFNLVKKSMENRLHGKKQHIRALLIDRVMLQHELRTLTVEGC
+EYKKIHQEMIRDLLRLSTSSYSQVRNKAQQTFFAALGAYNFCCRDIIPLVLGFLRPDRQD
+VTQQQFKGALYCLLGNHSGVCLANLHDWDCIVQTWPAIVSSGLSQAMSLEKPSIVRLFDD
+LAEKIHRQYETIGLDFTVSKSCVGIAELLQQSKNPSINQTMLSSEEIKEGLKRQQGRNVD
+ALRNYENLVNTLLDGVEQRNLPWKFEHIGIGLLSLLLRDDRVLPLRAIRFFVENLNHDAI
+VVRKMAISAVAGILKQLKRTHKKLTISPYEISGYPKPTQIVAGDRPDNHWLHYDSKSIPR
+TKKEWESSCFVEKTHWGYYTWPQNMVVYAGVEEQPKLGRSREDLTEAEQIIFDHFSDPKF
+VEQLITFLSLEDRKGKDKFNPRRFCLFKGIFRNFDDAFLPVLKPHLERLVADSHESTQRC
+VAEIIAGLIRGSKHWTFEKVEKLWELLCPLLRTALSNITVETYNDWGTCIATSCESRDPR
+KLHWLFELLLESPLSGEGGSFVDACRLYVLQGGLAQQEWRVPELLHRLLKYLEPKLTQVY
+KNVRERIGSVLTYIFMIDVSLPNTAPTASPRVPEFTARILEKLKPLMDVDEEIQNHVMEE
+NGIGEEDERTQGIKLLKTILKWLMASAGRSFSTAVAEQLQLLPLFFKIAPVENDNSYDEL
+KRDAKLCLSLMSQGLLYPHQVPLVLQVLNQTARSSSWHARYTVLTYLQTMVFYNLFIFLN
+NEDAVKDIRWLVISLLEDEQLEVREMAATTLSGLLQCNFLTMDSPMQIHFEQLCKTKLPK
+KRKRDPGFVGDTIPSAELVKRHAGVLGLGACVLSSPYDVPTWMPQLLMNLSAHLNDPQPI
+EMTVKKTLSNFRRTHHDNWQEHKQQFTDDQLLVLTDLLVSPCYYA
+>sp|Q3SZ63|NOP56_BOVIN Nucleolar protein 56 OS=Bos taurus OX=9913 GN=NOP56 PE=2 SV=1
+MVLLHVLFEHAVGYALLALKEVEEIILLLPQVEECVLNLGKFHNIVRLVAFCPFSSSQVA
+LENANAVSEGVVHEDLRLLLETHLPPKKKKVLLGVGDPKIGAAIQEELGYNCQTGGVIAE
+ILRGVRLHFHNLVKGLTDLSACKAQLGLGHSYSRAKVKFNVNRVDNMIIQSISLLDQLDK
+DINTFSMRVREWYGYHFPELVKIINDNATYCRLAQFIGNRKELNEEKLEKLEELTMDAAK
+AKAILDASRSSMGMDISAIDLINIESFSSRVVSLSEYRQSLHTYLRSKMSQVAPSLSALI
+GEAVGARLIAHAGSLTNLAKYPASTVQILGAEKALFRALKTRGNTPKYGLIFHSTFIGRA
+AAKNKGRISRYLANKCSIASRIDCFSEVPTSVFGEKLREQVEERLSFYETGEIPRKNLDV
+MKEAMVQAEEAAAEITRKLEKQEKKRLKKEKKRLAAIALASSENSSAPEECEETSERPKK
+KKKQKPQEVLQENGMEDPSVSFSKPKKKKSFSKEELVSSDLEETAGTGSLPKRKKSFPKE
+EPVTDPDESENKRVPKKKRKLSPKEEPLSSGPEEAAASKSSGSKKKKKLRKLSQES
+>sp|Q3MHZ1|NAT14_BOVIN N-acetyltransferase 14 OS=Bos taurus OX=9913 GN=NAT14 PE=2 SV=1
+MAPSHLSVREMREDEKPLVLEMLKAGVKDTENRVALHALTRPPALLLLAAASSGLRFVLA
+SFALALLLPVFLAVAAMKLGLRARWGSLPPPGGLGGPWVAVRGSGDVCGVLALAPGSSAG
+DGARVTRLSVSRWHRRRGVGRRLLAFAESRARAWAGGMGEPRARLVVPVAVAAWGVAGML
+EGCGYQAEGSWGCMGYTLVREFSKEL
+>sp|Q28156|PDE5A_BOVIN cGMP-specific 3',5'-cyclic phosphodiesterase OS=Bos taurus OX=9913 GN=PDE5A PE=1 SV=1
+MERAGPGSARPQQQWDQDSVEAWLDDHWDFTFSYFVRKGTREMVNAWFAERVHTIPVCKE
+GIKGHTESCSCPLQPSPRAESSVPGTPTRKISASEFDRPLRPIVIKDSEGTVSFLSDSDK
+KEQMPLTSPRFDNDEGDQCSRLLELVKDISSHLDVTALCHKIFLHIHGLISADRYSLFLV
+CEDSSNDKFLISRLFDVAEGSTLEEASNNCIRLEWNKGIVGHVAAFGEPLNIKDAYEDPR
+FNAEVDQITGYKTQSILCMPIKNHREEVVGVAQAINKKSGNGGTFTEKDEKDFAAYLAFC
+GIVLHNAQLYETSLLENKRNQVLLDLASLIFEEQQSLEVILKKIAATIISFMQVQKCTIF
+IVDEDCSDSFSSVFHMECEELEKSSDTLTRERDANRINYMYAQYVKNTMEPLNIPDVSKD
+KRFPWTNENMGNINQQCIRSLLCTPIKNGKKNKVIGVCQLVNKMEETTGKVKAFNRNDEQ
+FLEAFVIFCGLGIQNTQMYEAVERAMAKQMVTLEVLSYHASAAEEETRELQSLAAAVVPS
+AQTLKITDFSFSDFELSDLETALCTIRMFTDLNLVQNFQMKHEVLCKWILSVKKNYRKNV
+AYHNWRHAFNTAQCMFAALKAGKIQKRLTDLEILALLIAALSHDLDHRGVNNSYIQRSEH
+PLAQLYCHSIMEHHHFDQCLMILNSPGNQILSGLSIEEYKTTLKIIKQAILATDLALYIK
+RRGEFFELIMKNQFNLEDPHQKELFLAMLMTACDLSAITKPWPIQQRIAELVATEFFDQG
+DRERKELNIEPADLMNREKKNKIPSMQVGFIDAICLQLYEALTHVSEDCFPLLDGCRKNR
+QKWQALAEQQEKTLINGESSQTKRN
+>sp|Q3SZC4|NSF1C_BOVIN NSFL1 cofactor p47 OS=Bos taurus OX=9913 GN=NSFL1C PE=2 SV=1
+MAAERQDALREFVAVTGAEEDRARFFLESAGWDLQIALASFYEDGGDEDIVTISQATPSS
+VSRGTAPSDNRVTSFRDLIHDQDEDEEEEEGQRFYAGGSERSGQQIVGPPRKRSPNELVD
+DLFKGAKEHGAVAVERVTKSPGETSKPRPFAGGGYRLGAAPEEESAYVAGERRRHSGQDV
+HVVLKLWKTGFSLDNGELRSYQDPSNAQFLESIRRGEVPAELRRLAHGGQVNLDMEDHRD
+EDFVKPKGAFKAFTGEGQKLGSTAPQILNTSSPAQQAENEAKASSSISIDESQPTTNIQI
+RLADGGRLVQKFNHSHRISDIRLFIVDARPAMAATSFVLMTTFPNKELADENQTLKEANL
+LNAVIVQRLT
+>sp|Q1LZ80|PAGR1_BOVIN PAXIP1-associated glutamate-rich protein 1 OS=Bos taurus OX=9913 GN=PAGR1 PE=2 SV=1
+MSLVRGHGDIAATTAAPLSEEGEVTSGLQALAVEDTGGPSASADQAEEEGEGGREEAEHE
+GSGAEEVQGEAPSPEGEERAKGESEDWCVPCSDEEVELPADGQSWMPPPSEIQRLYELLA
+AHGTLELQAEILPRRPPTPEAQSEEERSDEEPEAKEEEEEKPHMPTEFDFDDEPTTPKDS
+LIDRRRTPGSSARSQKREARLDKVLSDMKRHKKLEEQILRTGRDLFSLDSEDASPASPPL
+RSSGSLFPRQRKY
+>sp|P18917|PLRP4_BOVIN Placental prolactin-related protein 4 OS=Bos taurus OX=9913 GN=PRP4 PE=2 SV=1
+MAPAPSFHGHQWTYNLVRGSCLLLLLVMSNLLLCQGILCPSLCPDGDDVCRASLIDLFVH
+ASVLSTGMYNTSVKMFTDFDEQYAQGKEYFINVSDKCHTNSLHLPEDMQHNPTDEQKGLI
+MWILMLLYSWQRPLYQLVTDLRSMKEVSNTILSSARENVKKLKELQALIERPFSQVIFTA
+RRKMYIARIYWFGLRSLLSSNEDRRHSAFYSLFFCLRRDTRKLDIYTKFVACRLIYKKC
+>sp|Q2HJI2|NDUF3_BOVIN NADH dehydrogenase [ubiquinone] 1 alpha subcomplex assembly factor 3 OS=Bos taurus OX=9913 GN=NDUFAF3 PE=2 SV=1
+MAAAFVLRNLYRARPALRSPPSELPWAPRRGHRLTPADDELYQRTRISLLQRESPLAMYI
+DSYSSRGFVVNGNRVFGPCALLPQSVVQWNVGSYQDITEESFSLFWMLEPRIEIVVVGTG
+DRTERLQPHVLRAMRQRGIAVEVQDTPNACATFNFLCHEGRVTGAALIPPPGGTALTSQA
+QAAE
+>sp|Q29RJ9|PSRC1_BOVIN Proline/serine-rich coiled-coil protein 1 OS=Bos taurus OX=9913 GN=PSRC1 PE=2 SV=1
+MEDLEEDVKFIADETLDFGGLSPSDSREEEDVAVLVTPEKPLRRGLSHRSDPNAVAPTPQ
+GLRLSLGPLSPEKLEEILHEANRLAAQLEQCALKERENTGEGSGPRRVKPSPRRETFVLK
+DSPVRDLLPTVSSLARSTPSPSSLTPRLRSSDRKGSIRALRATSGKKPSSVKRESPTCNL
+FPASKSPASSPLARSAPPVRGKAGPSGRATASPPTPVRPVLAPQPPAGSSQRPSRPQGAA
+AKPSSRLPVPSAVPRPGNRMPLASRSVPSSKGAPPSDSLSARKGLPRPSAAGHRVPVSQR
+PNLPISGAGRSNLQPPRKVAVPGSTR
+>sp|P11178|ODBA_BOVIN 2-oxoisovalerate dehydrogenase subunit alpha, mitochondrial OS=Bos taurus OX=9913 GN=BCKDHA PE=1 SV=1
+MQGSAKMAMAVAVAVARVWRPSRGLGRTGLPLLRLLGARGLARFHPHRWQQQQHFSSLDD
+KPQFPGASAEFIDKLEFIQPNVISGIPIYRVMDRQGQIINPSEDPHLPQEKVLKFYKSMT
+LLNTMDRILYESQRQGRISFYMTNYGEEGTHVGSAAALDDTDLVFGQYREAGVLMYRDYP
+LELFMAQCYGNVSDLGKGRQMPVHYGCRERHFVTISSPLATQIPQAVGAAYAAKRANANR
+VVICYFGEGAASEGDAHAGFNFAATLECPIIFFCRNNGYAISTPTSEQYRGDGIAARGPG
+YGILSIRVDGNDVFAVYNATKEARRRAVAENQPFLIEAMTYRIGHHSTSDDSSAYRSVDE
+VNYWDKQDHPISRLRHHLQSRGWWDDEQEKAWRKQSRKKVMEAFEQAERKLKPNPSLIFS
+DVYQEMPAQLRKQQESLARHLQTYGEHYPLDHFEK
+>sp|Q17QG2|NUDC_BOVIN Nuclear migration protein nudC OS=Bos taurus OX=9913 GN=NUDC PE=2 SV=1
+MGGEQEEDRFDGMLLAMAQQHEGGVQELVNTFFSFLRRKTDFFVGGEEGMAEKLITQTFN
+HHNQLAQKARREKRARQETERREKAERAARLAKEAKSETSGPQIKELTDEEAERLQLEID
+QKKDAENQEAQLKNGSLGSPGKQEAEEEEEEDDEKDKGKLKPNLGNGADLPSYRWTQTLS
+ELDLAVPFCVNFRLKGKDVVVDIQRRHLRVGLKGQPAIVDGELYNEVKVEESSWLIEDGK
+VVTVHLEKINKMEWWSRLVSSDPEINTKKINPENSKLSDLDSETRSMVEKMMYDQRQKSM
+GLPTSDEQKKQEILKKFMDQHPEMDFSKARFN
+>sp|E1BMN8|NLK_BOVIN Serine/threonine-protein kinase NLK OS=Bos taurus OX=9913 GN=NLK PE=3 SV=2
+MPNVFQNLVSCKRVFRELKMAAYNGGTSAAAAGHHHHHHHHLPHLPPPHLHHHHHPQHHL
+HPGSAAAVHPVQQHTSSAAAAAAAAAAAAAMLNPGQQQPYFPSPAPGQAPGPAAAAPAQV
+QAAAAATVKAHHHQHSHHPQQQLDIEPDRPIGYGAFGVVWSVTDPRDGKRVALKKMPNVF
+QNLVSCKRVFRELKMLCFFKHDNVLSALDILQPPHIDYFEEIYVVTELMQSDLHKIIVSP
+QPLSSDHVKVFLYQILRGLKYLHSAGILHRDIKPGNLLVNSNCVLKICDFGLARVEELDE
+SRHMTQEVVTQYYRAPEILMGSRHYSNAIDIWSVGCIFAELLGRRILFQAQSPIQQLDLI
+TDLLGTPSLEAMRTACEGAKAHILRGPHKQPSLPVLYTLSSQATHEAVHLLCRMLVFDPS
+KRISAKDALAHPYLDEGRLRYHTCMCKCCFSTSTGRVYTSDFEPVTNPKFDDTFEKNLSS
+VRQVKEIIHQFILEQQKGNRVPLCINPQSAAFKSFISSTVAQPSEMPPSPLVWE
+>sp|Q08DR0|PHF6_BOVIN PHD finger protein 6 OS=Bos taurus OX=9913 GN=PHF6 PE=2 SV=1
+MSSSVEQKKGPTRQRKCGFCKSNRDKECGQLLISENQKVAAHHKCMLFSSALVSSHSDNE
+SLGGFSIEDVQKEIKRGTKLMCSLCHCPGATIGCDVKTCHRTYHYHCALHDKAQIREKPS
+QGIYMVYCRKHKKTAHNSEADLEESFNEHELEPSSPKSKKKSRKGRPRKTNFKGLSEDTR
+STSSHGTDEMESSSYRDRSPHRSSPSDTRPKCGFCHVGEEENQARGKLHIFNAKKAAAHY
+KCMLFSSGTVQLTTTSRAEFGDFDIKTVLQEIKRGKRMKCTLCSQPGATIGCEIKACVKT
+YHYHCGVQDKAKYIENMSRGIYKLYCKNHSGNDERDEEDEERESKSRGKVEIDQQQLTQQ
+QLNGN
+>sp|Q2YDI2|ORC4_BOVIN Origin recognition complex subunit 4 OS=Bos taurus OX=9913 GN=ORC4 PE=2 SV=1
+MSSRKSKSSNLIQADYISQVQRILRERFCHQSPHGNLFGVQVQYKHLIELLKRTAIHGES
+NSILIIGPRGSGKTMLINHALKELMEIEGVSENILQVHLNGLLQINDKIALKEITRQLNL
+ENVVGDKVFGSFAENLSFLLEALKKGDRTSSCPVIFILDEFDLFAHHKNQTLLYNLLDIS
+QSAQTPVVIIGLTCRLDILELLEKRVKSRFSHRQIHLMNSFSFPQYLKIFKEQLSLPSVF
+PEEIFAEKWNENVQSLSEDRSVKEVLQKHFNVSKNLRSLHMLLMLALNRVTPSHPFITAA
+DLMEANQLCSMDSKGNIVHGLSVLEICLIIAMKHLNDIYEEEPFNFQMVYNEFQKFVQRK
+AHSVYNFEKPVVMKAFEHLQQLELIRPMERTSVNAQREYQLMKLLLDNTQIMNALQKYPN
+CPTDVRQWATSSLSWL
+>sp|Q3SZ62|PGAM1_BOVIN Phosphoglycerate mutase 1 OS=Bos taurus OX=9913 GN=PGAM1 PE=2 SV=3
+MAAYKLVLIRHGESTWNLENRFSGWYDADLSPAGHEEAKRGGQALRDAGYEFDICFTSVQ
+KRAIRTLWTVLDAIDQMWLPVVRTWRLNERHYGGLTGLNKAETAAKHGEAQVKIWRRSYD
+VPPPPMEPDHPFYSNISKDRRYADLTEDQLPSCESLKDTIARALPFWNEEIVPQIKEGKR
+VLIAAHGNSLRGIVKHLEGLSEEAIMELNLPTGIPMVYELDKNLKPIKPMQFLGDEETVR
+KAMEAVAAQGKAKK
+>sp|Q9XTA3|MYOC_BOVIN Myocilin OS=Bos taurus OX=9913 GN=MYOC PE=2 SV=1
+MPAVQLLLLACLLGGVGARTAQFQKANDRSGRCQYTFSVASPSESSCPEQGQAMLAIQEL
+QRDSSEQRATLESTKARLSSLEALLHRLTSGQPAGPLETHQGLQRELEALRREREQLETQ
+TQELESAYSNLVRDKSALEEEKRRLQAENEDLARRLESSSQEVASLRRGQCPQAHSSSQD
+VPSGSREVAKWNLENMDFQELKSELTEVPASQILKESPSGHPRNEEGGTGCGELVWVGEP
+ITLRTAETITGKYGVWMRDPRAAFPYTGETTWRIDTVGTDIRQVFEYDHIRKFTQGYPSK
+VHVLPRPLESTGAVVYRGSLYFQAAESRTVLRYDLRTETLKAEKEIPGAGYHGQFPYSWG
+GYTDIDLAVDEIGLWVIYSTEAAKGAIVLSKLNPETLELEQTWETNIRKQSVANAFIICG
+TLYTVSSYSSPDATVNFAYDTGTGSSKALTVPFKNRYKYSSMIDYNPLERKLFAWDNFNM
+VSYDIKLSRL
+>sp|Q5E9R0|PRR5L_BOVIN Proline-rich protein 5-like OS=Bos taurus OX=9913 GN=PRR5L PE=2 SV=1
+MTRGFTPSLPVEFPKMGSFRRPRPRFMSSPALSDLPRFQAARQALQLSSNSAWNSVQTAV
+INVFKGGGLQSNELYALNENIRRLLKSELGSFITDYFQNQLLAKGLLFVEEKIKLCEGEN
+RIEVLAEVWDHFFTETLPTLQAIFYPVQGQELTIRQISLLGFRDLVLLKVKLEDLLLLAQ
+PQLPSSIVQMLLILQSVHEPTGPSEGYLQLEELVKQVVSPFLGISEDRGLSGPTYTLARR
+HSRVRPKVTLLNYASPITPVSRPLNEMALTPLTEQEGEAYLEKCGSVRRHTVANAHSDIQ
+LLAMATMMHSGLGEESGGEDKCLLLQPSFPPPHRQCSSEPNITDGPDEPEQGATGSQEDS
+ELNCASLS
+>sp|Q5E9R2|PLCD_BOVIN 1-acyl-sn-glycerol-3-phosphate acyltransferase delta OS=Bos taurus OX=9913 GN=AGPAT4 PE=2 SV=1
+MDLVALLKSHFLCHLIFCYVFIVSGLIINTIQLCTLLLWPVNKQLFRKINCRLSYCVSSQ
+LVMLLEWWSGTECVIYTDPRAYPKYGKENAIVVLNHKFEIDFLCGWSLAERFGVLGGSKV
+LAKKELAYVPIIGWMWYFTEMVFCTRKWEQDRKTVSESLLHLRDYPEKYFFLIHCEGTRF
+TEKKHQISMQVAQAKGLPSLKHHLLPRTKGFAVTVRSLRNVVSAVYDCTLNFRNNENPTL
+LGVLNGKKYHADLYVRRIPLEEVPEEEDKCAAWLHKLYQEKDAFQEEYSRTGTFPETPVV
+PPRRPWTLVNWLFWASMLLYPFFRFVINMVSSGSSLTLASFVLVFFVASMGVRWMIGVTE
+IDKGSAYGNMDSKQKHSD
+>sp|Q29RU2|OIT3_BOVIN Oncoprotein-induced transcript 3 protein OS=Bos taurus OX=9913 GN=OIT3 PE=2 SV=1
+MPQLLLLACLLIIVTRVAPRALDPCSAYISLNEPWRNTEHQFDESRGSPLCDNSVDGEWY
+RFTGMAGDAMPTFCIPENHCGTHAPVWLNGSHPLEGDGIVQRQACASFNGNCCLWNTTVE
+VKSCPGGYYVYRLTKPSVCFHVYCGHFYDICDDDCHGSCLGTSECTCAPGTVLGPDRQTC
+FDENECEQNNGGCSEICVNLKNSYRCECGIGRVLRSDGKTCEDIEGCHNNNGGCSHSCLT
+SETGYQCECPRGLVLSEDNHTCQVPVFCKSNTIEVSIPRDLVGGLELFLTNTSCRGVSNG
+THVNILFSLKTCGTVVDVVNDKIVASNLVTGLPKQTPGSSGDIIIRTSKLLIPVTCEFPR
+LYTISEGYVPNLRNTPLEIMSRSHGIFPFTLEIFKDHEFEEPYREALPTLKLRDSLYFGI
+EPLVHVNGLESLVESCFATPTSKIDEIMKYYIIQDGCVSDDSVKQYTSRDHLAKHFQVPV
+FKFVGKDHKEVFLHCRVLVCGMLDERSRCAQGCHRRVRREASTEGEDASGPRSQMLTGGP
+ISIDWED
+>sp|Q0VCJ2|MTNB_BOVIN Methylthioribulose-1-phosphate dehydratase OS=Bos taurus OX=9913 GN=APIP PE=2 SV=1
+MSGSHAPEGDCCLRQCRAQDKEHPRYLIPELCKQFYHLGWVTGTGGGISLKHGNEIYIAP
+SGVQKERIQPEDMFVCDINEKDISGPPPSKNLKKSQCTPLFMNAYTMREAGAVIHTHSKA
+AVMATLVFPGKEFKITHQEMIKGIKKCTSGGYYRYDDMLVVPIIENTPEEKDLKERMARA
+VNDYPDSCAVLVRRHGVYVWGETWEKAKTMCECYDYLFDVAVSMKQAGLDPAQLPAGENG
+IV
+>sp|P17810|PRPH2_BOVIN Peripherin-2 OS=Bos taurus OX=9913 GN=PRPH2 PE=1 SV=1
+ALLKVKFDQKKRVKLAQGLWLMNWFSVLAGIIIFGLGLFLKIELRKRSDVMNNSESHFVP
+NSLIGVGVLSCVFNSLAGKICYDALDPAKYAKWKPWLKPYLAVCVLFNVVLFLVALCCFL
+LRGSLESTLAHGLKNGMKFYRDTDTPGRCFMKKTIDMLQIEFKCCGNNGFRDWFEIQWIS
+NRYLDFSSKEVKDRIKSNVDGRYLVDGVPFSCCNPNSPRPCIQYQLTNNSAHYSYDHQTE
+ELNLWLRGCRAALLSYYSNLMNTTGAVTLLVWLFEVTITVGLRYLHTALEGMANPEDPEC
+ESEGWLLEKSVPETWKAFLESVKKLGKGNQVEAEGEDAGQAPAAG
+>sp|Q2HJ27|MYC_BOVIN Myc proto-oncogene protein OS=Bos taurus OX=9913 GN=MYC PE=2 SV=1
+MPLNVSFANKNYDLDYDSVQPYFYCDEEENFYHQQQQSELQPPAPSEDIWKKFELLPTPP
+LSPSRRSGLCSPSYVAVASFSPRGDDDGGGGSFSSADQLEMVTELLGGDMVNQSFICDPD
+DETLIKNIIIQDCMWSGFSAAAKLVSEKLASYQAARKDGGSPSPARGHGGCSTSSLYLQD
+LSAAASECIDPSVVFPYPLNDSSSPKPCASPDSTAFSPSSDSLLSSAESSPRASPEPLAL
+HEETPPTTSSDSEEEQEDEEEIDVVSVEKRQPPAKRSESGSPSAGSHSKPPHSPLVLKRC
+HVSTHQHNYAAPPSTRKDYPAAKRAKLDSGRVLKQISNNRKCASPRSSDTEENDKRRTHN
+VLERQRRNELKRSFFALRDQIPELENNEKAPKVVILKKATAYILSVQAEQQKLKSEIDVL
+QKRREQLKLKLEQIRNSCA
+>sp|A1A4Q2|PRXD1_BOVIN Prolyl-tRNA synthetase associated domain-containing protein 1 OS=Bos taurus OX=9913 GN=PRORSD1 PE=2 SV=1
+MAGAELRAALEQRLAALAIRTEVVEHPEVFTVEEMMPHIQHLKGAHSKNLFLKDKKKKGY
+WLVTVLHDRQINLNDLAKQLGVGSGNLRFADEAAMLEKLKVGQGCATPLALFCDDGDVKF
+VLDSAFLEGGHEKVYFHPMTNAATMGLSPEDFLTFVKNTGHDPIILNFDKN
+>sp|Q3T0F7|MTPN_BOVIN Myotrophin OS=Bos taurus OX=9913 GN=MTPN PE=1 SV=3
+MCDKEFMWALKNGDLDEVKDYVAKGEDVNRTLEGGRKPLHYAADCGQLEILEFLLLKGAD
+INAPDKHHITPLLSAVYEGHVSCVKLLLSKGADKTVKGPDGLTAFEATDNQAIKALLQ
+>sp|Q9TR36|PIPNB_BOVIN Phosphatidylinositol transfer protein beta isoform OS=Bos taurus OX=9913 GN=PITPNB PE=1 SV=3
+MVLIKEFRVVLPCSVQEYQVGQLYSVAEASKNETGGGEGIEVLKNEPYEKDGEKGQYTHK
+IYHLKSKVPAFVRMIAPEGSLVFHEKAWNAYPYCRTIVTNEYMKDDFFIKIETWHKPDLG
+TLENVHGLDPNTWKTVEIVHIDIADRSQVEPADYKADEDPALFQSVKTKRGPLGPNWKKE
+LANNPDCPQMCAYKLVTIKFKWWGLQSKVENFIQKQEKRIFTNFHRQLFCWIDKWIDLTM
+EDIRRMEDETQKELETMRKKGSVRGTSAADV
+>sp|Q1RMJ6|RHOC_BOVIN Rho-related GTP-binding protein RhoC OS=Bos taurus OX=9913 GN=RHOC PE=2 SV=1
+MAAIRKKLVIVGDGACGKTCLLIVFSKDQFPEVYVPTVFENYIADIEVDGKQVELALWDT
+AGQEDYDRLRPLSYPDTDVILMCFSIDSPDSLENIPEKWTPEVKHFCPNVPIILVGNKKD
+LRQDEHTRRELAKMKQEPVRSEEGRDMANRISAFGYLECSAKTKEGVREVFEMATRAGLQ
+VRKNKRRRGCPIL
+>sp|Q2KIL7|R3HD4_BOVIN R3H domain-containing protein 4 OS=Bos taurus OX=9913 GN=R3HDM4 PE=2 SV=1
+MVVLENPEAGPEEAAAAARVVPGGRRTLPLPGCLPALASSQVKRLSASRRKQHFINQAVR
+NSDLVPKAKGRKSLQRLENTQYLLTLLETDGATPGPEDGDLAPPAAPGIFAEACSNETYV
+EIWNDFMNRSGEEQERVLRYLEDEGKSKARRRGPTRGEDRRREDPAYTPRECFQRISRRL
+RAVLKRSRIPMETLETWEERLLRFFSVSPQAVYTAMLDNSFERLLLHAICQYMDLISASA
+DLEGKRQMKVSNRHLDFLPPGLLLSAYLEQRS
+>sp|A6QNZ4|PRR9_BOVIN Proline-rich protein 9 OS=Bos taurus OX=9913 GN=PRR9 PE=4 SV=2
+MSFNEQQCKQPCVPPPCLQKTQEQCQAKAEEVCLPPCQDPCQEKCPTKVQEVCVPQCQAL
+SQDNCPQQSQDPCLPLCPDQCPSQCTEPCQELSQTKCVEVCPQKIQEKCLPPGKGK
+>sp|Q2TBR0|PCCB_BOVIN Propionyl-CoA carboxylase beta chain, mitochondrial OS=Bos taurus OX=9913 GN=PCCB PE=2 SV=1
+MAAAMRVAVAGARLSVVLRSLRGAGRSLCTQPVSVNERIENKRQAALLGGGQRRIDAQHK
+RGKLTARERISLLLDPGSFVESDMFVEHRCADFGMAADKNKFPGDSVVTGRGRINGRLVY
+VFSQDFTVFGGSLSGAHAQKICKIMDQALTVGAPVIGLNDSGGARIQEGVESLAGYADIF
+LRNVTASGVIPQISLIMGPCAGGAVYSPALTDFTFMVKDTSYLFITGPDVVKSVTNEDVT
+QEELGGARTHTTMSGVAHRAFENDVDALCNLREFFNYLPLSNQDPAPVLECHDPSDRLVP
+ELDTIVPLESTKAYNMVDIIHAVVDEREFFEIMPNYAKNIIVGFARMNGRTVGIVGNQPK
+VASGCLDINSSVKGARFVRFCDSFNIPLITFVDVPGFLPGTAQEYGGIIRHGAKLLYAFA
+EATVPKVTVITRKAYGGAYDVMSSKHLCGDTNYAWPTAEIAVMGAKGAVEIIFKGHENVE
+AAQAEYIEKFANPFPAAVRGFVDDIIQPSSTRARICCDLDVLASKKVQRPWRKHANIPL
+>sp|A8E653|PDPFL_BOVIN Pancreatic progenitor cell differentiation and proliferation factor-like protein OS=Bos taurus OX=9913 GN=PPDPFL PE=2 SV=1
+MASVPSAGCLLARNQYYRKASVSSGPSLTGPDSANFVGDDKTQLGLPKVAESTWWFKSFF
+QSVPVLNVKGEDQSACGGNGPGSRSKVPSGTNNHSLLQQEESQLLGEMADSGTVNRFRNS
+QTVKDKPRPFHGAPKDTNSPQ
+>sp|Q3T0X8|NHRF3_BOVIN Na(+)/H(+) exchange regulatory cofactor NHE-RF3 OS=Bos taurus OX=9913 GN=PDZK1 PE=2 SV=1
+MASTFNPRECKLTKQEGNSYGFFLRIEKDTDGHLVRVIEKGSPAEKAGLQDGDRVLRING
+VFVDKEEHMQVVDLVRKSGNSVTLLVLDGDSYEKAMKKQVDLKALGQSQEPSLNDKKAPS
+VMNGGAQMWMQPRLCYLVKEGGSYGFSLKTVQGKNGVYMTDIKPQGVAMKAGVLADDHLI
+EVNGENVEDASHEEVVEKVKKSGSRVTFLLVDKETDKHHSEQKIKVKRETASLKLLPCQP
+RVVEMKKGSNGYGFYLRESPEQKGQIIKDIDPKSPAEKAGLKNNDLVVAVNGKSVESLDH
+DSVVEMIRKGGDQTSLLVVDKETDNIYKLAGFSPFFYYQSQELPNGSVKEVPAPTPAPLE
+VSSPETTEEVGDHKPKLCRLARGEDGYGFHLNAVRGQPGSFVKEVQKGGPADLAGLEDED
+VIIEVNGVNMLDESYEKVVDRIQSSGKTVTLLVCGKKAYDYFQAKKIPIVSSMADPLDDD
+PDPDEGRLEGSEQNSHMAEERERAHSTASHSSSNSEDTEM
+>sp|A7YVI8|OXLD1_BOVIN Oxidoreductase-like domain-containing protein 1 OS=Bos taurus OX=9913 GN=OXLD1 PE=2 SV=1
+MLLRRVTGGGRAVVAATLGGGPLSSWDWCQKLPGGGSLLRRIQVREAHREFGKNHVEVGS
+QAGAAGTGQPKSSLQGGGPSGPLYPPPPELQPPTNCCMSGCPNCVWVEYADALLQHYQDG
+GERALAALEEHVTDENLKAFLRMEIQLRIRSGG
+>sp|P55156|MTP_BOVIN Microsomal triglyceride transfer protein large subunit (Fragment) OS=Bos taurus OX=9913 GN=MTTP PE=1 SV=1
+FLCFISSYSASVKGHTTGLSLNNDRLYKLTYSTEVFLDRGKGNLQDSVGYRISSNVDVAL
+LWRSPDGDDNQLIQITMKDVNLENVNQQRGEKSIFKGKKSSQIIRKENLEAMQRPVLLHL
+IHGKIKEFYSYQNEPAAIENLKRGLASLFQMQLSSGTTNEVDISGDCKVTYQAHQDKVTK
+IKALDSCKIERAGFTTPHQVLGVTSKATSVTTYKIEDSFVVAVLSEEIRALRLNFLQSIA
+GKIVSRQKLELKTTEASVRLKPGKQVAAIIKAVDSKYTAIPIVGQVFQSKCKGCPSLSEH
+WQSIRKHLQPDNLSKAEAVRSFLAFIKHLRTAKKEEILQILKAENKEVLPQLVDAVTSAQ
+TPDSLDAILDFLDFKSTESVILQERFLYACAFASHPDEELLRALISKFKGSFGSNDIRES
+VMIIIGALVRKLCQNQGCKLKGVIEAKKLILGGLEKAEKKEDIVMYLLALKNARLPEGIP
+LLLKYTETGEGPISHLAATTLQRYDVPFITDEVKKTMNRIYHQNRKIHEKTVRTTAAAII
+LKNNPSYMEVKNILLSIGELPKEMNKYMLSIVQDILRFETPASKMVRQVLKEMVAHNYDR
+FSKSGSSSAYTGYVERTSHSASTYSLDILYSGSGILRRSNLNIFQYIEKTPLHGIQVVIE
+AQGLEALIAATPDEGEENLDSYAGLSALLFDVQLRPVTFFNGYSDLMSKMLSASSDPMSV
+VKGLLLLIDHSQELQLQSGLKANMDVQGGLAIDITGAMEFSLWYRESKTRVKNRVSVLIT
+GGITVDSSFVKAGLEIGAETEAGLEFISTVQFSQYPFLVCLQMDKEDVPYRQFETKYERL
+STGRGYISRKRKESLIGGCEFPLHQENSDMCKVVFAPQPESSSSGWF
+>sp|Q58DM7|OXND1_BOVIN Oxidoreductase NAD-binding domain-containing protein 1 OS=Bos taurus OX=9913 GN=OXNAD1 PE=2 SV=1
+MVVVIPRLLRGSLGAICTQAALLRSTPSAFRYLTRSSVMKSKRRPDHLERTADVVRQEVV
+SAAKVCGVASESPSVKRLCLLVADKDFSFKAGQWVDFFIPGVSVVGGFSICSSPRLLEQE
+RMIELAVKHANHPPALWIHNQCTLDSEVAVRVGGEFFFDPKPTDASRNLVLIAGGVGINP
+LLSILRHAADLLRERASKGQGYEMGTVRLLYSAKDTSELLFKKNILDLVNEFPEKIACSL
+HVTKQTTQITADLRPYITEGRITQKEIRDHISKETLFYICGPPPMTDFFSKELESSRVPR
+EHICFEKWW
+>sp|Q5EA50|RABEK_BOVIN Rab9 effector protein with kelch motifs OS=Bos taurus OX=9913 GN=RABEPK PE=2 SV=1
+MKQLPVLEPGDKPRKETWYTLTLVGDSPCARVGHSCSYLPPVGDAERGKVFIVGGADPNR
+SFSDVHTIDLGTHQWDLATSEGLLPRYEHTSFIPSCTPHSIWVFGGADQSGNRNCLQVLN
+PDTRTWTTPEVTGPPPSPRTFHTSSAAIGDQLYVFGGGERGAQPVQDVQLHVFDANTLTW
+SQPETHGKPPSPRHGHVMVAAGTKLFIHGGLAGDNFYDDLHCIDISDMKWQKLRPTGAAP
+TGCAAHSAVAVGKHLYVFGGMTPTGALNTMYQYHIEKQHWTLLKFENSPPTGRLDHSMCI
+IPWPGTCTSEKEDSNSATVNRDAEKGDSTEKGVTQGGDSQEESQADTLLCFVFGGMNTEG
+EIYDDCIVTAVD
+>sp|Q3T135|OSR2_BOVIN Protein odd-skipped-related 2 OS=Bos taurus OX=9913 GN=OSR2 PE=2 SV=1
+MGSKALPAPIPLHPSLQLTNYSFLQAVNTFPAAVDHLQGLYGLSAVQTMHMNHWTLGYPN
+VHEITRSTITEMAAAQGLVDARFSFPALPFTTHLFHPKQGAIAHVLPALHKDRPRFDFAN
+LAVAATQEDPPKIADLSKLSPGLGSPISGLNKLTPDRKPSRGRLPSKTKKEFICKFCGRH
+FTKSYNLLIHERTHTDERPYTCDICHKAFRRQDHLRDHRYIHSKEKPFKCQECGKGFCQS
+RTLAVHKTLHMQTSSPTAASSAAKCSGETVICGGTA
+>sp|Q7YS81|MYOG_BOVIN Myogenin OS=Bos taurus OX=9913 GN=MYOG PE=2 SV=2
+MELYETSPYFYQEPHFYDGENYLPVHLQGFEPPGYERAELSLSPEARVPLEDKGLGPAEH
+CPGQCLPWACKVCKRKSVSVDRRRAATLREKRRLKKVNEAFEALKRSTLLNPNQRLPKVE
+ILRSAIQYIERLQALLSSLNQEERDLRYRGGGGPQAAVPSECSSHSASCSPQWGSALEFG
+PNPGDHLLPADPTDAHNLHSLTSIVDSITVEDVAAAFPDETIPN
+>sp|P80746|ITAV_BOVIN Integrin alpha-V OS=Bos taurus OX=9913 GN=ITGAV PE=1 SV=3
+MAFPPRRRLRLGPRGLPLLLSGLLLPLCRAFNLDVESPAEYSGPEGSYFGFAVDFFVPSA
+SSRMFLLVGAPKANTTQPGIVEGGQVLKCDWSSHRRCQPIEFDATGNRDYAKDDPLEFKS
+HQWFGASVRSKQDKILACAPLYHWRTEMKQEREPVGTCFLQDGTKTVEYAPCRSKNIDAD
+GQGFCQGGFSIDFTKADRVLLGGPGSFYWQGQLISDQVAEIVSKYDPKVYSIKYNNQLAT
+RTAQAIFDDSYLGYSVAVGDFNGDGIDDFVSGVPRAARTLGMVYIYDGKNMSSLHNFTGE
+QMAAYFGFSVAATDINGDDYADVFIGAPLFMDRGSDGKLQEVGQVSVSLQKASGDFQTIK
+LNGFEVFARFGSAIAPLGDLDQDGFNDIAIAAPYGGEDKKGIVYIFNGRPTGLNAVPSQI
+LEGKWAARSMPPSFGYSMKGATDIDKNGYPDLIVGAFGVDRAVLYRARPVITVNAGLEVY
+PSILNQENKTCPLPGTDLKVSCFNVRFCLKADGKGALPTKLDFQVELLLDKLKQKGAIRR
+ALFLHNRSPGHSKNMTISRGGQMQCEELIAYLRDESEFRDKLTPITIFMEYWLDYRTAAD
+ATGLQPILNQFTPANVSRQAHILLDCGEDNVCKPKLEVSVDSDQKKIYIGDDNPLTLIVK
+AQNQGEGAYEAELIVSIPLQADFIGVVRNSEALARLSCAFKTENQTRQVVCDLGNPMKAG
+TQLLAGLRFSVHQQSEMDTSVKFDLQIQSSNLFDKVSPVVSYKVDLAVLAAVEIRGVSSP
+DHIFLPIPNWKYKENPETEEDVGPVVQHIYELRNNGPSSFSKAMLHLQWPYKYNNNTLLY
+ILQYDIDGPMNCTSDMEINPLRIKISNSQTSEKNDTVGGQGDRNHLITKRDLTLNEGDVH
+TLGCGIAECLKIVCQVGRLDRGKSAILYVRSLLWTETFMNKENQNHSYSLKSSASFNVIE
+FPYKNLPIEDIFNSTLVTTNVTWGIQPAPMPVPVWVIILAVLAGLLLLAVLVFVMYRMGF
+FKRVRPPQEEQEREQLQPHENGEGNSET
+>sp|Q5EA61|KCRB_BOVIN Creatine kinase B-type OS=Bos taurus OX=9913 GN=CKB PE=1 SV=1
+MPFSNSHNTLKLRFPAEDEFPDLSGHNNHMAKVLTPELYAELRAKSTPSGFTVDDVIQTG
+VDNPGHPYIMTVGCVAGDEESYDVFKELFDPIIEDRHGGYKPTDEHKTDLNPDNLQGGDD
+LDPNYVLSSRVRTGRSIRGFCLPPHCSRGERRAIEKLAVEALSSLDGDLAGRYYALKSMT
+EAEQQQLIDDHFLFDKPVSPLLLASGMARDWPDARGIWHNDNKTFLVWINEEDHLRVISM
+QKGGNMKEVFTRFCNGLTQIETLFKSKNYEFMWNPHLGYILTCPSNLGTGLRAGVHIKLP
+HLGKHEKFPEVLKRLRLQKRGTGGVDTAAVGGVFDVSNADRLGFSEVELVQMVVDGVKLL
+IEMEQRLEQGQAIDDLMPAQK
+>sp|Q3B7M1|KLH36_BOVIN Kelch-like protein 36 OS=Bos taurus OX=9913 GN=KLHL36 PE=2 SV=1
+MMEGSRQTRVSRPYKISESSKVYRWAEHSGTVLQRLNEQRLRGLFCDVVLVADEQRLPAH
+RNLLAVCSDYFNSMFTLGMREAFQKEVELIGASYIGLKAVVDFLYGGELVLDGGNIDYVL
+ETAHLLQIWTAVDFCCEYLEQEVSEDNYLYLQELASIYSLKRLDAFIDSFILSRFGTLSF
+TPAFLQNISMQKLCAYLGSSEVQRECEHDLLQAALQWLTQQPEREAHAYQVLENIHFPLI
+PKNDLLHRVKPAVCSLLPREANCEGFIEEAVRYHNSLAAQPVMQTKRTALRTTQECLLFV
+GGEVSERCLELSDDTCYLDAQSEQWVKETPLPARRSHHCVAVLGGFIFIAGGSFSRDNGG
+DAASNLLYRYDPRCKQWIKVASMNQRRVDFYLASIEDMLVAVGGRNENGALSSVETYSPK
+TDSWSYVAGLPRFTYGHAGTIYKDFVYISGGHDYQIGPYRKNLLCYDHRTDVWEERRPMS
+TARGWHSMCSLGDSIYSIGGSDDSLESMERFDVLGVEAYSPQCNQWTRVAPLLHANSESG
+VAVWEGRIYILGGYSWENTAFSKTVQVYDRDKDKWSEGTELPKAIAGVSACVCALKPRLE
+DKKKKGKGKRPQDHGQ
+>sp|Q3B7N9|MYNN_BOVIN Myoneurin OS=Bos taurus OX=9913 GN=MYNN PE=2 SV=1
+MQYSHHCEHLLERLNKQREAGFLCDCTIVIGEFQFKAHRNVLASFSEYFGAIYRSTSENN
+VFLDQSQVKADGFQKLLEFIYTGTLNLDSWNVKEIHQAADYLKVEEVVTKCKIKMEDFAF
+IANPSSTEISSITGNIELNQQTCLLTLRDYNSREKSEVSTDLVQANPKQGALAKKSSQTK
+KKKKAFNSQKTGQNKTVQYPSDILENASVELFLDANKLSTPIIEQVAQRSNSELELTSVV
+ENTFPAQDIVQTVTVKRKRGKSQPNCALKEHSMSNIASVKNSYELESSGEELDQRYSKAK
+PMCNTCGKVFSEASSLRRHMRIHKGVKPYVCHLCGKAFTQCNQLKTHVRTHTGEKPYKCE
+LCDKGFAQKCQLVFHSRMHHGEEKPYKCDVCNLQFATSSNLKIHARKHSGEKPYVCDRCG
+QRFAQASTLTYHVRRHTGEKPYVCDTCGKAFAVSSSLITHSRKHTGERPFICELCGNSYT
+DIKNLKKHKTKVHSGADKILDSSIEDHPLNEQDSIQKSPLSETLDVKPSDVTLPLTLPLG
+AEDHHLLLPVADSQPPAPDALLRPAANGYSEPQLIFLQQLY
+>sp|Q17QS4|PI5L1_BOVIN Phosphatidylinositol 4-phosphate 5-kinase-like protein 1 OS=Bos taurus OX=9913 GN=PIP5KL1 PE=2 SV=1
+MAAPSPGPREILAPSPEAGRRAAASSSGHRGLLWRLRDKQCRLGLFEIGPGHELHQVMCL
+MQAGLWAATQVSMDHPPTGLPTEEDFSEVLTQVHEGFELGTLAGPVFARLRRSLGLAEED
+YQAALGPSRPYLQFLSTSKSKASFFLSHDQRFFLKTLRSREVQALLAHLPRYVHHLQRHP
+HSLLARVLGVHSLRVARGKKKYFIVMQSVFYPAGRISERYDIKGCEVSRWVEPAPEGSVL
+VLVLKDLNFQGKTINLGPQRSWFLRQMELDTAFLRELNVLDYSLLMAFQRLHEDERGPGS
+SLIFRTARSIRGAQSAEESGAQNRRLLPDAPNALHIVDGPEHRYFLGLVDLTTVYGLRKR
+LEQLWKTLRYPGRTFSTVSPACYARRLCQWVEAHTE
+>sp|Q17QK9|NAA60_BOVIN N-alpha-acetyltransferase 60 OS=Bos taurus OX=9913 GN=NAA60 PE=2 SV=1
+MTEAVPSSALSEVSLRLLCHDDIDTVKHLCGDWFPIEYPDSWYRDITSNKKFFSLAATYR
+GDIVGMIVAEIKNRTKIHKEDGDILASSFSVDTQVAYILSLGVVKEFRKHGIGSLLLESL
+KDHISTTAQDHCKAIYLHVLTTNNTAISFYENRDFKQHHYLPYYYSIRGVLKDGFTYVLY
+INGGHPPWTILDYIQHLGSALANLSPCSIPHRIYRQAQSLLCSFLPWSSISTKGGIEYSR
+TM
+>sp|Q32KV0|PGAM2_BOVIN Phosphoglycerate mutase 2 OS=Bos taurus OX=9913 GN=PGAM2 PE=2 SV=1
+MSTHRLVMVRHGESTWNQENRFCGWFDAELSEKGAEEAKKAAQAIKDAKMEFDICYTSVL
+KRAIRTLWTILDGTDQMWLPVVRTWRLNERHYGGLTGLNKAETAAKHGEEQVKIWRRSFD
+IPPPPMDEKHPYYKSISKERRYAGLKAGELPTCESLKDTIARALPFWNDEIAPQIKAGKR
+VLIAAHGNSLRGIVKHLEGMSDQAIMELNLPTGIPIVYELDQALKPTKPMRFLGDEETVR
+KAMEAVAAQGKAK
+>sp|Q1LZ78|NTPCR_BOVIN Cancer-related nucleoside-triphosphatase homolog OS=Bos taurus OX=9913 GN=NTPCR PE=2 SV=1
+MARHVFLTGPPGVGKTTLIQKATEVLKSSGMPVDGFYTEEVRQGGRRIGFDVVTLSGIRG
+PLSRIGSEPLPGKRECRVGQYVVDLTSFEQLALPVLRNAGASGRPGQSICVIDEVGKMEL
+FSQPFIQAVRQVLSIPGTVVLGTIPVPKGKPLALVEEIRTRKDVKVFSVTKENRNHLLPE
+IVTHMQSSRK
+>sp|Q27966|MYO1C_BOVIN Unconventional myosin-Ic OS=Bos taurus OX=9913 GN=MYO1C PE=1 SV=3
+MALQVELIPTGEIIRVVHPHRPCKLALGSDGVRVTMESALTARDRVGVQDFVLLENFTSE
+AAFIENLRRRFRENLIYTYIGPVLVSVNPYRDLQIYSRQHMERYRGVSFYEVPPHLFAVA
+DTVYRALRTERRDQAVMISGESGAGKTEATKRLLQFYAETCPAPERGGAVRDRLLQSNPV
+LEAFGNAKTLRNDNSSRFGKYMDVQFDFKGAPVGGHILSYLLEKSRVVHQNHGERNFHIF
+YQLLEGGEEETLRRLGLERNPQSYLYLVKGQCAKVSSINDKSDWKVVRKALTVIDFTEDE
+VEDLLSIVASVLHLGNTHFAADEESNAQVTTENQLKYLTRLLGVEGSTLREALTHRKIIA
+KGEELLSPLNLEQAAYARDALAKAVYSRTFTWLVAKINRSLASKDAESPSWRSTTVLGLL
+DIYGFEVFQHNSFEQFCINYCNEKLQQLFIELTLKSEQEEYEAEGIAWEPVQYFNNKIIC
+DLVEEKFKGIISILDEECLRPGEATDLTFLEKLEDTIKQHPHFLTHKLADQRTRKSLDRG
+EFRLLHYAGEVTYNVTGFLDKNNDLLFRNLKETMCSSENPILGQCFDRSELSDKKRPETV
+ATQFKMSLLELVEILKSKEPAYVRCIKPNDSKQPGRFDEVLIRHQVKYLGLMENLRVRRA
+GFAYRRKYEAFLQRYKSLCPETWPTWTGRPQDGVTVLVRHLGYKPEEYKMGRTKIFIRFP
+KTLFATEDALEIRRQSLATKIQATWRGFHCRQKFLRVKRSAICIQSWWRGTLGRRKAAKR
+KWAAQTIRRLIQGFILRHAPRCPENAFFVDHVRTSFLLNLRRQLPRNILDTSWPTPPPAL
+REASELLRELCRKNMVWKYCRSISPEWKQQLQQKAVASEIFKGKKDNYPQSVPRLFISTR
+LGADEINPRVLQALGSEPIQYAVPVVKYDRKGYKPRSRQLLLTPNAVVIVEDAKVKQRIE
+YTNLTGISVSSLSDSLFVLHVQREDNKQKGDVVLQSDHVIETLTKTALSADRVNNININQ
+GSITFAGGPGRDGIIDFTPGSELLITKAKNGHLAVVAPRLNSR
+>sp|Q3SZI6|RPN2_BOVIN Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit 2 OS=Bos taurus OX=9913 GN=RPN2 PE=2 SV=1
+MALPGSSTVFLLALTIIASTQALTPTHYLTKHDVERLKASLDRPFTSLESAFYSIVGLSS
+LGAQVPDVKKACTFIKSNLDPSNVDSLFYAAQSSQALSGCEISISNETKDLLLAAVSEDS
+SVAQIYHAVAALSGFGLPLASQEALGALTARLSKEETVLATVQALQTASYLSQQADLRSI
+VEEIEDLVARLDELGGVYLQFEEGLETTALFVAATYKLMDHVGTEPSIKEDQVIQLMNAI
+FSKKNFESLSEAFSVASAAAALSENRYHVPVVVVPEGSPSYTQEQAILRLQVTNVLSQPL
+TQATVKLEHAKSVASRATVLQKTSFTLIGDVFELNFMNVKFSSGYYDFSVKVEGDNRYIA
+NTVELRVKISTEVGITNVDLSTVDKDQSIAPKTTRVTYPAKAKGTFIADSHQNFALFFQL
+VDVNTGAELTPHQTFVRLHNQKTGQEVVFVAEPDSKNVYKFELDTSERKLEFDSASGTYT
+LYLIIGDATLKNPILWNVADVVIRFPEDDVPSTVLSKNIFTPKQEIQHLFREPEKRPPTV
+VSNTFTALILSPLLLLFALWIRIGANVSNFTFAPSTIVFHLGHAAMLGLMYVYWTQLNMF
+QTLKYLAILGSVTFLAGNRMLAQQAIKRTAH
+>sp|Q3T0S6|RL8_BOVIN 60S ribosomal protein L8 OS=Bos taurus OX=9913 GN=RPL8 PE=2 SV=3
+MGRVIRGQRKGAGSVFRAHVKHRKGAARLRAVDFAERHGYIKGIVKDIIHDPGRGAPLAK
+VVFRDPYRFKKRTELFIAAEGIHTGQFVYCGKKAQLNIGNVLPVGTMPEGTIVCCLEEKP
+GDRGKLARASGNYATVISHNPETKKTRVKLPSGSKKVISSANRAVVGVVAGGGRIDKPIL
+KAGRAYHKYKAKRNCWPRVRGVAMNPVEHPFGGGNHQHIGKPSTIRRDAPAGRKVGLIAA
+RRTGRLRGTKTVQEKEN
+>sp|Q17R14|MYO1D_BOVIN Unconventional myosin-Id OS=Bos taurus OX=9913 GN=MYO1D PE=2 SV=1
+MAEQESLEFGKADFVLMDTVSMPEFMANLRLRFEKGRIYTFIGEVVVSVNPYKSLNIYGR
+DTIEQYKGRELYERPPHLFAIADAAYKAMKRRSKDTCIVISGESGAGKTEASKYIMQYIA
+AITNPSQRAEIERVKNMLLKSNCVLEAFGNAKTNRNDNSSRFGKYMDINFDFKGDPIGGH
+INNYLLEKSRVIVQQPGERSFHSFYQLLQGGSDQMLRSLHLQKSLSSYSYIHVGAQLKSS
+INDAAEFKVVADAMKVIGFKPEEIQTAYKILAAILHLGNLKFVVDGDTTLIEDGKLVSII
+AELLSTKTDMVEKALLYRTVATGRDVIDKQHTEQEASYGRDAFAKAIYERLFCWIVSRIN
+DIIAVKNSDTTIHGKNTVIGVLDIYGFEIFDNNSFEQFCINYCNEKLQQLFIQLVLKQEQ
+EEYQREGIPWKHIDYFNNQIIVDLVEQQHKGIIAILDDACMNVGKVTDEMFLEALNSKLG
+KHGHFSSRKLCASDKILEFDRDFRIRHYAGDVVYSVVGFIDKNKDTLFQDFKRLMYNSSN
+PVLKNMWPEGKLSITEVTRRPLTAATLFKNSMIALVDNLASKEPYYVRCIKPNDMKSPQI
+FDDERCRHQVEYLGLLENVRVRRAGFAFRQTYEKFLHRYKMISEFTWPNHDLPSDKEAVK
+KLVEYCGFQDDVAYGKTKIFIRTPRTLFTLEELRAQMLVRIVLFLQKVWRGTLARMRYKR
+TKAALTIIRYYRRYKVKSYIHEVARRFHGIKTMRDYGKHVQWPTPPKVLHRFEEVLQAVF
+NRWRASQLIKTIPASDLPQVRAKVAAVEMLKGQRADLGLQRAWEGNYLASKPDTPQTSGT
+FVPVANELKRKDKYMNVLFSCHVRKVNRFSKVEDRAIFVTDRHLYKMDPTKQYKVMKTIP
+LYNLTGLSVSNGKDQLVVFHTKDNKDLIVCLFSKQPTHESRIGELVGVLVNHFKSEKRHL
+QVNVTNPVQCSLHGKKCTVSVETRLNQPQPDFTKNRSGFILSVPGN
+>sp|Q7YS82|MYOD1_BOVIN Myoblast determination protein 1 OS=Bos taurus OX=9913 GN=MYOD1 PE=2 SV=1
+MEVLSPPLRDVDLTGPDGSLCNFATADDFYDDPCFDSPDLRFFEDLDPRLVHVGALLKPE
+EHSHFPAAAHPAPGAREDEHVRAPSGHHQAGRCLLWACKACKRKTTNADRRKAATMRERR
+RLSKVNEAFETLKRCTSSNPNQRLPKVEILRNAIRYIEGLQALLRDQDAAPPGAAAAFYA
+PGPLPPGRSGEHYSGDSDASSPRSNCSDGMMDYSGPPSGARRRNCYDRTYYSEAPNEPRP
+GKSAAVSSLDCLSSIVERISTESPAAPALLLADAPPESSPGPQEAAGSEVERGTPAPSPD
+TAPQGLAGANPNPIYQVL
+>sp|Q08DC7|RGS19_BOVIN Regulator of G-protein signaling 19 OS=Bos taurus OX=9913 GN=RGS19 PE=2 SV=1
+MPTPPEAEKQQTGPEEADQPPSMSSHDAAPPAPPRRNPCCLCWCCCCSCSWNEERRRAWR
+ASRESRLQPLPSCEVCATPTPTPTPTPEEVRSWAQSFDKLMHSPAGRSVFREFLRTEYSE
+ENMLFWLACEELKAEANQHVVDEKARLIYEDYVSILSPKEVSLDSRVREGINKKMQEPSA
+HTFDDAQLQIYTLMHRDSYPRFLSSPAYRALLLQGASQSSSEA
+>sp|P08169|MPRI_BOVIN Cation-independent mannose-6-phosphate receptor OS=Bos taurus OX=9913 GN=IGF2R PE=1 SV=2
+MEAAAGRSSHLGPAPAGRPPRCPLLLQLQLLLLLLLLPPGWVPGAAGTQGAEFPELCSYT
+WEAVDTKNNMLYKINICGNMGVAQCGPSSAVCMHDLKTDSFHSVGDSLLKTASRSLLEFN
+TTVNCKQQNHKIQSSITFLCGKTLGTPEFVTATDCVHYFEWRTTAACKKNIFKANKEVPC
+YAFDRELKKHDLNPLIKTSGAYLVDDSDPDTSLFINVCRDIEVLRASSPQVRVCPTGAAA
+CLVRGDRAFDVGRPQEGLKLVSNDRLVLSYVKEGAGQPDFCDGHSPAVTITFVCPSERRE
+GTIPKLTAKSNCRFEIEWVTEYACHRDYLESRSCSLSSAQHDVAVDLQPLSRVEASDSLF
+YTSEADEYTYYLSICGGSQAPICNKKDAAVCQVKKADSTQVKVAGRPQNLTLRYSDGDLT
+LIYFGGEECSSGFQRMSVINFECNQTAGNNGRGAPVFTGEVDCTYFFTWDTKYACVHEKE
+ALLCGVSDGKQRFDLSALARHSELEQNWEAVDGSQREAEKKHFFINICHRVLQTGQARGC
+PEDAAVCAVDKNGSKNLGRFISSPTREKGNIQLSYSDGDECGGGQKIITNITLMCKPGDL
+ESAPVLTTSRADGCFYEFEWRTAAACVLSRTEGDNCTVFDSQAGFSFDLTPLTKKDAYKV
+ETDKYEFHINVCGPVSVGACPPDSGACQVSRSDRKSWNLGRSNAKLSYYDGMIQLTYRDG
+TPYNNEKRTPRATLITFLCDRDAGVGFPEYQEEDNSTYNFRWYTSYACPEEPLECIVTDP
+VTLDQYDLSRLAKSEGGPGGNWYSLDNGGARSTWRKYYINVCRPLNPVPGCDRYASACQM
+KYQGEQGSYSETVSISNLGVAKTGPMVEDSGSLLLEYVNGSACTTSDQRRTTYTTRIHLV
+CSTGSLYTHPIFSLNWECVVSFLWNTAAACPIRITTDIDQVCSIKDPNSGYVFDLNPLNN
+SRGYVVLGIGKTFLFNVCGDMPACGTLDGKPASGCEAEVQMDDMKTLKPGRLVGLEKSLQ
+LSTEGFITLNYTGLPSHPNGRADAFIIRFVCNDDVYPGTPKFLHQDIDSSLGIRDTFFEF
+ETALACVPSPVDCQVTDPAGNEYDLSGLSKARKPWTAVDTFDEGKKRTFYLSVCTPLPYI
+PGCHGTAVGCCLVTEDSKLNLGVVQISPQVGANGSLSLVYVNGDKCKNQRFSTRINLECA
+HTTGSPTFQLQNDCEYVFLWRTVEACPVVRAEGDYCEVRDPRHGNLYNLIPLGLNDTVVR
+AGEYTYYFRVCGELTSGVCPTSDKSKVISSCQEKRGPQGFQKVAGLFNQKLTYENGVLKM
+NYTGGDTCHKVYQRSTTIFFYCDRSTQAPVFLQETSDCSYLFEWRTQYACPPYDLTECSF
+KNEAGETYDLSSLSRYSDNWEAVTGTGSTEHYLINVCKSLSPQAGSDPCPPEAAVCLLGG
+PKPVNLGRVRDSPQWSQGLTLLKYVDGDLCPDQIRKKSTTIRFTCSESHVNSRPMFISAV
+EDCEYTFSWPTAAACAVKSNVHDDCQVTNPATGHLFDLSSLSGRAGFTAAYSEKGLVYLS
+VCGDNENCANGVGACFGQTRISVGKASKRLTYVDQVLQLVYEGGSPCPSKTGLSYKSVIS
+FVCRPEVGPTNRPMLISLDKRTCTLFFSWHTPLACEQTTECSVRNGSSLIDLSPLIHRTG
+GYEAYDESEDDGSDTSPDFYINICQPLNPMHGLACPAGTAVCKVPVDGPPIDIGRVAGPP
+ILNPIANEVYLNFESSTPCLADRHFNYTSLITFHCKRGVSMGTPKLLRTSVCDFVFEWET
+PLVCPDEVKTDGCSLTDEQLYYSFNLSSLSKSTFKVTRGPHTYSVGVCTAAAGLDEGGCK
+DGAVCLLSGSKGASFGRLASMKLDYRHQDEAVILSYANGDTCPPETEDGEPCVFPFVFNG
+KSYEECVVESRARLWCATTANYDRDHEWGFCKHSTSHRTSVIIFKCDEDADVGRPQVFSE
+VRGCEVTFEWKTKVVCPPKKMECKFVQKHRTYDLRLLSSLTGSWSFVHNGASYYINLCQK
+IYKGPQDCSERASVCKKSTSGEVQVLGLVHTQKLDVVDDRVIVTYSKGHYCGDNKTASAV
+IELTCAKTVGRPSFTRFDVDSCTYHFSWDSRAACAVKPQEVQMVNGTITNPANGRSFSLG
+DIYFKRFSASGDVRTNGDRYIYEIQLSSITGSSSPACSGASICQRKANDQHFSRKVGTSN
+QTRYYVQDGDLDVVFTSSSKCGKDKTKSVSSTIFFHCDPLVKDGIPEFSHETADCQYLFS
+WHTSAVCPLGAGFDEEIAGDDAQEHKGLSERSQAVGAVLSLLLVALTACLLTLLLYKKER
+REMVMSRLTNCCRRSANVSYKYSKVNKEEEADENETEWLMEEIQPPAPRPGKEGQENGHV
+AAKSVRAADTLSALHGDEQDSEDEVLTLPEVKVRPPGRAPGAEGGPPLRPLPRKAPPPLR
+ADDRVGLVRGEPARRGRPRAAATPISTFHDDSDEDLLHV
+>sp|Q2KIA6|PRPF3_BOVIN U4/U6 small nuclear ribonucleoprotein Prp3 OS=Bos taurus OX=9913 GN=PRPF3 PE=2 SV=1
+MALSKRELDELKPWIEKTVERVLGFSEPTVVTAALNCVGKGMDKKKAADHLKPFLDDSTL
+RFVDKLFEAVEEGRSSRHSKSSSDRSRKRDLKEVFGDDSEISKESSGVKKRRIPRFEEVE
+EEPEVIPGPPSESPGMLTKLQIKQMMEAATRQIEERKKQLSFISPPTPQPKTPSSSQPER
+LPIGNTIQPSQAATFMNDAIEKARKAAELQASIQAQLALKPGLIGNANMVGLANLHAMGI
+APPKVELKDQTKPTPLILDEQGRTVDATGKEIELTHRMPTLKANIRAVKREQFKQQLKEK
+PSEDMESNTFFDPRVSIAPSQRQRRTFKFHDKGKFEKIAQRLRTKAQLEKLQAEISQAAR
+KTGIHTSTRLALIAPKKELKEGDIPEIEWWDSYIIPNGFDLTEENPKREDYFGITNLVEH
+PAQLNPPVDNDTPVTLGVYLTKKEQKKLRRQTRREAQKELQEKVRLGLMPPPEPKVRISN
+LMRVLGTEAVQDPTKVEAHVRAQMAKRQKAHEEANAARKLTAEQRKVKKIKKLKEDISQG
+VHISVYRVRNLSNPAKKFKIEANAGQLYLTGVVVLHKDVNVVVVEGGPKAQKKFKRLMLH
+RIKWDEQTSNTKGDDDEESDEEAVKKTNKCVLVWEGTAKDRSFGEMKFKQCPTENMAREH
+FKKHGAEHYWDLALSESVLESTD
+>sp|Q2T9M1|PICK1_BOVIN PRKCA-binding protein OS=Bos taurus OX=9913 GN=PICK1 PE=2 SV=1
+MFADLGYDIEEDKLGIPTVPGKVTLQKDAQNLIGISIGGGAQYCPCLYIVQVFDNTPAAL
+DGTVAAGDEITGVNGRSIKGKTKVEVAKMIQEVKGEVTIHYNKLQADPKQGMSLDIVLKK
+VKHRLVENMSSGTADALGLSRAILCNDGLVKRLEELERTAELYKGMTEHTKNLLRAFYEL
+SQTHRAFGDVFSVIGVREPQPAASEAFVKFADAHRSIEKFGIRLLKTIKPMLTDLNTYLN
+KAIPDTRLTIKKYLDVKFEYLSYCLKVKEMDDEEYSCIALGEPLYRVSTGNYEYRLILRC
+RQEARARFSQMRKDVLEKMELLDQEHVQDIVLQLQRFVSTMSKYYNDCYSVLRDADVFPI
+EVDLAHTTLAYGLSQDEFTDGEDEEDEDEEDTAAGEPPRDSRGAAGPLDKGGSWCNS
+>sp|P08487|PLCG1_BOVIN 1-phosphatidylinositol 4,5-bisphosphate phosphodiesterase gamma-1 OS=Bos taurus OX=9913 GN=PLCG1 PE=1 SV=1
+MAGAASPCANGCGPSAPSDAEVVHLCRSLEVGTVMTLFYSKKSQRPERKTFQVKLETRQI
+TWSRGADKIEGAIDIREIKEIRPGKTSRDFDRYQEDPAFRPDQSHCFVILYGMEFRLKTL
+SLQATSEDEVNMWIRGLTWLMEDTLQAATPLQIERWLRKQFYSVDRNREDRISAKDLKNM
+LSQVNYRVPNMRFLRERLTDLEQRTSDITYGQFAQLYRSLMYSAQKTMDLPFLEASALRA
+GERPELCRVSLPEFQQFLLEYQGELWAVDRLQVQEFMLSFLRDPLREIEEPYFFLDEFVT
+FLFSKENSIWNSQLDEVCPDTMNNPLSHYWISSSHNTYLTGDQFSSESSLEAYARCLRMG
+CRCIELDCWDGPDGMPVIYHGHTLTTKIKFSDVLHTIKEHAFVASEYPVILSIEDHCSIA
+QQRNMAQYFKKVLGDTLLTKPVDIAADGLPSPNQLKRKILIKHKKLAEGSAYEEVPTSVM
+YSENDISNSIKNGILYLEDPVNHEWYPHYFVLTSSKIYYSEETSSDQGNEDEEEPKEASG
+STELHSNEKWFHGKLGAGRDGRHIAERLLTEYCIETGAPDGSFLVRESETFVGDYTLSFW
+RNGKVQHCRIHSRQDAGTPKFFLTDNLVFDSLYDLITHYQQVPLRCNEFEMRLSEPVPQT
+NAHESKEWYHASLTRAQAEHMLMRVPRDGAFLVRKRNEPNSYAISFRAEGKIKHCRVQQE
+GQTVMLGNSEFDSLVDLISYYEKHPLYRKMKLRYPINEEALEKIGTAEPDYGALYEGRNP
+GFYVEANPMPTFKCAVKALFDYKAQREDELTFTKSAIIQNVEKQEGGWWRGDYGGKKQLW
+FPSNYVEEMVSPAALEPEREHLDENSPLGDLLRGVLDVPACQIAVRPEGKNNRLFVFSIS
+MASVAHWSLDVAADSQEELQDWVKKIREVAQTADARLTEGKMMERRKKIALELSELVVYC
+RPVPFDEEKIGTERACYRDMSSFPETKAEKYVNKAKGKKFLQYNRLQLSRIYPKGQRLDS
+SNYDPLPMWICGSQLVALNFQTPDKPMQMNQALFLAGGHCGYVLQPSVMRDEAFDPFDKS
+SLRGLEPCAICIEVLGARHLPKNGRGIVCPFVEIEVAGAEYDSIKQKTEFVVDNGLNPVW
+PAKPFHFQISNPEFAFLRFVVYEEDMFSDQNFLAQATFPVKGLKTGYRAVPLKNNYSEGL
+ELASLLVKIDVFPAKQENGDLSPFGGASLRERSCDASGPLFHGRAREGSFEARYQQPFED
+FRISQEHLADHFDGRDRRTPRRTRVNGDNRL
+>sp|P47803|RGR_BOVIN RPE-retinal G protein-coupled receptor OS=Bos taurus OX=9913 GN=RGR PE=2 SV=1
+MAESGTLPTGFGELEVLAVGTVLLVEALSGLSLNILTILSFCKTPELRTPSHLLVLSLAL
+ADSGISLNALVAATSSLLRRWPYGSEGCQAHGFQGFVTALASICSSAAVAWGRYHHFCTR
+SRLDWNTAVSLVFFVWLSSAFWAALPLLGWGHYDYEPLGTCCTLDYSRGDRNFTSFLFTM
+AFFNFLLPLFITVVSYRLMEQKLGKTSRPPVNTVLPARTLLLGWGPYALLYLYATIADAT
+SISPKLQMVPALIAKAVPTVNAMNYALGSEMVHRGIWQCLSPQRREHSREQ
+>sp|Q29S11|NUCKS_BOVIN Nuclear ubiquitous casein and cyclin-dependent kinase substrate 1 OS=Bos taurus OX=9913 GN=NUCKS1 PE=2 SV=1
+MSRPVRNRKVVDYSQFQESDDADEDYGRDSGPPAKKIRSSPREAKNKRRSGKNSQEDSED
+SEEKDVKTKKDDSHSAEDSEDEKEDHKNVRQQRQAASKAASKQREMLMEDVGSEEEQEEE
+DEAPFQEKDSGSDEDFLVEDDDDSDYGSSKKKNKKMVKKSKPERKEKKMPKPRLKATVTP
+SPVKGKGKVGRPTASKASKEKTPSPKEEDEEPESPLEKKASSSPPPEKSGDEGSEDDAQS
+GED
+>sp|Q2KHW7|RGS10_BOVIN Regulator of G-protein signaling 10 OS=Bos taurus OX=9913 GN=RGS10 PE=2 SV=1
+MFNRAVSRLSRKRPPSDIHDSDGSSSSSHQTPKSTAKWASSLENLLEDPEGVKRFREFLK
+KEFSEENVLFWLACEDFKKTQDKKQMQEKAKEIYMTFLSSKASSQVNVEGQSRLNEKILE
+EPHPLMFQKLQDQIFNLMKYDSYSRFLKSDLFLKHKRTEEEDEESPDAQTAAKRASRIYN
+T
+>sp|Q2TA29|RB11A_BOVIN Ras-related protein Rab-11A OS=Bos taurus OX=9913 GN=RAB11A PE=2 SV=3
+MGTRDDEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFNLESKSTIGVEFATRSIQVDGKTI
+KAQIWDTAGQERYRAITSAYYRGAVGALLVYDIAKHLTYENVERWLKELRDHADSNIVIM
+LVGNKSDLRHLRAVPTDEARAFAEKNGLSFIETSALDYTNVEAAFQTILTEIYRIVSQKQ
+MSDRRENDMSPSNNVVPIHVPPTTENKPKVQCCQNI
+>sp|Q5E9X8|RAD9B_BOVIN Cell cycle checkpoint control protein RAD9B OS=Bos taurus OX=9913 GN=RAD9B PE=2 SV=1
+MKAHLLLFMRIIYIHRCPLNEVAPPGQVVEVFGKAIQALSRVSDELWLDPSEKGLALRSV
+NSCRSAYGCVLFSPVFFQHYQWSASVKMNDTDIILNLNCRLGMKSILPIFRCLNSLEKNV
+EKCKIFTRSDKCKVVIQFFCRHGIKKIHNVCFQGSRPLQVIFQKNMCANTLVIQPRVLAE
+AIVLFTSSQEEVTLAVTPLKVCIKSSNEESMDLTDSVYSEMFVGPDEFDFFQIGIDTEIT
+FCFKELKGVLTFSEAIHAPIAIHFDFPGKPMALSIDDMLLEANFILATLADEPSRASSLQ
+TLYLSQKQRRSEPIHSNSKAGKNITSKVPEYISRKVEPKRLYSNETPTNISTLENCGSPL
+MKRANKDITEVPESDGNLSEVPESSVSDTEDVPGSPCLKKFSCMFFGAASSDQQEPFSLP
+FQSLATASGSEEDMNNGSFSTF
+>sp|A6QQ91|RASL3_BOVIN RAS protein activator like-3 OS=Bos taurus OX=9913 GN=RASAL3 PE=2 SV=1
+MDPPSPSRASQTQPVAPSPLTSYRWHSGGGAEKGAGGFRWGRLAGWGRAQSHQETTASSQ
+PAPRSLFRRVLSAPPKESRTSRLKISKSLWGKNKSPPLDSEPEPENPEPEPELEPLATQI
+PEAPTPDVPVWNIEAFTLLDGKLVLLGNEDEGPRQPRMGSASSESSIHVASGNLKDPDRT
+PGKTDPEAAGPHQIHNVRGLLKRLKEKKKAKSELGASASRDGPPSALGSRESLATISELD
+LGAERDVRVWPLHPSLLEEPHCFQVTWAGGSRCFSCRSAAERDRWIEDLRRHFQPSQDNV
+EREETWLSVWVHEVKGLPRAAAAAPGVRTELWLDGALLARTTPRAGPGQLFWAERFHFEA
+LPPARRLSLRLRGAGPGDAVLGRVALALEELGIPRAPAAGLERWFPLLGAPAGAALRARI
+RARRLRVLPSERYKELAEFLTFHYARLCGALELALSAQAKEELAAAMVRVLRATGRAQAL
+VTDLGTAELARSGGREALLFRENTLATKAIDEYMKLVAQDYLQETLGQVVRRLCASTEDC
+EVDPSKCPASDLPQHQSRLRNSCKEVFENIIHSYNWFPAELGTVFSGWREACKARGSEAL
+GPRLVCASLFLRLLCPAILSPSLFGLALEHPAPGPARTLTLIAKVIQNLANRAPFGEKEA
+YMSFMNTFLEDHGPAMQHFLDQVATVDADTAPSGYQGSSDLALQLAVLHAQLCTIFAELD
+QATRDNLEPLPTILHAIEEGRPVPVTVPMCLPAPRTQGHSSISAGEKPGFLAPRDLPKHT
+PLISKSQSLRSVHGAGSWARPRLEEEQPPRLPRPVKRTQSVPAGRPARRRPSAGPRPRPK
+GSLHAGPAPRGRPWTGASASLPRKPSVPWQRQMDQPRDKDQALGTHRPVGKLAELQCEVA
+ALRQDLKMLSGLVESLSTHIRSLSEQQEQLRTQLQLLDSRLREGTAKLDPGRDRSTNEGH
+RLKSLECRLAEIESTQAQLKDTIQNLQLLPRTSESQSQPVPLKAPCINGDTT
+>sp|P79348|RGS20_BOVIN Regulator of G-protein signaling 20 OS=Bos taurus OX=9913 GN=RGS20 PE=1 SV=2
+MPRLSQDNQQGHQKHFSRPSRRIQFLPPPWTEAYNVNVHQTVENEGCATAMHNVKLLGSP
+AAPTLLSLLSGTLSGFARFFALLLRRPPPEAPLRRRDFSALIPALPAAVLSPGHEERPGR
+LSLLLRAALALPGRPPGGRLPREVDASAGQSSSIPPMGSEWMEMRKRPVCAAQEPTACAP
+GQPGVENQGSNACCFCWCCCCSCSCLTVRNQEEQRLRRTSYEARTEDLPTCEESPGPTLE
+EASAWAQSFDKLMLTPAGRNAFREFLRTEFSEENMLFWMACEELKKEANKATIEEKARII
+YEDYISILSPKEVSLDSRVRETINRSMAEPSRNIFDDAQLQIYTLMHRDSYPRFMNSALY
+KDLLRSLSEKAVEA
+>sp|Q17Q89|PFD6_BOVIN Prefoldin subunit 6 OS=Bos taurus OX=9913 GN=PFDN6 PE=2 SV=1
+MAELIQKKLQGEVEKYQQLQKDLSKSMSGRQKLEAQLTENNIVKEELALLDGSNVVFKLL
+GPVLVKQELGEARATVGKRLDYITAEIKRYESQLRDLEQQSEQQRETLAQLQQEFQRAQA
+AKAGAPGKA
+>sp|Q1RML2|PLCZ1_BOVIN 1-phosphatidylinositol 4,5-bisphosphate phosphodiesterase zeta-1 OS=Bos taurus OX=9913 GN=PLCZ1 PE=2 SV=1
+MENKWFLLMVRDDFKGGKITLEKALKLLEKLDIQCNTIHVKYIFKDNDRLKQGRITIEEF
+RTIYRIITYREEIIEIFNTYSENRKILLEKNLVEFLMREQYTLDFNKSIASEIIQKYEPI
+EEVKQAHQMSFEGFRRYMDSSECLLFDNKCDHVYQDMTHPLTDYFISSSHNTYLISDQLW
+GPSDLWGYISALVKGCRCLEIDCWDGSQNEPVVYHGYTFTSKLLFKTVIQAINKYAFLAS
+EYPVVLSLENHCSPSQQEVMADSLLATFGDALLSYTLDNFSDRLPSPEALKFKILVRNKK
+IGTLHETLERKGSDMHGKVEEFEEEEEIEQEEDGSGAKEPEPVGDFQDDLAKEEQLKRVV
+GIPLFRKKKIKISMALSDLVIYTKVEKFKSFHHSHLYQQFNESNSIGESQARKLTKLAAR
+EFILHTRRFITRVYPKALRADSSNFNPQEFWNVGCQMVALNFQTPGVPMDLQNGKFLDNG
+CSGYVLKPRFLRDKKTKFNPHKVQIDSNPLTLTIRLISGIQLPPSYQNKADTLVIVEIFG
+VPNDQMKQQSRVIKKNAFNPRWNETFTFVIQVPELALIRFVAENQGLIAGNEFLGQYTLP
+VLCMNRGYRRVPLFSKMGESLEPASLFIYVWYIR
+>sp|Q5E9M9|MIRO2_BOVIN Mitochondrial Rho GTPase 2 OS=Bos taurus OX=9913 GN=RHOT2 PE=2 SV=1
+MKRDVRILLLGEAQVGKTSLILSLVGEEFPEEVPARAEEITIPADVTPEKVPTHIVDYSE
+TEQTVEELQGEIDKADVVCVVYDVSEEATVEKIRTKWIPLVNGDTKRGPRVPIILVGNKS
+DLRPGGSMEAVLPIMSQFPEIETCVECSAKNLKNISELFYYAQKAVLHPTAPLYDPEAKQ
+LRPACAQALTRIFRLSDQDMDQALSDQELNAFQTSCFGHPLAPQALEDVKMVVSKNVVGG
+VRDDQLTLDGFLFLNTLFIQRGRHETTWTILRRFGYGDSLELTADYLCPPLRVPPGCSAE
+LNHRGYQFVQRMFEKHDQDRDGALSPAELQSLFSVFPAAPWGPHLPSTVRTKAGRLPLHG
+YLCQWTLVTYLDVRRSLEHLGYLGYPTLCEQDSQAHAITVTREKRLDQEKGQTQRNVLLC
+KVVGARGVGKSSFLRAFLGHSLGHQDAGEPSVYAIDTVQVNGQEKYLILCEVAADSLLTA
+SADASCDVACLMFDGSDLRSFALCASVYKQHYMDGQTPCLFVCSKADLPGGVPLPGLSPA
+EFCRRHRLPTPTLFSCAGPVEPCMGIFTRLATMATFPHLVHGQLQATSFWLRVALGAMGA
+AVAAILSFSLYRVLVKSR
+>sp|Q32KZ1|IF3M_BOVIN Translation initiation factor IF-3, mitochondrial OS=Bos taurus OX=9913 GN=MTIF3 PE=2 SV=1
+MAALFLKKLTLQTVKTENYCIRRCLGKYILQGPAPTQQPPRPSCLIHAKAFSTEDTQDEM
+TKKKKNETAFSSVGRKINERIIHVLDEQGNDLGHMHRANVIRLMAERDLRLVKRDASAEP
+PQYQLLTGAQIHQERLRLREAERAAPKPGPTLTKELTFSSNIGQHDLDTKSKQIQQWIEK
+KYKVQITVKKGKSADEPEDKMEEMCNRIVQTMSGIATFSSRPQPIRGGKAVMCVLRPLSK
+KEEAAWKAAPDTPRRDALNGGDGKDGASGVLPQ
+>sp|A7MB73|GT253_BOVIN Probable inactive glycosyltransferase 25 family member 3 OS=Bos taurus OX=9913 GN=CERCAM PE=2 SV=1
+MRAAPAAPLLQLLLLLGPRPEAAGVAEPPLPTVVLAILARNAEHSLPHYLGALERLDYPR
+ARLALWCATDHNVDNTTAMLREWLAAVGDNYAAVVWRPEGEPRSYPDEEGPKHWTKERHQ
+FLMELKQEALTFARDWGADYILFADTDNILTNNQTLRLLIEPGLPVVAPMLDSQTYYSNF
+WCGITPQGYYRRTADYFPTKNRQRRGCFRVPMVHSTFLVSLRAEGTGQLAFYPPHPNYTW
+PFDDIIVFAYACQAAGVAVHVCNEQRYGYLNVPVKSHQGLEDERVNFIHLILEALVDGPP
+MWASAHVSRPPKRPSKMGFDEVFVISLARRPDRRERMLTSLWEMEISGRVVDAVDGRMLN
+SSVMRTLGVDLLPGYQDPYSGRTLTKGEVGCFLSHYSIWEEVVTRGLAQVVVFEDDVRFE
+SNFKGRLEQLMEEVEAEKLPWDLIYLGRKQVNPEEEAVVEGLPHLVAAGYSYWTLAYVLS
+LAGARKLLASQPLRRMLPVDEFLPIMFDQHPNEQYKAHFWPRDLQAFSARPLLAAPTHYA
+GDSEWLSDTETSSPWDDDSGRLISWTGSYKTLRGPRLDLAGGSGHSLRPHPRDEL
+>sp|Q3ZCE0|LSM8_BOVIN U6 snRNA-associated Sm-like protein LSm8 OS=Bos taurus OX=9913 GN=LSM8 PE=3 SV=3
+MTSALENYINRTVAVITSDGRMIVGTLKGFDQTINLILDESHERVFSSSQGVEQVVLGLY
+IVRGDNVAVIGEIDEETDSALDLGNIRAEPLNSVAH
+>sp|Q1JPB0|ILEU_BOVIN Leukocyte elastase inhibitor OS=Bos taurus OX=9913 GN=SERPINB1 PE=2 SV=2
+MEQLSAANTRFAVDLFLTLTEHNPAGNIFISPFSISSALAMVFLGARGDTAAQMSKALHF
+EGVEIHSGFQSLNADINKCGAPYTLKLANRLFGEKSYDFLPEFLASTQEMYSAELASVDF
+LRAPEDARKTINAWVKEQTGGKIPELLASGMVDSLTKLVLVNAIYFKGKWQEKFMVEATK
+DAPFRLNKKETKTVKMMYQKKKFPFGYIKDLKCRVLELPYEGKDLSMVILLPDDIQDEAT
+GLKKIEQQLTLEKLREWTRPESLDLLEVRVQLPRFKLEESYDLQEPLARLGVRDLFSSKA
+DLSGMSGAKDLFISKVVHKSVVDVNEEGTEAAAATGAIAEFAMLVPEEEFVADHPFIFFI
+RHKPSSNILFLGRLSSP
+>sp|P12342|IL2RA_BOVIN Interleukin-2 receptor subunit alpha OS=Bos taurus OX=9913 GN=IL2RA PE=2 SV=1
+MEPSLLMWRFFVFIVVPGCVTEACHDDPPSLRNAMFKVFRYEVGTMINCDCKTGFRRVSA
+VMRCVGDSSHSAWENRCFCNSTSPAKNQVKQVTPAPEEHREKKHTDAQNQTQPPEEADLP
+GHCEEPPPWEHEREPLKRVYHFTLGQTVHYQCAQGFRALQTSPAESTCMMINGELRWTRP
+RLKCIREGEHGQASDDAEPQESTEAPPGSGTFLPTRMAGTTNFQKPTDEIATLDTFIFTT
+EYQIAVAGCTLLLASILLLSCLTWQRKWKKNRRTI
+>sp|Q0P5I2|IRAK2_BOVIN Interleukin-1 receptor-associated kinase-like 2 OS=Bos taurus OX=9913 GN=IRAK2 PE=2 SV=1
+MSCYIYQLPSWVLDDLCRNMDTLSEWDWMQFASYVITDLTQLRKIKSMERAQGVSITREL
+LWWWGMRQATVQQLLDLLCHLELYRAAQIILNWKPVLEVKSSIPDFSDTVKPGKPLAASV
+RNTEDKQETGQPVRPPTFPGSGPAAVRVNLPAPPEDASSSLKTNQTASADSKDFSASIPK
+QETLSSLAGDDLFWSEVDVIQATDNFNPSHKISEGTFADVYRGHKRGTPFIFKKLREMAC
+SGPGSVEKFFQAEVQICRRCCHPNVLPLLGCCTGEQFYSLIYPYMANGSLQDRLQGQGGS
+DPLPWPQRISICSGLLHAVEHLHSLEIIHGNVKSSNVLLDQNFTPKLAHSMAHPCPVNRT
+SKYTMMKTHLFQASTAYLPEDFIRVGQLTKRVDIFSCGIVLAEVLTGIPAMDNNRNPVYL
+KDLLLHEIPSSTISLCSRKMGVEKEMAKEICQKYLEKRAGRLPEAHAEALVMAACLCLRR
+RNASLAEVCSSVAAVEEQLRGQETSLPCSGLSEGTGSSFNTPEETDDVDNSSFDGSYSMR
+AAPWAGATSSPPLTADEEGMLLAGGAVEADSSAEACAPPEPPQDATETSWKIEINEAKRK
+LMENILLYKEEKLDSIELFGP
+>sp|Q05B44|KR122_BOVIN Keratin-associated protein 12-2 OS=Bos taurus OX=9913 GN=KRTAP12-2 PE=2 SV=1
+MCHTSCSSGCQAACVPSSCQPSCSTSSPCHTSCFTSSLCQPTCSTSSTCQATCVPVSYRP
+AVCLPVTYKPTLCVTPSCQSSVFLPVSYRPAVYVAPSCQSSGCYQPSCPTLVYRPISCST
+SSCF
+>sp|Q0V8R7|NSUN4_BOVIN 5-methylcytosine rRNA methyltransferase NSUN4 OS=Bos taurus OX=9913 GN=NSUN4 PE=2 SV=2
+MAALVVRGVRDMLKRADFATVPRRQRHKKKWASTEPKFPATRLALQNFDMTYSVQFGDLW
+PSIRVSLLSEQKYGALVNNFAAGDRVSAELEQLKARDFVNEAVFHREPEPENSQTAAPSP
+ASWACSPNLRCFTFTRGDVSRFPPARLGSLGLMDYYLMDAASLLPVLALGLQPGDTVLDL
+CAAPGGKTLALLQTGCCRNLAANDLSTSRTSRLQRVLHSYVPQDVRDKNRVRVTSWDGRK
+WGELEGDTYDRVLVDVPCTTDRHSLHEEENSIFQRSRKKERQMLPVLQVQLLAAGLLATK
+PGGHIVYSTCSLSHLQNEYVVQGAIELLDNQYSIKVQVEDLTHFRKLFMNTFSFFPSCQV
+GELVIPNLMANFGPMYFCKMCRMT
+>sp|A7E2Y6|MROH1_BOVIN Maestro heat-like repeat-containing protein family member 1 OS=Bos taurus OX=9913 GN=MROH1 PE=2 SV=1
+MSETYAKRLSAILLDAVTDKDPQMQEQVCGALCDFGESKPAEVLSACEEHLRLHEKLAHP
+YRTIILRAMEIVVSNHISELDKDTARAIILLASNEMTKVKELVSDWQQAASSVLVAVGRR
+FISSVMEELLSKFQPGLLPHPCTVHTLASLSVSNVFGVVPFLTSILSTMLPMLGAAKHDS
+MKVVFCCALQRFSESILEYLANLDQAPDPTVRKDAFASDIFGAYDILFHQWLQSGGAKLR
+LAVVEALGPMSHLLPSEKLEEQLPKLLPRVLAFYKKHTETVHVSKSLGQILEAAVSVGSR
+TLDIHLNSLLAALHAQICVPVESSSPLVMSNQKEVLRCFTVLACCSPDRLLAFLLPKLDT
+SNERTRVGTLQVLRHVINAAAAQMEVKKPVILSSMKLPLLDTNNKVKRAVVQVVSAMAHH
+GYLEQPGGKAMVEYIVQQCALPPEAEIQKLGADSEALAADSVRAISVSTLYLVSTTVDRM
+GEVLWPYLLEFLVPIRFTRALSPLCRSLVHLAQKRQEAGAHAPLIQYNGNVHLPSPYAIT
+TRLLAVSSHPYVGDGRGAASLRLLNVLHQDIHPALGQRWVTAIPLLLEHLDEYSEETLSQ
+KEWEEKLLVFLRDSLAVVSDNTWVCHLTLEMCKQLPNYNGTPLEKNFLYKCVGTTLGAAS
+SKVVRKHLRELLETARYQEEREHEGLACCFGICAISHLDDTLAQLDDFVKSDVLRKSAGI
+FNLFKNRSESEANKVRSALILCYGHVAAGAPRELLLARVEADLFWNMSECFSTKVLGIKV
+ETQDPALRLSLVQSVCMATQAICSSAHSSSFHLSRKAELVAQMVEFIRAEPPDSLKTPIW
+KKAMLACTYLVTLEPALEEQVQADLIHSCLHRVMAVLPEPEEGDSPQEVSAAPTVGGDPQ
+ESLYLDTVQVLKDLLTSLLLWNMTPLGLQVMLEHLSPWIKSPRGHERVRAVGLSACLLQF
+FLQHLQISALVPFHNLGLLIGLFSPRCADLWPATRREAVSCIHSLLYLQLTCVGFSRDYQ
+DDVAEQLLSLKDGLVHPDPAILFHTCHSIAQLIAKRLPPDHLINLLLTLFESLGDPDKNC
+SRAASVMINCLLKERGNMLQEKVPEIVSVLRSKLQETQEEHILQAAQHSVFALATHHCAS
+VVSNLLGSPLPFDSHTCTLWRALALEPGLAAQVLGLLLEKISKDVLFEESQAFLLSSTPD
+RVATLLPLAATCALHEVASAPASGPAVLELYPQLFVALLLRVSCTVGVQPPRQLQAKERR
+SASSGLASRSLEPCSSAVDALQAVLVRGGNEDVVQCMELDGGWQLLRTSAGHEEGVTRLA
+SAMAKFAGPRLPLVMKLLFTTQSSMYEVQRVTSTAFLAELLSSNVVNDLMLLESLLYNLM
+ARQKDTSARVRRLVLHGLANITLGSPDKVQTHSPQLLTAMIGGLDDGDDPHSLVALEAMV
+GLARLMDLVDAWDLHAVLLHIAVRIRPFFDSERMELRSVSIGLFGHLNKACRGDCKDVFL
+EQVVGGLVPLLLHLRDPHAPVVTACRFALRMCGPNLECEELAAVFQRHLQEGHDLHFGEF
+LNTTCKHLMRHFPDLLGRLLSTSLFYYKSSWEDVRAAAPMLTGFLVLHMEAEQRPQVDLE
+QLLTALQLLLKDPALKVRLKAVKTLGRLVKFA
+>sp|Q3ZCK9|PSA4_BOVIN Proteasome subunit alpha type-4 OS=Bos taurus OX=9913 GN=PSMA4 PE=1 SV=1
+MSRRYDSRTTIFSPEGRLYQVEYAMEAIGHAGTCLGILANDGVLLAAERRNIHKLLDEVF
+FSEKIYKLNEDMACSVAGITSDANVLTNELRLIAQRYLLQYQEPIPCEQLVTALCDIKQA
+YTQFGGKRPFGVSLLYIGWDKHYGFQLYQSDPSGNYGGWKATCIGNNSAAAVSMLKQDYK
+EGEMTLKSALALAIKVLNKTMDVSKLSAEKVEIATLTRENGKTVIRVLKQKEVEQLIKKH
+EEEEAKAEREKKEKEQKEKDK
+>sp|Q3SZ44|NUA4L_BOVIN NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 4-like 2 OS=Bos taurus OX=9913 GN=NDUFA4L2 PE=3 SV=1
+MAGTSLGARFYRQIKRHPGLIPMIGFIGLGMGSAALYLLRLALRSPDVCWDRKNNPEPWN
+RLSPNDQYKFLAVSTDYKKLKKDRPDF
+>sp|P38447|NUCG_BOVIN Endonuclease G, mitochondrial OS=Bos taurus OX=9913 GN=ENDOG PE=1 SV=1
+MQLLRAGLTLALGAGLGAAAESWWRQRADARATPGLLSRLPVLPVAAAAGLPAVPGAPAG
+GGPGELAKYGLPGVAQLKSRASYVLCYDPRTRGALWVVEQLRPEGLRGDGNRSSCDFHED
+DSVHAYHRATNADYRGSGFDRGHLAAAANHRWSQKAMDDTFYLSNVAPQVPHLNQNAWNN
+LEKYSRSLTRTYQNVYVCTGPLFLPRTEADGKSYVKYQVIGKNHVAVPTHFFKVLILEAA
+GGQIELRSYVMPNAPVDEAIPLEHFLVPIESIERASGLLFVPNILARAGSLKAITAGSK
+>sp|Q32L96|PHIPL_BOVIN Phytanoyl-CoA hydroxylase interacting protein-like OS=Bos taurus OX=9913 GN=PHYHIPL PE=2 SV=2
+MEVPRLDHALNSPTSPCEEVIKNLSLEAIQLCDRDGNKSQDSGIAEMEELPVPRNIKISN
+ITCDSFKISWEMDSKSKDRITHYFIDLNKKENKNSNKFKHKDVPTKLVAKAVPLPMTVRG
+HWFLSPRTEYTVAVQTASKQVDGDYVVSEWSEIIEFCTADYSKVHLTQLLEKAEVIAGRM
+LKFSVFYRNQHKEYFDYIREHHGNAMQPSVKDNSGSHGSPISGKLEGIFFSCSTEFNTGK
+PPQDSPYGRYRFEIAAEKLFNPNTNLYFGDFYCMYTAYHYVILVIAPVGSPGDEFCKQRL
+PQLNSQDNKFLTCREEDGMLVYHHAQDVILEVIYTDPVGLSLGTVAEITGHQLMSLSTAN
+AKKDPSCKTCNISVGR
+>sp|Q2TBR6|PFD4_BOVIN Prefoldin subunit 4 OS=Bos taurus OX=9913 GN=PFDN4 PE=2 SV=1
+MAATMKKAAAEDVNVTFEDQQKINKFARNTSRITELKEEIEVKKKQLQNLEDACEDIMLA
+DDDCLMIPYQIGDVFISHSQEETQEMLEEAKKNLQEEIDALESRVESIQRVLADLKVQLY
+AKFGSNINLEADES
+>sp|Q3T042|NOB1_BOVIN RNA-binding protein NOB1 OS=Bos taurus OX=9913 GN=NOB1 PE=2 SV=1
+MAPVEHVVADAGAFLLDAALQDIGKNIYTIRNVISEIRDKATRRRLAVLPYELRFKEPFP
+EYVRLVTEFSKKTGDYPSLSATDIQVLALTYQLEAEFVGVSHLKQEPEKVKVSSSIQHPE
+TPLHVSGFHLPSKPKPPRETVEHRHPASEPEDLEFSSFMFWRNPLPNIDCELQELLMDGG
+EDVPNEEEDEENGLDERQDEDSDDDGGGWITPSNIKQIQQEMKQCAVPKDVRVGCVTTDF
+AMQNVLLQMGLHVLAVNGMLIREARSYILRCHGCFKTTSDMSRVFCAHCGNKTLKKVSVT
+VSDDGTLHMHFSRNPKVLNPRGLRYSLPTPKGGKYAINPHLTEDQRFPQLRLSRKARQKT
+DVFAPDYVAGVSPFAENDISSRSATLQVRDSTLGAGRRRLNPNASRKKFVKKR
+>sp|A4IF62|RPC1_BOVIN DNA-directed RNA polymerase III subunit RPC1 OS=Bos taurus OX=9913 GN=POLR3A PE=2 SV=1
+MVKEQFRETDVAKKISHICFGMKSAEEMRQQAHIQVVSKNLYSQDNNHSPLLYGVLDHRM
+GTSEKDRPCETCGKNLADCLGHYGYIDLELPCFHVGYFRAVIGILQMICKTCCHIMLSQE
+EKKQFLDYLKRPGLTYLQKRGLKKKISDKCRKKNTCHHCGAFNGTVKKCGLLKIIHEKYK
+TNKKVVDPIVSSFLQSFETAIEHNKEVEPLLGKAQENLNPLVVLNLFKRIPAEDIPLLLM
+NPEAGKPSDLILTRLLVPPLCIRPSVVSDLKSGTNEDDLTMKLTEIIFLNDVIKKHRISG
+AKTQMIMEDWDFLQLQCALYINSELSGIPLNMAPKKWTRGFVQRLKGKQGRFRGNLSGKR
+VDFSGRTVISPDPNLRIDEVAVPVHVAKILTFPEKVNKANINFLRKLVRNGPEVHPGANF
+IQQRHTQMKRFLKYGNREKMAQELKFGDIVERHLIDGDVVLFNRQPSLHKLSIMAHLARV
+KPHRTFRFNECVCTPYNADFDGDEMNLHLPQTEEAKAEALVLMGTKANLVTPRNGEPLIA
+AIQDFLTGAYLLTLKDTFFDRAKACQIIASILVGKDEKIKVRLPPPTILKPVTLWTGKQI
+FSVILRPSDDNPVRANLRTKGKQYCGRGEDLCVNDSYVTIQNSELMSGSMDKGTLGSGSK
+NNIFYILLRDWGQNEAADAMSRLARLAPVYLSNRGFSIGIGDVTPGQGLLKAKYELLNAG
+YKKCDEYIEALNTGKLQQQPGCTAEETLEALILKELSVIRDHAGSACLRELDKSNSPLTM
+ALCGSKGSFINISQMIACVGQQAISGSRVPDGFENRSLPHFEKHSKLPAAKGFVANSFYS
+GLTPTEFFFHTMAGREGLVDTAVKTAETGYMQRRLVKSLEDLCSQYDLTVRSSTGDIIQF
+IYGGDGLDPAAMEGKDEPLEFKRVLDNIKAVFPCRSEPALSKNELLLSAESIMKKNEFLC
+CQDSFLQEIKKFIKEVSEKIKKTRDKYGINDNGTTEPRVLYQLDRITPTQIEKFLETCRD
+KYMRAQMEPGSAVGALCAQSIGEPGTQMTLKTFHFAGVASMNITLGVPRIKEIINASKAI
+STPIITAQLDKDDDADYARLVKGRIEKTLLGEISEYIEEVFLPDDCFILVKLSLERIRLL
+RLEVNAETVRYSICMSKLRVKPGDVAVHGEAVVCVTPRENSKSSMYYVLQFLKEDLPKVV
+VQGIPEVSRAVIHIDEQSGKEKYKLLVEGDNLRAVMATHGVKGTRTTSNNTYEVEKTLGI
+EAARTTIINEIQYTMVNHGMSIDRRHVMLLSDLMTYKGEVLGITRFGLAKMKESVLMLAS
+FEKTADHLFDAAYFGQKDSVCGVSECIIMGIPMNIGTGLFKLLHKANRDPSPPRRPLIFD
+TNEFHIPLVT
+>sp|P05307|PDIA1_BOVIN Protein disulfide-isomerase OS=Bos taurus OX=9913 GN=P4HB PE=1 SV=1
+MLRRALLCLALTALFRAGAGAPDEEDHVLVLHKGNFDEALAAHKYLLVEFYAPWCGHCKA
+LAPEYAKAAGKLKAEGSEIRLAKVDATEESDLAQQYGVRGYPTIKFFKNGDTASPKEYTA
+GREADDIVNWLKKRTGPAASTLSDGAAAEALVESSEVAVIGFFKDMESDSAKQFFLAAEV
+IDDIPFGITSNSDVFSKYQLDKDGVVLFKKFDEGRNNFEGEVTKEKLLDFIKHNQLPLVI
+EFTEQTAPKIFGGEIKTHILLFLPKSVSDYEGKLSNFKKAAESFKGKILFIFIDSDHTDN
+QRILEFFGLKKEECPAVRLITLEEEMTKYKPESDELTAEKITEFCHRFLEGKIKPHLMSQ
+ELPDDWDKQPVKVLVGKNFEEVAFDEKKNVFVEFYAPWCGHCKQLAPIWDKLGETYKDHE
+NIVIAKMDSTANEVEAVKVHSFPTLKFFPASADRTVIDYNGERTLDGFKKFLESGGQDGA
+GDDDDLEDLEEAEEPDLEEDDDQKAVKDEL
+>sp|Q9BE39|MYH7_BOVIN Myosin-7 OS=Bos taurus OX=9913 GN=MYH7 PE=1 SV=1
+MVDAEMAAFGEAAPYLRKSEKERLEAQTRPFDLKKDVFVPDDKEEFVKATILSREGGKVT
+AETEHGKTVTVKEDQVLQQNPPKFDKIEDMAMLTFLHEPAVLYNLKERYASWMIYTYSGL
+FCVTINPYKWLPVYNAEVVAAYRGKKRSEAPPHIFSISDNAYQYMLTDRENQSILITGES
+GAGKTVNTKRVIQYFAVIAAIGDRSKKEQATGKGTLEDQIIQANPALEAFGNAKTVRNDN
+SSRFGKFIRIHFGATGKLASADIETYLLEKSRVIFQLKAERDYHIFYQILSNKKPELLDM
+LLITNNPYDYAFISQGETTVASIDDAEELMATDNAFDVLGFTTEEKNSMYKLTGAIMHFG
+NMKFKLKQREEQAEPDGTEEADKSAYLMGLNSADLLKGLCHPRVKVGNEYVTKGQNVQQV
+VYAKGALAKAVYERMFNWMVTRINATLETKQPRQYFIGVLDIAGFEIFDFNSFEQLCINF
+TNEKLQQFFNHHMFVLEQEEYKKEGIEWEFIDFGMDLQACIDLIEKPMGIMSILEEECMF
+PKATDMTFKAKLFDNHLGKSSNFQKPRNIKGKPEAHFSLIHYAGTVDYNIIGWLQKNKDP
+LNETVVDLYKKSSLKMLSSLFANYAGFDTPIEKGKGKAKKGSSFQTVSALHRENLNKLMT
+NLRSTHPHFVRCIIPNETKSPGVIDNPLVMHQLRCNGVLEGIRICRKGFPNRILYGDFRQ
+RYRILNPAAIPEGQFIDSRKGAEKLLGSLDIDHNQYKFGHTKVFFKAGLLGLLEEMRDER
+LSRIITRIQAQSRGVLSRMEFKKLLERRDSLLIIQWNIRAFMGVKNWPWMKLYFKIKPLL
+KSAETEKEIALMKEEFGRLKEALEKSEARRKELEEKMVSLLQEKNDLQLQVQAEQDNLAD
+AEERCDQLIKNKIQLEAKVKEMTERLEDEEEMNAELTAKKRKLEDECSELKRDIDDLELT
+LAKVEKEKHATENKVKNLTEEMAGLDEIIAKLTKEKKALQEAHQQALDDLQAEEDKVNTL
+TKAKVKLEQHVDDLEGSLEQEKKVRMDLERAKRKLEGDLKLTQESIMDLENDKQQLDERL
+KKKDFELNALNARIEDEQALGSQLQKKLKELQARIEELEEELEAERTARAKVEKLRSDLS
+RELEEISERLEEAGGATSVQIEMNKKREAEFQKMRRDLEEATLQHEATAAALRKKHADSV
+AELSEQIDNLQRVKQKLEKEKSEFKLELDDVTSNMEQIIKAKANLEKMCRTLEDQMNEHR
+SKAEETQRSVNDLTSQRAKLQTENGELSRQLDEKEALISQLTRGKLTYTQQLEDLKRQLE
+EEVKAKNALAHALQSARHDCDLLREQYEEETEAKAELQRVLSKANSEVAQWRTKYETDAI
+QRTEELEEAKKKLAQRLQDAEEAVEAVNAKCSSLEKTKHRLQNEIEDLMVDVERSNAAAA
+ALDKKQRNFDKILAEWKQKYEESQSELESSQKEARSLSTELFKLKNAYEESLEHLETFKR
+ENKNLQEEISDLTEQLGSSGKTIHELEKVRKQLEAEKLELQSALEEAEASLEQEEGKILR
+AQLEFNQIKAEMERKLAEKDEEMEQAKRNHLRVVDSLQTSLDAETRSRNEALRVKKKMEG
+DLNEMEIQLSHANRLAAEAQKQVKSLQSLLKDTQIQLDDAVRANDDLKENIAIVERRNNL
+LQAELEELRAVVEQTERSRKLAEQELIETSERVQLLHSQNTSLINQKKKMEADLSQLQTE
+VEEAVQECRNAEEKAKKAITDAAMMAEELKKEQDTSAHLERMKKNMEQTIKDLQHRLDEA
+EQIALKGGKKQLQKLEARVRELENELEAEQKRNAESVKGMRKSERRIKELTYQTEEDRKN
+LLRLQDLVDKLQLKVKAYKRQAEEAEEQANTNLSKFRKVQHELDEAEERADIAESQVNKL
+RAKSRDIGTKGLNEE
+>sp|O46504|PDPR_BOVIN Pyruvate dehydrogenase phosphatase regulatory subunit, mitochondrial OS=Bos taurus OX=9913 GN=PDPR PE=1 SV=1
+MLPRLLAVVRGPGSCRGWREGSPARGSASATVAPPVALPAQAQVVVCGGGIMGTSVAYHL
+SKMGWKDVVLLEQGRLAAGSTRFCAGILSTARHLAIEQKMADYSNKLYHQLEQETGIQTG
+YTRTGSIFLAQTQDRLISLKRINSRLNVIGIPCEIISPKKVAELHPLLNVHDLVGAMHVP
+EDAVVSSADVALALASAASQSGVQIYDRTSILHVMVKKGQVAGVETDKGQIQCQYFVNCA
+GQWAYELGLCSEEPVSIPLHACEHFYLLTRPWETPLPSSTPTVVDADGRIYIRNWQGGIL
+SGGFEKNPKPIFTEGKNQLEIQNLQEDWDHFEPLLSSLLRRMPQLETLEIVKLVNCPETF
+TPDMRCIMGESPSVRGYFVLVGMNSAGLSFGGGAGKYLAEWMVYGYPSENVWELDLKRFG
+ALQSSRTFLRHRVMEVMPLLYDLKVPRWDFQTGRQLRTSPLYDRLDAQGARWMEKHGFER
+PKYFIPPDKDLLALEQSKTFYKPDWFEIVESEVKCCKEAVCVIDMSSFTKFEITSTGDQA
+LEILQYLFSNDLDVPVGHIVHTGMLNERGGYENDCSIARLSKRSFFMISPTDQQVHCWAW
+LKKYMPEDSNLILEDVTWKYTALNLIGPRTVDVLSELSYAPMTPDHFPSLFCKEMSVGYA
+NGIRVMSMTHTGEPGFMLYIPIEYALHVYNEVMSVGQKYGIRNAGYYALRSLRIEKFFAF
+WGQDLNTLTTPLECGGESRVKLDKGVDFIGRDALLQQRQNGVYNRLTMFILDDHDTDLDL
+WPWWGEPIYRNGRYVGKTTSSAYGYTLERHVCLGFVHNFSEDTGEEQVVTADFINRGEYE
+IDIAGHRFQAKAKLYPVPSLLTHKRRKEDVELSDLHGK
+>sp|Q0P5H5|RGS2_BOVIN Regulator of G-protein signaling 2 OS=Bos taurus OX=9913 GN=RGS2 PE=2 SV=1
+MQSALFLAVQHECGPMDKGAGTGPKNEEKREKMKRTLLKDWKSRLSYFLQNSSSPGKPKT
+GKKSKQQTFIKPSPEEAQLWSEAFDELLASKYGLAAFRAFLKSEFCEENIEFWLACEDFK
+KTKSPQKLSSKAKKIYTDFIEKEAPKEINIDFQTKSLIAQNIQEATSGCFTTAQKRVYSL
+MENNSYPRFLESEFYQDLCKKPQITTEPHAT
+>sp|Q3SYY7|OCAD2_BOVIN OCIA domain-containing protein 2 OS=Bos taurus OX=9913 GN=OCIAD2 PE=2 SV=1
+MASVSTHENQEKGPHLPPPSKQSLLFCPKSKLHIHRSEISKIIRECQEESFWKRALPFSL
+VSMLVTQGLVHHGYLAANPRFGSLPKVALAGILGFGLGKASYIGVCQSKFHSFEGQLRGA
+GFGPGHNRHCLLTCEECKTKHGLSQERSSQPSAS
+>sp|A5D7U1|PLET1_BOVIN Placenta-expressed transcript 1 protein OS=Bos taurus OX=9913 GN=PLET1 PE=2 SV=2
+MAILRSLLLPLGLLLCLWLLCSPASCTNSTTNCKPFLSVTTKKSSLIHISPDIYKSNTLY
+TVSTQVSHGIISVVLEARDQNKSVIGSWENPSDHCEGRAEYYLKGNFSTLFKAKWMSPNS
+TDITTVKINIYTVNSLRNAELDSITLPEVGTVTVKHVSTKQVITTPTHKPTPAPPKPTTN
+PQKTTTNHSIPTTSLPKPTTSLYTSHPKLTTTHKSSANRAFLCPVREAIQILFIFLIGTL
+LF
+>sp|Q599T9|MYD88_BOVIN Myeloid differentiation primary response protein MyD88 OS=Bos taurus OX=9913 GN=MYD88 PE=2 SV=1
+MAEGVPRAGSALPAASLSSLPLAALNVRVRRRLSLFLNVRAPVAADWTVLAEAMDFEYLE
+IQQLEKYADPTSRLLDDWQRRPGASVGRLLELLAKLGRDDVLMELGPSIEEDCQKYILKQ
+QQEASEKPLQVDSIDSSITRINDMAGITIRDDPLGQKPECFDAFICYCPSDIEFVHEMIR
+QLEQTNYRLKLCVSDRDVLPGTCVWSIASELIEKRCRRMVVVVSDEYLQSKECDFQTKFA
+LSLSPGAHQKRLIPIKYKPMKKEFPSILRFITVCDYTNPCTQNWFWTRLAKALSMP
+>sp|Q08DA5|PANK3_BOVIN Pantothenate kinase 3 OS=Bos taurus OX=9913 GN=PANK3 PE=2 SV=1
+MKIKDAKKPSFPWFGMDIGGTLVKLSYFEPIDITAEEEQEEVESLKSIRKYLTSNVAYGS
+TGIRDVHLELKDLTLFGRRGNLHFIRFPTQDLPTFIQMGRDKNFSTLHTVLCATGGGAYK
+FEKDFRTIGNLHLHKLDELDCLVKGLLYIDSVSFNGQAECYYFANASEPERCQKMPFNLD
+DPYPLLVVNIGSGVSILAVHSKDNYKRVTGTSLGGGTFLGLCSLLTGCESFEEALEMASK
+GDSTQADKLVRDIYGGDYERFGLPGWAVASSFGNMIYKEKRESVSKEDLARATLVTITNN
+IGSIARMCAVNEKINRVVFVGNFLRVNTLSMKLLAYALDYWSKGQLKALFLEHEGYFGAV
+GALLGLPNFS
+>sp|Q2YDF9|PCGF1_BOVIN Polycomb group RING finger protein 1 OS=Bos taurus OX=9913 GN=PCGF1 PE=2 SV=2
+MASPQGGQIAIAMRLRNQLQSVYKMDPLRNEEEVRVKIKDLNEHIVCCLCAGYFVDATTI
+TECLHTFCKSCIVKYLQTSKYCPMCNIKIHETQPLLNHKLDRVMQDIVYKLVPGLQDSEE
+KRIREFYQSRGLDRVTQPSGEEPALSNLGLPFSSFDHSKAHYYRYDEQLSLCLERLSSGK
+DKNKSILQNKYVRCSVRAEVRHLRRVLCHRLMLNPQHVQLLFDNEVLPDHMTMKQIWLSH
+WFGKPSPLLLQYSVKEKRR
+>sp|E1BPX4|MCM8_BOVIN DNA helicase MCM8 OS=Bos taurus OX=9913 GN=MCM8 PE=3 SV=2
+MNGKYRGRGFGQGRFQSWKSGRGGRGFSGKWREREHRPDLNKATGKHPEQTPQSLLLQST
+LDHFIPYKGWKLYFSEVYSDSIPFIEKIEAFESFFTERIELYDKDEIERKGSILVDFKEL
+INDDEIIKLIPNIANELRDTPEKTLACMGLAIHQVLTKDLERHAAELQAQEGLSRNGETV
+VNVPHIHARVYNYEPLTQLKNVRANYYGKYIALRGTVVRVSNTKPLCTKMAFLCAACGEI
+QSLSLPDGKYNLPTKCPVPACRGKSFTALRSSPLTVTMDWQSIKIQELMSDDQREAGRIP
+RTIECELVHDLVDSCVPGDTVTITGVVKVSNAEEANSVSNNKGQKTKASEDGCKHGALME
+FSLKDLYAIQEIQSEENLFKLIVNSLCPVIFGHELVKAGLALALFGGSQKYADDKNRIPI
+RGDPHVLVVGDPGLGKSQMLQAVCSVAPRGVYVCGNTTTTSGLTVTLSKDSSSGDFALEA
+GALVLGDQGICGIDEFDKMGNQHQALLEAMEQQSISLAKAGMVCSLPARTSIIAAANPVG
+GHYNKAKTVSENLKMGSALLSRFDLVFILLDTPNEDHDHLLSEHVIAIRAGKQRAVSSAT
+VARMNSQDSNTSILEVVSDKPLSERLKVVPGETIDPIPHQLLRKYIGYSRQYVYPRLSTE
+AAQILQNFYLELRKQSQRLSSSPITTRQLESLIRLTEARARLELREEATKEDAEDIVEIM
+KYSMLGTYSDEFGNLDFERSQHGSGMSNRSAAKRFISALNKIAERTYNNLFQFHQLQQIA
+KELNIQVADFENFIGSLNDQGYLLKKGPKVYQLQTM
+>sp|Q28038|MIA_BOVIN Melanoma-derived growth regulatory protein OS=Bos taurus OX=9913 GN=MIA PE=2 SV=1
+MAWSLVFLGVVLLSAFPGPSAGGRPMPKLADRKMCADEECSHPISVAVALQDYVAPDCRF
+LTIHQGQVVYIFSKLKGRGRLFWGGSVQGDYYGDGAARLGYFPSSIVREDQTLKPAKTDV
+KTDIWDFYCQ
+>sp|G3N131|H11_BOVIN Histone H1.1 OS=Bos taurus OX=9913 GN=HIST1H1A PE=1 SV=1
+MSEVALPAPAASTSPEKPSAGKKAKKPAKAAAAAKKKPAGPSVSELIVQAVSSSKERSGV
+SLAALKKALAAAGYDVEKNNSRIKLGLKSLVGKGTLVQTKGTGASGSFKLNKKVASVDAK
+PTATKVATKTKVTSASKKPKKASGAAAAKKSVKTPKKARKSVLTKKSSKSPKKPKAVKPK
+KVAKSPAKAKAVKPKGAKVKVTKPKTAAKPKKAAPKKK
+>sp|Q28028|IL15_BOVIN Interleukin-15 OS=Bos taurus OX=9913 GN=IL15 PE=2 SV=1
+MRILKPYLRSTSIQCYLCLLLNSHFLTEAGIHVFILGCISASLPKTEANWQYVINDLKTI
+EHLIQSIHMDATLYTESDAHPNCKVTAMQCFLLELRVILHESKNATIYEIIENLTMLANS
+NLSSIENKTELGCKECEELEEKSIKEFLKSFVHIVQMFINTS
+>sp|Q0P565|HDDC2_BOVIN HD domain-containing protein 2 OS=Bos taurus OX=9913 GN=HDDC2 PE=2 SV=1
+MASASPVATMSGRGARNLLQFLRLVGQLKRVPRTGWVYRNVQKPESVSDHMYRMAVMALV
+TKDEHLNKDRCVRLALVHDMAECIVGDIAPADNVPREEKHRREEEAMKQLTQLLPEDLQK
+ELYELWEEYETQSSAEAKFVKQLDQCEMILQASEYEDLENKPGRLQDFYDSTAGKFSHPE
+IVQLVSELEAERNANIAGAASEPCS
+>sp|Q5I3B2|IOD2_BOVIN Type II iodothyronine deiodinase OS=Bos taurus OX=9913 GN=DIO2 PE=2 SV=2
+MGILSVDLLITLQILPVFFSNCLFLALYDSVILLKHVVLLLSRSKSTRGQWRRMLTSEGM
+RCIWKSFLLDAYKQVKLGEDAPNSSVVHVSSPEGGDTSGNGAQEKTVDGTECHLLDFASP
+ERPLVVNFGSATUPPFTNQLPAFSKLVEEFSSVADFLLVYIDEAHPSDGWAVPGDSSLFF
+EVKKHRNQEDRCAAAHQLLERFSLPPQCRVVADRMDNNANVAYGVAFERVCIVQRQKIAY
+LGGKGPFFYNLQEVRRWLEKNFSKRUKLD
+>sp|Q3T056|LDH6B_BOVIN L-lactate dehydrogenase A-like 6B OS=Bos taurus OX=9913 GN=LDHAL6B PE=2 SV=1
+MSWTVGILRASQRAGTVRANFLCLGMAPSPCPPAATPLGGAWAVAPASKMATVKCELMKN
+FTSEEPVRNSKISIVGTGSVGMACAVSILLKGLSDELALVDVDEGRLKGETMDLQHGSLF
+VKMPNIVSSRDYVVTANSNLVIITAGARQEKGETRLNLVQRNVAIFKLMMSSIVQYSPRC
+KLIVVSNPVDILTYVAWKLSAFPQNRVIGSGCNLDTARFRFLIGQRLSIHSESCHGWILG
+EHGDSSVPVWSGVNIAGVPLKELNLDIGTDKDPEQWKNVHKDVVASAYEIIKMKGYTYWA
+IGLSVADLTESILKNLRRVHPVSTRIKGLYGINEEVFLSVPCILGESGITDLIKVKLAPE
+EEARLQKSAKTLWDIQKELKF
+>sp|Q2TBK4|HAUS1_BOVIN HAUS augmin-like complex subunit 1 OS=Bos taurus OX=9913 GN=HAUS1 PE=2 SV=1
+MEALEEKEAQVAAWLKKIFGDHPIPQYEVNAWTTEILYNLSERNRIRDRDVYLVTEDLKQ
+KAKEYESEAKHLQDLLMESVNFSPASLSSTGSRYLNALVDSAVVLETKDTSLASFIPAVN
+DLTSDLFRTKSKNEEIKLELAKLEKNLTATLVLEKCLQEDLKKAELHLCTERARVDSRLQ
+NMDFLKAKSEEFRSGIRTAEEQLSARGMDASLSHQSLVALSEKLAELKRQTMPLKKKLES
+YLDLMPNPSLAQVKIEEAKRELDTIEAELTKRVNMMEL
+>sp|Q58DF7|KCD11_BOVIN BTB/POZ domain-containing protein KCTD11 OS=Bos taurus OX=9913 GN=KCTD11 PE=2 SV=2
+MLGAMFRAGTPMTPNLNPEGGGHYFIDRDGKAFRHILNFLRLGRLDLPLGYGETALLRAE
+ADFYQIRPLLDALRELEASRGTPAPTAALLHADVDSSPRLVHFSARRGPHHYELSSVQVD
+TFRANLFCTDPECLGALRARFGVTNEDRAEGGPHFRLEWAPRPAELPEVEYRRLGLQPLW
+TGAPGEPRDVVGTPSFLEEVLRVALEHGFRLDSVFPDPEDLLNSRSLRFVRH
+>sp|O77627|JUN_BOVIN Transcription factor AP-1 OS=Bos taurus OX=9913 GN=JUN PE=2 SV=2
+MTAKMETTFYDDALNASFLQSESGAYGYSNPKILKQSMTLNLADPVGSLKPHLRAKNSDL
+LTSPDVGLLKLASPELERLIIQSSNGHITTTPTPTQFLCPKNVTDEQEGFAEGFVRALAE
+LHSQNTLPSVTSAAQPVSGAGLVAPAVASVAGGSGSGGFSASLHSEPPVYANLSNFNPGS
+LSSGGGAPSYGAAGLAFPAQPQQQQQQPPQPPHHLPQQIPVQHPRLQALKEEPQTVPEMP
+GETPPLSPIDMESQERIKAERKRMRNRIAASKCRKRKLERIARLEEKVKTLKAQNSELAS
+TANMLREQVAQLKQKVMNHVNSGCQLMLTQQLQTF
+>sp|O62644|LECT2_BOVIN Leukocyte cell-derived chemotaxin-2 OS=Bos taurus OX=9913 GN=LECT2 PE=1 SV=1
+MFSTGTLLLAALISPALAGPWAIICAGKSSNEIRTCDGHGCGQYTAQRNQKLHQGVDVLC
+SDGSTVYAPFTGKIMGQEKPYKNKNAINNGVRISGGGFCIKMFYIKPIKYKGSIKKGEKL
+GTLLPLQKVYPGIQSHIHIENCDLSDPTVYL
+>sp|A5D7J3|KNOP1_BOVIN Lysine-rich nucleolar protein 1 OS=Bos taurus OX=9913 GN=KNOP1 PE=2 SV=2
+MITKAHKGEVGLGLPEKKKKKKKVVKEPKTQYSVLNSDNYFAEVCPRATPPLKGVIQEQA
+PRMPLVKKKKKKKGHSTICEEHLEPEITLRAGRTERSHSPRTQALGLSKSLSAEKRKSMS
+PGSRVKTSPDPRQDEEVTRVGKKLKKHKKEKKAKEATAFSGRDPWFCEAGNTVYTHSVGK
+DGVREQAALGQKQKQGSPREHSVKMKKKKKIHWEGDPPLGHPECSWSLESSPTKGSKKKP
+VRVEAPEYIPIGDGSRASVKKKVKSKKRVEQADTEEPALKRKKKKKKRQQSEVAEEPWEE
+EPDTDLEVVLEKKGNMDEAHIDQVRRKALQEEIDRESGKTEAYDTKKWTGTQFGQWDTAG
+FENEEQKLKFLKLMGGFKNLPPSFSRPSPTVARPSMALSKKAADTLQRNLQQDYDRALSW
+KYSRGAGLGFSTAPAKVFYIDRNASKSIKFED
+>sp|Q3T095|ING4_BOVIN Inhibitor of growth protein 4 OS=Bos taurus OX=9913 GN=ING4 PE=2 SV=1
+MAAGMYLEHYLDSIENLPFELQRNFQLMRDLDQRTEDLKAEIDKLASEYMSSARSRSSEE
+KLALLRQIQEAYGKCKEFGDDKVQLAMQTYEMVDKHIRRLDTDLARFEADLKEKQIESSD
+YDSSSSKGKKSRTQKEKKAARARSKGKNSDEEAPKAAQKKLKLVRTSPEYGMPSVTFGSV
+HPSDVLDMPVDPNEPTYCLCHQVSYGEMIGCDNPDCSIERFHFACVGLTTKPRGKWFCPR
+CSQERKKK
+>sp|Q3SZP0|IRF1_BOVIN Interferon regulatory factor 1 OS=Bos taurus OX=9913 GN=IRF1 PE=2 SV=1
+MPITRMRMRPWLEMQINSNQIPGLIWINKEEMIFQIPWKHAAKHGWDINKDACLFRSWAI
+HTGRYKAGEKEPDPKTWKANFRCAMNSLPDIEEVKDQSRNKGSSAVRVYRMLPPLTKSQR
+KERKSKSSRDARSKAKKKPYGEYSPDTFSDGLSSSTLPDDHSNYTVRSYMGQDLDIERTL
+TPALSPCGVSSTLPNWSIPVEIVPDSTSDLYNFQVSPMPSTSEAATDEDEEGKLTEDIMK
+LLEQTGWQQTSVDGKGYLLNEPGAQPTSVYGEFSCKEEPEVDSPGGYIGLISSDMKNMDP
+SLLDSLLTPVRLPSIQAIPCAP
+>sp|P00516|KGP1_BOVIN cGMP-dependent protein kinase 1 OS=Bos taurus OX=9913 GN=PRKG1 PE=1 SV=2
+MSELEEDFAKILMLKEERIKELEKRLSEKEEEIQELKRKLHKCQSVLPVPSTHIGPRTTR
+AQGISAEPQTYRSFHDLRQAFRKFTKSERSKDLIKEAILDNDFMKNLELSQIQEIVDCMY
+PVEYGKDSCIIKEGDVGSLVYVMEDGKVEVTKEGVKLCTMGPGKVFGELAILYNCTRTAT
+VKTLVNVKLWAIDRQCFQTIMMRTGLIKHTEYMEFLKSVPTFQSLPEEILSKLADVLEET
+HYENGEYIIRQGARGDTFFIISKGKVNVTREDSPNEDPVFLRTLGKGDWFGEKALQGEDV
+RTANVIAAEAVTCLVIDRDSFKHLIGGLDDVSNKAYEDAEAKAKYEAEAAFFANLKLSDF
+NIIDTLGVGGFGRVELVQLKSEESKTFAMKILKKRHIVDTRQQEHIRSEKQIMQGAHSDF
+IVRLYRTFKDSKYLYMLMEACLGGELWTILRDRGSFEDSTTRFYTACVVEAFAYLHSKGI
+IYRDLKPENLILDHRGYAKLVDFGFAKKIGFGKKTWTFCGTPEYVAPEIILNKGHDISAD
+YWSLGILMYELLTGSPPFSGPDPMKTYNIILRGIDMIEFPKKIAKNAANLIKKLCRDNPS
+ERLGNLKNGVKDIQKHKWFEGFNWEGLRKGTLTPPIIPSVASPTDTSNFDSFPEDNDEPP
+PDDNSGWDIDF
+>sp|Q32LL6|MORN5_BOVIN MORN repeat-containing protein 5 OS=Bos taurus OX=9913 GN=MORN5 PE=2 SV=1
+MEYTGSQYIGEFVDGRMEGDAEYILPTETKYIGEMKDGMFHGQGTLYFPNGSRFDAVWEK
+GLVVKGTYTFSDGLQYDTENWHYCDSYDRRFYTEICYGLKPAGISQLTNMDPPRKIPPGC
+YDCGDGFYNPNTRIVKDYNYRFLRNADDDEHEWIVRTCRKGWDETMGPEPKS
+>sp|Q5E9Y6|PRUN1_BOVIN Exopolyphosphatase PRUNE1 OS=Bos taurus OX=9913 GN=PRUNE1 PE=2 SV=1
+MENYLQGCRAALQESRPIHVVLGNEACDLDSMVSALALAFYLAKTTEAEEVFVPVLNIKR
+SELPLRGDNVFFLQKIHIPESVLIFRDEIDLHALHQAGQLTLILVDHHVLPKSDAALEEA
+VAEVLDHRPIDQRHCPPCHVSVELVGSCATLVAERILQGAPEILDRQTAALLHGTILLDC
+VNMDLKIGKATLKDSHYVEKLEALFPDLPSRNDIFDSLQKAKFDVSGLTTEQMLRKDQKT
+ISRQGTKVAISAIYMDMEAFLQRSGLLADLRAFCQAHSYDALVAMTIFFNTYNEPVRQLA
+VFCPHAALRMTICGILEHSHSPPLKLTPVPSSHPDLQAYLQGNTQISRKKVLPLLQEALS
+AYFDSTNIPLGQPETEGVSREQVDKELDRAGNSLLSGLSQDEEEPPLPPTPMNSLVDECP
+LDQGLPKFSAEVIFEKCSQISLSEPTTASLSKK
+>sp|Q3MHY6|NUBP2_BOVIN Cytosolic Fe-S cluster assembly factor NUBP2 OS=Bos taurus OX=9913 GN=NUBP2 PE=2 SV=1
+MEAAAEPGNLAGVRHIVLVLSGKGGVGKSTISTELALALRHAGKKVGILDVDLCGPSIPR
+MLRAQGRAVHQGDSGWVPVFVDREQSISLMSVGFLLEQPDEAVVWRGPKKNALIKQFVSD
+VAWGQLDYLLVDTPPGTSDEHMAVVDALRPHSPLGALVVTTPQAVSVGDVRRELTFCRKV
+GLRVIGLVENMSGFVCPHCSECTNVFSKGGGEELARHAGVPFLGSVPLDPELTRSLEDGR
+DFIQDFPDSPAFPALSSIAQKILSETPAGLS
+>sp|Q5BIN5|PIN1_BOVIN Peptidyl-prolyl cis-trans isomerase NIMA-interacting 1 OS=Bos taurus OX=9913 GN=PIN1 PE=2 SV=1
+MADEEKLPPGWEKRMSRSSGRVYYFNHITNASQWERPSGNSSGSGKNGQGEPTRVRCSHL
+LVKHSQSRRPSSWRQEKITRTKEEALELINGYIQKIKSGEEDFESLASQFSDCSSAKARG
+DLGAFSRGQMQKPFEDASFALRTGEMSGPVFTDSGIHIILRTE
+>sp|Q29RS5|RARR2_BOVIN Retinoic acid receptor responder protein 2 OS=Bos taurus OX=9913 GN=RARRES2 PE=2 SV=1
+MWQLLLPLALGLGTMGLGRAELTTAQHRGLQVALEEFHKHPPVLWAFQVTSVDNAADTLF
+PAGQFVRLEFKLQQTSCRKKDWRKEDCKVKPNGRKRKCLACIKLDSKDQVLGRMVHCPIQ
+TQVQRELDDAQDAQCSRVERAGEDPHSYYLPGQFAFIKALSP
+>sp|Q3SWX8|RBBP7_BOVIN Histone-binding protein RBBP7 OS=Bos taurus OX=9913 GN=RBBP7 PE=2 SV=1
+MASKEMFEDTVEERVINEEYKIWKKNTPFLYDLVMTHALQWPSLTVQWLPEVTKPEGKDY
+ALHWLVLGTHTSDEQNHLVVARVHIPNDDAQFDASHCDSEKGEFGGFGSVTGKIECEIKI
+NHEGEVNRARYMPQNPHIIATKTPSSDVLVFDYTKHPAKPDPSGECNPDLRLRGHQKEGY
+GLSWNSNLSGHLLSASDDHTVCLWDINAGPKEGKIVDAKAIFTGHSAVVEDVAWHLLHES
+LFGSVADDQKLMIWDTRSNTTSKPSHLVDAHTAEVNCLSFNPYSEFILATGSADKTVALW
+DLRNLKLKLHTFESHKDEIFQVHWSPHNETILASSGTDRRLNVWDLSKIGEEQSAEDAED
+GPPELLFIHGGHTAKISDFSWNPNEPWVICSVSEDNIMQIWQMAENIYNDEESDVTTPEL
+EGQGS
+>sp|Q17QG3|RIPL1_BOVIN RILP-like protein 1 OS=Bos taurus OX=9913 GN=RILPL1 PE=2 SV=1
+MEEDRGSALAAESALEKNVAELTVMDVYDIASLVGHEFERVIDQHGCEAIARLIPKVVRV
+LEILEVLVSRHHVAPELDELRLELDRLRLERMDRIEKERKHQKELELVEDVWRGEAQDLL
+SQIAQLQEENKQLMTNLSHKDVSFSEEEFQKHEGMSERERQVMKKLKEVVDKQRDEIRAK
+DRELGLKNEDVEALQQQQTRLMKINHDLRHRVTVVEAQGKALIEQKVELEADLQTKEQEM
+GSLRAELGKLRERLQGELNQNGEEEPVAELGGEECVSEAEKVAMDLKDPNRPRFTLQELR
+DVLHERNELKSKVFLLQEELAYYKSEEIEEENQIPQPPPIAHPRMSPQPESGIKRLFSFF
+SRDKKRLANTQRNVRIHETFGQWANCHRDDGYTEQGQEALQHL
+>sp|Q29RT1|LYZL6_BOVIN Lysozyme-like protein 6 OS=Bos taurus OX=9913 GN=LYZL6 PE=2 SV=1
+MTSPLLISLASCLVAVNQASLIGRCDLAKVLHQEDLDGFEGYSLTDWLCLAFVESDFNIT
+KVNENTDGSFDYGIFQINSHYWCNDYQSRTENNCQVDCQELLSPNLLAIINCAKKIVSGA
+GGMKNWVKWRLHCAGRPLSYWMTGCHLA
+>sp|P24591|IBP1_BOVIN Insulin-like growth factor-binding protein 1 OS=Bos taurus OX=9913 GN=IGFBP1 PE=2 SV=2
+MPEVLAVRAWPLLLSLAVQLGATVGAPQPWRCAPCSAERMALCPPVPASCPELTRSAGCG
+CCPMCALPLGAACGVATARCARGLSCRALPGEPRPLHALTRGQGACMTTPSDEATDTKDT
+TSPENVSPESSEITQEQLLDNFHLMAESSEDLPILWNAISNYESLRALEISDVKKWKEPC
+QRELYKVLDRLAREQQKAGDKLYKFYLPNCNKNGFYHSKQCETSLEGEPGLCWCVYPWSG
+KRILGSVAVRGDPKCQQYFNLQN
+>sp|Q2KJ33|LIMS2_BOVIN LIM and senescent cell antigen-like-containing domain protein 2 OS=Bos taurus OX=9913 GN=LIMS2 PE=2 SV=2
+MTGSNMSNALANAVCQRCQARFAPAERIVNSNGELYHEHCFVCAQCFRPFPEGLFYEFEG
+RKYCEHDFQMLFAPCCGSCGEFIIGRVIKAMNNNWHPGCFRCELCDVELADLGFVKNAGR
+HLCRPCHNREKAKGLGKYICQRCHLVIDEQPLMFKNDAYHPDHFSCTHCGKELTAEAREL
+KGELYCLPCHDKMGVPICGACRRPIEGRVVNALGKQWHVEHFVCAKCEKPFLGHRHYEKK
+GLAYCETHYNQLFGDVCYTCSHVIEGDVVSALNKAWCVHCFSCSTCNSRLTLKNKFVEFD
+MKPVCKRCYEKFPLELKKRLKKLSELAARRAQPKSSGLHPA
+>sp|Q05717|IBP5_BOVIN Insulin-like growth factor-binding protein 5 OS=Bos taurus OX=9913 GN=IGFBP5 PE=2 SV=2
+MVLTAVLLLLAACAGSAQGLGSFVHCEPCDEKALSMCPPSPLGCELVKEPGCGCCMTCAL
+AEGQSCGVYTERCAQGLRCLPRQDEEKPLHALLHGRGVCLNEKSYREQAKIERDSREHEE
+PTTSEMAEETYSPKIFRPKHTRISELKAEAVKKDRRKKLTQSKFVGGAENTAHPRVISAP
+EMRQKSEQGPCRRHMEASLQELKASPRMVPRAVYLPNCDRKGFYKRKQCKPSRGRKRGIC
+WCVDKYGMKLPGMEYVDGDFQCHTFDSSNVE
+>sp|Q5EAD8|LRC51_BOVIN Leucine-rich repeat-containing protein 51 OS=Bos taurus OX=9913 GN=LRRC51 PE=2 SV=1
+MNKRNYMNTSVQEPPLDYSFRSIHVTQDLLSEEPRTGLRPVRHAKSGKSMTQSLWLNNNV
+LTDLRDFNHAVSQLLEHPENLAWIDLSFNDLTSIDPVLTTFFNLSVLYLHGNSIQRLGEV
+NKLAALPRLRSLTLHGNPIEEEKGYRQYVLCTLPHITTFDFSGVTKADRTTAEVWKRMNI
+KPKKVRIKHNAL
+>sp|Q0P5E7|GTPB8_BOVIN GTP-binding protein 8 OS=Bos taurus OX=9913 GN=GTPBP8 PE=2 SV=1
+MAAHRLRQSVGRLFAMGPELGSGRRAFSAFQAFTEVLRLPSKQLTKLVFPLEELHEHFVP
+GSRPDLHLNIFHPSLEDIARAESFFTASARNRIEYLTSAVRLDHAPDLHRPEVCFIGRSN
+VGKSSLIKALFSLAPEVEVRVSKKPGHTKKLNFYKVGKYFTLVDMPGYGYRAPEDFVDMV
+ETYLKERKNLMRTFLLVDSVVGIQKADNIAIEMCEEFALPYVMVLTKIDKPSKGHLLKQV
+LQIQKFVDTKTQGCFPQLFPVSAMTYSGIHLLRCFIADITGNLKTTGF
+>sp|Q32PE9|LSM3_BOVIN U6 snRNA-associated Sm-like protein LSm3 OS=Bos taurus OX=9913 GN=LSM3 PE=3 SV=3
+MADDVDQQQTTNTVEEPLDLIRLSLDERIYVKMRNDRELRGRLHAYDQHLNMILGDVEET
+VTTIEIDEETYEEIYKSTKRNIPMLFVRGDGVVLVAPPLRVG
+>sp|Q32L53|LFG1_BOVIN Protein lifeguard 1 OS=Bos taurus OX=9913 GN=GRINA PE=2 SV=1
+MSHEKSFLVSGDSYPPPNPGYPGGPQPSMAPYPGAPYPQAPFQPSPYGQPGYPQGPSPYP
+QGGYPQGPYPPGGYPQGPYPPGGYPQGPYPPGGYPQGPYPQSPFPPNPYGQPQAFPAQDP
+GSPHHGNYHEEGPPSYYDNQDFPATNWDDKSIRQAFIRKVFLVLTLQLSVTLSTVAVFTF
+VGEVKGFVRENVWTYYVSYAIFFVSLIVLSCCGDFRRKHPWNLVALSILTVSLSYMVGMI
+ASFYNTEAVIMAVGITTTVCFTVVIFSMQTRYDFTSCVGVLLVSVVVLILFAILCIFIRS
+RVLEIVYASLGALLFTCFLAVDTQLLLGNKQLSLSPEEYVFAALNLYTDIINIFLYILTI
+IGRAKE
+>sp|Q1LZ71|LFG2_BOVIN Protein lifeguard 2 OS=Bos taurus OX=9913 GN=FAIM2 PE=2 SV=1
+MTQGKLSVANKAPGTEGQQQANGEKKETPAVPSAPPSYEEATSGEGLKAGAFPPAPSAVP
+LHPSWAYVDPNSSSSYESGFPTGDHEFFTTFSWDDQKVRRVFIRKVYTILLIQLLVTLGV
+VALFTFCDPVKDYVQANPGWYWASYAVFFATYLTLACCSGPRRHFPWNLILLTIFTLSMA
+YLTGMLSSYYNTTSVLLCLSITALVCLSVTVFSFQTKFDFTSCQGVLFVLLMTLFFSGLI
+LAILLPFQYVPWLHAVYAVLGAGVFTLFLAFDTQLLMGSRRHSLSPEEYIFGALNIYLDI
+IYIFTFFLQLFGTNRE
+>sp|Q3ZBM4|ITBP1_BOVIN Integrin beta-1-binding protein 1 OS=Bos taurus OX=9913 GN=ITGB1BP1 PE=2 SV=1
+MFRKGKKRHSSSSSQSSEISTKSKSVDSSLGGLSRSSTVASLDTDSTKSSGQSNNNSDTC
+AEFRIKYVGAIEKLKLSEGKSLEGPLDLINYIDVAQQDGKLPFVPLEEEFIMGVSKYGIK
+VSTSDQYDVLHRHALYLIIRMVCYDDGLGSGKSLLALKTTDANNQEYSLWVYQCNSLEQA
+QAICKVLSTAFDSVLTSEKP
+>sp|Q3MHJ9|KCC2B_BOVIN Calcium/calmodulin-dependent protein kinase type II subunit beta OS=Bos taurus OX=9913 GN=CAMK2B PE=2 SV=1
+MATTVTCTRFTDEYQLYEDIGKGAFSVVRRCVKLCTGHEYAAKIINTKKLSARDHQKLER
+EARICRLLKHSNIVRLHDSISEEGFHYLVFDLVTGGELFEDIVAREYYSEADASHCIQQI
+LEAVLHCHQMGVVHRDLKPENLLLASKCKGAAVKLADFGLAIEVQGDQQAWFGFAGTPGY
+LSPEVLRKEAYGKPVDIWACGVILYILLVGYPPFWDEDQHKLYQQIKAGAYDFPSPEWDT
+VTPEAKNLINQMLTINPAKRIMAHEALKHPWVCQRSTVASMMHRQETVECLKKFNARRKL
+KGAILTTMLATRNFSVGRQTTAPATMSTAASGATMGLVEQAKSLLNKKADGVKPQTNSTK
+NSAAATSPKGTLPPAALEPQTTVIHNPVDGIKESSDSTHTTIEDEDTKARKQEIIKITEQ
+LIEAVNNGDFEAYAKICDPGLTSFEPEALGNLVEGMDFHRFYFENLLAKNSKPIHTTILN
+PHVHVIGEDAACIAYIRLTQYIDGQGRPRTSQSEETRVWHRRDGKWQNVHFHCSGAPVAP
+LQ
+>sp|P43480|IL10_BOVIN Interleukin-10 OS=Bos taurus OX=9913 GN=IL10 PE=2 SV=2
+MHSSALLCCLVFLAGVAASRDASTLSDSSCIHLPTSLPHMLRELRAAFGKVKTFFQMKDQ
+LHSLLLTQSLLDDFKGYLGCQALSEMIQFYLEEVMPQAENHGPDIKEHVNSLGEKLKTLR
+LRLRRCHRFLPCENKSKAVEKVKRVFSELQERGVYKAMSEFDIFINYIETYMTTKMQK
+>sp|P49875|IL3_BOVIN Interleukin-3 OS=Bos taurus OX=9913 GN=IL3 PE=2 SV=1
+MSSLSILHLLLLLLALHAPQAKGLPVVTSRTPYSMLMKEIMDDLKKITPSPEGSLNSDEK
+NFLTKESLLQANLKVFMTFATDTFGSDSKIMKNLKEFQPVLPTATPTEDPIFIENKNLGD
+FRMKLEEYLVIIRNYLKSKNIWFS
+>sp|A5PJV3|HUTI_BOVIN Probable imidazolonepropionase OS=Bos taurus OX=9913 GN=AMDHD1 PE=2 SV=1
+MAGGHRLLLENARQVVLVCARGERFLTRDALRSLEVLEGASLVVGTDGFIKAIGPADAIQ
+KQFSEETFEERIDCSGKCILPGLVDAHTHPVWAGERVHEFAMKLAGATYMDIHQAGGGIN
+FTVERTRQASEEELYSSFQQRLGCMMRAGTTLVECKSGYGLNLETELKMLRVIERARQEL
+DIGISATYCGAHSVPKGKTASEAADDIIKNHLPRLKELGRNGEIHVDNIDVFCEKDVFDL
+DSTRRILQSGKDIGLQINFHGDELHPMKAAELGVELGAQAISHLEEVSDEGIAAMASARC
+SAVLLPTTAYMLRLKQPRARKMLDEGVIVALGSDFNPNAYCFSMPMVMHLACVNMRMSMP
+EALAAATINAAYALGKSHTQGSLEVGKQGDLIIINSPRWEHLIYQFGGHHELIDYVIAKG
+KVIYKK
+>sp|Q2YDF7|JSPR1_BOVIN Junctional sarcoplasmic reticulum protein 1 OS=Bos taurus OX=9913 GN=JSRP1 PE=2 SV=1
+MATRAMEELDGGLGSCQVDEDLSALADPCPSRPQEDSVRATSRLADSSSRSHDSQERVTE
+GSPTGSVDTKPKKMEKEPVSKVTSGAGKEKLKAGATPRSPARKKAQTASPTQPPPPPALS
+DELPWGDLTLNKCLVLASLVALLGSAFQLCREAVARDVEAPAPVPESWASSSSSPKGPAS
+TLPKPEAWAPSVRQPEPPSKLEERVQIPRSEEAAEKDEWESEEAADGEHVPLAGRGPKEK
+LKKEKPRKERPGKEKPQKEERPRKEKPRKEEKPRGAREPQGALPRRWEAREGGHRPWGRD
+SGAPEDRKRQAWVSLRRPDEEDRPLGRQKRRAGKGRD
+>sp|A7E3X2|KC1G1_BOVIN Casein kinase I isoform gamma-1 OS=Bos taurus OX=9913 GN=CSNK1G1 PE=2 SV=1
+MDHPSREKDERQRTTKPMAQRREHCSRPSGSTSSGVLMVGPNFRVGKKIGCGNFGELRLG
+KNLYTNEYVAIKLEPIKSRAPQLHLEYRFYKQLGSAGEGLPQVYYFGPCGKYNAMVLELL
+GPSLEDLFDLCDRTFTLKTVLMIAIQLLSRMEYVHSKNLIYRDVKPENFLIGRQGNKKEH
+VIHIIDFGLAKEYIDPETKKHIPYREHKSLTGTARYMSINTHLGKEQSRRDDLEALGHMF
+MYFLRGSLPWQGLKADTLKERYQKIGDTKRNTPIEVLCENFPEEMATYLRYVRRLDFFEK
+PDYEYLRTLFTDLFERKGYTFDYAYDWVGRPIPTPVGSVHVDSGASAITRESHTHRDRPS
+QQPLRNQTASSERRGEWEIQPSRQTNTSYLTSHLAADRHGGSVQVVSSTNGELNVDDPTG
+AHSNAPITAHAEVEVVEEAKCCCFFKRKRKKTTQRHK
+>sp|P26882|PPID_BOVIN Peptidyl-prolyl cis-trans isomerase D OS=Bos taurus OX=9913 GN=PPID PE=1 SV=6
+MSHPSPQAKPSNPSNPRVFFDVDIGGERVGRIVLELFADIVPKTAENFRALCTGEKGIGP
+TTGKPLHFKGCPFHRIIKKFMIQGGDFSNQNGTGGESIYGEKFEDENFHYKHDKEGLLSM
+ANAGSNTNGSQFFITTVPTPHLDGKHVVFGQVIKGMGVAKILENVEVKGEKPAKLCVIAE
+CGELKEGDDWGIFPKDGSGDSHPDFPEDADVDLKDVDKILLISEDLKNIGNTFFKSQNWE
+MAIKKYTKVLRYVEGSRAAAEDADGAKLQPVALSCVLNIGACKLKMSDWQGAVDSCLEAL
+EIDPSNTKALYRRAQGWQGLKEYDQALADLKKAQEIAPEDKAIQAELLKVKQKIKAQKDK
+EKAAYAKMFA
+>sp|Q32LQ1|PKHO2_BOVIN Pleckstrin homology domain-containing family O member 2 OS=Bos taurus OX=9913 GN=PLEKHO2 PE=2 SV=1
+MEEEGVKEGGQRPRSAQTADKAGWIKKSSGGFLGLWKDRYLLLCQAQLLVYENEDEQKCV
+ETVELGSYEKCQDLRALLKRKHRFILLRSPGNKVSDIKFQAPSGEEKESWIKALNEGINR
+GKNKAFDEVKVDKSCVLEHVTRDRVRRDQRRRPPTRVHLKEVANAASDGLSRLDLDVPDS
+GPPVLAPSNDVDAAQPRETPRPPMPPAKPSPAPETSSAGDRMETPVGQSAPAPVPASSEA
+HPGSQEDLETPVVEDSDSEQPPNRILPDKLKVSWENPSPEEAPDSESAEPPQVPGAETSE
+AGPREGGKPPTPPPKILSEKLKASMSGMEASGPAQSPGASEASAPGPAEVSVNGVDDSPE
+PLQSSQAAGPPGTPPKAATTSTTLPPWDLQPQLHPRCSSLGDLLGEGPRRRRQPGEQLHR
+AQLEVKVASEKTEKLLNKVLGGESASVNAETLLSQAVEQLRQATQVLQEIRDLEEMNREA
+PGLREKRRELVTLYRRSVP
+>sp|Q28151|OZF_BOVIN Zinc finger protein OZF OS=Bos taurus OX=9913 GN=ZNF146 PE=3 SV=1
+MSHLSQQRICSGENPFACKVCGKIFSHKSTLTEHEHFHNREKPFECNECGKAFSQKQYVI
+KHQNTHTGEKLLECNECGKSFSQKENLLTHQKIHTGEKPFECKDCGKAFIQKSNLIRHQR
+THTGEKPFICKECGKTFSGKSNLTEHEKIHIGEKPFKCNECGTAFGQKKYLIKHQNIHTG
+EKPYECNECGKAFSQRTSLIVHVRIHSGDKPYECNVCGKAFSQSSSLTVHVRSHTGEKPY
+GCNECGKAFSQFSTLALHLRIHTGKKPYQCSECGKAFSQKSHHIRHQKIHTH
+>sp|O02853|PTGDS_BOVIN Prostaglandin-H2 D-isomerase OS=Bos taurus OX=9913 GN=PTGDS PE=1 SV=1
+MATPNRLWMALLLLGVLGVLQTPAPAQAALQPNFEEDKFLGRWFTSGLASNSSWFLEKKK
+VLSMCKSVVAPAADGGLNLTSTFLRKDQCETRTLLLRPAGPPGCYSYTSPHWSSTHEVSV
+AETDYETYALLYTEGVRGPGQDFRMATLYSRSQNPRAEVKEHFTTFAKSLGFTEEGIVFL
+PKTDKCMEEHP
+>sp|P33672|PSB3_BOVIN Proteasome subunit beta type-3 OS=Bos taurus OX=9913 GN=PSMB3 PE=1 SV=3
+MSIMSYNGGAVMAMKGKNCVAIAADRRFGIQAQMVTTDFQKIFPMGDRLYIGLAGLATDV
+QTVAQRLKFRLNLYELKEGRQIKPYTLMSMVANLLYEKRFGPYYTEPVIAGLDPKTFKPF
+ICSLDLIGCPMVTDDFVVSGTCTEQMYGMCESLWEPNMDPEHLFETISQAMLNAVDRDAV
+SGMGVIVHIIEKDKITTRTLKARMD
+>sp|P11834|OPCM_BOVIN Opioid-binding protein/cell adhesion molecule OS=Bos taurus OX=9913 GN=OPCML PE=1 SV=1
+MGVCGSLFQPWKCLVVVSLRLLFLVPTGVPVRSGDATFPKAMDNVTVRQGESATLRCTID
+DRVTRVAWLNRSTILYAGNDKWSIDPRVIILVNTPTQYSIMIQNVDVYDEGPYTCSVQTD
+NHPKTSRVHLIVQVPPQIMNISSDVTVNEGSSVTLLCLAIGRPEPTVTWRHLSVKEGQGF
+VSEDEYLEISDIKRDQSGEYECSALNDVAAPDVRKVKITVNYPPYISKAKNTGVSVGQKG
+ILSCEASAVPMAEFQWFKEDTRLATGLDGMRIENKGHISTLTFFNVSEKDYGNYTCVATN
+KLGITNASITLYGPGAVIDGVNSASRALACLWLSGTLFAHFFIKF
+>sp|P11456|MPRD_BOVIN Cation-dependent mannose-6-phosphate receptor OS=Bos taurus OX=9913 GN=M6PR PE=1 SV=1
+MMSPLHSSWRTGLLLLLLFSVAVRESWQTEEKTCDLVGEKGKESEKELALLKRLTPLFNK
+SFESTVGQSPDMYSYVFRVCREAGNHSSGAGLVQINKSNGKETVVGRFNETQIFNGSNWI
+MLIYKGGDEYDNHCGREQRRAVVMISCNRHTLADNFNPVSEERGKVQDCFYLFEMDSSLA
+CSPEISHLSVGSILLVTLASLVAVYIIGGFLYQRLVVGAKGMEQFPHLAFWQDLGNLVAD
+GCDFVCRSKPRNVPAAYRGVGDDQLGEESEERDDHLLPM
+>sp|P42028|NDUS8_BOVIN NADH dehydrogenase [ubiquinone] iron-sulfur protein 8, mitochondrial OS=Bos taurus OX=9913 GN=NDUFS8 PE=1 SV=1
+MRCLTMPMLLRALAQAQAARAGHASVRGLHSSAVAATYKYVNLREPSMDMKSVTDRAAQT
+LLWTELIRGLGMTLSYLFREPATINYPFEKGPLSPRFRGEHALRRYPSGEERCIACKLCE
+AVCPAQAITIEAEPRADGSRRTTRYDIDMTKCIYCGFCQEACPVDAIVEGPNFEFSTETH
+EELLYNKEKLLNNGDKWEAEIAANIQADYLYR
+>sp|P11966|ODPB_BOVIN Pyruvate dehydrogenase E1 component subunit beta, mitochondrial OS=Bos taurus OX=9913 GN=PDHB PE=1 SV=2
+MAVVAVLVRKPLEQVSGLLRRRFHRTAPAALQVTVREAINQGMDEELERDEKVFLLGEEV
+AQYDGAYKVSRGLWKKYGDKRIIDTPISEMGFAGIAVGAAMAGLRPICEFMTFNFSMQAI
+DQVINSAAKTYYMSGGLQSVPIVFRGPNGASAGVAAQHSQCFAAWYGHCPGLKVVSPWSS
+EDAKGLIKSAIRDNNPVVVLENELMYGVPFELPSEAQSKDFLIPIGKAKIERQGTHVTIV
+AHSRPVGHCLEAATVLSKEGIECEVINLRTIRPMDIETIEGSVMKTNHLVTVEGGWPQFG
+VGAEICARIMEGPAFNFLDAPAVRVTGADVPMPYAKILEDNSVPQVKDIIFAIKKTLNI
+>sp|A7YWP2|PGAP3_BOVIN Post-GPI attachment to proteins factor 3 OS=Bos taurus OX=9913 GN=PGAP3 PE=2 SV=1
+MAGRTARLVLLAGAAALASGSQGDREPVYRDCVLRCEERNCSGGALKHFRSRQPIYMSLA
+GWTCRDDCKYECMWVTVGLYLQEGQKVPQFHGKWPFSRFLCFQEPASAVASFLNGLASLV
+MLCRYRTSVPASSPMYPTCVAFAWVSLNAWFWSTVFHTRDTDLTEKMDYFCASTVILHSI
+YLCCVRTVGLQHPAMASAFRALLLLLLTAHVSYLSLIHFDYGYNMAANVAIGLLNAAWWL
+AWCLWNQRLPHVHKCVAVVLLLQGLSLLELLDFPPLFWVLDAHAIWHISTIPVHVLFFSF
+LEDDSLYLLKESEAKVKLD
+>sp|A7MB46|PCD18_BOVIN Protocadherin-18 OS=Bos taurus OX=9913 GN=PCDH18 PE=2 SV=1
+MYQMNAKMHFTFVFALLVVSFNLDVLGKNLKYRIYEEQRVGSVIARLSEDVADVLVKLPN
+PSTVRFRAMQRGNTPLLVVNEDNGEISIGAKIDREQLCQKNLNCSIEFDVITLPTEHLQL
+FHIEVEVLDINDNSPQFSRSLIPIEISESAAVGTRIPLDSAFDPDVGENSLHTYSLSAND
+FFNIEVRTRTDGAKYAELIVVRELDRELKSSYELQLTASDMGVPQRSGSSILKISISDSN
+DNSPAFEQQSYIIQLLENSPVGTLLLDLNATDPDEGANGKIVYSFSSHVSPKIIETFKID
+SERGHLTLFKQVDYEITKSYEIDVQAQDLGPNSIPAHCKIIIKVVDVNDNKPEISINLMS
+PGKEEISYIFEGDPIDTFVALVRVQDKDSGLNGEIVCKLHGHGHFKLQKTYENNYLILTN
+ATLDREKRSEYSLTVIAEDKGTPSLSTVKHFTVQINDINDNPPHFQRSRYEFAISENNSP
+GAYITTVTATDPDLGENGQVTYTILESFILGSSITTYDTIDPSNGAIYALRIFDHEEVSQ
+ITFVVEARDGGSPKQLVSNTTVVLTIIDENDNVPVVIGPALRNNTAEISIPKGAESGFHV
+TRIRAIDRDSGVNAELSCSIVAGNEENIFVIDPRSCDIHTNVSMESVPYTEWELSVVIQD
+KGNPQLHTKVLLKCVIFEYAESVTSTAMTSVSQAPLDVSMIIIISLGAICAVLLVIMVLF
+ATRCNREKKDTRSYNCRVAESTYQHHPKRPSRQIHKGDITLVPTVNGTLPIRSHHRSSPS
+SSPTLERGQMGSRQSHNSHQSLNSLVTISSNHVPENFSLELTHATPAVEVSQLLSMLHQG
+QYQPRPSFRGNKYSRSYRYALQDMDKFSLKDSGRGDSEAGDSDYDLGRDSPIDRLLGEGF
+SDLFLTDGRIPAAMRLCTEECRVLGHSDQCWMPPLPSPSSDYRSNMFIPGEEFPAQPQQQ
+HPHQSLEDDVQPVDSGEKKKSFSTFGKDSPSEEDSGDTSTSSLLSEMSSVFQRLLPASLD
+TYSECTEMDRSNSLERRKGPLPAKTVGYPQGVAAWAASTHFQNPTNNSGPPLGTHSSVQP
+SSKWLPAMEEIPENYEEDDFDNVLNHLNDGKHELMDASELVAEINKLLQDVRQS
+>sp|A5PKL1|OXR1_BOVIN Oxidation resistance protein 1 OS=Bos taurus OX=9913 GN=OXR1 PE=2 SV=2
+MSVTNLSWLKKKSQSVDITAPGFNPLAGAGKQMPQASKPPAPKTPIIEEEQNNAANSQKH
+PSRRSELKRFYTIDTGQKKTLDKKDGRRMSFQKPKGTVKYTVESRDSLNSIALKFDTTPN
+ELVQLNKLFSRAVVTGQVLYVPDPEYVSSVESSPSLSPISPLSPTSSEAELEKTTTPDVV
+HPKEPTPSSAFTAVRPVRVVSSTSEEEEAFTEKFLKINCRYITSSKGTVSGVLLVTPNNI
+MFDPHKTDPLVQENGCEEYGIMCPMEEVMSAAMYKEILDSKIKESLPIEIDQLSGRDFCH
+LKKVTRSNTDELDSRIRDAANDSASTAPRSTEESLSEDVFTESELSPVREELISLDELRH
+DKSSGASSESVQTITQSGVECLAVISEAASTPDHLKSGSGHTANEVGTLSLKTGLNNLEM
+PTKEGDQAADNLQKISGLKEQSTGIKKDNQDFPLDENSLHQEEVEKESMPCGEAIELKQK
+QVVDKGKQGREQNQDSETEVEELRKLWKSHSMQQTKQQRETMQQVSQKEIKHKIATADIE
+GSALLKEKRRHRLHKFLCLRVRKPMRKTFVSQASATMQQYAQRDKKHEYWFAVPQERTDH
+LYAFFIQWSPETYAEDTGEYTKEPGFIVVKKIEESETNEDSTNEAAAREWEVVSVAEYHR
+RIDALNTEELRTLCRRLQITTREDINSKQSTPVKADLESESFRPNLSDPSHLLLPDQIIK
+LTKHLPPRTIGYPWTLVYGTRKHGTSLKTLYRTMTGLDTPVLMVIKDSDWQVFGAFASQP
+FKVSDGFYGNGETFVFTFCPEFEVFKWTGDNMFFIKGDMDSLAFGGGGGEFALWLDGDLY
+HGRSHSCKTFGNHTLSKKEDFCIQDIEIWAFK
+>sp|Q32PE2|MOG1_BOVIN Ran guanine nucleotide release factor OS=Bos taurus OX=9913 GN=RANGRF PE=2 SV=1
+MEPTRDNPLFGGAFSATLPPGAIDVSDLRPVPDHQEVFCHRVTDQSLIVELLELQAHVQG
+EEAARYHFEDVGGVQEARAVQVETVQPLVLEKLALRGCCQEAWILSGQQQVAKENQQVAK
+YVTLHQALLRLPQYQTDLLLTFNQPPPENRSSLGPENLSIPPWSLGDFEQLVTSLTLHDP
+NIFGPE
+>sp|P60661|MYL6_BOVIN Myosin light polypeptide 6 OS=Bos taurus OX=9913 GN=MYL6 PE=2 SV=2
+MCDFTEDQTAEFKEAFQLFDRTGDGKILYSQCGDVMRALGQNPTNAEVLKVLGNPKSDEM
+NVKVLDFEHFLPMLQTVAKNKDQGTYEDYVEGLRVFDKEGNGTVMGAEIRHVLVTLGEKM
+TEEEVEMLVAGHEDSNGCINYEAFVRHILSG
+>sp|P53346|ONCM_BOVIN Oncostatin-M OS=Bos taurus OX=9913 GN=OSM PE=3 SV=1
+MGAQRMQRTLLSLVLRLLLLCTVATGKCSGKYHELLLQLQRQADLMQDPSTLLDPYIHLQ
+GLHSPVLQEHCRERPGDFPSEDALWRLSRQDFLQTLNTTLGLILRMLSALQQDLPEAAHQ
+QAEMNVRGFGNNIHCMAQLLRGSSDPKAAEPTQPGPGPTPLPPTPPSSTFQRKLRNCGFL
+RGYHRFMRTAGQVLRGWGERQGRSRRHSPCRALKRGARRTQPFPEIRRLAPRGQPPGSLW
+GAPAR
+>sp|O02810|PI4KB_BOVIN Phosphatidylinositol 4-kinase beta OS=Bos taurus OX=9913 GN=PI4KB PE=1 SV=2
+MGDTIVEPAPLKPTSEPAPGPPGNNGGSLLSVITEGVGELSVIDPEVAQKACQEVLEKVK
+LLHGGVAISSRGTPLELVNGDGVDSEIRCLDDPPAQIREEEDEMGATVASGTAKGARRRR
+QNNSAKQSWLLRLFESKLFDISMAISYLYNSKEPGVQAYIGNRLFCFRNEDVDFYLPQLL
+NMYIHMDEDVGDAIKPYIVHRCRQSINFSLQCALLLGAYSSDMHISTQRHSRGTKLRKLI
+LSDELKPAHRKRELPSLSPAPDTGLSPSKRTHQRSKSDATASISLSSNLKRTASNPKVEN
+EDEELSSSTESIDNSFSSPVRLAPEREFIKSLMAIGKRLATLPTKEQKTQRLISELSLLN
+HKLPARVWLPTAGFDHHVVRVPHTQAVVLNSKDKAPYLIYVEVLECENFDTTSVPARIPE
+NRIRSTRSVENLPECGITHEQRAGSFSTVPNYDNDDEAWSVDDIGELQVELPEVHTNSCD
+NISQFSVDSITSQESKEPVFIAAGDIRRRLSEQLAHTPTAFKRDPEDPSAVALKEPWQEK
+VRRIREGSPYGHLPNWRLLSVIVKCGDDLRQELLAFQVLKQLQSIWEQERVPLWIKPYKI
+LVISADSGMIEPVVNAVSIHQVKKQSQLSLLDYFLQEHGSYTTEAFLSAQRNFVQSCAGY
+CLGCYLLQVKDRHNGNILLDAEGHIIHIDFGFILSSSPRNLGFETSAFKLTTEFVDVMGG
+LDGDMFNYYKMLMLQGLIAARKHMDKVVQIVEIMQQGSQLPCFHGSSTIRNLKERFHMSM
+TEEQLQLLVEQMVDGSMRSITTKLYDGFQYLTNGIM
+>sp|Q3SZ20|GLYM_BOVIN Serine hydroxymethyltransferase, mitochondrial OS=Bos taurus OX=9913 GN=SHMT2 PE=2 SV=1
+MLSFSFIWATRPLRRCGQLVGMAVRCQHSEAAQTQTGEASKGWSGQESLSDSDPEMWELL
+RREKDRQCRGLELIASENFCSRAALEALGSCLNNKYSEGYPGKRYYGGAEVVDEIELLCQ
+RRALEAFDLDPAQWGVNVQPYSGSPANLAAYTALLQPHDRIMGLDLPDGGHLTHGYMSDV
+KRISATSIFFESMPYKLNPQTGLIDYDQLALTARLFKPRLIIAGTSAYARLIDYARMREV
+CDEVKAHLLADMAHISGLVAAKVIPSPFKHADIVTTTTHKTLRGARSGLIFYRKGVQAVD
+PKTGREIPYTFEDRINFAVFPSLQGGPHNHAIAAVAVALKQACTPMFREYSLQILKNAQA
+MANALLERGYSLVSGGTDNHLVLVDLRPKGLDGARAERVLELVSITANKNTCPGDRSAIT
+PGGLRLGAPALTSRGFLEDDFRKVVGFIDEGVNIGLEVKSKTTKLQDFKSFLLKDPETSH
+QLADLRQRVEQFARAFPMPGFDDH
+>sp|Q9BEG5|EDA_BOVIN Ectodysplasin-A OS=Bos taurus OX=9913 GN=EDA PE=3 SV=2
+MGYPEVERREPLPAAAPRERGSQGCGCRGAPARAGEGNSCRLFLGFFGLSLALHLLTLCC
+YLELRSELRRERGAESRFSGPGTPGTSGTLSSPGGLDPNGPITRHFGQRSPQQQPLEPGE
+TTLPPDSQDGHQMALVNFFIPKEKSYSEEESRRVRRNKRSKSSEGADGPVKNKKKGKKAG
+PPGPNGPPGPPGPPGPQGPPGIPGIPGIPGTTVMGPPGPPGPPGPQGPPGLQGPSGAADK
+AGTRENQPAVVHLQGQGSAIQVKNDLSGGVLNDWSRITMNPKVFKLHPRSGELEVLVDGT
+YFIYSQVEVYYINFTDFASYEVVVDEKPFLQCTRSIETGKTNYNTCYTAGVCLLKARQKI
+AVKMVHADISINMSKHTTFFGAIRLGEAPAS
+>sp|Q8WMV1|ERG24_BOVIN Delta(14)-sterol reductase TM7SF2 OS=Bos taurus OX=9913 GN=TM7SF2 PE=1 SV=1
+MAPPQGSRAPLEFGGPLGAAALMLLLPVTMFHLLLVARSGPARLLGPPPYLPGPEELWSP
+WALLLCLTWLGLQAALYLLPARKVAEGQELKDKSRLRYPTNGFQALVLTALLVGLGVSAG
+LPLSALPEMLLPLAFAATLTAFIFSLLLYLKALLAPASALAPGGNSGNLIYDFFLGRELN
+PRICSFDFKYFCELRPGLIGWVLINLALLIQEAELRGSPSLAMWLVNGFQLLYVGDALWY
+EEAVLTTMDIIHDGFGFMLAFGDLAWVPFTYSLQAQFLLYHPQPLGWPLASFICLINAVG
+YYIFRGANSQKNTFRKNPSDPRVADLETISTATGRRLLVSGWWGMVRHPNYLGDLIMALA
+WSLPCGVFHLLPYFYFLYFTALLVHREDRDERQCRQKYGLAWHEYCRRVPYRIVPYVY
+>sp|Q1JPD3|D2HDH_BOVIN D-2-hydroxyglutarate dehydrogenase, mitochondrial OS=Bos taurus OX=9913 GN=D2HGDH PE=2 SV=2
+MMMPRLVPRWPAWLFCWRAACIQGASVRQKMWAGPSKIPGLGGPRGAWGTSPLVPRGSCS
+ASSRTPEVTLTPERYPVQRLPFSVVSEDDLAALERVVPGRVITDPEELEPPNVDWLRTVR
+GSSKVLLRPRTTQEVAHILRYCHERNLAVNPQGGNTGMVGGSTPVFDEIILSTALMNQVL
+SFHDVSGVLVCQAGCVLEALSQYVEERGFIMPLDLGAKGSCHIGGNVATNAGGLRVLRYG
+SLRGTVLGLEVVLADGTVLNCLTSLRKDNTGYDLKQLFIGSEGTLGVITAVSILCPPKPS
+TVNVAFLGCPGFAEVLQTFRTCRAMLGEILSAFEFMDAECMKLVRLHLGLSCPVQESPFY
+VLIETAGSGPGHDAEKLGCFLEQVLDSGLVTDGTLGSDERRIKMLWALRERITEALSRDG
+YVYKYDLSLPLDRLYDLVGDLRARLGPSAKHVVGYGHLGDGNLHLNVTSEAFSTSLLGAL
+EPYVYEWTAGQRGSVSAEHGLGFKKKDVLGYSKPPEALQLMRQLKALLDPKGILNPYKML
+PTHA
+>sp|Q6Q7D1|DHI1L_BOVIN Hydroxysteroid 11-beta-dehydrogenase 1-like protein OS=Bos taurus OX=9913 GN=HSD11B1L PE=2 SV=1
+MKVLLLTGLGALFFAYYWDDNFDPASLHGARVLLTGVSAGIGEELAYHYARLGSHLVLTA
+HTEALLQQVVGNCRKLGAPKVFYIAADMASPEVPERVVQFALDKLGGLDYLVLNHLGAAP
+AGTRVRSSQSTRWLMQMNFLSYVQLTSSALPSLTDSKGSLVVVSSLLGRVPTSFSSPYSA
+AKFALDSFFSSLRRELDVQEVNVAITMCVLGLRDRASAAEGVRGITRVRAAPGPKAALAV
+IRGGATRASGVFYPWRFHLLCLLRSWMPHSRAWFVRQELNITTPAAA
+>sp|Q28105|EPCR_BOVIN Endothelial protein C receptor OS=Bos taurus OX=9913 GN=PROCR PE=2 SV=1
+MLTTLLPLLPLLLPGWALCSQEASDGPWDLHMTQVSYFRNPSQVWHRGNATLGGVLTHVL
+EGPGRNVSIQQLQPLQEPDSWALTKIYLNRYLEEFVGLVQVVHQERGVTFPLIIRCFLGC
+ELPPEGSEARVFFEVAVNGSSFVNFQPKTASWVAEPHAPSRVVTYTVDQLNKYNRTRYEL
+REFLQDTCVQYIQKHITTNNLKGSQTGRSYTSLVLGVLVGCFIVTGVAVGIFLCTGGRRR
+C
+>sp|Q8WMV3|CXAR_BOVIN Coxsackievirus and adenovirus receptor homolog OS=Bos taurus OX=9913 GN=CXADR PE=2 SV=1
+MELLLRFLLLCGVADFTRGLSITTPEQMIEKAKGETAYLPCKFTLGPEDQGPLDIEWLLS
+PADNQKVDQVIILYSGDKIYDDYYQDLKGRVHFTSNDLKSGDASINVTNLQLSDIGTYQC
+KVKKAPGVGNKKIQLTVLVKPSGIRCYVDGSEEIGNDFKLKCEPKEGSLPLRYEWQKLSD
+SQKLPTSWLPEMTSPVISVKNASAEYSGTYTCTVRNRVGSDQCLLRLDVVPPSNRAGTIA
+GAVIGTLLALVLIALIVFCCHKKRREEKYEKEVHHDIREDVPPPKSRTSTARSYIGSNHS
+SLGSMSPSNMEGYSKTQYNQVPSEDLERAPQSPTLPPAKVAAPNLSRMGAVPVMIPAQSK
+DGSIV
+>sp|A6H7I5|DYN2_BOVIN Dynamin-2 OS=Bos taurus OX=9913 GN=DNM2 PE=2 SV=1
+MGNRGMEELIPLVNKLQDAFSSIGQSCHLDLPQIAVVGGQSAGKSSVLENFVGRDFLPRG
+SGIVTRRPLILQLIFSKTEYAEFLHCKSRKFTDFEEVRQEIEAETDRVTGTNKGISPVPI
+NLRIYSPHVLNLTLIDLPGITKVPVGDQPQDIEYQIKDMILQFISRESSLILAVTPANMD
+LANSDALKLAKEVDPQGLRTIGVITKLDLMDEGTDARDVLENKLLPLRRGYIGVVNRSQK
+DIEGKKDIRTALAAERKFFLSHPAYRHIADRMGTPHLQKTLNQQLTNHIRESLPALRSKL
+QSQLLSLEKEVEEYKNFRPDDPTRKTKALLQMVQQFGVDFEKRIEGSGDQVDTLELSGGA
+RINRIFHERFPFELVKMEFDEKDLRREISYAIKNIHGVRTGLFTPDLAFEAIVKKQVVKL
+KEPCLKCVDLVIQELINTVRQCTSKLSSYPRLREETERIVTTYIREREGRTKDQILLLID
+IEQSYINTNHEDFIGFANAQQRSTQLNKKRAVPNQVIRRGWLTINNISLMKGGSKEYWFV
+LTAESLSWYKDEEEKEKKYMLPLDNLKIRDVEKGFMSNKHVFAIFNTEQRNVYKDLRQIE
+LACDSQEDVDSWKASFLRAGVYPEKDQAENEDGAQENTFSMDPQLERQVETIRNLVDSYV
+AIINKSIRDLMPKTIMHLMINNTKAFIHYELLAYLYSSADQSSLMEESADQAQRRDDMLR
+MYHALKEALNIIGDISTSTVSTPVPPPVDDTWIQNTSSHSPTPQRRPVSSVHPPGRPPAV
+RGPTPGPPLIPVPVGPASFSAPPIPSRPGPHPGVFANNDPFSAPPQIPSRPARIPPGIPP
+GVPSRRPPAAPSRPTIIRPAEPSLLD
+>sp|Q28141|DHX9_BOVIN ATP-dependent RNA helicase A OS=Bos taurus OX=9913 GN=DHX9 PE=1 SV=1
+MGDVKNFLYAWCGKRKMTPSYEIRAVGNKNRQKFMCEVRVEGYNYTGMGNSTNKKDAQSN
+AARDFVNYLVRINELKSEEVPAVGVAPPTPSATDSSDTTAEDGGVPGNLGGPLPPHLTLQ
+AENNSGGGGSGYVPTWDRGANLKDYYSRKEEQEVQATLESEEVDLNAGLHGNWTLENAKA
+RLNQYFQKEKIQGEYKYTQVGPDHNRSFIAEMTIYIKQIGRRIFAREHGSNKKLAAQSCA
+LSLVRQLYHLGVIEPYSGLTKKKEGETVEPYKVNLSQDLEHQLQNIVQELNLEIVPIPED
+PSVPVALNLGKLAQFEPSQRQNPVGVVPWSPPQSNWNPWTSSNIDEGPLAYATPEQISMD
+LKNELMYQLEQDRDLQAVLQERELLPVKKFESEILEAISQNPVVIIRGATGCGKTTQVPQ
+FILDDCIQNDRAAECNIVVTQPRRISAVSVAERVAYERGEEPGKSCGYSVRFESILPRPH
+ASIMFCTVGVLLRKLEAGIRGISHVIVDEIHERDINTDFLLVVLRDVVQAYPEVRIVLMS
+ATIDTSMFCEYFFNCPIIEVYGRTFPVQEYFLEDCIQMTHFVPPPKDKKKKDKDDDGGED
+DDANCNLICGDEYGAETRISMAQLNEKETPFELIEALLLYIETLNVPGAVLVFLPGWNLI
+YTMQKHLEMNPHFGSHRYQILPLHSQIPREEQRKVFDPVPSGVTKIILSTNIAETSITIN
+DVVYVIDSCKQKVKLFTAHNNMTNYATVWASKTNLEQRKGRAGRVRPGFCFHLCSRARFE
+RLETHMTPEMFRTPLHEIALSIKLLRLGGIGQFLAKAIEPPPLDAVIEAEHTLRELDALD
+ANDELTPLGRILAKLPIEPRFGKMMIMGCIFYVGDAICTISAATCFPEPFISEGKRLGYI
+HRNFAGNRFSDHVALLSVFQAWDDARMGGEEAEIRFCEHKRLNMATLRMTWEAKVQLKEI
+LINSGFPEECLLTQVFTNTGPDNNLDVVISLLAFGVYPNVCYHKEKRKILTTEGRNALIH
+KSSVNCPFSSQDMKYPSPFFVFGEKIRTRAISAKGMTLVTPLQLLLFASKKVQSDGQLVL
+VDDWIRLQISHEAAACITALRAAMEALVVEVTKQPGIISQLDPVNERMLNTIRQISRPSA
+AGINLMIGTTRYGDGPRPPKMARYDNGSGYRRGGSSYSGGGYGLGGYGTGGYGGGGGYGG
+RGGYSGGGYGGGSNSFRGSYVGGGGGVGGGGGGFRGLSRGGYRGMSGGDYRGESGGGYRG
+SGGFQRGGGRGGYGGGYFGQGRGGGGY
+>sp|A5D989|EF1D_BOVIN Elongation factor 1-delta OS=Bos taurus OX=9913 GN=EEF1D PE=2 SV=2
+MATNFLMHEKIWFDKFKYDDAERKFYEQMNGPVAGSSRQENGASVILRDIARARENIQKS
+LAGSSGPGASSGPSGDHSELVTRIASLEVENQSLRGVVQDLQQAVSKLEARLSALEKSSP
+AHRATTPQTQHVSPMRQVEPPSRKAATATEDDEDDDIDLFGSDEEEDKEATRLREERLRQ
+YAEKKAKKPALVAKSSILLDVKPWDDETDMAQLEACVRSVQLDGLVWGSSKLVPVGYGIR
+KLQIQCVVEDDKVGTDQLEEEITKFEEHVQSVDIAAFNKI
+>sp|P62871|GBB1_BOVIN Guanine nucleotide-binding protein G(I)/G(S)/G(T) subunit beta-1 OS=Bos taurus OX=9913 GN=GNB1 PE=1 SV=3
+MSELDQLRQEAEQLKNQIRDARKACADATLSQITNNIDPVGRIQMRTRRTLRGHLAKIYA
+MHWGTDSRLLVSASQDGKLIIWDSYTTNKVHAIPLRSSWVMTCAYAPSGNYVACGGLDNI
+CSIYNLKTREGNVRVSRELAGHTGYLSCCRFLDDNQIVTSSGDTTCALWDIETGQQTTTF
+TGHTGDVMSLSLAPDTRLFVSGACDASAKLWDVREGMCRQTFTGHESDINAICFFPNGNA
+FATGSDDATCRLFDLRADQELMTYSHDNIICGITSVSFSKSGRLLLAGYDDFNCNVWDAL
+KADRAGVLAGHDNRVSCLGVTDDGMAVATGSWDSFLKIWN
+>sp|Q148I0|L10K_BOVIN Leydig cell tumor 10 kDa protein homolog OS=Bos taurus OX=9913 PE=3 SV=1
+MAQGQRKFQAQKPAKSKAAAAAASARNRGPRKGGRVIAPKKARIVQQQQLKKNLEVGIRK
+KIEHDVVMKASTSLPKKLALLKASTKKKEASSSTKMPA
+>sp|Q0VCY6|MBOA7_BOVIN Lysophospholipid acyltransferase 7 OS=Bos taurus OX=9913 GN=MBOAT7 PE=2 SV=1
+MSPEEWTYLVVLLISIPIGFLFKKAGPGLKRWGAAAVGLGLTLFTCGPHTLHSLVTILGT
+WALIQAQPCSCHALALAWTFSYLLFFRALSLLGLPTPTPFTNAVQLLLTLKLVSLASEVQ
+DLHVAQRKEMASGFSKGPPLGLLPDVPSLMETLSYSYCYVGIMTGPFFRYRTYLDWLEQP
+FPGAVPSLRPLLRRAWPAPLFGLLFLLSSHLFPLEAVREDAFYARPLPARLFYMIPVFFA
+FRMRFYVAWIAAECGCIAAGFGAYPVAAKARAGGGPTLQCPPPSSPEMAASLEYDYETIR
+NIDCYNTDFCVTVREGMRYWNMTVQWWLAQYIYKSAPARSYVLRSAWTMLLSAYWHGLHP
+GYYLSFLTIPLCLAAERQLESALRWRLGPGGQKAWDWVHWFLKMRAYDYMSMGFVLLSLR
+DTLRYWASVYFCVHVLALAALGLGLALGRGGPGRRKSGAPAPSPASGKLREE
+>sp|A5D7K8|PD2R_BOVIN Prostaglandin D2 receptor OS=Bos taurus OX=9913 GN=PTGDR PE=2 SV=1
+MRPLFYRCHNTTSVEKGNSATMGGVLFSTGLVGNLLALGLLARSGLGSCPPRSPRPPPSV
+FYVLVFGLTITDLLGKCLVSPFVLSAYAQNRSLRELVPGSDSSLCQAFAFIMSFFGLAST
+LQLLAMALECWLSLGHPFFHRRHLTPRRGAMVAPVVGAFCLAFCALPLVGFGKFVQYCPG
+TWCFFQMVHEERSLSVLSYSVLYASLMLLLVLAIVLCNLSAMRNLYAMHLRLRGLLRPGS
+RERAEPGAGEREATPLHLEELDHLLLLALMTVLFTMCSLPLIYRAYYGAFKAVPEQNGTT
+EETEDLRALRFLSVISIVDPWIFIIFRTSVFRMFFRKIFIRPLIYRNWHSNSCQTNMESS
+L
+>sp|A4IFK0|PKHO1_BOVIN Pleckstrin homology domain-containing family O member 1 OS=Bos taurus OX=9913 GN=PLEKHO1 PE=2 SV=1
+MMKKNNSTKRGPQDGNHQCAPPEKVGWVRKFCGKGIFREIWKNRYVVLKGDQLYISEKEV
+KDEKNIQEVFDLSDYEKCEELRKSKSRSKKNHSKFTLAHSKQPGNTAPNLIFLAVSPEEK
+ESWINALNSAITRAKNRVLDEVTVEEDSYLAHPTRDRAKIQHSRRPPTRGHLMAVASTST
+SDGMLTLDLIQEEDPSPEEPTSCAESFRVDLDKSVAQLAGSRRRADSDRIQPSSDRASGL
+PRLWEKPDKGATYTPQAPKKLTATEKSRCASLEEILSQRDAVPAHTLQRRAEDPPTPIPH
+APGQLSRIQDLVARKLEKTQELLAEVQGLGDGKRKAKEPPRSPPDSESEQLLLETERLLG
+EASSNWSQAKRVLQEVRELRDLYRQMDLQTPDSHLRQTTQHSQYRKSLM
+>sp|Q0VCL6|NFIB_BOVIN Nuclear factor 1 B-type OS=Bos taurus OX=9913 GN=NFIB PE=2 SV=1
+MMYSPICLTQDEFHPFIEALLPHVRAIAYTWFNLQARKRKYFKKHEKRMSKDEERAVKDE
+LLSEKPEIKQKWASRLLAKLRKDIRQEYREDFVLTVTGKKHPCCVLSNPDQKGKIRRIDC
+LRQADKVWRLDLVMVILFKGIPLESTDGERLMKSPHCTNPALCVQPHHITVSVKELDLFL
+AYYVQEQDSGQSGSPSHNDPAKNPPGYLEDSFVKSGVFNVSELVRVSRTPITQGTGVNFP
+IGEIPSQPYYHDMNSGVNLQRSLSSPPSSKRPKTISIDENMEPSPTGDFYPSPNSPAAGS
+RTWHERDQDMSSPTTMKKPEKPLFSSTSPQDSSPRLSTFPQHHHPGIPGVAHSVISTRTP
+PPPSPLPFPTQAILPPAPSSYFSHPTIRYPPHLNPQDTLKNYVPSYDPSSPQTSQSWYLG
+>sp|O62664|PGH1_BOVIN Prostaglandin G/H synthase 1 OS=Bos taurus OX=9913 GN=PTGS1 PE=2 SV=2
+MSRQGISLRFPLLLLLLSPSPVLPADPGAPAPVNPCCYYPCQHQGICVRFGLDRYQCDCT
+RTGYYGPNCTIPEIWTWLRTTLRPSPSFVHFLLTHGRWLWDFVNATFIRDKLMRLVLTVR
+SNLIPSPPTYNVAHDYISWESFSNVSYYTRILPSVPRDCPTPMGTKGKKQLPDAEFLSRR
+FLLRRKFIPDPQGTNLMFAFFAQHFTHQFFKTSGKMGPGFTKALGHGVDLGHIYGDNLER
+QYQLRLFKDGKLKYQMLNGEVYPPSVEEAPVLMHYPRGIPPQSQMAVGQEVFGLLPGLMV
+YATIWLREHNRVCDLLKAEHPTWGDEQLFQTARLILIGETIKIVIEEYVQQLSGYFLQLK
+FDPELLFGAQFQYRNRIAMEFNQLYHWHPLMPDSFRVGPQDYSYEQFLFNTSMLVDYGVE
+ALVDAFSRQPAGRIGGGRNIDHHILHVAVDVIKESRELRLQPFNEYRKRFGMKPYTSFQE
+LTGEKEMAAELEELYGDIDALEFYPGLLLEKCHPNSIFGESMIEMGAPFSLKGLLGNPIC
+SPEYWKASTFGGDVGFNLVKTATLKKLVCLNTKTCPYVSFHVPDPHREDRPGVERPPTEL
+>sp|Q2KII6|NGDN_BOVIN Neuroguidin OS=Bos taurus OX=9913 GN=NGDN PE=2 SV=1
+MAAPEVLESDLPNAVALLKNLQEQVMAVTAQVQTLTKKVQAKAYPTEKGLSLLEVKDQLL
+LMYLMDLSHLILDKASGGSLQGHPAVLRLVEIRTVLEKLRPLDQKLKYQIDKLVKTAVTG
+SLSENDPLRFKPHPSNMMSKLSSEDEEEDEAEEGQSGASGKKSGKGTAKKYVPPRLVPVH
+YDETEAEREKKRLERAKRRALSSSVIRELKEQYSDAPEEIRDARHPHVTRQSQEDQHRIN
+YEESMMVRLSVSKREKGRRKRANVMSSQLHSLTHFSDISALTGGTPHLDEDQNPTKKRKK
+IPKKGRKKKGFRRRR
+>sp|Q3T0C8|PDLI2_BOVIN PDZ and LIM domain protein 2 OS=Bos taurus OX=9913 GN=PDLIM2 PE=2 SV=1
+MALTVDVVGPAPWGFRISGGRDFHTPIMVTKVTERGKAEAADLRPGDIIVSINGESAKDM
+LHAEAQSKIRQSPSPLRLQLDRPQAASPGQANGESSLEVLATRFQSSRRTHTDSQASLSP
+RPCSPFFTLPPTSPQAPTGEVVTSHSFQSLAYSLEPASADHLYYGGRRGSRQASLSPAGD
+SAVLVLPPPPSPGARSSSSRLSVVSEGESHLLREDSEVFKMLQENREARMAPRQSSSFRL
+LQEALEAEERGGTPAYLPSSLSPQSSLPTSRALASPPKLHTCEKCNTSIANQAVRIQEGR
+YRHPGCYTCADCGLNLKMRGHFWVGDELYCEKHARQRYSAPPTLNSQA
+>sp|O62698|PGH2_BOVIN Prostaglandin G/H synthase 2 OS=Bos taurus OX=9913 GN=PTGS2 PE=2 SV=2
+MLARALLLCAAVALSGAANPCCSHPCQNRGVCMSVGFDQYKCDCTRTGFYGENCTTPEFL
+TRIKLLLKPTPNTVHYILTHFKGVWNIVNKISFLRNMIMRYVLTSRSHLIESPPTYNVHY
+SYKSWEAFSNLSYYTRALPPVPDDCPTPMGVKGRKELPDSKEVVKKVLLRRKFIPDPQGT
+NLMFAFFAQHFTHQFFKTDFERGPAFTKGKNHGVDLSHIYGESLERQHKLRLFKDGKMKY
+QMINGEMYPPTVKDTQVEMIYPPHVPEHLKFAVGQEVFGLVPGLMMYATIWLREHNRVCD
+VLKQEHPEWGDEQLFQTSRLILIGETIKIVIEDYVQHLSGYHFKLKFDPELLFNQQFQYQ
+NRIAAEFNTLYHWHPLLPDVFQIDGQEYNYQQFIYNNSVLLEHGLTQFVESFTRQRAGRV
+AGGRNLPVAVEKVSKASIDQSREMKYQSFNEYRKRFLVKPYESFEELTGEKEMAAELEAL
+YGDIDAMEFYPALLVEKPRPDAIFGETMVEAGAPFSLKGLMGNPICSPEYWKPSTFGGEV
+GFKIINTASIQSLICSNVKGCPFTSFSVQDTHLTKTVTINASSSHSGLDDINPTVLLKER
+STEL
+>sp|Q3SX40|PDLI7_BOVIN PDZ and LIM domain protein 7 OS=Bos taurus OX=9913 GN=PDLIM7 PE=2 SV=1
+MDSFKVVLEGPAPWGFRLQGGKDFNVPLSISRLTPGGKAAQAGVAVGDWVLSIDGENAGG
+LTHIEAQNKIRACGERLSLSLSRAQPAQSKPQKVQTPDKQPLRPLVPDASKQRLMEDTED
+WRPRPGTGQSRSFRILAHLTGTEFMQDPDEEHLKKSSQVPRTEAPTPASATPQEPWPGPT
+TPSPTSRPPWAVDPAFAERYAPDKTSTVLTRHTQPATPTPMQNRTSIVQAAAGGGHGGGG
+GSNGKTPVCHQCHKVIRGRYLVALGRAYHPEEFVCSQCGKVLEEGGFFEEKGAIFCPPCY
+DVRYAPSCAKCKKKITGEVMHALKTTWHVHCFTCAACKAPIRNRAFYMEEGAPYCEPDYE
+KMFGTKCRGCDFKIDAGDRFLEALGFSWHDTCFVCAICQINLEGKTFYSKKDKPLCKSHA
+FSHV
+>sp|A6QNU9|PLCX3_BOVIN PI-PLC X domain-containing protein 3 OS=Bos taurus OX=9913 GN=PLCXD3 PE=2 SV=1
+MASSQGKNELKFADWMATLPESIHSIPLTNLAIPGSHDSFSFYIDEASPVGPEQPETVQN
+FVSVFGTVAKKLMRKWLATQTMNFTGQLGAGIRYFDLRISTKPRDPDNELYFAHGLFSAK
+VNEGLEEINAFLTDHHKELVFLDFNHFYGMQKYHHEKLVQMLKDIYGNKMCPAIFAQEVS
+LKYLWEKDYQVLVFYHSPVALEVPFLWPGQMMPAPWANTTDPEKLIQFLQASITERRKKG
+SFFISQVVLTPKASTVVKGVASGLRETITERALPAMMQWVRTQKPGESGINIVTADFVEL
+GDFISTVIKLNYVFEEGEANT
+>sp|P48765|NAC1_BOVIN Sodium/calcium exchanger 1 OS=Bos taurus OX=9913 GN=SLC8A1 PE=1 SV=1
+MLQFSLSPTLSMGFHVIAMVALLFSHVDHISAETEMEGEGNETGECTGSYYCKKGVILPI
+WEPQDPSFGDKIARATVYFVAMVYMFLGVSIIADRFMSSIEVITSQEKEITIKKPNGETT
+KTTVRIWNETVSNLTLMALGSSAPEILLSVIEVCGHNFTAGDLGPSTIVGSAAFNMFIII
+ALCVYVVPDGETRKIKHLRVFFVTAAWSIFAYTWLYIILSVSSPGVVEVWEGLLTFFFFP
+ICVVFAWVADRRLLFYKYVYKRYRAGKQRGMIIEHEGDRPSSKTEIEMDGKVVNSHVDSF
+LDGALVLEVDERDQDDEEARREMARILKELKQKHPEKEIEQLIELANYQVLSQQQKSRAF
+YRIQATRLMTGAGNILKRHAADQARKAVSMHEVNTEVAENDPVSKIFFEQGTYQCLENCG
+TVALTIIRRGGDLTNTVFVDFRTEDGTANAGSDYEFTEGTVVFKPGETQKEIRVGIIDDD
+IFEEDENFLVHLSNVKVSLEASEDGILEASHVSTLACLGSPSTATVTIFDDDHAGIFTFE
+EPVTHVSESIGIMEVKVLRTSGARGNVIVPYKTIEGTARGGGEDFEDTCGELEFQNDEIV
+KTISVKVIDDEEYEKNKTFFLEIGEPRLVEMSEKKALLLNELGGFTITGKYLYGQPVFRK
+VHAREHPLPSTIITIADEYDDKQPLTSKEEEERRIAEMGRPILGEHTRLEVIIEESYEFK
+STVDKLIKKTNLALVVGTNSWREQFIEAITVSAGEDDDDDECGEEKLPSCFDYVMHFLTV
+FWKVLFAFVPPTEYWNGWACFIVSILMIGLLTAFIGDLASHFACTIALKDSVTAVVFVAL
+GTSVPDTFASKVAATQDQYADASIGNVTGSNAVNVFLGIGVAWSIAAIYHAANGEQFKVS
+PGTLAFSVTLFTIFAFINVGVLLYRRRPEIGGELGGPRTAKLLTSCLFVLLWLLYIFFSS
+LEAYCHIKGF
+>sp|O77588|PLOD1_BOVIN Procollagen-lysine,2-oxoglutarate 5-dioxygenase 1 OS=Bos taurus OX=9913 GN=PLOD1 PE=2 SV=2
+MRLLLLLAPLGWLLLAETKGDAKPEDNLLVLTVATKETEGFRRFKRSAQFFNYKIQALGL
+GEDWPGEAMLAGGGLKVRLLKKALEKHADKENLVILFTDSYDVVFASGPRELLKKFRQAR
+SQVVFSAEELIYPDRRLEAKYPVVSDGKRFLGSGGFIGYAPNLSKLVAEWEGQDSDSDQL
+FYTKIFLDPEKREQINITLDHRCRIFQNLDGALDEVVLKFEMGQVRARNLAYDTLPVLIH
+GNGPTKLQLNYLGNYIPRFWTFETGCAVCDEGLRSLKGIGDEALPAVLVGVFIEQPTPFL
+SLFFQRLLRLHYPQKRLRLFIHNHEQHHKAQVEQFLAEHGDEYQSVKLVGPEVRVANADA
+RNMGADLCRQDRGCTYYFSVDADVALTEPKTLRLLIEQNKNVIAPLMTRHGRLWSNFWGA
+LSADGYYARSEDYVDIVQGRRVGVWNVPYISNIYLIKGSALRAELQETDLFHHSKLDPDM
+AFCANIRQQDVFMFLTNRHSFGHLLSLDSYQTTHLHNDLWEVFSNPEDWKEKYIHENYTK
+ALAGKMVEMPCPDVYWFPIFTETACDELVEEMEHYGQWSLGDNKDNRIQGGYENVPTIDI
+HMNQINFEREWHKFLVEYIAPMTEKLYPGYYTRAQFDLAFVVRYKPDEQPSLVPHHDAST
+FTINIALNRVGVDYEGGGCRFLRYNCSIRAPRKGWTLMHPGRLTHYHEGLPTTKGTRYIA
+VSFVDP
+>sp|Q29RS4|IN80E_BOVIN INO80 complex subunit E OS=Bos taurus OX=9913 GN=INO80E PE=2 SV=1
+MNGPADGEVDYKKKYRNLKRKLKFLIYEHECFQEELRKAQRKLLKVSRDKSFLLDRLLQY
+ENVDEDSSDSDATASSDNSETEGTPKLSDTPAPKRKRSPPLGGAPSPSSLSLPPSTGFPL
+QASRAPSPYLSSLASPTYPPFPSDYLALQLPEPSPLRPKREKRPRLPRKLKMAVGPPDCP
+VGGPLTFPGRGSGAGVGAALAPLPPPKMPPPTILSAVPRQMFSDAGSGDDALDGDDDLVI
+DIPE
+>sp|Q04790|INAR1_BOVIN Interferon alpha/beta receptor 1 OS=Bos taurus OX=9913 GN=IFNAR1 PE=2 SV=2
+MLALLGATTLMLVAGRWVLPAASGEANLKPENVEIHIIDDNFFLKWNSSSESVKNVTFSA
+DYQILGTDNWKKLSGCQHITSTKCNFSSVELENVFEKIELRIRAEEGNNTSTWYEVEPFV
+PFLEAQIGPPDVHLEAEDKAIILSISPPGTKDSIMWAMDRSSFRYSVVIWKNSSSLEERT
+ETVYPEDKIYKLSPEITYCLKVKAELRLQSRVGCYSPVYCINTTERHKVPSPENIQINAD
+NQIYVLKWDYPYENATFQAQWLRAFFKKIPGNHSDKWKQIPNCENVTSTHCVFPREVSSR
+GIYYVRVRASNGNGTSFWSEEKEFNTEMKTIIFPPVISVKSVTDDSLHVSVGASEESENM
+SVNQLYPLIYEVIFWENTSNAERKVLEKRTNFIFPDLKPLTVYCVKARALIENDRRNKGS
+SFSDTVCEKTKPGNTSKTWLIVGTCTALFSIPVVIYVVSVFLRCVKYVFFPSSKPPSSVD
+EYFSDQPLRNLLLSTSEEQTERCFIIENASIITEIEETDEIDEVHKKYSSQTSQDSGNYS
+NEDENSGSKISEEFPQQDSV
+>sp|Q8MJ50|OSTF1_BOVIN Osteoclast-stimulating factor 1 OS=Bos taurus OX=9913 GN=OSTF1 PE=2 SV=1
+MSKPPPKPVKPGQVKVFRALYTFEPRTPDELYFEEGDIIYITDMSDTNWWKGTCKGRTGL
+IPSNYVAEQAESIDNPLHEAAKRGNLSWLRECLDNRVGVNGLDKAGSTALYWACHGGHRD
+IVEMLFTQPNIELNQQNKLGDTALHAAAWKGYADIVQLLLEKGARTDLRNNEKKLALDMA
+TNAACASLLKKKQGTDAVRSLSNAEDYLDDEDSD
+>sp|Q2TBN6|PCID2_BOVIN PCI domain-containing protein 2 OS=Bos taurus OX=9913 GN=PCID2 PE=2 SV=1
+MAHITINQYLQQVCEAIDTRDGASLAELVSFKHPHVANPRLQMASPEEKCQQVLEPPYDE
+MFAAHLRCTYAVGNHDFIEAYKCQTVIVQSFLRAFQAHKEENWALPVMYAVALDLRIFAN
+NADQQLVKKGKSKVGDMLEKAAELLMGCFRVCASDTRAGIEDSKKRGMLFLVNQLFKIYF
+KINKLHLCKPLIRAIDSSNLKDDYSTAQRVTYRYYVGRKAMFDSDFKQAEEYLSFAFEHC
+HRSSQKNKRMVLIYLLPVKMLLGHMPTIELLRKYHLMQFAEVTRAVSEGNLLLLNEALAA
+HETFFIRCGIFLILEKLKIITYRNLFKKVNSLSSASSRYLLLKTHQLSLDAFLVALKFMQ
+VEDVDIAEVQCILANLIYMGHIKGYISHQHQKLVVSKQNPFPPLSTVC
+>sp|Q3T030|PRS6B_BOVIN 26S proteasome regulatory subunit 6B OS=Bos taurus OX=9913 GN=PSMC4 PE=2 SV=1
+MEEIGILVEKAQDEIPALSVSRPQTGLSFLGPEPEDLEDLYSRYKKLQQELEFLEVQEEY
+IKDEQKNLKKEFLHAQEEVKRIQSIPLVIGQFLEAVDQNTAIVGSTTGSNYYVRILSTID
+RELLKPNASVALHKHSNALVDVLPPEADSSIMMLTSDQKPDVMYADIGGMDIQKQEVREA
+VELPLTHFELYKQIGIDPPRGVLMYGPPGCGKTMLAKAVAHHTTAAFIRVVGSEFVQKYL
+GEGPRMVRDVFRLAKENAPAIIFIDEIDAIATKRFDAQTGADREVQRILLELLNQMDGFD
+QNVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLIFSTITSKMNLSEEVDL
+EDYVARPDKISGADINSICQESGMLAVRENRYIVLAKDFEKAYKTVIKKDEQEHEFYK
+>sp|E1BPN0|NOL9_BOVIN Polynucleotide 5'-hydroxyl-kinase NOL9 OS=Bos taurus OX=9913 GN=NOL9 PE=3 SV=1
+MADSLLLLKRVPSRHTWLRARKARPQLMLSRRPRRRLRNLRWRSRRRLRRRLLQAQAAGA
+DWLQGGCLVSGDAALQRLKTSARRRASSPEPAEDPVPSGPAILPIPPVRPAGSGRAVLLL
+PLGQGFTFSGICCVTCLYGQVQVFGFTISQGQPAQNVFSTYTHSRLTINAVHYSVHEKSK
+KEMKREARVLLRPYLNQDDRYCLMSSFSPLCSIVLLERLKTSTVNFIISHPGLSYIFVQE
+VRTFQINSEYFALRSVGIRREKKKTGLRLTESAFAVMEELVSISSEEADSCPVILVCGCQ
+DIGKSTFNRYLINQLLNSVSCVDYLECDLGQTEFTPPGCISLLNITEPVLGPPFTHQRTP
+QKMVYYGKTSCKNNFENYIEVIKYVFSSYKRESPLIINTMGWVADQGLLLLIDLIRLLSP
+SHVVQFSSDRSKYMPDLTPDFVDDMDGLYTRRRSRVRNRGFHLPEFAESLEFADEEKEGP
+VMSTGYKLMFVKSEFVTGKTSRNRESHNRILRELAVLGYLSQLQPPVPKPLRALHGLTPY
+QVPFNAVALRIIHADVAPTHILYAVNASWVGLCKILDDVRGYASGPILLAQSPICDCVGF
+GICRGIDMEKKLYHILTPVPPEELRHVNCLLVGAVNIPQCVLKSQGGLEGTIPYVTTDYN
+FKLPGASEKIGARESGATYKEKGHPKPKFYRKTY
+>sp|Q9GK13|MUTA_BOVIN Methylmalonyl-CoA mutase, mitochondrial OS=Bos taurus OX=9913 GN=MMUT PE=2 SV=1
+MLRAKNQLFLLSPHYLRQVKESSGSRLIQQRLLHQQQPLHPEWAALAKKQLKGKNPEDLI
+WHTPEGISIKPLYSKRDTMDLPEELPGVKPFTRGPYPTMYTFRPWTIRQYAGFSTVEESN
+KFYKDNIKAGQQGLSVAFDLATHRGYDSDNPRLRGDVGMAGVAIDTVEDTKILFDGIPLE
+KMSVSMTMNGAVIPVLATFIVTGEEQGVPKEKLTGTIQNDILKEFMVRNTYIFPPEPSMK
+IIADIFQYTAKHMPKFNSISISGYHMQEAGADAILELAYTIADGLEYCRTGLQAGLTIDE
+FAPRLSFFWGIGMNFYMEIAKMRAGRRLWAHLIEKMLQPKNSKSLLLRAHCQTSGWSLTE
+QDPYNNIIRTTIEAMAAVFGGTQSLHTNSFDEALGLPTVKSARIARNTQIIIQEESGIPK
+VADPWGGSYMMESLTNDIYDAALKLINEIEEMGGMAKAVAEGIPKLRIEECAARRQARID
+SGSEVIVGVNKYQLEKEESVDVLAIDNTSVRNKQIEKLKKVKSSRDQALAERCLDALTAC
+AASGDGNILALAVDATRARCTVGEITYAMKKVFGEHKANDRMVSGAYRQEFGESKEIAFA
+IKRVEKFMEREGRRPRLLVAKMGQDGHDRGAKVIATGFADLGFDVDIGPLFQTPREVAQQ
+AVDADVHTVGVSTLAAGHKTLVPELIKELNALGRPDILVMCGGVIPPQDYEFLFEVGVSN
+VFGPGTRIPKAAVQVLDDIEKCLEKKQQSI
+>sp|Q7YRH6|PEMT_BOVIN Phosphatidylethanolamine N-methyltransferase OS=Bos taurus OX=9913 GN=PEMT PE=2 SV=1
+MTRLLGYVDLSEPHFVAAVLAIVFNPLFWNVVARWEHKTRKLSKAFGSPRLACYTLGGAI
+LLLNVLRSHCFTQAMLSQPRMQSLDNPAVYHVGLALLGVGGVFVLSSFLALGFTGTFLGD
+YFGILKEARVTMFPFSVLDNPMYWGSTAIYLGWAIVHASPTGLLLTALVALIYMVAIVYE
+EPFTAEIYQQKASQAYKRS
+>sp|Q0VCW6|PNRC2_BOVIN Proline-rich nuclear receptor coactivator 2 OS=Bos taurus OX=9913 GN=PNRC2 PE=2 SV=1
+MGGGERYNIPAPQTRNVSKNQQQLSRQKTKDQNSQMKIVHKKKERGHTYNSSSAAWQAMQ
+NGGKNKNFPNNQNWNSSLSSPTLLFKSQTNQNYAGAKFSEPPSPSVLPKPPSHWVPVSFN
+PSDKEIMTFQLKTLLKVQV
+>sp|Q2YDJ0|NUSAP_BOVIN Nucleolar and spindle-associated protein 1 OS=Bos taurus OX=9913 GN=NUSAP1 PE=2 SV=1
+MIVPSLEELNSFKYSDLQNLAKSLGLRANLRADKLLRALKAHLKNEARKENENQDEIQTS
+ASSCDEPEIQTSSQEQAEREPDDHVTKTRGRRKTVHRSPDSQANGNVQTEKKLPPVPNLQ
+NHSEIKLCGPTKSQNQEKHENQVLRTAVEVPSLPNESQGDENTVSSGKHGIDGNEDPRVP
+SKRKKSLYTDGFSKPGKNKTASTTPNFKKLHEARFKEMESIDQYVERKKKHFEEHNSFNE
+LKVLQRQPVTKGVPATPVPARGRLSVACTPGSQRRSQGRPHAGRSTLCVKGSAKRSALSA
+AKMNVRFSAATKDNEHKRSLTKTPARKSPHVTTSVNTPKGQAVLGTHKLKTTRGESVAVI
+TPFKLTTEASQTPISHKKPVFDLKASLSRPLNYEPHKGKLKPWGQSKENNSLHEHVNRVS
+FHKKTYKQPRLQTREEQRKKHERERKEKKEKVLGVRRGLIIAERS
+>sp|A7YY46|PNKD_BOVIN Probable hydrolase PNKD OS=Bos taurus OX=9913 GN=PNKD PE=2 SV=1
+MAAVVAATALKGRGARNARVLRGILSGATANKASQNRSRALQSHSSPECKEEPEPLSPEL
+EYIPRKRGKNPMKAVGLAWYSLYTRTRLGYLFYRQQLRRARNRYPKGHSRTQPRLFNGVK
+VLPIPVLSDNYSYLIIDTQARLAVAVDPSDPQAVQASIEKEGVNLVAILCTHKHWDHSGG
+NRDLSRRHQDCRVYGSPQDSIPYLTHPLCHQDVVSVGRLQIRALATPGHTQGHLVYLLDG
+EPYEGPSCLFSGDLLFLSGCGRTFEGTAETMLSSLDTVLGLGDDTLLWPGHEYAEENLGF
+AGVVEPENLARERKMQWVQRQRMERKSTCPSTLGEERSYNPFLRTHCLVLQEALGPSPGP
+TGDDGCSRAQLLERLRQLKDLHKSK
+>sp|Q3SZ71|MPPB_BOVIN Mitochondrial-processing peptidase subunit beta OS=Bos taurus OX=9913 GN=PMPCB PE=2 SV=1
+MAAAAVARAVLFSAARRRLCGFTERLLIGGAAGRSLYFGGNRLRSTQAAAQVVLNVPETR
+VTCLENGLRVASEDSGLATCTVGLWIDAGSRYENEKNNGTAHFLEHMAFKGTKKRSQLDL
+ELEIENMGAHLNAYTSREQTVYYAKAFSKDLPRAVEILADIIQNSTLGEAEIERERGVIL
+REMQEVETNLQEVVFDYLHATAYQNTALGRTILGPTENIKSINRKDLVDYITTHYKGPRI
+VLAAAGGVSHDELLELAKFHFGESLSTHKGEIPALPPCKFTGSEIRVRDDKMPLAHLAVA
+VEAVGWAHPDTICLMVANTLIGNWDRSFGGGMNLSSKLAQLTCHGNLCHSFQSFNTSYTD
+TGLWGIYMVCEPATVADMLHVVQKEWMRLCTSVTESEVARAKNLLKTNMLLQLDGSTPIC
+EDIGRQMLCYNRRIPIPELEARIDAVNAEIIREVCTKYIYDKSPAVAAVGPIEQLPDFNQ
+ICSNMRWLHD
+>sp|Q08E38|PRP19_BOVIN Pre-mRNA-processing factor 19 OS=Bos taurus OX=9913 GN=PRPF19 PE=2 SV=1
+MSLICSISNEVPEHPCVSPVSNHVYERRLIEKYIAENGTDPINNQPLSEEQLIDIKVAHP
+IRPKPPSATSIPAILKALQDEWDAVMLHSFTLRQQLQTTRQELSHALYQHDAACRVIARL
+TKEVTAAREALATLKPQAGLIVPQAVPSSQPSVVGAGEPMDLGELVGMTPEIIQKLQDKA
+TVLTTERKKRGKTVPEELVKPEELSKYRQVASHVGLHSASIPGILALDLCPSDTNKILTG
+GADKNVVVFDKSSEQILATLKGHTKKVTSVVFHPSQELVFSASPDATIRIWSVPNASCVQ
+VVRAHESAVTGLSLHATGDYLLSSSDDQYWAFSDIQTGRVLTKVTDETSGCSLTCAQFHP
+DGLIFGTGTMDSQIKIWDLKERTNVANFPGHSGPITSIAFSENGYYLATAADDSSVKLWD
+LRKLKNFKTLQLDNNFEVKSLIFDQSGTYLALGGTDVQIYICKQWTEILHFTEHSGLTTG
+VAFGHHAKFIASTGMDRSLKFYSL
+>sp|A6H757|PPA6_BOVIN Lysophosphatidic acid phosphatase type 6 OS=Bos taurus OX=9913 GN=ACP6 PE=1 SV=1
+MISRVFKLRMWAPVGVLTSLTYCLHQRRVALAEPGGADQQNPVDRNLLELKMVQVVFRHG
+ARSPLKPLPQEDQQVEWKSQLLEVPPQTQLEYTVTNLAGGPKPHSPFDSQYHETTLKGGM
+FAGQLTKVGMEQMFALGERLRKNYVEDIPFLSPTFNPLEVFIRSTNIYRNLESTRCLLAG
+LFQRQKEGPIVIHTDEASSEVLYPNYQYCWNLQKRTRGRRQAASLQPGISEDLKKVKEGM
+GIASSDEVDFLVLLDNMAAEQVHSLPSCPTLKRFAWMIEQRAVDTALYILQWEDREGLQM
+AVGPFLHILESNLLKVVDPATPPSKTRKLYLYAAHDVTLMPLLMTLGIFDHKWPPFAVDL
+TMELYQHRESKEWFVQLYYRGKEQVPKGCPDGLCPLDKFLNTISVYTLSPEKYHMLCSEA
+QMMGLGNGE
+>sp|Q0P5F7|PI42C_BOVIN Phosphatidylinositol 5-phosphate 4-kinase type-2 gamma OS=Bos taurus OX=9913 GN=PIP4K2C PE=2 SV=1
+MASSSVPPTAVPAATAAPGAGFGFASKTKKKHFVQQKVKVFRAADPLVGVFLWGVAHSIN
+ELSQVPPPVMLLPDDFKASSKIKVNNHLFHRENLPSHFKFKEYCPQVFRNLRDRFGIDDQ
+DYLVSLTRSPPTESEGSDGRFLISYDRTLVIKEVSSEDIADMHSNLSNYHQYIVKCHGNT
+LLPQFLGMYRVSVDSEDSYMLVMRNMFSHRLPVHRKYDLKGSLVSREASDKEKVKELPTL
+KDMDFLNKNQKVYIGEEEKKVFLEKLKRDVEFLVQLKIMDYSLLLGIHDIIRGSEPEEDG
+PVREEESEGDGDCGLTGPPAPVGSYGTSPEGIGGYIHSHRPLGPGEFESFIDVYAIRSAE
+GAPQKEVYFMGLIDILTQYDAKKKAAHAAKTVKHGAGAEISTVHPEQYAKRFLDFITNIF
+A
+>sp|Q32LJ7|RIBC2_BOVIN RIB43A-like with coiled-coils protein 2 OS=Bos taurus OX=9913 GN=RIBC2 PE=2 SV=1
+MRQNDKIACLSENRERRDRKNLCKAINDFQQSFQRPETRREFDLSDPLALKKDRPARQSD
+YDARNTISGMQKFMGEDLNFHLRKKFQEEQNREWSLQQQKEQMIGRENQKCAEDLYLKTR
+LQFDETAKHLQNLETATRKAVCATVKEFNKNQALESAEKKIQERKQEQEDNLAEISNMLR
+GDLLSENPQQAASSFGPHRVVPDRWKGMSQEQLEEIRLVQRQQVQEKLRLQEEERQRDMD
+WDRRRIQKARATLLFEQQQQRLQRGLRRALDCSNLSLAREQLLQKKHMKELCTNHATEDY
+FTQFNTGSR
+>sp|Q2KIS1|RENBP_BOVIN N-acylglucosamine 2-epimerase OS=Bos taurus OX=9913 GN=RENBP PE=2 SV=2
+MSRGLRVWQDMEKEQETLRAWKDRVARELDRVVAFWLDHSHDQEQGGFFTCLGRDGQVYD
+DLKYVWLQGRQVWMYCRLYRQFERFRRPELLNAAKAGGEFLLRYAQVAPPAKKCAFVLTR
+DGRPVKVQRTIFSECFYTMAMNELWRVTGDARYQNEAMEMMDQIVSWVREDPSGLGRPQL
+PGAPASESMAVPMMLLNLVEQLGEADEELAGISAELGDWCAQRILQHVQRGGQAVLENVS
+EDGEELSGCLGRHQNPGHALEAGWFLLRYAIQRGDAKLRAHVIDKFLLLPFHSGWDPEHG
+GLFYFQDADGLCPTQLEWAMKLWWPHSEAMIAFLMGYSETGDPALLRIFYQVAEYTFHRF
+RDPEYGEWFGYLNRDGKVALTIKGGPFKGCFHVPRCLAMCEEMLNNLLSRLAPASALSTR
+SPPAGPTRPGAE
+>sp|A7YY57|RHG29_BOVIN Rho GTPase-activating protein 29 OS=Bos taurus OX=9913 GN=ARHGAP29 PE=2 SV=1
+MIAYKQKKTKKKRVLSTGQLSTDVTTSEMGLKSINSNAILDPDYIKELVNDIRKFSHMLL
+YLKEAILSECFKEVIHIRLDELLRVLKSVMNKHQNLNSVDLQNAAEMLIAKVKAVNFTEV
+NEENKNDLFREVFSSIETLAFTFGNILTNFLMGDVGNDSLLRLPVSQESKSFESVSVESV
+DSSNEKGSFSPIELDSMLLKNTNSVELALSYAKTWSKYTKNIVSWVEKKLNLELESTRNI
+VKLAEATRTNIGLQEFMPLQSLFTNALLNDIESSHLLQQTIAALQANKFVQPLLGRKNEM
+EKQRKEIKELWKQEQNKMLETETALKKAKLLCMQRQDEYEKAKSSMFRAEEEHLSSSSGL
+VKNLNRQLEKKRRLEEEALQKVEEANELYKVCVTNVEERRNDLENTKREILTQLRKLVFQ
+CDLTLKAVTVNLFQMQQLQAASLSSNLQSLCDSAKLYDPGQEYSEFVRATNSAEEEKVDG
+NVNKQLSSPPISGYGPSDSLEDVVRLPDSSNKMEEDRCSNSADITGPSFLRSWTFGMFSD
+SESTGGSSESRSLDSESISPGDFHRKLPRTPSSGTMSSADDLDEREPPSPSEAGPNSLGT
+FKKTLMSKAALTHKFRKLRSPTKCRDCEGIVVFHGVECEECLLVCHRKCLENLVIICGHQ
+KLMGKIHLFGAEFTQVAKKEPDGIPFVLKMCASEIENRALSLQGIYRVCGNKIKTEKLCQ
+ALENGMHLVDISEFSSHDICDVLKLYLRQLPEPFILFRLYKEFIDLAVEIQHVNEEQEMK
+KDNPEDKKWPSSSIEISRILLKSKDLLRQLPASNFNSLHYLIVHLKRVVDHSEENKMNSR
+NLGVIFGPSLLRPRPTTAPITISSLADYSNQARLVEFLITYSQKIFDGSLQPQDSAVGSA
+GGIAPQVDPGYLPKSLLSPEERDPERSMKSLFFSSKEDIQTTDSECKSFESTPSFEESER
+KQNALEKCDAYLIDNKGRLLVDQELESASRKTEDACKTSKLPTLKSDREINGVERHLPRT
+RIRPVSLPIDRLLLLASSPTERNGRNMGNVNSDKLCKNPVFEGVNRKDSPTVVCSKFDGF
+DQQTLQKTREKQYEQNDHTAKTGMIVPSAFQERGVALNIRSSGDHPVSITQPSKPYTEPV
+RSTRQVSERRSSDSCPPASVRTPRTLQPQHWTTFYKPPAPAASGRGDEEKPVTPSVAVPP
+GTTHAPQEHVLKSVPGSENASAGPVHPVSRPEEKAEERDQPDVPTACQRPRLKRMQQFED
+LEDEIPQFV
+>sp|Q17QD3|RFOX1_BOVIN RNA binding protein fox-1 homolog 1 OS=Bos taurus OX=9913 GN=RBFOX1 PE=2 SV=1
+MNCEREQLRGNQEAAAAPDTMAQPYASAQFAPPQNGIPAEYTAPHPHPAPEYTGQTTVPE
+HTLNLYPPAQSHSEQSAADTSAHTVSGTATTDDSAPTDGQPQTQPSENTENKSQPKRLHV
+SNIPFRFRDPDLRQMFGGFGFVTFENSADADRAREKLHGTVVEGRKIEVNNATARVMTNK
+KTVNPYTNGWKLNPVVGAVYSPEFYAGTVLLCQANQEGSSMYSAPSSLVYTSAMPGFPYP
+AATAAAAYRGAHLRGRGRTVYNTFRAAAPPPPIPAYGGVVYQDGFYGADIYGGYAAYRYA
+QPTPATAAAYSDRNQFVFVAADEISCNTSAVTDEFMLPTPTTTHLLQPPPTALVP
+>sp|A6QR46|RAB6B_BOVIN Ras-related protein Rab-6B OS=Bos taurus OX=9913 GN=RAB6B PE=2 SV=1
+MSAGGDFGNPLRKFKLVFLGEQSVGKTSLITRFMYDSFDNTYQATIGIDFLSKTMYLEDR
+TVRLQLWDTAGQERFRSLIPSYIRDSTVAVVVYDITNLNSFQQTSKWIDDVRTERGSDVI
+IMLVGNKTDLADKRQITIEEGEQRAKELSVMFIETSAKTGYNVKQLFRRVASALPGMENV
+QEKSKEGMIDIKLDKPQEPPASEGGCSC
+>sp|A7YWD2|IPO13_BOVIN Importin-13 OS=Bos taurus OX=9913 GN=IPO13 PE=2 SV=1
+MERREEQPGAAGAGAAPALDFTVENVEKALHQLYYDPNIENKNLAQKWLMQAQASPQAWH
+FSWQLLQPDKVPEIQYFGASALHIKISRYWSDIPTDQYESLKAQLFTQITRFASGSKIVL
+TRLCVALASLALSMMPDAWPCAVADMVRLFQAEDSPVDSQGRCLALLELLTVLPEEFQTS
+RLPQYRKSLVRTSLAVECGAVFPLLEQLLQQPSSPSCVRQKVLKCFSSWVQLEVPLQDCE
+ALIQAAFAALQDSELFDSSVEAIVNAISQPDAQRYVNTLLKLIPLVLGLQDQLRQAVQNG
+DMETSHGICRIAVALGENHSRALLDQVEHWQSFLALVNMIMFCTGIPGHFPVNETTSSLT
+LTFWYTLQDDILSFEAEKQAVYQQVYRPVYFQLVDVLLHKAQFPSDEEYGFWSSDEKEQF
+RIYRVDISDTLMYVYEMLGAELLSNLYDKLGRLLTSSEEPYSWQHTEALLYGFQSIAETI
+DVNYSDVVPGLIGLIPRISISNVQLADTVMFTIGALSEWLADHPVMINSVLPLVLHALGN
+PELSISSVSTLKKICRECKYDLPPYAANIVAVSQDVLMKQIHKTSQCMWLMQALGFLLSA
+LQVEEILKNLHSLISPYIQQLEKLAEEIPNPSNKLAIVHILGLLSNLFTTLDVSHHEDDH
+EGSELRKLPVPQGPNPVVVVLQQVFQLIQKVLSKWLNDAQVVEAVCAIFEKSVKTLLDDF
+APMVPQLCEMLGRMYSTIPQASALDLTRQLVHIFAHEPAHFPPIEALFLLVTSVTLTLFQ
+QGPRDHPDIVDSFMQLLAQALKRKPDLFLCERLDVKAVFQCAVLALKFPEAPTVKASCGF
+FTELLPRCGEVEPVGKVVQEDGRMLLIAVLEAIGGQASRSLMDCFADILFALNKHCFSLL
+SVWIKEALQAPGFPSARLSPEQKDTFSQQILRERVNKRRVKEMVKEFTLLCRGLHGTDYT
+ADY
+>sp|Q06284|LYSC3_BOVIN Lysozyme C-3 OS=Bos taurus OX=9913 GN=LYZ3 PE=2 SV=2
+MKALIILGFLFLSVAVQGKVFERCELARTLKKLGLDGYKGVSLANWLCLTKWESSYNTKA
+TNYNPSSESTDYGIFQINSKWWCNDGKTPNAVDGCHVSCSELMENDIAKAVACAKHIVSE
+QGITAWVAWKSHCRDHDVSSYVQGCTL
+>sp|Q2HJF7|KCC2D_BOVIN Calcium/calmodulin-dependent protein kinase type II subunit delta OS=Bos taurus OX=9913 GN=CAMK2D PE=2 SV=1
+MASTTTCTRFTDEYQLFEELGKGAFSVVRRCMKIPTGQEYAAKIINTKKLSARDHQKLER
+EARICRLLKHPNIVRLHDSISEEGFHYLVFDLVTGGELFEDIVAREYYSEADASHCIQQI
+LESVNHCHLNGIVHRDLKPENLLLASKSKGAAVKLADFGLAIEVQGDQQAWFGFAGTPGY
+LSPEVLRKDPYGKPVDMWACGVILYILLVGYPPFWDEDQHRLYQQIKAGAYDFPSPEWDT
+VTPEAKDLINKMLTINPAKRITASEALKHPWICQRSTVASMMHRQETVDCLKKFNARRKL
+KGAILTTMLATRNFSAKSLLKKPDGVKKRKSSSSVQMMESTESSNTTIEDEDVKARKQEI
+IKVTEQLIEAINNGDFEAYTKICDPGLTAFEPEALGNLVEGMDFHRFYFENALSKSNKPI
+HTIILNPHVHLVGDDAACIAYIRLTQYMDGSGMPKTMQSEETRVWHRRDGKWQNVHFHRS
+GSPTVPIN
+>sp|Q9BEG2|I12R2_BOVIN Interleukin-12 receptor subunit beta-2 OS=Bos taurus OX=9913 GN=IL12RB2 PE=2 SV=1
+MAHTVGGCSLALIFIIMLLLVKAKIDVCKRGDVTVQPSHVISLGSAVNISCSLKPKQGCL
+PSSSFNKLILYKFDRRIYVQHGHSLSSQVKGLPLGTTLFVCKLACSSSDEIRICGAEISV
+GVVPEQPRNLSCIQKGERGTVTCTWHRGRDTHLYTAYTLQLNGPKNLTWQKQCNDHYCDH
+LDLGINLTPESLESSYTVKVTAINSLGSASSFPFSFTLLDIVRPLPPWDIRIKFVNASVD
+RCTLLWRDEGLVLLNRLRYRPINSRSWNMVNVTNAKGRHDLLDLKPFTEYEFQISSKLHL
+YKGSWSDWSESLRTQTPEEEPIGMLNVWYMRQHIDYNRQQISLFWKNLSLSEARGKILHY
+QVTLQKVAGGEITLQNITEHTSWTWVIPRTGNWAAAVSAANSKGSSLPTRINITDLCGAE
+LLAPQQVLAKSEGMDKLMVTWTPPEKATAAVQEYVVEWRELHPGAGMQPPLGWLWSPPYR
+LSALISENIKPYICYEIRVHALAGDQGGCNSTRGNSQHKAPLSGPHINAISEEKGSVLIS
+WDEIPAREQMGCILHYRIYWKERDSNSQPQLCEIPYRISPNSHPIDSLQPRVTYVLWMTA
+LTAAGESPQGNEREFCLQGKANWSTFVAPSICMAVIMVGVLSMRCFRQKVFVLLLALRPQ
+WCSKEIPDPANSTWAKKYPIVEEKTQLALDRLLTEWPTPEEPEPLVINEVLCRVTPVFRP
+PHHRSWSEKGQGVQGHYTSEEDTGYSASSPPPPRAPTAETGQGVDLYKVLGSKGPDSKPG
+NPASPLTILPVDYLPTHDGYLPSNMDYLPSHEAPITDPLEELPQHISLSIFPSSSLRPLT
+FSCGEKLTLDQLKMGCGSLML
+>sp|Q148H7|K2C79_BOVIN Keratin, type II cytoskeletal 79 OS=Bos taurus OX=9913 GN=KRT79 PE=2 SV=1
+MRSSVSRQTYSTKGAFSSSSASGGGGSQARTSFSSVTVSRNSGRGGGPRCGPSMGGFGSQ
+SLYNLGGSKSISVSVAGGASAGRVLGGFGLGGGAYMGLGACRPMLGPVCPPGGIQQVTVN
+QSLLTPLHVEIDPEIQRVRTEEREQIKTLNNKFASFIDKVRFLEQQNKVLETKWALLQEQ
+GQKSGVTRNNLEPLFEHFINNLRGKLDNLQSERGRLDSELRNVQDLAEDFKTKYEDEINK
+RTAAENEFVVLKKDVDAAYVGRMDLHGMVDHLMGEIDFLRHLYEEELSQVQTHVSDTSVI
+LSMDNNRNLDLDSIIAEVKAQYEQIAQRSRAEAESWYQTKYEELQVTAGKHGDNLRDTKN
+EIAELTRTVQRLQGEADAVKKQCQQLQTAIADAEQHGELALKDAQKKLGDLDAALNQAKE
+DLARLLRDYQALMNVKLALDVEIATYRKLLESEESRMSGECPSAVSISVTGNSTTVCGGG
+AAGFGGGISLGGGGGASKGRFSTNAGYSTVKGGPVSGGTSILRKTTTVKTSSRRY
+>sp|Q863H3|KLRD1_BOVIN Natural killer cells antigen CD94 OS=Bos taurus OX=9913 GN=KLRD1 PE=2 SV=2
+MAAFRTTAWRLISGVLGVICLVLMAALGVLLKNSLTKRSVQPGPSADLQEEYNLHEEEES
+CLGCLGSGCYSCQEKWIGYQCNCYFISNELKTWKDGRDFCVSHNSSLLQIQTRNEPAFMK
+FSTSFYWIGLSYDEEHHAWLWEDNSTLSQDLLPFFKSVNPKNCIMYNPRGRILDAYCEKK
+FRYICKQQLI
+>sp|P42917|INHBB_BOVIN Inhibin beta B chain OS=Bos taurus OX=9913 GN=INHBB PE=3 SV=1
+MDGLPGRALGAACLLMLAVGSLGPGVWGSPTPPPLPAAPQPPPPPPGAPGGSQDTCTSCG
+GFRRPEELGRVDGDFLEAVKRHILNRLQMRGRPNITHAVPKAAMVTALRKLHAGKVREDG
+RVEIPHLDGHASPGADGQERVSEIISFAETDGLASSRVRLYFFISNEGNQNLFVVQASLW
+LYLKLLPYVLEKGGRRKVRVKVYGQEQGPGDRWAAVEKRVDLKRSGWHTFPLTEPIQALF
+SRGERRLSLDVQCDSCRELAVVPVFVDPGEESHRPFVVVQARLGDSRHRIRKRGLECDGR
+TNLCCRQQFFIDFRLIGWNDWIIAPTGYYGNYCEGSCPAYLAGVPGSASSFHTAVVNQYR
+MRGLNPGTVNSCCIPTKLSTMSMLYFDDEYNIVKRDVPNMIVEECGCA
+>sp|Q3B7L9|KRR1_BOVIN KRR1 small subunit processome component homolog OS=Bos taurus OX=9913 GN=KRR1 PE=2 SV=2
+MASSKQNGPTTASGKSELRSPKPTSENRDESELLTVPDGWKEPAFSKEDNPRGLLEESSF
+ATLFPKYREAYLKECWPLVQKALNEHHINATLDLIEGSMTVCTTKKTFDPYIIIRARDLI
+KLLARSVSFEQAIRILQDDVACDIIKIGSLVRNKERFVKRRQRLIGPKGSTLKALELLTN
+CYIMVQGNTVSAIGPFSGLKEVRKVVLDTMKNIHPIYNIKTLMIKRELAKDSELRSQSWE
+RFLPQFKHKNVNKRKEPKKKTVKKEYTPFPPPQPESQIDKELASGEYFLKASQKKRQKME
+AIKAKQAEALSKRQEERNKAFIPPKEKPVHLKKPKEASTETKIDVAALKEKVKKAKNKKL
+GALTAEEVKLKMEADEKKKKKK
+>sp|Q3SZ79|LIPC_BOVIN Hepatic triacylglycerol lipase OS=Bos taurus OX=9913 GN=LIPC PE=2 SV=1
+MENPLCVSIFLFYCILIQSSAHGQSLGPESFGRRSRAAETNKTPQETRTKFLLFRGETDK
+GCQILLNHSDTLQQCGFNSSLPLVMIVHGWLVDDILEDWVWEMVAALKSQLAQSVNVGLA
+EWVTLAHNHYTTAVRNTRLVGQEIAALLQWLQESVQFSPSHVHLIGYSLGAHVSGFAGSY
+MSRKHKIGRITGLDAAGPLFEKASLSDRLSPDDANFVDAIHTFTWEHMGLSVGMKQPIAH
+YDFYPNGGSYQPGCHFLELYKHFAKHGLNAITRTVKCAHERSVHLFIDSLLHADMQSMAY
+LCRDMDRFSQGLCLSCKKGRCNTLGYHTRQERQSKKSKSLFLVTRAQSPFKVYHYQFKIR
+FINQTENPVEPTFTVSFLGTRGERQKIPITLSKGITSNETYSFLITLDVDIGELIMIKFK
+WENRMVWSNVWNTVQTIIPWGRGSLHSGLALKSIRVKVGETQQRMTFCSENMNDLLLHPA
+REKTFVRCEANSETLKRKIR
+>sp|Q0VBZ9|MRP_BOVIN MARCKS-related protein OS=Bos taurus OX=9913 GN=MARCKSL1 PE=2 SV=1
+MGSQSSKAPRGDVTAEEAAGASPAKVNGQENGHVKSNGDLSPKGEGESPPVNGTEEAAGA
+TGDAIEPAPPSQGAEAKGEVPPKETPKKKKKFSFKKPFKLSGLSFKRNRKEGGGDSSASS
+PTEEEQEQGEISACGEEGTAQEGKAAATPESQEPQAKGAEASAAAKGGDTEEAGPQAAEP
+STPSGPESDPAPASEQNE
+>sp|Q5E992|PPIL1_BOVIN Peptidyl-prolyl cis-trans isomerase-like 1 OS=Bos taurus OX=9913 GN=PPIL1 PE=2 SV=1
+MAAIPPDSWQPPNVYLETSMGIIVLELYWKHAPKTCKNFAELARRGYYNGTKFHRIIKDF
+MIQGGDPTGTGRGGASIYGKQFEDELHPDLKFTGAGILAMANAGPDTNGSQFFVTLAPTQ
+WLDGKHTIFGRVCQGIGMVNRVGMVETNSQDRPVDDVKIIKAYPSG
+>sp|Q28057|PAG2_BOVIN Pregnancy-associated glycoprotein 2 OS=Bos taurus OX=9913 GN=PAG2 PE=1 SV=1
+MKWLVLLGLVALSECIVILPLKKMKTLRETLREKNLLNNFLEEQAYRLSKNDSKITIHPL
+RNYLDTAYVGNITIGTPPQEFRVVFDTGSANLWVPCITCTSPACYTHKTFNPQNSSSFRE
+VGSPITIFYGSGIIQGFLGSDTVRIGNLVSPEQSFGLSLEEYGFDSLPFDGILGLAFPAM
+GIEDTIPIFDNLWSHGAFSEPVFAFYLNTNKPEGSVVMFGGVDHRYYKGELNWIPVSQTS
+HWQISMNNISMNGTVTACSCGCEALLDTGTSMIYGPTKLVTNIHKLMNARLENSEYVVSC
+DAVKTLPPVIFNINGIDYPLRPQAYIIKIQNSCRSVFQGGTENSSLNTWILGDIFLRQYF
+SVFDRKNRRIGLAPAV
+>sp|Q2KHV4|PARL_BOVIN Presenilins-associated rhomboid-like protein, mitochondrial OS=Bos taurus OX=9913 GN=PARL PE=2 SV=1
+MAWRGWAQRGWGCGQAWTLPVCGGSYEELTAALAPSRLLRRRFNFFIQQKCGFRKAPRKV
+EPRRSDTSSEAYKRSALIPPVEETAFYPSPYPIRTLVKPLFFTVGFTGCAFGSAAIWQYE
+SLKSKVQSYFDGIKADWLDSIRPQKEGDFRKEINKWWNNLSDGQRTVTGIIAANVFVFCL
+WRVPSLQRTMIRYFTSNPASKVLCSPMLLSTFSHFSLFHMAANMYVLWSFSSSIVNILGQ
+EQFMAVYLSAGVISTFVSYVCKVATGRYGPSLGASGAIMTVLAAVCTKIPEGRLAIIFLP
+MFTFTAGNALKAIIAMDTAGMILGWKFFDHAAHLGGALFGIWYITYGHELIWKNREPLVK
+IWHEMRTNSPKKGGGSK
+>sp|A4IFH4|PSF1_BOVIN DNA replication complex GINS protein PSF1 OS=Bos taurus OX=9913 GN=GINS1 PE=2 SV=1
+MFCEKAMELVRELHRAAEGRLPAFNEDGLRQVLEEMKALYEQNQSDVNEAKSSGRGDLIP
+TIKFRHCSLLRNQRCTVAYLYDRLLRIRALRWEYGSVLPSALRFHMSAEEMDWFNRYKKS
+LATYMRSLGGDEGLDITQDMKPPKSLYIEVRCLKDYGEFEVEDGTSVLLKKNSQHFLPRW
+KCEQLIRQGILEHVLS
+>sp|P68401|PA1B2_BOVIN Platelet-activating factor acetylhydrolase IB subunit beta OS=Bos taurus OX=9913 GN=PAFAH1B2 PE=1 SV=1
+MSQGDSNPAAIPHAAEDIQGDDRWMSQHNRFVLDCKDKEPDVLFVGDSMVQLMQQYEIWR
+ELFSPLHALNFGIGGDTTRHVLWRLKNGELENIKPKVIVVWVGTNNHENTAEEVAGGIEA
+IVQLINTRQPQAKIIVLGLLPRGEKPNPLRQKNAKVNQLLKVSLPKLANVQLLDTDGGFV
+HSDGAISCHDMFDFLHLTGGGYAKICKPLHELIMQLLEETPEEKQTTIA
+>sp|P15690|NDUS1_BOVIN NADH-ubiquinone oxidoreductase 75 kDa subunit, mitochondrial OS=Bos taurus OX=9913 GN=NDUFS1 PE=1 SV=1
+MLRIPVRKALVGLSKSSKGCVRTTATAASNLIEVFVDGQSVMVEPGTTVLQACEKVGMQI
+PRFCYHERLSVAGNCRMCLVEIEKAPKVVAACAMPVMKGWNILTNSEKTKKAREGVMEFL
+LANHPLDCPICDQGGECDLQDQSMMFGSDRSRFLEGKRAVEDKNIGPLVKTIMTRCIQCT
+RCIRFASEIAGVDDLGTTGRGNDMQVGTYIEKMFMSELSGNIIDICPVGALTSKPYAFTA
+RPWETRKTESIDVMDAVGSNIVVSTRTGEVMRILPRMHEDINEEWISDKTRFAYDGLKRQ
+RLTEPMVRNEKGLLTHTTWEDALSRVAGMLQSFQGNDVAAIAGGLVDAEALIALKDLLNR
+VDSDTLCTEEVFPTAGAGTDLRSNYLLNTTIAGVEEADVVLLVGTNPRFEAPLFNARIRK
+SWLHNDLKVALIGSPVDLTYRYDHLGDSPKILQDIASGSHPFSQVLQEAKKPMVILGSSA
+LQRNDGAAILAAVSNIAQKIRTSSGVTGDWKVMNILHRIASQVAALDLGYKPGVEAIQKN
+PPKMLFLLGADGGCITRQDLPKDCFIVYQGHHGDVGAPIADVILPGAAYTEKSATYVNTE
+GRAQQTKVAVTPPGLAREDWKIIRALSEIAGMTLPYDTLDQVRNRLEEVSPNLVRYDDVE
+GANYFQQASELSKLVNQQLLADPLVPPQLTIKDFYMTDSISRASQTMAKCVKAVTEGAHA
+VEEPSIC
+>sp|Q02373|NDUBA_BOVIN NADH dehydrogenase [ubiquinone] 1 beta subcomplex subunit 10 OS=Bos taurus OX=9913 GN=NDUFB10 PE=1 SV=2
+MPDSWDKDVYPEPPRRTPAPSPQTSLPNPITYLTKAFDLLVDRPVTLVREFIERQHAKNK
+YYYYHREFRRVPDITECQEKDVLCMFEAEMQWRRDYKVDQEIVNIIQERLKACQQREGES
+HRQNCAKELEQFTQVVKAYQDRYHDLGAHYSARKCLAKQKQRMLAERKAAKEAAAA
+>sp|Q0III0|MSD3_BOVIN Myb/SANT-like DNA-binding domain-containing protein 3 OS=Bos taurus OX=9913 GN=MSANTD3 PE=2 SV=1
+MQNNEIIKPAKYFSELEKSILLALVEKYKYVLECKKSDARTIALKQRTWQALAHEYNSQP
+SVSLRDFKQLKKCWENIKARTKKIMAHERREKVKRSVSPLLSTHVLGKEKIASMLPEQLY
+FLQSPPEEEPEYHAEAAAQESFAVSNRELCDDEKEFIHFPVCEGTSQPEPSCSAVRITAN
+KNYRSKTSQEGALKKMHEEEHHQQMSILQLQLIQMNEVHVAKIQQIERECEMAEEEHRIK
+MEVLNKKKMYWERKLQTFTKEWPVSSFNRPFPNSP
+>sp|P23934|NDUS6_BOVIN NADH dehydrogenase [ubiquinone] iron-sulfur protein 6, mitochondrial OS=Bos taurus OX=9913 GN=NDUFS6 PE=1 SV=2
+MAAVLTFLRFLGRGGAVTRGLPGGARCFGVRTSPTGEKVTHTGQVYDDGDYRKVRFVGRQ
+KEVNENFAIDLIAEQPVSQVGSRVISCDGGGGALGHPRVYINLDKETKTGTCGYCGLQFR
+QQHH
+>sp|Q75WB5|OPLA_BOVIN 5-oxoprolinase OS=Bos taurus OX=9913 GN=OPLAH PE=1 SV=1
+MGGPEGRFHFAIDRGGTFTDVFAQCPGGHVRVLKLLSEDPANYVDAPTEGIRRILEQEGG
+VLLPRDRPLDTSRIASIRMGTTVATNALLEQQGERVALLVTRGFRDLLHVCTQARAXLFD
+LAVPMPETLYEEVLEVDERVVLYRGXPGAGTPVKGCTGDLLEVQQPVDLGGLRWKLEGLL
+SRGIRSLAVVLMHSYTWAQHEQQVGALARELGFTHVSLSSEAMPMVRIVPRGHTACADAY
+LTPTIQRYVQGFRRGFQGQLKDVQVLFMRSDGGLAPMDSFSGSRAVLSGPAGGVVGYSAT
+TYRVEGGQPVIGFDMGGTSTDVSRYAGEFEHVFEASTAGVTLQAPQLDINTVAAGGGSRL
+FFRSGLFVVGPESAGAHPGPACYRKGGPVTVTDANLVLGRLLPASFPCIFGPGEDQPLSP
+EASRKALEAVATEVNSFLTNGPCPASPLSLEEVAMGFVRVANEAMCRPIRALTQARGHDP
+SAHVLACFGGAGGQHACAIARALGMDTVHIHRHSGLLSALGLALADVVHEAQEPCSLPYA
+PETFAQLDQRLGRLEEQCVEALRAQGFPRSQISTESFLHLRYQGTDCALMVSAHQHPASA
+RSPRAGDFGAAFVERYMREFGFIIPERPVVVDDVRVRGTGSSSLRLEDVPKAHSGPPRVD
+KMTQCYFEGGYQETPVYLLGELGCGHKLQGPCLIIDSNSTILVEPGCQAEVTETGDIRIS
+VGAETASVVGTQLDPIHLTIFSHRFMSIAEQMGRILQRTAISTNIKERLDFSCALFGPDG
+GLVSNVPHIPVHLGAMQETVQFQIQQLGADLHPGDVLLSNHPSAGGSHLPDLTVITPVFW
+PGQTRPVFYVASRGHHADIGGITPGSMPPHSTSLQQEGAVFLSFKLVHGGVFQEEAVTEA
+LRAPGKIPGCSGTRNLHDNLSDLRAQVAANQKGIQLVGELIGQYGLDVVQAYMGHIQANA
+ELAVRDMLRAFGTARQARGLPLEVSAEDHMDDGSPIRLRVQINMSQGSAVFDFSGSGPEV
+FGNLNAPRAITLSALIYCLRCLVGRDIPLNQGCLAPVRVVIPKGSILDPSPDAAVVGGNV
+LTSQRVVDVILGAFGACAASQGCMNNVTLGNAHMGYYETVAGGAGAGPGWHGRSGVHSHM
+TNTRITDPEILESRYPVILRRFELRLGSGGRGRFRGGDGIIRELLFREEALLSVLTERRA
+FQPYGLMGGEPGARGLNLLIRKDGRTVNLGGKTSVPVYPGDVFCLHTPGGGGYGDPGDPA
+PLPGSPLQPLAFPERGSVYEYRRAQEAV
+>sp|P10894|PLCB1_BOVIN 1-phosphatidylinositol 4,5-bisphosphate phosphodiesterase beta-1 OS=Bos taurus OX=9913 GN=PLCB1 PE=1 SV=1
+MAGAQPGVHALQLKPVCVSDSLKKGTKFVKWEDDSTVVTPIILRTDPQGFFFYWTDQNKE
+TELLDLSLVKDARCGKHAKAPKDPKLRELLDVGNIGRLEHRMITVVYGPDLVNISHLNLV
+AFQEEVAKEWTNEVFSLATNLLAQNMSRDAFLEKAYTKLKLQVTPEGRIPLKNIYRLFSA
+DRKRVETALEACSLPSSRNDSIPQEDFTPEVYRVFLNNLCPRPEIDNIFSEFGAKSKPYL
+TVDQMMDFINLKQRDPRLNEILYPPLKQEQVQVLIEKYEPNNSLAKKGQISVDGFMRYLS
+GEENGVVSPEKLDLNEDMSQPLSHYFINSSHNTYLTAGQLAGNSSVEMYRQVLLSGCRCV
+ELDCWKGRTAEEEPVITHGFTMTTEISFKEVIEAIAECAFKTSPFPILLSFENHVDSPKQ
+QAKMAEYCRLIFGDALLMEPLDKYPLESGVPLPSPMDLMYKILVKNKKKSHKSSEGSGKK
+KLSEQASNTYSDSSSVFEPSSPGAGEADTESDDDDDDDDCKKSSMDEGTAGSEAMATEEM
+SNLVNYIQPVKFESFEISKKRNRSFEMSSFVETKGLEQLTKSPVEFVEYNKMQLSRIYPK
+GTRVDSSNYMPQLFWNAGCQMVALNFQTVDLAMQINMGMYEYNGKSGYRLKPEFMRRPDK
+HFDPFTEGIVDGIVANTLSVKIISGQFLSDKKVGTYVEVDMFGLPVDTRRKAFKTKTSQG
+NAVNPIWEEEPIVFKKVVLPSLACLRIAVYEEGGKFIGHRILPVQAIRPGYHYICLRNER
+NQPLMLPALFVYIEVKDYVPDTYADVIEALSNPIRYVNLMEQRAKQLAALTLEDEEEVKK
+EADPGETPSEAPSEARPTPAENGVNHTTSLTPKPPSQALHSQPAPGSVKAPAKTEDLIQS
+VLTEVEAQTIEELKQQKSFVKLQKKHYKEMKDLVKRHHKKTTDLIKEHTTKYNEIQNDYL
+RRRAALEKTAKKDNKKKSEPSSPDHVSSTIEQDLAALDAEMTQKLVDLKDKQQQQLLNLR
+QEQYYSEKYQKREHIKLLIQKLTDVAEECQNNQLKKLKEICEKEKKELKKKMDKKRQEKI
+TEAKSKDKSQMEEEKTEMIRSYIQEVVQYIKRLEEAQSKRQEKLVEKHKEIRQQILDEKP
+KLQVELEQEYQDKFKRLPLEILEFVQEAMKGKISEDSNHSSAPPLMTSDSGKLNQKPPSS
+EELEGENPGKEFDTPL
+>sp|Q5EAB0|MPZL2_BOVIN Myelin protein zero-like protein 2 OS=Bos taurus OX=9913 GN=MPZL2 PE=2 SV=1
+MYGKSPTRAVLFLLGLQLTALWPTAAVEIYTPRVLEAVNGTDVRLKCTFSSFAPVGDALT
+VTWNFRPRDGGPEQFVFYYHVDPFKPMSGRFKDRVAWDGNPERYDVSILLWKLQFDDNGT
+YTCQVKNPPDVDGLIGEIQLSVVQTVRFSEIHFLALAIGSACALMVIIVIVVVLFQHFRK
+KRRAERAHRVVEIKSKEEEKLNQEKKASVSLEYTD
+>sp|Q3SYZ8|PDLI3_BOVIN PDZ and LIM domain protein 3 OS=Bos taurus OX=9913 GN=PDLIM3 PE=2 SV=1
+MPQNVVLPGPAPWGFRLSGGIDFNQPLVITRITPGSKAAAANLCPGDVILAIDGFGTESM
+THADAQDRIKAAGHQLCLKIDRAEARLWSPQVTEDGKAHPFKINLESEPQEFKPIGAAHN
+RRAQPFVAAANIDDKRQVVSASYNSPIGLYSTSNIQDALHGQLRGLIPSSPQNEPTASVP
+PESDVYRMLHDNRNEPTQPRQSGSFRVLQELVNDGADDRPAGTRSVRAPVTKVHGGAGGT
+QKMPFCDKCGSGIVGAVVKARDKYRHPECFVCADCNLNLKQKGYFFVEGELYCETHARAR
+MRPPEGYDTVTLYPKA
+>sp|Q0IIJ0|NAA50_BOVIN N-alpha-acetyltransferase 50 OS=Bos taurus OX=9913 GN=NAA50 PE=2 SV=1
+MKGSRIELGDVTPHNIKQLKRLNQVIFPVSYNDKFYKDVLEVGELAKLAYFNDIAVGAVC
+CRVDHSQNQKRLYIMTLGCLAPYRRLGIGTKMLNHVLNICEKDGTFDNIYLHVQISNESA
+IDFYRKFGFEIIETKKNYYKRIEPADAHVLQKNLKVPSGQNADVQKTDN
+>sp|Q4JIJ2|MTRR_BOVIN Methionine synthase reductase OS=Bos taurus OX=9913 GN=MTRR PE=2 SV=1
+MRRFLLLYATQRGQAKAIAEEISEKAVTYGFSADLHCISESDKYDLKTETAPLVMVVSTT
+GNGDPPDTARKFVKAIKDKTLPPDFLAHLRYGLLGLGDSEYTYFCNGGKVIDKRLQELGA
+QRFYDTGHADDCVGLELVVEPWINGLWAALEKHFLSNRGREDTSETLTMASHASRDAVTP
+ELLHVESQVGLLKLDDSGGKAAKVLEQNAVNSNQSSTLIVDFEASLTHSVPPLSQASLNI
+PSLPPEYLEVHLEEALGQEESHASVSLVDPVFHVPVSKAVQLTTNDAIKTTLLIELDISK
+TDFSYQPGDAFNVICPNSDSEVQFLLQRLQLADRREHHVAVTIKADTRKKGAALPQHVPE
+RCSLQFLLTWCLEIRAVPKKAFLRALADHTGDSAERRRLQELCSRQGAADYTRFVREAGA
+CLSDLLRAFPSCQPPLGLLLEHLPKLQPRPYSCASSSLFHPGKLHFIFNIVEFLSNTTEV
+ILRRGVCTGWLATLVESILQPYMCANHVDGKKALAPKISISPRTTNSFHLPDDPSVPIIM
+VGPGAGVAPFIGFLQHREKLQEQHPGGHFGATWLFFGCRHKERDYLFRDELRHFLKCGVL
+THLEVSFSRDVAVGEEEGPAKYVQDSLQRHSKQVAGVLLQDSGYVYVCGDAKNMAKDVHD
+ALVEIISRETGVEKLEAMKTLATLKEEKRYLQDIW
+>sp|Q56JY1|RL35A_BOVIN 60S ribosomal protein L35a OS=Bos taurus OX=9913 GN=RPL35A PE=3 SV=1
+MSGRLWSKAIFAGYKRGLRNQREHTALLKIEGVYARDETEFYLGKRCAYVYKAKNNTVTP
+GGKPNKTRVIWGKITRAHGNSGMVRAKFRSNLPAKAIGHRIRVMLYPSRI
+>sp|Q5E9E2|MYL9_BOVIN Myosin regulatory light polypeptide 9 OS=Bos taurus OX=9913 GN=MYL9 PE=2 SV=3
+MSSKRAKTKTTKKRPQRATSNVFAMFDQSQIQEFKEAFNMIDQNRDGFIDKEDLHDMLAS
+LGKNPTDEYLDAMMNEAPGPINFTMFLTMFGEKLNGTDPEDVIRNAFACFDEEATGTIQE
+DYLRELLTTMGDRFTDEEVDELYREAPIDKKGNFNYIEFTRILKHGAKDKDD
+>sp|Q3SYX9|ARPC5_BOVIN Actin-related protein 2/3 complex subunit 5 OS=Bos taurus OX=9913 GN=ARPC5 PE=1 SV=3
+MSKNTVSSARFRKVDVGEYDENKFVDEEDGGDGQAGPDEGEVDSCLRQGNMTAALQAALK
+NPPINTKSQAVKDRAGSIVLKVLISFKANDIEKAVQSLDKNGVDLLMKYIYKGFESPSDN
+SSAVLLQWHEKALAAGGVGSIVRVLTARKTV
+>sp|Q2TBV6|CC124_BOVIN Coiled-coil domain-containing protein 124 OS=Bos taurus OX=9913 GN=CCDC124 PE=2 SV=1
+MPKKFQGENTKSAAARARRAEAKAAADAKKQKELEDAYWRDEDKHVMRKEQRKEEKEKRR
+LEQLERKKETQRLLEEEDSKLKGGKAPRVAASSKVTRAQIEETLRRDHQHKESPDPAEKA
+KSHLEVPLEENVNRRVLEEGSVEARTIEDAIAVLSVTEEAVDRHPERRMRAAYTAFEEAQ
+LPRLKQENPNMRLSQLKQMLKKEWLRSPDNPMNQRAVPFNTPK
+>sp|Q3T0W7|ATG12_BOVIN Ubiquitin-like protein ATG12 OS=Bos taurus OX=9913 GN=ATG12 PE=2 SV=1
+MAEEQESALQLPPSTAPEAEVPTEVSPETATPEPPSSAAVSPGTEEPVGDTKKKIDILLK
+AVGDTPIMKTKKWAVERTRTIQGLFDFIKKFLKLVASEQLFIYVNQSFAPSPDQEVGTLY
+ECFGSDGKLVLHYCKSQAWG
+>sp|Q32P66|CZIB_BOVIN CXXC motif containing zinc binding protein OS=Bos taurus OX=9913 GN=CZIB PE=2 SV=1
+MGKIELQLKATLENVTNLRPVGEDFRWYLKMKCGNCGEISEKWQYIRLMDSVALKGGRGS
+ASMVQKCKLCSRENSIEILSSTIKSYNAEDNEKFKTIVEFECRGLEPVDFQPQAGFAAEG
+VESGTVFSDINLQEKDWTDYDEKAQESVGIYEVTHQFVKC
+>sp|Q29RT9|CXL16_BOVIN C-X-C motif chemokine 16 OS=Bos taurus OX=9913 GN=CXCL16 PE=2 SV=1
+MMLGRTSRLLLVLLFIAYATTSGNGNEGSKVGSCPCDHTVSSHSPPNENIMRHLRKYLKA
+YQRCFSYVRFQLPLKNVCGGSTDGWVQELMHCFDSGECGHAQPRVVDAPLHRTQLPEPTE
+AAPSDTATTSQTYLPSTLQRTQQPTPLEGALSLDSKLIPTHETTTYTSGHSLGAEPEAKE
+NQKQLKENRGPQAGTSATVPVLSLLAIVFILAGVLLYVVCKRRKNQLLQHPPDLAASLYT
+CSRRTRAENGTL
+>sp|Q32P85|DLRB2_BOVIN Dynein light chain roadblock-type 2 OS=Bos taurus OX=9913 GN=DYNLRB2 PE=3 SV=1
+MAEVEETLKRIQSHKGVIGTMVVNAEGIPIRTTLDNSTTVQYAGLLHQLTMKAKSTVRDI
+DPQNDLTFLRIRSKKHEIMVAPDKEYLLIVIQNPCE
+>sp|Q58D56|DRG2_BOVIN Developmentally-regulated GTP-binding protein 2 OS=Bos taurus OX=9913 GN=DRG2 PE=2 SV=1
+MGILEKISEIEKEIARTQKNKATEYHLGLLKAKLAKYRAQLLEPSKSSSSKGEGFDVMKS
+GDARVALIGFPSVGKSTFLSLMTSTASEAASYEFTTLTCIPGVIEYKGANIQLLDLPGII
+EGAAQGKGRGRQVIAVARTADVVIMMLDATKGEVQRSLLEKELESVGIRLNKHKPNIYFK
+PKKGGGISFNSTVTLTQCSEKLVQLILHEYKIFNAEVLFREDCSPDEFIDVIVGNRVYMP
+CLYVYNKIDQISMEEVDRLARKPDSVVISCGMKLNLDYLLEMLWEYLALTCIYTKKRGQR
+PDFTDAIILRKGASVEHVCHRIHRSLASQFKYALVWGTSTKYSPQRVGLTHTMEHEDVIQ
+IVKK
+>sp|P50243|DCAM_BOVIN S-adenosylmethionine decarboxylase proenzyme OS=Bos taurus OX=9913 GN=AMD1 PE=1 SV=1
+MEAAHFFEGTEKLLEVWFSRQQPDANQGSGDLRTIPRSEWDILLKDVQCSIISVTKTDKQ
+EAYVLSESSMFVSKRRFILKTCGTTLLLKALVPLLKLARDYSGFDSIQSFFYSRKNFMKP
+SHQGYPHRNFQEEIEFLNAIFPNGAAYCMGRMNSDCWYLYTLDFPESRVINQPDQTLEIL
+MSELDPAVMDQFYMKDGVTAKDVTRESGIRDLIPGSVIDATMFNPCGYSMNGMKSDGTYW
+TIHITPEPEFSYVSFETNLSQTSYDDLIRKVVEVFKPGKFVTTLFVNQSSKCRTVLSSPQ
+KIEGFKRLDCQSALFNDYNFVFTSFAKKQQQQQS
+>sp|P43896|EFTS_BOVIN Elongation factor Ts, mitochondrial OS=Bos taurus OX=9913 GN=TSFM PE=1 SV=1
+MSLLRSLRLCLVARTGSCPLSALGPGPLLPSLQAGLPLLQSPQQWHTFHSGSWLSSASSK
+ELLMKLRRKTGYSFINCKKALETCGGDLKQAESWLHKQAQKEGWSKAARLHGRKTKEGLI
+GLLQEGDTTVLVEVNCETDFVSRNLKFQQLVQQVALGTLLHCQNLKDQLSTYSKGFLNSS
+ELSELPAGPEREGSLKDQLALAIGKLGENMILKRAAWVKVPAGFYVGSYVHGAMHSPSLH
+NLVLGKYGALVICETSELKANLADLGRRLGQHVVGMAPLSVGSLDDEPGGEAETKMLSQP
+YLLDPSITLGQYVQPHGVSVVDFVRFECGEGEDAADAE
+>sp|Q3T0D0|HNRPK_BOVIN Heterogeneous nuclear ribonucleoprotein K OS=Bos taurus OX=9913 GN=HNRNPK PE=2 SV=1
+METEQPEETFPNTETNGEFGKRPAEDMEEEQAFKRSRNTDEMVELRILLQSKNAGAVIGK
+GGKNIKALRTDYNASVSVPDSSGPERILSISADIETIGEILKKIIPTLEEGLQLPSPTAT
+SQLPLESDAVECLNYQHYKGSDFDCELRLLIHQSLAGGIIGVKGAKIKELRENTQTTIKL
+FQECCPQSTDRVVLIGGKPDRVVECIKIILDLISESPIKGRAQPYDPNFYDETYDYGGFT
+MMFDDRRGRPVGFPMRGRGGFDRMPPGRGGRPMPPSRRDYDDMSPRRGPPPPPPGRGGRG
+GSRARNLPLPPPPPPRGGDLMAYDRRGRPGDRYDGMVGFSADETWDSAIDTWSPSEWQMA
+YEPQGGSGYDYSYAGGRGSYGDLGGPIITTQVTIPKDLAGSIIGKGGQRIKQIRHESGAS
+IKIDEPLEGSEDRIITITGTQDQIQNAQYLLQNSVKQYADVEGF
+>sp|Q3ZC24|MARH5_BOVIN E3 ubiquitin-protein ligase MARCH5 OS=Bos taurus OX=9913 GN=MARCH5 PE=2 SV=1
+MPDQALQQMLDRSCWVCFATDEDDRTAEWVRPCRCRGSTKWVHQACLQRWVDEKQRGNST
+ARVACPQCNAEYLIVFPKLGPVVYVLDLADRLISKACPFAAAGIMVGSIYWTAVTYGAVT
+VMQVVGHKEGLDVMERADPLFLLIGLPTIPVMLILGKMIRWEDYVLRLWRKYSNKLQILN
+SIFPGIGCPVPRIPAEANPLADHVSATRILCGALVFPTIATIVGKLMFSSVNSNLQRTIL
+GGIAFVAIKGAFKVYFKQQQYLRQAHRKILNYPEQEEA
+>sp|P01578|IFNB1_BOVIN Interferon beta-1 OS=Bos taurus OX=9913 GN=IFNB1 PE=3 SV=1
+MTYRCLLQMVLLLCFSTTALSRSYSLLRFQQRQSLKECQKLLGQLPSTSQHCLEARMDFQ
+MPEEMKQEQQFQKEDAILVMYEVLQHIFGILTRDFSSTGWSETIIEDLLKELYWQMNRLQ
+PIQKEIMQKQNSTTEDTIVPHLGKYYFNLMQYLESKEYDRCAWTVVQVQILTNVSFLMRL
+TGYVRD
+>sp|A7YWP4|HUTH_BOVIN Histidine ammonia-lyase OS=Bos taurus OX=9913 GN=HAL PE=2 SV=1
+MPRYTVHVRGEWLAVPCQDAQLTVGWLGREAVRRYIKNKPDNGGFASVDDARFLVRRCKG
+LGLLDNEDPLDVALEDNEFVEVVIEGDAMSPDFIPSQPEGVYLYSKYREPEKYIALDGDS
+LTTEDLVSLGKGHYKIKLTPTAEKRVQKSREVIDRIVEEKTVVYGITTGFGKFARTVIPV
+SKLEELQFNLVRSHSSGVGKPLSPERCRMLLALRINVLAKGYSGISLGTLKQVIEVFNAS
+CLPYVPEKGTVGASGDLAPLSHLALGLIGEGKMWSPKSGWADAKYVLAAHGLKPIVLKPK
+EGLALINGTQMITSLGCEAVERASAIARQADIVAALTLEVLKGTTKAFDTDIHAVRPHRG
+QVEVAFRFRSLLDSDHHPSEIAESHRFCDRVQDAYTLRCCPQVHGVVNDTIAFVKNIITT
+EINSATDNPMVFASRGETISGGNFHGEYPAKALDYLAIGVHELASISERRIERLCNPSLS
+ELPAFLVAEGGLNSGFMIAHCTAAALVSENKALCHPSSVDSLSTSAATEDHVSMGGWAAR
+KALRVIEHVEQVLAIELLAACQGIEFLRPLKTTTPLEKVYDLVRSVVRPWIKDRFMAPDI
+EAAHRLLVEQKVWEVAAPYIEKYRMEHIPESRPVSPTAFSLEFLHKKSTKIPESEDL
+>sp|Q3ZCI3|PKHJ1_BOVIN Pleckstrin homology domain-containing family J member 1 OS=Bos taurus OX=9913 GN=PLEKHJ1 PE=2 SV=1
+MRYNDKELQALSRQPAEMAAELGMRGPKKGSVVKRRLVKLVVNFLFYFRTDEAEPIGALL
+LEHCRVIHEEPNSFSISFLEDPERKYHFECCSEEQCQQWMAALRQASYEFMRRSLIFYRN
+EIQKMTGKDPLEQYGISEEARFQLSGLKA
+>sp|Q02374|NDUB2_BOVIN NADH dehydrogenase [ubiquinone] 1 beta subcomplex subunit 2, mitochondrial OS=Bos taurus OX=9913 GN=NDUFB2 PE=1 SV=1
+MAGMSALKRLAPFAHVGGHLFRGRCARAAGARGVRRAGGGAHIEPRYRQFPQLTRSQVIQ
+AEFFSATMWFWILWRFWHDSDAVLGHFPYPDPSQWTDEELGIPPDDED
+>sp|A0JN87|ODC_BOVIN Mitochondrial 2-oxodicarboxylate carrier OS=Bos taurus OX=9913 GN=SLC25A21 PE=2 SV=1
+MSAKPSVGFVNEASRQILAGGSAGLVEICLMHPLDVVKTRFQIQRCTTDPNSYKSLGDSF
+RMIFRTEGLFGFYKGILPPILAETPKRAVKFFTFEQYKKLLGYVSLSPALTFAVAGLGSG
+LTEAIVVNPFEVVKVGLQANRNRFTEQPSTMSYARHIIKKEGLGLGGLNKGFTATLGRHG
+VFNMVYFGFYFNVKNIIPVNKDPTLEFLRKFGIGLLSGTIASVINIPFDVAKSRIQGPQP
+VPGEIKYKTCFKTMATVYQEEGILALYKGLLPKIMRLGPGGAVMLLVYEYTYSWLQENW
+>sp|P05363|NK2R_BOVIN Substance-K receptor OS=Bos taurus OX=9913 GN=TACR2 PE=2 SV=1
+MGACVVMTDINISSGLDSNATGITAFSMPGWQLALWTAAYLALVLVAVMGNATVIWIILA
+HQRMRTVTNYFIVNLALADLCMAAFNAAFNFVYASHNIWYFGRAFCYFQNLFPITAMFVS
+IYSMTAIAADRYMAIVHPFQPRLSAPGTRAVIAGIWLVALALAFPQCFYSTITTDEGATK
+CVVAWPEDSGGKMLLLYHLIVIALIYFLPLVVMFVAYSVIGLTLWRRSVPGHQAHGANLR
+HLQAKKKFVKTMVLVVVTFAICWLPYHLYFILGTFQEDIYCHKFIQQVYLALFWLAMSST
+MYNPIIYCCLNHRFRSGFRLAFRCCPWVTPTEEDKMELTYTPSLSTRVNRCHTKEIFFMS
+GDVAPSEAVNGQAESPQAGVSTEP
+>sp|Q5E9S2|NFYA_BOVIN Nuclear transcription factor Y subunit alpha OS=Bos taurus OX=9913 GN=NFYA PE=2 SV=1
+MEQYAANSNSSAEQIVVQAGQIQQQQQGGVTAVQLQTEAQVASASGQQVQTLQVVQGQPL
+MVQVSGGQLITSTGQPIMVQAVPGGQGQTIMQVPVSGTQGLQQIQLVPPGQIQIQGGQAV
+QVQGQQGQTQQIIIQQPQTAVTAGQTQTQQQIAVQGQQVAQTAEGQTIVYQPVNADGTIL
+QQGMITIPAASLAGAQIVQTGANTNTTSSGQGTVTVTLPVAGNVVNSGGMVMMVPGAGSV
+PAIQRIPLPGAEMLEEEPLYVNAKQYHRILKRRQARAKLEAEGKIPKERRKYLHESRHRH
+AMARKRGEGGRFFSPKEKDSPHMQDPNQADEEAMTQIIRVS
+>sp|Q5E9I3|OPALI_BOVIN Opalin OS=Bos taurus OX=9913 GN=OPALIN PE=2 SV=1
+MSFSLNFTLPANTTSSPVVTSGKGADCGPSLGLAAGIPSLVATALLVALLLILIHRRRRS
+SESTEEIERPCEISEIYDNPRVAENPRRSPTHEKNIMGAEEAHIYVKTVSGSQEPMRDTY
+RPAVEMERRRGLWWLIPRLSLE
+>sp|Q32PJ3|ORC3_BOVIN Origin recognition complex subunit 3 OS=Bos taurus OX=9913 GN=ORC3 PE=2 SV=1
+MATSSVSKGCFVFKPNFKKRKISVPIEDYFNKGKNASEDSKLRFETYQLIWQQMKSETER
+LQEELNKNLFDSLVEFLQTSHSGLWKNSKDWSCEIKLREIPTAALVLGVNVTDHDLTLRS
+LTEVLQNNVTPYVVSLQAKDCPDMKHFLQKLVSQLMDCKVDVQSKEKESVQVIQKNVHYS
+MDSLSAWYMSVTQKTDPKMPRKKRTSSSQWQSPPVVLILKDMESFTTKVLQDFIIISSQH
+LHEFPLILIFGIATSPVVIHRLLPHAVSSLLCIELFQSLSCKEHLTTVLDKLLLTTQFPF
+KLSEKVLQILTNIFLYHDFSIQNFIKGLQLSLLEHFYSQPLSVLCCNLPEAKRRIRFLSA
+NQCENIRRLPSFRRYVEKQSSEKQVALLTSDKFLKEETQSLLENLHVYHKNYFLVLRCLH
+QFTSSLPKYPLGRQIRELYCMCLEKSIWDSEEYASVLQLLRLLAKDELMAMLQNCFKLFQ
+SSSGKELGNTAKRIEEFLAQFQSLDETKEEEDTSQSQSKGLQKTDLYHLQKSLLEMKELR
+STSKRQTKFEVLREQVVSFVDSLVREYLLPPDTQPLHETLYFSSAHTLRQHLNAAPRIAL
+HTALNNPYYYLKNEALRSEEGCIPNVAPDICIAYKLHLECSRLINLVDWSEAFATVVTAA
+EKMDANSVTSGERNEIIHARFIRAVSELELLGFIKPTKQKTDHVARLTWGGC
+>sp|Q08DA0|RABL6_BOVIN Rab-like protein 6 OS=Bos taurus OX=9913 GN=RABL6 PE=2 SV=1
+MFSALKKLVGSEQAPGRDRNIPAGLQSMNQALQRRFAKGVQYNMKIVIRGDRNTGKTALW
+HRLQGKKFVEEYIPTQEIQVTSIHWSYKTTDDVVKVEVWDVVDKGKCKKRGDGLKMENDP
+QEAESEMALDAEFLDVYKNCNGVVMMFDITKQWTFNYILRELPKVPTHVPVCVLGNYRDM
+GEHRVILPDDVRDVLDHLDRPPGSSYFRYAESSMKNSFGLKYLHRFFNIPFLQLQRETLL
+RQLETNQLDIDATLEELSVQQETEDQNYDIFLEMMEARSRGHASPLTTSGQSPSSGSQSP
+VVPPSTVSTGSSSPSTPQPVLQPPLQAPPAPPAPAEAPPLPAAPPRRSIIARLFGSTPAT
+EAAPPPPEPTPAAEASQKVQNVEDFVPEDSLDGSFLEDTVPAKDEKRLGARGPQDSDSDR
+ETQAGNPMVAGFQDDVDLEDKPPSRPLPPTGPVPSEDITLSSEEEAEEGAGHPKAAVLAP
+QKCPEPETRRSSTKALGPPRDAAPRAAKPRPEGPSGKLEEGTDKPVSSESDAEGPIAAQM
+LSFVMDDPDFESDSDAQRRAGEFPVREDLSDLTDEDAGPVQPPAPPKPLAPSFRLKDDSD
+LFGLGLEEPGREDSSEQDKEGRPPAKEKKKKKKKGREEEDKAAKKRSKHKKSRERADDKG
+RDERRRRPRGPQRTALDELEAFLGGGAPSGPLRGGGDYEAL
+>sp|Q9GL30|PLBL1_BOVIN Phospholipase B-like 1 OS=Bos taurus OX=9913 GN=PLBD1 PE=1 SV=2
+MSRHSQDERLGLPQPPALLPLLLLLLAVAVPLSQAGVYYATAYWMPTEKTIQVKNVLDRK
+GDAYGFYNNSVKTTGWGILEIKAGYGSQSLSNEIIMFAAGFLEGYLTAPHMDDHFTNLYP
+QLIKKRSMLNKVQDFLTKQDQWTRENIKYYKSDPFWRHADYVMAQMDGLFAGATKRAVLE
+GKKPMTLFQIQFLNAIGDLLDLIPSLSPTKNSSLKFFKRWDMGHCSALIKVLPGFENIFF
+AHSSWYTYAAMLRIYKHWDFNIVDKDTSSSRLSFSSYPGFLESLDDFYLLSSGLVLLQTT
+NSVYNKTLLQHVVPQSLLAWQRVRVASMMANNGKQWAEVFSKYNSGTYNNQYMVLDLKKV
+NLNHSLDEGTLYIVEQIPTYVEYSEQTAVLRRGYWPSYNIPFHEKVYNWSGYPILVKKLG
+LDYSYDLASRAKIFRRDQGKVTDMESMKYIMRYNNYKQDPYSKGDPCNTVCCREDLNSHS
+PSPGGCYDTKVADIYLASKYKAYAISGPTVQGGLPVFHWSRFNKTLHEGMPEAYNFDFIT
+MKPIL
+>sp|A4FV97|RL1D1_BOVIN Ribosomal L1 domain-containing protein 1 OS=Bos taurus OX=9913 GN=RSL1D1 PE=2 SV=1
+MEASASNPPSTSPETSASTPETPPGPEQLDEEQVKKAVEALLAHSRSRKNANGLLLNENE
+NFFLMVVLWKIPSKELRVRLSLPHGIRSDLADVCLFTKDEPNLSSEQTERYYKKLLNNHG
+IKTISQIIPFRTLKKEYKAYEAKLRLLGSFDFFITDARIRRLLPSHLGRHFYNRKKVPVS
+VNLQSKTLSREINDCIGGTVLNISKSGSCSTIRIGHTGMPIQHIVENVVAVAKSLSQKLP
+EKWESVKLLFVKTERSVSLPVFSSFVSSQGEAKGLRTRDLLKKVSKKSRKKTERALKRQQ
+EKKEKKLLKQAAKAKPAPTTDAVAPKTGGVPTQDPAPQEETGGVSALPKAQDDSEDEIPL
+LVPLKETPAAGSTKIQKAAIGKKSPKKSPGPNTARAKKRKASPALETPIAAEPKTPGKGP
+GKKARVKEEVEKERNSSLGKKDPRQTPKKPEAKFFTTASSSVKKAPRTLTQRPKKPKVPQ
+ST
+>sp|Q3SZR0|AVPI1_BOVIN Arginine vasopressin-induced protein 1 OS=Bos taurus OX=9913 GN=AVPI1 PE=2 SV=1
+MGTPASVVSEPPPWRAPPEVRGRKQAAANIFQDAELLQIQGLFQRSGDQLAEERAQIVWE
+CAGDHRVAEALKRLRRKRPPRQKPLGPSVHHCSRLRTAEPCAPQSRATETASSEQHVNSR
+RASARIRRNWRKPGPTSYLHQIRH
+>sp|Q3SZT9|CYC2_BOVIN Cytochrome c 2 OS=Bos taurus OX=9913 GN=CYCT PE=3 SV=3
+MADAEAGKKIFIQKCAQCHTVEKGGKHKTGPNLWGLFGRKTGQAPGFSYTEANKNKGIIW
+GEQTLMEYLENPKKYIPGTKMIFAGLKKKSEREDLIEYLKQATSS
+>sp|Q2KI41|CYH2_BOVIN Cytohesin-2 OS=Bos taurus OX=9913 GN=CYTH2 PE=2 SV=1
+MEDGVYEPPDLTPEERMELENIRRRKQELLVEIQRLREELSEAMSEVEGLEANEGSKTLQ
+RNRKMAMGRKKFNMDPKKGIQFLVENELLQNTPEEIARFLYKGEGLNKTAIGDYLGEREE
+LNLAVLHAFVDLHEFTDLNLVQALRQFLWSFRLPGEAQKIDRMMEAFAQRYCLCNPGVFQ
+STDTCYVLSFAVIMLNTSLHNPNVRDKPGLERFVAMNRGINEGGDLPEELLRNLYDSIRN
+EPFKIPEDDGNDLTHTFFNPDREGWLLKLGAQAPSPPSLPGGRVKTWKRRWFILTDNCLY
+YFEYTTDKEPRGIIPLENLSIREVDDPRKPNCFELYIPNNKGQLIKACKTEADGRVVEGN
+HMVYRISAPTQEEKDEWIKSIQAAVSVDPFYEMLAARKKRISVKKKQEQP
+>sp|Q3ZBK9|GP171_BOVIN Probable G-protein coupled receptor 171 OS=Bos taurus OX=9913 GN=GPR171 PE=2 SV=1
+MTNSSTFCPVYRDLEPFTYFFYLVFLIGIIGSCFATWAFIQKNTNHRCVSIYLINLLTAD
+FLLTLALPVKITVDLGVAPWKLRIFHCQVTACLIYINMYLSIIFLAFVSIDRCLQLTYSC
+KIYRIQEPGFAKMISAVVWLMVLLIMVPNMIIPIKDIKEKPNVGCMEFKSEFGRNWHLLT
+NFISIAIFFNFSAIILISNCLVIRQLYRNKDNENYPNVKRALISILLVTTGYIICFVPYH
+IVRIPYTLSQTEVISDCSTRISLFKAKEATLLLAVSNLCFDPILYYHLSKAFRLKITETF
+ASHKESKAQKEKPRSENNA
+>sp|Q5BIM2|F214B_BOVIN Protein FAM214B OS=Bos taurus OX=9913 GN=FAM214B PE=2 SV=1
+MRHVQAETSPSSEPEAGPSQPAVRQGALQGGLLMGYSPAGGATSPGVYQVSIFSPPAGAS
+EPPRALKRPAPPTEGPRELKRGPGLGAREGLSPEEPPTVGLLGPEGLGLKLGVASQHFCH
+HGLCVVEQGGSSTSPWTSGARSSPLPPSNASCSTLHTRDWASPDPRGQGSLGSSLGPAPP
+GQLHTLDTDLHSLAQIGGKSLVSGVGNGGSPWPRESPGTANGCSPEHTPPGPGPPGPCPT
+KRRLLPAGEALDVSSEDEGPAPRRRRGTLGHPPAANSSDAKATPFWSHLLPGPKEPVLDP
+TDCNPMGRRLKGARRLKLSSLRSLRKGPGLLSPPSASPVPAPAVSRTLLGNFEESLLRGR
+FAPSGRIEGFTAEIGASGSYCPQHVTLPVTVTFFDVSEQNAPAPFLGVVDLSPLGRKGYS
+VPKVGTIQVTLFNPNQTVVKMFLVTFDFSDMPAAHMTFLRHRLFLVPVGEEGNAGPTRRL
+LCYLLHLRFRSSRSGRLSLHGDIRLLFSRRSLELDTGLPYELQAVTEAPHNPRYSPLP
+>sp|A9Q1J7|DPPA3_BOVIN Developmental pluripotency-associated protein 3 OS=Bos taurus OX=9913 GN=DPPA3 PE=2 SV=1
+MDSSEDNPTWTLESLKTSIDDASQAMQVATQLSEMLATNLSNLTLNPSIKLPYLPEYPSQ
+LTGQLPSEKTPHRRRGVRTVLSERRYRMQKLIESLRLRYAKGIPRSDSQRQLQQQEDTEI
+RSRVRRFQCTCSYCQFKRNPSDDNYENYYNTTYSNYAMESNES
+>sp|A3KN25|CXG3_BOVIN Gap junction gamma-3 protein OS=Bos taurus OX=9913 GN=GJC3 PE=2 SV=1
+MCGSFLRRVAAEESRHPTPVGRLLLPALLGLRLVLLAAGGTGVFGGGEEQSEFVCHTQQA
+GCKAVCYDAFHPLSPLRFWAFQVTLVAVPSALYMGFILYHVIWHWEASEKVKTEEETLSQ
+GEKGGEASRAGSSRLLWAYVAQLGVRLALEGAALGGQYHLYGFRMPSSFVCRLEPCLGST
+NCYLSRPSEKSIFLKTMFGVTGLCLLFTLLELVLLGLGRWWRIWRHKSPSSNYSPTSQSA
+KRCKAPTDNFPVVEIRERPGEAGERGSEVPLSARP
+>sp|Q58CS8|GNPTG_BOVIN N-acetylglucosamine-1-phosphotransferase subunit gamma OS=Bos taurus OX=9913 GN=GNPTG PE=1 SV=4
+MAARLAGLAVVLGFAARGPAPGGAAKMKVVEEPNTFGLNNPFLPQTSRLQPKRDPSPVSG
+PAHLSRLSGKCFSLVESTYKYELCPFHNVTQHEQTFRWNAYSGILGIWHEWEITNNTFRG
+MWMRDGDACQSRSRQSKVELTCGKSNRLAHVSEPSTCVYALTFETPLVCHPHSLLVYPTL
+PAALQQRWDQLEQDLVDELITAQGYEKSLRAIFEDAGYLKTSEPNEAAQQEGGTKGLRFE
+TLESCQEAHKALSQEIKRLQGVLTQHGVPYGKPTETPSSEHWGPQVPTAGMAEPLRGDPG
+LRGDTL
+>sp|Q3ZC12|EIF3G_BOVIN Eukaryotic translation initiation factor 3 subunit G OS=Bos taurus OX=9913 GN=EIF3G PE=2 SV=1
+MPTGDFDSKPSWADQVEEEGEDDKCVTSELLKGIPLATGDTSLEPELLPGAPLPPPKEVI
+NGNIKTVTEYRIDEDGKKFKIVRTFRIETRKASKAVARRKNWKKFGNSEFDPPGPNVATT
+TVSDDVSMTFITSKEDLNCQEEEDPMNKLKGQKIVSCRICKGDHWTTRCPYKDTLGPMQK
+ELAEQLGLSTGEKEKLPGELEPVQATQNKTGKYVPPSLRDGASRRGESMQPNRRADDNAT
+IRVTNLSEDTRETDLQELFRPFGSISRIYLAKDKTTGQSKGFAFISFHRREDAARAIAGV
+SGFGYDHLILNVEWAKPSTN
+>sp|A6H7H7|FBX3_BOVIN F-box only protein 3 OS=Bos taurus OX=9913 GN=FBXO3 PE=2 SV=1
+MAAMDTESAPLTLESLPTDPLLLILSFLDYRDLINCCYVSRRLSQLSSHDPLWRRHCKKY
+WLISEEEKTQKNQCWKSLFIDTYSDVGRYIDHYAAIKKAWDDLKKYLEPRCPRMVLSLKE
+GAREEDLDAVEAQIGCKLPDDYRCSYRIHNGQKLVVPGLLGSMALSNHYRSEDLLDVDTA
+AGGFQQRQGLKSCLPLTFCIHTGLSQYIAVEAAEGRNKNEVFYQCPDQMARNPAAIDMFI
+IGATFTDWFTSYVNSVVSGGFPIIRDQIFRYVHDPECVATTGDITVSVSTSFLPELSSVH
+PPHYFFTYRIRIEMSKDALPEKACQLDSRYWRITNAKGDVEEVQGPGVVGEFPIISPGRV
+YEYTSCTTFSTTSGYMEGYYTFHFLYFKDKIFNVAIPRFHMACPTFRVSIARLEMGPDEY
+EEMEEEEEEEEEEDDDDSADMDESDDDEEERQRRVFDVPIRRRRCSRLF
+>sp|O46606|DDHD1_BOVIN Phospholipase DDHD1 OS=Bos taurus OX=9913 GN=DDHD1 PE=1 SV=1
+MNYPGHGSPRSSERNGGRGGDGAAWELGSDTEPAFGGSVCRFDHLPVGEPGDDEVPLALL
+RGEPGLHLAPGAEDHNHHLALDPCLSDDNYDFSSAESGSSLRYYSEGESGGGGSSSSLHP
+PQQPLVPSNSGGGGAAGGGPGERKRTRPGGAAARHRYEVVTELGPEEVRWFYKEDKKTWK
+PFIGYDSLRIELAFRTLLQATGARARAQDPDGDHVCGPASPAGPASSSVEDEDEDRVCGF
+CPRIAGHGREMEELVNIERVCVRGGLYEVDVTQGECYPVYWNQSDKIPVMRGQWFIDGTW
+QPLEEEESNLIEQEHLSRFRGQQMQESFDIEVSKPIDGKDAIHSFKLSRNHVDWHSVDEV
+YLYSDATTSKIARTVTQKLGFSKASSSGTRLHRGYVEEATLEDKPSQTTHIVFVVHGIGQ
+KMDQGRIIKNTAMMREAARKIEERHFSNHATHVEFLPVEWRSKLTLDGDTVDSITPDKVR
+GLRDMLNSSAMDIMYYTSPLYRDELVKGLQQELNRLYSLFCSRNPNFEEKGGKVSIVSHS
+LGCVITYDIMTGWNPVRLYEQLLQKEEELPDERWMSYEERHLLDELYITKRRLREIEERL
+HGLKASSMTQTPALKFKVENFFCMGSPLAVFLALRGIRPGNTGSQDHILPREICNRLLNI
+FHPTDPVAYRLEPLILKHYSNISPVQIHWYNTSNPLPYEYMKPSFLHPAKDPTSISENEG
+ISTIPSPVTSPVLSRRHYGESITNIGKASILGAASIGKGLGGMLFSRFGRSSASQPSETS
+RDSIEDEKKPVASPPMTTVATQTLPHSSSGFLDSALELDHRIDFELREGLVESRYWSAVT
+SHTAYWSSLDVALFLLTFMYKHEHDNNVKPSLDPV
+>sp|Q5E983|EF1B_BOVIN Elongation factor 1-beta OS=Bos taurus OX=9913 GN=EEF1B PE=2 SV=3
+MGFGDLKSPAGLQVLNDYLADKSYIEGYVPSQADVAVFEAVSGPPPADLCHALRWYNHIK
+SYEKEKASLPGVKKALGKYGPANVEDTTESGATDSKDDDDIDLFGSDDEEESEEAKRLRE
+ERLAQYESKKAKKPALVAKSSILLDVKPWDDETDMAKLEECVRSIQADGLVWGSSKLVPV
+GYGIKKLQIQCVVEDDKVGTDMLEEQITAFDEYVQSMDVAAFNKI
+>sp|Q3ZBT2|FBX9_BOVIN F-box only protein 9 OS=Bos taurus OX=9913 GN=FBXO9 PE=2 SV=2
+MAEAEEDCHSEAVREGDDDDENESPAETDLQAQLQRFRAQWMFELAPGGGSGNLESRPCR
+AARGSLLRAADTRGKQELAKEEKARELFLKAVEEEQNGALYEAIKFYRRAMQLVPDIEFK
+ITYTRSPDGDGVGNSYIEDTDDDSKMADLLSYFQQQLTFQESVLKLCQPELESSQTHISA
+LPMEVLMYVFRWVVSSDLDLRSLEQLSQVCRGFYICARDPEIWRLACLKVWGRSCIKLVP
+YTSWREMFLERPRVRFDGVYISKTTYIRQGEQSLDGFYRAWHQVEYYRYVRFFPDGHVMM
+LTTPEEPQSIVPRLRTRNTRTDAILLGHYRLSQDTDNQTKVFAVITKKKEEKALDHKYRY
+FRRAPVQEADQNFHVGLQLCSSGHQSFNKLIWIHHSCHITYKSTGETAVTAFEIDKMYTP
+LLFARVRSYTAFSERPL
+>sp|A7MB16|EIF3B_BOVIN Eukaryotic translation initiation factor 3 subunit B OS=Bos taurus OX=9913 GN=EIF3B PE=2 SV=1
+MQDAENVAAPEAAEQRAEPGPEQAAAEPSPGAEVARPGVQEAAGGEDAEAGPGPEGPAEP
+AADGEGKADATPGATPPPPEESSAQLAGEAPAEQAQDAAAEAGSEGAGGDPDGAAEDGGA
+DEPSFSDPEDFVDDVSEEELLADVLKDRPQEADGIDSVIVVDNVPQVGPDRLEKLKNVIH
+KIFSKFGKITNDFYPEEDGRTKGYIFLEYASPAHALDAVKNADGYKLDKQHTFRVNLFTD
+FDKYMTISDEWDIPEKQPFKDLGNLRYWLEEAECRDQYSVIFESGDRTSIFWNDVKDPVS
+IEERARWTETYVRWSPKGTYLATFHQRGIALWGGEKFKQIQRFSHQGVQLIDFSPCERYL
+VTFSPLMDTQDDPQAIIIWDILTGQKKRGFHCESSAHWPIFKWSHDGKFFARMTLDTLSI
+YETPSMGLLDKKSLKISGIKDFSWSPGGNIIAFWVPEDKDIPARVTLMQLPTRQEIRVRN
+LFNVVDCKLHWQKNGDYLCVKVDRTPKGTQGVVTNFEIFRMREKQVPVDVVEMKETIIAF
+AWEPNGSKFAVLHGEAPRISVSFYHVKNNGKIELIKMFDKQQANTIFWSPQGQFVVLAGL
+RSMNGALAFVDTSDCTVMNIAEHYMASDVEWDPTGRYVVTSVSWWSHKVDNAYWLWTFQG
+RLLQKNSKDRFCQLLWRPRPPTLLSQDQIKQIKKDLKKYSKIFEQKDRLSQSKASKELVE
+RRRTMMEDFRKYRKMAQELYMEQKNARLELRGGVDTDELDSNVDDWEEETIEFFVTEEII
+PLGNQE
+>sp|Q9XT96|PSN2_BOVIN Presenilin-2 OS=Bos taurus OX=9913 GN=PSEN2 PE=2 SV=2
+MLTFMASDSEEEVCDERTSLMSAESPTPRSCQDGRQGLEDGESAAQWRSQESEEDHEEED
+PDRYVCSGVPGRPPGLEEELTLKYGAKHVIMLFVPVTLCMIVVVATIKSVRFYTEKNGQL
+IYTPFSEDTPSVGQRLLNSVLNTLIMISVIVTMTIFLVVLYKYRCYKFIHGWLIMSSLML
+LFLFTYIYLGEVLKTYNVAMDYPTLFLTVWNFGAVGMVCIHWKGPLVLQQAYLIMISALM
+ALVFIKYLPEWSAWVILGAISVYDLVAVLCPKGPLRMLVETAQERNEPIFPALIYSSAMV
+WTVGMAKLDPSSQGALQLPYDPEMEEDSYDSFGEPSYPDVFEPPLPGYPGEELEEEEERG
+VKLGLGDFIFYSVLVGKAAATGSGDWNTTLACFVAILIGLCLTLLLLAVFKKALPALPIS
+ITFGLIFYFSTDNLVRPFMDTLASHQLYI
+>sp|P47798|MSHR_BOVIN Melanocyte-stimulating hormone receptor OS=Bos taurus OX=9913 GN=MC1R PE=2 SV=2
+MPALGSQRRLLGSLNCTPPATLPFTLAPNRTGPQCLEVSIPDGLFLSLGLVSLVENVLVV
+AAIAKNRNLHSPMYYFICCLAVSDLLVSVSNVLETAVMLLLEAGVLATQAAVVQQLDNVI
+DVLICGSMVSSLCFLGAIAVDRYISIFYALRYHSVVTLPRAWRIIAAIWVASILTSLLFI
+TYYNHKVILLCLVGLFIAMLALMAVLYVHMLARACQHARGIARLQKRQRPIHQGFGLKGA
+ATLTILLGVFFLCWGPFFLHLSLIVLCPQHPTCGCIFKNFNLFLALIICNAIVDPLIYAF
+RSQELRKTLQEVLQCSW
+>sp|A1YQ93|ODAM_BOVIN Odontogenic ameloblast-associated protein OS=Bos taurus OX=9913 GN=ODAM PE=2 SV=1
+MRTLILLGILGATMSAPLIPQHLMSASNSNELLLNLNNAQLRPLQLQGPFNSWFPPFPGI
+LQQQQQNQVPGLSPFSLSTREWFAGLVPNQIFVPGQVSFAQGTQAGQLDPSQPQTPQQTQ
+RGPKNVMPSVFFKMPQEQAQMLQYYPVYMFLPWEQPQQTVAQSPPQTREQLFEKQMPFYT
+EFGYIPQQVEPVMPVEQQQPVFDPFLGTAPEIAAMPAEVSPYLQKEMINFQHTNAGIFIP
+STSQKPSTTIFFTSAVDPIITRELTEKKAKTDSLKEP
+>sp|Q3ZC67|PAIP2_BOVIN Polyadenylate-binding protein-interacting protein 2 OS=Bos taurus OX=9913 GN=PAIP2 PE=2 SV=1
+MKDPSRSSTSPSIINEDVIINGHSHEDDNPFAEYMWMENEEEFNRQIEEELWEEEFIERC
+FQEMLEEEEEHEWFIPARDLPQTMDQIQDQFNDLVISDGSSLEDLVVKSNLNPNAKEFVP
+GVKY
+>sp|Q32L99|PTGR2_BOVIN Prostaglandin reductase 2 OS=Bos taurus OX=9913 GN=PTGR2 PE=2 SV=1
+MIVQRVVLNSRPGKNGHPVAENFRVEEVNLPDCVNEGQVQVRTLYLSVDPYMRCRMNEDT
+GSDYITPWQLSQVVDGGGVGIIEESKHTNFMKGDFVTSFYWPWQTKVILDGNILEKVDPQ
+LVDGHLSYFLGAIGMPGLTSLIGVQEKGHITAGSNQTMVVSGAAGACGSLAGQIGRLLGC
+SRVVGICGTPEKCLFLTSELGFDAAINYKEGNVAEQLHKLCPAGVDVYFDNVGGDISDTV
+ISQMNQNSHIILCGQISQYNKDVPYPPPLPPAIEAIQKERNITRERFLVLNYKDKFEFGI
+LQLSQWFKEGKLKIKETMINGLENMGAAFQSMMTGGNIGKQIVCISGDTSL
+>sp|A7MBD1|MPIP1_BOVIN M-phase inducer phosphatase 1 OS=Bos taurus OX=9913 GN=CDC25A PE=2 SV=1
+MELGPEPPHRRRLLFACSPPPAPQPVVKALFGTPAAGGLSPVTNLTVTMDQLQGLGSEYE
+QPIEVKNSSLQRMGSSESTDSGFCLDSPGPLDSKENLENPMRRINSLPQKLLGCSPALKR
+SHSDSLDHDVFQLIDQDENKENQVFEFKKPIRPASRGCLHVHGLEEGKDVFTQRQNSAPA
+RMLSSNERDGNEPGNSIPFMPQSPVTPTLSDEDDGFMDLLDGENLKNDEETPSCMASLWT
+APLVMRRTNNLGNRCKLFDSPSASCSSTIRSMLKRPDRSLEESPGGSRKRRKSVAGASPE
+EAASPEKPQEILHHQSLSLASSPKGTIENILDNDPRDLIGDFSKGYLFHTVAGKHQDLKY
+ISPEIITSVLNGKFANLIKEFVIIDCRYPYEYEGGHIKGAVNLHMEEEVEEFLLKKPIVP
+TDGKRVIVVFHCEFSSERGPRMCRYVRERDRLGNEYPKLHYPELYVLKGGYKEFFLKCQS
+HCEPPSYRPMHHEDFKEDLKKFRTKSRTWAGEKSKREMYSRLKKL
+>sp|A4IFM7|MYLK2_BOVIN Myosin light chain kinase 2, skeletal/cardiac muscle OS=Bos taurus OX=9913 GN=MYLK2 PE=2 SV=1
+MATENGAVELEIPSSSTDTAPKAAAGEGPPAAEKDPGPPDPQKDPGPPDPEKDAGPPNPE
+KELESPDPKKEPDPDSTKDTEAPAPEKGDGASAQPSASSQGPEGEGGLQGEPAEGSAGQP
+AALPQETATAEASVKKPEAEQGTPGSQDPGEAKEQKKVAEGQAPSKKGSPAFLHSPSCPA
+AISSLEKPLAEKPLDETLELIFEGVPVTPGPTETEPAKVAEGEKNLPGGSQKEGEEKAAG
+HAGQDGVQGDTSRGIEFQAVPSERSEVGQALSPTAKEEDCFQILDDCPPPPAPFPHRIVE
+LRPGNINSQFSLNSKEALGGGKFGAVCTCTEKATGLKLAAKVIKKQTPKDKEMVLLEIEV
+MNQLNHRNLIQLYAAIETPHEIVLFMEYIEGGELFERIVDEDYQLTEVDTMVFVRQICDG
+ILFMHKMRVLHLDLKPENILCVNTTGHLVKIIDFGLARRYNPNEKLKVNFGTPEFLSPEV
+VNYDQISDKTDMWSLGVITYMLLSGLSPFLGDDDTETLNNVLSSNWYFDEETFEAVSDEA
+KDFVSNLIVKDQRARMSAAQCLAHPWLNNLAEKAKRCNRRLKSQILLKKYLMKRRWKKNF
+IAVSAANRFKKISSSGALMALGV
+>sp|Q3SZA0|CSN4_BOVIN COP9 signalosome complex subunit 4 OS=Bos taurus OX=9913 GN=COPS4 PE=2 SV=1
+MAAAVRQDLAQLMNSSGSHKDLAGKYRQILEKAIQLSGAEQLEALKAFVESMVNENVSLV
+ISRQLLTDFCTHLPNLPDSTAKEIYHFTLEKIQPRVISFEEQVASIRQHLASIYEKEEDW
+RNAAQVLVGIPLETGQKQYNVDYKLETYLKIARLYLEDDDPVQAEAYINRASLLQNESTN
+EQLQIHYKVCYARVLDYRRKFIEAAQRYNELSYKTIVHESERLEALKHALHCTILASAGQ
+QRSRMLATLFKDERCQQLAAYGILEKMYLDRIIRGNQLQEFAAMLMPHQKATTADGSSIL
+DRAVIEHNLLSASKLYNNITFEELGALLEIPAAKAEKIASQMITEGRMNGFIDQIDGIVH
+FETREALPTWDKQIQSLCFQVNNLLEKISQTAPEWTAQAMEAQMAQ
+>sp|A2VDM8|BAP1_BOVIN Ubiquitin carboxyl-terminal hydrolase BAP1 OS=Bos taurus OX=9913 GN=BAP1 PE=2 SV=1
+MNKGWLELESDPGLFTLLVEDFGVKGVQVEEIYDLQSKCQGPVYGFIFLFKWIEERRSRR
+KVSTLVDDTSVIDDDIVNNMFFAHQLIPNSCATHALLSVLLNCSNVDLGPTLSRMKDFTK
+GFSPESKGYAIGNAPELAKAHNSHARPEPRHLPEKQNGLSAVRTMEAFHFVSYVPITGRL
+FELDGLKVYPIDHGPWGEDEEWTDKARRVIMERIGLATAGIKYEARLHVLKVNRQTVLEA
+LQQLIRVTQPELIQTHKSQESQLPEESKPASSKSPLALETSRAPVASESTHTDGVEEVAG
+SCPQAPTHSPPSKPKLVVKPPGSNINGVPPNPTPIVQRLPAFLDNHNYAKSPMQEEEDLA
+AGVGRSRVPVRPPQQYSDDEDDYEDEEEDDAQSTSSAIRYKRKGPGKPGPLSSSGDGQLS
+VLQPNTINVLAEKLKESQKDLSIPLSIKTSSGAGSPAVAVPTHSQPSPTPSNESTDTASE
+IGSAFNSPLRSPIRSANPTRPSSPVTSHISKVLFGEDDSLLRVDCIRYNRAVRDLGPVIS
+TGLLHLAEDGVLSPLALTESGKGSSPSIRPSQGSQGSGSPEEKEVVEAVDSREKPGLVRP
+SESLNGEKYSPKELLALLKCVEAEIANYEACLKEEVEKRKKFKIDDQRRTHNYDEFICTF
+ISMLAQEGMLANLVEQNISVRRRQGVSIGRLHKQRKPDRRKRSRPYKAKRQ
+>sp|Q17QL5|CC50A_BOVIN Cell cycle control protein 50A OS=Bos taurus OX=9913 GN=TMEM30A PE=1 SV=1
+MAMNYNAKDEVDGGPPCPPGGTAKTRRPDNTAFKQQRLPAWQPILTAGTVLPTFFIIGLI
+FIPIGIGIFVTSNNIREIEIDYTGTDPSSPCNKCLSPNVTPCVCTINFTLEQSFEGNVFM
+YYGLSNFYQNHRRYVKSRDDGQLNGDPSALLNPSKECEPYRRNEDKPIAPCGAIANSMFN
+DTLELFQVGNASDLTPIPLKKKGIAWWTDKNVKFRNPPGTDPLEERFKGTTKPVNWVKPV
+YMLDSDEDNNGFINEDFIVWMRTAALPTFRKLYRLIERKNDLHPTLPAGRYYLNITYNYP
+VHSFDGRKRMILSTISWMGGKNPFLGIAYITIGSISFLLGVVLLVINHKYRNSSNTADIT
+I
+>sp|A4IFR8|CB068_BOVIN UPF0561 protein C2orf68 homolog OS=Bos taurus OX=9913 PE=2 SV=2
+MEAAPSPGSGLCCKPGGRLDMSHGFVHHIRRNQLARDDYDKKVKQAAKEKARRRHTPAPT
+RPRKPDLQVYLPRHRDGSTHPSNPDCEESGESSSSGSSEPEPPGHQLFCLEYEADSGDIT
+SVIVYQDDDPGRVSEEVSAHTPLEPLMREALKLRIQEEIAKRQSRH
+>sp|Q9MZL7|CACB1_BOVIN Voltage-dependent L-type calcium channel subunit beta-1 OS=Bos taurus OX=9913 GN=CACNB1 PE=1 SV=1
+MVQKTSMSRGPYPSSQEIPMEVFDPSPQGKYSKRKGRFKRSDGSTSSDTTSNSFVRQGSA
+ESYTSRPSDSDVSLEEDREALRKEAERQALAQLEKAKTKPVAFAVRTNVGYNPSPGDEVP
+VQGVAITFEPKDFLHIKEKYNNDWWIGRLVKEGCEVGFIPSPVKLDSLRLLQEQKLRQNR
+LSSSKSGDNSSSSLGDVVTGTRRPTPPASAKQKQKSTEHVPPYDVVPSMRPIILVGPSLK
+GYEVTDMMQKALFDFLKHRFDGRISITRVTADISLAKRSVLNNPSKHIIIERSNTRSSLA
+EVQSEIERIFELARTLQLVALDADTINHPAQLSKTSLAPIIVYIKITSPKVLQRLIKSRG
+KSQSKHLNVQIAASEKLAQCPPEMFDIILDENQLEDACEHLAEYLEAYWKATHPPSSTPP
+NPLLNRTMATAALAASPAPVSNLQGPYLASGDQSLERATGEHASVHEYPGELGQPPGLYP
+SSHPPGRAGTLRALSRQDTFDADTPGNRNSAYTELGDSCVDMETDPSEGPGLGDPAGGST
+PPARQGSWEDEEEDYEEELTDNRNRGRNKARYCAEGGGPVLGRNKNELEGWGRGVYIR
+>sp|Q0III9|ACTN3_BOVIN Alpha-actinin-3 OS=Bos taurus OX=9913 GN=ACTN3 PE=2 SV=1
+MMMVLQPEGLGTGEGPFAGGRGGGEYMEQEEDWDRDLLLDPAWEKQQRKTFTAWCNSHLR
+KAGTQIENIEEDFRNGLKLMLLLEVISGERLPRPDKGKMRFHKIANVNKALDFIASKGVK
+LVSIGAEEIVDGNLKMTLGMIWTIILRFAIQDISVEETSAKEGLLLWCQRKTAPYRNVNV
+QNFHTSWKDGLALCALIHRHRPDLIDYAKLRKDDPIGNLNTAFEVAEKYLDIPKMLDAED
+IVNTPKPDEKAIMTYVSCFYHAFAGAEQAETAANRICKVLAVNQENEKLMEEYEKLASEL
+LEWIRRTVPWLENRVGEPSMSAMQRKLEDFRDYRRLHKPPRVQEKCQLEINFNTLQTKLR
+LSHRPAFMPSEGKLVSDIANAWRGLEQAEKGYEDWLLSEIRRLQRLQHLAEKFQQKASLH
+EAWTRGKEDMLSQRDYETASLQEVRALLRRHEAFESDLAAHQDRVEHIAALAQELNELDY
+HEAASVNSRCQAICDQWDNLGTLTQKRRDALERMEKLLETIDQLQLEFARRAAPFNNWLD
+GAVEDLQDVWLVHSVEETQSLVTAHDQFKATLPEADRERGAILGIQGEIQKICQTYGLRP
+SSTNPYITLTPQDINTKWDTVRKLVPSRDQMLQEELTRQQVNERLRRQFAAQANAIGPWI
+QGKVEEVGRLAAGMAGSLEEQMAGLRQQEQNIINYKSNIDRLEGDHQLLQESLVFDNKHT
+VYSMEHIRVGWEQLLTSIARTINEVENQVLTRDAKGLSQEQLNEFRASFNHFDRKRNGMM
+EPDDFRACLISMGYDLGEVEFARIMTMVDPNAAGVVTFQAFIDFMTRETAETDTAEQVVA
+SFKILAGDKNYITAEELRRELPAEQAEYCIRRMAPYKGAGAPAGALDYVAFSSALYGESD
+L
+>sp|A6QP75|AL2CL_BOVIN ALS2 C-terminal-like protein OS=Bos taurus OX=9913 GN=ALS2CL PE=2 SV=1
+MCSPEEAALLRLEEVFSATLARINSLVFQPLLEAGPEASDPWGRECLQLLQQLHSSSQQL
+WDVMEESLHSLRERLRRPEAVGLESLLLLQSADRVLQVHLEYIESYTCCVAVQAFQKAVK
+RRSEYWRGQRKALRQVLSGLSSEGSVGTALLQALRQPLAHHVQQYVLLLLSLGDTVGECH
+PTRELVIHAASLFGDLQSFMRQELDQATATQALWPTLSSRLRDVLCTPARRLLQDSQDVP
+VTVTPLRAERVLLFDDALVLLQGHNIHTFDLKLVWVEPGQDRCTFHLLTPEEGFSFCSKD
+PQGLVVWQWKVTQAVCQALRGKKDFPVLGAGLEPSEPPTCRCGAYTFHAEGRFCQATYEG
+EWYWGRPHGKGTLKWPDGRNHVGDFCQGLEHGFGIRLVPQASEDKFDCYKCHWWEGSMCG
+YGICEYSTSEVYKGYFQEGLRHGFGVLESAPQAPRPLRYTGHWERGQRSGYGVEEDSDRG
+ERYIGMWQADQRHGPGVMVTQAGVCYQGTFQADKMVGPGILLSDDDSLYEGTFTRDLTLV
+GKGKVTFPNGFTLEGSFGSVSGRGLHTQGVLDTAALPPDPSSTCKRQLGQGVFPVESRWQ
+GVYGAFRDFVRAGCPGDLREALLGFHVQSSRELRKSQEYLCCERTCPEDQAGRMEDLLEE
+LLQHREPEALQQCLRKALSNSLHPLGKLLRTLMLTFQATYAGIGANKHLQGLAQEEVKQH
+AQELWAAYRGLLQVALQRKGQAPEEDEDAETRDLRVHSLVLPLVLPSFYSELFTLYLLLH
+EREDSLYSQGITHLSLFPDARLLEFLDVQKHLWPLKDLTLTTNQRYSLVRDKCFLSATEC
+LQKMITTVDPREKLEVLERTYGEIEATVSRVLGREHKLPMDDLLPLLIYVVSRAQIQHLG
+AEIHLIRDMMDPLHTGGLYDFLLTALESCYEHIQKEDMRLHRLPSRWSSREPW
+>sp|P48734|CDK1_BOVIN Cyclin-dependent kinase 1 OS=Bos taurus OX=9913 GN=CDK1 PE=2 SV=2
+MEDYTKIEKIGEGTYGVVYKGRHKTTGQVVAMKKIRLESEEEGVPSTAIREISLLKELRH
+PNIVSLQDVLMQDSRLYLIFEFLSMDLKKYLDSIPPGQFMDSSLVKSYLYQILQGIVFCH
+SRRVLHRDLKPQNLLIDDKGTIKLADFGLARAFGIPIRVYTHEVVTLWYRSPEVLLGSAR
+YSTPVDIWSIGTIFAELATKKPLFHGDSEIDQLFRIFRALGTPNNEVWPEVESLQDYKST
+FPKWKPGSLASHVKNLDENGLDLLSKMLIYDPAKRISGKMALNHPYFNDLDSQIKKM
+>sp|Q3SWX2|ACOT9_BOVIN Acyl-coenzyme A thioesterase 9, mitochondrial OS=Bos taurus OX=9913 GN=ACOT9 PE=2 SV=1
+MRRAALRLCTLSKGLLAPSRGLTQESQNPENVFHIREVRDKLREIVGASTNWRDHVKAME
+ERKLLHSFLAKSQKGLPPRTMKDSYIEVFLPLGSQPELREKYLTVQNTVRFGRILEDLDS
+LGVLICYMHNKIHSAKMSPLSIVTALVDKIDMCKKNLSPEQDIKFSGHVSWVGKTSMEVK
+MHMFQLHGNDFSPVLDATFVMVARDSENKGPAFVNPLILESPEEEELFQQGELNKGRRVA
+FSSTSLLKMAPTAEERTTIHEMFLNTLDPKTISFRSRVLPANSVWMENSKLKSLDICHPQ
+ERNIFNRIFGGFLMRKAYELGWATACNFGGSRPFIVAVDDIMFQKPVEVGSLLFLSAQVC
+FTQGNYIQVRVHSEVASLQDKEHMTTNVFHFTFMSEKEVPLVFPRTYGESMLYLDGQRHF
+KSMSAPVTLKRNYVVEP
+>sp|Q29S19|BN3D2_BOVIN RNA 5'-monophosphate methyltransferase OS=Bos taurus OX=9913 GN=BCDIN3D PE=2 SV=1
+MAASTEQATGGVEKTAAEEKPRVLEPGAAPFGNFPHYSRFHPPEQRLRLLPPELLRRLFP
+QSPETRPILGLDVGCNSGDLSVALYKHFLSLHDGETCLDASRELHLLCCDIDPVLVERAE
+KECPFPDGLTFITLDFMNQRTRKVLLSSFLSQFGRSVFDIGFCMSVTMWIHLNHGDQGLW
+EFLAHLSSLCRYLLVEPQPWKCYRAAARRLRKLGLHDFDHFRSLAIRGDMASQIVQILTQ
+DHGMELVCCFGNTKWDRSLLLFRTKQATETHPIPESLIEEGKERNRIRFWRE
+>sp|Q2KJ69|CL029_BOVIN Uncharacterized protein C12orf29 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MRRLGSVQRKMPCVFVTEVKEEPSTKREHQPFKVLATETLSHKALDADIHNAIPTEKVDG
+TCCYVTNYKGQPYLWARLDRKPNKLAEKRFKNFLHLKQNSKEFFWNVEEDFKPVPECWIP
+AKEIEQINGNPIPDENGHIPGWVPVEKNSKQHCWHSSVVDYESEIALVLRHHPDDPGLLE
+ISAVPLSDLLEQTLELIGTNINGNPYGLGSKKHPLHLLIPHGAFQIRNLPTLKHSDLLSW
+FDGCREGKIEGIVWHCNDGCLIKVHRHHLGLCWPIPDTYMNSKPVIINMNLNKYEYAFDA
+KCLFNHFSKIDNQKFGRLKDIILTV
+>sp|Q0IIE0|CPLX3_BOVIN Complexin-3 OS=Bos taurus OX=9913 GN=CPLX3 PE=2 SV=1
+MAFMVKTMVGGQLKNLTGSLGGGEDKGDGDKSAAEAQGMSREEYEEYQKQLVEEKMERDA
+QFTQRKAERATLRSHFRDKYRLPKNETDESQIQMAGGDVELPRELAKMIEEDTEEEEERA
+SVLGQLASLPGLDLGSLKDKAHSTLGDLKQSAEKCHIM
+>sp|P19035|APOC3_BOVIN Apolipoprotein C-III OS=Bos taurus OX=9913 GN=APOC3 PE=1 SV=2
+MQPRLLLLAAFLALLVLPEATKAEEGSLLDKMQGYVKEATKTAKDALSSVQESQVAQQAR
+DWMTESFSSLKDYWSSFKGKFTDFWESATSPTQSPP
+>sp|F1MQW7|CK097_BOVIN Uncharacterized protein C11orf97 homolog OS=Bos taurus OX=9913 PE=2 SV=2
+MREEEAAAVVTVPQAGRDGEQPGPPAGLGCAAVRGEPGGGGPQESRKQWKKFLYCEPHKR
+IKEVLEEELYIKRDECHIKHPPAVALEGIWSIKRNLPVGGLKPGLQSRNSLLPQAKYYSR
+HGGLRR
+>sp|P46164|DEFB6_BOVIN Beta-defensin 6 OS=Bos taurus OX=9913 GN=DEFB6 PE=1 SV=2
+QGVRNHVTCRIYGGFCVPIRCPGRTRQIGTCFGRPVKCCRRW
+>sp|Q2HJ66|CXG1_BOVIN Gap junction gamma-1 protein OS=Bos taurus OX=9913 GN=GJC1 PE=2 SV=1
+MSWSFLTRLLEEIHNHSTFVGKIWLTVLIVFRIVLTAVGGESIYYDEQSKFVCNTEQPGC
+ENVCYDAFAPLSHVRFWVFQIILVATPSVMYLGYAIHKIAKMEHGDADKKAARSKPYAMR
+WKQHRALEETEEDHEEDPMMYPEMELESEKENKDQNQSKPKHDGRRRIREDGLMKIYVLQ
+LLARTVFEVGFLVGQYFLYGFQVHPFYVCSRLPCPHKIDCFISRPTEKTIFLLIMYGVTG
+LCLLLNIWEMLHLGFGTIRDSLNSKRRELEDPGAYNYPFTWNTPSAPPGYNIAVKPDQIQ
+YTELSNAKIAYKQNKANIAQEQQYGSHEDNLPPDLETLQREIRMAQERLDLAIQAYNHQN
+NPHGSREKKAKVGSKAGSNKSSASSKSGDGKTSVWI
+>sp|Q2M2S2|EMRE_BOVIN Essential MCU regulator, mitochondrial OS=Bos taurus OX=9913 GN=SMDT1 PE=3 SV=1
+MASGAARWLALVRVGSGASRSWLSLRKGGDVSAGRSCSGQSLVPTRSVIVTRSGAILPKP
+VKMSFGLLRVFSIVIPFLYVGTLISKNFAALLEEHDIFVPEDDDDDD
+>sp|A1A4P4|G45IP_BOVIN Growth arrest and DNA damage-inducible proteins-interacting protein 1 OS=Bos taurus OX=9913 GN=GADD45GIP1 PE=2 SV=1
+MAAPVRQTRSLLGWVTTLGPGSRGYRAPPPPRRSREPWWPDPDDPLTPRWQLGPRYAAKQ
+FARHGAASGVDPGSLWPSREQLLELEAEEREWYPSLAVMQESLRVQQLAEEQKRQAREQL
+IEECMAKMPQMIENWRRQQQARREKAQADKERRARLQAEAQERLGYHVDPRSARFQELLQ
+DLEKQHRKRLKEEKQRKKKEARAAAMAAAAAQDPADSETPDS
+>sp|A6H7H1|F120A_BOVIN Constitutive coactivator of PPAR-gamma-like protein 1 OS=Bos taurus OX=9913 GN=FAM120A PE=2 SV=1
+MGVQGFQDYIEKHCPSAVVPVELQKLARGSLVGGGRQRPPHTPLRLLVDADNCLHRLYGG
+FYTDWVSGGQWNHMLGYLAALAKACFGGNIELFVFFNGALEKARLHEWVKRQGNERQTAQ
+QIVSHVQNKGTPPPKVWFLPPVCMAHCIRLALIRFHVKVAQSIEDHHQEVIGFCRENGFH
+GLVAYDSDYALCNIPYYFSAHALKLSRNGKSLTTSQYLMHEVAKQLDLNPNRFPIFAALL
+GNHILPDEDLASFHWSLLGPEHPLASLKVRAHQLVLPPCDVVIKAVADYVRNIQDTSDLD
+AIAKDVFQHSQSRTDDKVIRFKRAIGYYSATSKPMAFHPPHYLARPNPFGMPGIVPPYVP
+PQMLNIPQTSLQAKPVAPQVPSPGAPGQGPHPYNLAEPALTLETSGKNLTEQNYSNIPHE
+GKHTPLYERSSPINPAPSGSPNHVDSAYFPGSSTSSSSDNDEGSGGAANHISGNKIGWEK
+TGSHSEPQARGDPGDQTKAEGSSTASSGSQLAEGKGNQIGTVQPIPCLLSMPTRNHMDIT
+TPPLPPVAPEVLRVAEHRHKKGLMYPYIFHVLTKGEIKIAVSIEDEASKDLPPAALLYRP
+VRQYVYGVLFSLAESRKKTERLAFRKNRLPPEFSPVIIKEWAAYKGKSPQTPELVEALAF
+REWTCPNLKRLWLGKAVEDKNRRMRAFLACMRSDTPAMLNPASVPTHLTVLCCVLRYMVQ
+WPGARILRRQELDAFLAQALSPKLYEPDQLQELKIENLDPRGIQLSALFMSGVDMALFAN
+DACGQPVPWEHCCPWMYFDGKLFQSKLLKASREKTPLIDLCDGQAEQAAKVEKMRQSILE
+GLNFSRQSHPLPFPPPAALPFYPTSVYPRHFGPVPPAQGRGRGFAGVCGFGSPYGETVAT
+GAYRAFRVATATGHCGAFSGSDSSRTSKSQGGIQPIPSQGGKLEIAGTVVGHWAGSRRGR
+GGRGPFPLQVVSVGGPARGRPRGVISTPVIRTFGRGGRYYGRGYKNQGAIQGKPPYAASA
+EEVAKELKSRSGESKSSAMSSDGSLAENGVVAEEKPAPQMNGSAGDTRAPSHSESALNND
+SKTCNTNPHLNALSTDSGCRRADALEAAVLKKEE
+>sp|Q01458|DIAC_BOVIN Di-N-acetylchitobiase (Fragment) OS=Bos taurus OX=9913 GN=CTBS PE=3 SV=1
+MARLQLAGSRRLVPLPRRAPRLAPLLLPLLLALPDGARADCPCKVPALCRPMTHRPDFEV
+FVFNVGHKTWKYYDWSQITTVVLFLKYDPELMCHAHAKGARVVLKGDVPVKDIINATFRA
+SWIAQQVKLAKTQYMDGINLDIEQDVAHSSPEYYALTALVKETTDSFHHEIKGSQ
+>sp|Q56JZ9|GMFG_BOVIN Glia maturation factor gamma OS=Bos taurus OX=9913 GN=GMFG PE=2 SV=1
+MSDSLVVCEVDPELKEKLRKFRFRKETDNAAIVMKVDKDRQMVVLEEEFQNISPEELKME
+LPERQPRFVVYSYKYVHADGRVSYPLCFIFSSPVGCKPEQQMMYAGSKNRLVQTAELTKV
+FEIRTTDDLTEAWLKEKLSFFR
+>sp|Q3SYT1|DPH1_BOVIN 2-(3-amino-3-carboxypropyl)histidine synthase subunit 1 OS=Bos taurus OX=9913 GN=DPH1 PE=2 SV=1
+MAALVAAEAAESCSRNGPGRGRAPRGRLANQIPAEILNNPQLQAAIQVLPSNYNFEVPKT
+IWRIQQAQAKKVALQMPEGLLLFACTIVDILERFTEAEVMVMGDVTYGACCVDDFTARAL
+GADFLVHYGHSCLVPMDTSAQDFRVLYVFVDIRIDTAHLLDSIRLTFPPASALALVSTIQ
+FVSTLQAAAQELKAEYRVSVPQCKPLSPGEILGCTSPCLPKEVEAVVYLGDGRFHLESVM
+IANPNISAYRYDPYSKVLSREHYDHQRMQANRQEAIATARSAKSWGLILGTLGRQGSPKI
+LEHLESRLQALGLPFVRLLLSEIFPSKLSLLPEVDVWVQVACPRLSIDWGTAFPKPLLTP
+YEAAVALRDISWQQPYPMDFYASSSLGPWTVNHGRDRLLQVPGRLALGKVQGGPARPSPA
+AACEACSCRDEEVSPIAL
+>sp|Q3SYW0|FBX15_BOVIN F-box only protein 15 OS=Bos taurus OX=9913 GN=FBXO15 PE=2 SV=1
+MLPAGPAALSRRARQDKVCRRPSTGCSASLDSLPSEVLLKILSYLDAAALLCAGCVNRRF
+YHLANDNFIWIRIYSTAFSPRRSHWRVDPAEKTDLSVNLLSAGDKEAGYWKKEYLTKQIA
+SVKAALARVLKPLHPHTGLPVKTKEALRVSGLGWVIILRAADGREYTMEHADLSVNDSSV
+TVVWYGKDWPPLATLSTLDLCGATPVFMGQSRTPSRIRPRWHSLITKYQLSQLTESSAVG
+GDRLMRVFCLPPGLVVGLWKREEELAFVMANLHLHCLVERSMLGSPAVPYELPPHTPVSD
+DSPERGLHGYRLHVDMHSGGASCLCGSFHNLSASKGYLENEYMKLTVISFKNNTEHLPLI
+GKVGLSWKTNSFDGCIKSCSIMDLTLLEEYGKPFWCFSSPVCVRCCPGPSDGPSFLGEAY
+CVDYSDSEGRLHMELVWVEETEEYFIVSLALYLRLAKVNQWFGTQY
+>sp|P68210|ENSA_BOVIN Alpha-endosulfine OS=Bos taurus OX=9913 GN=ENSA PE=2 SV=1
+MSQKQEEENPAEETGEEKQDTQEKEGILPEKAEEAKLKAKYPSLGQKPGGSDFLMKRLQK
+GQKYFDSGDYNMAKAKMKNKQLPSAGPDKNLVTGDHIPTPQDLPQRKSSLVTSKLAGGQV
+E
+>sp|Q29RY7|FGL2_BOVIN Fibroleukin OS=Bos taurus OX=9913 GN=FGL2 PE=2 SV=1
+MKLANWCWLSSTVLATYGFLVVANNETEEIKDEAAQNACRVRLESRGRCEEEGECPYQVN
+LPPLTIQLPKQFSRIEEVFKEVQNLKEIVNSLKKTCQDCKLQADDSRDPGRNGLLLPGTG
+APGETGDNRVRELEGEVNKLSSDLKNAKEEIDVLQGRLEKLNLVNMNNIEQYVDSKVANL
+TFVVNSLDGKCSSKCPRQEQIQSLPVQQHLIYKDCSEYYTIGKRSSELYRVTPEPRNSSF
+EVFCDMETMAGGWTVLQARVDGSTNFTRTWQDYKVGFGNLRREFWLGNDKIHLLTKSKDM
+ILRIDLEDFNGIKLYALYDHFYVANEFLKYRLHIGNYNGTAGDALRFSKHYNHDLKFFTT
+PDRDNDRYPSGNCGLYYSSGWWFDACLSANLNGKYYHQKYRGVRNGIFWGTWPGISEAQP
+GGYKSSFKEVKMMIRPKHFKP
+>sp|P21450|EDNRA_BOVIN Endothelin-1 receptor OS=Bos taurus OX=9913 GN=EDNRA PE=2 SV=2
+METFWLRLSFWVALVGGVISDNPESYSTNLSIHVDSVATFHGTELSFVVTTHQPTNLALP
+SNGSMHNYCPQQTKITSAFKYINTVISCTIFIVGMVGNATLLRIIYQNKCMRNGPNALIA
+SLALGDLIYVVIDLPINVFKLLAGRWPFEQNDFGVFLCKLFPFLQKSSVGITVLNLCALS
+VDRYRAVASWSRVQGIGIPLVTAIEIVSIWILSFILAIPEAIGFVMVPFEYKGAQHRTCM
+LNATSKFMEFYQDVKDWWLFGFYFCMPLVCTAIFYTLMTCEMLNRRNGSLRIALSEHLKQ
+RREVAKTVFCLVVIFALCWFPLHLSRILKKTVYDEMDTNRCELLSFLLLMDYIGINLATM
+NSCINPIALYFVSKKFKNCFQSCLCCCCYQSKSLMTSVPMNGTSIQWKNHEQNNHNTERS
+SHKDSIN
+>sp|A6QNT4|F120B_BOVIN Constitutive coactivator of peroxisome proliferator-activated receptor gamma OS=Bos taurus OX=9913 GN=FAM120B PE=2 SV=1
+MGVRGLHGFVASSCPHVCTVVNFKELAERHRSQHPGGTPTIVVDAMCCLRYWYTPESWVC
+GGQWREYYSSLREFVRTFTAVGIKLIFFFDGMVEQSKRDEWVKRRLKNNREIAKIFHYIK
+SRREQPGRNMFFIPSGLAIFTRFALKALGQETLCSLQEADYEVASYGFQNNCLGILGEDT
+DYLIYDTCPYFSISELSLDSLDTVMLCREKLCQSLGLHLADLPLLACLLGNDVIPEGMFE
+SFRYKCLTSYASVRESCDRKGNVILAVAEHISKVLRLHQGEKKLEEMLPLGPNKALFYKG
+VASYLLPGQKSPWFIQKPEDVVTLDKQVLSMSSDPESKQEFPVCMDSESKQKLPVGTDPE
+FNLEAPMCTNTEVKQEDPVNVGPEAKHQVTVVLDPEILKVARAQHVQAESYLVYSVMSSG
+EVECSNSLEDATDQALPSQAFVYRPVRQRVYSLLLGGGGGGSSTGPAVKEWFVYSGNPLR
+QPDLVRPLQMNIPGGTPSLRQLWLSQEPGIQAQRLDTLLACFDLSSSREELQAVERPFQA
+LCCLLVYLFVQVDTLCLEDLHAFIAQALCLQGKPTMELADLQLDHIDPRAVQLATLLVRG
+LTTLVLVNGACGSPWEMADFMPWHLFDGKLFHQKYLQSEKGYTAEVLVEQNRSHVTRFHT
+LKSVVCKACGKESRPIVSRRHWRPHHAGSRQYEPDQWRRY
+>sp|Q3SX24|FBX6_BOVIN F-box only protein 6 OS=Bos taurus OX=9913 GN=FBXO6 PE=2 SV=1
+MALVSINQLPENILLEVFMHVPARQLLRNCRPVCCLWRDLIDLVSLWKRKCLREGYVTED
+WDQPVSDWKVFYFLCSLRRNLLRNPCAEEDMKSWKIDSNGGDQWKVESLPGAHGTGFPDS
+KVKKYFVTSYDMCLKSQIIDLKAEGYWEELLDKFRPDIVVKDWFAPRADCGCTYQIRVQL
+ASADYLVLASFEPPPVTIHQWNDAKWTEVSHTFSDYPPGVRHIFFQHGGKDTQFWAGWYG
+PRVTNSSVVISHRVTRNPPHAMAQP
+>sp|P63212|GBG2_BOVIN Guanine nucleotide-binding protein G(I)/G(S)/G(O) subunit gamma-2 OS=Bos taurus OX=9913 GN=GNG2 PE=1 SV=2
+MASNNTASIAQARKLVEQLKMEANIDRIKVSKAAADLMAYCEAHAKEDPLLTPVPASENP
+FREKKFFCAIL
+>sp|Q32LJ3|CX065_BOVIN Uncharacterized protein CXorf65 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MFIFIKHGDNQQFLANINCSVLLLLHYARRKVGLPKTETIDLCDETGTMKLFFLMKTPGD
+YANKFLTARNTYYVCKVERGAPGTRVESAYKAFVPLLKNPEPELIDALRTQCDLLERSRV
+KMLRIQEAKKVVPIESSVNLTSKSSGRSDEEGTTRRAPVLKTRADFVSRKDKHR
+>sp|Q2HJA2|DCA16_BOVIN DDB1- and CUL4-associated factor 16 OS=Bos taurus OX=9913 GN=DCAF16 PE=2 SV=1
+MGPRNPSPDPLSESESEEEENTNYLNESSGEEWDSSEEEDPVVPNLTPLESLAWQVKCLL
+KYSTTWKPLNPNSWLYHAKLLDASTPVHILREIGLRLSHCSHCVPKLEPIPEWPPLASCG
+VPPFQKPLISPSRLSRDHATLNGALQFATKQLSRTLSRATPHAFLPKLVPLAFSS
+>sp|P19427|DERM_BOVIN Dermatopontin OS=Bos taurus OX=9913 GN=DPT PE=1 SV=3
+MDLTLLWVLLPLVTVAWGQYGDYGYSYHQYHDYSDDGWVNLNRQGFSYQCPHGQVVVAVR
+SIFNKKEGSDRQWNYACMPTPQSLGEPTECWWEEINRAGMEWYQTCSNNGLVAGFQSRYF
+ESVLDREWQFYCCRYSKRCPYSCWLTTEYPGHYGEEMDMISYNYDYYMRGATTTFSAVER
+DRQWKFIMCRMTDYDCEFANV
+>sp|P0CG10|DERPC_BOVIN Decreased expression in renal and prostate cancer protein OS=Bos taurus OX=9913 GN=DERPC PE=2 SV=1
+MKEPRIFPRERPTPWTRAPLPPRGRLDGSLGPQGGPVLNTGHPLGMNSDPFLMASSSLGG
+NLAPFPRNPSPFPTSSGSLASNPAPFPAGARDPGLASFPRGMNPTSTGAVSFPRPGGLLG
+PSPGSTLNPRAGALPGPGPLSNPRLGSLPGPGPVSNPRPSGLLGTGPDPRSGGPMGPGSG
+PSLRAGVLLTPGNGPPNPRPVGLGPGPSPNLRSGFVGTNPAPRSSMFPGPGLGPNPRSSG
+LGPGLGPNPRAGGLGPGPNLDARAGGLLGTGSGLNLRMAGPQGLDLAPILRAAGLLGANS
+ASFSQASGNMGTSPSSMARLPGPIGPNSGPGSRGIGLPGPNPSPLSRAPGPVGPNSAHFA
+RPAGPMGVNANPFPRGTGSGGLNPAAFSQSSNTLASNPINFQRSAGLQGSSPAVFPRASG
+PLGPNPANFPRATGLQGPSPATFPRSIGPLGPGQVTFPRPAPRPLGSSPAGPVGINPAPF
+ARPTGTLGVNPASFPRMNGPVSKTLVPFPRVGSLPGTNPAAFPRPGGPMAAMYPNGMLPP
+>sp|Q28007|DPYD_BOVIN Dihydropyrimidine dehydrogenase [NADP(+)] OS=Bos taurus OX=9913 GN=DPYD PE=1 SV=1
+MAPVLSKDVADIESILALNPRTQSRATLRSTLAKKLDKKHWKRNPDKNCFNCEKLENNFD
+DIKHTTLGERGALREAMRCLKCADAPCQKSCPTNLDIKSFITSISNKNYYGAAKMIFSDN
+PLGLTCGMVCPTSDLCVGGCNLYATEEGPINIGGLQQYATEVFKAMNIPQIRNPSLPPPE
+KMPEAYSAKIALLGAGPASISCASFLARLGYNDITIFEKQEYVGGISTSEIPQFRLPYDV
+VNFEIELMKDLGVKIICGKSLSVNDITLSTLKEEGYKAAFIGIGLPEPKKDHIFQGLTQD
+QGFYTSKDFLPLVAKSSKAGMCACHSPLLSIRGTVIVLGAGDTAFDCATSALRCGARRVF
+IVFRKGFVNIRAVPEEVELAREEKCEFLPFLSPRKVIVKGGRIVAMQFVRTEQDETGKWN
+EDGDQIACLKADVVISAFGSVLSDPKVKEALSPIKFNRWDLPEVDPETMQTSEPWVFAGG
+DVVGIANTTVEAVNDGKQASWYIHRYIQSQYGASVSAKPELPLFYTPIDLVDISVEMAAL
+KFTNPFGLASATPTTSSSMIRRAFEAGWAFALTKTFSLDKDIVTNVSPRIIRGTTSGPMY
+GPGQSSFLNIELISEKTAAYWCQSVTELKADFPDNIVIASIMCSYNRNDWMELSRKAEAS
+GADALELNLSCPHGMGERGMGLACGQDPELVRNICRWVRQAVRIPFFAKLTPNVTDIVSI
+ARAAKEGGANGVTATNTVSGLMGLKADGTPWPAVGREKRTTYGGVSGTAIRPIALRAVTT
+IARALPEFPILATGGIDSAESGLQFLHGGASVLQVCSAIQNQDFTIIQDYCTGLKALLYL
+KSIEELQDWDGQSPATKSHQKGKPVPCIAELVGKKLPSFGPYLEKCKKIIAEEKLRLKKE
+NVTVLPLERNHFIPKKPIPSVKDVIGKALQYLGTYGELNNTEQVVAVIDEEMCINCGKCY
+MTCNDSGYQAIQFDPETHLPTVTDTCTGCTLCLSVCPIIDCIKMVSRTTPYEPKRGLPLA
+VNPVS
+>sp|Q148G2|G6PC3_BOVIN Glucose-6-phosphatase 3 OS=Bos taurus OX=9913 GN=G6PC3 PE=2 SV=1
+MESTLGAGIAMAEALQNQLPWLENVWLWVTFLGDPKSLFLFYFPAAYYASRRVGIAVLWI
+SLITEWLNLVFKWFLFGDRPFWWVHESGYYSQAPAQVHQFPSSCETGPGSPSGHCMITGA
+ALWPIMTAVSSQMATRAHSRWVRVIPSLAYCTFLLAVGLSRVFLLAHFPHQVLAGLITGA
+VLGWLMTPQVPMERELSFYGLTSLALLLGASLIYWTLFTLGLDLSWSINLASKWCERPEW
+VHLDSRPFASLSRDSGAALGLGIALHSPCYAQVRRAHLGYGQKLVCLVLAMGLLGPLNWL
+GYPPQISLFYIFNFLKYTLWPCLVLALVPWLVHMFSAQEAPPIRSS
+>sp|Q17QR5|DBX2_BOVIN Homeobox protein DBX2 OS=Bos taurus OX=9913 GN=DBX2 PE=2 SV=1
+MLPSAVAAHAGAYWDVVASSSLLNFPAAPGFGNLGKSFLIENLLRAGGAPPLGLPPPQHH
+GSAAALGAGAQVRSLPASPVPLKLCPAAEPVSPGGAPYGTRWAFQVLSPSADGARLPGRA
+PGDPDCAFQPPAPAPSKPFFLSAPPFYSACCGGSCRRPASPTAFAREESVLPLLTQESTS
+KVRRGILRRAVFSEDQRKALEKMFQKQKYISKTDRKKLAINLGLKESQVKIWFQNRRMKW
+RNSKEKEVLSNRCLQEVVLQEDPLSRSALGFPSPCASLWEVSQQHPSPGWRENSPEPSER
+LIQGSPGAEALPPEAKSLQGALYLCSEEDARDKNALTGTV
+>sp|A4FV98|EFMT3_BOVIN EEF1A lysine methyltransferase 3 OS=Bos taurus OX=9913 GN=EEF1AKMT3 PE=2 SV=1
+MADSRPDPESEPDSVFPREVGLFADCYSEKSRFCFCGHVLNITENFGSRLGVAARVWDAA
+LSLCNYFESQNVDFRGKKVIELGAGTGIVGILAALQGGDVTITDLPLVLEQIQGNVQANV
+PPGGRAQVRALSWGIDQHVFPGDYDLVLGADIVYLEPTFPLLLGTLRHLCGPHGTIYLAS
+KMREEHGTESFFQHLLPQHFQLELAQRDEDENVNIYRARHRGPRPA
+>sp|Q32KR6|FDFT_BOVIN Squalene synthase OS=Bos taurus OX=9913 GN=FDFT1 PE=2 SV=1
+MEFVKCLGHPEEFYNLLRFQMGGRRKVIPKMDQDSLSSSLKTCYKYLNQTSRSFAAVIQA
+LDGEMRHAVCIFYLVLRALDTLEDDMTISIERKVPLLHNFHSYLYEPDWRFTESKEKDRQ
+VLEDFPTISLEFRNLAEKYQTVIVDVCQKMGFGMAEFLDKRVTSEREWDKYCHYVAGLVG
+IGLSRLFPASELEDPLIGEDTERANSMGLFLQKTNIIRDYLEDQREGREFWPQETWSKYV
+KKLGDFAKPENIDLAVQCLNELITNTLHHIPDVITYLSRLRNQSIFNFCAIPQVMAIATL
+AACYNNQQVFKGVVKIRKGQAVTLMMDATNMPAVKAIIHQYMEEIYHRIPNSDPCSTKTQ
+QIISTIRTQNLPNCQLVSRSHYSPIYLSFVMLLAALSWQYLSTLSQVTEDYVQTGEH
+>sp|Q2KJ61|ELP3_BOVIN Elongator complex protein 3 OS=Bos taurus OX=9913 GN=ELP3 PE=2 SV=2
+MRQKRKGDLSPAQLMMLTIGDVIKQLIEAHEQGKDIDLNKVKTRTAAKYGLSAQPRLVDI
+IAAVPPQYRKVLVPKLKAKPIRTASGIAVVAVMCKPHRCPHISFTGNICVYCPGGPDSDF
+EYSTQSYTGYEPTSMRAIRARYDPYLQTRHRIEQLKQLGHSVDKVEFIVMGGTFMALPEE
+YRDYFIRNLHDALSGHTSNNIYEAVKYSERSLTKCIGITIETRPDYCMKRHLSDMLTYGC
+TRLEIGVQSVYEDVARDTNRGHTVKAVCESFHLAKDSGFKVVAHMMPDLPNVGLERDIEQ
+FTEFFENPAFRPDGLKLYPTLVIRGTGLYELWKSGRYKSYSPSDLIELVARILALVPPWT
+RVYRVQRDIPMPLVSSGVEHGNLRELAFARMKDLGIQCRDVRTREVGIQEIHHKVRPYQV
+ELVRRDYVANGGWETFLSYEDPDQDILIGLLRLRKCSEETFRFELVGGVSIVRELHVYGS
+VVPVSSRDPTKFQHQGFGMLLMEEAERIAREEHGSGKIAVISGVGTRNYYRKIGYRLQGP
+YMVKTLE
+>sp|Q9N2J2|GPX4_BOVIN Phospholipid hydroperoxide glutathione peroxidase OS=Bos taurus OX=9913 GN=GPX4 PE=2 SV=2
+MSFSRLYRLLKPALLCGALAAPGLASTMCASRDDWRCARSMHEFSAKDIDGRMVNLDKYR
+GHVCIVTNVASQUGKTDVNYTQLVDLHARYAECGLRILAFPCNQFGRQEPGSNAEIKEFA
+AGYNVKFDLFSKICVNGDDAHPLWKWMKVQPKGRGMLGNAIKWNFTKFLIDKNGCVVKRY
+GPMEEPLVIEKDLPCYL
+>sp|Q2KIY0|COMD6_BOVIN COMM domain-containing protein 6 OS=Bos taurus OX=9913 GN=COMMD6 PE=3 SV=1
+MEESSEPQLDAKSKVTSQLIDFEWKLGMAVSSDSCRSLKYPYVAVMLKVADHSGQVKNKS
+FEMTIPQFQNFYRQFKEIAAIIETV
+>sp|A5PJG7|CCHL_BOVIN Cytochrome c-type heme lyase OS=Bos taurus OX=9913 GN=HCCS PE=2 SV=1
+MGLSASAPAASTVQTSTPAASDHQTAAPTSGCPMHEGKVKGCPVSAEPSDSTCGSKTNSV
+PAHQERAYEYVQCPITGAKAANKENLDPSNLMPPPNQTPAPDQPFPLSTVREESSIPRAD
+SDKKWVYPSEQMFWNAMLRKGWKWKDEDISQKDMYNIIRIHNQNNEQAWKEILKWEALHA
+AECPCGPSLIRFGGKAKEYSPRARIRSWMGYELPFDRHDWIINRCGTEVRYVIDYYDGGE
+VNQDYQFTILDVRPALDSLSAVWDRMKVAWWRWTS
+>sp|Q7YRC1|AIP_BOVIN AH receptor-interacting protein OS=Bos taurus OX=9913 GN=AIP PE=2 SV=1
+MADIIARLREDGIQKRVIQEGRGALPDFQDGTKATFHYRTLCSDEEGAVLDDSRVRGKPM
+ELIIGKKFKLPVWETIVRTMREGEIAQFCCDXKHVVLYPLVAKSLRNIAAGKDPLEGQRH
+CCGIAQMHXHSSLGHADLDALQQNPQPLIFDIEMLKVENPGTYQQDPWAMTDEEKAKAVP
+VIHQEGNRLYREGHVKEAAAKYYDAIACLKNLQMKEQPGSPDWIQLDQQITPLLLNYCQC
+KLVAEEYYEVLDHCSSILNKYDDNVKAYFKRGKAHAAVWNAQQAQDDFAKVLQLDPAMAP
+VVSRELRALEARIRQKDEEDKARFRGIFSH
+>sp|P07688|CATB_BOVIN Cathepsin B OS=Bos taurus OX=9913 GN=CTSB PE=1 SV=5
+MWRLLATLSCLLVLTSARSSLYFPPLSDELVNFVNKQNTTWKAGHNFYNVDLSYVKKLCG
+AILGGPKLPQRDAFAADVVLPESFDAREQWPNCPTIKEIRDQGSCGSCWAFGAVEAISDR
+ICIHSNGRVNVEVSAEDMLTCCGGECGDGCNGGFPSGAWNFWTKKGLVSGGLYNSHVGCR
+PYSIPPCEHHVNGSRPPCTGEGDTPKCSKTCEPGYSPSYKEDKHFGCSSYSVANNEKEIM
+AEIYKNGPVEGAFSVYSDFLLYKSGVYQHVSGEIMGGHAIRILGWGVENGTPYWLVGNSW
+NTDWGDNGFFKILRGQDHCGIESEIVAGMPCTHQY
+>sp|P21856|GDIA_BOVIN Rab GDP dissociation inhibitor alpha OS=Bos taurus OX=9913 GN=GDI1 PE=1 SV=1
+MDEEYDVIVLGTGLTECILSGIMSVNGKKVLHMDRNPYYGGESSSITPLEELYKRFQLLE
+GPPETMGRGRDWNVDLIPKFLMANGQLVKMLLYTEVTRYLDFKVVEGSFVYKGGKIYKVP
+STETEALASNLMGMFEKRRFRKFLVFVANFDENDPKTFEGVDPQNTSMRDVYRKFDLGQD
+VIDFTGHALALYRTDDYLDQPCLETINRIKLYSESLARYGKSPYLYPLYGLGELPQGFAR
+LSAIYGGTYMLNKPVDDIIMENGKVVGVKSEGEVARCKQLICDPSYVPDRVRKAGQVIRI
+ICILSHPIKNTNDANSCQIIIPQNQVNRKSDIYVCMISYAHNVAAQGKYIAIASTTVETT
+DPEKEVEPALELLEPIDQKFVAISDLYEPIDDGSESQVFCSCSYDATTHFETTCNDIKDI
+YKRMAGSAFDFENMKRKQNDVFGEADQ
+>sp|Q17QP0|F216B_BOVIN Protein FAM216B OS=Bos taurus OX=9913 GN=FAM216B PE=2 SV=1
+MGEKWKRQQKLCNVPHIPRIRVPRSASDTPLLKDLTQGQQRYFYSIMRIYSPRPQWEALQ
+ARYLHSLQHQQLLGYITQREASACAAVLRDSTKRASAKAGPHRTVPQRAAGRTRTQPSAR
+PVCVIPLRARSTRLPSLRSRAVHKL
+>sp|Q0II80|GDIR3_BOVIN Rho GDP-dissociation inhibitor 3 OS=Bos taurus OX=9913 GN=ARHGDIG PE=2 SV=1
+MLGLDACELGAQLLELLRLALCARVLLTDKEGGQLPPEEALDEAVPEYRAPGKKSLLEIQ
+QLDPDDESLVKYKRALLGPVLPAVDPSLPNVQVTRLTLISEQAPGPIVMDLTGELAALKN
+QVFVLKEGVDYKVKITFKVNKEIVSGLKCLHHTYRHGLRVDKAVYMVGSYGPSAQEYEFV
+TPVEEAPRGALVRGAYVVTSFFTDDDRTAHLSWEWGLYVCQDWER
+>sp|P0C8S2|DRAXI_BOVIN Draxin OS=Bos taurus OX=9913 GN=DRAXIN PE=3 SV=1
+MLLLALLLLLELSLAGSLGPGSSAQNLPENHIDLSGPALWTPQASHHRRRGLGKKERGPG
+TPGWTQDGAVVTATRQASRLPGAEGLLHGSSPAGLLQDKGLLRGLTRPYPEKETQAPGSE
+RVKKRGREHKRRKERLRLHRGRALVRGPSSLMKKAELSEDQSPDALMEESSTSLAPTILY
+LTTFEAPATEESLILPVTSLWPQAHPRPDGEVMPTLDMALFDWTDYEDLKPEVWPSTRKK
+EKHRGKLSSDGNETSPAKGEPCDHHQDCLPGTCCDLREHLCTPHNRGLNNKCFDDCMCVE
+GLRCYAKFHRNRRVTRRKGRCVEPETANGDQGSFINV
+>sp|Q2KJE1|GPTC4_BOVIN G patch domain-containing protein 4 OS=Bos taurus OX=9913 GN=GPATCH4 PE=2 SV=1
+MSITPEVKSRGMKFAEEQLLKHGWTQGKGLGRKENGITQALRVTLKQDTYGVGHDPAKEF
+TNHWWNDLFNKTAANLVVETRQDGVQIRRLSKETTRQDHAKPNLLYQKFVKTATLTSSGE
+KPDKDWESCSDDDSQEPKPPNVLTDEMLLQACEGRTAHKAARLGITMKAKLARLEAQEQA
+FLAQLKGQDPGVPQLQSESKSPKKKKKKRKQKEEEEPTTTERSAEKYSEHTDESIRKSKK
+KKRQHQEERVTDEREGTAIENEEETIRTGGLGELKNREHVDRSFRKKKRRGQHHEERAEL
+AVLDNEGGKVAVSEVGTEEAERRVYTHPCGRSKKRRQHEEEDLNTEDEEVEEALVDSGTR
+EAESRSCSDQKRGRSKKKRRQYQEEEVLDGPGVNTAQKAKKKKQKKRD
+>sp|Q2KJ38|F110B_BOVIN Protein FAM110B OS=Bos taurus OX=9913 GN=FAM110B PE=2 SV=1
+MPTESLQTGSMVKPVSPAGTFTSAVPLRILNKGPDYFRRQAEPNPKRLSAVERLEADKAK
+YVKSQEVINAKQEPVKPAVLAKPPVCPAAKRALGSPTLKGFGGGGGGAKSEGGAPRETLK
+LEILKNILNSSEGSSTGSGHKHSARNWPAPRADAAELHRHSFAESLRARPAPGRGSPQEG
+GSHVGRRPPEPTSSAAAAADAFLHVSHSSSDIRQGPGARPLKAILPCSSSAPPLPPKPKV
+AAPAAVKSPEAEAAEPAGGVGRRPSLQRSKSDLSDRYFRVDADVERFFNYCGLDPEELEN
+LGMENFARANSDIISLNFRSASMISSDCEQSQDSNSDLRNDDSANDRVPYGISAIERNAR
+IIKWLYSIKQARESQKVSHV
+>sp|Q32LB5|GPRL1_BOVIN GLIPR1-like protein 1 OS=Bos taurus OX=9913 GN=GLIPR1L1 PE=1 SV=1
+MILRKKLSYLWTLGLCLVASKSPPKAPSITNDRFIEECLRLHNEARTNVSPPAADMKYMS
+WDEALAKTAEAWAKKCKFIHNSCSSKSFKCHPTFQYAGENLWLGPLTISAAKFAINMWYD
+ERKFYDFNTRSCSQVCGHYTQVVWAYSYKVGCAVAVCPNLGSPDSALLVCNYAPAGNYPN
+MSPYTNGTPCSMCQGDTCENNLCRNKERDKSQRYPNWNPSGTRQLIACNPLYLISVLLTI
+F
+>sp|Q5BIP5|GFOD2_BOVIN Glucose-fructose oxidoreductase domain-containing protein 2 OS=Bos taurus OX=9913 GN=GFOD2 PE=2 SV=1
+MKMLPGVGVFGTGSSARVLVPLLRAEGFTVQALWGKTEEEAKQLAEEMNIAFYTSRTDDV
+LLHQDVDLVCINMPPPLTRQISVKALGIGKNVVCEKAATSVDAFRMVTASRYYPQLMSLV
+GNVLRFLPAFVRMKQLIAEHYVGAVMICDARVYSGSLLSPNYGWICDELMGGGGLHTMGT
+YIVDLLTHLTGQRAEKVHGLLKTFVRQNAAIRGIRHVTSDDFCFFQMLMGGGVCSTVTLN
+FNMPGAFVHEVMVVGSAGRLVARGADLYGQKNSAPQEELLLRDSLAVGAGLPEQGAQDVP
+LLYLKGMVYMVQALRQSFQGQGDRRTWDHTPVSMAASFEDGLYMQSVVDAIKRSSRSGEW
+EAVEVLAEEPDANQNLCEALQRNNL
+>sp|P60984|GMFB_BOVIN Glia maturation factor beta OS=Bos taurus OX=9913 GN=GMFB PE=1 SV=2
+MSESLVVCDVAEDLVEKLRKFRFRKETNNAAIIMKIDKDKRLVVLDEELEGISPDELKDE
+LPERQPRFIVYSYKYQHDDGRVSYPLCFIFSSPVGCKPEQQMMYAGSKNKLVQTAELTKV
+FEIRNTEDLTEEWLREKLGFFH
+>sp|P80425|FABPL_BOVIN Fatty acid-binding protein, liver OS=Bos taurus OX=9913 GN=FABP1 PE=1 SV=1
+MNFSGKYQVQTQENYEAFMKAVGMPDDIIQKGKDIKGVSEIVQNGKHFKFIITAGSKVIQ
+NEFTLGEECEMEFMTGEKIKAVVQQEGDNKLVTTFKGIKSVTEFNGDTVTSTMTKGDVVF
+KRVSKRI
+>sp|Q3T058|EI2BD_BOVIN Translation initiation factor eIF-2B subunit delta OS=Bos taurus OX=9913 GN=EIF2B4 PE=2 SV=1
+MATAAVAVREDSGSGMKAELAPRPGAEGREMTQEEKLQLRKEKKQQKKKRKEEKGTETET
+GSAVSAAQCQVGPAKHLAGPDSQLGTAKEKVPAGRSKAELRAERRAKQEAERAMKQARKG
+DQGGPPPQACPSTAGETPSGVKRLPEHSQVDDPTLLRRLVKKPERQQVPTRKDYGSKVSL
+FSHLPQYSRQNSLTQFMSIPSSVIHPAMVRLGLQYSQGLVSGSNARCIALLRALQQVIQD
+YTTPPSEELSRDLVNKLKPYFSFLTQCRPLSASMYNAIKLLNKEITGVSGSKREEEAKSE
+LRAAIDRYIKEKIVLAAQAISRFAYKKISNGDVILVYGCSSLVSHILQEAWAKGRQFRVV
+VVDSRPRLEAKHTLRSLVRAGVPASYLLIPAASYVLPEVSKVLLGAHALLANGSVMSRVG
+TAQLALVARAHNVPVLVCCETYKFCERVQTDAFVSNELDDPDDLLCERGEHVALANWQNH
+SSLRLLNLVYDVTPPELVDLVITELGMIPCSSVPVVLRVKSSDQ
+>sp|A5D7M3|DRC4_BOVIN Dynein regulatory complex subunit 4 OS=Bos taurus OX=9913 GN=GAS8 PE=2 SV=1
+MAPKKKGKKGKGKGTPIVDGLAPEDMSKEQVEEHIGRIREELDREREERNYFQLERDKIH
+TFWEITRRQLEEKKAELRNKDREMEEAEERHQVEIKVYKQKVKHLLYEHQSSLTEMKAEG
+TVVMKLAQKEHRAQEGTLRRDMRALKVELKEQELANEVMVKNLRLKHTEEITKMRNDFER
+QVREIEAKYDKKMKMLRDELDLRRKTEIHEVEERKNGQITTLMQRHEEAFTDIKNYYNDI
+TLNNLALINSLKEQMEDMGKKEEHLEKEMTEVAMQNRRLADPLQKAREEMSDMQKKLGSY
+ERDKQILVCTKARLKVTEKELKSLRWEHEVLEQRFIKVQQERDDLYHKFTSAILEVQQKA
+GFRNLVLERKVQALVAAVEKKEVQFNEVLAASNLDPAALTLVSRKLEDVLESKNSAIKDL
+QYELARVCKAHNDLLRTYEAKLLAFGVPLDNVGFKPLDTAVIGQTLGQGPAGLVGTPT
+>sp|Q17QM4|EPT1_BOVIN Ethanolaminephosphotransferase 1 OS=Bos taurus OX=9913 GN=SELENOI PE=2 SV=3
+MASYEYVSPEQLAGFDKYKYSAVDTNPLSLYVMHPFWNTVVKVFPTWLAPNLITFSGFLL
+VVFNFLLLAYFDPDFYASAPGHKHVPDWVWIVVGILNFMAYTLDGVDGKQARRTNSSTPL
+GELFDHGLDSWSCVYFVVTVYSIFGRGSSGVSVFVLYLLLWVVLFSFILSHWEKYNTGIL
+FLPWGYDISQVTISFVYIVTAVVGVEAWYEPFLFNFLYRDLFTAMIFGCALCVTLPMSLL
+NFFRSYKNNTLKHNSVYEAVVPFFSPCLLFILSTAWILLSPSDILELHPRVFYLMVGTAF
+ANSTCQLIVCQMSSTRCPTLNWLLLPLFVIVMVVNVGVTPYVESILLFTLTAAFTLAHIH
+YGVRVVKQLSNHFHIYPFSLRKPNSDULGVEEKNIGL
+>sp|A6QQP7|DYSF_BOVIN Dysferlin OS=Bos taurus OX=9913 GN=DYSF PE=2 SV=1
+MLRVFILYAENVHTPDTDISDAYCSAVFAGVKKRTKVIKNNVNPVWNEGFEWDLKGIPLD
+QGSELLVVVKDHETMGRNRFLGEANIPLREVLATPSLSASFNAPLLDTKKQPTGASLVLQ
+VSYTPLPGAVPTFPPLTPLEPSPTLPDMDTVADTGGEEDTEDQGLTGDEAEPFLDQSGAL
+GPGAPSTPKKQPSHPPPYHPGGGRKRSAPAPSKPLSDKPQDFQIRVQVIKGRQLPGVNIK
+PVVKVTAAGQTKRTRIHKGNSPVFNETLFFNVFDSPAELFNEPIFITVVDSRSLRTDALI
+GEFRLDVGSIYREPRHAYLRKWLLLSDPDDFSAGARGYLKASLCVLGPGDEAPLERKDPS
+EDKEDIESNLLRPIGVALRGAHFCLKVFRAEDLPQMDDAVMDNVRQIFGFDSNKKNLVDP
+FVEVSFAGKMLCSKILEKTANPQWNQSITLPAMFPSMCEKMRIRVVDWDRLTHNDIVATT
+YLSMSKISASGGEIEEEPAGVVKPPPATELDDHLGFLPTFGPCYINLYGSPREFTGFPDP
+YAELNTGKGEGVAYRGRLLLSLETKLVERSEQKVEALSADDILRVEKYLRRRKYSLFAAF
+YSATMLQDVDDAVQFEVSIGNYGNKFDTTCLPLASTTQYSRAVFDGCHYYYLPWGNVKPV
+VVLSSYWEDIRHRVEAQNQLLRIADQLEAGLEQVHLALKAQCSDEDVDSLVAQLMDELIA
+GCSQPLGDVQEMPSATHLDQYLYQLRTRHLSQITEAAQALKLGHSELPAALEQAEDWLLR
+LRALADEPQNSLPDIVIWMLQGDKRVAYQRVPAHEVLFSRRGTSYCGKNCGKLQTIFLKY
+PMEGVPRARMPVQIRVRLWFGLSVDEKEFNQFAEGKLSVFAETYENQTKLALVGNWGTTG
+LTYPKFSDITGRIKLPKDSFRPSAGWAWAGDWFVCPEKTLLHDTDAGHLSFVEEVFENQT
+RLPGGQWIYMSDNYTDVNGEKVLPKDDIECPLGWKWEDEEWSTDLNRAVDEQGWEYSITI
+PPDRKPRHWVPAEKMYYTHRRRRWVRLRRRDLSQMEALKRHRQAEAEGEGWEYASLFGWK
+FHLEYRKTDAFRRRRWRRRMEPLEKTGPAAVFALEGALGGVVDDRSEDSVSVSTLSFGVN
+RPTISCIFDYGNRYHLRCYMYQARDLPAMDKDSFSDPYAVVSFLHQSQKTVVAKNTLNPT
+WDQTLIFYEIEIFGEPSSIAEQPPSIVVELYDHDTYGVDEFMGRCICQPSLERTPRLAWF
+PLTRGSQPAGELLASFELIQREKPAIHHIPGFEVQDTTGILEESEDTDLPYPPPQREANI
+YMVPQNIKPVLQRTAIEILAWGLRNMKSYQLASVSSPSLVVECGGQSVQSCVIKNLRKNP
+NFDICTLFMEVMLPREELYCPPIVVKVIDNRQFGRRPVVGQCTIRSLEGFLCDPYSEESP
+SPQGGPDDVSLLSPGEDVLIDIDDKEPLIPIQFADGLSGLAPTNMASSPSSLHKILLEEE
+FIDWWSKFFASIGESEKCGSYLEKDFDTLKVYDTSLENVKAFEGLSDFCNTFKLYRGKTQ
+EETEDPSVIGEFKGLFKIYPLPEDPAIPLPPRQFHQLASQGPQECLVRVYIIRAFGLQPK
+DPNGKCDPYIKISIGKKSVSDQDSYIPCTLEPVFGKMFELTCTLPLEKDLKVTLYDYDLL
+SKDEKIGETVIDLENRLLSKFGARCGLPQTYCVSGPNQWRDQLRPSQLLHLFCQQHRVKA
+PVYRTDRVVFQDKEYTVEEIEAGRVPNPHLGPVEERLALHVLQQQGLIPEHVESRPLYSP
+LQPDIEQGKLQMWVDLFPKALGRPGPPFNITPRRARRFFLRCIIWNTKDVILDDLSITGE
+KMSDIYVKGWMVGFEEHKQKTDVHYRSLGGEGNFNWRFVFPFDYLPAEQVCTVSKKDAFW
+RLDKTESKIPARVVFQIWDNDKFSFDDFLGSLQLDLNHMPKPAKTAEKCSADQLEDTFHP
+ERFVSLFEQKTVKGWWPCVAEEGEKKILAGKLEMTLEIVTESEHEERPAGHGRDEPNMNP
+KLEDPRRPDTSFLWFTSPYKTMKFILWRRFRCAIIFFLILFIFLLFLGIFVYSFPNYAAM
+KLVKPFS
+>sp|Q05B87|FRDA_BOVIN Frataxin, mitochondrial OS=Bos taurus OX=9913 GN=FXN PE=2 SV=1
+MWTLGRRSVASFLPRSALPGFAPTRAGAPRPAKDLSLSGLPGLRIGTAKAPARSQSSLSL
+RCLNQTLDVKKQSVCWINLRTAGTLGDAGTLDDTTYERLAEETLDSLAEFFEDLADKPYT
+FEDYDVSFGSGVLTVKLGGDLGTYVINKQTPNKQIWLSSPSSGPKRYDWTGRNWVYSHDG
+VSLHELLATELTQALKTKLDLSALAYSGKDTCCPAQC
+>sp|Q2T9M4|DRC7_BOVIN Dynein regulatory complex subunit 7 OS=Bos taurus OX=9913 GN=DRC7 PE=2 SV=1
+MEVLKEKVEEEEAAEREEAAERAERGEKTKRPMEVRREETTMTQEMLRDLERKLSEIEVS
+VPEKLLAFTKDTIDTSKLPLSYQSNTLKEEHLLQVADNFSRQYSHLCPDRVPLFLHPLNE
+CEVPKFVSTTIRPTLMPYPELYNWDTCAQFISDFLSMVPLPDPLKPPLYLYSSTTVLKYQ
+KGNCFDFSTLLCSMLIGAGYDAYCVNGYGSQDLCHMDLTREVCPLTMKPKESVKEEEKAP
+PKKYAIKPPRDLTSRFEQEQEMKRQEAIKAEEENRRKQEEARLLEQENAKTDPLHGLRVH
+SWVLVLSGKREVPESFFIDPFTARSYSTQDDHFLGIESLWNHKNYWVNMQDCWNCCKDLV
+FDLGDPVRWEYLLLGTDKPFLSLTEEEDEGMNDDDDVENLGKEDEDKSFDMPPSWVEQIE
+ISPEAFETRCPNGKKVIQYKRAKLEKWAPYLNNNGLVCRLTTYEDLECTKTLEMKEWYQN
+REDMLELKHINKITGLNVDYFKPGHPQALRVHSYKSMQPEMDRVMEFYETARVDGLIKRE
+ETPKTMTEHYQGRPDFLSYRHVNFGPRMKKLALNSAESNPRPMVKITERFFRNPAKPADE
+DVAERVFLIAEERIQLRYHCRSDHITANKREFLRRTEVDSKGNKIIMTPDMCISFEVEPM
+EHTKKLLYQYEAMMKLKNEEKLSRHQAWESELEVLEILKLREEEEEAHTLTISIYDTKRN
+EKCKEYREAMERVLHEEHLRQVEAQLDYLAPFLAQLPPGEKLTRWQAVRLKDECLNDFKQ
+RLIDKANLIQARFEKETQELQKKQQWYQENQVTLTPEDEDLYLSYCSQAMFRIRILEQRL
+SRHKELAPLKYLALEEKLYKDPRLVELLKVFV
+>sp|Q0P5E4|DERL3_BOVIN Derlin-3 OS=Bos taurus OX=9913 GN=DERL3 PE=2 SV=1
+MAWQGLATEFLQVPAVTRTYTAACVLTTAAVQLELLSPFQLYFNPHLVFRKFQVWRLITN
+FLFFGPLGFSFFFNMLFVFRYCRMLEEGSFRGRTADFVFMFLFGGVLMTLLGLLGSLFFL
+GQALTAMLVYVWSRRSPGVRVNFFGLLTFQAPFLPWALMGLPMLLGNSILVDLLGIAVGH
+VYYFLEDVFPNQPGGKRLLLTPSFLKLLLDAPEEDPNYLPLPEEQPGPLQQ
+>sp|Q2YDJ5|CX066_BOVIN Uncharacterized protein CXorf66 homolog OS=Bos taurus OX=9913 PE=2 SV=2
+MNLFIYVLLLSIWTSSCLDRNESNGSATAVTTHAEFKQTKLQELRRRLLIIVIGTLITGY
+MVSCTCLLHYSCDSEEAHTAAKDKKEDITIKASRSSKISFTDSKSPTAGLGDPERQSVVS
+RIDKSSGPSSPRKVPSSAEKLVRPSSQKKPSKPSAPKKVLGSPPQEKLHRTRSPKKAHRQ
+AHAHKPVSQVSPSYPEKAIKSTWPPSLQCRAKPTKTPLPYPKNQSFPEQSSVDKLTKRQR
+YLKLKCPASAGRAEILSRPHPVKFCRCYKEKCLVCRAVSEPFITHVSDANKKHVPVPLFS
+RELKHFYKSYKKKQPKYNTLYGNMSDSDITTYNSDGESDREVIIMCNIKCKEDIYKNSRN
+N
+>sp|P79119|EPYC_BOVIN Epiphycan OS=Bos taurus OX=9913 GN=EPYC PE=1 SV=1
+MKALARLIVGLLILDAAVTAPTLESINYNSETYDATLEDLDHLYNYENIPMGRAEIEIAT
+VMPSGNRELLTPPPQPEEAEEEEEEESTPRLIDGSSPQEPEFTGVLGPQTNEDFPTCLLC
+TCISTTVYCDDHELDAIPPLPKNTAYFYSRFNRIKKINKNDFASLNDLRRIDLTSNLISE
+IDEDAFRKLPQLRELVLRDNKIRQLPELPTTLRFIDISNNRLGRKGIKQEAFKDMYDLHH
+LYLTDNNLDHIPLPLPENLRALHLQNNNIMEMHEDTFCNVKNLTYIRKALEDIRLDGNPI
+NLSKTPQAYMCLPRLPIGSLV
+>sp|Q9BDJ6|GHRL_BOVIN Appetite-regulating hormone OS=Bos taurus OX=9913 GN=GHRL PE=3 SV=1
+MPAPWTICSLLLLSVLCMDLAMAGSSFLSPEHQKLQRKEAKKPSGRLKPRTLEGQFDPEV
+GSQAEGAEDELEIRFNAPFNIGIKLAGAQSLQHGQTLGKFLQDILWEEAEETLANE
+>sp|A6QLK6|GRAP_BOVIN GRB2-related adapter protein OS=Bos taurus OX=9913 GN=GRAP PE=2 SV=1
+MESVALYSFQATESDELAFNKGDTLKILNMEDDQNWYKAELRGAEGFVPKNYIRLKPHPW
+YSGRISRQLAEEILMKRNHQGAFLIRESESSPGEFSVSVNYGDQVQHFKVLRDPSGKYYL
+WEEKFNSLNELVAFYRTTTIAKKRQVFLQDEEPLPKPPRACFAQAQFDFSAQDPSQLSFR
+RGDIIEVLERLDPSWWRGRLSGRIGFFPRSYVQPVHM
+>sp|Q2KIA5|DNM1L_BOVIN Dynamin-1-like protein OS=Bos taurus OX=9913 GN=DNM1L PE=2 SV=1
+MEALIPVINKLQDVFNTVGADIIQLPQIVVVGTQSSGKSSVLESLVGRDLLPRGTGIVTR
+RPLILQLVHVAPEDKRKTTGEENDPATWKNSRHLSKGVEAEEWGKFLHTKNKLYTDFDEI
+RQEIENETERISGNNKGVSPEPIHLKIFSPNVVNLTLVDLPGMTKVPVGDQPKDIELQIR
+ELILRFISNPNSIILAVTAANTDMATSEALKISREVDPDGRRTLAVITKLDLMDAGTDAM
+DVLMGRVIPVKLGIIGVVNRSQLDINNKKSVTDSIRDEYAFLQKKYPSLANRNGTKYLAR
+TLNRLLMHHIRDCLPELKTRINVLAAQYQSLLNSYGEPVDDKSATLLQLITKFATEYCNT
+IEGTAKYIETSELCGGARICYIFHETFGRTLESVDPLGGLNTIDILTAIRNATGPRPALF
+VPEVSFELLVKRQIKRLEEPSLRCVELVHEEMQRIIQHCSNYSTQELLRFPKLHDAIVEV
+VTCLLRKRLPVTNEMVHNLVAIELAYINTKHPDFADACGLMNNNIEEQRRNRLARELPSA
+VSRDKSSKVPSALAPASQEPSPAASAEADGKLIQESRRETKNAASGGGGVGDAVQEPTTG
+NWRGMLKTSKAEELLAEEKSKPIPIMPASPQKGHAVNLLDVPVPVARKLSAREQRDCEVI
+ERLIKSYFLIVRKNIQDSVPKAVMHFLVNHVKDTLQSELVGQLYKSSLLDDLLTESEDMA
+QRRKEAADMLKALQGASQIIAEIRETHLW
+>sp|Q3MHZ7|GPI8_BOVIN GPI-anchor transamidase OS=Bos taurus OX=9913 GN=PIGK PE=2 SV=1
+MVDTCFLSRGLTTLAGLLLLPFGSLAASQIEDQAEQFFRSGHTNNWAVLVCTSRFWFNYR
+HVANTLSVYRSVKRLGIPDSHIVLMLADDMACNPRNPKPATVYSHKNMELNVYGDDVEVD
+YRSYEVTVENFLRVLTGRIPSSTPRSKRLLSDDRSNILIYMTGHGGNGFLKFQDSEEITN
+IELADAFEQMWQKRRYNELLFIIDTCQGASMYERFYSPNIMALASSQVGEDSLSHQPDPA
+VGVHLMDRYTFYVLEFLEEINPASQTNMNDLFQVCPRSLCVSTPGHRTDLFQRDPKNVLI
+TDFFGSVRKVEITTETISLQPDSGVVESSYKKDQMVEELMEPLKYAEQLPVAQIIHQKPK
+LKDWHPPGGFILGLWALIIMVFFKTYGIKHMKFIF
+>sp|Q2KIF1|GATC_BOVIN Glutamyl-tRNA(Gln) amidotransferase subunit C, mitochondrial OS=Bos taurus OX=9913 GN=GATC PE=2 SV=1
+MWARAVHLGLRAAARGRRGFTSKADPQGSGRVTGELIQHLERLSLVDFGSQEAVARLEKA
+IAFADRLRAVNTDGVEPMESVLEDRCLYLRSDNVVEGSCAEELLQNSHRVVEEYFVAPPG
+NISWSKLDEKQPFSHR
+>sp|Q3ZC64|EFNA1_BOVIN Ephrin-A1 OS=Bos taurus OX=9913 GN=EFNA1 PE=2 SV=1
+MEFFWASLLGLCCSLAAANRHTVFWNSSNPKFWNEDYTVHVRIDDYLDIICPHYEDNSVP
+DAAMEQYTLYLVEHEQYQLCQPQPKDHARWFCKSPKAKHGPEKLSEKFHRFTGFTLSKDF
+KEGHSYYYISKPIHHQEDRCLRLKVMIAGKITHSPQAHPNAQEKRLPADDPEVQVLHSIG
+HSAAPRLFPLAWAVLLLPFLLLQIP
+>sp|Q3T102|EIF3E_BOVIN Eukaryotic translation initiation factor 3 subunit E OS=Bos taurus OX=9913 GN=EIF3E PE=2 SV=1
+MAEYDLTTRIAHFLDRHLVFPLLEFLSVKEIYNEKELLQGKLDLLSDTNMVDFAMDVYKN
+LYSDDIPHALREKRTTVVAQLKQLQAETEPIVKMFEDPETTRQMQSTRDGRMLFDYLADK
+HGFRQEYLDTLYRYAKFQYECGNYSGAAEYLYFFRVLVPATDRNALSSLWGKLASEILMQ
+NWDAAMEDLTRLKETIDNNSVSSPLQSLQQRTWLIHWSLFVFFNHPKGRDNIIDLFLYQP
+QYLNAIQTMCPHILRYLTTAVITNKDVRKRRQVLKDLVKVIQQESYTYKDPITEFVECLY
+VNFDFDGAQKKLRECESVLVNDFFLVACLEDFIENARLFIFETFCRIHQCISINMLADKL
+NMTPEEAERWIVNLIRNARLDAKIDSKLGHVVMGNNAVSPYQQVIEKTKSLSFRSQMLAM
+NIEKKLNQNSRSEAPNWAAQDSGFY
+>sp|P46170|DFB12_BOVIN Beta-defensin 12 OS=Bos taurus OX=9913 GN=DEFB12 PE=1 SV=1
+GPLSCGRNGGVCIPIRCPVPMRQIGTCFGRPVKCCRSW
+>sp|Q2KIJ8|DNJ5B_BOVIN DnaJ homolog subfamily C member 5B OS=Bos taurus OX=9913 GN=DNAJC5B PE=2 SV=1
+MACNIPNQRQRTMSTSGKALYEILGLHKGASNEEIKKTYRKLALKHHPDKNPDDPGAAEK
+FKEINNAHTILTDMSKRNIYDKYGSLGLYVAEQFGDENVNTYFMLSSWWAKTLFVIIGLL
+TGCYFCCCLCCCCNCCCGRCWTKSSMPEEDFYVSPEDLEEQIKTDIAKDMDFPVVLQPTN
+ANEKTQLIREEPRSYCTDS
+>sp|P50291|FST_BOVIN Follistatin OS=Bos taurus OX=9913 GN=FST PE=2 SV=2
+MARPRHQPGGLCLLLLLLCQFMEDRSAQAGNCWLRQAKNGRCQVLYKTELSKEECCSTGR
+LSTSWTEEDVNDNTLFKWMIFNGGAPNCIPCKETCENVDCGPGKKCRMNKKNKPRCVCAP
+DCSNITWKGPVCGLDGKTYRNECALLKARCKEQPELQVQYQGKCKKTCRDVFCPGSSTCV
+VDQTNNAYCVTCNRICPEPTSSEQYLCGNDGVTYPSACHLRKATCLLGRSIGLAYEGKCI
+KAKSCDDIQCTGGKKCLWDFKVGRGRCSLCGELCPESKSEEPVCASDNATYASECAMKEA
+ACSSGVLLEVKHSGSCNSISEDTEDEEEDEDQDYSFPISSILEW
+>sp|Q3SYV9|ARHL2_BOVIN ADP-ribose glycohydrolase ARH3 OS=Bos taurus OX=9913 GN=ADPRHL2 PE=2 SV=1
+MAAAAAMTAAGCGGAGAARSLSRFRGCLAGALLGDCVGAVYEARDTVDLTSVLRQVQDLE
+PDPGSPGSARTEALCYTDDTAMARALVQSLLAKEAFDEVDMAHRFAQEYKKDPDRGYGAG
+VITVFRKHLSPRCRDVFEPARAQFNGKGSYGNGGAMRVAGISLAYSSVQDVQKFARLSAQ
+LTHASSLGYNGAILQALAVHLALQGESSSEHFLEQLLGHMEELESDAQSVLDARELGMEE
+RPYSSRLKKIGELLEQDSVTREEVVSELGNGIAAFESVPTAIYCFLRCMEPDPEIPSTFN
+SLQRTLVYSISLGGDTDTIATMAGAIAGAYYGMEQVPESWQQSCEGYEETDVLAQSLHRV
+FQKSL
+>sp|P56658|ADA_BOVIN Adenosine deaminase OS=Bos taurus OX=9913 GN=ADA PE=1 SV=3
+MAQTPAFNKPKVELHVHLDGAIKPETILYYGRKRGIALPADTPEELQNIIGMDKPLSLPE
+FLAKFDYYMPAIAGCREAVKRIAYEFVEMKAKDGVVYVEVRYSPHLLANSKVEPIPWNQA
+EGDLTPDEVVSLVNQGLQEGERDFGVKVRSILCCMRHQPSWSSEVVELCKKYREQTVVAI
+DLAGDETIEGSSLFPGHVKAYAEAVKSGVHRTVHAGEVGSANVVKEAVDTLKTERLGHGY
+HTLEDATLYNRLRQENMHFEVCPWSSYLTGAWKPDTEHPVVRFKNDQVNYSLNTDDPLIF
+KSTLDTDYQMTKNEMGFTEEEFKRLNINAAKSSFLPEDEKKELLDLLYKAYGMPSPASAE
+QCL
+>sp|Q17QF9|CBLN3_BOVIN Cerebellin-3 OS=Bos taurus OX=9913 GN=CBLN3 PE=2 SV=1
+MLGTKRHWPPGPSLSLELPLALTLLALRAGWAQEGTEPVLLEGECLVVCEPGRAAAGGPG
+GAALGEAPPGRVAFAAVRSHHHEPAGEIGNGTSGAIYFDQVLVNEGGGFDRTSGSFVAPV
+RGVYSFRFHVVKVYNRQTVQVSLMLNTWPVVSAFANDPDVTREAATSSVLLPLDPGDRVS
+LRLRRGNLLGGWKYSSFSGFLIFPL
+>sp|Q32LJ2|ARFRP_BOVIN ADP-ribosylation factor-related protein 1 OS=Bos taurus OX=9913 GN=ARFRP1 PE=2 SV=1
+MYTLLSGLYKYMFQKDEYCVLILGLDNAGKTTFLEQSKTRFNKNYKGMSLSKITTTVGLN
+IGTVDVGKARLMFWDLGGQEELQSLWDKYYAECHGVIYVIDSTDEERLSESKQAFEKMVT
+SEALDGVPILVLANKQDVETCLSIPDIKTAFSDCASKIGRRDCLTQACSALTGKGVREGI
+EWMVKCVVRNVHRPPRQRDIT
+>sp|Q1LZF2|ARP8_BOVIN Actin-related protein 8 OS=Bos taurus OX=9913 GN=ACTR8 PE=2 SV=1
+MTQAEKGEAENGKEKGGEKEKEQRGVKRPIVPALVPESLQEQIQSNFIVVIHPGSTTLRI
+GRATDTLPASVPHVIARRHKQQGQPLYKDSWLLREGLNKPESNEQRQNGLKMVDQAIWSK
+KMSNGTRRIPVSPEQARSYNKQMRPAILDHCSGNKWTNTSHHPEFLVGEEALYVNPLDCY
+NIHWPIRRGQLNIHPGPGGSLTAVLADIEVIWSHAIQKYLEIPLKDLKYYRCILLIPDIY
+NKQHVKELVNMILMKMGFSGIVVHQESVCATFGSGLSSTCIVDVGDQKTSVCCVEDGVSH
+RNTRLCLAYGGSDVSRCFYWLMQRAGFPYRECQLTNKMDCLLLQHLKETFCHLDQDISGL
+QDHEFQIRHPDSPALLYQFRLGDEKLQAPMALFYPATFGIVGQKMTTLQHRSQGDPEDPH
+DEQYLLATQSKQEQSAKATADRKSASKPIGFEGDLRGQSSDLPERLHSQEVDLGPSQGDC
+LMAGNDSEEALTALMSRKTAISLFEGKALGLDKAILHSIDCCSSDETKKKMYSSILVVGG
+GLMFHKAQEFLQHRILNKMPPSFRRIIENVDVITRPKDMDPRLIAWKGGAVLACLDTTQE
+LWIYQREWQRFGVRMLRERAAFVW
+>sp|Q28901|F263_BOVIN 6-phosphofructo-2-kinase/fructose-2,6-bisphosphatase 3 (Fragment) OS=Bos taurus OX=9913 GN=PFKFB3 PE=2 SV=2
+MPLELTQSRVQKIWIPVDHRPSLPRTCGPKLTNSPTVIVMVGLPARGKTYISKKLTRYLN
+WIGVPTKVFNLGEYRRDGVKQYSSYNFFRPDNEEAMKVRKQCALAALRDVKSYLTKEGGQ
+IAVFDATNTTRERRHMILHFPKENDFKVFFIESVCDDPTVVASNIMEVKISSPDYKDCNS
+RENAMDDFMKRINCYEASYQPLDPDNDDRDLSLIKVIDVGQRFLVNRVQDHIQRRIVYYL
+MNIHWQPRTIYLCRHGESKHNLQGKIGGDSGLSSRGRKFANALSKFVEEQNLKDLKVWTS
+QLKSTIQTAEALQLPYEQWKALNEIDAGVCEEMTYEEIKDTYPEEYALAEADKYYYRYPT
+GESYQDLVQRLEPVIMELERQENVLVICHQAVCVCLLAYFLDKSAEEMPYLKCPLHAVLK
+LTPIAYGCRVESIYLNVESVSTHRERSEDAKKGPNPLMRSNSH
+>sp|P46167|DEFB9_BOVIN Beta-defensin 9 (Fragment) OS=Bos taurus OX=9913 GN=DEFB9 PE=1 SV=3
+LALLFLVLSAGSGFTQGVRNFVTCRINRGFCVPIRCPGHRRQIGTCLAPQIKCCR
+>sp|P00125|CY1_BOVIN Cytochrome c1, heme protein, mitochondrial OS=Bos taurus OX=9913 GN=CYC1 PE=1 SV=2
+MAAAAATLRGAMVGPRGAGLPGARARGLLCGARPGQLPLRTPQAVSLSSKSGLSRGRKVI
+LSALGMLAAGGAGLAVALHSAVSASDLELHPPSYPWSHRGLLSSLDHTSIRRGFQVYKQV
+CSSCHSMDYVAYRHLVGVCYTEDEAKALAEEVEVQDGPNEDGEMFMRPGKLSDYFPKPYP
+NPEAARAANNGALPPDLSYIVRARHGGEDYVFSLLTGYCEPPTGVSLREGLYFNPYFPGQ
+AIGMAPPIYNEVLEFDDGTPATMSQVAKDVCTFLRWAAEPEHDHRKRMGLKMLLMMGLLL
+PLVYAMKRHKWSVLKSRKLAYRPPK
+>sp|Q0P5J1|FACR2_BOVIN Fatty acyl-CoA reductase 2 OS=Bos taurus OX=9913 GN=FAR2 PE=2 SV=1
+MSMIAAFYGGKSILITGATGFMGKVLMEKLFRTSPDLKVVYILVRPKQGQTLQQRVFQIL
+DSKLFEKVKEVCPNVHEKIRAISADLNQNDFAISKEDMKELLSHTNIIFHCAATVRFDDH
+LRHAVQLNVTATQQLLLMASQMPKLEAFIHISTAFSNCNLKHIDEVVYPCPVEPKKIIDS
+MEWLDDAIIDEITPKLIGDWPNTYTYTKALGEVVVQQEGGNLNIAIIRPSIMGATWQEPF
+PGWVDNLNGPSGLIIAAGKGFLRSIRATPMAVADLIPADTVVNLTLAVGWYTAVHRPKST
+LVYHCTSGNLNPCNWGKMGLQVLATFEKIPFERAFRRPNADFTTNNITTHYWNAVSHRAP
+AIIYDFYLRLTGRKPRMTKLMNRLLRTLSMLEYFVNRSWEWSTYNTEMLMSELSPEDQRV
+FNFDVRQLNWLEYIENYVLGVKKYLLKEDMAGIPEAKQHLKRLRNIHYLFNTALFLIAWR
+LLIARSQVARNVWFFIVSFCYKFLSYFRASSTLNV
+>sp|Q3B7L5|FLCN_BOVIN Folliculin OS=Bos taurus OX=9913 GN=FLCN PE=2 SV=1
+MNAIVALCHFCELHGPRTLFCTEVLHAPLPQGAGSGDGAGRGEPADEEEGGIQMSSRIRA
+HSPAEGASAESSSPGPKKSDMCEGCRSLAAGHPGYISHDKETSIKYVSHQHPNHPQLFSI
+VRQACVRSLSCEVCPGREGPIFFGDEQHGFVFSHTFFIKDSLARGFQRWYSIIAIMMDRI
+YLINSWPFLLGKIRGIIDELQGKALKVFEAEQFGCPQRAQRMNTAFTPFLHQRNGNAARS
+LTSLTNDDSLWACLHTSFAWLLKACGSRLTEKLLEGAPTEDTLVQMEQLAELEEESESWD
+NSEAEEEEKGPALPEGAEGRELTKCPAESSLLSDCGAWQPRKLSVFKSLRHMRQVLGAPS
+FRTLAWHVLMGNQVIWKSRDSDLVHSAFEVLRTMLPVGCVRVIPYSSQYEEAYRCNFLGL
+SPHVQIPPHVLASEFAVVVEVHTATRSSLHAVGCESEQPLSKYEFVVTSGSPVAADRVGP
+TILNKMEAALTNQNLSVDVVDQCLVCLKEEWMNKVKVLFKFTKVDSRPKEDTQKLLSILG
+ASEEDNVKLLKFWMTGLSKTYKSHLMSTVRSPTALEPRN
+>sp|A6QLI0|EPDR1_BOVIN Mammalian ependymin-related protein 1 OS=Bos taurus OX=9913 GN=EPDR1 PE=2 SV=1
+MPRRAPLRVARGSLDAWLLGGLWVCALGCLCGVGMAAPGAGAGAGGSLGAQRPCQAPQQW
+EGRQVLYRQSTGRYSRALLSYDGLNQRVRVLDERKALIPCKRLFEYILLYKDGVMFQIEQ
+ATKQCSKITLTEPWDPLDIPQNSTFEDQYSIGGPQEQITVQEWSDRKSARSYETWIGIYT
+VKDCYPVQETVTKNYSVILSTRFFDIQLGIKDPSVFIPPSTCQTAQPERMSEECSW
+>sp|B4XF06|GPR39_BOVIN G-protein coupled receptor 39 OS=Bos taurus OX=9913 GN=GPR39 PE=2 SV=1
+MASPSHPSRDCSQVIDHSHVPEFEVATWIKITLILVYLVIFVVGILGNSVTIRVTQVLQK
+KGYLQKEVTDHMVSLACSDILVFLIGMPMEFYSIIWNPLTTPSYTVSCKVHTFLFEACSY
+ATLLHVLTLSFERYIAICHPFRYKAMSGPCQVKLLIGFVWVTSALVALPLLFAMGVEYPL
+VNVPSHRGLICNRSRTRHQEQPESSNMSICTNLSSRWTVFQSSIFSAFVVYLVVLVSVAF
+MCWSMMQVLRRSKQGTLAAQGQQLQLRKLESQESRSARRQTIIFLELIVVTLAVCWMPNQ
+VRRIMAAAKPKHDWTKSYFRAYMILLPFSDTFFYLSSVVNPLLYNVSSQQFRSVFGQVLR
+CQLTLPHANQEKHLRAHVASTMDSTRSACRPLIFPASQRSSSSARANMVFLSTFHSEAKP
+ESKPQELSCESPEPNSERKPANPATRNGFQEHEV
+>sp|Q2KJ36|DUS6_BOVIN Dual specificity protein phosphatase 6 OS=Bos taurus OX=9913 GN=DUSP6 PE=2 SV=1
+MIDTLRPVPFASEMAISKTVAWLNEQLELGNERLLLMDCRPQELYESSHIESAINVAIPG
+IMLRRLQKGSLPVRALFTRGEDRDRFTRRCGTDTVVLYDESSSDWNENTGGESVLGLLLK
+KLKDEGCRAFYLEGGFSKFQAEFALHCETNLDGSCSSSSPPLPVLGLGGLRISSDSSSDI
+ESDLDRDPNSATDSDGSPLSNSQPSFPVEILPFLYLGCAKDSTNLDVLEEFGIKYILNVT
+PNLPNLFENAGEFKYKQIPISDHWSQNLSQFFPEAISFIDEARGKNCGVLVHCLAGISRS
+VTVTVAYLMQKLNLSMNDAYDIVKMKKSNISPNFNFMGQLLDFERTLGLSSPCDNRVPTQ
+QLYFTTPSNQNVYQVDSLQST
+>sp|Q2T9N1|F1142_BOVIN Protein FAM114A2 OS=Bos taurus OX=9913 GN=FAM114A1 PE=2 SV=1
+MSNKDDLETAVITEATPIREDENCEPVKNFESVDQSAKSESKSEPVASTRKRPESKPSSD
+LETEVLPVQASQAAGKETVSKDVPQSGWGYWGSWGKSLLSSASATVATVGQGISNVIEKA
+ETSLGIPSPSEISTEVQYATGETSAKENGNSSPMSGPFGVFSTISTAVQSTGKSVISGGL
+DALEFIGKKTMDVIAEGDPGFKRTKGLMNRTSTLSQVLREAKEKEELWTSNEVTMETDKK
+THYGLLFDEFQGLSHLEALEMLSRESEIKVKSILNSLSGEELETLKLELEQLKEAFSLAE
+LCEEEEEEKKGGEDFTKEITELFSQLHVSSKPEKLARARNTAYEWIRTSLAKPLKEKEEG
+EKQLEAENTEQINNKSIEDIHAFAIRSLAELTACSIELFHKTAALVLHGRKQEVTTIERS
+RALCQMTVLLCKELSCLSKEFTTCLTTAGVKEKADVLNPLITAVFLEASNSASYIQDAFQ
+LLLPVLEISFIENKTELPEA
+>sp|Q9MYP6|DHB14_BOVIN 17-beta-hydroxysteroid dehydrogenase 14 OS=Bos taurus OX=9913 GN=HSD17B14 PE=2 SV=1
+MAMGTRYAGKVVIVTGGGRGIGAGIVRAFVESGAQVVICDKDEARGRAVERELPGTVFLL
+CDVTREEDVRTLVSETIRRFGRLDCIVNNAGYHPPPQWPEETSAQGFRQLLELNLLGTYT
+LTKLALPHLRKSRGNVINISSLVGAIGQSQAVPYVATKGAVTAMTKALALDESQYGVRVN
+CISPGNIWTPLWEELAASTPDPTATIREGTLAQPLGRMGQPAEVAAAAVFLASEATFCTG
+TELLVTGGAELGYGRKAGQAAPAEAPTTPS
+>sp|A7YY62|F16A2_BOVIN FTS and Hook-interacting protein OS=Bos taurus OX=9913 GN=FAM160A2 PE=2 SV=1
+MERMNWLSRLASRGPGHRVPQGASLQTPVMADPETCLMVFKNHWSQVVRILERQGPRAAP
+GGADDLSAVRNHTYQMLTLLAEDRAVPLVPTAPGPLLEFALREDLLTRVLTWQLQWDELG
+DGVEERRAEQLKLFEMLVSEARQPLLRHGPVREALLILLDACGRPVPSSPALDEGLVLLL
+SQLCVCLAREPSLLEFFLQPPPEPGAAPRLLLFSRLVPFVHREGTLGQQARDALLLLMAL
+SAGSPTVGCYIADHSYFCPVLATGLSALYSSLPRKIEVPGDDWHCLRREDWLGVPALALF
+MSSLEFCNAVIQVAHPLVQKQLVDYIHNGFLVPVMGPALHKTSVEEMIASTAYLELFLRS
+ISEPALLRTFLRFLLLHRHDTHTILDTLVARIGSNSRLCMVSLSLFRTLLNLSCEDVLLQ
+LVLRYLVPCNHVMLSQKPAVRDVDLYGRAADKFLSLIPRCCRHHASSPSRPEHASWARGP
+GSPSVDSSSVVTVHRPSTPSRLALFLRQQSLGGSESPAPAPRSPGLATSPASSPGRRPSP
+VEEPGELEDNYLEYLREARRGVDRCVQACRTWSAPYDGERPPPEPSPVGSRTKKRSLLPE
+EGRDNAGGGEEEELGSGGLAGGARESLGHLPPPQLNGLPGPWPEGAKKVRRVPKEGAGEP
+LEDTSEGMAGLEGFGQELRELEVALSNGGAGSEPPLEPSLPLEEEEAYESFTCSPEPPGP
+FLSSPLRTLNQLPSQPFTGPFMAVLFAKLENMLQNSVYVNFLLTGLVAQLACHPQPLLRS
+FLLNTNMVFQPSVKSLLQVLGSVKNKIESFAASQEDFPALLSKAKKYLIARGKLDWTEGP
+AAGPAPRRSDSLVKSRRPSLGELLLRHAHSPTRARQAAQMVLQPGRDGGAGLGLGGGSPG
+ASTPVLPARGGAPERQGEALRVKNAVYCAVIFPEFLKELAAISQAHAVTSPFLLDTSEEG
+SGPPVSGFGPLNP
+>sp|P02702|FOLR1_BOVIN Folate receptor alpha OS=Bos taurus OX=9913 GN=FOLR1 PE=1 SV=3
+MAWQMTQLLLLALVAAAWGAQAPRTPRARTDLLNVCMDAKHHKAEPGPEDSLHEQCSPWR
+KNACCSVNTSIEAHKDISYLYRFNWDHCGKMEPACKRHFIQDTCLYECSPNLGPWIREVN
+QRWRKERVLGVPLCKEDCQSWWEDCRTSYTCKSNWHKGWNWTSGYNQCPVKAACHRFDFY
+FPTPAALCNEIWSHSYKVSNYSRGSGRCIQMWFDPFQGNPNEEVARFYAENPTSGSTPQG
+I
+>sp|A4IFD2|FOXP1_BOVIN Forkhead box protein P1 OS=Bos taurus OX=9913 GN=FOXP1 PE=2 SV=1
+MMQESGTETKSNGSAIQNGSSGGNHLLECGSLREGRSNGETPAVDVGTADLAHVQQQQQA
+LQVARQLLLQQQQQQQVSGLKSPKRNDKQPALQVPVSVAMMTPQVITPQQMQQILQQQVL
+SPQQLQVLLQQQQALMLQQQQLQEFYKKQQEQLQLQLLQQHAGKQPKEQQQVATQQLAFQ
+QQLLQMQQLQQQHLLSLQRQGLLTIQPGQPALPLQPLTQGMIPTELQQLWKEVTSAHTTE
+ETTGNNHSSLDLTTTCVSSSAPSKTSLIMNPHASTNGQLSVHTPKRESLSHEEHPHSHPL
+YGHGVCKWPGCEAVCEDFQSFLKHLNSEHALDDRSTAQCRVQMQVVQQLELQLAKDKERL
+QAMMTHLHVKSTEPKTAPQPLNLVSSVTLSKSASEASPQSLPHTPTTPTAPITPATQGPS
+VITTTSMHTVGPIRRRYSDKYNVPISSDIAQNQEFYKNAEVRPPFTYASLIRQAILESPE
+KQLTLNEIYNWFTRMFAYFRRNAATWKNAVRHNLSLHKCFVRVENVKGAVWTVDEVEFQK
+RRPQKISGNPSLIKNMQTSHAYCTPLNAALQASMAENSIPLYTTASMGNPTLGNLASAIR
+EELNGAMEHTNSNESDSSPGRSPLQAVHPVHVKEEPLDPEEAEGPLSLVTTANHSPDFDH
+DRDYEDEPVNEDME
+>sp|Q01888|GDC_BOVIN Graves disease carrier protein OS=Bos taurus OX=9913 GN=SLC25A16 PE=2 SV=1
+MAAAAAALAATEPPPAMPQAAGAGGPAARRDFYWLRSFLAGGIAGCCAKTTVAPLDRVKV
+LLQAHNHHYRHLGVFSTLRAVPKKEGYLGLYKGNGAMMIRIFPYGAIQFMAFEHYKTLIT
+TKLGVSGHVHRLMAGSMAGMTAVICTYPLDMVRVRLAFQVKGEHTYTGIIHAFKTIYAKE
+GGFLGFYRGLMPTILGMAPYAGVSFFTFGTLKSVGLSYAPTLLGRPSSDNPNVLVLKTHI
+NLLCGGVAGAIAQTISYPFDVTRRRMQLGAVLPEFEKCLTMRETMKYVYGHHGIRKGLYR
+GLSLNYIRCVPSQAVAFTTYELMKQFFHLN
+>sp|Q5E977|F118B_BOVIN Protein FAM118B OS=Bos taurus OX=9913 GN=FAM118B PE=2 SV=1
+MASTGSQASDIDKILGFFSDGAPPTKKPRKLLPSLKTKKPRELVLVIGTGISAAVAPQVP
+ALKSWKGLIQALLDAAIDFDLLEDEESKKFQKCLHEDKNLVHVAHDLIQKLSPRTSNVRS
+TFFKDCLYEVFDDLESKMEDSGKQLLQSVLHLMENGALVLTTNFDNLLELYAADQGKQLE
+SLDLTDEKKVLEWAQEKRKLSVLHIHGVYTNPSGIVLHPAGYQNVLRNTEVMREIQKLYE
+NKSFLFLGCGWTVDDTTFQALFLEAVKHKSDLEHFMLVRRGDVDEFKKLRENMLDKGIKV
+ISYGNDYADLPEYFKRLTCEISTRGRSAGIVREGQLNGSSTAHSEIRDHST
+>sp|P10064|GBRA3_BOVIN Gamma-aminobutyric acid receptor subunit alpha-3 OS=Bos taurus OX=9913 GN=GABRA3 PE=2 SV=1
+MIITQMSQFYMAGLGLLFLINILPGTTGQVESRRQEPGDFVKQDIGGLSPKHAPDIPDDS
+TDNITIFTRILDRLLDGYDNRLRPGLGDAVTEVKTDIYVTSFGPVSDTDMEYTIDVFFRQ
+TWHDERLKFDGPMKILPLNNLLASKIWTPDTFFHNGKKSVAHNMTTPNKLLRLVDNGTLL
+YTMRLTIHAECPMHLEDFPMDVHACPLKFGSYAYTTAEVVYSWTLGKNKSVEVAQDGSRL
+NQYDLLGHVVGTEIIRSSTGEYVVMTTHFHLKRKIGYFVIQTYLPCIMTVILSQVSFWLN
+RESVPARTVFGVTTVLTMTTLSISARNSLPKVAYATAMDWFMAVCYAFVFSALIEFATVN
+YFTKRSWAWEGKKVPEALEMKKKTPAVPTKKTSTTFNIVGTTYPINLAKDTEFSAISKGA
+APSTSSTPTIIASPKTTCVQDIPTETKTYNSVSKVDKISRIIFPVLFAIFNLVYWATYVN
+RESAIKGMIRKQ
+>sp|Q3SZD5|DCA15_BOVIN DDB1- and CUL4-associated factor 15 OS=Bos taurus OX=9913 GN=DCAF15 PE=2 SV=1
+MAPSSKSERNSGAGSGGGGPGGAGGKRAAGRRREHVLKQLERVKISGQLSPRLFRKLPPR
+VCVSLKNIVDEDFLYAGHIFLGFSKCGRYVLSYTSSSGDDDFSFYIYHLYWWEFNVHSKL
+KLVRQVRLFQDEEIYSDLYLTVCEWPSDASKVIVFGFNTRSANGMLMNMMVMSDENHRDI
+YISTVAVPPPGRCAACRDASRAHPGDPSAQCLRHGFMLHTKYQVVYPFPTFQPAFQLKKD
+QVVLLNTSYSLVACAVSVHSAGDSSFCQILYDHTTYPPAPPSPPGPQSPELPPVLPSLCP
+EAAPAWPSGPPDPSPAIAKAKEFVADIFRRAKEAKGGTSEEVRPPPCPGPSGSRCRLPSE
+PLGPGGEAVPRDSPPAAEAPAPEPGYVNYTKLYYVLGSGEGTEPEDEFEDDKISLPFVVT
+DLRGRNLRPMREQAVVQGQYLTVEQLTLDFEYVINEVIRHDATWGHQFCSFSDYDIVILE
+VCPETNQVLINIGLLLLAFPSPTEEGQLRPKTYHTSLKVAWDLNTGIFVTVSVGDLTEVK
+GQTSGSVWSSYRKSCVDMVMKWLVPESSGRYVNRMTNEALHKGCSLKVLADSERYTWIVL
+>sp|Q3T147|DX39B_BOVIN Spliceosome RNA helicase DDX39B OS=Bos taurus OX=9913 GN=DDX39B PE=2 SV=1
+MAENDVDNELLDYEDDEVETAAGGDGAEAPAKKDVKGSYVSIHSSGFRDFLLKPELLRAI
+VDCGFEHPSEVQHECIPQAILGMDVLCQAKSGMGKTAVFVLATLQQLEPVTGQVSVLVMC
+HTRELAFQISKEYERFSKYMPSVKVAVFFGGLSIKKDEEVLKKNCPHIVVGTPGRILALA
+RNKSLNLKHIKHFILDECDKMLEQLDMRRDVQEIFRMTPHEKQVMMFSATLSKEIRPVCR
+KFMQDPMEIFVDDETKLTLHGLQQYYVKLKDNEKNRKLFDLLDVLEFNQVVIFVKSVQRC
+IALAQLLVEQNFPAIAIHRGMPQEERLSRYQQFKDFQRRILVATNLFGRGMDIERVNIAF
+NYDMPEDSDTYLHRVARAGRFGTKGLAITFVSDENDAKILNDVQDRFEVNISELPDEIDI
+SSYIEQTR
+>sp|Q3SZZ7|FGL1_BOVIN Fibrinogen-like protein 1 OS=Bos taurus OX=9913 GN=FGL1 PE=2 SV=1
+MAKMFSFILVTTALVMGRGSSALENCLQEQARLRAQVYLLETRVKQQQVKISQLLHEKQV
+QLLDKGEENSVIDLGGKRQYADCSEIFNDGYKQSGFYKIKPLQSPAEFSVYCDMSDGGGW
+TVIQRRSDGSENFNRDWSDYENGFGNFVQKNGEYWLGNRNLHLLTTQGDYTLKIDLADFE
+KNSRYAQYKNFKVGDEKNSYDLHIGEYSGTAGDSLTGNFHPEVQWWASHQRMKFSTWDRD
+NDNYEGNCAKEDQSGWWFNRCHSANLNGFYHKGPYTAKTDNGIVWHTWHGWWYSLKSVVM
+KIRPNDFIPNIV
+>sp|Q32LC7|G3BP1_BOVIN Ras GTPase-activating protein-binding protein 1 OS=Bos taurus OX=9913 GN=G3BP1 PE=2 SV=1
+MVMEKPSPLLVGREFVRQYYTLLNQAPDMLHRFYGKNSSYVHGGLDSNGKPADAVYGQKE
+IHRKVMSQNFTNCHTKIRHVDAHATLNDGVVVQVMGLLSNNNQALRRFMQTFVLAPEGSV
+ANKFYVHNDIFRYQDEVFGGFITEPQEESEEEVEEPEERQQTPEVVPDDSGTFYDQTVSN
+DLEEHLEEPVAEPEPEPEPEPEQEPVSEVQEEKSEPVLEETAPEDVQKSSSPAPADIAQT
+VQEDLRTFSWASVTSKNLPPSGAVPVTGIPPHVVKVPASQPRPESKPESQIPLQRPQRDQ
+RVREQRINVPPQRGPRPVREAGEQGDVEPRRIVRHPDSHQLFIGNLPHEVDKSELKDFFQ
+NYGNVVELRINSGGKLPNFGFVVFDDSEPVQKVLSNRPIMFRGEVRLNVEEKKTRAAREG
+DRRDNRLRGPGGPRGGLGGGMRGPPRGGMVQKPGFGVGRSIAPRQ
+>sp|P00435|GPX1_BOVIN Glutathione peroxidase 1 OS=Bos taurus OX=9913 GN=GPX1 PE=1 SV=3
+MCAAQRSAAALAAAAPRTVYAFSARPLAGGEPFNLSSLRGKVLLIENVASLUGTTVRDYT
+QMNDLQRRLGPRGLVVLGFPCNQFGHQENAKNEEILNCLKYVRPGGGFEPNFMLFEKCEV
+NGEKAHPLFAFLREVLPTPSDDATALMTDPKFITWSPVCRNDVSWNFEKFLVGPDGVPVR
+RYSRRFLTIDIEPDIETLLSQGASA
+>sp|Q2TBU3|GOSR1_BOVIN Golgi SNAP receptor complex member 1 OS=Bos taurus OX=9913 GN=GOSR1 PE=2 SV=1
+MAAGTSNYWEDLRKQARQLENELDLKLVSFSKLCTSYSHSSARDGRRDRYSSDTTPLLNG
+SSQDRMFETMAIEIEQLLARLTGINDKMAEYTSSAGVPSLNAALMHTLQRHRDILQDYTH
+EFHKTKANFVAIRERENLMGSVRKDIESYKSGSGVNNRRTELFLKEHDHLRNSDRLIEET
+ISIAMATKENMTSQRGMLKSIQSKMNTLANRFPAVNSLIQRINLRKRRDSLILGGVIGVC
+TILLLLYAFH
+>sp|Q17QF2|EFMT1_BOVIN EEF1A lysine methyltransferase 1 OS=Bos taurus OX=9913 GN=EEF1AKMT1 PE=2 SV=1
+MSDSENEGPPQLSSYALAALQEFYAEQQHHHSDLCGDDKYNIGIIEENWQLSQFWYSPET
+ATCLAEDAVAAAGEGGRIACVSAPSVYQKLRERHRDDVSVCIFEYDRRFAIYGEDFVYYD
+YKNPVDLPERIATHSFDIVVADPPYLSEECLRKMSETIKLLTRGKILLCTGAVMEDAAAK
+LLGVKMCKFIPEHTRTLGNEFRCFVNYNSGLDCNLSVQLPVQEGPK
+>sp|Q32PD0|FCF1_BOVIN rRNA-processing protein FCF1 homolog OS=Bos taurus OX=9913 GN=FCF1 PE=2 SV=1
+MGKQKKTRKYATMKRMLSLRDQRLKEKDRLKPKKKEKKDPSALKEREVPQHPSCLFFQYN
+TQLGPPYHILVDTNFINFSIKAKLDLVQSMMDCLYAKCIPCITDCVMAEIEKLGQKYRVA
+LRIAKDPRFERLPCTHKGTYADDCLVQRVTQHKCYIVATVDRDLKRRIRKIPGVPIMYIS
+NHRYNIERMPDDYGAPRF
+>sp|A5PKG8|DBX1_BOVIN Homeobox protein DBX1 OS=Bos taurus OX=9913 GN=DBX1 PE=2 SV=1
+MMFPGLLAPPAGYPSLLRPTPTLTLPQSLQSAFSGHSSFLVEDLIRISRPPAYLPRGSVP
+TPSMSPPRPGAPAALTDTGASDLGSPGPGSRRGGSPQTAVSPASEPTFLKFGVNAILSSA
+PRTETSPTLLQSVPPKTFAFPYFEGSFQPFIRSSYFPASSSVVPIPGTFSWPLAARGKPR
+RGMLRRAVFSDVQRKALEKMFQKQKYISKPDRKKLAAKLGLKDSQVKIWFQNRRMKWRNS
+KERELLSSGGCREQTLPTKLNPHPDLSDVGQKGPGDDDDEEDEGPGSPRPRLVYHAAPAD
+PRHLRDPRLEAPLPTSPARSGSPDKASDFSDSEDDEEGEEEITVS
+>sp|Q08DQ2|GFPT2_BOVIN Glutamine--fructose-6-phosphate aminotransferase [isomerizing] 2 OS=Bos taurus OX=9913 GN=GFPT2 PE=2 SV=1
+MCGIFAYMNYRVPRTRKEIFETLIKGLQRLEYRGYDSAGVAIDGNNNEVKERHIQLVKKR
+GNVKALDEELYKQDSMDLKVEFETHFGIAHTRWATHGVPSAVNSHPQRSDKGNEFVVIHN
+GIITNYKDLRKFLESKGYEFESETDTETIAKLIKYVFDNRETEDITFSTLVERVIQQLEG
+AFALVFKSIHYPGEAVATRRGSPLLIGVRSKYKLSTEQIPVLYRTRNIENVKNICKTRMK
+RLDSSTCLHAVGNKAVEFFFASDASAIIEHTNRVIFLEDDDIAAVADGKLSIHRVKRLAS
+DDPSRAIQTLQMELQQIMKGNFSAFMQKEIFEQPESVFNTMRGRVNFETNTVLLGGLKDH
+LKEIRRCRRLIVIGCGTSYHAAVATRQVLEELTELPVMVELASDFLDRNTPVFRDDVCFF
+ISQSGETADTLLALRYCKDRRALTVGVTNTVGSSISRETDCGVHINAGPEIGVASTKAYT
+SQFISLVMFGLMMSEDRISLQNRRREIIHGLKSLPELIKEVLSLDEKIHDLALELYTQRS
+LLVMGRGYNYATCLEGALKIKEITYMHSEGILAGELKHGPLALIDKQMPVIMVIMKDPCF
+AKCQNALQQVTARQGRPIILCSKDDTESSKFAYKTIELPHTVDCLQGILSVIPLQLLSFH
+LAVLRGYDVDFPRNLAKSVTVE
+>sp|P20237|GBRA4_BOVIN Gamma-aminobutyric acid receptor subunit alpha-4 OS=Bos taurus OX=9913 GN=GABRA4 PE=2 SV=2
+MVSAKKVPAIAMSFGVSFALLHFLCLAACLNESPGQNQKEEKLCPENFTRILDSLLDGYD
+NRLRPGFGGPVTEVKTDIYVTSFGPVSDVEMEYTMDVFFRQTWIDKRLKYDGPIEILRLN
+NMMVTKVWTPDTFFRNGKKSVSHNMTAPNKLFRIMRNGTILYTMRLTISAECPMRLVDFP
+MDGHACPLKFGSYAYPKSEMIYTWTKGPEKSVEVPKESSSLVQYDLIGQTVSSETIKSIT
+GEYIVMTVYFHLRRKMGYFMIQTYIPCIMTVILSQVSFWINKESVPARTVFGITTVLTMT
+TLSISARHSLPKVSYATAMDWFIAVCFAFVFSALIEFAAVNYFTNVQMEKAKRKTSKAPQ
+EISAAPVLREKHPETPLQNTNANLSMRKRANALVHSESDVGSRTDVGNHSSKSSTVVQGS
+SEATPQSYLASSPNPFSRANAAETISAARAIPSALPSTPSRTGYVPRQVPVGSASTQHVF
+GSRLQRIKTTVNSIGTSGKLSATTTPSAPPPSGSGTSKIDKYARILFPVTFGAFNMVYWV
+VYLSKDTMEKSESLM
+>sp|Q9XSJ4|ENOA_BOVIN Alpha-enolase OS=Bos taurus OX=9913 GN=ENO1 PE=1 SV=4
+MSILKVHAREIFDSRGNPTVEVDLFTAKGLFRAAVPSGASTGIYEALELRDNDKTRYMGK
+GVSKAVEHINKTIAPALVSKKLNVVEQEKIDKLMIEMDGTENKSKFGANAILGVSLAVCK
+AGAVEKGVPLYRHIADLAGNAEVILPVPAFNVINGGSHAGNKLAMQEFMILPVGAENFRE
+AMRIGAEVYHNLKNVIKEKYGKDATNVGDEGGFAPNILENKEALELLKNAIGKAGYSDKV
+VIGMDVAASEFYRSGKYDLDFKSPDDPSRYITPDELANLYKSFIRDYPVVSIEDPFDQDD
+WEAWQKFTASAGIQVVGDDLTVTNPKRIAKAVSEKSCNCLLLKVNQIGSVTESLQACKLA
+QSNGWGVMVSHRSGETEDTFIADLVVGLCTGQIKTVAPCRSERLAKYNQILRIEEELGSK
+AKFAGRSFRNPLAK
+>sp|Q32LH1|DYDC1_BOVIN DPY30 domain-containing protein 1 OS=Bos taurus OX=9913 GN=DYDC1 PE=2 SV=1
+MESAYLHKFLGTCLTEGLAELARMRPVDPIEYLALWIYKYKKNVTMEKQRQEEMAQLEHE
+REVALMEQEMMERLRAEELLFQQQQLEFQLELEEQEKERQRIEELERAQEQFEKELRMSM
+ENMAKEDTLHGEDGADSGKTLAEISDRYGAPNLSRVEELDEPMLSDVALNIDQDL
+>sp|Q2YDE3|GOT1B_BOVIN Vesicle transport protein GOT1B OS=Bos taurus OX=9913 GN=GOLT1B PE=2 SV=1
+MISLTDTQKIGMGLTGFGVFFLFFGMILFFDKALLAIGNVLFVAGLAFVIGLERTFRFFF
+QKHKMKATGFFLGGVFVVLIGWPLIGMIFEIYGFFLLFRGFFPVVVGFIRRVPVLGSLLN
+LPGIRSFVDKVGESNNMV
+>sp|Q3SZB7|F16P1_BOVIN Fructose-1,6-bisphosphatase 1 OS=Bos taurus OX=9913 GN=FBP1 PE=2 SV=3
+MTDQAAFDTNIVTVTRFVMEEGRKARGTGEMTQLLNSLCTAVKAISTAVRKAGIAHLYGI
+AGTTNVTGDQVKKLDVLSNDLVVNVLKSSFATCVLVSEEDEHAIIVEPEKRGKYVVCFDP
+LDGSSNIDCLVSIGTIFGIYKKISKDDPSEKDALQPGRNLVAAGYALYGSATMLVLAMAN
+GVNCFMLDPAIGEFILVDRDVKIKKKGSIYSLNEGYAKDFDPALTEYVQRKKFPPDNSAP
+YGARYVGSMVADVHRTLVYGGIFMYPANKKSPSGKLRLLYECNPMAYVIEKAGGMATTGK
+ETVLDIVPTDIHQKSPIILGSPEDVTEFLEIYKKHAAK
+>sp|Q27994|GLUT4_BOVIN Solute carrier family 2, facilitated glucose transporter member 4 OS=Bos taurus OX=9913 GN=SLC2A4 PE=2 SV=2
+MPSGFQQIGSEDGEPPRQRVTGTLVLAVFSAVLGSLQFGYNIGVINAPQKVIEQSYNETW
+LGRQGPEGPGSIPPGTLTTLWALSVAIFSVGGMISSFLIGIISQWLGRKRAMLFNNALAV
+LGGTLMGLAKAAASYEMLILGRFFIGAYSGLTSGLVPMYVGEIAPTHLRGALGTLNQLAI
+VTGILIAQVLGLESMLGTATLWPLLLGITVLPALLQMVLLPLCPESPRYLYIIRNLEGPA
+RKSLKRLTGWADVSEVLAELKEEKRKLERERPLSLLQLLGSHTHRQPLVIAIVLQLSQQL
+SGINAVFYYSTSIFESAGVEKPAYATIGAGVVNTVFTLVSVFLVERAGRRTLHLLGLAGM
+CGCAILMTVALLLLERVPAMSYVSIVAIFGFVAFFEIGPGPIPWFIVAELFSQGPRPAAM
+AVAGFSNWTCNFIIGMGFQYVADAMGPYVFLLFAVLLLGFFIFTFLKVPETRGRTFDQIS
+AVFHRTPSLLEQEVKPSTELEYLGPDEHD
+>sp|Q5EA84|DOK1_BOVIN Docking protein 1 OS=Bos taurus OX=9913 GN=DOK1 PE=2 SV=1
+MDGAVMEGPLFLQSQRFGTKRWRKTWAVLYPASPHGVARLEFFDHKGSSSGGGRGSSRRL
+DCKVIRLAECVSVAPVAVESPPEPGAASFRLDTAQRSHLLAADAPSSAAWVQTLCQNAFP
+KGSWALAPAENPPKLSALEMLENSLYSPSWEGSQFWVTVQKTEAAERCGLHGSYVLRVEA
+ERLTLLAPGAQRQILEPLLFWPYTLLRRYGRDKVMFSFEAGRRCPSGPGTFTFQTAQGND
+IFQAVETAIHRQKIQGKAGQGQDVLRADSHEGEVADGKLASLAAPLELPGSPPALYSEPL
+DSLRIPPGPSQDSLYSDPLDSTPARAGEGTQLKKALYWDLCEHVQQKLIKAKLTDPKEDP
+IYDEPEGLAPATLRGLYDLPQEPKDAWWCQARVKEEGYELPYNPAMDDYAVPPPRSTKPF
+PAPKPQGLALSESGAATGSGSQGHSSDTALYSQVQKSGASGSWDCGLSGVVTDRTGAKSE
+GST
+>sp|Q0II26|AIMP2_BOVIN Aminoacyl tRNA synthase complex-interacting multifunctional protein 2 OS=Bos taurus OX=9913 GN=AIMP2 PE=2 SV=1
+MPMYQVKPYHEGSGSLRVELPTCMYRLPNVHGRTGSPAPSADHVQEASDPSLQALESHQD
+DILKRLYELKAAVDGLSKMIQTPDADLDVTNIIQADEPAALSTSTVDLNAMLGQDHGALK
+DIVINANPASPPLSLLVLHRLLCDHYKVLSSVHTHSAVRSVPANLLQCFGEQTRQQPRHE
+YQLGFTLIWKDVPKTQMKFSVQTMCPIEGEGNIARFLFSLFGQKQDAVNLTLIDSWVDIA
+IFQLKEGSSKEKAAVFRSMNSALGKTPWLVGDELTVADVVLWSVLRQTGGCGGMAPANVQ
+KWMQACENLAPFHTALKLLQ
+>sp|A8E4L3|CH082_BOVIN UPF0598 protein C8orf82 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MWAMSGALRPWALILARSPGARTYAGGGGVSYTQGQSPEPRTREYFYYVDHQGQLFLDDS
+RMKNFTTCFKDPQFLVMFFSRLRPNRSGRYEAYFPFLSLCGRERNFLRCEDRPVVFTHLL
+AAGPAPQRLSYCGGGEALAVPFEPARLLPLATNGRLYHPAPERAGGVGLVRSALAFELSA
+CFEYAPGAPELPSHVRWQGRRFALTMDLAPLLLAAPPP
+>sp|O77802|ANGP2_BOVIN Angiopoietin-2 OS=Bos taurus OX=9913 GN=ANGPT2 PE=2 SV=3
+MWQLVFLTLSCDLAVATAHSGSRKGMDIAAGKKQYQVQHGACSYTFLLPETDHCRSPSSA
+YVPNAVQRDAPLDYDDSVQRLQVLENIMENNTQWLMKLENYIQDNMKKEMVEIQQNAVQN
+QTAVMIEIGTNLLNQTAEQTRKLTDVEAQVLNQTTRLELQLLEHSLSTNKLEKQILDQTS
+EISKLQDKNSFLEKKVLDMEDKHIVQLRSIKEEKDQLQVLVSKQNSIIEELEKQLVTATV
+NNSVLQKQQHDLMETVNNLLTLMSTSNPSYSLLAKDEQIIFRDCGEAFKSGLTTSGVYTL
+TFPNSTEEIKAYCDMETGGGGWTVIQRREDGSVDFQRTWKEYKVGFGNPSGEHWLGNEFV
+SQVTGQKRYVLKIHLRDWEGNEAYSLYDHFYLSNEELNYRIHLKGLTGTAGKISSISQPG
+NDFSTKDADNDKCICKCSQMLTGGWWFDACGPSNLNGMYYPQRQNTNKFNGIKWYYWKGS
+GYSLKATTMMIRPADF
+>sp|Q32PB5|CC022_BOVIN Uncharacterized protein C3orf22 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MDPKAPKKSRQGKKSKARTQEKFARKFPYRFSWLTETSSEPLRPWVLTKMSSLLREQLPL
+QKTLLPTRSIPVRGLGAPDFPPPSCLQPPPSPPRNLWELALLTRRFPRLAAPPPTPRSPA
+LHHQSLGHSAPRRS
+>sp|Q49BZ4|CLC7A_BOVIN C-type lectin domain family 7 member A OS=Bos taurus OX=9913 GN=CLEC7A PE=2 SV=1
+MEYQSSVENLDEDGYTQLDFSSRNITRRSVVSEKGLCAASSHWRLIAVTLGILCSVMLVI
+TVVLSTSGIWRSSSGNNLLKSDSFPSRNKDNQSQPTQSSLEDSVIPTKALTTTGVFSSSC
+PPNWITHEDSCYLFSTLLDSWDGSKRQCFQLGSHLLKIDSSKELEFISRQVSSQPDHSFW
+IGLSRRQTEEPWLWEDGSTLLSNLFQIRSTVTEKDSSHNCAWIHVSDIYDQLCSVHSYSI
+CEKKLSV
+>sp|Q2T9M0|CD047_BOVIN UPF0602 protein C4orf47 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MPAEGGKTDMERIGLFSEMEYITVGDKYVSQFNRPFNESASKNRQILPGGSKEMSNLQAG
+YFDPHFVRIFEGESYVNPNQVRRRYMMEEAKKNLSKAFLPSNGEKKPCGLGSYYGTIGGP
+VPFFSAQSKPKEKYEPPGKNLYTNPGKKGTGYGYANITIGKQFSHSSDLYDAAKLNNKKE
+NEEHRRLLKGTAFKLNLYTREYFDTNPYMSEKPLPPIKKVEKKETVGNPFKPSSPGKKAG
+GMKAGTFDPYPSHSADPYVVKLKSPSSKSAKVFHPPGGPKSRPIESIMALNVKRALNMKN
+YKTASVQSY
+>sp|Q32KT7|CJ120_BOVIN Uncharacterized protein C10orf120 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MIREWENGCPKIGKQRARDSRAQERMTTEGKLNKNGIPARVFNISDSFLNKESLSSQGDV
+CPASPLGIWTKFYKSDPRIALGKYSPLEKEILRLGGVHTVAARRFLTYKQEEERKMLKEL
+QTLSADYKRVVDCRRQHTSPCATCGSLGKMWTAKVIVSPEEFRMPRRERLNVSKHIERMQ
+LARALRSKQLLPYIERFRGSSLLPSGGLGPMARARAGEGQDDGNTDDGNDVHQKGRGEVE
+SKTSKRQEIKMNVIFKSEEPQKCITSHPNDLKPFFPAKKAERSITGLTNRSLLHVSEFPG
+DLMLMNQDFLSRGIYPSYASQATRLEEENAWKEYMCKVAPHHY
+>sp|P04973|CLCA_BOVIN Clathrin light chain A OS=Bos taurus OX=9913 GN=CLTA PE=1 SV=1
+MAELDPFGVPAGGPALGNGVAGEEDPAAAFLAQQESEIAGIENDEAFAILDGGAPGSQPH
+GEPPGIPDAVDGVTNGDYYQESNGPTDSYAAISQVDRLQSEPESIRKWREEQTERLEALD
+ANSRKQEAEWKEKAIKELDEWYARQDEQLQKTKANNRVADEAFYKQPFADVIGYVTNINH
+PCYSLEQAAEEAFVNDIEESSPGTEWERVARLCDFNPKSSKQAKDVSRMRSVLISLKQAP
+LVH
+>sp|Q5E9N2|CNBP1_BOVIN Beta-catenin-interacting protein 1 OS=Bos taurus OX=9913 GN=CTNNBIP1 PE=3 SV=1
+MNREGAPGKSPEEMYIQQKVRVLLMLRKMGSNLTANEEEFLRTYAGVVNSQLSQLPQHSI
+DQGAEDVVMAFSRSETEDRRQ
+>sp|P80219|DDN1_BOVIN Duodenase-1 OS=Bos taurus OX=9913 GN=BDMD1 PE=1 SV=2
+MVLLLLLVALLSPTGEAGKIIGGHEAKPHSRPYMAFLLFKTSGKSHICGGFLVREDFVLT
+AAHCLGSSINVTLGAHNIMERERTQQVIPVRRPIPHPDYNDETLANDIMLLKLTRKADIT
+DKVSPINLPRSLAEVKPGMMCSVAGWGRLGVNMPSTDNLQEVDLEVQSEEKCIARFKNYI
+PFTQICAGDPSKRKNSFSGDSGGPLVCNGVAQGIVSYGRNDGTTPDVYTRISSFLSWIHS
+TMRRYKRQGSV
+>sp|Q5EA26|DJC18_BOVIN DnaJ homolog subfamily C member 18 OS=Bos taurus OX=9913 GN=DNAJC18 PE=2 SV=1
+MAATLGSGERWTEAYIDAVRRNKYPEDRPPESHDPCGCCNCMKGQKEKKSENEWSQTRQG
+EGNSTYTEEQLLGVQRIKKCRNYYEILGVSRDASDEELKKAYRKLALKFHPDKNCAPGAT
+DAFKAIGNAFAVLSNPDKRLRYDEYGDEQVTFTAPRARPYNYYRDFETDITPEELFNVFF
+GGHFPTGNIHMFSNVTDDTHYYRRRHRHERMQTRKEEEEDKPQTTYSAFIQLLPVLVIVI
+ISVITQLLAANPPYSLFYKSTLGHTISRETQNLQVPYFVDKNFDKAYRGASLRDLEKTIE
+KDYIDYIQTSCWKEKQQKSELTNLAGLYRDERLKQKAESLKLENCEKLSKLIGLRRGG
+>sp|Q8HYW0|FA13A_BOVIN Protein FAM13A OS=Bos taurus OX=9913 GN=FAM13A PE=2 SV=1
+MACEIMPLQSSQEDERPMSPFYLSSAHVSQVSSVSSTGELLERTIRSAVEQHLFDVNSSG
+GQSSEDSESGASSSSSTSTKQRRRQAKEQDESRHSRDVGRLNKENIPSGFSNLDECMLNA
+CEVEKLYENTSGYIGERGKPKRQKSSSRLSELNENQDGLVNMENLNPTPSHERTGSDHVE
+LISDGSKENEKDGRQSQHLENPTMKIQEHPSLPDSKLQRTPDADDQQESFVSEVPELDLT
+ALCDQKSWEEPIPAFSSWQQESVDADEARLSPQAGRLIRQLLEEDSDPMLSPRFYAYGQS
+RQYLDDTEMPPSPPNSHPFMRRRSSSLGSYEDEQEDLTPAQLTRRIQSLKKKIRKFEDRF
+EEERKYRPSHSDKAANPEVLKWTNDLAKFRKQLKESKLKISEEDLPPRMRQRSNTLPKSF
+GSQLEKEDEKKQELVDKAIKPSVEATLESIQRKLQEKRAETSRPEDIKDMTKDQIANEKV
+ALQKALLYYESIHGRPVSKNERQVMKPLYDRYRLVKQILSRASTIPIIGSPSSKRRSPLL
+QPIIEGETASFFKEIKEEEEGSEDDNYTKPDFMVTLKTDFSARCFLDQFEDDADGFISPM
+DDKIPSKCSQDTGLSNLHAASIPELLEHLQEMREEKKRIRKKLRDFEDNFFRQNGRNVQK
+EDRTPMAEEYNEYKHIKAKLRLLEVLISKRDTDSKSM
+>sp|E1BPQ1|FOXO1_BOVIN Forkhead box protein O1 OS=Bos taurus OX=9913 GN=FOXO1 PE=3 SV=2
+MAEAPQVVEIDPDFEPLPRPRSCTWPLPRPEFSQSNSATSSPAPSGGAAANPDGAAGLPS
+ASAAAVNADFMSNLSLLEESGDFQQAPGSVAAAAPLSQHPPVPPAAAAAAAGGQLAGQPR
+KSSSSRRNAWGNLSYADLITKAIESSAEKRLTLSQIYEWMVKSVPYFKDKGDSNSSAGWK
+NSIRHNLSLHSKFIRVQNEGTGKSSWWMLNPEGGKSGKSPRRRAASMDNNSKFAKSRGRA
+AKKKASLQSGQEGAGDSPGSQFSKWPASPGSHSNDDFDNWSTFRPRTSSNASTISGRLSP
+IMTEQDDLGDGDVHSMVYPPSAAKMASTLPSLSEISNPENMENLLDNLNLLSSPTSLTVS
+TQSSPGTMMQQTPCYSFAPPNTSLNSPTPNYQKYTYGQSSMSPLPQMPMQTLQDNKSSYG
+GMSQYCAPGLLKELLTSDSPPHNDIMTPVDPGVAQANSRVLGQSVLMGPNSVMPAYGGQA
+SHNKMMTPSSHTHPGHAQSTSAVNGRALPHAVNTMPHTSGMNRLAPVKTALQVPLAHPMQ
+MSALGGYSSVSSCNGYGRMGVLHQEKLPSDLDGMFIERLDCDMESIIRNDLMDGDTLDFN
+FDNVLPNQSFPHSVKTTTHSWVSG
+>sp|Q2KHT8|DIM1_BOVIN Probable dimethyladenosine transferase OS=Bos taurus OX=9913 GN=DIMT1 PE=2 SV=1
+MPKIKSAASGRRRERQQQRGQLKSAGGLMFNTGIGQHILKNPLIVNSIIDKAALRPTDVV
+LEVGPGTGNMTVKLLEKAKKVIACELDPRLVAELHKRVQGTPLASKLQVMVGDVLKADLP
+FFDACVANLPYQISSPFVFKLLLHRPFFRCAVLMFQREFALRLVAKPGDKLYCRLSINTQ
+LLARVDHLMKVGKNNFRPPPKVESSVVRIEPKNPPPPINFQEWDGLVRITFVRKNKTLSA
+AFKSSAVQQLLEKNYRIHCSVHNIIIPEDFSIADKIQQILTSTGFSDKRARSMDIDDFIR
+LLHGFNAEGIHFS
+>sp|Q2HJ87|GMEB1_BOVIN Glucocorticoid modulatory element-binding protein 1 OS=Bos taurus OX=9913 GN=GMEB1 PE=2 SV=1
+MANAEVSVPVGDVVVVPTEGNEGENPEDTKTQVILQLQPVQQGIYEAGSENNTAVVAVET
+HTIHKIEEGIDASTIEANEDMEIAYPITCGESKAILLWKKFVCPGINVKCVKFNDQLISP
+KHFVHLAGKSTLKDWKRAIRLGGIMLRKMMDSGQIDFYQHDKVCSNTCRSTKFDLLISSA
+RAPVPGQQTSVVQTPTSADGSITQIAISEESMEEAGLEWNSALTAAVTMATEEGVKKDSE
+EISQDTLMFWKGIADVGLMEEVVCNIQKEIEELLRGVQQRLIQAPFQVTDAAVLNNVAHT
+FGLMDTVKKVLDNRKNQVEQGEEQFLYTLTDLERQLEEQKKQAQDHRLKSQTVQNVVLMP
+VSTPKPPKRPRLQRPASTTVLSPSPPVHQPQFTVISPITITPVGQSFSMGNIPVATLSQG
+SSPVTVHTLPSGPQLFRYATVVSSAKSSSPDTVTIHPSSSLALLSSTAMQDGSTLGNMTT
+MVSPVELVAMESGLTSAIQAVESTSEDGQTIIEIDPAPDPEAEDTEGKAVILETELRTEE
+KVVAEMEEHQHQVHNVEIVVLED
+>sp|Q0VC53|DOHH_BOVIN Deoxyhypusine hydroxylase OS=Bos taurus OX=9913 GN=DOHH PE=1 SV=1
+MVTEQEVEAVGQTLVDPGQPLQARFRALFTLRGLGGPVAISWISRAFDDDSALLKHELAY
+CLGQMQDRRAIPVLLDVLRDTRQEPMVRHEAGEALGAIGDPEVLEILKQYSTDPVVEVAE
+TCQLAVRRLEWLQQHGGESAVRGPYLSVDPAPPAEERDLGQLREALLDEARPLFDRYRAM
+FALRDAGGKEAALALAEGLRCGSALFRHEIGYVLGQMQHEAAVPQLAAALAQPTENPMVR
+HECAEALGAIARPACLAALRAHVADPERVVRESCEVALDMYEYETGSTFQYADGLERLRS
+PLS
+>sp|P29702|FNTA_BOVIN Protein farnesyltransferase/geranylgeranyltransferase type-1 subunit alpha OS=Bos taurus OX=9913 GN=FNTA PE=2 SV=2
+MAAADGVGEAAQGGDPGQPEPPPPPQPHPPPPPPQPPQEEAAAASPIDDGFLSLDSPTYV
+LYRDRPEWADIDPVPQNDGPNPVVQIIYSEKFQDVYDYFRAVLQRDERSERAFKLTRDAI
+ELNAANYTVWHFRRVLLKSLQKDLHEEMNYISAIIEEQPKNYQVWHHRRVLVEWLRDPSQ
+ELEFIADILTQDAKNYHAWQHRQWVIQEFKLWDNELQYVDQLLKEDVRNNSVWNQRYFVI
+SNTTGYNDRAILEREVQYTLEMIKLVPHNESAWNYLKGILQDRGLSKYPNLLNQLLDLQP
+SHSSPYLIAFLVDIYEDMLENQCDNKEDILNKALELCEILAKEKDTIRKEYWRYIGRSLQ
+SKHSTESDPPTNVQQ
+>sp|Q867A9|EDN2_BOVIN Endothelin-2 OS=Bos taurus OX=9913 GN=EDN2 PE=2 SV=1
+MPTALCSIALALLVALHEGKSQAATTPIPEQPAPLPRARGSHLRTRRCSCSSWLDKECVY
+FCHLDIIWVNTPGQTAPYGLGNPPRRRRRSLPRRCECYSARDPACATFCHQRPWTDAVAV
+PGSGSPAAAFQDGKTQATAGELLQRLRVISATKIHFARQQQKPTRETRPTHSRQRKR
+>sp|Q3T0Z7|DHPR_BOVIN Dihydropteridine reductase OS=Bos taurus OX=9913 GN=QDPR PE=2 SV=1
+MAAAAGEARRVLVYGGRGALGSRCVQAFRARNWWVASIDVQENEEASANVVVKMTDSFTE
+QADQVTAEVGKLLGTEKVDAILCVAGGWAGGNAKSKSLFKNCDLMWKQSVWTSTISSHLA
+TKHLKEGGLLTLAGARAALDGTPGMIGYGMAKAAVHQLCQSLAGKSSGLPPGAAAVALLP
+VTLDTPVNRKSMPEADFSSWTPLEFLVETFHDWITEKNRPSSGSLIQVVTTEGKTELTAA
+SP
+>sp|P49355|FNTB_BOVIN Protein farnesyltransferase subunit beta OS=Bos taurus OX=9913 GN=FNTB PE=2 SV=1
+MASPSSFTYCCPPSSSPIWSEPLYSLRPEHARERLQDDSVETVTSIEQAKVEEKIQEVFS
+SYKFNHLVPRLVLQREKHFHYLKRGLRQLTDAYECLDASRPWLCYWILHSLELLDEPIPQ
+MVATDVCQFLELCQSPEGGFGGGPGQYPHLAPTYAAVNALCIIGTEEAYDVINREKLLQY
+LYSLKQPDGSFLMHDGGEVDVRSAYCAASVASLTNIITPDLFEGTAEWIARCQNWEGGIG
+GVPGMEAHGGYTFCGLAALVILKKERSLNLKSLLQWVTSRQMRFEGGFQGRCNKLVDGCY
+SFWQAGLLPLLHRALHAQGDPALSMSRWMFHQQALQEYILMCCQCPTGGLLDKPGKSRDF
+YHTCYCLSGLSIAQHFGSGAMLHDVVLGVPENALQPTHPVYNIGPDKVIQATMHFLQKPV
+PGFEEHEDEASAEPATD
+>sp|P02698|GBG1_BOVIN Guanine nucleotide-binding protein G(T) subunit gamma-T1 OS=Bos taurus OX=9913 GN=GNGT1 PE=1 SV=2
+MPVINIEDLTEKDKLKMEVDQLKKEVTLERMLVSKCCEEFRDYVEERSGEDPLVKGIPED
+KNPFKELKGGCVIS
+>sp|Q32KS2|DNAI1_BOVIN Dynein intermediate chain 1, axonemal OS=Bos taurus OX=9913 GN=DNAI1 PE=2 SV=1
+MLPASSKMPHKQPPPPRKQSISMGRGARKRDEDSGTEVGEGTDEWVQSKATVKPPDQLDL
+TDAELKEEFTRILTANNPHAPQNIVRYSFKEGTYKLIGFVDQLAVHFTQVGNLIPKDSDE
+GRRQHYRDELAASSQESAKVVISETEILEEEEEPKEVEAGSQTDVPIVEASEKMAEEELM
+TPKQPKERKLTNKFNFSERASQTFNNPLRDRECQMEPPPRTNFSATANQWEIYDAYMEEL
+EKQEKTKEKEKTKTPVAKKMGKMAMRKMTSMESQSDDITKVTQAAKIVERMVNQNTYDDV
+AQDFKYYEDAADEYRDQEGTLLPLWKFQNDKAKRLAVTALCWNPKYNDLFAVGHGSYDFM
+KQSRGMLLLYSMKNPSFPEYIFSSESGIMCLDMHVDHPYLVVVGHYDGNVAIYNLKKPHS
+QPSFRSSAKSGKHTDPVWQVRWQKDDMDHNLNFFSVSSDGRIVSWTLVKSELVHTDVIKL
+KVEGSTTEGLEGLQIHTVGCGTAFDFHREIDYLFLVGTEEGKIYKCSKSYSSQFLDTYDA
+HNMAVDAVSWNPYHTKVFMSCSSDWTVKIWDHTIKTPMFIYDLNSAVGDVAWAPYSSTVF
+AAVTTNGKTHVFDLSINKYEAICNQPVVAKKKNKLTHVQFNPIHPIIIVGDDRGHVTCLK
+LSPNLRKMPKEKKGQEVQKGPAVEIAKLDKLLNLVREVKTKT
+>sp|Q3ZBN4|CUED2_BOVIN CUE domain-containing protein 2 OS=Bos taurus OX=9913 GN=CUEDC2 PE=2 SV=1
+MELERIVSAALLAFVQTHLPEADLSGLDDVIFSYVLGVLGDLGPSGPSEENFDMEAFTEM
+MEAYVPGFAHIPRGTIGDMIQKLSGQLSGARNKENVQPQSSEVQGQVSISPEPLQRPEKL
+KEETMSSAGDTQDEAAGPEEELLPGVDVLLEVFPTCSVEQAQWVLAKARGDLEEAVQMLV
+EGKQGPPAWDGPNQDLPRRLRGPQKDELKSFILQKYMMVDSAEDQKIHRPMAPKEAPKKL
+IRYIDNQIVSTKGERFKDVRNPEAEEMKATYINLKPARKYRFH
+>sp|Q3T0T0|GDE1_BOVIN Glycerophosphodiester phosphodiesterase 1 OS=Bos taurus OX=9913 GN=GDE1 PE=2 SV=1
+MWLWEEQGGLMGPFSFLLLVLLLLTRSPFNACLFTGSLYLLLRLFSFEPVPSRRAMQVLK
+PRDRVSAIAHRGGSHDAPENTLAAIRQAAKNGAAGVELDLEFTADGIPVLMHDSTVDRTT
+DGTGRLCDLTFEQIRKLNPAANHRLRNDFPNEKIPTLREAVAECLNHNLTIFFDVKGHAY
+KATDALKKVYMEFPKLYNNSIVCSFLPEVIYKMRQTDQNVVTALIHRPWSLSHTGDGKPR
+FESFWKQSMFVALDILLDWSMHNILWYLCGVSAFLAQKDFISPDYVKKWSAKGIQVVAWT
+VNTFDEKSYYESHLGSSYITDSMLEDCTPEF
+>sp|P28088|EDNRB_BOVIN Endothelin receptor type B OS=Bos taurus OX=9913 GN=EDNRB PE=1 SV=1
+MQPLPSLCGRALVALILACGVAGIQAEEREFPPAGATQPLPGTGEMMETPTETSWPGRSN
+ASDPRSSATPQIPRGGRMAGIPPRTPPPCDGPIEIKETFKYINTVVSCLVFVLGIIGNST
+LLRIIYKNKCMRNGPNILIASLALGDLLHIIIDIPINTYKLLAKDWPFGVEMCKLVPFIQ
+KASVGITVLSLCALSIDRYRAVASWSRIKGIGVPKWTAVEIVLIWVVSVVLAVPEAVGFD
+IITSDHIGNKLRICLLHPTQKTAFMQFYKTAKDWWLFSFYFCLPLAITALFYTLMTCEML
+RKKSGMQIALNDHLKQRREVAKTVFCLVLVFALCWLPLHLSRILKLTLYDQHDPRRCEFL
+SFLLVLDYIGINMASLNSCINPIALYLVSKRFKNCFKSCLCCWCQSFEEKQSLEEKQSCL
+KFKANDHGYDNFRSSNKYSSS
+>sp|P84466|ERG7_BOVIN Lanosterol synthase OS=Bos taurus OX=9913 GN=LSS PE=1 SV=2
+MTEGTCLRRRGGPYKTEPATDLSRWRLSNQVGRQTWTYSQEEDPVREQSGLEAHLLGLDT
+KSFFKDLPKAHTACRGALNGVTFYAALQTEDGHWAGDYGGPLFLLPGLLITCHVANIPLP
+AGYREEIIRYLRSVQLPDGGWGLHIEDKSTVFGTALNYVSLRILGVGPDDPDLVRARNLL
+HKKGGAVFIPSWGKFWLAVLNVYSWEGLNTLFPEMWLFPDWMPAHPSTIWCHCRQVYLPM
+AYCYSTRLSAEEGPLVQSLRQELYLEDYSCIDWAAHRNSVAPDDLYTPHSWLLHVVYAIL
+NLYERHHSTSLRQWATQKLYEHIAADDRFTKCISIGPISKTINMLVRWHVDGPASAVFQE
+HVSRIPDYLWLGLDGMKMQGTNGSQIWDTAFAIQALLEARAQHRPEFWSCLRKAHEYLRI
+SQVPDNFPDYQKYYRHMSKGGFSFSTLDCGWIVADCTAEALKSILLLQEKCPFVSNHVPR
+ERLFDTVAVLLSLRNPDGGFATYETKRGGHLLELLNPSEVFGDIMIDYTYVECTSAVMQA
+LKTFHKQFPDHRAGEIRETLEQGLQFCRQKQRPDGSWEGSWGVCFTYGAWFGLEAFACMG
+HTYHNGVACAEISRACDFLLSRQMADGGWGEDFESCKQRRYVQSAQSQIHNTCWALMGLM
+AVRHPDVAALERGVSYLLEKQLPNGDWPQENISGVFNKSCAISYTSYRNVFPIWTLGRFS
+RLHPDPALAGHP
+>sp|A2VE23|DMKN_BOVIN Dermokine OS=Bos taurus OX=9913 GN=DMKN PE=2 SV=1
+MKLKGSLACLLLFLLLGSGEASPLQSGEENTREEVGEAIGHGVGEALGDVLGEAAIHGIE
+KAIGQGTGKTEGLGIREARDPHLGDALAHKLKEASHALKNTGSEADRQAENIIGHGVDPA
+HKSWQGTPGSNGAWGTNGQPPSGGHGIPGSQGSSGGPGDTQDQVFSGGSGGSFGANAQGG
+SWGQGGHRGAFNLGANSQGTSPQPGSVRSNNNRNTECTTPPGSGGSSGNSGGSSSSGSST
+NGGGSSGGSNGGSNGSTSSNSGSSNGGGSSNSGGSNGGGSNGGGSSNGGGSNAGSSGSSG
+SSSDTRNSDHGGSSQGYNPSPSSGSRVGSGVRNKPECDNPHVSGGSGGQGQGSSGEGEAV
+SGINTLNSQTSSESFNFDTFWKNFKSKLGFINWDALNKGQAPPPSTRALLYFRRLWEDFK
+HNTPFLNWKVITEGEDLPSLQKRAGGAGQPGTGWQDAVAGTAKNYNYNQQGPPAALGGQY
+PAKTPAKGGVTVSSSASRTYPGLLQWVKFW
+>sp|Q2NKU6|DPY30_BOVIN Protein dpy-30 homolog OS=Bos taurus OX=9913 GN=DPY30 PE=3 SV=1
+MEPEQMLEGQTQVAENPHSEYGLTDNVERIVENEKINAEKSSKQKVDLQSLPTRAYLDQT
+VVPILLQGLAVLAKERPPNPIEFLASYLLKNKAQFEDRN
+>sp|Q4A1L4|BECN1_BOVIN Beclin-1 OS=Bos taurus OX=9913 GN=BECN1 PE=1 SV=1
+MEGSKTSSSTMQVSFVCQRCSQPLKLDTSFKILDRVTIQELTAPLLATAQLKPGETQEEE
+ANSGEEPFIETRQDGVSRRFIPPARMMSTESANSFTLIGEASDGGTMENLSRRLKVTGDL
+FDIMSGQTDVDHPLCEECTDTLLDQLDTQLNVTENECQNYKRCLEILEQMNEDDSEQLGL
+ELKELALEEERLIQELEDVEKNRKIVAENLEKVQAEAERLDQEEAQYQREYSEFKRQQLE
+LDDELKSVENQMRYAQMQLDKLKKTNVFNATFHIWHSGQFGTINNFRLGRLPSVPVEWNE
+INAAWGQTVLLLHALANKMGLKFQRYRLVPYGNHSYLESLTDKSKELPLYCSGGLRFFWD
+NKFDHAMVAFLDCVQQFKEEVEKGETRFCLPYRMDVEKGKIEDTGGSGGSYSIKTQFNSE
+EQWTKALKFMLTNLKWGLAWVSSQFYNK
+>sp|Q32L75|CA189_BOVIN Uncharacterized protein C1orf189 homolog OS=Bos taurus OX=9913 PE=4 SV=1
+MSMEKMGKVEEHFQRALELKKMVHRWRNSHTHCLWQITLSQRRNPYAILRMQDTMVQELA
+LANKQLLMVRQAALHQLFEKEHQQYQQELNEKGKAFYMERL
+>sp|P00829|ATPB_BOVIN ATP synthase subunit beta, mitochondrial OS=Bos taurus OX=9913 GN=ATP5F1B PE=1 SV=2
+MLGLVGRVVAASASGALRGLSPSAPLPQAQLLLRAAPAALQPARDYAAQASPSPKAGATT
+GRIVAVIGAVVDVQFDEGLPPILNALEVQGRETRLVLEVAQHLGESTVRTIAMDGTEGLV
+RGQKVLDSGAPIRIPVGPETLGRIMNVIGEPIDERGPIKTKQFAAIHAEAPEFVEMSVEQ
+EILVTGIKVVDLLAPYAKGGKIGLFGGAGVGKTVLIMELINNVAKAHGGYSVFAGVGERT
+REGNDLYHEMIESGVINLKDATSKVALVYGQMNEPPGARARVALTGLTVAEYFRDQEGQD
+VLLFIDNIFRFTQAGSEVSALLGRIPSAVGYQPTLATDMGTMQERITTTKKGSITSVQAI
+YVPADDLTDPAPATTFAHLDATTVLSRAIAELGIYPAVDPLDSTSRIMDPNIVGSEHYDV
+ARGVQKILQDYKSLQDIIAILGMDELSEEDKLTVSRARKIQRFLSQPFQVAEVFTGHLGK
+LVPLKETIKGFQQILAGEYDHLPEQAFYMVGPIEEAVAKADKLAEEHS
+>sp|Q3T191|CIDEB_BOVIN Cell death activator CIDE-B OS=Bos taurus OX=9913 GN=CIDEB PE=2 SV=1
+MEYLSNLDPSSLLRSVSNMSADLGRKVWTSAPPRQRPFRVCDNKRTTRKGLTAATRQELL
+DKALEALVLSGALTLVLEEDGTTVESEEFFQLLEDDTCLMVLELGQSWSPRRSGVLSYGL
+GQEKPKHSKDIARITFDVYKQSPRDLFGSLNIKATFYGLYSLSCDIQGLGPKKILRELLR
+WASSLLQGLGHMLLGISSTLRRAVEGTERWQRQGRLKPY
+>sp|Q5E9R6|CERS4_BOVIN Ceramide synthase 4 OS=Bos taurus OX=9913 GN=CERS4 PE=2 SV=1
+MWSSLNDWLWNERLWLPANISWAQLEDHDGLVFPHPQDTLMAVPLALALVVVRFTFERFV
+ALPLSRWLGVRNQIRRPADPNATLEKHYLMKGREPTESQMNLLATQCGLTLRQTQCWFRR
+RRNQDRPCLTKKFCESSWKFVFYLCCFVCGTMVLYHESWLWTPVKCWENYPHQPLKPGLY
+HWYLLELSFYISLLMTLPFDTKRKDFKEQVIHHFVTIILISFSYSLNLLRIGSLVLLLHD
+SADYLLEASKLFNYMHWRRMCDTLFIIFSLVFFYTRLVLFPTRILYTTFFESIGNFSPFF
+GYYFLNILLVILQLLHVFWSWLILCMIYSFIKKGQMEKDVRSDVEELDSSDGEAAEECPQ
+MKNGAAQRPGAAPTDGPRSRAAGRMVNRHTPAT
+>sp|Q2KI89|ARMC9_BOVIN LisH domain-containing protein ARMC9 OS=Bos taurus OX=9913 GN=ARMC9 PE=2 SV=1
+MVDVLAHESELLGLVKEYLDFAEFEDTLKTFLKECKIKGKPLSKSTCGSLRDPKSLKFQR
+DLLAAFDSGDQKVFFRLWEEHIPRPIRDGDSLAQKLEFYLHIHFAIYLLKHSAGRPDKED
+LDERISYFKTFLETKGAALSQTTEFLPFYALPFVPNPMAHPSFKELFQDSWTSELKLKLE
+KFLALMFKASNTPKLLTLYKENGQSNKDVLQQLHQQLVEAERRSMTYLKRYNRIQADYHN
+LIGVTAELVDSLEATVSGKMITPEYLQSVCVRLFSNQMRQSLAHSVDFTRPGTASTMLRA
+SLAPVKLKDVPLLPSLDYEKLKKDLILGSDRLKAFLLQALRWRLTTSHPGEQRETVLQAY
+ISNDLLDCHSHSQRSVLQLLQSKSEVVRQYTARLINAFASLAEGRRYLAQSTKVLRMLEE
+RLKEEDKDVITRENVLGALQKFSLRRPLQTAMIQDGLIFWLIDILKEPDCLSDYTLEYSV
+ALLMNLCLRSAGKNMCAKVAGLVLKVLSDLLGHENHEIQPYVNGALYSILSIPSIREEAR
+AMGMEDILRCFIKEGNAEMIRQIEFIIKQLNAEELLDGVLESDDDEDEDDEEDHDTMEAD
+LDKDELIQPQLGELSGEKLLTTEYLGIMTNTGKARRRGTAGVQWGGPEPLRRPVTPGGHR
+TGYPA
+>sp|Q0VCW3|BANP_BOVIN Protein BANP OS=Bos taurus OX=9913 GN=BANP PE=2 SV=1
+MMSEQDLADVVQIAVEELSPGHPVVLENHVVTDEDEPALKRQRLEINCQDPSIKSFLYSI
+NQTICLRLDSIEAKLQALEATCKSLEEKLDLVTNKQHSPIQVPMVAGSPLGATQTCNKVR
+CVVPQTTVILNSDRQNAVVAKMEDPLSGRAPEPLENVISNAVPGRRQNTIVVKVPGQEDS
+HNEDGESGSEASDSVSNCGQSGSQNIGNNVTLITLNSEEDYPNGTWLGDENNPEMRVRCA
+IIPSDMLHISTNCRTAEKMALTLLDYLFHREVQAVSNLSGQGKHGKKQLDPLTIYGIRCH
+LFYKFGITESDWYRIKQSIDSKCRTAWRRKQRGQSLAVKSFSRRTPSSSSYGASETMMST
+PPPSSELQQPPPQALHYALANAQQVQIHQIGEDGQVQVGHLHIAQVPQGEQVQITQDSEG
+NLQIHHVGQDGQVLQGAQLIAVASSDPAATGVDGSPLQGSDIQVQYVQLAPVTDHTAAAQ
+AADALQPTLQPEMQLEHGAIQIQ
+>sp|A3KMZ6|COX11_BOVIN Cytochrome c oxidase assembly protein COX11, mitochondrial OS=Bos taurus OX=9913 GN=COX11 PE=2 SV=1
+MGGLWRPAWRRVVFCGWSWSHLGRPTRAAERAEPCLRPGRSGPAGTEQGLRRLGTWRRPS
+PAEQPARRPKSTNPYTRSQEEDWRRRNKTVLTYMAAAAVGMLGASYAAVPLYRLYCQTTG
+LGGSAVAGHASDQIENMVPVKDRIIKITFNADVHASLQWNFRPQQTEIYVVPGETALAFY
+KAKNPTDKPVIGISTYNVVPFEAGQYFNKIQCFCFEEQRLNPQEEVDMPVFFYIDPEFAE
+DPRMVNVDLITLSYTFFEAKEGHTLPVPGYNSNQQLSPASNL
+>sp|Q58DN7|ACSF3_BOVIN Malonate--CoA ligase ACSF3, mitochondrial OS=Bos taurus OX=9913 GN=ACSF3 PE=2 SV=1
+MPLPYVGMALRRLAWAVASCRLAPWTRGASGPLHSAPAARSDCSAPVFIRAPAFGDRLAL
+IDQHGRHTYKDLYLRSLRLSREICQLRACADGDLREERVSLLCSNDVSFVVAQWAAWMSG
+GVAVPLYRKHPRAQLEYFIQDSRSSVVLAGPEHVELLSPVAQKLGVPLLPLPPTVYHGVA
+EDPEEGLVLERNWRDRGAMIIYTSGTTGRPKGVLSTHDNIRAVVTGLVHKWAWTKDDVIL
+HVLPLHHVHGVVNKLLCPLWVGATCVMLPEFSAQLVWEKFLSSEAPQINVFMAVPTIYSK
+LMDYYDKHFTQPHVQDFVRAVCEEKIRLMVSGSAALPLPVLEKWKGITGHTLLERYGMTE
+IGMALSNPLTAARLPGSVGTPLPGVEVRIVSENPQKDSSPYLIHAEGSEENTKVTPGFEE
+KEGELLVRGPSVFREYWDKPEETKAAFTSDGWFKTGDTVVFKDGCYWIRGRTSVDIIKSG
+GYKVSALEVERLLLAHPSITDVAVIGVPDMTWGQRVTAVVTLQEGHSLSHRELKEWARGV
+LAPYAVPSELLLVEEIPRNQMGKVNKRDLVRQLYPHEKGAPEAGSQ
+>sp|Q2YDL7|CDRT4_BOVIN CMT1A duplicated region transcript 4 protein homolog OS=Bos taurus OX=9913 GN=CDRT4 PE=2 SV=1
+MERLDARKMKMEEVELTENIGLPVNLLEKHDPWPAYVTYTSPMVKRLIEKSKARELECLQ
+TVEESRRVGKQSKPASLIQLKRRKSSKSSGTTTFKDLRSETMLSVWGPLTMSAMGPSGVP
+EPLHLHSDSRASPTASYNKIIFARAPTMRTLPYTAGRPSEMFCI
+>sp|Q148E1|APOP1_BOVIN Apoptogenic protein 1, mitochondrial OS=Bos taurus OX=9913 GN=APOPT1 PE=2 SV=1
+MAPRRPAKRLVTALCRAFSGRGCQLAPKRGAERRDAAPSRVSRFCPPRKSRHDWIGPPDK
+YSNLRPIHFYIPENESPLEQKLRELRQETQEWNQQFWADQNLTFHKEKEEFVRSRLKAKG
+LDLRTASGQKATLNAEEMAEFYKEFLSKNFQKHMYYNRDWYKRNFAITFFMGKVALERIW
+NKLRPKQKKTSS
+>sp|P15150|C11B1_BOVIN Cytochrome P450 11B1, mitochondrial OS=Bos taurus OX=9913 GN=CYP11B1 PE=1 SV=2
+MALWAKARVRMAGPWLSLHEARLLGTRGAAAPKAVLPFEAMPRCPGNKWMRMLQIWKEQS
+SENMHLDMHQTFQELGPIFRYDVGGRHMVFVMLPEDVERLQQADSHHPQRMILEPWLAYR
+QARGHKCGVFLLNGPQWRLDRLRLNPDVLSLPALQKYTPLVDGVARDFSQTLKARVLQNA
+RGSLTLDIAPSVFRYTIEASTLVLYGERLGLLTQQPNPDSLNFIHALEAMLKSTVQLMFV
+PRRLSRWMSTNMWREHFEAWDYIFQYANRAIQRIYQELALGHPWHYSGIVAELLMRADMT
+LDTIKANTIDLTAGSVDTTAFPLLMTLFELARNPEVQQAVRQESLVAEARISENPQRAIT
+ELPLLRAALKETLRLYPVGITLEREVSSDLVLQNYHIPAGTLVKVLLYSLGRNPAVFARP
+ESYHPQRWLDRQGSGSRFPHLAFGFGVRQCLGRRVAEVEMLLLLHHVLKNFLVETLEQED
+IKMVYRFILMPSTLPLFTFRAIQ
+>sp|Q6T5B7|AGO3_BOVIN Protein argonaute-3 OS=Bos taurus OX=9913 GN=AGO3 PE=2 SV=2
+MEIGSAGPVGAQPLLMVPRRPGYGTMGKPTKLLANCFQVEIPKIDVYLYEVDIKPDKCPR
+RVNREVVDSMVQHFKVTIFGDRRPVYDGKRSLYTANPLPVATTGVDLDATLPGEGGKDRP
+FKVSIKFVSRVSWHLLHEVLTGRTLPEPLELDKPISTNPVHAVDVVLRHLPSMKYTPVGR
+SFFSAPEGYDHPLGGGREVWFGFHQSVRPAMWKMMLNIDVSATAFYKAQPVIQFMCEVLD
+IHNIDEQPRPLTDSHRVKSTKEIKGLKVEVTHCGTMRRKYRVCNVTRRPASHQTFPLQLE
+NGQTVERTVAQYFREKYTLQLKYPHLPCLQVGQEQKHTYLPLEVCNIVAGQRCIKKLTDN
+QTSTMIKATARSAPDRQEEISRLVRSANYETDPFVQEFQFKVRDEMAHVTGRVLPAPMLQ
+YGGRNRTVATPSHGVWDMRGKQFHTGVEIEMWAIACFATQRQCREEILKGFTDQLRKISK
+DAGMPIQGQPCFCKYAQGADSVEPMFRHLKNTYSGLQLIIVILPGKTPVYAEVKRVGDTL
+LGMATQCVQVKNVIKTSPQTLSNLCLKINVKLGGINNILVPHQRPSVFQQPVIFLGADVT
+HPPAGDGKKPSIAAVVGSMDAHPSRYCATVRVQRPRQEIIQDLASMVRELLIQFYKSTRF
+KPTRIIFYRDGVSEGQFRQVLYYELLAIREACISLEKDYQPGITYIVVQKRHHTRLFCAD
+RTERVGRSGNIPAGTTVDTDITHPYEFDFYLCSHAGIQGTSRPSHYHVLWDDNCFTADEL
+QLLTYQPSAHTYVHCTRSVSIPAPAYYAHLVAFRARYHLVDKERDSAEGSHVSGQSNGRD
+PQALAKAAQIHQDTLRTMYFA
+>sp|Q3ZBX7|ANKR1_BOVIN Ankyrin repeat domain-containing protein 1 OS=Bos taurus OX=9913 GN=ANKRD1 PE=2 SV=1
+MMVLKVEELVTGKKKGNGDAGEFLPEDFRDGEYEAAVTLEKQEDLKTLPVHFVSLGEQQW
+KTEKQREAELKKKKLEQRSKLENLEDLEIIIQLKKRKKYKKTKVPVVKEPEPEIVTEPVD
+VPMFLKAALENKLPVIEKFLSDKNNPDVCDEYKRTALHRACLEGHLAIVEKLIEAGAQIE
+FRDMLESTAIHWASRGGSLDVLKLLLNKGAKISARDKLLSTPLHVAVRTGHYECAEHLIA
+CEADLNAKDREGDTPLHDAVRLNRYKMIRLLITYGADLNVKNCAGKTPMDLVLHWQNGTK
+AIFDSLKENSYKASRIAAF
+>sp|Q0IIM2|ARL6_BOVIN ADP-ribosylation factor-like protein 6 OS=Bos taurus OX=9913 GN=ARL6 PE=1 SV=1
+MGLLDRLSGLLGLKKKEVHVLCLGLDNSGKTTIINKLKPSNAQSQDIVPTIGFSIQKFKS
+SSLSFTVFDMSGQGRYRNLWEHYYKEGQAIIFVIDSSDKLRMVVAKEELRTLLNHPDIKH
+RRIPILFFANKMDLRDALTSVKVSQLLCLEDIKDKPWHICASDAIKGEGLQEGVDWLQDQ
+IQSVKT
+>sp|P00189|CP11A_BOVIN Cholesterol side-chain cleavage enzyme, mitochondrial OS=Bos taurus OX=9913 GN=CYP11A1 PE=1 SV=1
+MLARGLPLRSALVKACPPILSTVGEGWGHHRVGTGEGAGISTKTPRPYSEIPSPGDNGWL
+NLYHFWREKGSQRIHFRHIENFQKYGPIYREKLGNLESVYIIHPEDVAHLFKFEGSYPER
+YDIPPWLAYHRYYQKPIGVLFKKSGTWKKDRVVLNTEVMAPEAIKNFIPLLNPVSQDFVS
+LLHKRIKQQGSGKFVGDIKEDLFHFAFESITNVMFGERLGMLEETVNPEAQKFIDAVYKM
+FHTSVPLLNVPPELYRLFRTKTWRDHVAAWDTIFNKAEKYTEIFYQDLRRKTEFRNYPGI
+LYCLLKSEKMLLEDVKANITEMLAGGVNTTSMTLQWHLYEMARSLNVQEMLREEVLNARR
+QAEGDISKMLQMVPLLKASIKETLRLHPISVTLQRYPESDLVLQDYLIPAKTLVQVAIYA
+MGRDPAFFSSPDKFDPTRWLSKDKDLIHFRNLGFGWGVRQCVGRRIAELEMTLFLIHILE
+NFKVEMQHIGDVDTIFNLILTPDKPIFLVFRPFNQDPPQA
+>sp|P52505|ACPM_BOVIN Acyl carrier protein, mitochondrial OS=Bos taurus OX=9913 GN=NDUFAB1 PE=1 SV=2
+MAVRVLCACVRRLPTAFAPLPRLPTLAAARPLSTTLFAAETRTRPGAPLPALVLAQVPGR
+VTQLCRQYSDAPPLTLEGIKDRVLYVLKLYDKIDPEKLSVNSHFMKDLGLDSLDQVEIIM
+AMEDEFGFEIPDIDAEKLMCPQEIVDYIADKKDVYE
+>sp|Q2KI07|ARL8B_BOVIN ADP-ribosylation factor-like protein 8B OS=Bos taurus OX=9913 GN=ARL8B PE=2 SV=1
+MLALISRLLDWFRSLFWKEEMELTLVGLQYSGKTTFVNVIASGQFSEDMIPTVGFNMRKV
+TKGNVTIKIWDIGGQPRFQSMWERYCRGVNAIVYMIDAADREKIEASRNELHNLLDKPQL
+QGIPVLVLGNKRDLPNALDEKQLIEKMNLSAIQDREICCYSISCKEKDNIDITLQWLIQH
+SKSRRS
+>sp|P54281|CLCA1_BOVIN Calcium-activated chloride channel regulator 1 OS=Bos taurus OX=9913 PE=1 SV=1
+MVPRLTVILFLTLHLLPGMKSSMVNLINNGYDGIVIAINPSVPEDEKLIQNIKEMVTEAS
+TYLFHATKRRVYFRNVSILIPMTWKSKSEYLMPKQESYDQAEVIVANPYLKHGDDPYTLQ
+YGRCGEKGQYIHFTPNFLLTNNLPIYGSRGRAFVHEWAHLRWGIFDEYNGDQPFYISRRN
+TIEATRCSTHITGTNVIVKCQGGSCITRPCRRDSQTGLYEAKCTFIPEKSQTARESIMFM
+QSLHSVTEFCTEKTHNVEAPNLQNKMCNGKSTWDVIMNSTDFQNTSPMTEMNPPTQPTFS
+LLKSKQRVVCLVLDKSGSMSSEDRLFRMNQAAELFLIQIIEKGSLVGMVTFDSVAEIRNN
+LTKITDDNVYENITANLPQEANGGTSICRGLKAGFQAIIQSQQSTSGSEIILLTDGEDNE
+IHSCIEEVKQSGVIIHTIALGPSAAKELETLSDMTGGHRFYANKDINGLTNAFSRISSRS
+GSITQQTIQLESKALAITEKKWVNGTVPVDSTIGNDTFFVVTWTIKKPEILLQDPKGKKY
+KTSDFKEDKLNIHSARLRIPGIAETGTWTYSLLNNHASPQILTVTVTTRARSPTTPPVTA
+TAHMSQNTAHYPSPVIVYAQVSQGFLPVLGINVTAIIETEDGHQVTLELWDNGAGADTVK
+NDGIYSRYFTDYRGNGRYSLKVHAEARNNTARLSLRQPQNKALYIPGYIENGKIILNPPR
+PEVKDDLAKAEIEDFSRLTSGGSFTVSGAPPGNHPSVLPPNKIIDLEAKFKEDHIQLSWT
+APANVLDKGKANSYIIRISKSFLDLQKDFDNATLVNTSSLKPKEAGSDENFEFKPEPFRI
+ENGTNFYIAVQAINEANLTSEVSNIAQAIKFIPMPEDSVPALGTKISAINLAIFALAMIL
+SIV
+>sp|P13536|ACHG_BOVIN Acetylcholine receptor subunit gamma OS=Bos taurus OX=9913 GN=CHRNG PE=2 SV=1
+MCGGQRPLFLLPLLAVCLGAKGRNQEERLLGDLMQGYNPHLRPAEHDSDVVNVSLKLTLT
+NLISLNEREEALTTNVWIEMQWCDYRLRWDPRDYGGLWVLRVPSTMVWRPDIVLENNVDG
+VFEVALYCNVLVSPDGCVYWLPPAIFRSSCPVSVTFFPFDWQNCSLIFQSQTYSTNEINL
+QLSQEDGQTIEWIFIDPEAFTENGEWAIRHRPAKMLLDEAAPAEEAGHQKVVFYLLIQRK
+PLFYVINIIAPCVLISSVAILIYFLPAKAGGQKCTVAINVLLAQTVFLFLVAKKVPETSQ
+AVPLISKYLTFLLVVTILIVVNAVVVLNVSLRSPHTHSMARGVRKVFLRLLPQLLRMHVR
+PLAPVAVQDAHPRLQNGSSSGWPITAGEEVALCLPRSELLFRQRQRNGLVRAALEKLEKG
+PESGQSPEWCGSLKQAAPAIQACVEACNLIARARHQQTHFDSGNKEWFLVGRVLDRVCFL
+AMLSLFVCGTAGIFLMAHYNRVPALPFPGDPRSYLPSSD
+>sp|A8WH74|CD302_BOVIN CD302 antigen OS=Bos taurus OX=9913 GN=CD302 PE=2 SV=1
+MPRAAPPALLLPLLGLAAAAAADCPSSTWVQFQDSCYIFLQEAIKVESIEDVRNQCTNHG
+ADMISIHNEEENAFILDTLKKQWKDPADILLGMFFDTDDASFKWFDNSNMTFNKWSDQED
+DEELVDTCAFLHTKTGDWKKGNCEVSSVEGTLCKAAIPYEKKYLSDNRILISALVIASTV
+ILTVLGAVVWFLYKRSLDSGFTTVFSAAHQSPYNDDCVLVVAEENEYDIQFN
+>sp|P07107|ACBP_BOVIN Acyl-CoA-binding protein OS=Bos taurus OX=9913 GN=DBI PE=1 SV=2
+MSQAEFDKAAEEVKHLKTKPADEEMLFIYSHYKQATVGDINTERPGMLDFKGKAKWDAWN
+ELKGTSKEDAMKAYIDKVEELKKKYGI
+>sp|A0JNC1|CDS2_BOVIN Phosphatidate cytidylyltransferase 2 OS=Bos taurus OX=9913 GN=CDS2 PE=2 SV=1
+MTELRQRVAREPEAPPEDKESESEAKADGETASDSESRVEAVTQPPSADDTPEVLNRALS
+NLSSRWKNWWVRGILTLAMIAFFFIIIYLGPMVLMMIVMCVQIKCFHEIITIGYNVYHSY
+DLPWFRTLSWYFLLCVNYFFYGETVTDYFFTLVQREEPLRILSKYHRFISFTLYLTGFCM
+FVLSLVKKHYRLQFYMFGWTHVTLLIVVTQSHLVIHNLFEGMIWFIVPISCVICNDIMAY
+MFGFFFGRTPLIKLSPKKTWEGFIGGFFATVVFGLLLSYVMSGYRCFVCPVEYNNDTNSF
+TVDCEPSGLFRLQEYNIPGVIQSIIGWKTVRMYPFQIHSIALSTFASLIGPFGGFFASGF
+KRAFKIKDFANTIPGHGGIMDRFDCQYLMATFVNVYIASFIRGPNPSKLVQQFLTLRPDQ
+QLHIFNTLKSHLTDKGMLTAATEDK
+>sp|Q2KHY8|CD37_BOVIN Leukocyte antigen CD37 OS=Bos taurus OX=9913 GN=CD37 PE=2 SV=1
+MSAHDGCLSLVKYLLFVFNLFFFVLGSLIFCFGIWILIDKTSFVSFVGLSFMPLQIWSKV
+LAVSGILTMGLALLGCVGALKEFRCLLGLYFGTLLLLFATQITLGILISTQRVQLKKKVK
+DVVQKTIQNYRTHPEETAAEESWDYVQFQLRCCGWESPQDWFHIPSMRRNESEGDRVPCS
+CYNSSATNDSTIFDKISPQFSRLGSLAQPRHNVEVCSVPANSYIYQQGCERNLSNWLTNN
+LISIVGICLGVGLLELSFMTLSIFLCRNLDHVYDRLARYR
+>sp|Q1LZ96|ATPF2_BOVIN ATP synthase mitochondrial F1 complex assembly factor 2 OS=Bos taurus OX=9913 GN=ATPAF2 PE=2 SV=1
+MWRRCLRLRDVGRRLLNLPRSGLTASEGLGPKLPTPIRAYVPPAERKRFYQNVSISQGEG
+GFEINLDHRKLRTPQGKLFTVPSEALAIAVATEWDSQQDTIKMYTMHLTTLCNTSLDNPT
+QRDKDQLIRAAVKFLDTDTVCYRVEEPETLVELQRNEWDPVISWAEKRYGVEIGSSTSIT
+GPSIPARTREVLVSHLASYNMWALQGIEFVVTQLKSLVLTLGLTDLRLTVEQAVLLSRLE
+EEYQIQKWGNIEWAHDYELQELRARTAAGTLFVHLCSESTAVKHKLLQG
+>sp|Q08DB0|CEP44_BOVIN Centrosomal protein of 44 kDa OS=Bos taurus OX=9913 GN=CEP44 PE=2 SV=1
+MATGDLKRSLRNLEQVLRSLNYPREVDCVGLVKGDTAASLPIISYSLTSYSPYVAELLVD
+SNIELLAKNDLRFIDTVYKLLRDQFNYKPILTKKQFIQCGFAEWKIQIICDILNCVMKKH
+KELSSLEKTPSQQRKKTSSAKSEPCSSTEKTSTEPVGIDVTGRFVTSGKKKAVVIRHLYN
+EDGANIPEDTVTDVNEAFDVCDIKAAEITIPELQVPDINCEQEDITVNPEVTALQSMLAE
+CQEKLKKLTCIESRLESLEEKMKGKVLVNEKTWANLLSRVTLLETEMLLSKKNDEYMQFN
+EMSEDYSSSSDMDSLNPDRKSKEERHANIPLSSGYSTVSSDSTPRTSTVNYCGLKEISEE
+TTMQKMERMKKMFEETAELLKCPNH
+>sp|P51122|AN32A_BOVIN Acidic leucine-rich nuclear phosphoprotein 32 family member A OS=Bos taurus OX=9913 GN=ANP32A PE=1 SV=2
+MDMDKRIHLELRNRTPSDVKELVLDNCRSNEGKIEGLTDEFEELEFLSTINVGLTSVANL
+PKLNKLKKLELSDNRISGGLEVLAEKCPNLTHLNLSGNKIKDLSTIEPLKKLENLKSLDL
+FNCEVTNLNDYRENVFKLLPQLTYLDGYDRDDKEAPDSDAEGYVEGLDDDEEDEDEEEYD
+EDAQVVEDEEDEEEEEEGEEEDVSGEEEEDEEGYNDGEVDDEEDEEELGEEERGQKRKRE
+PEDEGEDDD
+>sp|P46161|DEFB3_BOVIN Beta-defensin 3 (Fragment) OS=Bos taurus OX=9913 GN=DEFB3 PE=1 SV=3
+LALLFLVLSAGSGFTQGVRNHVTCRINRGFCVPIRCPGRTRQIGTCFGPRIKCCRSW
+>sp|Q2KIQ8|CXL10_BOVIN C-X-C motif chemokine 10 OS=Bos taurus OX=9913 GN=CXCL10 PE=3 SV=1
+MNKSGFLIFCLILLTLSQGVPLSRNTRCSCIEISNGSVNPRSLEKLEVIPASQSCPRVEI
+IATMKKNGEKRCLNPESKTIKNLLKAINKQRTKRSPRTRKEA
+>sp|Q28115|GFAP_BOVIN Glial fibrillary acidic protein OS=Bos taurus OX=9913 GN=GFAP PE=1 SV=2
+MERRRVTSATRRSYVSSSEMVVGGRRLGPGTRLSLARMPPPLPARVDFSLAGALNSGFKE
+TRASERAEMMELNDRFASYIEKVRFLEQQNKALAAELNQLRAKEPTKLADVYQAELRELR
+LRLDQLTANSARLEVERDNLAQDLGTLRQKLQDETNQRLEAENNLAAYRQEADEATLARL
+DLERKIESLEEEIRFLRKIHEEEVRELQEQLAQQQVHVEMDVAKPDLTAALREIRTQYEA
+VASSNMHEAEEWYRSKFADLNDAAARNAELLRQAKHEANDYRRQLQALTCDLESLRGTNE
+SLERQMREQEERHAREAASYQEALARLEEEGQSLKDEMARHLQEYQDLLNVKLALDIEIA
+TYRKLLEGEENRITIPVQTFSNLQIRETSLDTKSVSEGHLKRNIVVKTVEMRDGEVIKES
+KQEHKDVM
+>sp|A5PKK7|GORAB_BOVIN RAB6-interacting golgin OS=Bos taurus OX=9913 GN=GORAB PE=2 SV=2
+MAQGWAGFSEEELRRLKQTKDPFEPQRRLPGKKSRQQLQRERALQEQSQKFGLQDGSTSL
+PPEQLLSAPKQRFNTQRPYSSPPTPLTLTSPVGDGKPQGTESQQKELGLKNSHEVHKNAE
+VLPLKADCQLEKKKVELQEKSRWEVLQQEQRLMEEKNKRKKALLAKAIAERSKRTQAETM
+KLKRIQKELQALDDMVSADIGILRNRIDQASLDYSYARKRFDRAEAEYVTAKLELQRKTE
+LKEQLTEHLCTIIQQNELRKAKKLEELMQQLDVHADEETLELKVEVERLLCEQEAEARKQ
+VVHLERSFQPSGESVTLELAKENKKPQDQAASPKVDEQGKNSNSIALLDPERPNQEVETA
+VKDISASLIT
+>sp|Q0IIE8|DJB14_BOVIN DnaJ homolog subfamily B member 14 OS=Bos taurus OX=9913 GN=DNAJB14 PE=2 SV=1
+MEGNRDEAEKCVEIAREALNAGNREKAQRFLQKAEKLYPLPSARALLEIIMKNGSTAGNS
+PHCRKPSGGGDQSKPNCTKDSSSGSGESGKGYTKDQVDGVLSINKCKNYYEVLGVTKDAG
+DEDLKKAYRKLALKFHPDKNHAPGATDAFKKIGNAYAVLSNPEKRKQYDLTGNEEQACNQ
+QNNGRFNFHRGCEADITPEDLFNIFFGGGFPSGSVHSFSNGRAGYSNQHQHRHSGHEREE
+ERGDGGFSVFIQLMPIIVLILVSLLSQLMVSNPPYSLYPRSGSGQTIKMQTENLGVIYYV
+NKDFKNEYKGMLLQKVEKSVEEDYVTNIRNNCWKERQQKTDMQYAAKVYHDERLRRKAEA
+LSMDNCKELERLTSIYKGG
+>sp|Q0VCH4|G3ST3_BOVIN Galactose-3-O-sulfotransferase 3 OS=Bos taurus OX=9913 GN=GAL3ST3 PE=2 SV=1
+MPPIFQRLQQATKMSRRKILLLVLGCSTLSLLIHQGAQLSWYPKLFPLSCPPLQDSPPRP
+KHMTVAFLKTHKTAGTTVQNILFRFAERHNLTVALPHPSCEHQFCYPRNFSAHFVHPATR
+PPHVLASHLRFDRAELQRLMPPGTVYVTILREPAAMFESLFSYYNQYCPAFRRVPNASLE
+AFLRAPEAYYRAGEHFAMFAHNTLAYDLGGDNERSPRDDDAYLAGLIRQVEEVFSLVMIA
+EYFDESLVLLRRLLAWDLDDVLYARLNARAASSRLAAIPAALAQAARAWNALDAGLYDHF
+NATFWRRVASAGRACVEREARELREARERLLRRCFGDEPVLRPAAQIRTKQLQPWQPSRK
+VDIMGYDLPSGRAGPATEACLKLAMPEVQYSSYLLRKQKRRGGMRLRPEPVLDNPPPRPI
+RALRPGH
+>sp|Q32L41|GFRP_BOVIN GTP cyclohydrolase 1 feedback regulatory protein OS=Bos taurus OX=9913 GN=GCHFR PE=3 SV=1
+MPYLLISTQIRMEVGPTVVGDEHSDPELMQHLGASKRSVLGNNFSEYYVNDPPRIVLDKL
+ERRGFRVLSMTGVGQTLVWCLHKE
+>sp|A6QNL7|CX3C1_BOVIN CX3C chemokine receptor 1 OS=Bos taurus OX=9913 GN=CX3CR1 PE=2 SV=1
+MHTTLPESTSENFEYYDLAEACDMGDIVALGTVFVVILYSLVFAFGLVGNLLVVFALINS
+QRSKSITDIYLLNLALSDLLFVATLPFWTHYVINEQGLHHATCKLITAFFFIGFFGGIFF
+ITVISVDRFLAIVLAANSMSNRTVQHGVTTSLGVWAAAILVATPQFMFTREKENECFGDY
+PEILQEIWPVILNTEINFLGFLLPLLIMSYCYFRIMQTLFSCKNHKKAKAIRLIFLVVVV
+FFLFWTPYNVMIFLQTLNLYDFFPKCDVKRDLKLAISVTETIAFSHCCLNPLIYAFAGEK
+FRRYLYRLYRKCLAVLCCHPDHLSFSSSLSESQRSRRESVLSSNFTHYTSDGDASILL
+>sp|Q5E954|DNJA1_BOVIN DnaJ homolog subfamily A member 1 OS=Bos taurus OX=9913 GN=DNAJA1 PE=2 SV=2
+MVKETTYYDVLGVKPNATQEELKKAYRKLALKYHPDKNPNEGEKFKQISQAYEVLSDAKK
+RELYDKGGEQAIKEGGAGGGFGSPMDIFDMFFGGGGRMQRERRGKNVVHQLTVTLEDLYN
+GATRKLALQKNVICDKCEGRGGKKGAVECCPNCRGTGMQIRIHQIGPGMVQQIQSVCMEC
+QGHGERISPKDRCKSCNGRKIVREKKILEVHIDKGMKDGQKITFHGEGDQEPGLEPGDII
+IVLDQKDHAVFTRRGEDLFMCMDIQLVEALCGFQKPISTLDNRTIVITSHPGQIVKHGDI
+KCVLNEGMPIYRRPYEKGRLIIEFKVNFPENGFLSPDKLSLLEKLLPERKEVEETDEMDQ
+VELVDFDPNQERRRHYNGEAYEDDEHHPRGGVQCQTS
+>sp|A6QM03|FUCT1_BOVIN GDP-fucose transporter 1 OS=Bos taurus OX=9913 GN=SLC35C1 PE=2 SV=1
+MNRASLKRSKILHMALMGTSDPSGEAEASQEKPFVLRALQIALVVSLYWVTSISMVFLNK
+YLLDSPSLRLDTPIFVTFYQCLVTVLLCKGLSSLATCCPGTVDFPALHLDLKVARSVLPL
+SVVFIGMITFNNLCLKYVGVAFYNVGRSLTTVFNVLLSYLLLKQTTSFYALLTCSVIIGG
+FWLGVDQEGAEGTLSWTGTLFGVLASLCVSLNAIYTKKVLPAVDGSIWRLTFYNNANACV
+LFLPLLLALGELRALLAFPQLGSAHFWAMMTLGGLFGFAIGYVTGLQIKFTSPLTHNVSG
+TAKACAQTVLAVLYYEEAKSFLWWTSNMMVLGGSSAYTWVRGREMKKTQEEPHPRENEKS
+NMEV
+>sp|P56965|DDAH1_BOVIN N(G),N(G)-dimethylarginine dimethylaminohydrolase 1 OS=Bos taurus OX=9913 GN=DDAH1 PE=1 SV=3
+MASLGHPATFGRATHVVVRALPESLAQQALRRTKGDEVDFARAERQHQLYVGVLGSKLGL
+QVVQLPADESLPDCVFVEDVAVVCEETALITRPGAPSRRKEADMMKEALEKLQLNIVEMK
+DENATLDGGDVLFTGREFFVGLSKRTNQRGAEILADTFKDYAVSTVPVVDALHLKSFCSM
+AGPNLIAIGSSESAQKALKIMQQMSDHRYDKLTVPDDTAANCIYLNIPSKGHVLLHRTPE
+EYPESAKVYEKLKDHMLIPVSNSELEKVDGLLTCSSVLINKKVDS
+>sp|Q2KJG8|BCKD_BOVIN [3-methyl-2-oxobutanoate dehydrogenase [lipoamide]] kinase, mitochondrial OS=Bos taurus OX=9913 GN=BCKDK PE=2 SV=1
+MILASVLGSGPRGGPPLRPLLGPALSLRARSTSATDTHHVEMARERSKTVTSFYNQSAID
+VAAEKPSVRLTPTMMLYSGRSQDGSHLLKSARYLQQELPVRIAHRIKGFRSLPFIIGCNP
+TILHVHELYIRAFQKLTDFPPIKDQADEARYCQLVRQLLDDHKDVVTLLAEGLRESRKYI
+EDEKLVRYFLDKTLTSRLGIRMLATHHLALHEDKPDFVGIICTRLSPKKIIEKWVDFARR
+LCEHKYGNAPRVRINGHVAARFPFIPMPLDYILPELLKNAMRATMESHLDTPYNVPDVVI
+TIANNDIDLVIRISDRGGGIAHKDLDRVMDYHFTTAEASTQDPRISPLFGHLDLHSGGQS
+GPMHGFGFGLPTSRAYAEYLGGSLRLQSLQGIGTDVYLRLRHIDGREESFRI
+>sp|Q58CQ2|ARC1B_BOVIN Actin-related protein 2/3 complex subunit 1B OS=Bos taurus OX=9913 GN=ARPC1B PE=1 SV=4
+MAYHSFLVEPISCHAWNKDRTQIAICPNNHEVHIYEKSGNKWVQVHELKEHNGQVTGIDW
+APDSNRIVTCGTDRNAYVWTLKGRTWKPTLVILRINRAARCVRWAPNEKKFAVGSGSRVI
+SICYFEQENDWWVCKHIKKPIRSTVLSLDWHPNSVLLAAGSCDFKCRIFSAYIKEVEERP
+APTPWGSKMPFGELMFESSSSCGWVHGVCFSANGSRVAWVSHDSTVCLADADKKMAVATL
+ASETLPLLAVTFITESSLVAAGHDCFPVLFTYDSAAGKLSFGGRLDVPKQSSQRGLTARE
+RFQNLDKKASSEGSAAAGAGLDSLHKNSVSQISVLSGGKAKCSQFCTTGMDGGMSIWDVR
+SLESALKDLKIV
+>sp|Q2KIG3|CBPB2_BOVIN Carboxypeptidase B2 OS=Bos taurus OX=9913 GN=CPB2 PE=1 SV=1
+MKLYSLGVLVATVLFCGEHAFAFQRGQVLSALPRTSRQVQILQNVTTTYKIVLWQPVAAE
+YIVKGYEVHFFVNASDVSNVKAHLNASRIPFRVLVENVEDLIRQQTSNDTISPRASSSYY
+EQYHSLNEIYSWIEVMTERYPDMVEKIHIGSSYEKYPLYVLKVSKKEQRAKNAMWIDCGI
+HAREWISPAFCLWFVGSVTYYYGKEKMHTNLLKHMDFYIMPVVNVDGYDYTWKKDRMWRK
+NRSLHEKNACVGTDLNRNFASKHWCGEGASSSSCSEIYCGTYPESEPEVKAVADFLRRNI
+KHIKAYISMHSYSQKIVFPYSYSRSRSKDHEELSLVAREAVFAMENIHRNIRYTHGSGSE
+SLYLAPGGSDDWIYDLGIKYSFTFELRDKGKYGFLLPESYIRPTCSEALVAVAKIASHVV
+KNV
+>sp|P18915|CAH6_BOVIN Carbonic anhydrase 6 OS=Bos taurus OX=9913 GN=CA6 PE=1 SV=2
+MITLLFLLVVGAQAQHEWTYSEGVLDEKHWRLQYPDCGGTRQSPIDLKMKKVRYNPSLRA
+LNLTGYGLRQGEFPMTNNGHTVQISLPSSMRMTTSDGSQYLAKQMHFHWGGDSSEISGSE
+HTVDGMRYIIEIHVVHYHSKYGSYEEAQNEPDGLAVLAALVEVKDYAENTYYSNFISHLE
+DIRYAGQSTVLRDLDIQDMLPGDLRYYYSYLGSLTTPSCTENVHWFVVADTVKLSKTQIE
+KLENSLLNHQNETIQNNYRSTQPLNHRVVEANFVSHPHQEYTLGSKLHFYLNNIDQNLEY
+LRRFIEQKITKRKKEKYWP
+>sp|Q06002|BFSP1_BOVIN Filensin OS=Bos taurus OX=9913 GN=BFSP1 PE=1 SV=2
+MYRRSYVFQTRKEQYERAEEGRAAEPDRLAEARAAAPNLAALQGLGERVAAHVQRARALE
+QRHAVLRRQLDAFQRLDELAGPEDALARHVEGNRQRARDLAAERTRLERQGAEAQRALDE
+FRSKYENECECQLLLKEMLERLNKEADEALLRNLRLQIEAQFLQGDISAAKDRYKKNLLE
+IQTYVTILQQIIQTTPQAAAITSGMRREKLLTEREAAALQCQLEDGREMICLLQAQRTEL
+QAQTAALEQAIRDAHECYDDEIQLYNEQIDTLRKEIEEAERSLERSSYDCRQLVVVQQTL
+RNELDRYHRIIENEGNRLSSAFIETPITLYTASHGASLSPRHGGKDLTRAVQDITAAKPR
+LKGLPKNLPRKKEMVAKDRADEILEETLLRGPEDMKPGRVVIKEEGESKLEPGDEEASPP
+TQEGAPEDVPDGGKISKAFEKLGKMIKEKVKGPKEPEPPADLYTKGRYVMVSGDGSFVDP
+GFCVFSVPAKGGVVVSKGDDSVPPDSGVEPSPQQPEPPLEEGQGPPQEKEDGLKEEGGPP
+EGKGEPPEGKGDSVKEEGGPPEGKGDGVKEEGGPPEGKGDGVKEEGGPPEGKGDGVKKEG
+EPPEGKGEGLKEEEGPLQEKEDGQSPTPHPADKGDEKNAKELKGLQGKQDDQKEEGARGP
+CPMVAPGPEGPSTPRSQGPQVILGGSEGHGARSGSRLARSPPRKLAYEKVEVMESIEKFS
+TESIQTYEETAVIVETMIEKTKANKKKLGEKGSSSA
+>sp|O02755|CEBPB_BOVIN CCAAT/enhancer-binding protein beta OS=Bos taurus OX=9913 GN=CEBPB PE=3 SV=1
+MQRLVVWDPVCLPLPPPPPAFKSMEVANFYYEADCLAAAYGGKAAPAAPPADRPGPRPPT
+GELGSIGEHERAIDFSPYLEPLGAPQAPAPTTASDTFEAAPSAPAPVPASSGQHHDFLSD
+LFSDDYGGKNCKKAAEYGYVSLGRLGAAKGALHPGCFAPLHPPPPPPPPPAELKAEPGFE
+PADCKRKEEAGAPGGGAAGMAAGFPYALRAYLGYQAVPSGSSGSLSTSSSSSPPGTPSPA
+DAKATPAAAACYAGAAPAPSQVKSKAKKTVDKHSDEYKIRRERNNIAVRKSRDKAKMRNL
+ETQHKVLELTGENERLQKKVEQLSREVSTLRNLFKTLPEPLLASSGHC
+>sp|Q28041|ACVR1_BOVIN Activin receptor type-1 OS=Bos taurus OX=9913 GN=ACVR1 PE=2 SV=1
+MVDGVMILPVLVMIAFPFPSMEDEKPKVNPKLYMCVCEGLSCGDEAHCEGQQCFSSLSIN
+DGFHVYQKGCFQVYEQGKMTCKTPPSPGQAVECCQGDWCNRNITAQLPTKGKSFPGTQNF
+HLEVGLIILSVVFAVCLLACLLGVALRKFKRRNQERLNPRDVEYGTIEGLITTNVGDSTL
+ADLLDHSCTSGSGSGLPFLVQRTVARQITLLECVGKGRYGEVWRGSWQGENVAVKIFSSR
+DEKSWFRETELYNTVMLRHENILGFIASDMTSRHSSTQLWLITHYHEMGSLYDYLQLTTL
+DTVSCLRIVLSIASGLAHLHIEIFGTQGKPAIAHRDLKSKNILVKKNGQCCIADLGLAVM
+HSQSTNQLDVGNNPRVGTKRYMAPEVLDETIQVDCFDSYKRVDIWAFGLVLWEVARRMVS
+NGIVEDYKPPFYDVVPNDPSFEDMRKVVCVDQQRPNIPNRWFSDPTLTSLAKLMKECWYQ
+NPSARLTALRIKKTLTKIDNSLDKLKTDC
+>sp|P48644|AL1A1_BOVIN Retinal dehydrogenase 1 OS=Bos taurus OX=9913 GN=ALDH1A1 PE=1 SV=3
+MSSSAMPDVPAPLTNLQFKYTKIFINNEWHSSVSGKKFPVFNPATEEKLCEVEEGDKEDV
+DKAVKAARQAFQIGSPWRTMDASERGRLLNKLADLIERDHLLLATMEAMNGGKLFSNAYL
+MDLGGCIKTLRYCAGWADKIQGRTIPMDGNFFTYTRSEPVGVCGQIIPWNFPLLMFLWKI
+GPALSCGNTVVVKPAEQTPLTALHMGSLIKEAGFPPGVVNIVPGYGPTAGAAISSHMDVD
+KVAFTGSTEVGKLIKEAAGKSNLKRVSLELGGKSPCIVFADADLDNAVEFAHQGVFYHQG
+QCCIAASRLFVEESIYDEFVRRSVERAKKYVLGNPLTPGVSQGPQIDKEQYEKILDLIES
+GKKEGAKLECGGGPWGNKGYFIQPTVFSDVTDDMRIAKEEIFGPVQQIMKFKSLDDVIKR
+ANNTFYGLSAGIFTNDIDKAITVSSALQSGTVWVNCYSVVSAQCPFGGFKMSGNGRELGE
+YGFHEYTEVKTVTIKISQKNS
+>sp|Q29437|AOCX_BOVIN Primary amine oxidase, liver isozyme OS=Bos taurus OX=9913 PE=1 SV=1
+MFIFIFLSLWTLLVMGREEGGVGSEEGVGKQCHPSLPPRCPSRSPSDQPWTHPDQSQLFA
+DLSREELTTVMSFLTQQLGPDLVDAAQARPSDNCVFSVELQLPPKAAALAHLDRGSPPPA
+REALAIVFFGGQPQPNVTELVVGPLPQPSYMRDVTVERHGGPLPYYRRPVLLREYLDIDQ
+MIFNRELPQAAGVLHHCCSYKQGGQKLLTMNSAPRGVQSGDRSTWFGIYYNITKGGPYLH
+PVGLELLVDHKALDPADWTVQKVFFQGRYYENLAQLEEQFEAGQVNVVVIPDDGTGGFWS
+LKSQVPPGPTPPLQFHPQGPRFSVQGNRVASSLWTFSFGLGAFSGPRVFDVRFQGERLAY
+EISLQEAGAVYGGNTPAAMLTRYMDSGFGMGYFATPLIRGVDCPYLATYMDWHFVVESQT
+PKTLHDAFCVFEQNKGLPLRRHHSDFLSHYFGGVAQTVLVFRSVSTMLNYDYVWDMVFYP
+NGAIEVKLHATGYISSAFLFGAARRYGNQVGEHTLGPVHTHSAHYKVDLDVGGLENWVWA
+EDMAFVPTAIPWSPEHQIQRLQVTRKQLETEEQAAFPLGGASPRYLYLASKQSNKWGHPR
+GYRIQTVSFAGGPMPQNSPMERAFSWGRYQLAITQRKETEPSSSSVFNQNDPWTPTVDFS
+DFINNETIAGKDLVAWVTAGFLHIPHAEDIPNTVTVGNGVGFFLRPYNFFDQEPSMDSAD
+SIYFREGQDAGSCEINPLACLPQAATCAPDLPVFSHGGYPEY
+>sp|Q3ZC07|ACTC_BOVIN Actin, alpha cardiac muscle 1 OS=Bos taurus OX=9913 GN=ACTC1 PE=2 SV=1
+MCDDEETTALVCDNGSGLVKAGFAGDDAPRAVFPSIVGRPRHQGVMVGMGQKDSYVGDEA
+QSKRGILTLKYPIEHGIITNWDDMEKIWHHTFYNELRVAPEEHPTLLTEAPLNPKANREK
+MTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVTHNVPIYEGYALPHAIMRL
+DLAGRDLTDYLMKILTERGYSFVTTAEREIVRDIKEKLCYVALDFENEMATAASSSSLEK
+SYELPDGQVITIGNERFRCPETLFQPSFIGMESAGIHETTYNSIMKCDIDIRKDLYANNV
+LSGGTTMYPGIADRMQKEITALAPSTMKIKIIAPPERKYSVWIGGSILASLSTFQQMWIS
+KQEYDEAGPSIVHRKCF
+>sp|A4IFB6|CNO10_BOVIN CCR4-NOT transcription complex subunit 10 OS=Bos taurus OX=9913 GN=CNOT10 PE=2 SV=1
+MAADKPADQGAEKHEGPGPSSGITDQEKELSTSAFQAFTAGNYDVCLQHLTCLQDINKDD
+YKIILNTAVAEFFKSNQTTTDSLRQTLNQLKNQVHSAVEEMDGLDDVENSMLYYNQAVIL
+YHLRQYTEAISVGEKLYQFIEPFEEKFAQAVCFLLVDLYILTYQAEKALHLLAVLEKMIS
+QGNNNKNGKNETGNNTNKDGSNHKAESGALIEAAKSKIHQYKVRAYIQMKSLKACKREIK
+SVMNTAGNSAPSLFLKSNFEYLRGNYRKAVKLLNSSNIAEHPGFMKTGECLRCMFWNNLG
+CIHFAMSKHNLGIFYFKKALQENDNVCAQLSAGSTDPGKKFSGRPMCTLLTNKRYELLYN
+CGIQLLHIGRPLAAFECLIEAVQVYHANPRLWLRLAECCIAANKGTSEQETKGLPSKKGI
+VQSIVGQGYHRKIVLASQSIQNTVYNDGQSSAIPVASMEFAAICLRNALLLLPEDQQDPK
+QENGSKNSNQLGGNAESGESSDACSSKSHDGDKCIPAPPSSPLRKQELENLKCSILACSA
+YVALALGDNLMALNHADKLLQQPKLSGSLKFLGHLYAAEALISLDRISDAITHLNPENVT
+DVSLGISSNEQDQGSDKGENEAMESSGKRAPQCYPSSVSSARTVMLFNLGSAYCLRSEYD
+KARKCLHQAASMIHPKEVPPEAILLAVYLELQNGNTQLALQIIKRNQLLPAVKTLSEMRK
+KPVFQPVHPIQPIQMPAFTVQRK
+>sp|A2VE61|CLP1L_BOVIN Cleft lip and palate transmembrane protein 1-like protein OS=Bos taurus OX=9913 GN=CLPTM1L PE=2 SV=1
+MWSGRSSFTSLVVGVFVVYVVHTCWVMYGIVYTRPCSGHGRCIQPYLAQRPKLQLSVYTT
+TRSNLGSENNVDLVLNVEDFDVESKFERTVNVSVPKKTRNNGTLYAYVFLHHAGVLPWND
+AKQVHLVSPLTTYMVPRPEEVSLLAGGPAAQQIEAEKRPTSALDEPVSHWRPRLTLNVMV
+DNFVFDGASLPADVQRYMKMIQLGKTVQYLPILFIDQLSNRVKDLMVINRSSTELPLTVS
+YDKISLGRLRFWIHMQDAVYSLQQFGFSEKDADEVKGIFVDTNLYFLALTFFVAAFHLLF
+DFLAFKNDISFWKKKKSMIGMSTKAVLWRCFSTVVIFLFLLDEQTSLPVLVPAGIGAAIE
+LWKVKKALKMTVIWRGLWPTFQFGTYSESERRTEEYDAQAMKYLSYLLYPLCIGGAIYSL
+LNIKYKSWYSWLINSFVNGVYAFGFLFMLPQLFVNYKMKSVAHLPWKAFTYKAFNTFIDD
+VFAFIITMPTSHRLACFRDDVVFLVYLYQRWLYPVDKSRVNEFGESYEDTPQRKPHTD
+>sp|Q3ZC75|AT5G3_BOVIN ATP synthase F(0) complex subunit C3, mitochondrial OS=Bos taurus OX=9913 GN=ATP5MC3 PE=2 SV=1
+MFACAKLACTPALIRAGSRVAYRPISASVLSRPETRTGEGCTVFNGTQNGVSQLIQREFQ
+TTAVNRDIDTAAKFIGAGAATVGVAGSGAGIGTVFGSLIIGYARNPSLKQQLFSYAILGF
+ALSEAMGLFCLMVAFLILFAM
+>sp|Q07263|ACHA3_BOVIN Neuronal acetylcholine receptor subunit alpha-3 OS=Bos taurus OX=9913 GN=CHRNA3 PE=2 SV=1
+MARRSRLRRLLLLLLLPVASTSDAEHRLFERLFEDYNEIIRPVANVSDPVIIQFEVSMSQ
+LVKVDEVNQIMETNLWLKQIWNDYKLKWNPSDYDGAEFMRVPAEKIWKPDIVLYNNAVGD
+FQVDDKTKALLKYTGEVTWIPPAIFKSSCKIDVTYFPFDYQNCTMKFGSWSYDKAKIDLV
+LIGSSMNLKDYWESGEWAIIKAPGYKHDIKYNCCEEIYPDITYSLYIRRLPLFYTINLII
+PCLLISFLTVLVFYLPSDCGEKVTLCISVLLSLTVFLLVITETIPSTSLVIPLIGEYLLF
+TMIFVTLSIVITVFVLNVHYRTPTTHTMPAWVKTIFLNLLPRVMFMTRPASNEGNTQRPR
+PFYSAELSNLNCFSRIESKVCKEGYPCQDGLCGYCHHRRAKISNFSANLTRSSSSESVDA
+VLSLSALSPEIKEAIQSVKYIAENMKAQNEAKEIQDDWKYVAMVIDRIFLWVFILVCILG
+TAGLFLQPLMTRDDA
+>sp|Q2HJ53|CISH_BOVIN Cytokine-inducible SH2-containing protein OS=Bos taurus OX=9913 GN=CISH PE=2 SV=1
+MVLCVQGLCPLLAVEQIGQRPLWAQSLELPQQVMQPLSAGAFLEEAVEESPAQPEREPKV
+VDPEEDLLCIAKTFSYLRESGWYWGSITASEARQHLQKMPEGTFLVRDSTHPSYLFTLSV
+KTTRGPTNVRIEYADSSFRLDSNCLSRPRILAFPDVVSLVQHYVASCAADTRSDSPDLAT
+TPALPTPKEDAPGDPALPATAVHLKLVQPFVRRSSTRSLQHLCRLVINRLVVDVDCLPLP
+RRMADYLRQYPFQL
+>sp|P10731|AMD_BOVIN Peptidyl-glycine alpha-amidating monooxygenase OS=Bos taurus OX=9913 GN=PAM PE=1 SV=2
+MAGFRSLLVLLLVFPSGCVGFRSPLSVFKRFKETTRSFSNECLGTTRPVIPIDSSDFALD
+IRMPGVTPKQSDTYFCMSVRLPMDEEAFVIDFKPRASMDTVHHMLLFGCNMPASTGNYWF
+CDEGTCTDKANILYAWARNAPPTRLPKGVGFRVGGETGSKYFVLQVHYGDISAFRDNHKD
+CSGVSLHLTRLPQPLIAGMYLMMSVDTVIPPGGKVVNSDISCHYKKYPMHVFAYRVHTHH
+LGKVVSGYRVRNGQWTLIGRQSPQLPQAFYPVEHPVDVSFGDILAARCVFTGEGRTEVTH
+IGGTSSDEMCNLYIMYYMEAKHAVSFMTCTQNVAPDIFRTIPPEANIPIPVKSDMVMMHG
+HHKETENKDKTSLLQQPKREEEGVLEQGDFYSLLSKLLGEREDVVHVHKYNPTEKAESES
+DLVAEIANVVQKKDLGRSDTRESAEQERGNAILVRDRIHKFHRLVSTLRPAESRVLSLQQ
+PLPGEGTWEPEHTGDFHVEEALDWPGVYLLPGQVSGVALDPQNNLVIFHRGDHVWDGNSF
+DSKFVYQQRGLGPIEEDTILVIDPNNAAVLQSSGKNLFYLPHGLSIDKDGNYWVTDVALH
+QVFKLDPKSKEGPLLTLGRSMQPGSDQNHFCQPTDVAVDPDTGTIYVSDGYCNSRLVQFS
+PSGKFITQWGEASLESSPKPGQFRVPHSLALVPPLGQLCVADRENGRIQCFKTDTKEFVR
+EIKHPSFGRNVFAISYIPGLLFAVNGKPYFEDQEPVQGFVMNFSSGEIIDVFKPVRKHFD
+MPHDIAASEDGTVYVGDAHTNTVWKFTSTEKMEHRSVKKAGIEVQEIKESEAVVETKMEN
+KPASSELQKIQEKQKLVKEPGSGVPAVLITTLLVIPVVVLLAIALFIRWKKSRAFGDSER
+KLEASSGRVLGRLRGKGGGGLNLGNFFASRKGYSRKGFDRLSTEGSDQEKDEDASESEEE
+YSAPPPAPAPSS
+>sp|Q3T136|AT7L1_BOVIN Ataxin-7-like protein 1 OS=Bos taurus OX=9913 GN=ATXN7L1 PE=2 SV=2
+MTSERSRIPCLSAAAAEGTGKKQQEGRAMATLDRKVPSPEAFLGKPWSSWIDAAKLHCSD
+NVDLEEAGKEGGKSREVMRLNKEDMHLFGHYPAHDDFYLVVCSACNQVVKPQVFQSHCGR
+KQDKRNEGIFRNGPEDIQAIEKKQM
+>sp|A7MB63|CTNS_BOVIN Cystinosin OS=Bos taurus OX=9913 GN=CTNS PE=2 SV=1
+MIRRWLVIFILFPLQLIEKCESTVDFSVPPIVKLEKGSSTSVSISLPRPLNATLVITFEI
+TFRSKNVTILQLPDEVVVPPGTTNSSFRVTSQSVGQVTTYLHGNHSNQTSPRIRFLVIHS
+NIVSIINQVIGWIYFVAWSVSFYPQVITNWRRKSVVGLSFDFVVLNLMGFVAYSVFNIGL
+FWVPSIKEQFLLKYPNGVNPVDSNDVFFSLHAVALTLVVIVQCLLYERGSQRVSWLAISF
+LVLSWLFTLIALIMAAVGATTWLQFLFCFSYIKLAVTLVKYFPQAYMNFHYKSTEGWSIG
+NVLLDFTGGSFSLLQMFLQSYNNDQWTLIFGDPTKFGLGIFSIIFDVVFFIQHFCLYRKK
+PGYDQLN
+>sp|Q3SWX1|CNPY4_BOVIN Protein canopy homolog 4 OS=Bos taurus OX=9913 GN=CNPY4 PE=2 SV=1
+MGPVRLGTLLFILTVYGAWAGTPKEEEDDTERLPSKCEVCKLLSLELQEELSRTGRSREV
+LELGQVLDTGKRKRHIPYSVSETRLEEALENLCERILDYSVHAERKGSLRYAKGQSQTMA
+TLKGLVQKGVKVDLGIPLELWDEPSVEVTFLKKQCETMLEQFEDVVGDWYFHHQEQPLQH
+FLCEGHVLPASETACLQETWTGKEKITDGQEKTEEEEQDQEEEEMTNTPVHSQHDPEDL
+>sp|Q08DN6|BORG1_BOVIN Cdc42 effector protein 2 OS=Bos taurus OX=9913 GN=CDC42EP2 PE=2 SV=1
+MSTKVPIYLKRGSRKGKKEKLRDLLSSDMISPPLGDFRHTIHIGSGGGNDTFGDISFLQG
+KFHLLPGTAVDETQEDSGFEMPFQFTRTATLCGRELPDGPSPLLKNAISLPVIGGPQALT
+LPAAQAPPKPPRLHLETPQASPQEAGTVDVWRIPEAGAAHSELTTESGAEEPFLSHASSL
+LSLHVDLGPSILDDVLQIMDQDLGHLQIPT
+>sp|Q28106|CNTN1_BOVIN Contactin-1 OS=Bos taurus OX=9913 GN=CNTN1 PE=2 SV=1
+MKMWLLFSLLVIISFKTCLSEFTWHRRYGHGVSEEDKGFGPIFEEQPINTIYPEESPEGK
+VSLNCRARASPFPVYKWRMNNGDIDLTSDRYSMVGGNLVINNPDKQKDAGIYYCLASNNY
+GMVRSTEATLSFGYLDPFPPEERPEVRVKEGKGMVLLCDPPYHFPDDLSYRWLLNEFPVF
+ITMDKRRFVSQTNGNLYIANVEASDKGNYSCFVSSPSITKSVFSKFIPLIPLPERTTKPY
+PADIVVQFKDVYALMGQNVTLECFALGNPVPDIRWRKVLEPMPSTAEISTSGAVLKIFNI
+QLEDEGIYECEAENNRGKDKHQARIYVQAFPEWVEHINDTEVDIGSDLYWPCVATGKPIP
+TIRWLKNGYSYHRGELRLYDVTFENAGMYQCIAENTHGAIYANAELKILALAPTFEMNPM
+KKKILAAKGGRVIIECKPKAAPKPTFLWSKGTERLVNSSRILIWEDGSLEINNITRSDGG
+VYTCFVENNKGKANSTGTLVITDPTRIILAPINADITVGENATMQCAASFDPALDLTFVW
+SFNGYVIDFNKENIHYQRNFMLDSNGELLIRNAQLKHAGRYTCTAQTIVDNSSASADLVV
+RGPPGPPGGLRIEDIRATSVALTWSRGSDNHSPISKYTIQTKTILSDDWKDAKTDPPIIE
+GNMEAARAVDLIPWMEYEFRVVATNTLGIGEPSIPSNKIKTDGAAPNVAPSDVGGGGGSN
+RELTITWAPLSREYHYFNNFGYIVAFKPFDGEEWKKVTVTNPDTGRYVHKDETMRPSTAF
+QVKVKAFNNKGDGPYSLTAVIHSAQDAPSEAPTAVGVKVLSSSEISVHWEHVVEKIVESY
+QIRYWASHDKEAAAHRVQVASQEYSARLENLLPDTQYFVEVRACNSAGCGPPSDMTETFT
+KKAPPSQPPRIISSVRSGSRYIITWDHVVALSNESTVTGYKVLYRPDGQHDGKLYSTHKH
+SIEVPIPRDGEYVVEVRAHSDGGDGVVSQVKISGASILSPCLLGFLLPALGILVYLEF
+>sp|P46160|DEFB2_BOVIN Beta-defensin 2 OS=Bos taurus OX=9913 GN=DEFB2 PE=1 SV=1
+VRNHVTCRINRGFCVPIRCPGRTRQIGTCFGPRIKCCRSW
+>sp|Q0VFX9|GGACT_BOVIN Gamma-glutamylaminecyclotransferase OS=Bos taurus OX=9913 GN=GGACT PE=2 SV=1
+MPGPECKWSTTETGAPCGTDDSSGRLAPVFVYGTLKTGQPNHRVLLDGAHGRAAFRGRAH
+TLEPYPLVIAGEHNIPRLLNLPGRGHRVFGEVYEVDERMLRFLDEFESCPDMYQRTRLHV
+ALEGVRGPLECFVYTTATYPPEWVHLPYLDDYDSQGKHGLRYNPRENR
+>sp|A5D7F5|DJC27_BOVIN DnaJ homolog subfamily C member 27 OS=Bos taurus OX=9913 GN=DNAJC27 PE=2 SV=1
+MEASMPKRKEPGKSLRIKVISMGNAEVGKSCIIKRYCEKRFVSKYLATIGIDYGVTKVQV
+RDREIKVNIFDMAGDPFFYEVRNEFYKDTQGVILVYDVGQKDSFDALDTWLAEMKQDLGP
+HGNMENIVFAVCANKIDCTKHRCVDESEGRLWAESKGFLYFETSAQTGEGINEMFQTFYL
+SIVDLCENGGKRPNTNSSASFTKEQADTIRRIRSSKDSWDMLGVKPGASRDEVNKAYRKL
+AVLLHPDKCVAPGSEDAFKAVVNARTALLKNIK
+>sp|P26285|F262_BOVIN 6-phosphofructo-2-kinase/fructose-2,6-bisphosphatase 2 OS=Bos taurus OX=9913 GN=PFKFB2 PE=1 SV=2
+MSGNPASSSEQNNNSYETKASLRISEKKCSWASYMTNSPTLIVMIGLPARGKTYVSKKLT
+RYLNWIGVPTKVFNLGVYRRQAVKSYKSYDFFRHDNEEAMKIRKQCALVALKDVKAYLTE
+ESGQIAVFDATNTTRERRDLILNFAEENSFKVFFVESVCDDPDVIAANILEVKVSSPDYP
+ERNRENVMDDFLKRIECYKVTYQPLDPDSHDKDLSFIKVINVGQRFLVNKVQDYIQSKIV
+YYLMNIHVHPRTIYLCRHGESEFNLLGKIGGDSGLSVRGKQFAQALRKFLEEQEIADLKV
+WTSQLKRTIQTAESLGVTYEQWKILNEIDAGVCEEMTYAEIQEQYPDEFALRDEEKYLYR
+YPGGESYQDLVQRLEPVIMELERQGNVLVISHQAVMRCLLAYFLDKGADELPYLRCPLHT
+IFKLTPVAYGCKVETIKLNVEAVNTHRDKPTNNFPKSQTPVRMRRNSFTPLSSSNTIRRP
+RNYSVGSRPLQPLSPLRALDTQEGADQPKTQAETSRAAHRLPSPAPPTSPS
+>sp|Q2KJI3|FA49B_BOVIN Protein FAM49B OS=Bos taurus OX=9913 GN=FAM49B PE=2 SV=1
+MGNLLKVLTCTDLEQGPNFFLDFENAQPTESEKEIYNQVNVVLKDAEGILEDLQSYRGAG
+HEIREAIQHPADEKLQEKAWGAVVPLVGKLKKFYEFSQRLEAALRGLLGALTSTPYSPTQ
+HLEREQALAKQFAEILHFTLRFDELKMTNPAIQNDFSYYRRTLSRMRINNVPAEGENEVN
+NELANRMSLFYAEATPMLKTLSDATTKFVSENKNLPIENTTDCLSTMASVCRVMLETPEY
+RSRFTNEETVSFCLRVMVGVIILYDHVHPVGAFAKTSKIDMKGCIKVLKDQPPNSVEGLL
+NALRYTTKHLNDETTSKQIKSMLQ
+>sp|Q6VFT7|FOXL2_BOVIN Forkhead box protein L2 OS=Bos taurus OX=9913 GN=FOXL2 PE=2 SV=1
+MMASYPEPENASGALLAPETGRAAKEPEAPPPPSPGKGGGGGTGTAPEKPDPAQKPPYSY
+VALIAMAIRESAEKRLTLSGIYQYIIAKFPFYEKNKKGWQNSIRHNLSLNECFIKVPREG
+GGERKGNYWTLDPACEDMFEKGNYRRRRRMKRPFRPPPAHFQPGKGLFGAGGAAGGCGVA
+GAGADGYGYLAPPKYLQSGFLNNSWPLPQPPSPMPYASCQMAAAAAAAAAAAAAAGPGSP
+GAAAVVKGLAGPAASYGPYSRVQSMALPPGVVNSYNGLGGPPAAPPPPPHPHSHPHAHHL
+HAAAAPPPAPPHHGAAAPPPGQLSPASPATAAPPAPAPTNAPGLQFACARQPELAMMHCS
+YWDHDSKTGALHSRLDL
+>sp|P46169|DFB11_BOVIN Beta-defensin 11 OS=Bos taurus OX=9913 GN=DEFB11 PE=1 SV=2
+MRLHHLLLALLFLVLSAGSGISGPLSCRRNGGVCIPIRCPGPMRQIGTCFGRPVKCCRSW
+>sp|Q32KN5|DNAL4_BOVIN Dynein light chain 4, axonemal OS=Bos taurus OX=9913 GN=DNAL4 PE=3 SV=1
+MGETEGKKDEADYKRLQTFPLVRHSDMPEEMRVETMELCVTACEKFSNNNESAAKMIKET
+MDKKFGSSWHVVIGEGFGFEITHEVKNLLYLYFGGTLAVCVWKCS
+>sp|P00639|DNAS1_BOVIN Deoxyribonuclease-1 OS=Bos taurus OX=9913 GN=DNASE1 PE=1 SV=3
+MRGTRLMGLLLALAGLLQLGLSLKIAAFNIRTFGETKMSNATLASYIVRIVRRYDIVLIQ
+EVRDSHLVAVGKLLDYLNQDDPNTYHYVVSEPLGRNSYKERYLFLFRPNKVSVLDTYQYD
+DGCESCGNDSFSREPAVVKFSSHSTKVKEFAIVALHSAPSDAVAEINSLYDVYLDVQQKW
+HLNDVMLMGDFNADCSYVTSSQWSSIRLRTSSTFQWLIPDSADTTATSTNCAYDRIVVAG
+SLLQSSVVPGSAAPFDFQAAYGLSNEMALAISDHYPVEVTLT
+>sp|Q2KJE4|ETFA_BOVIN Electron transfer flavoprotein subunit alpha, mitochondrial OS=Bos taurus OX=9913 GN=ETFA PE=2 SV=1
+MFRAAAPGQLRRATSLLRFQSTLVIAEHANDTLAPITLNTITAAKHLGGEVSCLVAGTKC
+DKVAQDLCKVAGVAKVLVAQHDAYKGLLPEELTPLILATQKQFNHTHICAGASAFGKNLL
+PRIAAKLDVAPISDIIAIKSPDTFVRTIYAGNAICTVKCDEKVKVFSVRGTSFEAAAASG
+GSASSEKASSTSPVGISEWLDQKLTKSDRPELTGAKVVVSGGRGLKSGENFKLLYDLADQ
+LHAAVGASRAAVDAGFVTNDLQVGQTGKIVAPELYIAVGISGAIQHLAGMKDSKTIVAIN
+KDPEAPIFQVADYGIVADLFKVVPEMTELLKKK
+>sp|Q2KI95|FHL2_BOVIN Four and a half LIM domains protein 2 OS=Bos taurus OX=9913 GN=FHL2 PE=2 SV=1
+MTERFDCHHCEDSLFGRKYVLREEQPYCVACFEALFASTCEECGKLIGCDCKDLSYKDRH
+WHEACFHCSRCRGSLVDKPFAAKEDQLLCTDCYSQEYSSRCQECKKSIMPGTRKMEYKGS
+SWHETCFICHRCQQPIGTKSFIPKDSENFCVPCYERQYALQCVQCKKPITTGGVTYREQP
+WHRECFVCTACKKPLSGQRFTSRDEFAYCLGCFCDLYAKKCAGCANPISGLGGTKYISFE
+ERQWHNDCFNCKKCSLSLVGRGFLTERDDILCPDCGKDI
+>sp|Q2KIA0|MEF2C_BOVIN Myocyte-specific enhancer factor 2C OS=Bos taurus OX=9913 GN=MEF2C PE=2 SV=1
+MGRKKIQITRIMDERNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNSTNKLFQYAST
+DMDKVLLKYTEYNEPHESRTNSDIVETLRKKGLNGCDSPDPDADDSVGHSPESEDKYRKI
+NEDIDLMISRQRLCAVPPPNFEMPVSIPVSSHNSLVYSNPVSSLGNPNLLPLAHPSLQRN
+SMSPGVTHRPPSAGNTGGLMGGDLTSGAGTSAGNGYGNPRNSPGLLVSPGNLNKNMQAKS
+PPPMNLGMNNRKPDLRVLIPPGSKNTMPSVSEDVDLLLNQRINNSQSAQSLATPVVSVAT
+PTLPGQGMGGYPSAISTTYGTEYSLSSADLSSLSGFNTASALHLGSVTGWQQQHLHSMPP
+SALSQLGDRTTTPSRYPQHTRHEAGRSPVDSLSSCSSSYDGSDREDHRNEFHSPIGLTRP
+SPDERESPSVKRMRLSEGWAT
+>sp|P62285|ASPM_BOVIN Abnormal spindle-like microcephaly-associated protein homolog (Fragment) OS=Bos taurus OX=9913 GN=ASPM PE=2 SV=1
+PNEEAAAVWLSHGPAAERGFTIWPSAFVLQPKEKIVVSITWTPLKGGRIRETITFLVNDI
+LKHQAILLGNAEEPKKKKRTLWDTINKKKASASSRHNKKASNIQNVNKTFNVSPKADRVR
+SPLQACENLATNGNCSPPESNPLILEENKLPISPISPASQECHRGTCLPLPVRRSTTYTS
+LPASENGGLVKADGANTAEDFHFNEKGITETSFDSIDNVNSQIEENGKLTLTPNYSSSLN
+ITQSQGHFLSPDSFVNNSHASNNEPEFVKCLSPDMFVKGNTRPVILESKRVHEICRKILS
+PDSFINDNYGLNEDLETESINPILSPNQFLKDNMAYICVSQQTCQLPLSTGHFQDSQPPQ
+DERKNAAVPCISECQQLESPKATFEASKALEVMSNSYTFKKQNQPKFSAVQDISSHSRKK
+PIKRRPILSATVTKRKPTCAVENQMETVKPKAKRCLNVVVGDCXKETDDQKEKDDFHPFL
+PIRDLIXXRPKSSKNIVTPPCKVASVARKRKSEGHTGDENVRITVTECXEXQEVKRPHFS
+PVESKTSTVKHTKKVVTSSLKRVSHREKLNLKKKTDSLGYRTPKTNRRTRPFVPVAQSNL
+TFIKPLKGIPRHPMPFAAKNMFYDERWKEKQEQGFTWWLNFILTPDDFTVKTNISEVNAS
+TLLLGVESQHKVSVPKAPTKDEVSLRAYTARCRLNRLRRAACRLFTSEKMVKAMKKLEIE
+IEARRLIVRKDRHLWKDVGERQKVLNWLLSYNPLWLRIGLETIYGELVPLEDNSDVTGLA
+MFILNRLLWNPDIAAEYRHPSVPHLYRDGHEEALSKFTLKKLLLLICFLDYAKISRLIDH
+DPCLFCKDAEFKASKEILLAFSRDFLGGEGDLSRHLSLLGFPVTHVQMPFDEFDFAVKNL
+AVDLQCGVRLVRTMELLTQNWNLSKKLRIPAISRLQKMHNVDIVLEILKSRGIQLNDEHG
+NAILSKDIVDRHREKTLALLWKIALAFQVDISLNLDQLKEEIDFLKNTQSMKKTMSALSC
+RPDAVISKKRDERHSGPFEQCSESVKLLMDWVNAVCGFYNKKVENFTVSFSDGRVLCYLI
+HHYHPCYVPFDAICQRTTQTVECTHTGSVVLNSSSESDGSFLDFSLKPPDQENTSELYKE
+LLENEKKNFQLVRSAARDLGGIPAMIHHSDMSNTIPDEKVVITYLSFLCARLLDLRKETR
+AARLIQTTWRQYKLKKDLKHHQERDKAARIIQSAIINFLTKQRFKKKVSAALVIQKYWRR
+ALAKRKLLMLKKEKLERVHSKSASIIQRHWRRYSTRKQFLKLKYYSIFLQSKIRMIIAVA
+SYKRYHWATVTIQRRWRAHVRSKQDRQRYELLRSSTLVIQFAFRRWRRRKRQSQINAAIT
+LQRAFRQWRVQKCAQEERAAVVIQSWYRMHRELRKYIHLRSCVIIIQARFRCFQAQKLYT
+RTRESILTLQKHYRAYVKGKVERTGYLQKRAAAIRLQAAFRGRRARNLCRQIKAACVLQS
+YWRMRQDRLRFLNLKKNIIRLQAHIRRRQQLHTYQKMKKAALIIQIHFRAYMSAKEVLAS
+YQKTRSAVIVLQSACRRMQARKKFLHILTSIVKIQSYYRAYASRRKFLRLKKATVKLQSI
+VRMKLARKQYLHLRAIAQQREEHRRASCIKLQAFLRGYLVRKQVRLQRKAAVSLQSYFRM
+RKMRLDYLKVCHAAVVIQRYYRAHRAGAQQRKHFLQVRRAVTYLQATYRGYKVRRQLQQQ
+SAAALKIQAAFRGYRQRTKYQSVLQSALKIQRWYRTHKTVSAIRSHFFKTRTAAISLQSA
+YRGWKVRKQMRKEHEAAVKIQSAFRTARAQKEFRVLKTAASVIQQHLRARAAGRRQRTEY
+TALRRAAVMLQSAWRGRAARRRIQKQQRCAIIIQAYYRRHVQQKRWEIMKKAAHLIQMHY
+RAYRTGRKQHHLFLKTKXAAIILQSAFRGVRVRKKVKEMHQAAATIQSRYRAYQARKKYA
+SYRAAAVIIQRWYRAAKLAGRQREEYLAVKKAALKIQAVYRGVRARRHIRRMHMAATLIK
+AAFKMQQSRRRYQQMRTAAIIIQVRYRAYCQGRAQRAKYLMILKAVALLQAALRGARVRQ
+SLRRMRTAATLIQAHYRGRRQQAYFNKLKKVTKTVQQKYRAARERHAQLRRYNQLRRSAI
+CIQAAFRGMRARRRLKAMHSAAAVIQRRFRTLGMRRRFLSLRKTAVWVQRKYRAKVCTRH
+HVQQLRLQKAAIKIQSWYRGWMVRKKIQEMRRAATVLQAAFRRHRTRARYQAWRCASQVI
+QQRFRAGRAARLQRRQYLQQRHSALVLQAAFRGMRVRRRLKRMHASATLIQSRFRSIMMR
+KRFLSLKKAAVFVQRKYRATICAKHHLHQFLELQKAIIIIQASYQRRMVKKQLQEMHRAA
+ALIQASFRMHRARLAFQTWKHAAVLIQQRYRACRAAKLQRALYIRWRHSAVVIQAAYKGL
+KARQLLREKHRAAVIIQSTYRMYRQHFFYQKLQWATKVIQERYRASKRKALQHDALKAAT
+CARAGFQDMVVRRLIEERRHQAAITIQEHFRAFKTRKHYLHFRAKVVFVQRRYRELMAVR
+TQAVICIQSCFRGFKARRGIQRMHLAATRIQSCYRRHRARADYQAKKRAVVVIQNHYRSY
+IRVKMERKEFLAIQKSARTIQAAFRGMKVRQKLKTMPDKKMAAPATQPAFYCHRTESQHE
+AGESPALVAQGLYKTSLVGPSQETEQHSQRKAAVTIQKAFRKMVTRRLEKQRRAAVRIQS
+FLQMAVYRRRFLQQKRAALTLQRCFRTQQSRKQFLLYREAAVGLQNPHRTSLPAKHQREL
+YLQIRSSVIIIQARVKGFIQKRKFRELKDSTIKIQAVWRRHKARKYLREVKAACRIQAWY
+RCWKARREYLAVLRAVRIIQRCFCXQQQRRRFLNVRASAVIIQRRWRTVLSGRTTHEQSL
+MTKRHQAACLIQANFRGYKARQAFLQQKSAALTIQRYIRARKAGKHQRMKYVELKKSTVV
+LQALVRGWLVRKRISEQRAKIRLLHFAAAAFYHLSALRIQRAYRRHVALKHANNKQLNSA
+ICIQRWFRARSQRKRFLQKYSIINIQREAREQARQHSRAASVIQRAVRRFLLRKKQENFN
+KRIAKIQALWRGYSWRKKNDSTKTKAIRQRLQCVNREIREESKLYHRTAVALHHLLTYKY
+LSTVLEALKHLEAVTRLSSICCEKMAQSGAISKIFVLIRSCNRSVPCMEVIRYAMQVLLN
+VAKYEKTTSAIYDVENCVDTLLELMQMYQEKSGDKVADKSRSIFTKTCCLLAVLLKTTTR
+ALDVQSRSKVVDRIYSLYKLTAHKHKVNTERILCKQKKNSSVSLSFFPETPVRTTMVSRL
+KPDWVLRRDNV
+>sp|O02703|BAX_BOVIN Apoptosis regulator BAX OS=Bos taurus OX=9913 GN=BAX PE=2 SV=1
+MDGSGEQPRGGGPTSSEQIMKTGALLLQGFIQDRAGRMGGETPELGLEQVPQDASTKKLS
+ECLKRIGDELDSNMELQRMIAAVDTDSPREVFFRVAAEMFSDGNFNWGRVVALFYFASKL
+VLKALCTKVPELIRTIMGWTLDFLRERLLGWIQDQGGWDGLLSYFGTPTWQTVTIFVAGV
+LTASLTIWKKMG
+>sp|P00730|CBPA1_BOVIN Carboxypeptidase A1 OS=Bos taurus OX=9913 GN=CPA1 PE=1 SV=3
+MQGLLILSVLLGAALGKEDFVGHQVLRITAADEAEVQTVKELEDLEHLQLDFWRGPGQPG
+SPIDVRVPFPSLQAVKVFLEAHGIRYRIMIEDVQSLLDEEQEQMFASQSRARSTNTFNYA
+TYHTLDEIYDFMDLLVAEHPQLVSKLQIGRSYEGRPIYVLKFSTGGSNRPAIWIDLGIHS
+REWITQATGVWFAKKFTEDYGQDPSFTAILDSMDIFLEIVTNPDGFAFTHSQNRLWRKTR
+SVTSSSLCVGVDANRNWDAGFGKAGASSSPCSETYHGKYANSEVEVKSIVDFVKDHGNFK
+AFLSIHSYSQLLLYPYGYTTQSIPDKTELNQVAKSAVEALKSLYGTSYKYGSIITTIYQA
+SGGSIDWSYNQGIKYSFTFELRDTGRYGFLLPASQIIPTAQETWLGVLTIMEHTLNNLY
+>sp|Q3SZR5|CB070_BOVIN UPF0573 protein C2orf70 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MASRSAGTLLTEFNAAYVPPGLMPGYKGHVPGVAFSFGSPYGTTTLKYFQDQRNAALGRS
+STAFSRGGHFPTIFSLNPTQVLRNRALTRDRWLHTPSYTRFNLDSSRWAELLHFYQMAQR
+HREHYQDKTGLVHRVPYFVLPVKEWDRYPIPTDLPPLSPKEKWHLLRVAPENLRTYQTFP
+SGKRVSPQERQRRDCYFEFRA
+>sp|Q17QK3|CBPQ_BOVIN Carboxypeptidase Q OS=Bos taurus OX=9913 GN=CPQ PE=2 SV=1
+MKFLLFMFVGVVHLLPLASGKAIYGNGPSQRTFQEIKEEIAHYGDVAKSIINLTVYGKAQ
+NRSYERLALLVDTVGPRLSGSKNLERAIEIMQQNLKGDGLENVHLEPVKIPHWERGEESA
+VMLEPRIHKMAILGLGSSIGTPPEGITAEVLVVTSFDELQRRGPDAEGKIVVYNQPYTNY
+SAAVQYRMEGAVEAAKVGALASLIRSVASFSIYSPHTGIQEYQKGVPKIPTACITVEDAE
+MMSRMASRGNRIVVQLKMGAKSYPDADSFNTVAEITGSKYPEQVVLVSGHLDSWDVGQGA
+MDDGGGAFISWEALSLIKDLGLRPKRTLRLVLWTAEEQGGVGSSQYYQLHKANSSNYSLV
+MESDLGTFLPSGLKFTGSDKARVIMEEVMSLLQPINITQVLKAGDGTDINFWIQDGVPGA
+SLLDDLYKYFSFHHSHGDTMTVMDPKQMNVAAAVWAVVSYVVADLEEMLPRS
+>sp|Q2NL37|BCCIP_BOVIN BRCA2 and CDKN1A-interacting protein OS=Bos taurus OX=9913 GN=BCCIP PE=2 SV=1
+MASRPKRRAVSRVPPALGDEEEEDEVEEQDEDDSDEEEDEEDEVVNEEVNIEFEAYSISD
+NDYDGIKKLLQQLFLKAPVNTAELTNLLIQQNHIGSVIKQTDVSEDSDDEVDEDEIFGFI
+SLLNLTERKGTPCAEQIKELILRLCEKNCEKSMVEQLDRLFNDTARPVGFLLSERFINVP
+PQIALPMHQQLQKELAEAHRANKPCGKCYFYLLISKTFVEAGKSNSKKKRSNQKKDELMF
+ANAEEEFFYEKAILKFNYSVQEESDTCLGGRWSFDDVPMKPLRTVMLIPGDKMSEIMEKL
+KEHLSV
+>sp|Q2KIG4|ALLC_BOVIN Probable allantoicase OS=Bos taurus OX=9913 GN=ALLC PE=2 SV=1
+MADSPREGKVARPPDFTQLIDLASEFVGGKILFATDDFFAPAENLLKRDNPSFKEHEYTE
+FGKWMDGWQTRRKRIPGHDWCVVQLGIQGVIRGFDVDTSYFTGDHAPRVSIQAANFEEDK
+QPEIPQREVRTGAAATPEEFEAISELKSDDWSCLVPMTELTPGNPASSHNYFPVTSQQRW
+SHIRLNIFPDGGIARLRVYGTGQKDWTAGDPKEPLDLVTVAYGGACVGFSNAHFGHPNNL
+IGVGTATSMADGWETARRLDRPPILENDENGILLVPGCEWAVFRLAHPGVITQIEIDTKY
+FKGNSPESCKVDGCILTTQEEEDMVRQKWDLPGHKWKPLLPVTKLKPDEMHVLDSLTPEL
+QDVITHAKFTITPDGGVSRLRLKGFPSSICLLRPREKPMMRFSVKAGFRANL
+>sp|Q2KJ51|ANGL4_BOVIN Angiopoietin-related protein 4 OS=Bos taurus OX=9913 GN=ANGPTL4 PE=2 SV=1
+MRCAPTAGAALMLCAATAGLLSAQGRPEPPETPRFASWDEVNVLAHGLLQLGHGLREHVE
+RTRGQLGELERRLGACGAACKDPEGSAAPPRAQANLVNPGGGDASPETLRSLKTQLEAQN
+SRIQQLFQKVAQQQRHLEKQQLRIQNLQSQMDHLAPRHLGHEMAKPARRKRLPKMAQLAG
+PAHNISRLHRLPRDCQELFEEGERESGLFQIQPQGSPPFLVNCKMTSDGGWTVIQRRQDG
+SVDFNQPWEAYKDGFGDPQGEFWLGLEKVHHILGDRGSRLAVQLQDWEGNAESLQFPIHL
+GGEDTAYSLQLTPPVASKLGATTFSPSGLSLPFSTWDQDHDLRGDKNCARSLSGGWWFGT
+CSHSNLNGQYFHSIPRQRQQRKKGIFWKTWRGRYYPLQATTILVQPTAAS
+>sp|Q2T9Z6|CC175_BOVIN Coiled-coil domain-containing protein 175 OS=Bos taurus OX=9913 GN=CCDC175 PE=2 SV=2
+MALSSWSPELGLGSEKALPVAAVSTGPSLELCTFPSTLGSSVATDALEQLLVVEQSLQSD
+YFKCNEEAKNFLKDVAIAVKKLEEMRKSTIDLLEIESMELSRLYFVLETLPTSVSRELEE
+CVRDARRVNLVEMSELHTKITRINDEIEFLKKKILHLQTDNTALGERQEELAKHYGKIVL
+SVNHAMKEKATTTIYINETYTKINLEKKELELQKTYIQEIEEQIERERAEYLKKKEKLNQ
+EIEEYKKLCELKRKETYAKKKELDKLRLTMTKMRETVTTSTVVLSDHNLELARLQESIRE
+WEHKIEDMKKSCKILEDKMLFFKNNREKLDDSSNFEKSELLLKIKQMTEKLHNCRLENKA
+LREKLHTVSRQYKIVLNEEDKVFMQKRKIYSENQKQLAFIAQKENFLSKRKVDIKNMEEG
+LITLGELHRATKEVYRKQIKILNENMERETQRCIITQWKIACLRKKHARWVKKIKDEIKE
+LIDKIQEAENRRSELIEETSIREHDINEFLAKIEQLTLELKQEEDAFVIKERKLIQELSK
+FEQRFAEEAQISKEKEVELDKCLPQLQVAEEEFTDKNRKFQNLIETVTAQKNEQNLLNNN
+ISQFTRDFIRYINNTKKVKQELKQLREHESYKTKAHFEILKSLENEIYLHDLKTDALILE
+NKRLKEYIAYLKDNIEQYERGGEDLVRSSSDLSCQLTDLQTQYSDLWAEFWTTLKKRRKR
+VLLK
+>sp|Q3T0P5|CASP6_BOVIN Caspase-6 OS=Bos taurus OX=9913 GN=CASP6 PE=2 SV=1
+MSSEPPPRRARGPGEEQNMTEIDAFPRREIFDPTEKYKMDHKRRGIALIFNHERFFWHLT
+LPNRPGTSADRDNLRRRFSDLGFEVKCFDDLRAEELLLKIHEASTASHVDADCFLCVFLS
+HGEGNHIYAYDAKIEIQTLTGLFKGDKCQSLVGKPKIFIIQACRGSQHDVPVIPLDVVDH
+RTDTPDANLTQVDAASVYTLPAGADFLMCYSVAEGYYSHRETVNGSWYIQDLCEMLGKFG
+SSLEFTELLTLVNRKVSQRRVDFCRDPNAIGKKQVPCFASMLTKKLHFSPKSK
+>sp|P00426|COX5A_BOVIN Cytochrome c oxidase subunit 5A, mitochondrial OS=Bos taurus OX=9913 GN=COX5A PE=1 SV=2
+MLGAAVRRCSVAAAAVARASPRGLLHPTPAPGQAAAVQSLRCYSHGSHETDEEFDARWVT
+YFNKPDIDAWELRKGMNTLVGYDLVPEPKIIDAALRACRRLNDFASAVRILEVVKDKAGP
+HKEIYPYVIQELRPTLNELGISTPEELGLDKV
+>sp|Q3ZCK5|CCNC_BOVIN Cyclin-C OS=Bos taurus OX=9913 GN=CCNC PE=2 SV=1
+MAGNFWQSSHYLQWILDKQDLLKERQKDLKFLSEEEYWKLQIFFTNVIQALGEHLKLRQQ
+VIATATVYFKRFYARYSLKSIDPVLMAPTCVFLASKVEEFGVVSNTRLIAAATSVLKTRF
+SYAFPKEFPYKMNHVLECEFYLLELMDCCLIVYHPYRPLLQYVQDMGQEDMLLPLAWRIV
+NDTYRTDLCLLYPPFMIALACLHVACVVQQKDARQWFAELSVDMEKILEIIRVILKLYEQ
+WKNFDERKEMATILSKMPKPKPPPNSEGEQGPNGSQNSSYSQS
+>sp|P80209|CATD_BOVIN Cathepsin D OS=Bos taurus OX=9913 GN=CTSD PE=1 SV=2
+VIRIPLHKFTSIRRTMSEAAGXVXXLIAKGPISKYATGEPAVRQGPIPELLKNYMDAQYY
+GEIGIGTPPQCFTVVFDTGSANLWVPSIHCKLLDIACWTHRKYNSDKSSTYVKNGTTFDI
+HYGSGSLSGYLSQDTVSVPCNPSSSSPGGVTVQRQTFGEAIKQPGVVFIAAKFDGILGMA
+YPRISVNNVLPVFDNLMQQKLVDKNVFSFFLNRDPKAQPGGELMLGGTDSKYYRGSLMFH
+NVTRQAYWQIHMDQLDVGSSLTVCKGGCEAIVDTGTSLIVGPVEEVRELQKAIGAVPLIQ
+GEYMIPCEKVSSLPEVTVKLGGKDYALSPEDYALKVSQAETTVCLSGFMGMDIPPPGGPL
+WILGDVFIGRYYTVFDRDQNRVGLAEAARL
+>sp|P07033|ACYP2_BOVIN Acylphosphatase-2 OS=Bos taurus OX=9913 GN=ACYP2 PE=1 SV=2
+MSTGRPLKSVDYEVFGRVQGVCFRMYTEDEARKIGVVGWVKNTSKGTVTGQVQGPEEKVN
+SMKSWLSKVGSPSSRIDRTNFSNEKTISKLEYSSFNIRY
+>sp|Q5E9H2|1A1L1_BOVIN 1-aminocyclopropane-1-carboxylate synthase-like protein 1 OS=Bos taurus OX=9913 GN=ACCS PE=2 SV=1
+MFTLPQKEFRMTTACPGSDSIQDLPSNKGDGLERECSRKPDQKLLKFYGVGDPAAELSSS
+SPYLSSRGSVIKWFWDSAEEGYRTYHMDEYDEDKNPSGIINLGTSENKLCFDLLSRRLSQ
+SDMLQVEPALLQYPDWRGHLFLREEVARFLSFYCRSPAPLKPENVVVLNGCASLFSALAT
+VLCEAGEAFLIPAPYYGAITQHVYLYGNVRLVCVYLDSEVTGLETRPFQLTVEKLEMALQ
+GANSEGVKVKGLILINPQNPLGDIYSPGELQEYLEFAKRHELHVMVDEVYMLSVFEESAG
+YRSVLSLERLPDPQRTHVMWATSKDFGMSGLRFGTLYTENWAVATAVASLCRYHGLSGLV
+QYQMAQLLRDHDWINQVYLPENHARLKAAHTYVSEDLRALGIPFVSRGAGFFIWVDLRKY
+LPEATFEEEVLLWRRFLENKVLLSFGKAFECKEPGWFRLVFSDKTHRLHLGMQRVRQVLE
+GQPQLADGAPPHQIQEPQGPHR
+>sp|P17690|APOH_BOVIN Beta-2-glycoprotein 1 OS=Bos taurus OX=9913 GN=APOH PE=1 SV=4
+MPPPALVLLLGFLCHVAIAGRTCPKPDELPFSTVVPLKRTYEPGEQIVFSCQPGYVSRGG
+IRRFTCPLTGLWPINTLKCMPRVCPFAGILENGTVRYTTFEYPNTISFSCHTGFYLKGAS
+SAKCTEEGKWSPDLPVCAPITCPPPPIPKFASLSVYKPLAGNNSFYGSKAVFKCLPHHAM
+FGNDTVTCTEHGNWTQLPECREVRCPFPSRPDNGFVNHPANPVLYYKDTATFGCHETYSL
+DGPEEVECSKFGNWSAQPSCKASCKLSIKRATVIYEGERVAIQNKFKNGMLHGQKVSFFC
+KHKEKKCSYTEDAQCIDGTIEIPKCFKEHSSLAFWKTDASDVKPC
+>sp|Q3LUH2|CLC6A_BOVIN C-type lectin domain family 6 member A OS=Bos taurus OX=9913 GN=CLEC6A PE=2 SV=1
+MVREGNAESCFRMRLWCIAVLGLALLSACFIVSCVVTYYFTHGNTGKRLSELHTHHSNLT
+CFSEGTRVTEKIWGCCPGTWKPFGSSCYFISSEENFWAKSEQNCIGMGAHLVVINTETEQ
+DFIIQQLNKTFSYFLGLSDPQGNGNWQWIDQTPYKENVRFWHQNEPNFSAEECASVVFWD
+GRGWGWNDVFCDSKRKSICEMKKIYL
+>sp|Q0VCX4|CTNB1_BOVIN Catenin beta-1 OS=Bos taurus OX=9913 GN=CTNNB1 PE=1 SV=1
+MATQADLMELDMAMEPDRKAAVSHWQQQSYLDSGIHSGATTTAPSLSGKGNPEEEDVDTT
+QVLYEWEQGFSQSFTQEQVADIDGQYAMTRAQRVRAAMFPETLDEGMQIPSTQFDAAHPT
+NVQRLAEPSQMLKHAVVNLINYQDDAELATRAIPELTKLLNDEDQVVVNKAAVMVHQLSK
+KEASRHAIMRSPQMVSAIVRTMQNTNDVETARCTAGTLHNLSHHREGLLAIFKSGGIPAL
+VKMLGSPVDSVLFYAITTLHNLLLHQEGAKMAVRLAGGLQKMVALLNKTNVKFLAITTDC
+LQILAYGNQESKLIILASGGPQALVNIMRTYTYEKLLWTTSRVLKVLSVCSSNKPAIVEA
+GGMQALGLHLTDPSQRLVQNCLWTLRNLSDAATKQEGMEGLLGTLVQLLGSDDINVVTCA
+AGILSNLTCNNYKNKMMVCQVGGIEALVRTVLRAGDREDITEPAICALRHLTSRHQEAEM
+AQNAVRLHYGLPVVVKLLHPPSHWPLIKATVGLIRNLALCPANHAPLREQGAIPRLVQLL
+VRAHQDTQRRTSMGGTQQQFVEGVRMEEIVEGCTGALHILARDVHNRIVIRGLNTIPLFV
+QLLYSPIENIQRVAAGVLCELAQDKEAAEAIEAEGATAPLTELLHSRNEGVATYAAAVLF
+RMSEDKPQDYKKRLSVELTSSLFRTEPMAWNETADLGLDIGAQGEPLGYRQDDPSYRSFH
+SGGYGQDALGMDPMMEHEMGGHHPGADYPVDGLPDLGHAQDLMDGLPPGDSNQLAWFDTD
+L
+>sp|P84081|ARF2_BOVIN ADP-ribosylation factor 2 OS=Bos taurus OX=9913 GN=ARF2 PE=2 SV=1
+MGNVFEKLFKSLFGKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKN
+ISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVNEAREELTRMLAEDELRDAV
+LLVFVNKQDLPNAMNAAEITDKLGLHSLRQRNWYIQATCATSGDGLYEGLDWLSNQLKNQ
+K
+>sp|P35071|CFTR_BOVIN Cystic fibrosis transmembrane conductance regulator OS=Bos taurus OX=9913 GN=CFTR PE=2 SV=2
+MQRSPLEKASVVSKLFFSWTRPILKKGYRQRLELSDIYHISSSDSADNLSEKLEREWDRE
+LASKKNPKLINALRRCFFWRFMFYGIILYLGEVTKAVQPLLLGRIIASYDPDNKVERSIA
+IYLGIGLCLLFIVRTLLLHPAIFGLHHIGMQMRIAMFSLIYKKTLKLSSRVLDKISIGQL
+VSLLSNNLNKFDEGLALAHFVWIAPLQVTLLMGLLWELLQAFTFCGLAFLIVLALLQAGL
+GKMMMKYRDQRAGKINERLVITSEMIENIQSVKAYCWEEAMEKIIENLRQTELKLTRKAA
+YVRYLNSSAFFFSGFFVVFLSVLPYALLKGIILRKIFTTISFCIVLRMAVTRQFPWAVQT
+WYDSLGAINKIQDFLQKQEYKTLEYNLTTTDVVMENVTAFWEEGFSKLFEKAKENNNSRK
+ISNGDNSLFFSNLLLGTPVLKDISFKIERGQLLAVAGSTGAGKTSLLMMIMGELEPSEGK
+IKHSGRISFCSQYSWIMPGTIKDNIIFGVSYDEYRYRSVIKACQLEEDISKFAEKDNVVL
+GEGGITLSGGQRARISLARAVYKDADLYLLDSPFGYLDVLTEKEIFESCICKLMANKTRI
+LVTSKMEHLKKADKILILHEGSIYFYGTFSELQNQRPDFSSKLMGCDTFDQFTAERRNSI
+ITETLRRFSLEGDTSVSWNETKKPSFKQTGEFGEKRKNSILSSINSIRKFSVVQKTSLQM
+NGIEGAADAPLERRLSLVPHSEPGEGILPRSNAVNSGPTFLGGRRQSVLNLMTGSSVNQG
+QSIHRKTATSTRKMSLAPQASLAEIDIYSRRLSQDTGLEISEEINEEDLRDCFFDDVENI
+PAVTTWNTYLRYITVHKSLMFVLIWCLVVFLVEVAASLVVLCLFPKIFFQDKGNSTKSAN
+NSYAVIITSTSSYYIFYIYVGVADTLLALGLFRGLPLVHTLITVSKTLHHKMLQSVLQAP
+MSTLNTLKTGGILNRFSKDIAVLDDLLPLTIFDFVQLLLIVIGAVVVVSVLQPYIFLATV
+PVIAAFILLRAYFLHTSQQLKQLESEGRSPIFTHLVTSLKGLWTLRAFGRQPYFETLFHK
+ALNLHTANWFLYLSTLRWFQMRIEMIFVIFFIAVTFISILTTGEGEGRVGIILTLAMNIM
+GTLQWAVNSSIDVDSLMRSVSRVFKFIDMPTEDGKPNNSFRPSKDSQPSKVMIIENQHVK
+KDDIWPSGGQMTVKDLTAKYTDGGNAILENISFSISPGQRVGLLGRTGSGKSTLLLAFLR
+LLNTKGEIQIDGVSWDSITLQQWRKAFGVIPQKVFIFSGTFRKNLDPYGQWSDQEIWKVA
+DEVGLRSVIEQFPGKLDFVLVDGGCVLSHGHKQLMCLARSVLSKAKILLLDEPSAHLDPI
+TYQIIRRTLKQAFANCTVILSEHRIEAMLECQRFLVIEENKVRQYDSIQRMLSEKSLFRQ
+AISPADRLKLLPHRNSSRQRSRSNIAALKEETEEEVQETKL
+>sp|Q5E9I6|ARF3_BOVIN ADP-ribosylation factor 3 OS=Bos taurus OX=9913 GN=ARF3 PE=2 SV=3
+MGNIFGNLLKSLIGKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKN
+ISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVNEAREELMRMLAEDELRDAV
+LLVFANKQDLPNAMNAAEITDKLGLHSLRHRNWYIQATCATSGDGLYEGLDWLANQLKNK
+K
+>sp|Q56JW4|APT_BOVIN Adenine phosphoribosyltransferase OS=Bos taurus OX=9913 GN=APRT PE=2 SV=1
+MADPELQLVARRIRSFPNFPIPGVLFRDISPVLKDPTSFRASINLLANHLKKAHGGRIDY
+IAGLDSRGFLFGPSLAQELGLGCILIRKRGKLPGPTVCASYALEYGKGELEIQRDALEPG
+QKVVVVDDLLATGGTMCAACELLGQLRAEVLECVSLVELTSLKGREKLGAVPFFSLLQYE
+>sp|Q2KJ21|CACO1_BOVIN Calcium-binding and coiled-coil domain-containing protein 1 OS=Bos taurus OX=9913 GN=CALCOCO1 PE=2 SV=1
+MEESSLSRAPSRGGVNFLNVARTYIPNTKVECHYTLPPGTVPSASDWIGIFKVEAACVRD
+YHTFVWSLVPESVTDGSPIHASVQFQASYLPKPGAQLYQFRYVNRQGRVCGQSPPFQFRE
+PRPMDELVTLEETDGGSDILLVVPKATVLQNQLDESQQERNDLMQLKLQLEGQVTELKSQ
+VQELEKALAAARQEHAELAEQYKGLSRSHGELTEERDILSRQQGDHVARILELEEDIQTI
+SEKVLMKEVELDRVRDSVKALTREQEKLLGQLKEVQADKEQSEAELQMAQQENRRLNLEL
+QEAKDRQEEQSAQAQRLKDKVAQMKDTLGQVQQRVAELEPLKEQLRGAQELAASSQQKAA
+LLGEELASAAGARDRTIAELHRSRLEVAGVNGRLAELSLHLKEEKSQWSKERAGLLQSVE
+AEKDKILKLSAEILRLEKAVQEEKTQSQVFKTELAREKDSSLVQLSESKRELTELRSALR
+VLQKEKEQLQEEKQELLEYMRKLEARLEKVADEKWSEDPATEDEEAAVGLSCPAALTDSE
+DESPEDMRLPPYSLCESGDSGSSPATGPREASPLVVISQPAPIAPQLSGPAEDSSSDSEA
+EDEKSVLMAAVQSGGEEANLLLPELGSAFYDMASGFAVGPLTEASTGGPATPPWKECPIC
+KERFPVHTQTHTYTHTHTHA
+>sp|Q2NKR3|COXM2_BOVIN COX assembly mitochondrial protein 2 homolog OS=Bos taurus OX=9913 GN=CMC2 PE=3 SV=1
+MHPDLSPHLHTEECNVLINLLKECHKNHSILKFFGHCNDLDREMRKCLKNEYMEKRNKSR
+ELGNAMRKRLFNPPEESEN
+>sp|Q0VCA8|3HAO_BOVIN 3-hydroxyanthranilate 3,4-dioxygenase OS=Bos taurus OX=9913 GN=HAAO PE=1 SV=1
+MERPVRVKAWVEENRGSFLPPVCNKLLHQKQLKIMFVGGPNTRKDYHIEEGEEVFYQLEG
+DMLLRVLERGKHRDVVIRQGEIFLLPAGVPHSPQRFANTVGLVIERRRLKTELDGLRYYV
+GDTTDVLFEKWFYCEDLGTQLAPIIQEFFSSEQYRTGKPNPDQLLKEPPFPLSTRSVMEP
+MCLEAWLDGHRKELQAGTPLSLFGDTYESQVMVHGQGSSEGLRRDVDVWLWQLEGSSVVT
+MEGQRLSLTLDDSLLVPAGTLYGWERGQGSVALSVTQDPACKKSLG
+>sp|Q58CQ0|CSTOS_BOVIN Protein CUSTOS OS=Bos taurus OX=9913 GN=CUSTOS PE=2 SV=1
+MSDLESSSSSSSDEEELERCREAALPAWGLEQRPRGPEKPGVDATNAKLPANQPSLMHKV
+DEHEQDGNELQTTPEFRAHVAKKLGALLDSSITISEIVKEPRKSEVQQGALEDDGFRLFF
+TSIPGGPEKEAAPQPCRKRLPSSSSSDDGDEELRRCREAAVSASDILQESAIHGHVSVEK
+KKKRKLKKKAKKEDSADVAATATSKAEVGRQEKESAQLNGDQAPPGTKKKKRKKKTKKAS
+EASLSPPTKSAAAVPSN
+>sp|Q2YDJ9|GMPPB_BOVIN Mannose-1-phosphate guanyltransferase beta OS=Bos taurus OX=9913 GN=GMPPB PE=2 SV=1
+MKALILVGGYGTRLRPLTLSIPKPLADFCNKPILLHQVEALAAAGVDHVILAVSYMSQVL
+EKEMKAQEQKLGIRISMSHEEEPLGTAGPLALARDLLCETADPFFVLNSDVICDFPFEAM
+VQFHRHHGQEGSILVTKVEEPSKYGVVVCEADTGRVHRFVEKPQVFVSNKINAGVYILSP
+SVLRRIQLQPTSIEKEIFPVMAKEGQLYAMELQGFWMDIGQPKDFLTGMCLFLKSLRQKH
+PEQLCSGPGIVGNVLVDPRARIGENCSIGPNVSLGPGVVVEDGVCIRRCTVLRDAHIRSH
+SWLESCIVGWRCRVGQWVRMENVTVLGEDVIVNDELYLNGASVLPHKSIGESVPEPRIIM
+>sp|O46522|CY24B_BOVIN Cytochrome b-245 heavy chain OS=Bos taurus OX=9913 GN=CYBB PE=2 SV=1
+MGNWVVNEGISIFVILVWLGMNVFLFVWYYRVYDIPDKFFYTRKLLGSALALARAPAACL
+NFNCMLILLPVCRNLLSFLRGSSACCSTRIRRQLDRNLTFHKMVAWMIALHTAIHTIAHL
+FNVEWCVNARVNNSDPYSIALSDIGDKPNETYLNFVRQRIKNPEGGLYVAVTRLAGITGV
+VITLCLILIITSSTKTIRRSYFEVFWYTHHLFVIFFIGLAIHGAQRIVRGQTAESLLKHQ
+PRNCYQNISQWGKIENCPIPEFSGNPPMTWKWIVGPMFLYLCERLVRFWRSQQKVVITKV
+VTHPFKTIELQMKKKGFKMEVGQYIFVKCPVVSKLEWHPFTLTSAPEEDFFSIHIRIVGD
+WTEGLFKACGCDKQEFQDAWKLPKIAVDGPFGTASEDVFSYEVVMLVGAGIGVTPFASIL
+KSVWYKYCNKAPNLRLKKIYFYWLCRDTHAFEWFADLLQLLETQMQEKNNTDFLSYNICL
+TGWDESQASHFAMHHDEEKDVITGLKQKTLYGRPNWDNEFKTIGSQHPNTRIGVFLCGPE
+ALADTLNKQCISNSDSGPRGVHFIFNKENF
+>sp|Q1JQD4|GIPC2_BOVIN PDZ domain-containing protein GIPC2 OS=Bos taurus OX=9913 GN=GIPC2 PE=2 SV=1
+MPLGLRRKKKFNTKETSRLVEGEHTDAAVRSLPSPPAPARRLVFHTQLAHGSATGRVENF
+SSIQELYAKIAGVFEIPPSEILYCTLNTPKVDMGKLLGAQIGLEDFIFAHIKGIKKEVNV
+YKSEDSLGLTITDNGAGYAFIKRIKDDSIIDSVKTICVGDHIEAINGENIVGWRHFDVAK
+KLKELKKEELFTLTLIEPKKAFDMEPRSKAGKSSTGKIGTGRETLRLRSKGPATVEEVPS
+EAKEKAIGKVDDLLELYMGIRDTDLATTMFEAGKDKGNPDEFAVALDETLGDFAFPDEFV
+FDVWGVIGDAKQE
+>sp|P10790|FABPH_BOVIN Fatty acid-binding protein, heart OS=Bos taurus OX=9913 GN=FABP3 PE=1 SV=2
+MVDAFVGTWKLVDSKNFDDYMKSLGVGFATRQVGNMTKPTTIIEVNGDTVIIKTQSTFKN
+TEISFKLGVEFDETTADDRKVKSIVTLDGGKLVHVQKWNGQETSLVREMVDGKLILTLTH
+GTAVCTRTYEKQA
+>sp|Q58DR2|DJB12_BOVIN DnaJ homolog subfamily B member 12 OS=Bos taurus OX=9913 GN=DNAJB12 PE=2 SV=1
+MESNKDEAERCISIALKAIQSNQPDRALRFLEKAQRLYPTPRVHALIESLNQKPQPAGDQ
+PQPTEATHTTHRKAAGANTASANGEAGGESTKGYTAEQVAAVKRVKQCKDYYEILGVSRG
+ASDEDLKKAYRKLALKFHPDKNHAPGATEAFKAIGTAYAVLSNPEKRKQYDQFGDDKGQA
+ARHGHGHGDFHRGFEADISPEDLFNMFFGGGFPSSNVHVYSNGRMRYTYHQRQDRRENQG
+DGGLGVFVQLMPILILILVSALSQLMVSSPPYSLSLRPSVGHVHKRVTDHLNVVYYVADT
+FSQEYTGSSLKMVERNVEDDYIANLRNNCWKEKQQKEGLLYRARYFGDADMYNKAQKDGA
+PQAVTDCQRL
+>sp|Q5E9H7|DHB12_BOVIN Very-long-chain 3-oxoacyl-CoA reductase OS=Bos taurus OX=9913 GN=HSD17B12 PE=2 SV=1
+METALPAAGFLYWVGASTVAYLALRISCWFFTALRVWGLGHEAGVGPWLGEWAVVTGGTD
+GIGKSYAEELAKRGMKIVLISRSQDKLDQVSSEISEKFKVETKTIAVDFTSEDIYDKIKA
+SLAGLNIGVLVNNVGMSYEYPEYFLDVPDLDNTIKKLITVNALSVCKMTRLVLPGMVERS
+KGAILNISSASGMYPVPLLTIYSATKAFVDFFSQCLHEEYKSKGVIVQSVLPYYVATKLA
+KIKRPTWDKPSPETFVKSAMKTIGVQSRTNGYPIHSLVASVSASLPSWLYFKIAMYSGNS
+IRVRYLKKMKMN
+>sp|O46600|GHR_BOVIN Growth hormone receptor OS=Bos taurus OX=9913 GN=GHR PE=2 SV=2
+MDLWQLLLTLAVAGSSDAFSGSEATPAFLVRASQSLQILYPVLETNSSGNPKFTKCRSPE
+LETFSCHWTDGANHSLQSPGSVQMFYIRRDIQEWKECPDYVSAGENSCYFNSSYTSVWTP
+YCIKLTSNGGIVDHKCFSVEDIVQPDPPVGLNWTLLNISLTEIHADILVKWEPPPNTDVK
+MGWIILEYELHYKELNETQWKMMDPLMVTSVPMYSLRLDKEYEVRVRTRQRNTEKYGKFS
+EVLLITFPQMNPSACEEDFQFPWFLIIIFGILGLAVTLYLLIFSKQQRIKMLILPPVPVP
+KIKGIDPDLLKEGKLEEVNTILAIHDNYKHEFYNDDSWVEFIELDIDDPDEKTEGSDTDR
+LLSNDHEKSLNIFGAKDDDSGRTSCYEPDILEADFHVSDMCDGTSEVAQPQRLKGEADIS
+CLDQKNQNNSPSNDAAPASQQPSVILVEENKPRPLLIGGTESTHQAVHTQLSNPSSLANI
+DFYAQVSDITPAGNVVLSPGQKNKTGNPQCDTHPEVVTPCQANFIVDNAYFCEVDAKKYI
+ALAPHVEAESHVEPSFNQEDIYITTESLTTTAGRSGTAEHVPSSEIPVPDYTSIHIVQSP
+QGLVLNATALPLPDKEFLSSCGYVSTDQLNKIMP
+>sp|Q5E9J5|DHCR7_BOVIN 7-dehydrocholesterol reductase OS=Bos taurus OX=9913 GN=DHCR7 PE=2 SV=1
+MAAKSQPSAPKTKSTSGLTNGNAAAQGQWGRAWEVDWFSLASVIFLLLFAPFIVYYFIMA
+CDQYGCSLTVPVADLATGRARLADIWARTPPVTAKAAQIYTAWVTLQVLLYMLLPDFCHK
+FLPGYVGGVQEGAVTPAGAVNKYEINGLQAWLLTHLLWFANAHLLGWFSPTIIFDNWIPL
+LWCANILGYTVSTFAMVKGYLFPTDARECKFTGNFFYNYMMGVEFNPRIGKWFDFKLFFN
+GRPGIVAWTLINLSFAAKQQELYGHVTNSMVLVNILQAIYVLDFFWNETWYLKTIDICHD
+HFGWYLGWGDCVWLPYLYTLQGLYLVYHPVQLPTYYALGVLLLGLLGYYIFRMTNHQKDL
+FRRTDGRCLIWGRKPKAIECSYTSADGQRHHSKLLVSGFWGVARHFNYTGDLMGSLAYCL
+ACGGGHLLPYFYIIFMAILLTHRCLRDEHRCANKYGRDWEHYTAAVPYRLLPGIF
+>sp|Q17QA0|GEMI7_BOVIN Gem-associated protein 7 OS=Bos taurus OX=9913 GN=GEMIN7 PE=2 SV=1
+MQTPLATPVPVLRLPRGPDGSNRGFAPDGRRAPPKPEVPEPPESRESWEQQARASLRERY
+LRSLLAMVGRPVCFTLHEGVQVIAHFGATDLDVANFYVSQLQTPIGIQAEALLRCSDIIS
+YTFKP
+>sp|A5PJN2|ERO1A_BOVIN ERO1-like protein alpha OS=Bos taurus OX=9913 GN=ERO1A PE=2 SV=1
+MGRRWGFLIGFLVAVGLLGLGHGEQQPSETAAQRCFCQVSGYLDDCTCDVETIDKFNNYR
+LFPRLQKLLESDYFRYYKVNLKRPCPFWNDINQCGRRDCAVKPCHSDEVPDGIKSASYKY
+SEEANNLIEECEQAERLGAVDESLSEETQKAVLQWTKHDDSSDNFCEVDDIQSPDAEYVD
+LLLNPERYTGYKGPDAWKIWNVIYEENCFKPQTIKRPLNPLASGQGKSEENTFYSWLEGL
+CVEKRAFYRLISGLHASINVHLSARYLLQDTWLEKKWGHNITEFQQRFDGILTEGEGPRR
+LKNLYFLYLIELRALSKVVPFFERPDFQLFTGNKDQDAENKMLLLEILHEIKSFPLHFDE
+NSFFAGNKKEANKLKEDFRLHFRNISRIMDCVGCLKCRLWGKLQTQGLGTALKILFSEKL
+IANMPESGPSYEFHLTRQEIVSLFNAFGRISTSVKELENFRNLLQNIH
+>sp|Q17QU7|DHR13_BOVIN Dehydrogenase/reductase SDR family member 13 OS=Bos taurus OX=9913 GN=DHRS13 PE=2 SV=1
+MEALLLGVGLLLGAYVLVYYNLVKAPPCRGLASLRGRTAVVTGANSGIGKMTALELARRG
+ARVVLACRSRERGEAAAFDLRQESGNNEVIFMALDLASLASVRAFATAFLSSEPRLDILI
+HNAGISSCGRTREPFNLLLRVNHIGPFLLTHLLLPRLKTSAPSRVVVVSSAAHRRGRLDF
+TRLDHPVVGWQQELRAYANSKLANVLFARELATQLEGTGVTCYAAHPGPVNSELFLRHVP
+GWLRPLLRPLAWLVLRAPRGGAQTPLYCALQEGIEPLSGRYFANCHVEEVPPAARDDRAA
+HRLWEASRKLAGLGPGEDAESDEDSQPEDPGTPSSPSSPHPEEPTVSELYPSPQSSTDRS
+TVTCRIPVKAELEPQAC
+>sp|Q2T9N0|FSCB_BOVIN Fibrous sheath CABYR-binding protein OS=Bos taurus OX=9913 GN=FSCB PE=2 SV=1
+MEEKDESEQSISAGRQEIRKRRRPSQPMVDKSQQTEVTEKKKQLSIPQSSGPKAALSIGN
+IPGSKLNYECHRVSSQLQQTWIKRKRVQDMADKSLQTETIAEEKKEEIKLVCEAVVPEEK
+PAAVEVGPEFPESVREVEVPPNRYSVQVKIDRSQQTTCTGDWTMMNFPQKEKLDKEQQTY
+FSESEIVVIGWPTNSFSKSKEGAQKRKSSGNIFLSEHPEFQPTTSSNEEIRRPSISRTVS
+ISPTKKDSPVPLEDEKDVPVEVQPPAAEEISAEEQLPLAETTSEEVPVAVQPPPAEEPPL
+EAQPSPTEEAPGDEAPAKVEPTPAEEALSEKPPAEEALVEIQPSPVEEAAGDEAPAKVEA
+TSSEETLLKEPLTEVQPPAAEEAPIQDTPELQLSPAVEAPAEEAPAEAEPPPAEEAPAEE
+APEVQSPPAEEAPAEEPPEIQSPPAEEAPAEEPPEVQSPPAEEAPAEEAPEVQSPPAEEA
+PAEEPPEVQSPPAEEVPAGEPPEVQSPPAEEAPAEEAPEVQSPPAEEVPAEEALAEVEPP
+PAEEAPAGEPSEVQSPPAEEAPAEEAPEVQSPPSEEAPAEEAPEVQSVPAGQAPAEEPLE
+VQPPPAEDATEEEASEVQSLPTDEAPAEEGLGFQSPPADKAPEEEAPEVQSPPAEEAPAE
+EPPEVQSLPADEAPAEEATEEVQSPPTEESPAEEAPAELQPPSTEETTSEMVSVEKQPSL
+TEEPFITPISLEETSAEVLLPPFEQTPADEALVENVSPVDQAPKEADVLVEKLESGNLDD
+KPKSEEPLERDTIPKDSSGTKNEGVSFEIKGVIHIELE
+>sp|Q5E9A9|DDA1_BOVIN DET1- and DDB1-associated protein 1 OS=Bos taurus OX=9913 GN=DDA1 PE=3 SV=1
+MADFLKGLPVYNKSNFSRFHADSVCKASNRRPSVYLPTREYPSEQIIVTEKTNILLRYLH
+QQWDKKNAAKKRDQEQVELEGESSAPPRKVARTDSPDMHEDT
+>sp|Q2KIG0|ETFD_BOVIN Electron transfer flavoprotein-ubiquinone oxidoreductase, mitochondrial OS=Bos taurus OX=9913 GN=ETFDH PE=2 SV=1
+MQVLLARLACPVYQCFHAIKIKKNYLPLCATRWSSTSVVPRITTHYTVYPRDQDKRWEGV
+NMERFAEEADVVIVGAGPAGLSAAARLKQLAAQHEKDIRVCLVEKAAQIGAHTLSGACLD
+PRALQELFPDWKEKGAPLNTPVTEDRFGILTEKYRIPVPILPGLPMNNHGNYIVRLGHLV
+SWMGEQAEALGVEVYPGYAAAEVLFHEDGSVKGIATNDVGIQKDGAPKTTFERGLELHAK
+VTIFAEGCHGHLAKQLYRKFDLRANCEPQTYGIGLKELWVIDEKKWKPGRVDHTVGWPLD
+RHTYGGSFLYHLNEGEPLVALGFVVGLDYQNPYLSPFREFQRWKHHPSIQPTLEGGKRIA
+YGARALNEGGLQCIPKLTFPGGLLIGCSPGFMNVPKIKGTHTAMKSGILAAESIFNQLTN
+ENLQSKTIGLDVTEYEDNLKKSWVWKELYAVRNIRPSCHSILGVYGGMIYTGIFYWIFRG
+MEPWTLKHKGSDSDKLKPAKDCTPIEYPKPDGQISFDLLSSVALSGTNHEHDQPAHLTLK
+DDSVPVNRNLSIYDGPEQRFCPAGVYEFVPVEQGDGFRLQINAQNCVHCKTCDIKDPSQN
+INWVVPEGGGGPAYNGM
+>sp|Q17QS6|ASB5_BOVIN Ankyrin repeat and SOCS box protein 5 OS=Bos taurus OX=9913 GN=ASB5 PE=2 SV=1
+MSMVEENRPFAQQLSNVYFTILSLFCFKLFVKISLAILSHFYIVKGNRKEAARIAAEFYG
+VTQGRGSWADRSPLHEAASQGRLLALRTLLSQGYNVNAVTIDHVTPLHEACLGDHVACAR
+TLLQAGANVNAITIDGVTPLFNACSQGSTSCTELLLEYGAKPQLESCLPSPTHEAASKGH
+HECLEILISWGVDVDQDIPHLGTPLYVACMSQQFHCVRKLLYAGADVQKGKYWDTPLHAA
+AQQSCTEIVNLLLEFGADINAKNTDLLRPVDVATSNSLVERLLLQHEATPSSLCQLCRLC
+IRNYIGRPRLHLIPQLQLPTLLQNFLQYR
+>sp|Q32L77|CI135_BOVIN Protein C9orf135 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MDSSDSSCQEWCSHGQYWLELGPTDLMERKGSLTLRSHHKKYSKPVLVYSWHRDRETYPK
+DYDIEGPEEVKKLCNSTYRRLGTSEPPVWISETREKMAQVCLNTKLAKIKSKALLNEETM
+NSGIIERDTGLPATGFGALFTRHSPDWSKMCTLTTYAEEYAPPYEYQPLGDPCQDDDYSI
+VHRKCRSQFTDLDGSKRVGINTWHDESGIYANSYVKRKLYSLTGGPIAPFLK
+>sp|Q2KIT5|CREL2_BOVIN Cysteine-rich with EGF-like domain protein 2 OS=Bos taurus OX=9913 GN=CRELD2 PE=2 SV=1
+MRPPAPAVLGLLLLLLPTGEATKKPTPCKRCRELVDKFNQGMVDTAKKNFGGGNTAWEEK
+TLSKYEFSEVRLLEIVEGLCEASDFECNQLLEEQEELLEAWWLRLKKKHPDLFEWFCVQT
+LKACCSPGTYGPDCLACQGGSERPCSGNGHCVGDGTREGDGSCQCHLGYQGPLCSDCMDG
+YFRSPTSETHSICSACDEACKTCVGPTNRDCGQCEVGWVRQDDACVDVDECAAEPPPCED
+TQYCENVNGSFVCEECDPTCMGCTGKGPTQCRECIAGYSKESGQCEDIDECSLAEKPCLR
+DNENCYNTPGSFVCVCPDGFEEAEDTCVQTRPAGAEATEASPTQPPSREDL
+>sp|A4FUX8|ACL6B_BOVIN Actin-like protein 6B OS=Bos taurus OX=9913 GN=ACTL6B PE=2 SV=1
+MSGGVYGGDEVGALVFDIGSFSVRAGYAGEDCPKADFPTTVGLLAAEEGGGLELEGEKEK
+KGKIFHIDTNALHVPRDGAEVMSPLKNGMIEDWECFRAILDHTYSKHVKSEPNLHPVLMS
+EAPWNTRAKREKLTELMFEQYNIPAFFLCKTAVLTAFANGRSTGLVLDSGATHTTAIPVH
+DGYVLQQGIVKSPLAGDFISMQCRELFQEMAIDIIPPYMIAAKEPVREGAPPNWKKKEKL
+PQVSKSWHNYMCNEVIQDFQASVLQVSDSPYDEQVAAQMPTVHYEMPNGYNTDYGAERLR
+IPEGLFDPSNVKGLSGNTMLGVGHVVTTSIGMCDIDIRPGLYGSVIVTGGNTLLQGFTDR
+LNRELSQKTPPSMRLKLIASNSTMERKFSPWIGGSILASLGTFQQMWISKQEYEEGGKQC
+VERKCP
+>sp|O18737|CACO2_BOVIN Calcium-binding and coiled-coil domain-containing protein 2 OS=Bos taurus OX=9913 GN=CALCOCO2 PE=2 SV=1
+MEETVDDPPTSAVLLDHCHFSQVIFNSVEKFYIPGGDITCYYTLTQHFIPRRKDWIGIFR
+VGWKTTREYYTFMWVTLPVDLNSESAKQQEVQFKAYYLPKDDEYYQFCYVDQDGVVRGAS
+IPFQFRPENEEDILVVTTQSEVEEIEQHNKELCKENRELKDSCVSLQKQNSDMQATLQKK
+QEELETLKSINKKLEQTMKEQKDCWEIELLQLKEQNQKMSSENEKMGVRVDQLQAQLSNQ
+GREMEKLVQGVQDKTEQLEHLKEENGQLFLSLTEQREHQKKLEQTVEEMKQKETTAAKKQ
+QELTDQNMDLSKRLSENMIIHDVLQREKEKMEKENDYLKRENNRLLSYMGLDCDSLSYQV
+PTSNQGGTRQDPGLVFGNPYSGIQESSAPSLLSIKKCPTCKSDFAADVFDHNLALEQHLQ
+TLSLNCPICDKTFPAKEKQIFEDHVFCHTL
+>sp|Q5E9Y0|CDK2_BOVIN Cyclin-dependent kinase 2 OS=Bos taurus OX=9913 GN=CDK2 PE=2 SV=1
+MENFQKVEKIGEGTYGVVYKAKNKLTGEVVALKKIRLDTETEGVPSTAIREISLLKELNH
+PNIVKLLDVIHTENKLYLVFEFLHQDLKKFMDASALTGIPLPLIKSYLFQLLQGLAFCHS
+HRVLHRDLKPQNLLINADGSIKLADFGLARAFGVPVRTYTHEVVTLWYRAPEILLGCKYY
+STAVDIWSLGCIFAEMVTRRALFPGDSEIDQLFRIFRTLGTPDEVVWPGVTSMPDYKPSF
+PKWARQDFSKVVPPLDEDGRSLLSQMLHYDPNKRISAKAALAHPFFQDVTKPVPHLRL
+>sp|Q5E9B7|CLIC1_BOVIN Chloride intracellular channel protein 1 OS=Bos taurus OX=9913 GN=CLIC1 PE=2 SV=3
+MAEEQPQVELFVKAGSDGAKIGNCPFSQRLFMVLWLKGVTFNVTTVDTKRRTETVQKLCP
+GGQLPFLLYGTEVHTDTNKIEEFLEAVLCPPRYPKLAALNPESNTAGLDIFAKFSAYIKN
+SNPALNDNLEKGLLKALKVLDNYLTSPLPDEVDETSAEDEGISQRKFLDGNELTLADCNL
+LPKLHIVQVVCKKYRGFSIPDVFRGVHRYLRNAYAREEFASTCPDDEEIELAYEQVAKAL
+K
+>sp|A5D7U0|CKAP2_BOVIN Cytoskeleton-associated protein 2 OS=Bos taurus OX=9913 GN=CKAP2 PE=2 SV=1
+MSTPAIPQDLQLNPSQRTQSAFREQRRQKLKEHLLKRKTSFACKQENQMLLSDGDQRVRT
+SEGQIQEGKKVLKIKTEMADKENVGRPTGIKNNLTVEKNCIPLKPSNELTNSTLATDPPN
+SEDNNQTLPLLPVKDDPQSQHRTLSQTFHLKNNSKKKPVITEKPKHDANVPKKPVLGAYR
+GQIVQSKINSFRKPLQVKDESSATTKKLPATVSKATKPQPGDVSSITVKSDRASHMTSTT
+KFASTTSQIRHLVRPPIRSQHNKAQDAMKPGNSRMSANVTVQKGPREKELNTVLSGIKTS
+SSQDIKGDKTLSKSMAAGMVVRPASSSNTKLIEKSKSAGQRSHTTVKAAVDSRWTQPKET
+AEERKARLSEWKAGKGRILKRPPSSAVTRPEPETQNEQPVGSFWTTMAEEDEQRLFTEKV
+NKTFSECLNLINEPIEEMRHTIVDILTRKSQEKLKFGENIEETSAAEEKIQEAHTDDTGV
+DLESGKLEMENNPPRNVFQDCEKEQDDKVKDPTSDVKTPSTNTRAGCLIKYNVSTTPYLQ
+SVKKKMQFDETNSAYKELKFLTPVRRSRRLQEKTSKLPDMLKDHYPCVSSLEQLTELGCE
+TDAFVCRPNTALCGMFSEPDPTEEE
+>sp|Q28084|CO4A3_BOVIN Collagen alpha-3(IV) chain (Fragment) OS=Bos taurus OX=9913 GN=COL4A3 PE=1 SV=1
+GLPGRKGPVGDAGPPGQLGVTGPQGAPGFPGVTIPGQKGDRGPPGSRGNPGMPGPPGPPG
+SPVEGIKGDKGLMGEPGQRGPPGAIGDMGSPGHPGAPGVPGQPGARGDPGFYGFPGMKGK
+KGNSGFPGPPGPPGQSGPKGPPGVRGEPGTVKIISLPGSPGPPGSAGEPGMQGEPGPPGP
+PGDPGPCGPKGKPGEDGPPGTPGPTGEKGNKGCKGEQGPPGSDGLPGLKGKPGDTGPPAA
+GAVMRGFVFTRHSQTTAIPSCPEGTEPLYSGFSLLFVQGNEQAHGQDLGTLGSCLQRFTT
+MPFLFCNINDVCNFASRNDYSYWLSTPAMIPMDMAPITGRALEPYISRCTVCEGPAIAIA
+VHSQTTDIPPCPAGWISLWKGFSFIMFTSAGSEGAGQALASPGSCLEEFRASPFIECHGR
+GTCNYYSNSYSFWLASLDPKRMFRKPIPSTVKAGELENIISRCQVCMKMRP
+>sp|Q32KR8|ADPRH_BOVIN [Protein ADP-ribosylarginine] hydrolase OS=Bos taurus OX=9913 GN=ADPRH PE=2 SV=1
+MCGALMERYVAAMVLSAAGDALGYFNGKWEFLQNGEKIHRQLAQLGGLDAIDVERWRVSD
+DTVMHLATAEALLEAGKVSDLTHLYSLLAKHYQDCMGDMDGRAPGGASVQNAMLLEPDKA
+DGWRIPFNSHEGGCGAAMRAMCIGLRFPHSSQLDSLIQVSIESGRMTHHHPTGYLGALVS
+ALFTAYAVNGKPPQQWGRGLMEVLPEAKKYIVQSGFFVEQNLQHWSYFQDQWEKYLKLRG
+IWDGKSAPTFPKPFDVKERDQFYSSVSYSGWGGSSGHDAPMIAYDAILAAGDSWKELAHR
+AFFHGGDSDSTAAIAGCWWGVMYGFKGVSPSNYEKLEYRNRLEETARALYSLR
+>sp|Q5E9T1|GDPP1_BOVIN GDP-D-glucose phosphorylase 1 OS=Bos taurus OX=9913 GN=GDPGP1 PE=2 SV=1
+MAIPHASNETSYLLPPNKEDWEGQGIPDFVYEQEELMMEGVQWPRGALSLLNTPPLSHFD
+SALCSAWRQRMELGLFRYPLGELPTQTLPGTVGFVAQLNVERGVQRRCPQNIKSVRQEFD
+PEQFNFNQIRPGEVLFRLHRKQDCSGTVQQEDILVVINVSPLEWGHVLLVPEPARGLPQR
+LLPGALRAGVEAVLLSSHPGFRVGFNSLGGLASVNHLHLHGYYLAHRLPVEGAPSEPLDP
+RGRLHVLQALPAPGFLFYTSRPGPDLEALISRVCRATDYLTDCEIAHNLFVTRGAPPGKA
+TSSSALSGVRVILWPRKPSFGIKEGEAFNVALCELAGHLPVKTAQDFSSLTEAAALALIR
+ECLLPPAQAEDVRAALVALIAREEE
+>sp|P35478|CYTX_BOVIN Stefin-C OS=Bos taurus OX=9913 PE=1 SV=1
+MWGPNLGGFSDTQDATAEIQAIADQVKSQLEEKENKKFPVFKAVKFRSQVVAGMNYLIKV
+QVDEDDFVHIRVFESLPHENKPVALTSYQTNKVRHDELTYF
+>sp|Q58CR4|DOLK_BOVIN Dolichol kinase OS=Bos taurus OX=9913 GN=DOLK PE=2 SV=1
+MTRECAPPTPGSGAPLSGSVLAEAAVVFVVVLSIHAAVWDRYSWCAVALAVQAFYVQYKW
+DRLLQQGSAVFQFRMSANSGLLPASVVMPLLGLVMKERCQAAGNPYFERFGIVVAATGMA
+VALFSSVLALGITRPVPTNTCVISGLAGGVIIYIMKHSLSVGEVIEVLEALLIFVYLNMI
+LLYLLPRCFTPGEALLVLGGISFMLNQLIKRSLTVVESQGDPLDFFLLVVVVGMVLMGIF
+FSTLFVFMDSGTWASSIFFHLMTCVLGLGVVLPWLHRLIRRNPLLWLFQFLFQTETRVYL
+LAYWCLLATVACLVVLYQNAKRSSSESKKHQAPTITRKYFHFIVVATYIPGIILDRPLLY
+VAATVCLAVFIFLEYVRYFRIKPLGHTLRSLLSLFLDERDSGPLILTHIYLLLGMSLPIW
+LVPRPCTQKGSLGGARALVPYAGVLAVGVGDTVASIFGSTMGEIRWPGTKKTFEGTMTSI
+FAQIISVALILIFDSGVDLNYSYAWILGSISTVSLLEAYTTQIDNLLLPLYLLILLMA
+>sp|Q5BIM8|ERCC8_BOVIN DNA excision repair protein ERCC-8 OS=Bos taurus OX=9913 GN=ERCC8 PE=2 SV=1
+MLGFLSARQAGLEDPLRLRRAESTRRVLGLELNKDRDVERIHCSGVNTLDIEPVEGRYML
+SGGSDGVIVLYDLENSSRQPYYTCKAVCSVGRSHPDVHKYSVETVQWYPHDTGMFTSSSF
+DKTLKVWDTNTLQIADVFNFEETVYSHHMSPVATKHCLVAVGTRGPKVQLCDLKSGSCSH
+ILQGHRQEILAVSWSPRYEYILATASADSRAKLWDVRRASGCLITLDQHNGKKSQAAESA
+NTAHNGKVNGLCFTSDGLHLLTVGTDNRMRLWNSSNGENTLVNYGKVYNDSRKGLKFTVS
+SGCSSEFVFVPYGSTIAVYTIYSGEQITMLKGHYKSVDCCVFQSNFQELYSGSRDCNILA
+WVPSLCESVPDDDDETSTRSQLNPAFEDAWSSSDEEG
+>sp|Q58D78|CXB3_BOVIN Gap junction beta-3 protein OS=Bos taurus OX=9913 GN=GJB3 PE=2 SV=2
+MDWKTLQALLSGVNKYSTAFGRIWLSVVFVFRVLVYVVAAERVWGDEQKDFDCNTKQPGC
+TNVCYDEFFPISNIRLWALQLIFVTCPSLLVILHVAYREERERRHRQKHGDQCTKLYDDT
+GKKHGGLWWTYLLSLVFKLLIEFLFLYLLHTLWYGFGMPRLVQCANVAPCPNTVDCYIAR
+PTEKKLFTYFMVGASAVCIVLTFCEICYLIFHRVVRSLHRKRQPGGRGHAPSASRASTCR
+CHHKLLEAGDLSPDSHDNKLCASAPTMTPI
+>sp|Q1RMK9|F174B_BOVIN Membrane protein FAM174B OS=Bos taurus OX=9913 GN=FAM174B PE=2 SV=1
+MRAALPPARLLPLLLLLALLGAPAARASRAQSAAPPQPGAERQPRPPPGPGPGNATGTGS
+GEAAGGGGSSNSSGDALVTRISSLLRDLHTLKAAVIVACAFTAFLIACLLLRVFRSGKRL
+KKTRKYDIITTPAERVEMAPLNEEDDEDEDSTVFDIKYR
+>sp|Q58CU0|EAF6_BOVIN Chromatin modification-related protein MEAF6 OS=Bos taurus OX=9913 GN=MEAF6 PE=2 SV=2
+MAMHNKAAPPQIPDTRRELAELVKRKQELAETLANLERQIYAFEGSYLEDTQMYGNIIRG
+WDRYLTNQKNSNSKNDRRNRKFKEAERLFSKSSVTSAAAVSALAGVQDQLIEKREPGSGT
+ESDTSPDFHNQENEPNQEDPEDLDGSVQGVKPQKAASSTSTGSHHSSHKKRKNKNRHRID
+LKLNKKPRADY
+>sp|Q2HJE5|F234A_BOVIN Protein FAM234A OS=Bos taurus OX=9913 GN=FAM234A PE=2 SV=1
+MTDGKDLEAEIHPLKSENRKVPENAGALAGKEPRGTPAPQTRLSHCRTAAFFLSLFACLL
+VVFVVSFIIPCPDRPALQGVWRIDYNAAVAYDFLAAEDVNKDKIQDILFLYKNTNSSRGN
+SSFSCADEGFSCPCTFVAAVSGASGSVLWERPVAQDRAFVECGILQPRGSAAPSACVVLG
+RPGSLVAVDTLTGKTLWSQPSSFGGNASVLSPLLRVPDLDADGAPDLLVLIQEENQVNGS
+IYSGGTGQQVSPPDSLGVDGTSGSILHVTRAGAHYVLIPCGTALCSRSVKGLYEKVSRRD
+SPLKSDPLWEDMLSAASHRLVVHSSGAIRYLMNVPGKAGDDLLLVSTEAYMLLDGQDLTP
+RWTFGTTQVLRKPVLGYYKPDTPAVLVENGTGPDRQVLLLDLGSGAVLWSQALPGLPGDP
+PSASLPTADHRSAFFFWGIHEPTDSNQTEPGAAGRRLYMLHPTLPGVLLELDNVSVPIVA
+FQVVLLEPGRHAACILLTGPASPSPPGLVSVTKHKVQDLVLAGRVVHLAEGGAESDQAVR
+DRLSRLRYRSEA
+>sp|Q3ZCI6|F204A_BOVIN Protein FAM204A OS=Bos taurus OX=9913 GN=FAM204A PE=2 SV=1
+MWSGLLPPGLNESDVELNSDDDTTLESSELNLQEGKEDGTFEKTEMVDIPTDGPSTEAEA
+NINAYEECPSGIPLNMWNKFQELHKKHSEQKTSASRSEKKKRKRSRKGKLKNEEESHSEQ
+SSSETQWKELTQYFGVNERFDPPVKRKKVEKSGLEKRIDQAVEEWNIEKAEELSNQLATR
+ELGVKIAKAIACHNFVKAKKEAENSQVARKKKKLAWGFEAKKRWETKSNMGYM
+>sp|Q2TBT7|FXR1_BOVIN Fragile X mental retardation syndrome-related protein 1 OS=Bos taurus OX=9913 GN=FXR1 PE=2 SV=1
+MAELTVEVRGSNGAFYKGFIKDVHEDSLTVVFENNWQPERQVPFNEVRLPPPPDIKKEIS
+EGDEVEVYSRANDQEPCGWWLAKVRMMKGEFYVIEYAACDATYNEIVTFERLRPVNQNKT
+VKKNTFFKCTVDVPEDLREACANENAHKDYKKAVGACRIFYHPETTQLMILSASEATVKR
+VNILSDMHLRSIRTKLMLMSRNEEATKHLECTKQLAAAFHEEFVVREDLMGLAIGTHGSN
+IQQARKVPGVTAIELDEDTGTFRIYGESADAVKKARGFLEFVEDFIQVPRNLVGKVIGKN
+GKVIQEIVDKSGVVRVRIEGDNENKLPREDGMVPFVFVGTKESIGNVQVLLEYHIAYLKE
+VEQLRMERLQIDEQLRQIGSRSYSGRGRGRRGPNYTSGYGTNSELSNPSETESERKDELS
+DWSLAGEDDRESRHQRDSRRRPGGRGRSVSGGRGRGGPRGGKSSISSVLKDPDSNPYSLL
+DNTESDQTADTDASESHHSTNRRRRSRRRRTDEDAVLMDGMTESDTASVNENGLVTVADY
+ISRAESQSRQRNLPRETLAKNKKEMAKDVIEEHGPSEKAINGPTSASGDEISKLQRTPGE
+EKINTLKEENTQDAAVLNGVS
+>sp|Q3MHZ5|FXYD6_BOVIN FXYD domain-containing ion transport regulator 6 OS=Bos taurus OX=9913 GN=FXYD6 PE=3 SV=1
+MEVVLLFLCGLLAPAVLASATEQEKEKDPFHYDYQTLRIGGLVFAVVLFSVGILLILSRR
+CKCSFNQKPRAPGDEEAQVENLVTANATEPQKAEN
+>sp|Q8HZR2|FUT9_BOVIN Alpha-(1,3)-fucosyltransferase 9 OS=Bos taurus OX=9913 GN=FUT9 PE=2 SV=1
+MTSASKGILRPFLIVCIILACSMVCLFIYIKPTNSWIFSPMESASSVLKMKNFFSTKTGD
+FNETTILIWVWPFGQTFDLTSCQAMFNIQGCHLTTDRSLYNKSHAVLIHHRDISWDLTNL
+PQQARPPFQKWIWMNLESPTHTPQKSGIEHLFNLTLTYRRDSDIQVPYGFLTVSTNPFVF
+EVPNKEKLVCWVVSNWNPEHARVKYYNELSKSIEIHTYGQAFGEYVTDKNLIPTISTCKF
+YLSFENSIHKDYITEKLYNAFLAGSVPVVLGPSRENYENYIPADSFIHVEDYNSPSELAK
+YLKEVDKNNKLYLSYFNWRKDFTVNLPRFWESHACLACDHVKRHQEYKSVGNLEKWFWN
+>sp|Q2YDL5|FKB11_BOVIN Peptidyl-prolyl cis-trans isomerase FKBP11 OS=Bos taurus OX=9913 GN=FKBP11 PE=2 SV=1
+MTLRPSLLPLRLLLLLLLLLRGAVCQAEAGSETESPVRTLQVETLVEPPEPCAEPATFGD
+TLHIHYSGSLVDGRIFDTSLTRDPLVIELGQKQVIPGLEQSLLDMCVGEKRRVIIPSHLA
+YGKRGFPPSIPADAELHFDVELIALIRANYWQKLVKGILPLVGMAMVPALLGLIGYHLYR
+KASSPKISKNKLKEEKRNKSKKK
+>sp|Q2TA16|DRC2_BOVIN Dynein regulatory complex subunit 2 OS=Bos taurus OX=9913 GN=CCDC65 PE=2 SV=1
+MSKKGKKAKVPLSDEEQLLLFQQKLLAEEEMAKKKERLLNQFLKDKLAKEEHNSALNLNK
+INTQWRTVLREIKTRELHKDIEILSQTFERVVDCKDSVIKSLAKDLSEAEEQHAHALRSH
+LHSIDQLLALQRCRLNLLEENYNMELEALTKEFETERKTIIDQHEKEIHYLQDVFMAMEQ
+NYIDSEYESKLEFQSMWDDLKNKNLEEKHFLRLQLENIVEDLWRRFQDALKNYTDATEDR
+KIAFETLKVKDEKSSREIEAQMKKIQKLQDSIIIFKGKILVHSRESEEQNQDIREDKELV
+LVQLRKLKAQRTQARGIAQENLVKLTLESSATLKALREIVDKGEKILKLAEICRKFETEE
+EKVLPFYSSVLTPKEQEEIETMDLEEFNEELGKVIMDYKGMENFWKRYNKVKLEQLSLRH
+RRAQLLEINEKLREMLRQYLDGISVSDEVLSQLNPLFIVNHRSNLPQLLPMPTAQPGDKK
+PPATYNIIEAAHVVSHTL
+>sp|Q58CT0|DYH12_BOVIN Dynein heavy chain 12, axonemal OS=Bos taurus OX=9913 GN=DNAH12 PE=2 SV=1
+MELLLQKGANALGQASDSSSILLEAASGGNPDSVTLLLEYGADANVPKNSGHLPIHVAAD
+RGHLLALKTLVPVTDFAAIKRSGISPIHCAAAGAHPKCLELLIQAGFDVNFMLDQRIRKH
+YDDHRKSALYFAVSNGDLSSVKLLLSAGAMPNQDPVNCLQIALRMGNYELVSLLLRHGAN
+VNYFCRVNPLHFPSALQYTLKDEVMLRMLLNYGYDTELCFDCPHGDKVHRFSASEGWTST
+VIKDTMFCEVITLSWLQHLSGKVVRVMLDYVDQVRICSKLKAVLQKQGLWSEIHFILTNP
+RSLKHLCRLKIRKCMGRLRLRCPVFMSFLPLPSRLKAYVLYKEYDLYEQGIFTGTW
+>sp|Q2KIW3|DYDC2_BOVIN DPY30 domain-containing protein 2 OS=Bos taurus OX=9913 GN=DYDC2 PE=2 SV=1
+METNYLKRCFGNRLAQALAEVAMVQPSDPIEYLAHWLYHYRKTAKAKEKDRQEKIQLQRE
+YENSLKETRMAEMLKQEERAIQEQCEKCHYQLGRRNSAVGTHPHPVPLISVASSLEKTKF
+MQENTEAFEKEPLKQESLPGTSDMIPGMPQQSPSSEPSVSSQVDLNTGTPQEINYQAIQH
+EIALEIHPGSESPP
+>sp|Q8MK44|DGAT1_BOVIN Diacylglycerol O-acyltransferase 1 OS=Bos taurus OX=9913 GN=DGAT1 PE=2 SV=2
+MGDRGGAGGSRRRRTGSRPSIQGGSGPAAAEEEVRDVGAGGDAPVRDTDKDGDVDVGSGH
+WDLRCHRLQDSLFSSDSGFSNYRGILNWCVVMLILSNARLFLENLIKYGILVDPIQVVSL
+FLKDPYSWPALCLVIVANIFAVAAFQVEKRLAVGALTEQAGLLLHGVNLATILCFPAAVA
+FLLESITPVGSVLALMVYTILFLKLFSYRDVNLWCRERRAGAKAKAALAGKKANGGAAQR
+TVSYPDNLTYRDLYYFLFAPTLCYELNFPRSPRIRKRFLLRRLLEMLFLTQLQVGLIQQW
+MVPAIQNSMKPFKDMDYSRIVERLLKLAVPNHLIWLIFFYWLFHSCLNAVAELMQFGDRE
+FYRDWWNSESITYFWQNWNIPVHKWCIRHFYKPMLRRGSSKWAARTAVFLASAFFHEYLV
+SIPLRMFRLWAFTGMMAQIPLAWIVGRFFRGNYGNAAVWLSLIIGQPVAVLMYVHDYYVL
+NREAPAAGT
+>sp|Q3ZBM6|GPAM1_BOVIN GPALPP motifs-containing protein 1 OS=Bos taurus OX=9913 GN=GPALPP1 PE=2 SV=1
+MARDLIGPALPPGFKAGGSAEDEERDSSPVAGPALPPNYKSSSSESSDSDEDSSSLSEEG
+NQESEEDDTGPPARKLRRNQDDDDDDDDEGFFGPALPPGFKKQDDSPPRPMIGPALPPGF
+LKSTQKSDEGRSDPGQVSSDFNSEKETDSSEEEDIVGPMPAKGPVNSSVTAEFEKRAQRM
+KEKLTKGDDDSSKPITRESWMTELPPEMKDFGLGPRTFKRRADGKSGDRSVWTDTPADRE
+RKAKVRGLVCSCISVHVGSILKKNRRQNYSRVLFLSL
+>sp|P07470|CX7A1_BOVIN Cytochrome c oxidase subunit 7A1, mitochondrial OS=Bos taurus OX=9913 GN=COX7A1 PE=1 SV=2
+MRALRVSQALVRSFSSTARNRFENRVAEKQKLFQEDNGLPVHLKGGATDNILYRVTMTLC
+LGGTLYSLYCLGWASFPHKK
+>sp|Q2T9W9|CAAP1_BOVIN Caspase activity and apoptosis inhibitor 1 OS=Bos taurus OX=9913 GN=CAAP1 PE=2 SV=1
+MTGKKSSREKRRKRSGQEAAAALAAPDLVPAVGGSGSGSTSGCGSASGCGSVTCCGNTSV
+SGSVTGGGSGGSCWGGSSVERGERRKRRSTDSSSSVSGSLQQEAKYLLPPLEKELFLAEH
+SDLEEGGLDLTVALKPVSFYISDKKEMLRQCFCIIGEKKLQKMLPDVLKNCSIEEIKRLC
+QEQLELLSEKKILKILEGDNGMDSEMEEEADDGSKMVSDVVNQQDSCVDSTSSLRENKQP
+EGLELKQGKGEDSDVLSINADAYDSDIEGPCNEEAAAPEVPENTVQSEAGQIDDLEKDIE
+KSVNEILGLAESSPKEPKAATLTVPPPEDVQPSAQQLELLELEMRARAIKALMKAGDIKK
+PA
+>sp|Q28066|C4BPB_BOVIN C4b-binding protein beta chain OS=Bos taurus OX=9913 GN=C4BPB PE=2 SV=1
+MFFWLMCYLVDVWLISASDVGHCPDPLLVTDEFSSLEPVNVNDTFMFKCNEHCIFKGSNW
+SQCRENHTRVTHSPVSKSRDCGPPETPTHGYFEGRDFKSGSTITYYCEARYRLVGTQHQQ
+CIDGEWTSAPPICELIQEAPKPAELELEKAFLAFQESKELCKAIKKFTQRLKKSDLTMEK
+VKYSLERKKAKLKAKMLL
+>sp|A6QPA3|BTBDJ_BOVIN BTB/POZ domain-containing protein 19 OS=Bos taurus OX=9913 GN=BTBD19 PE=2 SV=1
+METPGLVVHGEAAPFSTALRSLVNNPLYSDVRFVVGQERQEVFAHRCLLACRCNFFQRLL
+SSEPGPGVPSPVVLSTVPAEAFLAVLEFLYTNSAKLQRHSVLEVLTAAVEYGLEELRELC
+LEFVVKALDVELVCEALQVAVTFGLGQLQERCVAFIEAHSQETLRTRGFLELSAPALLPL
+LRSDKLCVDEAELVLAARSWARVGAAVLERPVAEVAAPVVRELRLALLAPAELSALEEQN
+RREPLIPVEQIVEAWKCHALRRGDAARGTPCRRRRGTLPREHHRFLDLPFK
+>sp|A1L520|ARFG2_BOVIN ADP-ribosylation factor GTPase-activating protein 2 OS=Bos taurus OX=9913 GN=ARFGAP2 PE=2 SV=1
+MAAEPNKTEIQTFFKRLRAIPTNKACFDCGAKNPSWASITYGVFLCIDCSGVHRSLGVHL
+SFIRSTELDSTWSWFQLRCMQVGGNANATAFFRQHGCTANDANTKYNSRAAQMYREKIRQ
+LGSAALARHGTDLWTDSVSTAPSHSPEKKESDFFLEHTQPPAWNAPVTDLSETQQPAPSA
+ESSGLAQPEHGPNMDLLGTSPKASLEPKTSLIGKKKPAAAKKGLGAKKGLGAQKVSSQSF
+SEIERQAQVAEKLREQQVADAKKQAEESMVASMRLAYQELQIDRKKEEKKLQNLEGKKRE
+QAERLGMGLVSRSSVSHSVLSEMQVIEQETPVSAKSSRSQLDLFDDVGTFASGPPKYKDN
+PFSLGESFGSRWDTDTAWGMDRMEEKEPEVTVSSIRPVSERVTNRREVESRSSGLESSEA
+RQKFAGAKAISSDMFFGREVDTEYEARSRLQQLSGSSAISSSDLFGDVDGAHGAGSVSLG
+NVLPTADIAQFKQGVKSVAGKMAVLANGVMNSLQDRYGSY
+>sp|Q28133|ALL2_BOVIN Allergen Bos d 2 OS=Bos taurus OX=9913 PE=1 SV=1
+MKAVFLTLLFGLVCTAQETPAEIDPSKIPGEWRIIYAAADNKDKIVEGGPLRNYYRRIEC
+INDCESLSITFYLKDQGTCLLLTEVAKRQEGYVYVLEFYGTNTLEVIHVSENMLVTYVEN
+YDGERITKMTEGLAKGTSFTPEELEKYQQLNSERGVPNENIENLIKTDNCPP
+>sp|Q2TBN3|CETN2_BOVIN Centrin-2 OS=Bos taurus OX=9913 GN=CETN2 PE=2 SV=1
+MASNFKKANMASTTQRKRMSPKPELTEEQKQEIREAFDLFDADGTGTIDVKELKVAMRAL
+GFEPKKEEIKKMISEIDKEGTGKMNFSDFLTVMTQKMSEKDTKEEILKAFKLFDDDETGK
+ISFKNLKRVAKELGENLSDEELQEMIDEADRDGDGEVNEQEFLRIMKKTSLY
+>sp|P25975|CATL1_BOVIN Cathepsin L1 OS=Bos taurus OX=9913 GN=CTSL PE=1 SV=3
+MNPSFFLTVLCLGVASAAPKLDPNLDAHWHQWKATHRRLYGMNEEEWRRAVWEKNKKIID
+LHNQEYSEGKHGFRMAMNAFGDMTNEEFRQVMNGFQNQKHKKGKLFHEPLLVDVPKSVDW
+TKKGYVTPVKNQGQCGSCWAFSATGALEGQMFRKTGKLVSLSEQNLVDCSRAQGNQGCNG
+GLMDNAFQYIKDNGGLDSEESYPYLATDTNSCNYKPECSAANDTGFVDIPQREKALMKAV
+ATVGPISVAIDAGHTSFQFYKSGIYYDPDCSSKDLDHGVLVVGYGFEGTDSNNNKFWIVK
+NSWGPEWGWNGYVKMAKDQNNHCGIATAASYPTV
+>sp|Q6QME8|AGO2_BOVIN Protein argonaute-2 OS=Bos taurus OX=9913 GN=AGO2 PE=2 SV=1
+MYSGAGPALAPPAPPPPPIQGYAFKPPPRPDFGTSGRTIKLQANFFEMDIPKIDIYHYEL
+DIKPEKCPRRVNREIVEHMVQHFKTQIFGDRKPVFDGRKNLYTAMPLPIGRDKVELEVTL
+PGEGKDRIFKVSIKWVSCVSLQALHDALSGRLPSVPFETIQALDVVMRHLPSMRYTPVGR
+SFFTASEGCSNPLGGGREVWFGFHQSVRPSLWKMMLNIDVSATAFYKAQPVIEFVCEVLD
+FKSIEEQQKPLTDSQRVKFTKEIKGLKVEITHCGQMKRKYRVCNVTRRPASHQTFPLQQE
+SGQTVECTVAQYFKDRHKLVLRYPHLPCLQVGQEQKHTYLPLEVCNIVAGQRCIKKLTDN
+QTSTMIRATARSAPDRQEEISKLMRSASFNTDPYVREFGIMVKDEMTDVTGRVLQPPSIL
+YGGRNKAIATPVQGVWDMRNKQFHTGIEIKVWAIACFAPQRQCTEVHLKSFTEQLRKISR
+DAGMPIQGQPCFCKYAQGADSVEPMFRHLKNTYAGLQLVVVILPGKTPVYAEVKRVGDTV
+LGMATQCVQMKNVQRTTPQTLSNLWLKINVKLGGVNNILLPQGRPPVFQQPVIFLGADVT
+HPPAGDGKKPSIAAVVGSMDAHPNRYCATVRVQQHRQEIIQDLAAMVRELLIQFYKSTRF
+KPTRIIFYRDGVSEGQFQQVLHHELLAIREACIKLEKDYQPGITFIVVQKRHHTRLFCTD
+KNERVGKSGNIPAGTTVDTKITHPTEFDFYLCSHAGIQGTSRPSHYHVLWDDNRFSSDEL
+QILTYQLCHTYVRCTRSVSIPAPAYYAHLVAFRARYHLVDKEHDSAEGSHTSGQSNGRDH
+QALAKAVQVHQDTLRTMYFA
+>sp|Q9BE97|ARNT_BOVIN Aryl hydrocarbon receptor nuclear translocator OS=Bos taurus OX=9913 GN=ARNT PE=1 SV=1
+MAATTANPEMTSDVPPLGPAIASGNPGPGIQGGGAIVQRAIKRRPGLDFDDDGEGNSKFL
+RCDDDQMSNDKERFARSDDEQSSADKERLARENHSEIERRRRNKMTAYITELSDMVPTCS
+ALARKPDKLTILRMAVSHMKSLRGTGNTSTDGTYKPSFLTDQELKHLILEAADGFLFIVS
+CETGRVVYVSDSVTPVLNQPQSEWFGSTLYDQVHPDDVDKLREQLSTSENALTGRILDLK
+TGTVKKEGQQSSMRMCMGSRRSFICRMRCGNSSVDSVSMNRLSFVRNRCRNGLGSAKDGE
+PHFVVVHCTGYIKAWPPAGVSLPDDDPEAGQGSKFCLVAIGRLQVTSSPNCTDMSNVCQP
+TEFISRHNIEGIFTFVDHRCVATVGYQPQELLGKNIVEFCHPEDQQLLRDSFQQVVKLKG
+QVLSVMFRFRSKNREWLWVRTSSFTFQNPYSDEIEYIICTNTNVKNSSQEPRPSLSNTIQ
+RPQLGPTANLSLEMGSGQLAPRQQQQQTELDVVPGRDGLTSCNHSQVSVQPVTTTGPEHS
+KPLEKSESLFAQDRDPRFSEIYSNISTDQSKGISSSTVPATQQLFSQGNTFPPTPRPAEN
+FRNSGLAPPVTIVQPSTSAGQMLAQISRHSNPTQGAAPAWTPSTRPGFSAQQVVTEATAK
+TRSSQFGVGSFQTPSSFSPMSLPGASTASPGAAAYPSLTNRGSNFAPETGQTAGQFQTRT
+AEGVGVWPQWQGQQSHHRSSSNEQHVQQPSAQQPGQPEVFQEMLSMLGDQSNSYNNEEFP
+DLTMFPSFSE
+>sp|Q2T9S8|AATC2_BOVIN Putative aspartate aminotransferase, cytoplasmic 2 OS=Bos taurus OX=9913 GN=GOT1L1 PE=2 SV=1
+MPTLSVFTDVPMAQKLEGSLLKTYKQDDNPNKMFLAYKVCMTSKGRPWVSSVVRKTRMQI
+AQDPSLNYEYTPVMGMKSFVQASLNLLFGKNSQVIVENRAGGVQTVGDSGAFQLGAQFLK
+SWCQSSQIVYIVSSQKEPHGLIFQDMGFTVYEHTFWDSAHLCLDPNMLLDVVKHAPHGCV
+FVIGSMGNCQLTPSQWTQLMTLMKSKEIFPFFDIPYQGLSTGDLEEDARFLHYFVSQGFE
+FFCSQSLSKNFGIYDEGVGTLVVVTLDNQLLLRVLSQLMNFARALWLNPPTTGARIITSV
+LCNPAMQGEWRQSLEGVVENVMMTKEKVKEKLRLLGTPGSWDHITEQKGSHSYLGLNSQQ
+VEYLISEKHIYIPKNGRINFTCINSYNIDYITSSINEAVCFTKDSER
+>sp|A6QNY1|CD320_BOVIN CD320 antigen OS=Bos taurus OX=9913 GN=CD320 PE=2 SV=2
+MNGWVARGLARRAAALGLGLRVLLCFGLCLEIAPTPIQTWSPTQAPGPSAGSCPPTNFQC
+RSDGRCLPLIWRCDVDQDCPDGSDEEECGTEVPNGSPSPCDIMDDCPDHNKNLLNCGPQS
+CPEGELCCPLDGVCIPSTWLCDGHRDCSDYSDELGCGTKTHEEGRTMSTGTPVTLENVTY
+LSNATVTAIEDWDSVQSGNRNVYGIIAAVAVLSISLAAGILFALSRLCAQGCLAPLGLLV
+SMKGSLQPEKKTSVL
+>sp|A5PK26|ACAP1_BOVIN Arf-GAP with coiled-coil, ANK repeat and PH domain-containing protein 1 OS=Bos taurus OX=9913 GN=ACAP1 PE=2 SV=1
+MTVKLDFEECLKDSPRFRASVELVEAEVSELETRLEKLLKLGNGLLESGRHYLAASRAFI
+VGICDLAHLGPPEPMMAECLDKFTQSLSHKLDSHAELLDATQHTLQRQIQTLVKEGLRSF
+REAGRDFWRGAESLEAALTHNAEVPRRRAQEAEEAGAALKVARAGYRGRALDYALQINVI
+EDKRKFDIMEFVLRLVEAQATHFQQGHEELSQLAQYRKELGGQLHQLVLNSAREKRDMEQ
+RHVLLKQKELGGEEPEPSLKEGPGGLVMEGHLFKRASNAFKTWSRRWFTIQSNQLVYQKR
+YKDPVTVVVDDLRLCTVKLCPDSERRFCFEVVSPSKSCLLQSDSERLMQLWVSAVQSSIA
+TAFSQARLDDSPRGLGQGSGHLAISSAATLGPGGLTRGREPGGVGHVAAQVQSVDGNAQC
+CDCREPAPEWASINLGVTLCIQCSGIHRSLGVHFSKVRSLTLDSWEPELVKLMCELGNVV
+INQIYEARVEAMAVKKPGPSCSRQEKEAWIHAKYVEKKFLTKLPEIRGRRGGRGPPRGHP
+PVPPKPGLIRPKPGSFRSKPEPPSEDLQSLHPGALLFRAAGHPPSLPTMADALAHGADVN
+WVNGGQENATPLIQATAAVRVLNSLLACEFLLQNGANVNQVDNQGRGPLHHATILGHTGL
+ACLFLKRGADLGVRDSEGRDPLTIAVETANADIVTLLRLAKMREADAAQGQAGDETYLDI
+FRDFSLMASDDPEKLSRRSHDLHTL
+>sp|Q2HJD7|3HIDH_BOVIN 3-hydroxyisobutyrate dehydrogenase, mitochondrial OS=Bos taurus OX=9913 GN=HIBADH PE=2 SV=1
+MAASLRLRGAASGLRYWSRRQPPAVASLAAVCSRSMASKTPVGFIGVGNMGNPMAKNLMK
+HGYPLIIYDVFPDACKEFLDAGEQVVSSPADVAEKADRIITMLPTSINAIEAYSGANGIL
+KKVKKGSLLIDSSTIDPMVSKELAKEVEKMGAVFMDAPVSGGVGAARSGNLTFMVGGVEE
+EFAAAQELLGCMGSNVVYCGAVGTGQAAKICNNLLLAISMIGTAEAMNLGIRLGLDPKLL
+AKILNMSSGRCWSSDTYNPVPGVMDGVPSANNYQGGFGTTLMAKDLGLAQDSATSTKSPI
+LLGSQAHQIYRMMCAKGYSKKDFSSVFQFLREEETF
+>sp|Q28073|CD3E_BOVIN T-cell surface glycoprotein CD3 epsilon chain OS=Bos taurus OX=9913 GN=CD3E PE=2 SV=2
+MQSGNLWRALGLCLLLVGAWAQDADEQKPYEVSISGNTVELTCPREFEGEIHWKQNDEQM
+KGYTGKQLLLENFSEMDNSGYYQCYMTEGNKEAAHTLYLKARVCQNCMEVNLMEVATIIV
+VDICVTLGLLLLVYYWSKSRKAKASPMTRGAGAGGRPRGQNKGRPPPVPNPDYEPIRKGQ
+RDLYAGLNQRGV
+>sp|Q29414|ASIP_BOVIN Agouti-signaling protein OS=Bos taurus OX=9913 GN=ASIP PE=2 SV=2
+MDVSRLLLATLLVCLCFLTAYSHLAPEEKPRDERNLKNNSSMNLLDFPSVSIVALNKKSK
+KISRNEAEKKKRPSKRKAPMKNVARTRPPPPTPCVATRDSCKPPAPACCDPCAFCQCRFF
+RSACSCRVLNPTC
+>sp|Q4LAL6|ALX4_BOVIN Homeobox protein aristaless-like 4 OS=Bos taurus OX=9913 GN=ALX4 PE=2 SV=1
+MNAETCVSYCESPAAAMDAYYSPVSQSREGSSPFRAYPGGDKFSTTFLSAAAKGQGFGDA
+KSRARYGAGQQDPAAPLESGAGARGSFSKFQPQPPAPQPQPPAPQPHLYLQRGACKTPPD
+GSLKLQEGGGGHNAALQVPCYAKESSLGEPELPPDSDTVGMDSSYLSVMEAGVKGPQDRA
+SADLPSPMEKADSESNKGKKRRNRTTFTSYQLEELEKVFQKTHYPDVYAREQLAMRTDLT
+EARVQVWFQNRRAKWRKRERFGQMQQVRTHFSTAYELPLLTRAENYAQIQNPSWIGNNGA
+ASPVPACVVPCDPVPACMSPHAHPPGSGASGVTDFLSVSGAGSHVGQTHMGSLFGAAGLS
+PGLNGYELNGEPDRKTSSIAALRMKAKEHSAAISWAT
+>sp|Q3SZK1|AAMP_BOVIN Angio-associated migratory cell protein OS=Bos taurus OX=9913 GN=AAMP PE=2 SV=1
+MESESESGAAADTPPLETLSFHGDEEIIEVVELDPGPPDPDDLVQEMEDVDFEEEEEEEG
+NEEGWVLEPQEGVVGSMEGPDDSEVTFALHSASVFCVSLDPKTNTLAVTGGEDDKAFVWR
+LSDGELLFECAGHKDSVTCAGFSHDSTLVATGDMSGLLKVWQVDTKEEVWSFEAGDLEWM
+EWHPRAPVLLAGTADGNTWMWKVPTGDCKTFQGPNCPATCGRVLPDGKRAVVGYEDGTIR
+IWDLKQGNSIHVLKGTEGHQGPLTCVATNQDGSLILTGSVDCQAKLVSATTGKVVGVFRP
+ETVASQPSLGEGEESESNSVESLGFCSVMPLAAVGYLDGTLAIYDLSTQTLRHQCQHQSG
+IVQLLWEAGTAVVYTCSLDGIVRLWDARTGRLLTDYRGHTAEILDFALSKDASLVVTTSG
+DHKAKVFCVQRPDR
+>sp|Q3T0C6|AT1B3_BOVIN Sodium/potassium-transporting ATPase subunit beta-3 OS=Bos taurus OX=9913 GN=ATP1B3 PE=2 SV=1
+MTKTDKKSFNQSLAEWKLFIYNRTTGEFLGRTAKSWGLILLFYLVFYGFLAALFSFTMWA
+MLQTLNDEVPKYRDQIPSPGLMVFPKPVSALDFSFSLSDAESYQGYIDDLKKFLKPYGLE
+EQKNLTDCTNGTFFEQKGPEYTACQFPLALLEACSGVDDPTFGYQEGKPCILVKMNRIIG
+LKPQGEPRIECTGKSESTAFLSTYPSNGTIDLKYFPYYGKKLHGNYLQPLVAVQVGFGSD
+ADVREVMVECKIDGSPNLKTQNDRDKFLGRVAFKITMHA
+>sp|P11052|CSF2_BOVIN Granulocyte-macrophage colony-stimulating factor OS=Bos taurus OX=9913 GN=CSF2 PE=2 SV=1
+MWLQNLLLLGTVVCSFSAPTRPPNTATRPWQHVDAIKEALSLLNHSSDTDAVMNDTEVVS
+EKFDSQEPTCLQTRLKLYKNGLQGSLTSLMGSLTMMATHYEKHCPPTPETSCGTQFISFK
+NFKEDLKEFLFIIPFDCWEPAQK
+>sp|Q0IIL7|CPLX1_BOVIN Complexin-1 OS=Bos taurus OX=9913 GN=CPLX1 PE=2 SV=1
+MEFVMKQALGGATKDMGKMLGGDEEKDPDAAKKEEERQEALRQEEEERKAKYAKMEAERE
+AVRQGIRDKYGIKKKEEREAEAQAALEANSEGSLTRPKKAIPPGCGDAAEEEDESILDTV
+IKYLPGPLQDIFKK
+>sp|Q3T0J9|GNL3L_BOVIN Guanine nucleotide-binding protein-like 3-like protein OS=Bos taurus OX=9913 GN=GNL3L PE=2 SV=1
+MMKLRHKNKKPGKGSKGCKKPAKQNGKKAATKVAYSPQFFHSNDHASREAELKKKRVGEM
+REKQQAAREQERHRRRTIESYCQDVLRRQEEFEHKEEVLQELNMFPQLDDEATRKAYYKE
+FHKVVEYSDVILEVLDSRDPLGCRCFQMEETVLRAEGNKKLVLVLNKIDLVPKEVVEKWL
+EYLRNELPTVAFKASTQHQVKNLNRCSVPVDQASESLLKSKACFGAENLMRVLGNYCRLG
+EVRTHIRVGVVGLPNVGKSSLINSLKRSRACSVGAVPGVTKFMQEVYLDKFIRLLDAPGI
+VPGPNSEVGTILRNCIHVQKLADPVTPVETILQRCNLEEISSYYGVSGFQTTEHFLTAVA
+HRLGKKKKGGIYSQEQAAKAVLADWVSGKISFYTLPPSTHTLPTHLSAEIVKEMTEVFDI
+EDTEQANEDTMECLATGESDELLGDMDPLEMEIKWLHSPMVKIADAMENKTTVYKIGDLT
+GYCTNPNRHQMGWAKRNVDLHPRNNSMVDVCPVDRRPVLQRIMETDPLQQGQALASALKK
+KKKIQKRADKLASKLSDSMMSALDLSGNADDSAGD
+>sp|Q2KJB7|CPT2_BOVIN Carnitine O-palmitoyltransferase 2, mitochondrial OS=Bos taurus OX=9913 GN=CPT2 PE=2 SV=1
+MVARLLLRSWSRGLAVGPGAPCRPLSTGFEPSQYLQRSIVPTMHYQDSLPRLPIPKLEDT
+IRRYLSAQKPLLDDSQFRKTEQLCKSFETGIGKELHEQLVTQDKQNKHTSYISGPWFDMY
+LTARDPVVLNFNPFISFNPDPKSEYNDQLTRATNMTVSAIRFLKTLRADLLEPEVFHLNP
+AKSDTDTFKRFIRFVPSFLSWYGAYLVNAYPLDMSQYYRLFNSTRLPRPHRDELFTDDKA
+RHLLVLRKGHFYIFDVLDQDGNIVSASEIQAHLKYILSDNSPAPEFPLSYLTSENRDIWA
+ELRQRLVSGGNEATLGKVDSAVFCLCLDDFPIRDFVHLSHSMLHGDGTNRWFDKSFNLII
+AKDGTAAIHFEHAWGDGVAVLRFFNEVFKDSTQAPAITPQSQPASTDSSVAVQKLNFKLS
+DALKTGISAAKEKFDATVKSLTIDYIRFQRGGREFLKKQKLSPDSMAQLAFQMAFLRQYG
+QTVATYESCSTAAFKHGRTETIRPASIFTKTCSEAFVREPSKYSAGELQQMMAKCSTYHN
+QLTREAAMGQGFDRHLFALRYLAAARGISMPELFLDPAYRQINHNILSTSTLSSPAVNIG
+CFAPVVPDGFGIGYSVQDNWIGCNVSAYQSRNAREFLQCVEKALEDMFDALEGKMIKT
+>sp|Q2KHX6|CRYM_BOVIN Ketimine reductase mu-crystallin OS=Bos taurus OX=9913 GN=CRYM PE=2 SV=1
+MSSRPVFLSAADVQDHLRSSSLLIAPLETALANFSSGPDGGVVQPVRTVVPVAKHRGFLG
+VMPAYSAAEDALTTKLVTFYEDHSATSTVPSHQATVLLFQPSNGSLLAVMDGNVITAKRT
+AAVSAIATKFLKPPNSEVLCILGAGVQAYSHYEVFTEQFFFKEVRIWNRTKENAEKFVNT
+VPGEVRICSSVQEAVTGADVIITVTMATEPILFGEWVKPGAHINAIGASRPDWRELDDEL
+MKQAVLYVDSQEAALKESGDVLLSGAEIFAELGEVVKGVKPAHCEKTTVFKSLGMAVEDM
+VAAKLVYDSWSSGK
+>sp|Q0VFX8|CRIP2_BOVIN Cysteine-rich protein 2 OS=Bos taurus OX=9913 GN=CRIP2 PE=2 SV=1
+MASKCPKCDKTVYFAEKVSSLGKDWHRFCLRCEHCSKTLTPGGHAEHDGKPFCHKPCYAT
+LFGPKGVNIGGAGSYIYEKPSAEKPQVTGPIEVPVARTEERKASGPPKGPSKASSVTTFT
+GEPNMCPRCNKRVYFAEKVTSLGKDWHRPCLRCERCGKTLTPGGHAEHDGQPYCHKPCYG
+ILFGPKGVNTGAVGSYIYDKDPEGKAQP
+>sp|Q3SZX7|CL054_BOVIN Uncharacterized protein C12orf54 homolog OS=Bos taurus OX=9913 PE=2 SV=2
+MAQHAFQDQEQEEGRNSRQQKRKSFEDTMKPKAVELTITETLWDQVLMAFKDIQNELQED
+ARTRGMSSVTPTSSASKIGTKTSDAATTPKLGRLLSGTGEQPSGIQAQNLRGQSSDQSAC
+YPQP
+>sp|Q17QF0|AGT2_BOVIN Alanine--glyoxylate aminotransferase 2, mitochondrial OS=Bos taurus OX=9913 GN=AGXT2 PE=2 SV=1
+MTGAWGHLLRSLHLKTLSLWIPKTCFSLKARAFWTSVTRCGLHTKPSMPPCDFTPERYQS
+LAYSRVLEIHKQHLSPVHTAYFPEPLLLHQGHVEWLFDHEGNRYLDFFSGIVTVSVGHCH
+PKVNAAAQRQLGRLWHTSSVFFHPLIHEYAEKLSALLPEPLKVVFLVNSGSEANDLAMLM
+ARAHSNSTDIISFRGAYHGCSPYTLGLTNVGIYKMDLPHGMGCQPTMCPDIFHGPWGGSH
+CRDSPVQTIRKCSCAPDCCQAKDQYIEQFKDTLSTSVAKSIAGFFAEPIQGVNGVVQYPK
+GFLKEAFELVRERGGVCIADEVQTGFGRLGSHFWGFQTHDVLPDIVTMAKGIGNGFPMAA
+VVTTPDIAKSLTKRMLHFNTFGGNPMACAVGSAVLEVIKEENLQENSQEVGTYMLLKLAK
+LRDEFEIVGDVRGKGLMIGIEMVKDKESRQPLPREEVNQIHHDCKCMGLLIGRGGLFSQT
+FRIAPSMCITKPEVDFAVEVFRSALIQHMERRAK
+>sp|P81425|DPP4_BOVIN Dipeptidyl peptidase 4 OS=Bos taurus OX=9913 GN=DPP4 PE=1 SV=3
+MKTPWKVLLGLLAIAALVTVITVPVVLLTKGNDASTDSRRTYTLADYLKNTFRMKFYNLR
+WVSDHEYLYKQENNILLFNAEYGNSSIFLENSTFDEFGHSINDYSVSPDRQYILFEYNYV
+KQWRHSYTASYDIYDLNKRQLITEERIPNNTQWITWSSVGHKLAYVWNNDIYVKNEPNSP
+SQRITWTGKKDVIYNGITDWVYEEEVFSAYSALWWSPNSTFLAYAQFNDTEVPLIEYSFY
+SDESLQYPKTVKIPYPKAGAVNPTIKFFVVNISSLSPNINATSQQIVPPGSVLIGDHYLC
+DVTWVTEERISLQWLRRIQNYSIMDICDYDRSTGRWISSVGRQHIEISTTGWVGRFRPAE
+PHFTSDGNSFYKIISNEEGYKHICHFQTDKRNCTFITKGAWEVIGIEALTSDYLYYISNE
+YKGMPGARNLYKIQLNDYTKVTCLSCELNPDRCQYYSVSFSQEAKYYQLRCSGPGLPLYT
+LHNSNNDKELRVLENNSDLDQVLQDVQMPSKKLDFIHLHGTKFWYQMILPPHFDKSKKYP
+LLLEVYAGPCSQKADAIFRLNWATYLASTENIIVASFDGRGSGYQGDKIMHAINRRLGTF
+EVEDQIEATRQFSKMGFVDDKRIAIWGWSYGGYVTSMVLGAGSGVFKCGIAVAPVSKWEY
+YDSVYTERYMGLPTPEDNLDSYRNSTVMSRAENFKQVEYLLIHGTADDNVHFQQSAQISK
+ALVDAGVDFQSMWYTDEDHGIASSTAHQHIYTHMSHFLKQCFSLL
+>sp|A7YWM1|GGT6_BOVIN Glutathione hydrolase 6 OS=Bos taurus OX=9913 GN=GGT6 PE=2 SV=1
+MEPEAGPVLYQKLRVWEPSLESEEEEEEISEQLILDASGPHDSSGNKAGRLPGAWAQLVA
+ALLLLAIGFSLAVRQLCSSGASPGALGSGAPPASGHSHRPGVYHHGAIISPAAECSRLGR
+ELFVAGGNIVDAGVGAALCLAVVHPHTTGLGATYWGLFHNSSSGNSTALTSGPAQTLAPG
+LGLPSALPALHMLHTHFGRLPWPHLLVGPISLAQKGFLVDTSLASALAAQDTKGLCPLLC
+HANGTPLGPGTQVTNTKLAAVLHKASLAPTPDLSGDALLSLLAEDLGLEGPSVGPRPTLE
+PALQLPLPQGILFTTPSPSAGPELLELLEASLQSAGPSPAPCPALPQAAAAPRSSVLATV
+DSSGSVLLLTSSLNSSFGSGHLSPSTGVLLSNLVAESAAGAWACPLIFRDISDDTEVDVL
+GLVASGTPAAARVMTHALLSHLARPQTPDQQGPTESPRACAQGTLLQVAAHTEHAHVSSV
+PSGCCPFQGF
+>sp|Q0V8L2|GGT7_BOVIN Glutathione hydrolase 7 OS=Bos taurus OX=9913 GN=GGT7 PE=2 SV=1
+MAAENEASQESALGAYSPVDYMSITSFPRLPEDEPAPAVPLRGRKDEDAFLGDPDTDPDS
+FLKSARLQRLPSSSSEMGSQDGSPLRETRKDPFSAAASECSCRQDGLTVIVTACLTFATG
+VTVALIMQIYFGDPQIFHQGAVVTDAARCTSLGIEVLSKQGSSVDAAVAAALCLGIVAPH
+SSGLGGGGVMLVHDIRRNKSHLIDFRESAPGALREEALQRSWETKPGLLVGVPGMVKGLH
+EAHQLYGRLPWSQVLAFAAAVAQDGFNVTHDLAQALAEQPPPNASDRFRETFLPMGHPPL
+PGSLLRRPDLAAVLEVLGTYGPAAFYAGGNLTLEMVAEAQHAGGVITEEDFSNYSALLEK
+PVCGVYRGHLVLSPRPPHTGPALISALNILEGFNLTSLVSREQALHWVAETLKIALALAS
+RLGDPIYDSTITESMDDMLSKVEAAYFRGQINDSQAAPVPLLPIYELNGAPTAAQVLIMG
+PDDFIVAMVSSLNRPFGSGLITPSGILLNSQMLDFSWPNRTANHPAPSLENSVQPGKRPL
+SFLLPTVVRPAEGLCGTYLALGANGAARGLSGLTQVLLNVLTLNRNLSDSLARGRLHPDL
+QTNLLQVDSEFTEEEIEFLEARGHHVEKVDVLSWVHGSRRTNNFIIGVKDPRSPDAAGAT
+IL
+>sp|Q1LZ97|GRP4_BOVIN RAS guanyl-releasing protein 4 OS=Bos taurus OX=9913 GN=RASGRP4 PE=2 SV=1
+MNRKDSKRKSHQECPVKTGGRGRPRQARRHKTCPSPREISKVMASMALGMLNEGGCSEDE
+LLEKCIQSFDSAGSLRRGDHVLNMVLAMHSWVLPSAHFAARLLTLYQEATGSTQELRRLQ
+ICHLVRYWLTQHPETMHQDPQLEEVIGRFWATVEQEGNSVQQSLGDFSSRLSPGGPGPPH
+PMSSPGLGKKRKVSLLFDHLETGELAEHLTYLEFRSFQAITPQDLRDYVLQGSVRGCPTL
+EGSVGLSNSVSRWVQVMVLSRPGPAQRAQVLDKFIQVAQKLLQLHNFNTLMAVTGGLCHS
+AISRLKDSHAHLSPDSTKALLELTELLAAHNNYARYRRTWAGCMDFRLPVLGVHLKDLVA
+LNEAQPDRLPDGRLHLPKLNSLYLRLQELAALQQQHPPGNASEDLLHLLTLSLDLFYTED
+EIYELSYAREPRCPKSLPPSPFKAPLVVEWAPGVTPKPDTVTLGRHVEQLVESVFKNYDP
+DGRGTISQEDFERLSGNFPFACHGLHPPPCQGSGSFSREELTGYLLRASAICSKLGLAFL
+HTFQEVTFRKPTFCNSCSGFLWGVTKQGYRCRDCGLCCHRHCRDQVKVECKKRPGAKGDA
+SPPEAPVPPTPVPQASCGSEDNLSYTLSLEPETGCHVRHAWTQTESPHPSWEPETVPLPA
+KASPPTESSKLNS
+>sp|A4IFE3|ACTY_BOVIN Beta-centractin OS=Bos taurus OX=9913 GN=ACTR1B PE=2 SV=1
+MESYDIIANQPVVIDNGSGVIKAGFAGDQIPKYCFPNYVGRPKHMRVMAGALEGDLFIGP
+KAEEHRGLLAIRYPMEHGVVRDWNDMERIWQYVYSKDQLQTFSEEHPVLLTEAPLNPSKN
+REKAAEVFFETFNVPALFISMQAVLSLYATGRTTGVVLDSGDGVTHAVPVYEGFAMPHSI
+MRVDIAGRDVSRYLRLLLRKEGADFHTSAEFEVVRTIKERACYLSINPQKDEALETEKVQ
+YTLPDGSTLNVGPARFRAPELLFQPDLIGDESEGLHEVLVFAIHKSDMDLRRTLFANIML
+SGGSTLFKGFGDRLLSEVKKLAPKDVKIKISAPQERLYSTWIGGSILASLDTFKKMWVSK
+KEYEEDGSRAIHRKTF
+>sp|Q5E963|ARP5L_BOVIN Actin-related protein 2/3 complex subunit 5-like protein OS=Bos taurus OX=9913 GN=ARPC5L PE=2 SV=1
+MARNTLSSRFRRVDIDEFDENKFVDEQEEAAAAAGEPGPDPSEVDGLLRQGDMLRAFHAA
+LRNSPVNTKNQAVKERAQGVVLKVLTNFKSSEIEQAVQSLDRNGIDLLMKYIYKGFEKPT
+ENSSAVLLQWHEKALAVGGLGSIIRVLTARKTV
+>sp|A0JNQ7|COL_BOVIN Colipase OS=Bos taurus OX=9913 GN=CLPS PE=3 SV=1
+MEKVLILLLVALAVAYAVPDPRGIIINLDEGELCLNSAQCTSKCCHREDGLSLARCAPKA
+SENSECSAFTLYGIYYKCPCERGLTCNVDKTIVGSITNTNFGVCLDLGRATE
+>sp|Q0P5D1|CC153_BOVIN Coiled-coil domain-containing protein 153 OS=Bos taurus OX=9913 GN=CCDC153 PE=2 SV=1
+MPPKTKEKGTKAGAQKKKRNAGADVEAESMRRLALLEKEVLQDCLALQRDEARRAKASED
+QLKQRIKDLEAELEGARSEGKAIYAEMSRQCRSLQEAMQSRSRQLEEEVEGLREQLELCQ
+REAEAAQREAKQALGERDQTLAQFRAHVADMEAKYEEILHDSLDRLLAKLRDEASVGRGC
+AETPHQAQGAAPPVWTQPPGSLKL
+>sp|E1BM70|CCD39_BOVIN Coiled-coil domain-containing protein 39 OS=Bos taurus OX=9913 GN=CCDC39 PE=3 SV=1
+MSSEFLAELRWEDGFAIPVANEENKTLEEQLSKLQDERSSMQDQLRDYEDRINAMTSHFK
+NVKQEFLFTQSLCKARDHEIESEEHFKMIAERELGRVKDEIQRLENEITSIREKKNDKEN
+SIFKTTQKLDGLKCQMNWDQQALEAWLEESAHKDSDALTLQKYAQQDDNKIRALTLQLER
+LTVECNQRRKVLDNEFAETLSVQLELDKAAQDFRKIHNERQKLILQWESTIEQMQKRDKD
+IDNCAVALARIKQEIREKENLVKEKIKFLENEIGNNTEYEKKISVADRKVLKCRTEYQRH
+EANRIQLKDELDSLKATVNRSSSDLEALRKNISKVKMDINEETARLGKIKNHNQIVRKKL
+KEITEKTMSVEEKATNLEDMLREEERGVKEVEVQLNIVKDVLFKKVQELQTETMKEKALV
+SEIEGTRSSLKHLNHQLHKLDFETLQQQEIMYAQDFYIQQVERRMSRLKGDINSEEKQAL
+EAKTVELQKTLEEKKSALCLLETQIKKLHNDLYFTKKSNSKYRDEKQSLMTKINELTLFN
+DRSEKELTKAKALKQDLMIEDNLLKLEVKRTRELLHSKAEEVLSLEKRKQQLHTAMEERT
+EEIKVHKTMLASQIRYVDQERQNVSAEFHERLSKIDKLKNRYEILTVVMLPPEGEEEKTQ
+AYYVIKQALVNNQMEKTGRSYEEEYSFVPMRIYDLVNQVQEINAWCCMSVPRSFQKVTPS
+SAEYEVKIQLEEQKRAVDEKYRYKQRQIRELQEDIQSMENTLTVIEHLTNNVKEKVTEKQ
+AYVLQLNRETEEQKPKLERVTKQCAKLTKEVRLLKDTKDETLEEQDIKLREVKQLHKIID
+EMIVDITQENAEIGVILQTYFQQSGLELPTTSTKGSRHSSRSPSQTSLLSARSSKSTSTS
+ASQTSIKVLELNFPASPLTGSTSRPTSASSASSTGKSRKTNK
+>sp|Q32LE3|CETN1_BOVIN Centrin-1 OS=Bos taurus OX=9913 GN=CETN1 PE=2 SV=1
+MASSYRKPTVASTSQKRKVGPKPELTEEQKQEVREAFDLFDADGSGTIDVKELKVAMRAL
+GFEPRKEEMKRMIADVDKEGTGKISFNDFLAVMTQKMAEKDTKEEILKAFRLFDDDETGK
+ISFKNLKRVAKELGENLTDEELQEMIDEADRDGDGEVNEDEFLRIMKKTNLY
+>sp|Q1ZYR0|CLN5_BOVIN Ceroid-lipofuscinosis neuronal protein 5 OS=Bos taurus OX=9913 GN=CLN5 PE=2 SV=1
+MAQVGSAGPGACGRRGAGAGAGPERTTWRWAPALLWLATAAAVAGDPSRRQWPVPYKRFS
+FRPEPDPYCQAKYTFCPTGSPIPVMKDDDVIEVFRLQAPVWEFKYGDLLGHLKIMHDAIG
+FRSTLTEKNYTMEWYELFQLGNCTFPHLRPEMNAPFWCNQGAACFFEGIDDSHWKENGTL
+VLVATISGGMFNRMAKWVKQDNETGIYYETWTVQASPERGAERWFESYDCSKFVLRTYEK
+LAELGADFKKIETNYTRIFLYSGEPTYLGNETSVFGPTGNKTLALAIKKFYYPFKPHLST
+KEFLLSLLQIFDAVVIHREFYLFYNFEYWFLPMKYPFIKITYEEIPLPNRKNRTLSGL
+>sp|P17697|CLUS_BOVIN Clusterin OS=Bos taurus OX=9913 GN=CLU PE=1 SV=1
+MKTLLLLMGLLLSWESGWAISDKELQEMSTEGSKYVNKEIKNALKEVKQIKTQIEQTNEE
+RKLLLSSLEEAKKKKEDALNDTRDSENKLKASQGVCNETMTALWEECKPCLKQTCMKFYA
+RVCRSGSGLVGHQLEEFLNQSSPFYFWINGDRIDSLMENDREQSHVMDVMEDSFTRASSI
+MDELFQDRFFLRRPQDTQYYSPFSSFPRGSLFFNPKSRFARNVMPFPLLEPFNFHDVFQP
+FYDMIHQAQQAMDAHLQRTPYHFPTMEFTENNDRTVCKEIRHNSTGCLRMKDQCEKCQEI
+LEVDCSASNPTQTLLRQQLNASLQLAEKFSRLYDQLLQSYQQKMLNTSALLKQLNEQFTW
+VSQLANLTQSDDQHYLQVFTVNSHNSDPSIPSGLTKVIVKLFNSFPITVTVPQEVSSPNF
+MENVAEKALQQYRRKSQEE
+>sp|Q2HJ19|AB17A_BOVIN Alpha/beta hydrolase domain-containing protein 17A OS=Bos taurus OX=9913 GN=ABHD17A PE=2 SV=1
+MNGLSVTELCCLFCCPPCPGRIAAKLAFLPPEPTYSLVPEPEPGPGGAGAAPSGNLRALA
+GTPGRWKLHLMERADFQYSQRELDTIEVFLTKSSRGNRISCMYVRCVPGARYTVFFSHGN
+AVDLGQMSSFYIGLGTRINCNIFSYDYSGYGVSSGKPSEKNLYADIDAAWQALRTRYGIS
+PDSIVLYGQSIGTVPTVDLASRYECAAVVLHSPLTSGMRVAFPDTKKTYCFDAFPNIEKV
+SKITSPVLIIHGTEDEVIDFSHGLALYERCPKAVEPLWVEGAGHNDIELYSQYLERLRRF
+ISQELPSQRA
+>sp|Q1RMV9|CNEP1_BOVIN CTD nuclear envelope phosphatase 1 OS=Bos taurus OX=9913 GN=CTDNEP1 PE=2 SV=1
+MMRTQCLLGLRTFVAFAAKLWSFFIYLLRRQIRTVIQYQTVRYDILPLSPVSRNRLSQVK
+RKILVLDLDETLIHSHHDGVLRPTVRPGTPPDFILKVVIDKHPVRFFVHKRPHVDFFLEV
+VSQWYELVVFTASMEIYGSAVADKLDNSRSILKRRYYRQHCTLELGSYIKDLSVVHSDLS
+SIVILDNSPGAYRSHPDNAIPIKSWFSDPSDTALLNLLPMLDALRFTADVRSVLSRNLHQ
+HRLW
+>sp|P84088|CPLX2_BOVIN Complexin-2 OS=Bos taurus OX=9913 GN=CPLX2 PE=1 SV=1
+MDFVMKQALGGATKDMGKMLGGEEEKDPDAQKKEEERQEALRQQEEERKAKHARMEAERE
+KVRQQIRDKYGLKKKEEKEAEEKAALEQPCEGSLTRPKKAIPAGCGDEEEEEEESILDTV
+LKYLPGPLQDMFKK
+>sp|P13619|AT5F1_BOVIN ATP synthase F(0) complex subunit B1, mitochondrial OS=Bos taurus OX=9913 GN=ATP5PB PE=1 SV=2
+MLSRVVLSAAAAAAPSLKNAALLGPGVLQATRIFHTGQPSLAPVPPLPEHGGKVRFGLIP
+EEFFQFLYPKTGVTGPYVLGTGLILYLLSKEIYVITPETFSAISTIGFLVYIVKKYGASV
+GEFADKLNEQKIAQLEEVKQASIKQIQDAIDMEKSQQALVQKRHYLFDVQRNNIAMALEV
+TYRERLHRVYREVKNRLDYHISVQNMMRQKEQEHMINWVEKRVVQSISAQQEKETIAKCI
+ADLKLLSKKAQAQPVM
+>sp|Q1LZF3|CS025_BOVIN UPF0449 protein C19orf25 homolog OS=Bos taurus OX=9913 PE=3 SV=1
+MGSKAKKRVVLPTRPAPPTVEQILEDVRGAPAEDPVFTALAREDPLGPSGRTEDAEAQRE
+QLYFQSRIYVAMNQRLQQAGAQLEQKRADLQQAGEELERDISQVGHVALPSTAAASLG
+>sp|Q29441|CNGA3_BOVIN Cyclic nucleotide-gated cation channel alpha-3 OS=Bos taurus OX=9913 GN=CNGA3 PE=2 SV=1
+MAKISTQYSHPTRTHPSVRTMDRDLDCIENGLSRTHLPCEETSSELQEGIAMETRGLAES
+RQSSFTSQGPTRLSRLIISLRAWSARHLHQEDQRPDSFLERFRGAELQEVSSRESHVQFN
+VGSQEPPDRGRSAWPLARNNTNTCNNSEKDDKAKKEEKEKKEEKKENPKKEEKKKDSVVM
+DPSSNMYYHWLTVIAVPVFYNWCLLVCRACFDELQSEHLMLWLVLDYSADILYGMDMLVR
+ARTGFLEQGLMVMDASRLWKHYTQTLHFKLDVLSLVPTDLAYFKLGMNYPELRFNRLLKL
+ARLFEFFDRTETRTNYPNMFRIGNLVLYILIIIHWNACIYFAISKFIGFGTDSWVYPNVS
+NPEYGRLSRKYIYSLYWSTLTLTTIGETPPPVKDEEYLFVVIDFLVGVLIFATIVGNVGS
+MISNMNASRAEFQAKIDSIKQYMQFRKVTKDLETRVIRWFDYLWANKKTVDEKEVLKSLP
+DKLKAEIAINVHLDTLRKVRIFQDCEAGLLVELVLKLRPAVFSPGDYICKKGDIGREMYI
+IKEGKLAVVAEDGITQFVVLGDGSYFGEISILNIKGSKSGNRRTANIRSIGYSDLFCLSK
+DDLMEALTEYPEAKKALEEKGRQILMKDNLIDEELAKAGADPKDIEEKVEHLETSLDSLQ
+TRFARLLAEYNATQMKVKQRLSQLESQVKMGLPPDGDAPQTEASQP
+>sp|Q3SYW9|CHTOP_BOVIN Chromatin target of PRMT1 protein OS=Bos taurus OX=9913 GN=CHTOP PE=2 SV=1
+MAAQSAPKVVLKSTTKMSLNERFTNMLKNKQPMPVNIRASMQQQQQLASARNRRLAQQME
+NRPSVQAALKLKQSLKQRLGKSNIQARLGRPIGALARGAIGGRGLPIIQRGLPRGGLRGG
+RATRTLLRGGMSLRGQNLLRGGRAVAPRMGLRRGGVRGRGGPGRGGLGRGAMGRGGIGGR
+GRGMIGRGRGGFGGRGRGRGRGRGALARPVLTKEQLDNQLDAYMSKTKGHLDAELDAYMA
+QTDPETND
+>sp|Q6BBL6|CLD4_BOVIN Claudin-4 OS=Bos taurus OX=9913 GN=CLDN4 PE=2 SV=1
+MASMGLQVMGIALAVLGWLGAILSCALPMWRVTAFIGSNIVTSQTIWEGLWMNCVVQSTG
+QMQCKVYDSLLALPQDLQAARALIVICIILAVFGVLLSVVGGKCTNCVDDESSKAKIMIV
+AGVVFLLAGLLVMVPVSWTANNVIRDFYNPLVASGQKREMGASLYVGWAAAGLLILGGAL
+LCFNCPPRNDKPYSAKYSAARSAPASNYV
+>sp|A7YWG4|GGH_BOVIN Gamma-glutamyl hydrolase OS=Bos taurus OX=9913 GN=GGH PE=2 SV=1
+MARLGRLLSVLGLVLCGATGLGLSAPPAPTPKKPIIGILMQKCHNKNMRALGKYYIAASY
+VKFLESAGARVVPVRLDLKNEEYEKLFKSINGVLFPGGSVNLMRSGYARVAKMFYNLSIK
+SFGEGDYFPVWGTCLGFEELIYLVSGESLLTLTDTVGIKLPLNFSRGTLQSRMFQNFPAD
+LLLSLAVEPLTAHFHKWSLSVMNFTKNEKLKAFFSILTTNTDGNIDFISTMEGYRYPIYG
+VQWHPEKAPYEWGQLRGISHAPNAVKAAFYLAEFFVAEARKSNHHFESDVEETKALIYQY
+RPTYTGNVSSFQQSYIFD
+>sp|Q2UVX4|CO3_BOVIN Complement C3 OS=Bos taurus OX=9913 GN=C3 PE=1 SV=2
+MKPTSGPSLLLLLLASLPMALGNPMYSMITPNILRLESEETVVLEAHGGQGTIQVSVTVH
+DFPAKKQVLSNENTQLNSNNGYLSTVTIKIPASKELKSDKGHKFVTVVATFGNVQVEKVV
+LISLQSGYLFIQTDKTIYTPGSTVLYRVFTVDHKLLPVGQTVFITIETPDGIPVKRDSKS
+SQNQFGILTLSWNIPELVNMGVWKIKAYYEDSPQQVFSAEFEVKEYVLPSFEVQLEPEEK
+FYYIDDPDGLKVNIIARFLYGEQVDGTAFVIFGVQDGDRRISLTHSLTRVPINDGNGEAI
+LKRQVLLNGVQPSRADALVGKSIYVSATVILQSGSDMVEAERTGIPIVTSPYQIHFTKTP
+KFFKPAMPFDLMVYVTNPDGSPARHIPVVTQGSNVQSLTQDDGVAKLSINTQNKRDPLTI
+TVRTKKDNIPEGRQATRTMQALPYNTQGNSNNYLHLSVPRVELKPGETLNVNFHLRTDPG
+EQAKIRYYTYMIMNKGKLLKVGRQYREPGQDLVVLPLTITSDFIPSFRLVAYYTLINAKG
+QREVVADSVWVDVKDSCMGTLVVKNGGKEEKHHRPGQQITLKIEADQGARVGLVAVDKGV
+FVLNKKNKLTQRKIWDVVEKADIGCTPGSGRNYAGVFTDAGLTLKTSQGLETQQRADPQC
+PQPATRRRRSVQLMEKRMDKAGQYSSDLRKCCEDGMRDNPMKFPCQRRAQFILQGDACVK
+AFLDCCEYITQLRQQHSRDGALELARSDLDDDIIPEEDIISRSQFPESWLWTVIEDLKQA
+DKNGISTKLMNVFLKDSITTWEILAVSLSDKKGICVADPYEVTVMQDFFIDLRLPYSVVR
+NEQVEIRAILYNYREAENLKVRVELLYNPAFCSLATAKKRHQQTITIPARSSVAVPYVIV
+PLKIGLHEVEVKAAVYNHFISDGVKKTLKVVPEGVRVNKTVAVRTLNPEHLGQGGVQREE
+VPAADLSDQVPDTESETKILLQGTPVAQMTEDAIDGERLKHLIQTPSGCGEQNMIGMTPT
+VIAVHYLDSTDQWEKFGLEKRQESLELIRKGYTQQLAFRQKSSAYAAFQYRPPSTWLTAY
+VVKVFALAANLIAIDSKDLCETVKWLILEKQKPDGIFQEDGPVIHQEMIGGFRDTREKDV
+SLTAFVLIALHEAKDICEAQVNSLGRSIAKAGDFLENHYRELRRPYTVAIAAYALALLGK
+LEGDRLTKFLNTAKEKNRWEEPNQKLYNVEATSYALLALLARKDYDTTPPVVRWLNEQRY
+YGGGYGSTQATFMVFQALAQYQKDVPDHKELNLDVSIQLPSRNSAVRHRILWESASLLRS
+EETKENERFTVKAEGKGQGTLSVVTVYHAKLKGKVSCKKFDLRVSIRPAPETVKKPQDAK
+GSMILDICTKYLGDQDATMSILDISMMTGFSPDVEDLKTLSTGVDRYISKYEMNRDSNKN
+TLIIYLDKVSHTVEDCLSFKVHQYFNVGLIQPGAVKVYSYYNLDETCIRFYHPDKEDGML
+SKLCHKDTCRCAEENCFMHHTEKEVTLEDRLDKACEPGVDYVYKTRLIQKKLEDDFDEYI
+MVIENIIKSGSDEVQVKQERKFISHIKCREALKLKEGAHYLVWGVSSDLWGEKPKISYII
+GKDTWVELWPEAEECQDEENQKQCEDLANFTENMVVFGCPN
+>sp|Q9XSA7|CLIC4_BOVIN Chloride intracellular channel protein 4 OS=Bos taurus OX=9913 GN=CLIC4 PE=2 SV=3
+MALSMPLNGLKEEDKEPIIELFVKAGSDGESIGNCPFSQRLFMILWLKGVVFSVTTVDLK
+RKPADLQNLAPGTHPPFITFNNEVKTDVNKIEEFLEEVLCPPKYLKLSPKHPESNTAGMD
+IFAKFSAYIKNSRPEANEALERGLLKTLQKLDEYLNSPLPDEIDENSMEDIKFSTRKFLD
+GNEMTLADCNLLPKLHIVKVVAKKYRNFDIPKGMTGIWRYLTNAYSRDEFTNTCPSDKEV
+EIAYSDVAKRLTK
+>sp|P00423|COX41_BOVIN Cytochrome c oxidase subunit 4 isoform 1, mitochondrial OS=Bos taurus OX=9913 GN=COX4I1 PE=1 SV=1
+MLATRVFSLIGRRAISTSVCVRAHGSVVKSEDYALPSYVDRRDYPLPDVAHVKNLSASQK
+ALKEKEKASWSSLSIDEKVELYRLKFKESFAEMNRSTNEWKTVVGAAMFFIGFTALLLIW
+EKHYVYGPIPHTFEEEWVAKQTKRMLDMKVAPIQGFSAKWDYDKNEWKK
+>sp|P15103|GLNA_BOVIN Glutamine synthetase OS=Bos taurus OX=9913 GN=GLUL PE=2 SV=4
+MATSASSHLNKGIKQVYMALPQGDKVQAMYIWIDGTGEGLRCKTRTLDSEPKCIEELPEW
+NFDGSSTFQSEGSNSDMYLVPAAMFRDPFRKDPNKLVFCEVFKYNRKPAETNLRHTCKRI
+MDMVSNQRPWFGMEQEYTLMGTDGHPFGWPSNGFPGPQGPYYCGVGADKAYGRDIVEAHY
+RACLYAGIKIGGTNAEVMPAQWEFQIGPCEGIDMGDHLWVARFILHRVCEDFGVIATFDP
+KPIPGNWNGAGCHTNFSTKAMREENGLKYIEEAIEKLSKRHQYHIRAYDPKGGLDNARRL
+TGFHETSNINDFSAGVANRGASIRIPRTVGQEKKGYFEDRRPSANCDPFAVTEALIRTCL
+LNETGDEPFQYKN
+>sp|Q2NL08|DDX55_BOVIN ATP-dependent RNA helicase DDX55 OS=Bos taurus OX=9913 GN=DDX55 PE=2 SV=1
+MEHVTEGSWESLPVPLHPKVLSVLRELGFPYMTPVQSATIPLFMKNKDVAAEAVTGSGKT
+LAFVIPIEEILLRREEKFKKSQVGAIIITPTRELAVQIEEVLSHFTKPFPQFSQILWIGG
+RNPGEDVARFKELGGNIIVATPGRLEDMFRRKAEGLDLASCVRSLEVLVLDEADRLLDMG
+FETSINTILEFLPKQRRTGLFSATQTQEVENLVRAGLRNPVRISVKEKGVAASSTQKTPS
+RLENHYMVCKADEKFNQLVHFLRNHKQEKHLVFFSTCACVEYYGKALETLVKGVKIMCIH
+GKMKYKRNKIFMEFRKLQSGILVCTDVMARGIDIPEVNWVLQYDPPSNASAFVHRCGRTA
+RIGHGGSALVFLLPMEESYISFLAINQKCPLQEMKLQKNTADLLPKLKAMALGDRAVFEK
+GMKAFVSYVQAYAKHECNLIFRLKDLDFASLARGFALLRMPKMPELRGKQFPDFVPVDVN
+TDTIPFKDKIREKQRQKQLLEQQRKEKTENDGRRKFIKNKAWSKQKAKKEKKKKLTEKRK
+REEGSDVEDEDMEELLNDTRLLKKFKKGKITEEEFEKGLLTSGKRSTNKADLEISDLEDD
+C
+>sp|E1BBQ2|GP158_BOVIN Probable G-protein coupled receptor 158 OS=Bos taurus OX=9913 GN=GPR158 PE=3 SV=2
+MGVMAYPFLFCLLLVHFGLGAIGASREAPSRPDPPRERTLRAKQHAQQPARASASDPSAP
+WSRSTDGTILAQKLAEEVPMDVASYLYTGDSHKLKRANCSSRYELAGLPGKSPALASSHP
+SLHGALDTLTHATNFLNMMLQSNKSREQNLQDDLEWYQALVRSLLEGEPSISRAAITFST
+ESLSAPAPQVFLQATREESRILLQDLSSSAHHLANATLETEWFHGLRRKWRTHLHRRGSN
+QGPRGLGHSWRRRDGLSGDKSHVKWSPPYLECENGSYKPGWLVTLSAAFYGLQPNLVPEF
+RGVMKVDINLQKVDIDQCSSDGWFSGTHKCHLNNSECMPIKGLGFVLGAYQCICKAGFYH
+PRDFSFNNFQRRGPDHHFVESAKDVSEEVHVCLPCSEGCPYCADDSPCFVQEDKYLRLAI
+ISFQALCMLLDFLSMLVVYRFRKAKSIRASGLILLETILFGSLLLYFPVVILYFEPSTFR
+CILLRWVRLLGFATVYGTVTLKLHRVLKVFLSRTAQRIPYMTGGRVMRMLAVILLVVFWF
+LVGWTSSVCQNLERHISLIGQGRTSDHLIFSMCLVERWDYMTAAAEFLFLLWGVYLCYAV
+RTVPSAFHEPRYMAVAVHNELIISAIFHTIRFVLASRLQSDWMLMLYFAHTHLTVTVTIG
+LLLIPKFSHSSNNPRDDIATEAYEDELDMGRSGSYLNSSINSAWSEHSLDPEDIRDELKK
+LYAQLEIYKRKKMITNNPHLQKKRCSKKGLGRSIMRRITEIPETVSRQCSKEDKDGGEHG
+SAKGSSAGRKNPQETAGGSGKPKEESLRSRVFSLKKSHSTYEHVREQPGGPGSPPAQSRE
+EEEATDNSVLGSPVGANRPRPLQEDSQAVSTESVPLVCKSASAHNLSSEKKPGPTRTSVL
+QKSLSVIASAKEKTLGLAGKTQAACVEERAKAQKALPRERETNRKYSNSDNAETQDSAPP
+NSSHSEEPRKPQKLGIMKQQRANPTTANSDLSPGATHMKDNFDIGEVCPWEIYDLAPGPG
+PLESKVQKHVSIAASEMERNPTFSLKEKSHPKPKAADLCQQSNPKSVDKAEVCPWESQGQ
+SLFEEEKYLMSKTQVPLGRAQGENSGQHCATGVCAGQCEELPPKAVASKVENENLNQLGE
+QEKKTSSSERNVPDSHNSSNNFQPPLMSRAEVCPWEFETPDKPNAERSVAFPASSALSAN
+KIAGPRNEEVRLARKV
+>sp|Q2KIM0|FUCO_BOVIN Tissue alpha-L-fucosidase OS=Bos taurus OX=9913 GN=FUCA1 PE=2 SV=1
+MRSWVVGARLLLLLQLVLVLGAVRLPPCTDPRHCTDPPRYTPDWPSLDSRPLPAWFDEAK
+FGVFVHWGVFSVPAWGSEWFWWHWQGEKLPQYESFMKENYPPDFSYADFGPRFTARFFNP
+DSWADLFKAAGAKYVVLTTKHHEGYTNWPSPVSWNWNSKDVGPHRDLVGELGTAIRKRNI
+RYGLYHSLLEWFHPLYLRDKKNGFKTQYFVNAKTMPELYDLVNRYKPDLIWSDGEWECPD
+TYWNSTDFLAWLYNDSPVKDEVVVNDRWGQNCSCHHGGYYNCKDKFQPETLPDHKWEMCT
+SIDQRSWGYRRDMEMADITNESTIISELVQTVSLGGNYLLNVGPTKDGLIVPIFQERLLA
+VGKWLSINGEAIYASKPWRVQSEKNSVWYTSKGLAVYAILLHWPEYGILSLISPIATSTT
+KVTMLGIQKDLKWSLNPSGKGLLVFLPQLPPAALPTEFAWTIKLTGVK
+>sp|Q5E9W5|G3ST4_BOVIN Galactose-3-O-sulfotransferase 4 OS=Bos taurus OX=9913 GN=GAL3ST4 PE=2 SV=1
+MGVLSPTRTMRLWGPRSLGVALGVFMTIGFALQLLGGPFQRRLPGLQLRHSWASSLGPAA
+PSCPPRQHLVFLKTHKSGSSSVLSLLHRYGDRHGLRFALPARYQFGYPRLFQASRVKGYR
+PQSGGTQPPFHILCHHMRFNLKEVLQVMPSDSFFFSIVRDPAALARSAFSYYKSTSSAFR
+KAPSLAAFLANPRAFYRPGARGDHYARNLLWFDFGLPFPPELRTKRGNPHVSRDPNPPQL
+PSGAGPPAHTLDPNALFHPVPIVADGHSQMSSPASLDLGSSSFIQWNLAWLDSVFDLVLV
+AEYFDESLVLLADALCWGLDDVVGFMHNAQAGGGQDRSTIDDGGLTTEERQLTARARAWN
+NLDWALYVHFNRSLWARIKQYGQSRLDSAVAELRARREALAKHCLVGGEALDPKYITDRR
+FRPFQFGSGKVLGYVLRSGLSLQDQEECERLATPELQYKDKLDAKQFPPTVSLPLKTSRR
+PSP
+>sp|Q9BDR7|FCERG_BOVIN High affinity immunoglobulin epsilon receptor subunit gamma OS=Bos taurus OX=9913 GN=FCER1G PE=3 SV=1
+MIPAVVLLLLLLVEQAAALGEPQLCYILDAILFLYGIVLTLLYCRLKLQVRKAATASEKS
+DGIYTGLSTRTQETYETLKHEKPPQ
+>sp|F1N5C8|ENPP6_BOVIN Ectonucleotide pyrophosphatase/phosphodiesterase family member 6 OS=Bos taurus OX=9913 GN=ENPP6 PE=1 SV=2
+MAGKLGVLLLALVLSLAQPASARRKLLVFLLDGFRADYISDEALESLPGFKEIVSRGVKV
+DYLTPDFPTLSYPNYYSLMTGRHCEVHQMTGNYMWDPDTNKSFDLGINRDSRLPLWWNGS
+EPLWVTLTKAKRKVYMYYWPGCEVEILGVRPTYCLEYKSVPTDINFVNAVSGALDVFKSG
+QADLAAIYYERVDVEGHHYGPSSPQRKDAVKAVDTVMAYMTKWIQERDLQDDLNVIIFSD
+HGMTDISWTDKVIKLDNYINLRDLQQLKGRGPVVSLWPAPGKHSEIYNKVRRVEHMTVYA
+KEDIPSRFYYKKGKFVSPLTLVADEGWFITENRESLPFWMNSTVTRKPEGWQWGWHGYDN
+ELRAMRGIFLAFGPDFKSDFRAAPIRVVDIYNLMCKVTGVTPLPNNGSWSRVMCMLKDPA
+SSAPGAPPCACALVTVLLVLLAILA
+>sp|Q32LE2|GKAP1_BOVIN G kinase-anchoring protein 1 OS=Bos taurus OX=9913 GN=GKAP1 PE=2 SV=2
+MASAVLSSVPTTASRFALLQVDSGSGSDSEPGKGKGRNTGKSQTNKSTTNEKKREKRRKK
+KEQQQSEANELRNLAFKKIPQKSSHAICNAQHELSLPNPVQKDSREENWQEWRQRDEQLT
+SEMFEADLEKALLLSKLEYEEHKKEYENAENASTQSKVMNKKDKRKTHQGKDKPLTISLK
+EFQSEDHISKKTEELSSQILSHDGGFFNRLEDDVHKILTREKRREQLTEYNGTDNYTVHE
+HNQEVVLKDGRIERLKLELERKDAEIQKLKNVIAQWEAKYKEVKARNAQLLKMLQEGEMK
+DKAEILLQVDESQSIKNELTIQVTSLHAALEQERSKVKVLQAELAKYQGGRKGKRNSESD
+QCR
+>sp|Q3ZCF6|APC11_BOVIN Anaphase-promoting complex subunit 11 OS=Bos taurus OX=9913 GN=ANAPC11 PE=3 SV=1
+MRVKIKCWNGVATWLWVANDENCGICRMAFNGCCPDCKVPGDDCPLVWGQCSHCFHMHCI
+LKWLNAQQVQQHCPMCRQEWKFKE
+>sp|Q0VD05|CCG3_BOVIN Voltage-dependent calcium channel gamma-3 subunit OS=Bos taurus OX=9913 GN=CACNG3 PE=2 SV=1
+MRMCDRGVQMLITTVGAFAAFSLMTIAVGTDYWLYSRGVCRTKSTSDNETSRKNEEVMTH
+SGLWRTCCLEGAFRGVCKKIDHFPEDADYEQDTAEYLLRAVRASSVFPILSVTLLFFGGL
+CVAASEFHRSRHNVILSAGIFFVSAGLSNIIGIIVYISANAGDPGQRDSKKSYSYGWSFY
+FGAFSFIIAEIVGVVAVHIYIEKHQQLRAKSHSELLKKSTFARLPPYRYRFRRRSSSRST
+EPRSRDLSPISKGFHTIPSTDISMFTLSRDPSKITMGTLLNSDRDHAFLQFHNSTPKEFK
+ESLHNNPANRRTTPV
+>sp|Q58DL5|BCAR3_BOVIN Breast cancer anti-estrogen resistance protein 3 OS=Bos taurus OX=9913 GN=BCAR3 PE=2 SV=2
+MAAGKFASLPRNMPVNHQFPLASSMDLLSSKSPLVERRADAYQDVSIHGTLPRKKKGPPP
+IRSCDNFSHVGTLPHSRSPRHHSPLIQDVIQEQPLQDWKGEAFTFRDQHLLDPTLEYVKF
+SKERHVMDRTPERLKKELEEELLLSSEDLRSHAWYHGRIPRQVSENLMQRDGDFLVRDSL
+SSPGDFVLTCQWKNLPQHFKIRRTVVRLSEAYSRVQYQFEMESFDSIPGLVRCYVGNRRP
+ISQQSGAIIFQPVNRTVPLRCLEERYGASSPDRAHEGSLTEGRPDAAKRLSLTVGGTQAR
+EQGLPRGNLLRNKEKSGSQPACLDHMQDRRALSLKAHQSESYLPIGGKLTPQSPSVGTSP
+CPNSPVFRTGSEPTLSPAVVRRVSSDARPGEALRGSDSQLCPKPPPKPCKAPLLKAPPSP
+SIWLNSEANYCELNPALAASYDGASRLPFCAQDSYVELLTAKQNGGLGTRNSDTSYLILD
+DDDRTRPWKPPPAPGDTVGEDQDTFVMPLLETTSSFKPNDFESKLLPPENKPLETSMLKR
+AKELFTNSDPKVIAQHLLSVDCKVARILEVSEEMRKNMGVNSGLELITLPYGHQLRLDII
+ERHNTMAIGIAVDILGCTGSLEDRAATLNKIIQVAVELKDSMGDLYSFSAIMKALEMPQI
+TRLEKTWTALRHQYTQTAILYEKQLKPFSKVLHEGRESTCVPPNNVSVPLLMPLVTLMER
+EAVTFEGTDMWEKNDESCEIMLNHLATARLMAEAADSYRMNAERILAGFQPDEEMSEVFK
+TEFQMRLLWGSKGAQVNQTERYEKFNQILTALSRKLEPPPVKQMEF
+>sp|Q9N1R0|CABP1_BOVIN Calcium-binding protein 1 OS=Bos taurus OX=9913 GN=CABP1 PE=1 SV=3
+MGNCVKSPLRNLSRKMRQEETSYTVVQTSEEGLAASGELPGPLLMLAQNCAVMHNLLGPA
+CIFLRKGFAENRQPDRSLRPEEIEELREAFREFDKDKDGYINCRDLGNCMRTMGYMPTEM
+ELIELSQQINMNLGGHVDFDDFVELMGPKLLAETADMIGVKELRDAFREFDTNGDGEIST
+SELREAMRKLLGHQVGHRDIEEIIRDVDLNGDGRVDFEEFVRMMSR
+>sp|Q95MP1|AIPL1_BOVIN Aryl-hydrocarbon-interacting protein-like 1 OS=Bos taurus OX=9913 GN=AIPL1 PE=1 SV=1
+MDATLLLNVEGIKKTILHGGTGDLPNFITGARVTFHFRTMKCDEERTVIDDSKQVGHPMH
+IIIGNMFKLEVWEILLTSMRVSEVAEFWCDTIHTGVYPILSRSLRQMAEGKDPTEWHVHT
+CGLANMFAYHTLGYEDLDELQKEPQPLIFIIELLQVEAPSQYQRETWNLNNQEKMQAVPI
+LHGEGNRLFKLGRYEEASNKYQEAIVCLRNLQTKEKPWEVQWLKLEKMINTLILNYCQCL
+LKKEEYYEVLEHTSDILRHHPGIVKAYYVRARAHAEVWNEAEAKADLEKVLELEPSMRKA
+VQRELRLLENRLEEKREEERLRCRNMLG
+>sp|A5PJI6|CAVN4_BOVIN Caveolae-associated protein 4 OS=Bos taurus OX=9913 GN=CAVIN4 PE=2 SV=2
+MEHNGSASNADKIHQNRLSNVTEDEDQDAALTIVTVLDKVAAIVDSVQASQKRIEERHRV
+MENAIKSVQIDLLKFSQSHSNTGYVINKLFEKTRKVSAHIKDVKARVEKQQTHVKKVEAK
+QEEIMKKNKFRVVIFQEEVQCPTSLSVVKDRSLTESPEEVDEIFDTPVDLSSDEEYFVEE
+SRSARLKKSGKERIDNIKKAFSKENMQKTRQNFDKKVNRIRTRIVTPERRERLRQSGERL
+RQSGERLKQSGERFKKSISNAAPSREAFKMRSLRKTKDRAVAEGPEEVREMGVDIIARGE
+ALGPISELYPEALSETDPEEASATHPPQEGGEVSTPEPLKVTFKPQVKVEDDESLLLDLK
+Q
+>sp|P41500|ACYP1_BOVIN Acylphosphatase-1 OS=Bos taurus OX=9913 GN=ACYP1 PE=1 SV=2
+MSMAEGDTLISVDYEIFGKVQGVFFRKYTQAEGKKLGLVGWVQNTDQGTVQGQLQGPASK
+VRHMQEWLETKGSPKSHIDRASFHNEKVIVKLDYTDFQIVK
+>sp|Q1RMH3|COX14_BOVIN Cytochrome c oxidase assembly protein COX14 OS=Bos taurus OX=9913 GN=COX14 PE=3 SV=1
+MPTAKQLADIGYKTFSTSMMLLTVYGGYLCSVRAYHYFQRRSSRRQAAEEQKTSGAL
+>sp|Q5E9N4|AADAT_BOVIN Kynurenine/alpha-aminoadipate aminotransferase, mitochondrial OS=Bos taurus OX=9913 GN=AADAT PE=2 SV=1
+MNYARFITATSAARKPSTIRVMTEILSKAPKSVISLATGAPNPNTFPFKTAVITIENGKP
+IQFNEQMMKRALQYSQSAGIPELLSWLKQLQVKLHNPPTIHYAPTQGQMDLCVTCGSQEG
+LCKVFEMIVNPGDNILVNEPIYSGTIHALQPLGCNMINVSSDEHGIIPDSLREILSKWKP
+EDSKNPKKNSPKFLYTVPNGNNPSGNSLTAERKREIYELARKYDFLIIEDDPYYFMQFNK
+PWAPTFLSMDEDGRVIRADSFSKVLSSGLRIGFITGPKPLIERIVLHIQVSTMHPSTFAQ
+LLVSQLLYQWGEEGFLGHVDRVIDFYRKQRDALMAAADKWLSGLAEWHVPTAGMFLWVKI
+KGIHDVRKLIEEKAFKKEIFMLPGCGFYTDSSAPCPYFRASFSSASPEQMDLAFQRLAQL
+IKESL
+>sp|Q6T8E9|CCNT1_BOVIN Cyclin-T1 OS=Bos taurus OX=9913 GN=CCNT1 PE=1 SV=1
+MEGERKNNNKRWYFTREQLENSPSRRFGLDPDKELSNRQQAANLLQDMGQRLNVSQLTIN
+TAIVYMHRFYMIQSFTQFHRNSVAPAALFLAAKVEEQPKKLEHVIKVAHTCLHPQESLPD
+TRSEAYLQQVQDLVILESIILQTLGFELTIDHPHTHVVKCTQLVRASKDLAQTSYFMATN
+SLHLTTFSLQYTPPVVACVCIHLACKWSNWEIPVSTDGKHWWEYVDATVTLELLDELTHE
+FLQILEKTPNRLKRIWNWRAWQADRKTKADDRGADENSSEQTILNMISQSSSDTTIAGLM
+SMSASSTTSAVPSLPATEDSKSNLSNVEMLSSERWLSSHPPFKVEPAQGYRKSENLALVG
+ADHSLQQDGSNAFVSQKQNSKSVPSAKVSLKEYRAKHAEELAAQKRQLENMEANVKSQYA
+YAAQNLLSHHDSHSSVILKMPIEGSENPERPFLEKTDKTALKMRIPMTGGDKAASIKPEE
+IKMRIKVHTAADKHNSVDDSVTKNREHKEKHKTHPSNHHHHHNHHSHKHSHSQLPAGTGN
+KRLGDPKHSSQTSTLAHKPYSLSSSFSSSSSSRKRPLPEETGGVAYDHSTKTAKSAKSSS
+INFSFPPLPTMAQLPGHSSDTSGLHFSQPSCKTRVPHMKLDKSSTGANSHNTPQTTDYQD
+TVNMLNSLLNAQGVQPTQPPAFEYVHSYGEYMNPRAGGMSSRSGNTDKPRPPPLPSEPPP
+PLPPLPK
+>sp|A6QP79|COL12_BOVIN Collectin-12 OS=Bos taurus OX=9913 GN=COLEC12 PE=2 SV=1
+MKDDFAEEEEVHSFGYKRFGIQEGTQCTKCKNNWALKLSIILLYILCALLTITVAILGYK
+VVEKMDNVTGGMETSRQTYDDKLTAVESDLKKLGDQTGKKALSTNSELSTFRSDILDLRQ
+QLREITEKTSKNKDTLEKLQASGDALVDRQSQLKETLENNSFLITTVNKTLQAYNGYVTN
+LQQDTSVLQGNLQSQMYSHNVVIMNLNNLNLTQVQQRNLITNLQRSVDDTSQAIQRIKND
+FQNLQQVFLQAKKDTDWLKEKVQSLQTLAANNSALAKANNDTLEDMNSQLSSFTGQMDNI
+TTASQANEQNLKDLQDVHRDAENRTAAKFSQLEERFQLFESDIVNIISNISYTAHYLRTL
+TSNLNEVRTTCTDTLTKHTDDLTSLNNTLANIRLDSVSLRMQQDLMRSRLDTEVANLSVI
+MEEMKLVDSKHGQLIKNFTILQGPPGPRGPKGDRGQQGPPGPTGNKGQKGEKGEPGPPGP
+AGERGPIGPVGPPGERGSKGSKGLQGSKGSRGSPGKPGPQGPSGDPGPPGPPGKDGLPGP
+QGPPGFQGLQGTVGEPGVPGPRGLPGLPGMPGMPGIKGPPGPPGPSGAAVPLALKTEPTT
+APEDNGCLPYWKNFTDKCYYFSTERDFFEDAKLFCERMSSHLVFINTGEEQQWIKNQMVA
+KQNYWIGLTDLEQENEWRWLDGTLLEYKNWKAGQPDNWGHGHGPGEDCAGLINFGQWNDF
+PCEDMNHFICEKDRERELAITL
+>sp|Q2YDG3|CD123_BOVIN Cell division cycle protein 123 homolog OS=Bos taurus OX=9913 GN=CDC123 PE=2 SV=1
+MKKEHVLHCQFSAWYPLFRSLTIKSVILPLPQNVKDYLLDDGTLVVSGREDPPAHSQPDS
+DDEAEEIQWSDDENTATLTAPEFPEFTTKVQEAINSLGGSVFPKLNWSAPRDAYWIAMNS
+SLKCKTLSDIFLLFKSSDFITRDFTQPFIHCTDDSPDPCMEYELVLRKWCELIPGAEFRC
+FVKENKLIGISQRDYTQYYDHISKQKEEICRCIQDFFKKHIQYKFLDEDFVFDIYRDSRG
+KVWLIDFNPFGEVTDSLLFTWDELLSGTNLKGDFSEEALEQDAPAFRCTNSEVTVQPSPY
+LSYRLPKDFVDLSTGEDAHKLIDFLKLKRNQQEDD
+>sp|Q2TBI5|CANB2_BOVIN Calcineurin subunit B type 2 OS=Bos taurus OX=9913 GN=PPP3R2 PE=2 SV=3
+MGNEASYPAEICSHFDEDEIKRLRKRFKKLDLDSSSALSVKEFTSMPELQENPLVQRVID
+VFDTDGDGQVDFREFILGTSQFSVRGDEEQKLRFAFSIYDMDKDGYISNGELFQVLKMMV
+GDNLKDWQLQQLVDKTIILLDKDGDGKISFQEFSAVVRSLEIHKHLVTIV
+>sp|A5PK21|CKP2L_BOVIN Cytoskeleton-associated protein 2-like OS=Bos taurus OX=9913 GN=CKAP2L PE=2 SV=1
+MVRPGLSAAAEERQRKLQEYLAAKGKLKCQNTKPYLKAKNNCPNPPHSKSTIGPKKDVTN
+HVALPVKTTRSINIKLQSRPANITRSQRPKLEPPKLGKRLTSESVSSNPNGKPPGNSQQL
+RGFGSSTDGKQPRKTMGSLNVQKLKTTKQQVTDQRTAKGTDPVDNTHVENESLGGCLKEM
+NKENLPQDLPNSERKPNPESWTINKPQTNQTKSSLASTREVLDKSSVNSAALKEGVIKPF
+VEETQISVPPGKSQKLSRVADLIRPGGKPPKTLPSHFVQTLNRTQATKKTVVKDIKSIKV
+NRSKYERPNEAKLQSYTVTEQKVKHSKPSTHPSVLQGGCNHRHPNIKQDHKPTQACCRPQ
+TSYALQKSKAISQRPNLTVGSFNSVIPSTPSIRANGATGNKCNNSCQQRARTLDSKFKSA
+PPQKCFLNKTAPRTQAGGPTISGRGVPNGAQTNPCKKIAAEDRRKQLEEWRKSKGKIYKR
+PPMELKTKRKIIEEMNISFWKSMEKEEEEKKAQLELSNKINNTLTECLQLIERGVLSNEV
+FAILSSIPEAEKFAKFWICKAKLLASKGTFDVIGLYEEAIRNGATPIQELREVVLNILQD
+RNRTTEGMTSNSLVAETNITSIEELAKKTESGASCLSPKESEQMSVTPQITKSEQDGHPG
+IKLQIAPIPRINGMPEVQDMKLITPVRRSARIERAVSRYPEMLQEHDLVVASLNELLEVE
+ETECFIFRKNEALPVTLGFPVSES
+>sp|Q6PZ05|ATG4A_BOVIN Cysteine protease ATG4A OS=Bos taurus OX=9913 GN=ATG4A PE=2 SV=1
+MESVLSKYENQITIFADYLEEFPDTDELVWILGKQHLLKTEKSKLLSDISARLWFTYRRK
+FSPIGGTGPSSDAGWGCMLRCGQMMLAQALICRHLGRDWNWEKQKEQPKEYQRILQCFLD
+RKDCCYSIHQMAQMGVGEGKSIGEWFGPNTVAQVLKKLALFDEWNSLAVYVSMDNTVVIE
+DIKKMCRTLSLSADTPAERPLESLTASNQSKGPSACCTAWKPLLLIVPLRLGINQINPVY
+VDAFKECFKMPQSLGALGGKPNNAYYFIGFLGDELIFLDPHTTQTFVDTEENGTADDQTF
+HCLQPPQRMNILNLDPSVALGFFCKEEKDFDSWCSLVQKEILKENLRMFELVQKHPSHWP
+PFVPPAKPEVTTTGAEFIDSTEQLEEFDLEEDFEILSI
+>sp|A2VE15|FADS6_BOVIN Fatty acid desaturase 6 OS=Bos taurus OX=9913 GN=FADS6 PE=2 SV=1
+MEPAGGAPRESARAEALLGELEARVQEVVRASSWWERHGVDCAILALSLLALPPGFLCLR
+SDSPLVFALGITILGVCHYTLTVKGSHLATHGALTESRGWSKVWTLFFVEVCTSFTAEYA
+KYGHVKMHHGYTNVLGLGDSSTWRLPCLNRYVYMFLAPLLIPIITPLVAVERLREVELRT
+ALRTLGLISLGLYSQYWLLLNVSGFQSPSSALACMLITRSLLAHPYLHVNIFQHIGLPMF
+SPDKKPRRIHMMSLGVLNLPRLPVLDWAFGHSLISCHVEHHLFPRLSDNMCLKVKPVVSQ
+FLHEKQLPYNEDSYLARFWLFLQRYEEFMVQTPPITELVGLQ
+>sp|Q3T0I5|FIS1_BOVIN Mitochondrial fission 1 protein OS=Bos taurus OX=9913 GN=FIS1 PE=2 SV=1
+MEAVLNELVSVEDLLKFERKFKSEKAAGSVSKSTQFEYAWCLVRSKYNDDIRKGLALLEE
+LLPKGSKEEQRDYVFYLAVGNYRLKEYEKALKYVRGLLQTEPQNNQAKELERLIDKAMKK
+DGLVGMAIVGGMALGVAGLAGLIGLAVSKSKS
+>sp|Q17QQ5|G137A_BOVIN Integral membrane protein GPR137 OS=Bos taurus OX=9913 GN=GPR137 PE=2 SV=2
+MESNLSGLVPAAGLVPALPPAVTLGLTAAYTTLYALLFFSVYAQLWLVLLYGHKRLSYQT
+VFLALCLLWAALRTTLFSFYFRDTPRANHLGPLPFWLLYCCPVCLQFFTLTLMNLYFAQV
+VFKAKAKRRPEMSRGLLAVRGAFVGASLLFLLVNVLCAMLSRRRRAQPWALLLVRVLVSD
+SLFVICALSLAACLCLVARRAPSTSIYLEAKGTSVCQAAAMGGAMVLLYASRACYNLAAL
+ALAPRSRLDAFDYDWYNVSDQADLVNDLGNKGYLVFGLILFVWELLPTTLLVGFFRVHRP
+PQDLSTSRILNGQVFGSRSYFFDRAHCEDEGCSWEHSRGESTSMSGSLGSSSWYGTIGRE
+PGWCGGSQTRTTPLLFSQVLGPGGHHHSLYSTPQT
+>sp|Q3T140|DLRB1_BOVIN Dynein light chain roadblock-type 1 OS=Bos taurus OX=9913 GN=DYNLRB1 PE=3 SV=1
+MAEVEETLKRLQSQKGVQGIIVVNTEGIPIKSTMDNPTTTQYANLMHNFILKARSTVREI
+DPQNDLTFLRIRSKKNEIMVAPDKDYFLIVIQNPTE
+>sp|Q2HJD5|ECHD1_BOVIN Ethylmalonyl-CoA decarboxylase OS=Bos taurus OX=9913 GN=ECHDC1 PE=2 SV=1
+MELKQEMASLLKTSPNAVKKRLLHQIGLSLYNTSHGFHEEEVKKKLEQFPGGSIDLQKEN
+SGIGILTLNNPSKMNAFSGVMMLQLLEKVIELENWTEGKGLIIRGAKNTFSSGSDLNAVK
+ALGTPEDGMAVCMFMQNTLTRFMRLPLISVALVQGRALGGGAEVTTACDFRLMTTESEIR
+FVHKEMGIIPSWGGATRLVEIIGGRQALKVLSGALKLDSEKALNIGMVDDILPSSDETEC
+LKEAQEWLQQFIKGPPEVIRALKKSVSSCKELCLEEALQRERDILGTVWGGPANLEAVAR
+KGKFNK
+>sp|Q5ICW4|GRB14_BOVIN Growth factor receptor-bound protein 14 OS=Bos taurus OX=9913 GN=GRB14 PE=1 SV=1
+MTTSLQDGQSAAGRAAARDSPLAAQVCGAAQGRGDARDLAPAPWLHARALLPPPDATRGC
+AADRRKKKDLDVLEMPSIPNPFPELCCSPFTSVLSAGLFPKANSRKKQVIKVYSEDETSR
+ALEVPSDITARDVCQLLILKNHYIDDHSWTLFEHLPHVGLERTIEDHELVIEVLSNWGME
+EENKLYFRKNYAKYEFFKNPMYFFPEHMVSFATETNGEISPTQILQMFLSSSTYPEIHGF
+LHAKEQGKKSWKKIYFLLRRSGLYFSTKGTSKEPRHLQFFSEFGNSDIYVSLAGKKKHGA
+PTNYGFCFKPNKAGGPRDLKMLCAEEEQSRTCWVTAIRLLKYGMQLYQNYMHPYQGRSGY
+SSQSISPMRSISENSRVAMDFSGQKTRVIENPTEALSVAVEEGLAWRKKGCLRLGSHGSP
+TASSQSSATSMAIHRSQPWFHHKMSREEAQRLIIQQGLVDGVFLVRDSQSNPKTFVLSMS
+HGQKIKHFQIIPVEDDGEMFHTLDDGHTRFTDLIQLVEFYQLNKGVLPCKLKHYCARIAL
+>sp|Q95132|ICAM1_BOVIN Intercellular adhesion molecule 1 OS=Bos taurus OX=9913 GN=ICAM1 PE=2 SV=1
+MALGAAPAAQLALLALLGTLLPGPGGAGISIHPSKAIIPRGDSLTVNCSNSCDQKSTFGL
+ETVLIKEEVGRGDNWKVFQLRDVQEDIELFCYSNCHKEQTIASMNLTVYWFPEHVELAPL
+PLWQPVGEELNLSCLVSGGAPRAHLSVVLLRGEEELGRQPVGKGEPAKVMFTVQSRREDH
+GTNFSCRWELDLRSQGLELFQNTSAPRKLQTYVLPSIDPHLEVPPIVEVGSRWPVNCTLD
+GLFPASDAKVYLVLGDQKLESNITYDGDSVLAKAWMEENEEGTHSLKCSVTLGEVSRRTQ
+ENVTVYSFPLPTLTLSPPEVSEWTTVTVECVTRDGAVVKLNGTSAVPPGPRAQLKLNASA
+SDHRSNFSCSAALEIAGQVVHKHQTLELHVLYGPRLDQRDCPGNWTWQEGSEQTLKCEAQ
+GNPIPKLNCSRKGDGASLPIGDLRPVRREVAGTYLCRATSARGRVTREVVLNVLHGQNIL
+DIVIPVVAVTLILGALGTAGYVYNYQRKIQKYELQKARKAQEEAALKLNAQSTPP
+>sp|P07455|IGF1_BOVIN Insulin-like growth factor I OS=Bos taurus OX=9913 GN=IGF1 PE=1 SV=2
+MGKISSLPTQLFKCCFCDFLKQVKMPITSSSHLFYLALCLLAFTSSATAGPETLCGAELV
+DALQFVCGDRGFYFNKPTGYGSSSRRAPQTGIVDECCFRSCDLRRLEMYCAPLKPAKSAR
+SVRAQRHTDMPKAQKEVHLKNTSRGSAGNKNYRM
+>sp|P36225|MAP4_BOVIN Microtubule-associated protein 4 OS=Bos taurus OX=9913 GN=MAP4 PE=1 SV=1
+MADLSLADALTEPSPEIEEEIKRDFIATLEAEAFDDVVGETVGKTDYIPLLDVDEKTGSS
+ESKKKPCSDTSQVEGTPSSQAAVLANGDHGIERNDVTGFPSEFLEEKMAYQGYQNSQNWP
+ENTNFCFEPEHMVNPIQTDPFKMHHDDGLEDSLFFPSGTTNTSVFVEQNDPLKDTYGMLP
+CDTFAPAAVVPQGWSAEAPNLAHLESFTSPEAVPQPLQPTAEPAEKVEMASGEERAEALE
+MMMKLQAADMAPSREAEMVLAKDVVPATETGVALAKDMESPTKPDEALVKDVESSIESDM
+ALVKDVVLPVQTEETAVKDAILPTETDVSLDEDLALSTETEVSTAQDILLFKETESIPPV
+TMDLASAEGTVPPTDQEMTPVKVAASLSEIEAPLDEDIVSSTEIRSAKEIGLSSETEVAL
+SREMGLPPETEAILDKDMAAPPETEVIVPVKDMAPSPGIETTLAKDVAPRQEIEVTLGKD
+TVSLPATEMALGRNVALPPETEVTLAKDVAQPPETEVNLANNAALAKFSEAEVVPVPVKD
+METAQTQEATSEDSQLKSLQDEGQSAVPLMTSPEAVVAMGQKHSLPTDEDSVLEELEQKK
+PSSQTSELPSETSGVAKPEEGPPTGSVSGNDITAPPNKELPPSPEKKTKPLATTQPAKTS
+TSKAKTQPTSLPKQTAPTTLGGSNKKPMSLASGSVPAAPPKRPAAATSRPSTLPSKDTKP
+KPVAEAKIPEKRVSPSKPASAPAVKPGSKSTQAVPKAPATATLASPGSTSRNLSTPLPKR
+PTAIKTEGKPAEIKKMATKSAPADLSRPKSTTTSSVKKSTTVPGTAPPAGAPSRARPTAT
+PPRPSGTPPVDKKPTAAKPTSSAPRLGRVAANASAPDLKNVRSKVGSTENIKHQPGGGRA
+KVEKKTEAAAPARKPEPNAVTKAAGPIGNAQKPPTGKVQIQNKKVDISKVSSKCGSKANI
+KHKPGGGDVKIESQKLNFKEKAQAKVGSLDNVGHLPAGGAVKTEGGGSEAPPCPGPPAGE
+ELAIPEAAPEAGAPASASGLSGHTTLAGGGDQREAQTLDSQIQETSKWLGLA
+>sp|Q32LM6|LIN7A_BOVIN Protein lin-7 homolog A OS=Bos taurus OX=9913 GN=LIN7A PE=2 SV=1
+MLKPSVTSAPTADMATLTVVQPLTLDRDVARAIELLEKLQESGEVPVHKLQSLKKVLQSE
+FCTAIREVYQYMHETITVNGCPEFRARATAKATVAAFAASEGHSHPRVVELPKTDEGLGF
+NVMGGKEQNSPIYISRIIPGGVAERHGGLKRGDQLLSVNGVSVEGEHHEKAVELLKAAKD
+SVKLVVRYTPKVLEEMEARFEKLRTARRRQQQQLLIQQQQQQQQQQTQQNHMS
+>sp|Q2KIT0|HP20_BOVIN Protein HP-20 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MADLRILVSIILMTNAVLEKGGCTGPPGPPGHPGPPGIRGPPGIRGIPGLPGPPGTPGPS
+VKCPCHRQSAFTVKLSGQLPSPSKPVPFTEVLYNAQRDLQEDTGVFTCRVPGNYHFLFDV
+DLHHCKVTVQLMRDKSSVLEKHQVSTKEPRSLSGMLTLPLHVGEKVWLEAKVETEKPEQA
+RVTIYFSGFLT
+>sp|Q5E9G0|GSTA4_BOVIN Glutathione S-transferase A4 OS=Bos taurus OX=9913 GN=GSTA4 PE=2 SV=1
+MATKPKLHYPNGRGRMESVRWVLAAAGVEFDEEFLETKEQLQKLQDGNHLLFQQVPMVEI
+DGMKLVQTRSILHYIADKHHLFGKDLKERTLIDMYVEGTLDLLELLIMHPFLKPDDQQKE
+VANMAQKAIIRYFPVFEKVLRGHGQRFLVGNQLSLADIILLQTILALEEKIPNILSAFPH
+LQEYTVKISNIPTIKKFLEPGSKKKPPPDDIYVRTVYNIFMP
+>sp|Q95L46|IF4G2_BOVIN Eukaryotic translation initiation factor 4 gamma 2 OS=Bos taurus OX=9913 GN=EIF4G2 PE=2 SV=2
+MESAIAEGGASRFSASSGGGGSRGAPQHYPKTAGNSEFLGKTPGQNAQKWIPARSTRRDD
+NSAANNSANEKERHDAIFRKVRGILNKLTPEKFDKLCLELLNVGVESKLILKGVILLIVD
+KALEEPKYSSLYAQLCLRLAEDAPNFDGPAAEGQPGQKQSTTFRRLLISKLQDEFENRTR
+NVDVYDKRENPLLPEEEEQRAIAKIKMLGNIKFIGELGKLDLIHESILHKCIKTLLEKKK
+RVQLKDMGEDLECLCQIMRTVGPRLDHERAKSLMDQYFARMCSLMLSKELPARIRFLLQD
+TVELREHHWVPRKAFLDNGPKTINQIRQDAVKDLGVFIPAPMAQGMRSDFFLEGPFMPPR
+MKMDRDPLGGLADMFGQMPGSGIGTGPGVIQDRFSPTMGRHRSNQLFNGHGGHIMPPTQS
+QFGEMGGKFMKSQGLSQLYHNQSQGLLSQLQGQSKDMPPRFSKKGQLNADEISLRPAQSF
+LMNKNQVPKLQPQITMIPPSAQPPRTQTPPLGQTPQLGLKTNPPLIQEKPAKTSKKPPPS
+KEELLKLTETVVTEYLNSGNANEAVNGVREMRAPKHFLPEMLSKVIILSLDRSDEDKEKA
+SSLISLLKQEGIATSDNFMQAFLNVLDQCPKLEVDIPLVKSYLAQFAARAIISELVSISE
+LAQPLESGTHFPLFLLCLQQLAKLQDREWLTELFQQSKVNMQKMLPEIDQNKDRMLEILE
+GKGLSFLFPLLKLEKELLKQIKLDPSPQTIYKWIKDNISPKLHVDKGFVNILMTSFLQYI
+SSEVNPPSDETDSSSAPSKEQLEQEKQLLLSFKPVMQKFLHDHVDLQVSALYALQVHCYN
+SNFPKGMLLRFFVHFYDMEIIEEEAFLAWKEDITQEFPGKGKALFQVNQWLTWLETAEEE
+ESEEEAD
+>sp|F1MLX5|LGR4_BOVIN Leucine-rich repeat-containing G-protein coupled receptor 4 OS=Bos taurus OX=9913 GN=LGR4 PE=3 SV=3
+MPGPLGLLCFLALGLRGSAEPSGAAPPLCAAPCSCDGDRRVDCSGKGLTAVPEGLSAFTQ
+LLDISMNNITQLPEDAFKNFPFLEELRLAGNDLSFIHPKALSGLKELKVLTLQNNQLKTV
+PSEAIRGLSSLQSLRLDANHITSVPEDSFEGLTQLRHLWLDDNSLTEVPVHPLSNLPTLQ
+ALTLALNKISSIPDFAFTNLSSLVVLHLHNNKIKSLGQHCFDGLDNLETLDLNYNNLGEF
+PQAIKALPSLKELLFHSNSISVIPDGAFDGNPLLKTIHLYDNPLSFVGNSAFHNLSELHS
+LVIRGASMVQRFPNLTGTVRLESLTLTGTKISSISNNLCQEQKRLRTLDLSYNSIKDLPS
+FNGCHALEEISLQRNQIHQIKEDTFQGLTSLKILDLSRNLIHEIDDRAFAKLGSITNLDV
+SFNELTSFPTEGLNGLNQLKLVGNFKLKEALAAKDFVNLRSLSVPYAYQCCAFWGCDSYT
+HSNTEDNSLQDHSGSKDKGLSDVAGVTSSAENEEHSQIIIHCTPSTGAFKPCEYLLGSWM
+IRLTVWFIFLVALFFNLLVILTTFASCTSVPSSKLFIGLISVSNLFMGAYTGILTFLDAV
+SWGRFAEFGIWWEIGSGCKIAGFLAVFSSESAIFLLMLAAVERSLSAKDMMKNGKSNHLR
+QFRIAALLAFLGAAVAGSFPLFHRGEYSASPLCLPFPTGETPSLGFTVTLVLLNSLAFLL
+MAIIYTKLYCNLEKEDLSESSQSSMIKHVAWLIFTNCIFFCPVAFFSFAPLITAVSISPE
+IMKSVTLIFFPLPACLNPVLYVFFNPKFKEDWKLLKRHVSKKSGSASVSISSQAGCVEQD
+FYYDCGMYSHLQGNLTVCDCCEAFLLTKPVSCKHLIKSHSCPALTVGSCQRPDGYWSDCG
+TQSAHSDYADEEDSFVSDSSDQVQACGRACFYQSRGFPLVRYAYNLPRVKD
+>sp|Q58DC5|GTPB1_BOVIN GTP-binding protein 1 OS=Bos taurus OX=9913 GN=GTPBP1 PE=2 SV=2
+MAAERSRSPMESPVPASMFAPEPSSPGAARAAAAAARLHGGFDSDCSEDGEALNGEPELD
+LTSKLVLVSPTSEQYDSLLRQMWERMDEGCGETIYVIGQGSDGTEYGLSEADMEASYATV
+KSMAEQIEADVILLRERQEAGGRVRDYLVRKRVGDNDFLEVRVAVVGNVDAGKSTLLGVL
+THGELDNGRGFARQKLFRHKHEIESGRTSSVGNDILGFDSEGNVVNKPDSHGGSLEWTKI
+CEKSTKVITFIDLAGHEKYLKTTVFGMTGHLPDFCMLMVGSNAGIVGMTKEHLGLALALN
+VPVFVVVTKIDMCPANILQETLKLLQRLLKSPGCRKIPVLVQSKDDVIVTASNFSSERMC
+PIFQISNVTGENLDLLKMFLNLLSPRTSYREEEPAEFQIDDTYSVPGVGTVVSGTTLRGL
+IKLNDTLLLGPDPLGNFLSIAVKSIHRKRMPVKEVRGGQTASFALKKIKRSSIRKGMVMV
+SPRLNPQASWEFEAEILVLHHPTTISPRYQAMVHCGSIRQTATILSMDKDCLRTGDKATV
+HFRFIKTPEYLHIDQRLVFREGRTKAVGTITKLLQTTNNSPMNSKPQQIKMQSTKKGPLP
+KREEGGPSGGPTVGGPPPGDEACSLGATQLAASSSLQPQPKPSSGGRRRGGQRHKVKSQG
+ACMTPASGC
+>sp|Q0VCM6|LAT4_BOVIN Large neutral amino acids transporter small subunit 4 OS=Bos taurus OX=9913 GN=SLC43A2 PE=2 SV=1
+MAPTLATAHRRRWWMACTAVVENLLFSAVLLGWGSLLIMLKSEGFYSYLCTEPENVTNVT
+VGATAEPEHEEVSRMNGWLSCKAQDEMLNLAFTVGSFLLSAITLPLGIVMDKYGPRKLRL
+LGSACFAVSCLLIAYGASNPNSLSVLIFIALALNGFGGMCMTFTSLTLPNMFGDLRSTFI
+ALMIGSYASSAVTFPGVKVIYDFGASFIVILVVWAGCSGLVFLNCFFNWPLEPFPGPEDM
+DYTVKIKFSWLGFDHKITGKQFYKQVTTVGRRLSVGSSMRSAKEQAALQEGHKLCLSTVD
+LEVKCQPDATAAPSFMHSVFSPILLLSLVTMCVTQLRLIFYMGAMNNILQFLVSGDQAVV
+SLYTSIFGVLQLLCLLTAPVIGYIMDWRLKECEDASEEPEEKDANPGEKKKKRDRQIQKI
+TNATRAFAFTNLLLVGFGVTCLIPNLPLQILSFILHTIVRGFIHSAVGGLYAAVYPSTQF
+GSLTGLQSLISALFALLQQPLFLAMMGPLQGDPLWVNVGLLVVSMLGFCLPLYLICYRRQ
+LERQLERKREDDKLFLKLNGSSNQEAFV
+>sp|A2VDN0|ITM2C_BOVIN Integral membrane protein 2C OS=Bos taurus OX=9913 GN=ITM2C PE=2 SV=1
+MVKISFQPAVAGIKGDKADKASASASASAPAPTPAAEILLTPAREERPPYQRYKKGGSVG
+GVCYLSMGMVVLLMGLVFASVYIYRYFFLAQLARDNFFHCGVLYEDSLSSQAHTRMELEE
+DVKIYLEENYERINVPVPQFGGGDPADIIHDFQRGLTAYHDISLDKCYVIELNTTIVLPP
+RNFWELLMNVKRGTYLPQTYIIQEEMVVTEHVSDKEALGSFIYHLCSGKDTYRLRRRATR
+RRINKREAKNCNAIRHFENTFVVETLICGVV
+>sp|E1BNG3|ASCC3_BOVIN Activating signal cointegrator 1 complex subunit 3 OS=Bos taurus OX=9913 GN=ascc3 PE=3 SV=1
+MALPRLTGALRSFSNVTKQDNYNEEVADLQMKRSKLHEQIVGLDLTWMKIVKFLNEKLEK
+SEMQRVNEDLKAILQAAKQIVGTDNGKEAIESAAAFLFKTFHLKDCVGHQETKAIKQMFG
+PFPSSSATAACDATNRITSHFCKDSLTALVQMTTDENGDRVLFGKNLAFSFDMHDLDHFD
+ELPINGESQKTISLDYKKFLTDHLQDHSTLNRKPAEKTNDSFLWCEVEKYLNATLNEMAE
+ATRIEDLCCTLYDMLASVKSGDELQDELFELLGPDGLELIEKLLQNRVTIVDRFLNSSND
+HKLQALQDNCKKILGENAKPNYGCQVTIQSEQEKQLMKQYRREEKRIARREKKAGEDGEA
+TEGLLCFDPKELRIHREQALMNARNVPILSRQRDTDVEKIRYPHVYDSQAEAMRTSAFIA
+GAKMILPEGIQRENNKIYEEVKIPYTEPMPIGFEEKPVYIQDLDEIGQLAFKGMRRLNRI
+QSIVFETAYNTNENMLICAPTGAGKTNIAMLTVLHEIRQHFQQGVIKKNEFKIVYVAPMK
+ALAAEMTNYFSKRLEPLGIVVKELTGDMQLSKNEILRTQMLVTTPEKWDVVTRKSVGDVA
+LSQIVKLLILDEVHLLHEDRGPVLESIVARTLRQVESTQSMIRILGLSATLPNYLDVATF
+LHVNPCIGLFFFDGRFRPVPLGQTFLGIKSANKVQQLNNMDEVCYESVLKQVKAGHQVMV
+FVHARNATVRTAMSLIERAKNNGQICYFLPTQGPEYGHAEKQVQKSRNRQVRELFPDGFS
+IHHAGMLRQDRNLVESLFSNGHIKVLVCTATLAWGVNLPAHAVIIKGTQIYAAKRGSFVD
+LGILDVMQIFGRAGRPQFDKFGEGIIITTHDKLSHYLSLLTQQNPIESQFLESLADNLNA
+EIALGTVTNVEEAVKWISYTYLYVRMRANPLVYGISHKAYQIDPTLAKHREQLVIEVGRK
+LDKARMIRFEERTGYFSSTDLGRTASHYYIKYNTIETFNELFDAHKTESDIFAIVSKAEE
+FDQIKVREEEIEELDTLLSNFCELSAPGGVENSYGKINILLQTYISRGEVDSFSLISDSA
+YVAQNAARIVRALFEIALRKRWPAMTYRLLNLSKVIDKRLWGWTSPLRQFSVLPPHILTR
+LEEKNLTVDKLKDMRKDEIGHILHHVNIGLKVKQCVHQIPSVTMEASIQPITRTVLRVTL
+SISPDFSWNDQVHGTVGEPWWIWVEDPTNDHIYHSEYFLVLKKQVISKEAQLLVFTIPIF
+EPLPSQYYIRAVSDRWLGAEAVCIINFQHLILPERHPPHTELLDLQPLPVTALGCEAYEA
+LYNFSHFNPVQTQIFHTLYHTDCNVLLGAPTGSGKTVAAELAIFRVFNKYPTSKAVYIAP
+LKALVRERMDDWKVRIEEKLGKKVIELTGDVTPDMKSIAKADLIVTTPEKWDGVSRSWQN
+RNYVKQVTILIIDEIHLLGEERGPVLEVIVSRTNFISSHTEKPVRIVGLSTALANARDLA
+DWLNIRQMGLFNFRPSVRPVPLEVHIQGFPGQHYCPRMASMNKPTFQAIRSHSPAKPVLI
+FVSSRRQTRLTALELIAFLATEEDPKQWLNMDEREMENIIGTIRDSNLKLTLAFGIGMHH
+AGLHERDRKTVEELFVNCKIQVLIATSTLAWGVNFPAHLVIIKGTEYYDGKTRRYVDFPI
+TDVLQMMGRAGRPQFDDQGKAVILVHDIKKDFYKKFLYEPFPVESSLLGVLSDHLNAEIA
+GGTITSKQDAMDYITWTYFFRRLIMNPSYYNLSDVSHDSVNKFLSNLVEKSLVELEHSYC
+IEIGEDNRSIEPLTYGRIASYYYLKHQTVKMFKERLKPECGTEELLSILSDAEEYTDLPV
+RHNEDHMNSELAKCLPLESNPHSFDSPHTKAHLLLQAHLSRTMLPCPDYDTDTKTVLDQA
+LRVCQAMLDVAAHQGWLVTVLNITSLVQMVIQGRWLKDSSLLTIPHIENHHLHIFRKWSP
+GMKGPHAGYHGSIECLPELIHACAGKDHVFSSMIEKELPAPKMKQAWNFLSHLPVIDVGL
+SVKGWWDDAAEGHDEISITTVASDKHSDNRWVRLHADQEYVLQVSLQRVSLGFHKGKQDS
+HAVTPRFPKSKDEGWFLILGEVDKRELIALKRVGYVRSHHMVSISFYTPEVPGRYIYTLY
+FMSDCYLGLDQQYDIHLHVTPASISAQADEISDALTDLKVK
+>sp|Q95JC7|AAAT_BOVIN Neutral amino acid transporter B(0) OS=Bos taurus OX=9913 GN=SLC1A5 PE=2 SV=1
+MVADPPKGDPKGYAAAEPTANGVSMLVPIEDVGSLKGGRCGSGDQVRRCLRANLLVLLTV
+VAVVAGVALGLGVSGAGGAFALGPARLEAFSFPGELLLRLLKMIILPLVVCSLIGGAASL
+DPSALGRLGAWALLFFLVTTLLASALGVGLALALQPGAAFAAINTSVGAPVEEAPSKEVL
+DSFLDLVRNIFPSNLVSAAFRSYTTSYKERLFNGTLVKVPTGGEVEGMNILGLVVFAIIF
+GVALRKLGPEGELLIRFFNSFNDATMVLVSWIMWYAPVGILFLVAGKIVEMENVGLLFAS
+LGKYILCCLLGHAIHGLLTLPLIYFLFARKNPYRFLWGIMTPLATAFGTSSSSATLPLMM
+KCVEEKNGVARHISRFILPIGATVNMDGAALFQCVAAVFIAQLNHRSLDFVKIITILVTA
+TASSVGAAGIPSGGVLTLAIILEAVNLPVHDISLILAVDWLVDRSCTVLNVEGDAFGAGL
+LQSYLDRTENCNSVPELIQVKSEMPLAALPVPGEEGNPLLKGCPGPAGDADTCEKESVM
+>sp|O77797|AKAP3_BOVIN A-kinase anchor protein 3 OS=Bos taurus OX=9913 GN=AKAP3 PE=2 SV=2
+MSDRVDWLQSQNGVCKVDVYSPGDSQPQDWKMSDESLSIFKEASHDPIRVLSWLRRDLEK
+STAGFQDIRFKPGESSLGREMVSSGDPRKGFCVDYYNTTSRGSPGRLHFEMSHRENPHQG
+PTCNGSSVDEVSFYANRLTNLVIAMARKEINEKIDGSENRCVHQSVYMGDEPPPNKSLSK
+VASELVNETVTACSKNTPSDKAPGSGDRASGTLQSPPNLKYKSTLKIKESNKGGRGPDDR
+PSSKKSFFYKEVFESRNAGDAKEGGRTLPAERKMFRGYERPDDFTASISQGIMTYANSVV
+SDMMVSIMKTLKIQVKDTTIATIVLKKVLIKHAKEVVSDLIDSFMKNLHNVTGTLMTDTD
+FVSAVKRSFFSHGSQKATDIMDAMLGKLYSVIFAKKPPETVRKTKDKSESYSLVSMKGMG
+DPKHRNVNFASMKSEGKVRERVCTPTPKPEKSCVETLGEHIIKEGLTLWHNSQQKEGISA
+CLQGSPFVTPKRQYKPVPDFPLGFPFDPCNFSLPMQCPEKPENFMCDSDSWAKDLLVSAL
+LLIQYHLAQGGNMDAQSFLEAAGTSNLYPTKSPAVSHESSLRSPQVGADPEEVEKKDLMS
+VFFNLIRNLLSETIFKSDHSCDPKATKEDNSPQCERPVTPSPAKLNECDETGGAFAGLTK
+MVANKLDGHMNGQMVDHLMDSVMKLCLIIAKSCDSPLAELGDDKSGDASRPTSAFPESLY
+ECLSTKGTGTAETLLQNAYQAIHNELRSLSAQPPEGCTAPKVIVSNHNLTDTVQNKQLQA
+VLQWVAASELNVPILYFAGDDEGIQEKLLQLSAAAVEKGRSVGEVLQSVLRYEKERQLDE
+AVGNVTRLQLLDWLMVNL
+>sp|P16116|ALDR_BOVIN Aldo-keto reductase family 1 member B1 OS=Bos taurus OX=9913 GN=AKR1B1 PE=1 SV=2
+AHNIVLYTGAKMPILGLGTWKSPPGKVTEAVKVAIDLGYRHIDCAHVYQNENEVGLALQA
+KLQEKVVKREDLFIVSKLWCTYHDKDLVKGACQKTLSDLKLDYLDLYLIHWPTGFKPGKD
+FFPLDEDGNVIPSEKDFVDTWTAMEELVDEGLVKAIGVSNFNHLQVEKILNKPGLKYKPA
+VNQIECHPYLTQEKLIQYCNSKGIVVTAYSPLGSPDRPWAKPEDPSILEDPRIKAIADKY
+NKTTAQVLIRFPIQRNLIVIPKSVTPERIAENFQVFDFELDKEDMNTLLSYNRDWRACAL
+VSCASHRDYPFHEEF
+>sp|Q5E9K7|CCNE2_BOVIN G1/S-specific cyclin-E2 OS=Bos taurus OX=9913 GN=CCNE2 PE=2 SV=1
+MSRRSSRLQAKQQPQASQTDSPQEAQIIQAKKRKTAQDVKKRKEEVTKKHQYEIRNCWPP
+VLSGGISPCIIIETPHKEIGTSDFSRFTNYRFKNLFINPSPLPDLSWGCSQDVWLNMLKK
+ETRYVHDKHFEVLHSELEPQMRSILLDWLLEVCEVYTLHRETFYLAQDFFDRFMLTQKDI
+NKNMLQLIGITSLFIASKLEEIYAPKLQEFAYVTDGACSEEDILRMELAILKALKWELCP
+VTVISWLNLFLQVDALKDAPKVLLPQYSQEKFIQIAQLLDLCILAIDSLEFQYRILAAAA
+LCHFTSIEVVKKASGLEWDNISECVDWMVPFVSVVKSTSPAKLKIFKKISMEDRHNIQTH
+TNYLAMLDEVNYVNTFRKEGQLSPVCNGGIMTPPKSTEKPPGKH
+>sp|Q2TBR7|CENPS_BOVIN Centromere protein S OS=Bos taurus OX=9913 GN=CENPS PE=2 SV=1
+MEEEEWSEEQQRFSYLQRLKAAVHYTVGCLCEEVASDKDMQFSKQTIAAISEVTFGQCEN
+FAKDLEMFARHAKRSTINTEDVKLLARRSHSLLKYITEKNEDIAQLNLEKKAKKKKKLED
+ENRNSVESAEAGVEESEN
+>sp|P30122|CEL_BOVIN Bile salt-activated lipase (Fragment) OS=Bos taurus OX=9913 GN=CEL PE=1 SV=2
+LGASRLGPSPGCLAVASAAKLGSVYTEGGFVEGVNKKLSLFGDSIDIFKGIPFAAAPKAL
+EKPERHPGWQGTLKAKSFKKRCLQATLTQDSTYGNEDCLYLNIWVPQGRKEVSHDLPVMI
+WIYGGAFLMGASQGANFLSNYLYDGEEIATRGNVIVVTFNYRVGPLGFLSTGDSNLPGNY
+GLWDQHMAIAWVKRNIEAFGGDPDNITLFGESAGGASVSLQTLSPYNKGLIKRAISQSGV
+GLCPWAIQQDPLFWAKRIAEKVGCPVDDTSKMAGCLKITDPRALTLAYKLPLGSTEYPKL
+HYLSFVPVIDGDFIPDDPVNLYANAADVDYIAGTNDMDGHLFVGMDVPAINSNKQDVTEE
+DFYKLVSGLTVTKGLRGANATYEVYTEPWAQDSSQETRKKTMVDLETDILFLIPTKIAVA
+QHKSHAKSANTYTYLFSQPSRMPIYPKWMGADHADDLQYVFGKPFATPLGYRAQDRTVSK
+AMIAYWTNFARTGDPNTGHSTVPANWDPYTLEDDNYLEINKQMDSNSMKLHLRTNYLQFW
+TQTYQALPTVTSAGASLLPPEDNSQASPVPPADNSGAPTEPSAGDSEVAQMPVVIGF
+>sp|P02715|ACHE_BOVIN Acetylcholine receptor subunit epsilon OS=Bos taurus OX=9913 GN=CHRNE PE=2 SV=1
+MAGALLCALLLLQLLGRGEGKNEELRLYHYLFDTYDPGRRPVQEPEDTVTISLKVTLTNL
+ISLNEKEETLTTSVWIGIDWQDYRLNYSKGDFGGVETLRVPSELVWLPEIVLENNIDGQF
+GVAYEANVLVSEGGYLSWLPPAIYRSTCAVEVTYFPFDWQNCSLVFRSQTYNAEEVEFVF
+AVDDEGKTISKIDIDTEAYTENGEWAIDFCPGVIRRHDGDSAGGPGETDVIYSLIIRRKP
+LFYVINIIVPCVLISGLVLLAYFLPAQAGGQKCTVSINVLLAQTVFLFLIAQKTPETSLS
+VPLLGRYLIFVMVVATLIVMNCVIVLNVSLRTPTTHAMSPRLRYVLLELLPQLLGSGAPP
+EIPRAASPPRRASSLGLLLRAEELILKKPRSELVFEQQRHRHGTWTATLCQNLGAAAPEI
+RCCVDAVNFVASSTRDQEATGEEVSDWVRMGKALDSICFWAALVLFLVGSSLIFLGAYFN
+RVPQLPYPPCM
+>sp|P55206|ANFC_BOVIN C-type natriuretic peptide OS=Bos taurus OX=9913 GN=NPPC PE=2 SV=1
+MHLSQLLACALLLALLSLRPSEAKPGAPPKVPRTPSGEEVAEPQAAGGGQKKGDKTPGGG
+GANLKDDRSRLLRDLRVDTKSRAAWTRLLHEHPNARKYKGGNKKGLSKGCFGLKLDRIGS
+MSGLGC
+>sp|Q2YDN4|CC105_BOVIN Coiled-coil domain-containing protein 105 OS=Bos taurus OX=9913 GN=CCDC105 PE=2 SV=1
+MPVLIPPVEHSQDTRVGHPAWREATRALAEEAHQLTDRCGQEAVTMWQPNESVRDPHVAH
+HLCRAAYILPWRFRVEMLKGGSTMEKPPPGEGVTLWKSKMKPPAWHARLPLPMHRDARAL
+QTAEVVQAHARGARLTAARLGRAQHQINGQLRLLQRQREATDRRLSEVRKALLINQQSVK
+LRGYRPKSETISDKADSMLTWEKEELKSMKRKMEADMEKSEALLKTLASCRDALVFCCKE
+RLQAVELMNQPLDKVLEQAGRHSWVNISRVPTPRTQGLKTPPPDPVGTYTPECAKALYEA
+KRLLMESKDTLLDMAKNEEDIREQQQQISDRVCASLAQKMRETLELKDRLNMTLGLMRGT
+IHRCTKFNQEMYITRGLIKGPLSKSHLETREKLDRPLVRMYQRHVGTQLPEAARLAQGTD
+KLQRHISHVEKNLDELLSMRKKLTWSFNCKKIGHNVDYSVVRLRLRQRHPQVCYEQAQRL
+VNDWDPRTPPRVKSNTAITK
+>sp|P19034|APOC2_BOVIN Apolipoprotein C-II OS=Bos taurus OX=9913 GN=APOC2 PE=1 SV=2
+MGTRYFLVGFLILLVLGFEVQGAHVPQQDEASSPALLTQVQESLLGYWDTAKAAAQKLYK
+KTYLPAVDEKIRDIYSKSTAAVTTYAGIITDQVFSVLSGKD
+>sp|Q9TT92|ATS5_BOVIN A disintegrin and metalloproteinase with thrombospondin motifs 5 (Fragment) OS=Bos taurus OX=9913 GN=ADAMTS5 PE=2 SV=1
+HAAFTVAHEIGHLLGLSHDDSKFCEENFGSTEDKRLMSSILTSIDASKPWSKCTSATITE
+FLDDGHGNCLLDLPRKQIPGPEELPGQTYDASQQCNLTFGPEYSVCPGMDVCARLWCAVV
+RQGQMVCLTKKLPAVEGTPCGKGRICLQGKCVDKTKKKYYSTSSHGNWGSWGSWGQCSRS
+CGGGVQFAYRHCNNPAPKNNGRYCTGK
+>sp|Q3T013|BNI3L_BOVIN BCL2/adenovirus E1B 19 kDa protein-interacting protein 3-like OS=Bos taurus OX=9913 GN=BNIP3L PE=2 SV=1
+MSSHLVEQPPPPHNNNNNCEEGEQSLPPPAGLNSSWVELPMNSSNGNDNGNGKNGGLEHV
+PSSSSIHNGDMEKILLDAQHESGQSSSRGSSHCDSPSPQEDGQIMFDVEMHTSKDHSSQS
+EEEVAEGEKEVDALKKSVDWVSDWSSRPENIPPKEFHFRHPKRSVSLSMRKSGAMKKGGI
+FSAEFLKVFIPSLFLSHVLALGLGIYIGKRLSTPSASTY
+>sp|Q3MHM6|CTNA1_BOVIN Catenin alpha-1 OS=Bos taurus OX=9913 GN=CTNNA1 PE=2 SV=1
+MTAVHTGNINFKWDPKSLEIRTLAVERLLEPLVTQVTTLVNTNSKGPSNKKRGRSKKAHV
+LAASVEQATENFLEKGDKIAKESQFLKEELVAAVEDVRKQCDLMKSAAGEFADDPCSSVK
+RGNMVRAARALLSAVTRLLILADMADVYKLLVQLKLVEDGILKLRNAGTEQDLGIQYKAL
+KPEVDKLNIMAAKRQQELKDVGHRDQMAAARGILQKNVPILYTASQACLQHPDVAAYKAN
+RDLIYKQLQQAVTGISNAAQATASDDASQHPGAGGGELAYALNNFDKQIIVDPLSFSEER
+FRPSLEERLESIISGAALMADSSCTRDDRRERIVAECNAVRQALQDLLSEYMGNAGRKER
+SDALNSAIDKMTKKTRDLRRQLRKAVMDHVSDSFLETNVPLLVLVEAAKNGNEKEVKEYA
+QVFREHANKLIEVANLACSISNNEEGVKLVRMSASQLEALCPQVINAALALAAKPQSKLA
+QENMDLFKEQWEKQVRVLTDAVDDITSIDDFLAVSENHILEDVNKCVIALQEKDVDGLDR
+TAGAIRGRAARVIHVVTSEMDNYEPGVYTEKVLEATKLLSNTVMPRFTEQVEAAVEALSS
+DPAQPMDENEFIDASRLVYDGIRDIRKAVLMIRTPEELDDSDFETEDFDVRSRTSVQTED
+DQLIAGQSARAIMAQLPQEQKAKIAEQVASFQEEKSKLDAEVSKWDDSGNDIIVLAKQMC
+MIMMEMTDFTRGKGPLKNTSDVISAAKKIAEAGSRMDKLGRTIADHCPDSACKQDLLAYL
+QRIALYCHQLNICSKVKAEVQNLGGELVVSGVDSAMSLIQAAKNLMNAVVQTVKASYVAS
+TKYQKSQGMASLNLPAVSWKMKAPEKKPLVKREKQDETQTKIKRASQKKHVNPVQALSEF
+KAMDSI
+>sp|Q6B857|CFA20_BOVIN Cilia- and flagella-associated protein 20 OS=Bos taurus OX=9913 GN=CFAP20 PE=2 SV=1
+MFKNTFQSGFLSILYSIGSKPLQIWDKKVRNGHIKRITDNDIQSLVLEIEGTNVSTTYIT
+CPADPKKTLGIKLPFLVMIIKNLKKYFTFEVQVLDDKNVRRRFRASNYQSTTRVKPFICT
+MPMRLDDGWNQIQFNLSDFTRRAYGTNYIETLRVQIHANCRIRRVYFSDRLYSEDELPAE
+FKLYLPVQNKAKQ
+>sp|Q32LI3|CL071_BOVIN Uncharacterized protein C12orf71 homolog OS=Bos taurus OX=9913 PE=2 SV=2
+MAQELAAPLSCGQPPGQNITETTTDPWDEGDLRFEPSNSMVHSFSSSSESNLSLSVGYFP
+CEDTLSCEDTLSCEDSSSEGPSMHFVPPIQGSWRTENTGRLLARRDQIQDDPEQFCKLSI
+TLAWDVDMASNNSDSTTNCDLSGDNKDKHPKEKTQLTLSKLDGLVQKLEKFLENQKDDKD
+DDSVFPESAQEEDSQLPSSSLPGMAQVSHQEYGSCQDLAKFNPLENEDVNQFPQIHPRLQ
+NQELAKIISKATGSQGTDIAEISSVLPGPPEKEDTHSSTGALSCLNFRWVFRWLRPQVRS
+SLLGREDPREANERPRELARKKRFSYRSKRIQPQESFELGHPIPPDF
+>sp|Q0VCT1|AF1Q_BOVIN Protein AF1q OS=Bos taurus OX=9913 GN=MLLT11 PE=3 SV=1
+MRDPVSSQYSSFLFWRMPIPELDLSELEGLGLSDTSTYKIQDSSAAKMTGKAPGAEQEKN
+PEGDALLEYSTFNFWRAPIASIHSFELDLL
+>sp|Q2KJ18|C2C4A_BOVIN C2 calcium-dependent domain-containing protein 4A OS=Bos taurus OX=9913 GN=C2CD4A PE=2 SV=1
+MRLLGKLRASAASSAPLEPAFSNVLTPNRIPEFFIPPRLPTPYAPESSPPAAALPRRCAA
+EPDLWLRGADDGAGRTDWDPRSQAALSLPHLPRALTAYGFCALLESPHTRRKESLFLGSP
+SSAALPPAPRPRAHTYGGGGGDAPLAPGARSPIATPAARGGPSPSLDTLAPPPRCRRLLR
+APEGLLRRALRAGRSRGLARARSVSSGDGEDDDEDESRASPGSPTQAPVTSLSPHRDPRP
+ERLEAEGTVTLGRAGGALRLAAEYNRASGRLRVRLLRAEGPAGGAAEPRAPVGCRISFVL
+KPRGAVVRRSRRAVLEQDLCLDGLSEDEVRRLAVRVKAENRGRGLERGRLLGQGELLLGP
+LLLL
+>sp|P56560|AOFB_BOVIN Amine oxidase [flavin-containing] B OS=Bos taurus OX=9913 GN=MAOB PE=1 SV=4
+MSSKCDVVVVGGGISGMAAAKLLHDSGLNVIVLEARDRVGGRTYTLRNQKVKYVDLGGSY
+VGPTQNHILRLSKELGLETYKVNEVERLIHHTKGKSYPFRGSFPSVWNPITYLDHNNLWR
+TMDDMGREIPSDAPWKAPLAEQWDLMTMKELLDKICWTESSKQLAILFVNLCVTAEIHEV
+SALWFLWYVKQCGGTTRIFSTSNGGQERKFVGGSGQVSERIMDLLGDRVKLERPVIHIDQ
+TGENVLVETLNHELYEAKYVISAVPPVLGMKIHFNPPLPMMRNQLITRVPLGSVIKSIVY
+YKEPFWRNMDYCGSMIIEGEEAPVAYALDDTKPDGSYPAIIGFILAHKARKLARLTKEER
+LKKLCDLYAKVLGSQEALHPVHYEEKNWCEEQYSGGCYTSYFPPGIMTQYGRVLRQPVGR
+IYFAGTETATHWSGYMEGAVEAGERAAREILHAMGKIPEDEIWLPEPESVDVPAKPITTT
+FLQRHLPSVPGLLKLIGLTTIFSATALGFLAHKRGLLVRI
+>sp|P25104|AGTR1_BOVIN Type-1 angiotensin II receptor OS=Bos taurus OX=9913 GN=AGTR1 PE=2 SV=1
+MILNSSTEDGIKRIQDDCPKAGRHNYIFIMIPTLYSIIFVVGIFGNSLVVIVIYFYMKLK
+TVASVFLLNLALADLCFLLTLPLWAVYTAMEYRWPFGNYLCKIASASVSFNLYASVFLLT
+CLSIDRYLAIVHPMKSRLRRTMLVAKVTCIIIWLLAGLASLPTIIHRNVFFIENTNITVC
+AFHYESQNSTLPVGLGLTKNILGFLFPFLIILTSYTLIWKTLKKAYEIQKNKPRKDDIFK
+IILAIVLFFFFSWVPHQIFTFMDVLIQLGLIRDCKIEDIVDTAMPITICLAYFNNCLNPL
+FYGFLGKKFKKYFLQLLKYIPPKAKSHSNLSTKMSTLSYRPSENGNSSTKKPAPCIEVE
+>sp|P49410|EFTU_BOVIN Elongation factor Tu, mitochondrial OS=Bos taurus OX=9913 GN=TUFM PE=1 SV=1
+MAAATLLRATPLFSGLGAGPAPLLQGLLRPLKAQALPVLCRGLAVEAKKTYVRDKPHVNV
+GTIGHVDHGKTTLTAAITKILAEGGGAKFKKYEEIDNAPEERARGITINAAHVEYSTAAR
+HYAHTDCPGHADYVKNMITGTAPLDGCILVVAANDGPMPQTREHLLLARQIGVEHVVVYV
+NKADAVQDSEMVELVELEIRELLTEFGYKGEETPIIVGSALCALEQRDPELGLKSVQKLL
+DAVDTYIPVPTRDLEKPFLLPVESVYSIPGRGTVVTGTLERGILKKGDECEFLGHSKNIR
+TVVTGIEMFHKSLDRAEAGDNLGALVRGLKREDLRRGLVMAKPGSIQPHQKVEAQVYILT
+KEEGGRHKPFVSHFMPVMFSLTWDMACRIILPPGKELAMPGEDLKLTLILRQPMILEKGQ
+RFTLRDGNRTIGTGLVTDTPAMTEEDKNIKWS
+>sp|Q5EA55|GOGA7_BOVIN Golgin subfamily A member 7 OS=Bos taurus OX=9913 GN=GOLGA7 PE=2 SV=1
+MRPQQAPVSGKVFIQRDYSSGTRCQFQTKFPAELENRIDRQQFEETVRTLNNLYAEAEKL
+GGQSYLEGCLACLTAYTIFLCMETHYEKVLKKVSKYIQEQNEKIYAPQGLLLTDPIERGL
+RVIEITIYEDRGMSSGR
+>sp|Q2HJD0|FAF2_BOVIN FAS-associated factor 2 OS=Bos taurus OX=9913 GN=FAF2 PE=2 SV=1
+MAAPEERDLTQEQTEKLLQFQDLTGIESMDQCRHTLEQHNWNIEAAVQDRLNEQEGVPSV
+FNPPPSRPLQVNTADHRIYSYVVSRPQPRGLLGWGYYLIMLPFRFTYYTILDIFRFALRF
+IRPDPRNRVTDPVGDIVSFMHSFEEKYGRAHPVFYLGTYSQALNDAKRELRFLLVYLHGD
+DHQDSDEFCRNTLCAPEVISLINTRMLFWACSTNKPEGYRVSQALRENTYPFLAMIMLKD
+RRMTVVGRLEGLIQPDDLINQLTFIMDANQTYLVSERLEREERNQTQVLRQQQDEAYLAS
+LRADQEKERKKREERERKRRKEEEVQQQKLAEERRRRNLQEEKERKLECLPPEPSPDDPE
+SVKIIFKLPNDSRVERRFHFSQSLTVIHDFLFSLKESPEKFQIEANFPRRVLPCLPSEEW
+PNPPTLQEAGLSHTEVLFVQDLTDE
+>sp|Q17QK6|FBX2_BOVIN F-box only protein 2 OS=Bos taurus OX=9913 GN=FBXO2 PE=2 SV=1
+MDGDGDPESVGQPEEASPEEQQEEACAEEANGGEERPEDDGEGEAAYLDELPEPLLLRVL
+AELPAAQLVQACRLVCLRWKELVDGAPLWLLKCQQEGLVPQDGPEDERDHWQQFYFLSKR
+RRNLLRNPCGEEDLEGWCDVEHGGDGWRVEELPGDCGVEFIHDESVKKYFASSFEWCRKA
+QIIDLQAEGYWEELLDTTQPAIVVKDWYSGRRDAGCLYELTVKLLSEHEDVLAEFNSGQV
+AVPADSDDGGWTEISHTFTDYGPGVRFIRFEHGGQDCVYWKGWFGARVTNSSVWVEP
+>sp|Q08DY0|ERIC5_BOVIN Glutamate-rich protein 5 OS=Bos taurus OX=9913 GN=ERICH5 PE=2 SV=1
+MGCSSSALNKAGDDNRLRSATEESESCFVQPKPRALGRESTLCGKVQKESLPPLDKLKIS
+AVSTANGVQSLPEQPLAKEAADPPGATEETQPLQGLKGSEPPQPGGKDGAPGAEGKEEDV
+EAVTEAPPLKGSAETEPLGAEAENQPLITAGERDSTGAVEGTEDPQAAGEMTPLGTAERV
+PLEAAREPGSQEAGGKGEQSQLPETVPKETESPEILEGSQPVETAEPPQLQETVGENEQS
+QPLETVPKENASLEVSDGSQSVGAEGKKQLQETLGENEQSQLRETILGEHGGPEVSDGSQ
+SVGAEEEKRLQEMLGKDEQPQLRETIPREHGGPEMSDASQSVETAVKADSLHKAPEGPGN
+MEKIQPERTVESMEHPAGILETGAKVEMARKIHTNEEDQHIEGETGETVETEMESEKVSE
+GAETKEEETGEAMDLSAATQIGMDGRVKGHSML
+>sp|Q3ZBR9|ERMIN_BOVIN Ermin OS=Bos taurus OX=9913 GN=ERMN PE=2 SV=1
+MTDVPVTFSQVECNGDTPPENGQQKITKITEGASDVDGTPPHCRVEPRLDVPTERNQEKS
+EKLQEDILLSSSMDEKILKEKPEEKLYVVHKALTDLSLQEAAVDKMALREGHQWEKIPLS
+SSNQEISRQKERISEQPLEEREDEELENTALQATEIEWLGFQKSSQVDLSHSKQDEEQEV
+WDEEINNDDDDDCKDDEDEVRVIEFKKKNEEDTQLKEEGDASEDSPLSSPSSQPVTPDEQ
+PTFGKKGDFSRNAYSRYNTISYRKIRKGNTKQRIDEFESMIN
+>sp|Q3ZBK7|GLE1_BOVIN Nucleoporin GLE1 OS=Bos taurus OX=9913 GN=GLE1 PE=2 SV=1
+MPSEGRCWETLQALRSCDKGRLCYDRDWLLRGEDVLQECMSLPKLSSYSGWVVDHVLPHI
+QKNAPPSETSASSVSTSALDQPSSVPRSPLRNPAYSPVSSATSNGTKDKYESPHTEPVVL
+QSPRGMKVEGCIRMYELVHRMKGAEGLRQWQEEQEKKVRALSEMASEQLKRFDERKELKH
+HKEFQDLREVMEKSSREALGQQEKLKAEHRHRAKILNLKLREAEQQRLKQEEQERLRKEE
+GQARLRGLYALQEEVLHLSQQLDASDQHRDLLQVDLSAFRTRGNQLCSLVSGIIRATSEN
+GFPTVEDQAAAEGALQEMRDLLSNLHQEIRRACEEKRRRDEEEARIKQQESQMQQRPEAH
+KELPVPNQGPGAKPNEDLQMKVQDSTMQWYQQLQEASSRCVLAFEGLSNSKDSQAKKIKM
+DLQKAATIPVSQISTIAGSKLKEIFDKIHSLLSGKPVQSGGRSVSVTLNPQGLDFVQYKL
+AEKFVKQGEEEVASHHEAAFPIAVVASGIWELHPRVGALILAHLHKKCPYSVPFYPAFKE
+GMALEDYQRMLGYQVKDSKVEQQDNFLKRMSGMIRLYAAIIQLRWPYGNRQETHPHGLNH
+GWRWLAQILNMEPLSDVTATLLFDFLEVCGNALMKQYQVQFWKMILLIKEDYFPRIEAIT
+SSGQMGSFIRLKQFLEKCLQHREVPVPKGFLTASFWRS
+>sp|Q5I2E5|FCN2_BOVIN Ficolin-2 OS=Bos taurus OX=9913 GN=FCN2 PE=2 SV=1
+MELGGAAGALGPSGPLLVCLCFGTLAAQAADTCPEVKLVGLEGSDKLSILRGCPGLPGAP
+GLKGETGAAGLKGERGLPGVPGKAGPAGPKGSTGAQGEKGARGEKGESGQLHSCATGPRT
+CTELLTRGHFLSGWHTIYLPDCRPLTVLCDMDTDGGGWTVFQRRKDGSVDFFRTWTAYKQ
+GFGSQLGEFWLGNDNIHALTAQGTSELRVDLMDFEGNHRFAKYQSFRMADEAEKYKLVLG
+AFVEGNAGDSLTDHGNHFFSTKDRDNDESPSNCAAQFQGAWWYHSCHSSNLNGRYLRGPH
+TSYANGINWKSWGRYNYSYKVSEMKLRLT
+>sp|Q28060|DSC3_BOVIN Desmocollin-3 OS=Bos taurus OX=9913 GN=DSC3 PE=2 SV=1
+MAAPGSGAPCAELCRQLLLTLVVFSFACEACKKEIFNIPSKLEADKMIGRVNLKECLGSV
+DRIQSSDPDFRVLEDGSVYTAHAVVLSDEKRSFTIWLSDTEKRTQKEILVLLEYQKKVLK
+KRHTKETVLRRSKRRWAPIPCSMQENSLGPFPLFLQQVQSDAAQNYTIFYSISGRGVDKE
+PLNLFFIERDTGNLYCTQPVDREEYDVFDLIAYASTADGYSADFPLPLPIRVEDENDNHP
+IFTEAVYNFEVPESSRVGTTVGVVCATDRDEPDTMHTRLKYSILEQTPRSPGLFSVHPST
+GVITTVSHYLDREVADKYSLIMKVQDMDGQFFGLMSTATCIITVKDSNDNLPTFRQNAYE
+ASVEENTVNVEILRIPVEDKDLINTANWRANFTILKGNENGHFKITTDKATNEGVLSVVK
+PLDYEESHQVVLEIGVANEAPFTRDVALRMTTMNRAVVTVHVKDQDEGPECSPEVQYIRI
+KENSAVGSKISGYKAYDPETKSSSGLRYKILHDPKEWITVNEGSGSLETYKTLDREVITP
+KNDLYNITVLAIDQDGRSCTGTLAVSIEDVNDNPPEILQDYLVICKGNMDYVDISAIDHD
+SSINGAPFYFSLANTSPEINRLWTITRVNDTAARLAYQKNAQFQEYFIPVAVKDRAGLSA
+TKTLRVNLCDCTNPVQCRAARRSADVILGKWAILAILLGIALLFSILLTLVCGIVSARNK
+KAFPDDLAQQNLIISNTEAPGDDKVCSANGFMTQTVNNANQGFCGTMGSGVKNGGQESIE
+MVKGGQQTLESCRGAGHHHTLDSCRGGTIEVENSRYTYSEWQNFTQPRLGEKLHLCNQDE
+EHMPSQDYVLTYNYEGRGSPAGSVGCCSEKQEEDGLDFLNNLEAKFAALAKTCTKR
+>sp|Q0VCJ8|METL9_BOVIN Methyltransferase-like protein 9 OS=Bos taurus OX=9913 GN=METTL9 PE=2 SV=1
+MRLLAGWLCLSLASVWLARRMWTLRSPLTRSLYVNMTSGPGGPAAAAGGRKENHQWYVCN
+REKLCESLQAVFVQSYLDQGTQIFLNNSIEKSGWLFIQLYHSFVSSVFSLFMSRTSINGL
+LGRGSMFVFSPDQFQRLLKINPDWKTHRLLDLGAGDGEVTKIMSPHFEEIYATELSETMI
+WQLQKKKYRVLGINEWQKTGFQYDVISCLNLLDRCDQPLTLLKDIRSVLEPTRGRVILAL
+VLPFHPYVENVGGKWDKPSEILEIKGQNWEEQVNSLPEVFRKAGFVIEAFTRLPYLCEGD
+MYNDYYVLDDAVFVLKPV
+>sp|P60316|HOOK3_BOVIN Protein Hook homolog 3 (Fragment) OS=Bos taurus OX=9913 GN=HOOK3 PE=1 SV=1
+VELQNRLSDESQ
+>sp|Q5E9D3|MIC19_BOVIN MICOS complex subunit MIC19 OS=Bos taurus OX=9913 GN=CHCHD3 PE=2 SV=1
+MGGTASTRRVTFEADENENITVVKGIRLSENVIDRMKETSPSGPKSQRYSGTYGASVSDE
+ELKRRVAEELALEQAKKESENQKRLKQSKELDAEKAFANEQLTRAILRERISNEEERAKA
+KHLAKQLEEKDRVIKKQDAFYKEQLARLEERSSEFYKVTTEQYQKAAEEVEAKFKRYEYH
+PVCADLQAQILQCYRQNTQQTLSCSALASQYMRCVNQAKQSTLEKGG
+>sp|Q1ECT8|CSMT1_BOVIN Protein CCSMST1 OS=Bos taurus OX=9913 GN=CCSMST1 PE=2 SV=1
+MSGVLSAPAAGALRALRLVRWASRSPPPPPSGRARAQLAAEGDEEDDPHLPLRFSSSRAA
+PPRWTVKQSLGTGQQRPWWVVLPLSFSLMALVIWCFFRRETSADQWLRQMLLEEVPEPSD
+ASEKPGAPVAHGART
+>sp|Q2NL23|CC107_BOVIN Coiled-coil domain-containing protein 107 OS=Bos taurus OX=9913 GN=CCDC107 PE=2 SV=1
+MASVVSLAGTLGLLLVSALPEVLGDRRSPDRRAHPGDAGQVGPAAAEPRRQSPPSKNQRE
+RARSGALPLGALYTAAAVAFVLYKCLQQGKDEAAVLQEEADKKDSLQSEQHLAQLTQQLV
+QTEQHLNSLMAQLDPLFERVTTLAGAQQELLHMKLQTIHQLLQDSKPNKGVEVPEPEASI
+PFLEDFCIEEDEEEAGDNQAWEEPLNWNTGTRNLTPPREMQPTLRRRCRKSAAQGLSHSP
+HWKEGKTVDGLVKQSLFL
+>sp|Q9GJS9|GLRB_BOVIN Glycine receptor subunit beta OS=Bos taurus OX=9913 GN=GLRB PE=2 SV=1
+MKFLLAVAFFILISLCIEEAYSKEKSSKKGKGKKKQYLCPSQQSAEDLARVPANSTSNIL
+NRLLVSYDPRIRPNFKGIPVDVVVNIFINSFGSIQETTMDYRVNIFLRQKWNDPRLKLPS
+DFRGSDALTVDPTMYKCLWKPDLFFANEKSANFHDVTQENILLFIFRDGDVLVSMRLSIT
+LSCPLDLTLFPMDTQRCKMQLESFGYTTDDLRFIWQSGDPVQLEKIALPQFDIKKEDIEY
+GNCTKYYKGTGYYTCVEVIFTLRRQVGFYMMGVYAPTLLIVVLSWLSFWINPDASAARVP
+LGIFSVLSLASECTTLAAELPKVSYVKALDVWLIACLLFGFASLVEYAVVQVMLNNPKRV
+EAEKARIAKAEQAVGKGGNVAKKNTVNGASTPVHISTLQVGETRCKKVCTSKSDLRSNDF
+SIVGSLPRDFELSNYDCYGKPIEVNNGLGKSQAKNNKKPPPAKPVIPTAAKRIDLYARAL
+FPFCFLFFNVIYWSIYL
+>sp|Q2YDD1|FR1OP_BOVIN FGFR1 oncogene partner OS=Bos taurus OX=9913 GN=FGFR1OP PE=2 SV=1
+MAATAAAVVAEEDTELRYLLVQTLENSGVLNRIKAELRAAVFLALEEQEKVENKTPLVNE
+SLKKFLNTKDGRLVASLVAEFLQFFNLDFTLAVFQPETSTFQGLEGRENLARDLGIIEAE
+GTVGGPLLLEVIRRCQQKEKALTSGEGALDLSDVHSPPKSPEGKTGAHTPPSKIPRYKGQ
+GNKKTSGQQPGAKKASNDASHSDTSISSSEPKSRSGLHLLAHETKIGSLLSNNSLDVNAK
+AGPGPEEDDLEGDSFFDDPIPKPEAAYGWRSEPSKQAGSLASLSDAPPLKSGLSSLAGAP
+SLKESESKRGNTVLKDLKLVNDKIGSLGLGTGEEDDYVDDFNSTSHRSEKSELSIGEEIE
+EDLSVEMDDVNTSDKLDDLTQDLTVSQLSDVADYLEDVA
+>sp|Q5GJ77|GPAT1_BOVIN Glycerol-3-phosphate acyltransferase 1, mitochondrial OS=Bos taurus OX=9913 GN=GPAM PE=2 SV=1
+MDESALTLGTIDVSYLPNSSEYSIGRCKHATEEWGECGSRPTVFRSATLKWKESLMSRKR
+PFVGRCCYSCTPQSWDKFFNPSIPSLGLRNVIYINETHTRHRGWLARRLSYVLFIQERDV
+HKGMFATNVTENVLNSSRVQEAIAEVAGELNPDGSAQQQSKAVNKVKKKARKILQEMVAT
+VSPAMIRLTGWVLLKLFNSFFWNIQIHKGQLEMVKAATETNLPLIFLPVHRSHIDYLLLT
+FILFCHNIKAPYIASGNNLNIPIFSTLIHKLGGFFIRRRLDETPDGRKDILYRALLHGHI
+VELLRQQQFLEIFLEGTRSRSGKISCARAGLLSVVVDTLSTNTIPDILIIPGGISYDRII
+EGHYNGEQLGKPKKNESLWSIARGVIRMLRKNYGCVKTDFAQPFSLKEYLESQSQKPVSA
+PLSLEQALLPAILPSRPSGAADEGTDMSINESRNATDESRRRLIAHLAEHILFTASKSCA
+IMSTHIVACLLLYRHRQGIGLFTLVEDFFVMKEEVLARDFDLGFSGNSEDVVMHAIQFLG
+NCITITHTSKNDEFFITPSTTIPSVFELNFYSNGVLHVFIMEAIIACSLYAVLKKRGPGG
+PASPSLVSQEQLVHKAASLCYLLSNEGTISLPCQTFYQICHETVGRFIQYGILIVAEQDD
+QEDISPGLAEQQWDKKLPEPLSWRSDEEDEDSDFGEEQRDCYLKVSQSKEHQQFITFLQR
+LLGPLLEAYSSAAVFIHNFGGPVPEPEFLQKLHKYLITRTERRVAVYAESATYCLVKNAV
+KTFKDIGVFKETKQKRVSGLELSNTFLPQCNRQKLLEYILSLVVL
+>sp|P12763|FETUA_BOVIN Alpha-2-HS-glycoprotein OS=Bos taurus OX=9913 GN=AHSG PE=1 SV=2
+MKSFVLLFCLAQLWGCHSIPLDPVAGYKEPACDDPDTEQAALAAVDYINKHLPRGYKHTL
+NQIDSVKVWPRRPTGEVYDIEIDTLETTCHVLDPTPLANCSVRQQTQHAVEGDCDIHVLK
+QDGQFSVLFTKCDSSPDSAEDVRKLCPDCPLLAPLNDSRVVHAVEVALATFNAESNGSYL
+QLVEISRAQFVPLPVSVSVEFAVAATDCIAKEVVDPTKCNLLAEKQYGFCKGSVIQKALG
+GEDVRVTCTLFQTQPVIPQPQPDGAEAEAPSAVPDAAGPTPSAAGPPVASVVVGPSVVAV
+PLPLHRAHYDLRHTFSGVASVESSSGEAFHVGKTPIVGQPSIPGGPVRLCPGRIRYFKI
+>sp|A6QQ14|DPOE4_BOVIN DNA polymerase epsilon subunit 4 OS=Bos taurus OX=9913 GN=POLE4 PE=3 SV=1
+MAAAAPGSGAAREEEGTGGDAATPQPPAPTSAPGARLSRLPLARVKALVKADPDVTLAGQ
+EAIFILARAAELFVETIAKDAYCCAQQGKRKTLQRRDLDNAIEAVDEFAFLEGTLD
+>sp|P48617|EPO_BOVIN Erythropoietin OS=Bos taurus OX=9913 GN=EPO PE=2 SV=1
+MGARDCTPLLMLSFLLFPLGFPVLGAPARLICDSRVLERYILEAREAENATMGCAEGCSF
+NENITVPDTKVNFYAWKRMEVQQQALEVWQGLALLSEAILRGQALLANASQPCEALRLHV
+DKAVSGLRSLTSLLRALGAQKEAISLPDATPSAAPLRAFTVDALSKLFRIYSNFLRGKLT
+LYTGEACRRGDR
+>sp|A0MTF4|FGF5_BOVIN Fibroblast growth factor 5 OS=Bos taurus OX=9913 GN=FGF5 PE=2 SV=1
+MSLSFLLLLFLSHLILSAWAQGEKRLAPKGQPGPAATERNPGGASSRRSSSSTATSSSSP
+ASSSSAASRGGPGSSLEQSSFQWSPSGRRTGSLYCRVGIGFHLQIYPDGKVNGSHEANML
+SILEIFAVSQGIVGIRGVFSNKFLAMSKKGKLHASAKFTDDCKFRERFQENSYNTYASAI
+HRTEKTGREWYVALNKRGKAKRGCSPRVKPQHVSTHFLPRFKQLEQPELSFTVTVPEKKK
+PPNPVKPKVPLSAPRRSPNTVKYRLKFRFG
+>sp|Q32LE6|DMRTD_BOVIN Doublesex- and mab-3-related transcription factor C2 OS=Bos taurus OX=9913 GN=DMRTC2 PE=2 SV=1
+MDPSEMPAVHHCPSDSATGGETRAPQGMELIPRRAVRRSPTCARCRNHGVTAHLKGHKRL
+CLFQACECHKCVLILERRRVMAAQVALRRQQEAQLKRHLTQGLMRGAAPPRAPSRVKKGV
+TQPGVHSGKENIAPQPQIPHHVVPLALTSPGKENSRGPLLLSRPPEALPLPWTPMPPGPW
+APGHWLPPGLSMPTPVVCRLLCQEPAIPLHPFPGFDPGTTLRLPTHGPLPTCTGSHPILT
+APLSGESQGPSTLPRTCSTLILQPCGTPDPLLLQPQAPGPSRLTWTSASSEWQLQREAAE
+ALVGLKDSSQAPRLTPGPANPAWISLLHPCGPPAAAGGRGFQPVGPSLRPSPAPSVALHI
+GRLGSISLLS
+>sp|Q17QM6|EFHD1_BOVIN EF-hand domain-containing protein D1 OS=Bos taurus OX=9913 GN=EFHD1 PE=2 SV=1
+MASEELASKLQRRLQWEEGDSGLQPAPGAAPDPEPQPQPPAWAPTARADAELSAQLNRRL
+DINEGAARPRRCKVFHPYSEFPEFSRRLIKDLESMFKLYDAGRDGFIDLMELKLMMEKLG
+APQTHLGLKSMIKEVDEDFDGKLSFREFLLIFHKAAAGELQEDSGLMALAKLSEIDVALE
+GVKGAKDFFEAKVQALSCASKFEAELKAEQDERKREEEKRKVRQAAFRELKAAFSA
+>sp|P04985|ELN_BOVIN Elastin OS=Bos taurus OX=9913 GN=ELN PE=1 SV=1
+MRSLTAAARRPEVLLLLLCILQPSQPGGVPGAVPGGVPGGVFFPGAGLGGLGVGGLGPGV
+KPAKPGVGGLVGPGLGAEGSALPGAFPGGFFGAGGGAAGAAAAYKAAAKAGAAGLGVGGI
+GGVGGLGVSTGAVVPQLGAGVGAGVKPGKVPGVGLPGVYPGGVLPGAGARFPGIGVLPGV
+PTGAGVKPKAQVGAGAFAGIPGVGPFGGQQPGLPLGYPIKAPKLPAGYGLPYKTGKLPYG
+FGPGGVAGSAGKAGYPTGTGVGPQAAAAAAKAAAKLGAGGAGVLPGVGVGGPGIPGAPGA
+IPGIGGIAGVGAPDAAAAAAAAAKAAKFGAAGGLPGVGVPGVGVPGVGVPGVGVPGVGVP
+GVGVPGVGVPGVGVPGVGVPGVGVPGVGVPGALSPAATAKAAAKAAKFGARGAVGIGGIP
+TFGLGPGGFPGIGDAAAAPAAAAAKAAKIGAGGVGALGGVVPGAPGAIPGLPGVGGVPGV
+GIPAAAAAKAAAKAAQFGLGPGVGVAPGVGVVPGVGVVPGVGVAPGIGLGPGGVIGAGVP
+AAAKSAAKAAAKAQFRAAAGLPAGVPGLGVGAGVPGLGVGAGVPGLGVGAGVPGPGAVPG
+TLAAAKAAKFGPGGVGALGGVGDLGGAGIPGGVAGVVPAAAAAAKAAAKAAQFGLGGVGG
+LGVGGLGAVPGAVGLGGVSPAAAAKAAKFGAAGLGGVLGAGQPFPIGGGAGGLGVGGKPP
+KPFGGALGALGFPGGACLGKSCGRKRK
+>sp|Q0P591|MA6D1_BOVIN MAP6 domain-containing protein 1 OS=Bos taurus OX=9913 GN=MAP6D1 PE=2 SV=1
+MAWPCISRLCCLARRWNQLDRSDVAVPLTLHSYSDLESEEPIPGGVPSRRGPSPAGSRDP
+GRDVPLTQYQRDFGVWTAPSGSRDATQGRGPGASSRRTKPSATPGRGVYVLPIGDADAAA
+VATTSYRQEFQAWTGVKPSRSTKVKPAKVITTHSSGWDGSPRAGFQAPEVRKKFAPNPSA
+IFQASAPRILNV
+>sp|Q8MKF0|L2GL1_BOVIN Lethal(2) giant larvae protein homolog 1 OS=Bos taurus OX=9913 GN=LLGL1 PE=2 SV=1
+MMKFRFRRQGADPQREKLKQELFAFHKTVEHGFPNQPSALAFDPELRIMAIGTRSGAVKI
+YGAPGVEFTGLHRDAATVTQMHFLPGQGRLLTLLDDSSLHLWEIIQRNGCAHLEEGLSFH
+PPSRPSFDNASFPAGLTRVTVVLLAAGDTVVLGTESGSIFFLDVATLALLEGQTLSPDEV
+LRSVPDDYRCGKALGPVESLQGHLQDPSKILIGYSRGLLVIWSQATQSVEHVFLGNQQLE
+SLCWGRGGSNIISSHSDGSYAIWSTDTGSPPTLQPTVVTTPYGPFPCKAINKILWRSCES
+GDHFIIFSGGMPRASYGDRHCVCVLRAETLVTLDFTSRVIDFFTVHSTQPEDECDNPQAL
+AVLLEEELVVLDLQTPGWPAVPAPYLAPLHSSAITCSAHVANVPSKLWARIVSAGEQQSP
+QPASSALSWPITGGRNLAQEPSQRGLLLTGHEDGTVRFWDASGVALRPLYKLSTAGLFQT
+DCEHADSLAQAVEDDWPPFRKVGCFDPYSDDPRLGIQKVALCKYTAQMVVAGTAGQVLVL
+ELSEVPAEHAVSVANVDLLQDREGFTWKGHERLNPHTGLLPWPAGFQPRMLIQCLPPAAV
+TAVTLHAEWSLVAFGTSHGFGLFDYQRKSPVLARCTLHPNDSLAMEGPLSRVKSLKKSLR
+QSFRRIRKSRVSGKKRTPAASSKLQEANAQLAEQTCPHDLEMTPVQRRIEPRSADDSLSG
+VVRCLYFADTFLRDATHHGPTMWAGTNSGSVFAYALEVPAATAGGEKRPEQAVEAVLGKE
+VQLMHRAPVVAIAVLDGRGRPLPEPYEASRDLAQAPDMQGGHAVLIASEEQFKVFTLPKV
+SAKTKFKLTAHEGCRVRKVALATFASVMSEDYAETCLACLTNLGDVHVFAVPGLRPQVHY
+SCIRKEDISGIASCVFTRHGQGFYLISPSEFERFSLSARNITEPLCSLDISWPQNATQPR
+LQESPKLSQANGTRDIILAPESCEGSPSSAHSKRADTMEPPEAALSPVSIDSAASGDTML
+DTTGDVTVEYVKDFLG
+>sp|Q3ZBL4|LZTL1_BOVIN Leucine zipper transcription factor-like protein 1 OS=Bos taurus OX=9913 GN=LZTFL1 PE=2 SV=1
+MAELGLNEHHQNEVINYMRFARSKRGLRLKTVDSCFQDLKESRLVEETFTVDEVSEVLNG
+LQAVVHSEVESELINTAYTNVLLLRQLFSQAEKWYLKLQTDISELENRELLEQVAEFEKA
+EFTSSNKKSIIDSMKPKLAPLHEGGAAELLNKEIIRLQEENEKLKSRLKTIESQATDALD
+EKSKLERALQDLQLEHGSQKDFIKAQDLSDLENTVAALKSEFQKTLNDQTENQKSLEENL
+ATAKHDLLRVQEQLSMAEKELEKKFQQTAAFRNMKEILTKKNDQIKDLRKRLAKYEPED
+>sp|Q0IIJ2|H10_BOVIN Histone H1.0 OS=Bos taurus OX=9913 GN=H1F0 PE=2 SV=3
+MTENSTSTPAAKPKRAKASKKSTDHPKYSDMIVAAIQAEKNRAGSSRQSIQKYIKSHYKV
+GENADSQIKLSIKRLVTTGVLKQTKGVGASGSFRLAKSDEPKRSVAFKKTKKEVKKVATP
+KKAAKPKKAASKAPSKKPKATPVKKAKKKPAATPKKTKKPKTVKAKPVKASKPKKTKPVK
+PKAKSSAKRTGKKK
+>sp|Q3SYZ9|MED4_BOVIN Mediator of RNA polymerase II transcription subunit 4 OS=Bos taurus OX=9913 GN=MED4 PE=2 SV=1
+MAAASSGEKEKERPGGGLGAAGGNSTRERLLSALEDLEVLSRELIEMLAISRNQKLLQSG
+EENQVLELLIHRDGEFQELMKLALNQGKIHHEMQVLEKEVEKRDSDIQQLQKQLKEAEQI
+LATAVYQAKEKLKSIEKARKGAISSEEIIKYAHRISASNAVCAPLTWVPGDPRRPYPTDL
+EMRSGLLGQMNNPSTNGVNGHLPGDALAAGRLPDVLAPQYPWQSNDMAMNMLPPNHSHDF
+LLEPPGHNKENEDDVEVMSTDSSSSSSDSD
+>sp|Q148H6|K1C28_BOVIN Keratin, type I cytoskeletal 28 OS=Bos taurus OX=9913 GN=KRT28 PE=2 SV=1
+MSLRFSSGSRHICLRSGTESVRPSSGGTGFAGSNVYGNSGAGCGFSYALGGGLGSLPGGD
+HAGGIPGSGTCVGFAGSEGGLFSGNEKVTMQNLNDRLASYLDNVRALEEANAELERKIKS
+WYEKHGPGSCHGLDHDYSRYHLTIEDLKNKIISSTTANANVILQIDNARLAADDFRLKYE
+NELALHQNTEADINGLRRVLDELTLCRTDQELQYESLSEEMTYLKKNHEEEVKALQCVAG
+GNVNVEMNAAPGVDLTLLLNNMRAEYEDLAEQNRRDAEAWFNEKSASLQQQISDDAGAAS
+SARGELTEMKRTVQTLDIELQSLLATKHSLECSLMETEGNYCAQLAQIQAQIGALEEQLH
+QVRTETEGQKLEYEQLLDIKVHLEKEIETYCRLIDGDRNSCSKSKGFGSGSPGNSSKDLS
+RTTLVKTVVEEIDQRGKVLSSRVQSIEEKTSKMTNGKTKQRVPF
+>sp|Q2T9W6|LRRF2_BOVIN Leucine-rich repeat flightless-interacting protein 2 OS=Bos taurus OX=9913 GN=LRRFIP2 PE=2 SV=1
+MGTPGSGRKRTPVKDRFSAEDEALSNIDREAEARLAAKRAARAEARDIRMRELERQQKEL
+DEKSDKQYAENYTRPSSRNSASATTPLSGNSSRRVSGDTSSLIDPDTSLSELRESLSEVE
+EKYKKAMVSNAQLDNEKNNLIYQVDTLKDVIEEQEEQMAEFYRENEEKSKELERQKHMCS
+VLQHKMDELKEGLRQRDELIEKHGLVIIPDGTPNGDVHQEPAVGAITVVSQEAAQVLESA
+GEGPLDVRLRKLAGEKEELLSQIRKLKLQLEEERQKCSGRDGTAGDLAELQNGSDLQLIE
+MQRDANRQISEYKFKLSKAEQDITTLEQSISRLEGQVLRYRTAAENAEKVEDELKAEKRK
+LQRELRTALDKIEEMEMTNSHLAKRLEKMKANRTALLAQQ
+>sp|Q2KHX9|MED9_BOVIN Mediator of RNA polymerase II transcription subunit 9 OS=Bos taurus OX=9913 GN=MED9 PE=2 SV=1
+MASVGVAAGRQAEDTLPPPAEPPLPEMKPLPQPQPPPSVSAQQPQPAPKPPSPAGVKAEE
+NCSFLPLVHSIIKCMDKDSPDIHQDLNTLKAKFQEMRKVVSTMPGIHLSPEQQQQQLQRL
+REQVRTKNELLQKYKSLCMFEIPKE
+>sp|Q0IIL4|KISHB_BOVIN Protein kish-B OS=Bos taurus OX=9913 GN=TMEM167B PE=3 SV=1
+MTNVYSLDGILVFGLLFVCTCAYFKKVPRLKTWLLSEKKGVWGVFYKAAVIGTRLHAAVA
+IACIVMAFYVLFIK
+>sp|Q3ZBW2|HAOX2_BOVIN Hydroxyacid oxidase 2 OS=Bos taurus OX=9913 GN=HAO2 PE=2 SV=1
+MPLVCLTDFREHAREHLSKSTWDFIEGGADDCCTRDENMAAFKKIRLRPRYLKDVSKVDM
+RTTIQGAEISAPICIAPTGFHRLAWPDGEMSTARAAQAASICYITSTYASCSLEDIVAAA
+PRGLRWFQLYVHPNRQINKQMIQKVESLGFKALVITVDVPKVGNRRNDITNQVDLMKKLL
+LKDLGSPEMGNVMPYFQMSPIDPSICWEDLSWFQSMTRLPIILKGILTKEDAELAVKHNV
+HGIIVSNHGGRQLDEVPASIDALTEVVAAVKGKVEVYLDGGIRTGNDVLKALALGAKCVF
+VGRPILWGLAYKGEHGVKEVLDILKNEFHTSMTLTGCRSVAEINQDLIQFSRL
+>sp|A5D7R8|KITH_BOVIN Thymidine kinase, cytosolic OS=Bos taurus OX=9913 GN=TK1 PE=2 SV=1
+MSCINLPNVLPGSPSKTRGQIQVILGPMFSGKSTELMRRVRRFQVAQYKCLVIKYAKDTR
+YSSLFSTHDRNTMEALPACLLRDVIQDAQRVAVIGIDEGQFFPDIVEFCENMANSGKTVI
+VAALDGTFQRKAFGTILNLVPLAESVVKLTAVCMECFREAAYTKRLGVEKEVEVIGGADK
+YHSVCRLCYFKKASGQPAVLDSEENKENCPMTLGKPAEAPGVRKLFATHQIWQCSQAN
+>sp|A6QLI6|HEM1_BOVIN 5-aminolevulinate synthase, nonspecific, mitochondrial OS=Bos taurus OX=9913 GN=ALAS1 PE=2 SV=1
+METVVRRCPFLSRVPQAFLQKAGKSLLFYAQNCPKMMEIGAKPAPRALSTSAVLCQQVTE
+TPPANEKDKAAKAEVQQAPDGSQQAPDGSQQTADGTQLPSGHPSLASSQGTGSKCPFLAA
+EMSQGGSSVFRKASLALQEDVQEMHAVREEVAQTSVNPSVINVKTEGGELNGLLKNFQDI
+MRKQRPERVSHLLQDNLPKSVCTFQYDRFFEKKIDEKKNDHSYRVFKTVNRKAQCFPMAD
+DYSDSLISKKQVSVWCSNDYLGMSRHPRVCGAVIDTLKQHGTGAGGTRNISGTSKFHVDL
+EQELADLHGKDAALLFSSCFVANDSTLFTLAKMMPGCEIYSDAGNHASMIQGIRNSGVPK
+YIFRHNDVSHLRELLQRSDPAVPKIVAFETVHSMDGAVCPLEELCDVAHEFGAITFVDEV
+HAVGLYGLQGGGIGDRDGVMPKMDIISGTLGKAIGCVGGYIASTSSLIDTVRSYAAGFIF
+TTSLPPMLLAGALESVRILRSTEGRTLRRQHQRNVKLMRQMLMDAGLPVVHCPSHIIPVR
+VADAAKNTEVCDELMTRHNIYVQAINYPTVPRGEELLRIAPTPHHTPQMMSYFVDNLLAT
+WKRVGLELKPHSSAECNFCRRPLHFEMMSEREKSYFSGMSKLVSAQA
+>sp|P61283|BAF_BOVIN Barrier-to-autointegration factor OS=Bos taurus OX=9913 GN=BANF1 PE=3 SV=1
+MTTSQKHRDFVAEPMGEKPVGSLAGIGEVLGKKLEERGFDKAYVVLGQFLVLKKDEDLFR
+EWLKDTCGANAKQSRDCFGCLREWCDAFL
+>sp|Q1LZ89|CC106_BOVIN Coiled-coil domain-containing protein 106 OS=Bos taurus OX=9913 GN=CCDC106 PE=2 SV=1
+MNSRRRPVKKDSEALEISIPFDETPHLDPQIFYSLSPSRGNFEEPSEAASPTAALMNGVR
+AQLHLALERNSWLQKRIEDLEEERDFLRCQLDKFISSARLDADDHCRGKPGPRRAEGDGR
+GGTGGEASDPESAASSLSGASEEGSTVERKRQKQKGGPGRRRFGKPKARERQRVKDADGV
+LCRYKKILGTFQKLKSMSRAFEHHRVDRNTVALTTPIAELLIVAPEKLAEVGEFDPSKER
+LLEYSRRCFLALDEETLKKVQALKKSKLLLPITYRFKR
+>sp|Q2TBV0|BEX2_BOVIN Protein BEX2 OS=Bos taurus OX=9913 GN=BEX2 PE=2 SV=1
+MMPKEEQVLKNLTMENANEENEKKDEKEQDANKGEPLALSLGAGEYCVPRGNRRRFRVRQ
+PILHYRWDMTQRLGKPQARMREENIERIGEEMRQLMEKLREKQLSHSLRAVSTDPPHHEH
+NDEFCLMP
+>sp|Q08DU8|BL1S6_BOVIN Biogenesis of lysosome-related organelles complex 1 subunit 6 OS=Bos taurus OX=9913 GN=BLOC1S6 PE=1 SV=1
+MSVPGPPSPDGVLAGPPEGLEAGDLTPGLSDTSPDEGLIEDLTIEDKAVEQLAEGLLSHY
+LPDLQRSKQALQELTQNQVVLLDTLEQEISKFKECHSMLDINALFTEAKHYHAKLVNIRK
+EMLMLHEKTSKLKKRALKLQQKRQKEELEREQQREKEFEREKQLTAKPAKRT
+>sp|Q58CU6|CA198_BOVIN Uncharacterized protein C1orf198 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MASMAAAIAASRTAVMSANRPLDDRERKRFTYFSSLSPMARKIMQDKEKIREKYGPEWAR
+LPPAQQDEIIDRCLVGPSASAPGDPEELARFPGLRGPTGQKVVRFGDEDITWQDEHSAPF
+SWETRSQMEFSISSLSIQEPSCSTAGEPRPPSKAPQGSQALRAPQGGKSSSLDALGPAWK
+EEEASFWKINAERSRGEGPEAEFQSLTPSQIKSMEKGEKVLPGCYRQEPAPRAREAKAEK
+PSPLRQEQRAAPSVSTECERPQPAQACASPPSEAGPSGPMGKPVSPEVMEAVEDMEDALF
+LEPVPVQVSSSNVILKTGFDFLDNW
+>sp|Q1LZB6|CAPR1_BOVIN Caprin-1 OS=Bos taurus OX=9913 GN=CAPRIN1 PE=2 SV=1
+MPSATSHSGSGSKSSGPPPPSGSSGNEAGAGAAAPASQHPMTGTGAVQTEAMKQILGVID
+KKLRNLEKKKGKLDDYQERMNKGERLNQDQLDAVSKYQEVTNNLEFAKELQRSFMALSQD
+IQKTIKKTARREQLMREEAEQKRLKTVLELQYVLDKLGDDEVRTDLKQGLNGVPILSEEE
+LSLLDEFYKLADPERDMSLRLNEQYEHASIHLWDLLEGKEKPVCGTTYKALKEIVERVFQ
+SNYFDSTHNHQNGLCEEEEAASAPTVEDQAAEAEPEPVEEYTEQNEVESTEYVNRQFMAE
+TQFSSGEKEQVDDWTVETVEVVNSLQQQPQAASPSVPEPHSLTPVAQADPLVRRQRVQDL
+MAQMQGPYNFIQDSMLDFENQTLDPAIVSAQPMNPAQNMDIPQLVCPPVHSESRLAQPNQ
+VSVQPEATQVPLVSSTSEGYTASQPLYQPSHATDQRPQKEPIDQIQATISLNTDQTTASS
+SLPAASQPQVFQAGTSKPLHSSGINVNAAPFQSMQTVFNMNAPVPPVNEPETLKQQNQYQ
+ASYNQSFSSQPHQVEQTELQQEQLQTVVGTYHGSQDQPHQVTGNHQQPPQQNTGFPRSNQ
+PYYNSRGVSRGGSRGARGLMNGYRGPANGFRGGYDGYRPSFSTNTPNSGYTQSQFSAPRD
+YSGYQRDGYQQNFKRGSGQSGPRGAPRGRGGPPRPNRGMPQMNTQQVN
+>sp|P08239|GNAO_BOVIN Guanine nucleotide-binding protein G(o) subunit alpha OS=Bos taurus OX=9913 GN=GNAO1 PE=1 SV=4
+MGCTLSAEERAALERSKAIEKNLKEDGISAAKDVKLLLLGAGESGKSTIVKQMKIIHEDG
+FSGEDVKQYKPVVYSNTIQSLAAIVRAMDTLGIEYGDKERKADAKMVCDVVSRMEDTEPF
+SPELLSAMMRLWGDSGIQECFNRSREYQLNDSAKYYLDSLDRIGAADYQPTEQDILRTRV
+KTTGIVETHFTFKNLHFRLFDVGGQRSERKKWIHCFEDVTAIIFCVALSGYDQVLHEDET
+TNRMHESLMLFDSICNNKFFIDTSIILFLNKKDLFGEKIKKSPLTICFPEYTGSNTYEDA
+AAYIQAQFESKNRSPNKEIYCHMTCATDTNNIQVVFDAVTDIIIANNLRGCGLY
+>sp|P59760|GLYL_BOVIN Glycolactin (Fragments) OS=Bos taurus OX=9913 PE=1 SV=1
+SALYALYDFSPPARKMRAYTVRAYVHGSYSRRGPWYDFEPVPGASMDGL
+>sp|Q3T0Z2|FABP6_BOVIN Gastrotropin OS=Bos taurus OX=9913 GN=FABP6 PE=2 SV=1
+MAFTGKYETESEKNYDEFMKRLGLSSDRIEKGRNFKVISEIQQDGQNFTWSQHYPGGHSI
+SNNFTIGKETEMETVGNKKFKVTVKMEGGKVVVDSANYHHTVEIVDGKLVEVSTFGGVIY
+ERVSKKVA
+>sp|Q56JX9|FABPI_BOVIN Fatty acid-binding protein, intestinal OS=Bos taurus OX=9913 GN=FABP2 PE=2 SV=3
+MAFDGTWKVDRNENYEKFMEKMGINVVKRKLAAHDNLKLIITQEGNKFTVKESSTFRSIE
+IIFELGVTFNYSLADGTELSGAWALEGDKLVGKFKRLDNGNALNTVREIIGGEMVQTYTY
+EGVEAKRIFKKE
+>sp|Q9XSB5|ESR2_BOVIN Estrogen receptor beta OS=Bos taurus OX=9913 GN=ESR2 PE=2 SV=1
+MDVKNSPSSLNSPVSYNCGQSILPLEPGPIYLPSSYVESRHEYSAVTFYSPAVMNYSIPN
+NSEDGPGRQTTSPNVLWPTPGHLSPLAIHCQPSVLYAEPQKSPWRETRSLEHTLPVNRET
+LKRKASGSSCASPATSPSSKRDAHFCAVCSDYASGYHYGVWSCEGCKAFFKRSIQGHNDY
+ICPATNQCTIDKNRRKSCQACRLRKCYEVGMVKCGSRRERCGYRIVRRQRNSDEQLHCLS
+KTKRNGGPMTRVKELLLSALSPEQLVLTLLEAEPPHVLISRPSTPFTEASMMMSLTKLAD
+KELVHMISWAKKIPGFVELSLYDQVRLLESCWLEVLMVGLMWRSIDHPGKLIFAPDLILD
+RDEGKCVEGILEIFDMLLATTSRFRELKLQHKEYLCVKAMILLNSSMYPSATAPQEADSG
+RKLTHLLNAVTDALVWVIAKSGMSSQQQSMRLANLLMLLSHVRHASNKGMEHLLNMKCKN
+VVPVYDLLLEMLNAHTLRGNKSLVTGSERNLVEDSESKEGSQKPQAQ
+>sp|Q6TUI4|DICER_BOVIN Endoribonuclease Dicer OS=Bos taurus OX=9913 GN=DICER1 PE=2 SV=3
+MKSPALQPLSMAGLQLMTPASSPMGPFFGLPWQQEAIHDNIYTPRKYQVELLEAALDHNT
+IVCLNTGSGKTFIAVLLTKELSYQIRGDFNRNGKRTVFLVNSANQVAQQVSAVRTHSDLK
+VGEYSNLEVSASWTKEKWNQEFTKHQVLIMTCYVALNVLKNGYLSLSDINLLVFDECHLA
+ILDHPYREIMKLCENCPSCPRILGLTASILNGKCDPEELEEKIQKLEKILKSNAETATDL
+VVLDRYTSQPCEIVVDCGPFTDRSGLYERLLMELEEALNFINDCNISVHSKERDSTLISK
+QILSDCRAVLVVLGPWCADKVAGMMVRELQKHIKHEQEELHRKFLLFTDTFLRKIHALCE
+EHFSPASLDLKFVTPKVIKLLEILRKYKPYERQQFESVEWYNNRNQDNYVSWSDSEDDEE
+DEEIEEKEKPETNFPSPFTNILCGIIFVERRYTAVVLNRLIKEAGKQDPELAYISSNFIT
+GHGIGKNQPRNKQMEAEFRKQEEVLRKFRAHETNLLIATSIVEEGVDIPKCNLVVRFDLP
+TEYRSYVQSKGRARAPISNYVMLADTDKIKSFEEDLKTYKAIEKILRNKCSKSVDTGEAD
+TEPVVDDDDVFPPYVLRPEDGPRVTINTAIGHVNRYCARLPSDPFTHLAPKCRTRELPDG
+TFYSTLYLPINSPLRASIVGPPMSCIRLAERVVALICCEKLHKIGELDDHLMPVGKETVK
+YEEELDLHDEEETSVPGRPGSTKRRQCYPKAIPECLRESYPRPGQPCYLYVIGMVLTTPL
+PDELNFRRRKLYPPEDTTRCFGILTAKPIPQIPHFPVYTRSGEVTISIELKKSGFTLSLQ
+MLELITRLHQYIFSHILRLEKPALEFKPTDADSAYCVLPLNVVNDSSTLDIDFKFMEDIE
+KSEARIGIPSTKYSKETPFVFKLEDYQDAVIIPRYRNFDQPHRFYVADVYTDLTPLSKFP
+SPEYETFAEYYKTKYNLDLTNLNQPLLDVDHTSSRLNLLTPRHLNQKGKALPLSSAEKRK
+AKWESLQNKQILVPELCAIHPIPASLWRKAVCLPSILYRLHCLLTAEELRAQTASDAGVG
+VRSLPVDFRYPNLDFGWKKSIDSKSFISIANSSSAENENYCKHSTIVVPENAAHQGANRT
+SPLENHDQMSVNCRTLFSESPGKLQIEVSTDLTAINGLSYNKSLANGSYDLANRDFCQGN
+HLNYYKQEIPVQPTTSYPIQNLYNYENQPKPSDECTLLSNKYLDGNANTSTSDGSPVTAA
+VPGTTETGEAPPDRTASEQSPSPGYSSRTLGPNPGLILQALTLSNASDGFNLERLEMLGD
+SFLKHAITTVSLSALILDAHEGRLSYMRSKKVSNCNLYRLGKKKGLPSRMVVSIFDPPVN
+GLPPGYVVNQDKSNTEKWEKDEMTKDCMLANGKLDDDFEEEEEEEEDLMWRAHKEDADDE
+DDFLEYDQEHIKFIDNMLMGSGAFVKKISLSPFSATDSAYEWKMPKKSSLGSLPFSSDFE
+DFDYSSWDAMCYLDPSKAVEEDDFVVGFWNPSEENCGVDTGKQSISYDLHTEQCIADKSI
+ADCVEALLGCYLTSCGERAAQLFLCSLGLKVLPVIKRTDREKAMCPTRENFTSQQKNLSG
+SRAAASGAGYRASVLKDLEYGCLKIPPRCMFDHPEADRTLRHLISGFENFEKKINYRFKN
+KAYLLQAFTHASYHYNTITDCYQRLEFLGDAILDYLITKHLYEDPRQHSPGVLTDLRSAL
+VNNTIFASLAVKYDYHKYFKAVSPELFHVIDDFVQFQLEKNEMQGMDSELRRSEEDEEKE
+EDIEVPKAMGDIFESLAGAIYMDSGMSLETVWQVYYPMMRPLIEKFSANVPRSPVRELLE
+MEPEITKFSPAERTYDGKVRVTVEVVGKGKFKGVGRSYRIAKSAAARRALRSLKANQPQV
+PNS
+>sp|Q0VD07|GPM6A_BOVIN Neuronal membrane glycoprotein M6-a OS=Bos taurus OX=9913 GN=GPM6A PE=2 SV=1
+MEENMEEGQTQKGCFECCIKCLGGIPYASLIATILLYAGVALFCGCGHEALSGTVNILQT
+YFEMARTAGDTLDVFTMIDIFKYVIYGIAAAFFVYGILLMVEGFFTTGAIKDLYGDFKIT
+TCGRCVSAWFIMLTYLFMLAWLGVTAFTSLPVYMYFNLWTICRNTTLVEGANLCLDLRQF
+GIVTIGEEKKICTVSENFLRMCESTELNMTFHLFIVALAGAGAAVIAMVHYLMVLSANWA
+YVKDACRMQKYEDIKSKEEQELHDIHSTRSKERLNAYT
+>sp|Q2YDI9|FTMT_BOVIN Ferritin, mitochondrial OS=Bos taurus OX=9913 GN=FTMT PE=2 SV=1
+MLPCSLFLPKHISTSLVFLRSARHGFALLPRWVPRLSSDYPPAAPIRLLAAAASSRRPAD
+GAGAPSRVRQNFHPDSEAAINRQINLELYASYVYLSMAYYFSRDDVALHNFARYFLRLSR
+EEAEHAEKLMRLQNQRGGLICLQDIKKPDQNDWKSGLNAMECALLLEKNVNQSLLELHTL
+ASDKGDPHLCDFLETHYLNEQVKSIKELGDHVNNLVKMGAPESGLAEYLFDKHTLGNENN
+HN
+>sp|G3X745|GPC1_BOVIN Glypican-1 OS=Bos taurus OX=9913 GN=GPC1 PE=2 SV=1
+MELRARGWWLLYAAAVLVACARGDPASKSRSCGEVRQIYGAKGFSLSDVPQAEISGEHLR
+ICPQGYTCCTSEMEENLANRSRAELETALLEGTRALQATLAAQQRGFDDHFQRLLNDSER
+ALQEAFPGAFGELYTQNAKAFRDLYAELRLYYGGANLHLQETLAEFWARLLERLFRQLHP
+QLLLPDDYLDCLGKQAEPLRPFGEAPRELRLRATRAFVAARTFVQGLGVAGDVVRKVAKV
+PLSPECSRAVMKLVYCAHCLGVPGARPCPDYCRNVLKGCLANQADLDAEWRNLLDSMVLI
+TDKFWGPSGAESVVGGVHYWLAEAINALQDNSDTLTAKVIQGCGNPKVNPQGPGTEEKWP
+RGKLALQERPPAGTLQKLVSEAKAQLRDAQDFWISLPGTLCSEKLAMSSASDERCWNGMA
+KGRYLPEVMGDGLANQINNPEVEVDITKPDMTIRQQIMQLKIMTNRLRGAYNGNDLDFQD
+ASDDGSGSGSGEGCPDEMCGRKVGRKSASSRTPLTHALPGLSEREGQQTSAAAPTPPQAS
+PLLLLGLALALPAVAPRGR
+>sp|P61285|DYL1_BOVIN Dynein light chain 1, cytoplasmic OS=Bos taurus OX=9913 GN=DYNLL1 PE=1 SV=1
+MCDRKAVIKNADMSEEMQQDSVECATQALEKYNIEKDIAAHIKKEFDKKYNPTWHCIVGR
+NFGSYVTHETKHFIYFYLGQVAILLFKSG
+>sp|Q32L47|ERP27_BOVIN Endoplasmic reticulum resident protein 27 OS=Bos taurus OX=9913 GN=ERP27 PE=2 SV=1
+MEAMPSRCLFLLFLSTCKLSPEVVAEVQESSDGPGAQEPMRLTDVQAAMEFIAAAEVAVI
+GFFQDSEVPAVSLIHSVVQNFQDVSFGISTASEVLAYYNITGNTISLFRLVDNKQLDLKG
+EDFESMDATKLSRFIESNNLRLVTEYNAITAIGLFNSMIPIHLLLIMNKASSEFEENLHR
+FQEAAKLFQGRRQNHEAKEKTSKVEL
+>sp|Q2TBQ3|GAMT_BOVIN Guanidinoacetate N-methyltransferase OS=Bos taurus OX=9913 GN=GAMT PE=2 SV=1
+MSAPAATPIFAPGENCSPAWRAAPAAYDASDTHLQILGKPVMERWETPYMHALAAAAASR
+GGRVLEVGFGMAIAATKVQEAPIEEHWIIECNEGVFQRLQDWALQQPHKVVPLKGLWEEV
+APTLPDSHFDGILYDTYPLSEETWHTHQFNFIRDHAFRLLKPGGVLTYCNLTSWGELMKT
+KYSDITTMFEETQVPALLEAGFRRDNIRTQVMELVPPANCRYYAFPRMITPLVTKH
+>sp|Q08DE5|EI24_BOVIN Etoposide-induced protein 2.4 homolog OS=Bos taurus OX=9913 GN=EI24 PE=2 SV=1
+MADSVKTFLQDLARGIKDSIWGICTISKLDARIQQKREEQRRRRASSILAQRRAQSIERK
+QESEPRIVSRIFQCCAWNGGVFWFSLLLFYRVFIPVLQSVTAQIIGDPSLHGDVWSWLEF
+FLTSIFSALWVLPLFVLSKVVNAIWFQDIADLAFEVSGRKPHPFPSVSKIIADMLFNLLL
+QALFLLQGMFVSLFPIHLVGQLVSLLHMSLLYSLYCFEYRWFNKGIEMHQRLSNIERNWP
+YYFGFGLPLAFLTAMQSSYIVSGCLFSILFPLFIISANEAKTPGKAYLFQLRLFSLVVFL
+SNRLFHKTVYLQSALSSSTSAEKLPSPHPSPAKLKAATGR
+>sp|P80343|MCPB_BOVIN Monocyte chemotactic protein 1B (Fragment) OS=Bos taurus OX=9913 PE=1 SV=1
+DAINSPVTCCYTLTSKKISMQRLMSYRRVTSSKCPKEAVIFKTIAGKEICAEPKXXWVQD
+SISHLDKKNQXPKP
+>sp|Q3T181|LT4R1_BOVIN Leukotriene B4 receptor 1 OS=Bos taurus OX=9913 GN=LTB4R PE=2 SV=1
+MNTTSPAAPSSSGVSFISLLVIIVLSVALAVGLPGNSFVVWSILAKLRKRSVTALMVLHL
+ALADLAVLLTAPFFLYSVAQGTWTFGLSSCRLFHYVCGVSMYASVLLIMTMSLDRSLAVA
+LPFVSQKLRTKAVAWRVLAGIWVMSVLLATPVLLYRTVHLGLNNRSLTCFLKYPSERHRA
+FHLFFEVITGFLLPFLVVVASYCDIGRRLRARRFRRSRRTGRLVALIILAFAAFWLPYHV
+VNLAEGFRAAAGKALGSGPVGRRLLLARHVLITLAFLSSSVNPLLYACAGGGLLRSAGVG
+FIAKLLEGTGSETSSSRRKGTLAQTLRGTPASPEPDPAESLTASTNPLE
+>sp|P07348|IFNA1_BOVIN Interferon alpha-1 OS=Bos taurus OX=9913 PE=3 SV=1
+MAPAWSLLLALLLLSCNAICSLGCHLPHSHSLAKRRVLTLLRQLRRVSPSSCLQDRNDFA
+FPQEALGGSQLQKAQAISVLHEVTQHTFQLFSTEGSAAVWDESLLDRLRTALDQQLTDLQ
+ACLRQEEGLPGAPLLKEDSSLAVRKYFHRLTLYLQEKRHSPCAWEVVRAQVMRAFSSSTN
+LQERFRRKD
+>sp|P02316|HMGN1_BOVIN Non-histone chromosomal protein HMG-14 OS=Bos taurus OX=9913 GN=HMGN1 PE=1 SV=2
+MPKRKVSSAEGAAKEEPKRRSARLSAKPAPAKVETKPKKAAGKDKSSDKKVQTKGKRGAK
+GKQAEVANQETKEDLPAENGETKNEESPASDEAEEKEAKSD
+>sp|Q2HJ90|LRC42_BOVIN Leucine-rich repeat-containing protein 42 OS=Bos taurus OX=9913 GN=LRRC42 PE=2 SV=1
+MSYYLNSENHLDSGPIYVRENGQLHMVNLALDDVRSSLQKPRPFRLFPKGFSVELCMNRE
+DDTAQKEKTDHFIFTYTREGNLRYSAKSLFSLVLGFISDNVDHIDSLIGFPEQIAEKLFS
+AAEARQKFTEPGAGLRALQKFTEAYGSLVLCSLCLRNRYLVISEKLEEIKSFRELTCLDL
+SCCKLGDEHELLEHLTNEALSSVTQLRLKDNCLSDAGVRKMTAPVRVMKRGLENLSLLDL
+SCNPEITDAGIGYLFSFRKLNCLDISGTGLKDIKAVKHKLQTHIGLVHSKVPLKEFDHSN
+CKTEGWADQIVLQWERVTLEAMKPQETLESRTAAQHFYGKRARTEAPGKYPLTEAHMNSS
+EKLQFYKEKAADCHGPLLKHEALSSQESKKSKKRAFEEPEKEQGSSSQTSKQKYVCLAVE
+DWDLLNSY
+>sp|Q5J316|GTR12_BOVIN Solute carrier family 2, facilitated glucose transporter member 12 OS=Bos taurus OX=9913 GN=SLC2A12 PE=1 SV=1
+MVPVENAEGPSLLKPKGRAAETDGSDRASGGPHPPWARGCGMYTLLSSVTAAVSGFLVGY
+ELGIISGALLQIRTLLVLTCHEQEMVVSSLLIGALLASLIGGVLIDRYGRRAAIILSSCL
+LGLGSLVLIISLSYTTLIGGRIAIGVFISLSSTATCVYIAEIAPQHRRGLLVSLNELMIV
+IGILFAYISNYAFANISHGWKYMFGLVIPLGVLQAIAMYFLPPSPRFLVMKGHEEAASKV
+LGKLRAVLDTTEELTVIKSSLKDEYQYSFWDLFRSKDNMRTRIMIGLTLVFFVQITGQPN
+ILFYASTVLKSVGFQSNEAASLASTGVGVVKVISTIPATLLVDQVGSKTFLCIGSSVMAA
+SLVTMGIVNLNIHMNFTSICRNHSPINQSLDESVFYGPGNLSASNDTLRESFKGMTFHSR
+SSLRPTRNDINGRGETTLASLPNAGLSQTEYQIVTDSADVPTFLKWLSLASLLVYVAAFS
+IGLGPMPWLVLSEIFPGGIRGRAMALTSSMNWGINLLISLTFLTVTDLIGLPWVCFIYTV
+MSLASLVFVIVFIPETKGCSLEQISVELAKENYVKNNICFMSHHREELVPKQLQKRKPQE
+QFLESKKLRGKGQPRQLSSEV
+>sp|Q9GMS5|IMPG1_BOVIN Interphotoreceptor matrix proteoglycan 1 OS=Bos taurus OX=9913 GN=IMPG1 PE=2 SV=1
+MHLEAARVIFFLWIFLQVQGIKDLSIKIYGSEIKDIDNAPRTEATKNTAKTYKVSTMRRI
+FDLAKHRTKRSAFFPTGVKVCPQESMEQILASLQAYYRLRVCQEAVWEAYRIFLDRLPEP
+GEYQDWVSVCQQETFCLFDIGKNFSNSQEHLDLLQQRMKQRNFLERKDEVVTKETLGELG
+QTPGLQQTLPVSHPGPCLSLPMTTAQRNPQLHPSRTPRVPTRERKIEFTDAAEDALEQKV
+ELSISLANQKFKSELDNSQSPYYLEVAAKSQLQMQKIFKKLPGFKEIHVSGFRPKKERDG
+TSSTEMQLTAIFKKGKAEAKSPASDPLSLDSNKIESEGDPRGTTEEEKQRELYPTASELR
+KLISRALEEDQSLDVGTIQFTDEIVGSLPSLDPDTQLVLPTLLTDITKDATLSPELPLGQ
+PRLETVDRAGHSPPGASPTDGWSPPAMTSTSLSETLPFFTASSVFPQTDQSATDIMSIDQ
+TVLIPRLTVPTDDYSAISPLVPEISHLPTSSEDWLSTSSQDTMEYLDGVDLTKTPTSSEG
+PRNSVGMFPAWIIFLENITPDPGLRYITTSAMTVAARGRELVVFFSLRVANVPFSTDLFN
+KSSLEYQALEQRFTQLLVPNLRSNLTGFKQLEILNFRNGSVIVNSKVRFAKSVPYNLTKA
+VRGVLEDFRSTAAQQLDLEIDSYSLDVEPADQADPCKFLACGEFAQCVRNEWTEEAECRC
+RSGTQALVLPIEDCEDIPGKGTPCRSLDQSKNQVYEPGVKKFQRQQDNKVTMKRKFELLT
+IGYEEFNYQDWEGN
+>sp|Q02337|BDH_BOVIN D-beta-hydroxybutyrate dehydrogenase, mitochondrial OS=Bos taurus OX=9913 GN=BDH1 PE=1 SV=2
+MLTARLSRPLSQLPRKTLNFSDRENGTRGSLLLYSAPFVPVGRRTYAASVDPVGSKAVLI
+TGCDSGFGFSLAKHLHSEGFLVFAGCLMKDKGSDGVKELDSMKSDRLRTVQLNVCKSEEV
+DKAAEVIRSSLEDPEKGLWGLVNNAGISTFGDVEFTSMETYKEVAEVNLWGTVRVTKAFL
+PLIRRAKGRVVNISSMMGRMANVARSPYCITKFGVEAFSDCLRYEMHPLGVKVSVVEPGN
+FIAATSLYGGTERIQAIANKMWEELPEVVRQDYGRKYFDEKVARMESYCTSGSTDTSPVI
+KAVTHALTATTPYTRYHPMDYYWWLRMQIMTHFPGAISDRIYIH
+>sp|Q864U1|BRCA1_BOVIN Breast cancer type 1 susceptibility protein homolog OS=Bos taurus OX=9913 GN=BRCA1 PE=1 SV=1
+MDLSADHVEEVQNVLNAMQKILECPICLELIKEPVSTKCDHIFCKFCMLKLLNQKKGPSQ
+CPLCKNDITKRSLQESTRFSQLVEELLKIIHAFELDTGLQFANSYNFSRKEDNSPEHLKE
+EVSIIQSMGYRNRAKRLWQSEPENPTLQETSLTVELSNLGIVRSLRTKQQTQSQNKSVYI
+ELGSDSSEDTVNKASYFSVGDHELLEITPQGAKAKTNLNPAEKAACEFSEKDITNTEHHQ
+LSIKDLITTQKHATETHPEKYQGISVSDFHVEPCGTDTHASSLQHENSSLLLTENRLNVE
+KAEFCNKSKQPVLVKSQQSRWAESKGTCKDRQIPSTEKKIVLNTDPLYRRKELRKQKPAC
+PDSPGDSQDVPWVTLNNSIQKVNDWFSRSDEILTSDDSCDGGSESNNEVAGAVEIPNKVD
+GYSGSSEKINLMASDPHGTLIHERVHSKPVESNIEDKIFGKTYRRKSSLPNFSHIAEDLI
+LGAFTVEPQITQEQPLTNKLKCKRRGTSGLQPEDFIKKVDLTIVPKTPEKMTEGTDQTEQ
+KCHGMNITSDGHENKTKRDYVQKEQNANPAESLEKESVFRTEAEPISISISNMELELNIH
+RSKAPKNRLKRKSSTRKIPELELVVSRNPSLPNHTELPIDSSSSNEEMKKKHSSQMPVRQ
+SQKLQLIGDKELTAGAKNNKTYEQINKRLASDAFPELKLTNTPGYFTNCSSKPEEFVHPS
+LQREENLGTIQVSNSTKDPKDLILREGKALQIERSVESTNISLVPDTDYSTQDSISLLEA
+KTPEKAKTAPNPCVSLCTATKNLKELIHRDFKDTKNNTEGFQDLLGHDINYVIQETSREM
+EDSELDTQYLQNTFKASKRQTFALFSNPGNPQKECATVFAHSGSLRDQSPRDPLKCRQKE
+DSQGKSESKSQHVQAICTTVHFPVADQQDRTPGDDAKCSAKEVTRVCQSSQLRGHKTELV
+FANKQGVSEKPNLIPSLSPIKSSVKTICKKSPSEKFEEPVTSPEKTLGSESIIQSAVSTI
+SQNNIQESTFKEVSSNSVNEVGSSTNEVGSSVNEVGSSGENIQAEPGRNREPKLRALLGL
+GLTQPEVYKQSLPVSNCHHPEIKRQGENEDMPQAVKADFSPCLISDNLEQPTGSRHASQV
+CSETPDNLLNDDEIKENSHFAESDIKERSAVFSESVQKGEFRGSPGPFTHTHLAQGHQRG
+AGKLESEETVSSEDEELPCFQQLLFGKVTSTLSPSTGCNTVATEGLSKETEGNLESLKSG
+LNDCSGQVTSAKVSQEHHLNEEARCSGSLFSSQCSAMEDLTTNTNTQDPFLMFERPSKQV
+YQSESEEVLSDKELVSDDEERETGLEEDSCQEEQSVDSDLGEAVSDHVSETSLSEDGVGL
+SSQSDILTTQQRDTMQDNLLKLQQEMAELEAVLERHGSQPSHSSASLTADSRGPEHLLNL
+EQDTSERAILTSEKSRDYSRSQNPESLSADKFPVSLDSSTNKNKEPGMERSSASKFQLSY
+NRWYMHSSRSLQDRNCPSQKEPINVADMEEQQLAKREAQDLMGSFLPRQDQEGTPYLKSG
+ISLFSHEPESDPSEDRAAEPAHVHSMPPSASALKLSQFRVEESTKNPAAAHIANTTRCNL
+REESMSKEKPEVISSTERSKKRLSMVASGLTPKELMLVQKFARKHHVTLTNLITEETTHV
+IMKTDPEFVCERTLKYFLGIAGGKWVVSYFWVTQSIKEGKMLDEHDFEVRGDVVNGRNHQ
+GPKRARESRDKKIFKGLEICCYGPFTNMPTDQLEWMVQLCGASVVKEPSSFTPDQGTHPV
+VVVQPDAWTEDAGFHVIGQMCEAPVVTREWVLDSVALYQCQELDTYLVP
+>sp|Q5EAD0|BAIP2_BOVIN Brain-specific angiogenesis inhibitor 1-associated protein 2 OS=Bos taurus OX=9913 GN=BAIAP2 PE=2 SV=1
+MSLSRSEEMHRLTENVYKTIMEQFNPSLRNFIAMGKNYEKALAGVTYAAKGYFDALVKMG
+ELASESQGSKELGDVLFQMAEVHRQIQNQLEEMLKSFHNELLTQLEQKVELDSRYLSAAL
+KKYQTEQRSKGDALDKCQAELKKLRKKSQGSKNPQKYSDKELQYIDAIGNKQGELESYVS
+DGYKTALTEERRRFCFLVEKQCAVAKNSAAYHSKGKELLAQKLPLWQQACADPNKIPDRA
+VQLMQQMGNSNGSILPSGLSASKSNLVISDPIPGAKPLPVPPELAPFVGRLSAQENAPVM
+NGVSGPDSEDYNPWADRKATQPKSTSPPQSQSKLSDSYSNTLPVRKSVAPKNSYATTENK
+TLPRSSSMAAGLERNGRMRVKAIFSHAAGDNSTLLSFKEGDLITLLVPEARDGWHYGESE
+KTKMRGWFPFSYTRVLDNDGGDRLHMSLQQGKSSSTGNLLDKEDLALPPPDYGTSSRAFP
+TQTAGAFKQRPYSVAVPAFSQGLDDYGARAVSSADVEVARF
+>sp|Q58D72|ATLA1_BOVIN Atlastin-1 OS=Bos taurus OX=9913 GN=ATL1 PE=2 SV=2
+MAKNRRDRNSWGGFSEKTYEWSSEEEEPVKKAGPVQVLVVKDDHSFELDETALNRILLSE
+AVRDKEVVAVSVAGAFRKGKSFLMDFMLRYMYNQESVDWVGDHNEPLTGFSWRGGSERET
+TGIQIWSEIFLINKPDGKKVAVLLMDTQGTFDSQSTLRDSATVFALSTMISSIQVYNLSQ
+NVQEDDLQHLQLFTEYGRLAMEETFLKPFQSLIFLVRDWSFPYEFSYGSDGGSKFLEKRL
+KVSGNQHEELQNVRKHIHSCFTKISCFLLPHPGLKVATNPNFDGKLKEIDDEFIKNLKIL
+IPWLLSPESLDIKEINGNKITCRGLVEYFKAYIKIYQGEELPHPKSMLQATAEANNLAAV
+ATAKDTYNKKMEEICGGDKPFLAPNDLQTKHLELKEESVKLFRGVKKMGGEEFSRRYLQQ
+LETEIDELYIQYIKHNDSKNIFHAARTPATLFVVIFITYVIAGVTGFIGLDIIASLCNMI
+MGLTLITLCTWAYIRYSGEYRELGAVIDQVAAALWDQGSTNEALYKLYSAAATHRHLYHQ
+AFPAPKSESTEQSEKKKM
+>sp|Q58CX6|ATAT_BOVIN Alpha-tubulin N-acetyltransferase 1 OS=Bos taurus OX=9913 GN=ATAT1 PE=2 SV=1
+MEFPFDVDALLPERITVLDQHLRPPARRPGTTTPARVDLQQQIMTIVDELGKASAKAQHL
+PAPITSASRMQSNRHVMYILKDTSARPAGKGAIVGFLKVGYKKLFVLDDREAHNEVEPLC
+ILDFYIHESLQRHGHGRELFQHMLQKERVEPHQLAIDRPSQKLLKFLNKHYNLETTVPQV
+NNFVIFEGFFAHQHPPARKLPPKRAEGDIKPYSSSDRESGLPQGW
+>sp|O02756|CEBPD_BOVIN CCAAT/enhancer-binding protein delta OS=Bos taurus OX=9913 GN=CEBPD PE=2 SV=1
+MSAALFSLDGPARGAPWTAEPAAFYEPGRAGKPGRGAEPAASAMYDDESAIDFSAYIDSM
+AAVPTLELCHDELFADLFNSNHKAGALELLPGGPARLGGPGPAPRPLKREPDWGDGDAPG
+SLLPAQVAACAQTVVSLAAAAQPTPPASPEPPRRSPAPPAPGPARDKAAGKRGPDRGSPE
+YRQRRERNNIAVRKSRDKAKRRNQEMQQKLVELSAENEKLQQRVEQLTRDLAGLRRFFKQ
+LPGAPFLPGAGAADAR
+>sp|A6H7F6|GLP3L_BOVIN Golgi phosphoprotein 3-like OS=Bos taurus OX=9913 GN=GOLPH3L PE=2 SV=1
+MTTLTHRARRTEVGKNSEKKVESEENVNQDRNQDNEDIGDSKDIRLTLMEEVLLLGLKDK
+EGYTSFWNDCISSGLRGGILIELAMRGRIYLEPPTMRKKRLLDRKVLLKSDSPTGDVLLD
+ETLKHIKATEPTETVQTWIELLTGETWNPFKLQYQLRNVRERIAKNLVEKGILTTEKQNF
+LLFDMTTHPVTNTTEKQRLVKKLQDSVLERWVNDPQRMDKRTLALLVLAHSSDVLENVFS
+SLTDDKYDMAMNRAKDLVELDPEVEGTKHSATEMIWAVLAAFNKS
+>sp|A7E2Z2|EZH1_BOVIN Histone-lysine N-methyltransferase EZH1 OS=Bos taurus OX=9913 GN=EZH1 PE=2 SV=2
+MDIPNPPTSKCITYWKRKVKSEYMRLRQLKRLQANMGAKALYVANFAKVQEKTQILNEEW
+KKLRVQPVQLMKPVSGHPFLKKCTIESIFPGFASQHMLMRSLNTVALVPIMYSWSPLQQN
+FMVEDETVLCNIPYMGDEVKEEDETFIEELINNYDGKVHGEEEMIPGSVLISDAVFLELV
+DALNQYSDEDEEGHNDTSDGKQDDSKEDLPVTRKRKRHAIEGSKKSSKKQFPNDMIFSAI
+ASMFPENGVPDDMKERYRELTEMSDPNALPPQCTPNIDGPNAKSVQREQSLHSFHTLFCR
+RCFKYDCFLHPFHATPNVYKRKNKEIKIEPEPCGTDCFLLLEGAKEYAMLHNPRSKCSGR
+RRRRHHVVNASCSNTSASAVAETKEGDSDRDTGNDWASSSSEANSRCQTPTKQKASPAPP
+QLCVVEAPSEPVEWTGAEESLFRVFHGTYFNNFCSIARLLGTKTCKQVFQFAVKESLILK
+LPTDELMNPSQKKKRKHRLWAAHCRKIQLKKDNSSTQVYNYQPCDHPDRPCDSTCPCIMT
+QNFCEKFCQCNPDCQNRFPGCRCKTQCNTKQCPCYLAVRECDPDLCLTCGASEHWDCKVV
+SCKNCSIQRGLKKHLLLAPSDVAGWGTFIKESVQKNEFISEYCGELISQDEADRRGKVYD
+KYMSSFLFNLNNDFVVDATRKGNKIRFANHSVNPNCYAKVVMVNGDHRIGIFAKRAIQAG
+EELFFDYRYSQADALKYVGIERETDVL
+>sp|A4IFM1|F155A_BOVIN Transmembrane protein FAM155A OS=Bos taurus OX=9913 GN=FAM155A PE=2 SV=1
+MTRGAWMCRQYDDGLKIWLAAPRENEKPFIDSERAQKWRLSLASLLFFTVLLSDHLWFCA
+EAKLTRARDKEQQQQQQQQQQRQQRQRQEQRQRQRQHEPSWPALLASMGEPSPAAQAHRL
+LSASPSPTLPPSLGGGGGGKGGRGTDSRGKPPLPGNSARPAWRLETCYPQGASSGQCFTV
+DSADAVCARNWSRGAAARGEQGQQTRGPPQPTPLWNLSDFYLSFCNSYTLWELFSGLSSP
+NTLNCSLDVVLKEGGEMTTCRQCVEAYQDYDHHAQEKYEEFESVLHKYLQSEEYSVKSCP
+EDCKIVYKAWLCSQYFEVAQLNCRKTIPCKQYCLEVQTRCPFILPDNDEVIYGGLSSFIC
+TGLYETFLTNDEPECCDVRREAKPKSPSKGAGDRGGACPRTSLSASSAPRLGPSRLRLCV
+LVLMLLHTVLTASVAHNATALGVGGVHTLDERSASEE
+>sp|Q2HJ74|GATM_BOVIN Glycine amidinotransferase, mitochondrial OS=Bos taurus OX=9913 GN=GATM PE=2 SV=1
+MLRVRCLRGGSRGAEALHYIGSRLGRTVTGWVQRTFQSTQAATASSRNSCAADDKATDPL
+PKDCPVSSFNEWDPLEEVIVGRAENACVPPFTVEVKANTYDKHWPFYQKYGGSYFPKDHL
+QKAVAEIEEMCNILKMEGVTVRRPDPIDWSLKYKTPDFESTGLYGAMPRDILIVVGNEII
+EAPMAWRARFFEYRAYRTIIKDYFRRGAKWTTAPKPTMADELYDQDYPIHSVEDRHKLAA
+QGKFVTTEFEPCFDAADFIRAGRDIFVQRSQVTNYMGIEWMRKHLAPDYRVHIVSFKDPN
+PMHIDATFNIIGPGLVLSNPDRPCHQIDLFKKAGWTIVTPPTPIIPDDHPLWMSSKWLSM
+NVLMLDEKRVMVDANEVPIQKMFEKLGISTIKVSIRNANSLGGGFHCWTCDVRRRGTLQS
+YFD
+>sp|A5PKI3|FAM3C_BOVIN Protein FAM3C OS=Bos taurus OX=9913 GN=FAM3C PE=2 SV=1
+MRVAGAAKLVVAVAVFLLTFYVISQVFEIKMDASLGNLFARSALDAVVRSTKPPRYKCGI
+SKACPEKHFAFKMASGAANVVGPKICLEDNVLMSGVKNNVGRGINVALVNGKTGELIDTR
+FFDMWGGNVAPFIEFLKAIQDGTIVLMGTYDDGATKLNDEARRLIAELGSTSITHLGFRD
+NWVFCGGKGIKTKSPFEQHIKNNKDTNKYEGWPEVVEMEGCIPQKQD
+>sp|Q5EA65|GPT_BOVIN UDP-N-acetylglucosamine--dolichyl-phosphate N-acetylglucosaminephosphotransferase OS=Bos taurus OX=9913 GN=DPAGT1 PE=2 SV=1
+MWAFPELPMPLLVNLIGSLMGFVATVTLIPAFRGHFIAARLCGQDLNKSSREQIPESQGV
+ISGAVFLIILFCFIPFPFLNCFVEQQCKAFPHHEFVALIGALLAICCMIFLGFADDVLNL
+RWRHKLLLPTAASLPLLMVYFTNFGNTTIVVPKPLRPILGLHLDLGILYYVYMGLLAVFC
+TNAINILAGINGLEAGQSLVISASIIVFNLVELDGDYRDDHIFSLYFMIPFFFTTLGLLY
+HNWYPSRVFVGDTFCYFAGMTFAVVGILGHFSKTMLLFFMPQVFNFLYSLPQLLHIIPCP
+RHRMPRLNTKTGKLEMSYSKFKTKSLSFLGTFILKVAENLGLLTVRHSEDEDGAFTECNN
+MTLINLLLKVFGPMHERNLTLLLLLLQVVGSAVTFSIRYQLVRLFYDV
+>sp|Q17QD8|G37L1_BOVIN G-protein coupled receptor 37-like 1 OS=Bos taurus OX=9913 GN=GPR37L1 PE=2 SV=1
+MRWLWPLGVSLAVALAAGPERAPRGVWLQQGGHQPVAQEQPDRSRRGAEREDAKGLQQYV
+PEGWAEYPRPIRPAALQPTQPWVAASPSPDRARATGGSGQEPQGNVTGPPGQRPQVQNPL
+YPVTERSYGAYAVLLLALLLFAVGIVGSLAVMCIVWHSYYLKSAWNSVLASLALWDFLVL
+FFCLPVVTFHEITKQRLLGAVSCRAVPFVEVSSLGVTTFSLCALGIDRFHVATSTLPKAR
+PIEPCPSILAKLAVIWVGSMTLAAPELLLWQLVREPSPAAGTVDTCIMKPSAHLPESLYS
+LVLTYQNARMWWSFGCYFCLPVLFTVTCQLVTWRVRGTPGRKPESRPGPQEPRGARPSST
+VAGLAAVHALCALPENVCNVVAAYLSAALTRQTLELLGLVTQFSTFFKAALTPLLLLCVS
+RPLGRAFLDCCCCCCGEGCGEGCGGRAAPAGPRAKLHTELSASGYFHKPREAPPLLALGT
+PC
+>sp|Q28024|GBG12_BOVIN Guanine nucleotide-binding protein G(I)/G(S)/G(O) subunit gamma-12 OS=Bos taurus OX=9913 GN=GNG12 PE=1 SV=2
+MSSKTASTNNIAQARRTVQQLRMEASIERIKVSKASADLMSYCEEHARNDPLLMGIPTSE
+NPFKDKKTCTIL
+>sp|Q07537|GALT1_BOVIN Polypeptide N-acetylgalactosaminyltransferase 1 OS=Bos taurus OX=9913 GN=GALNT1 PE=1 SV=1
+MRKFAYCKVVLATSLIWVLLDMFLLLYFSECNKCDEKKERGLPAGDVLEPVQKPHEGPGE
+MGKPVVIPKEDQEKMKEMFKINQFNLMASEMIALNRSLPDVRLEGCKTKVYPDNLPTTSV
+VIVFHNEAWSTLLRTVHSVINRSPRHMLEEIVLVDDASERDFLKRPLESYVKKLKVPVHV
+IRMEQRSGLIRARLKGAAVSKGQVITFLDAHCECTVGWLEPLLARIKHDRKTVVCPIIDV
+ISDDTFEYMAGSDMTYGGFNWKLNFRWYPVPQREMDRRKGDRTLPVRTPTMAGGLFSIDR
+DYFQEIGTYDAGMDIWGGENLEISFRIWQCGGTLEIVTCSHVGHVFRKATPYTFPGGTGQ
+IINKNNRRLAEVWMDEFKNFFYIISPGVTKVDYGDISSRLGLRHKLQCRPFSWYLENIYP
+DSQIPRHYFSLGEIRNVETNQCLDNMARKENEKVGIFNCHGMGGNQVFSYTANKEIRTDD
+LCLDVSKLNGPVTMLKCHHLKGNQLWEYDPVKLTLQHVNSNQCLDKATDEDSQVPSIRDC
+SGSRSQQWLLRNVTLPEIF
+>sp|A0JNG7|F16B1_BOVIN Protein FAM160B1 OS=Bos taurus OX=9913 GN=FAM160B1 PE=2 SV=1
+MFSKFTSILQHAVEALAPSLPLQEDFVYHWKAITHYYIETSDDKAPVTDTNIPSHLEQML
+VILVQEENEREFGETGPCMEYLLHHKILETLYTLGKADCPPGMKQQVLVFYTKLLGKIRQ
+PLLPHINVHRPVQKLIRLCGEVLATPTENEEIQFLCIVCAKLKQDPYLVNFFLENKFKSL
+VSQGGPNAISEDVLKSQDSLSTDTGQSCQAEEPLGASGTEHTDLGDQPSHQMGDLSTSLE
+NLSVTALPEATVVRPNQDYNLVNSLLNLTRSPDGRIAVKACEGLMLLVSLPEPAAAKCLT
+QSTCLCELLTDRLASLYKALPQSVDPLDIETVEAVNWGLDSYSHKEDASAFPGKRALISF
+LSWFDYCDQLIKEAQKTAAVALAKAVHERFFIGVMEPQLMQTSEMGILTSTALLHRIVRQ
+VTSDILLQEMVFFILGEQREPETLAEISRHPLRHRLIEHCDHISDEISIMTLRMFEHLLQ
+KPNEHILYNLVLRNLEERNYTEYKPVCPEDKDVVENGLIAGAVDLEEDPLFTDISPDNTL
+SNQEWLSSSPPATPDHPKTDGKTEVHKIVNSFLCLVPDEAKSSYHVEGTGYDTYLRDAHR
+QFRDYCAICLRWEWPGSPKALERCNLEAAFFEGHFLKVLFDRMGRILDQPYDVNLQVTSV
+LSRLSLFPHPHIHEYLLDPYVNLASGCRSLFSVIVRVVGDLMVRIQRIQDFTPKLLLVRK
+RLLGLEPEGPVIDHITLLEGVIVLEEFCKELAAIAFVKYHAASTP
+>sp|Q3ZBN6|GA45A_BOVIN Growth arrest and DNA damage-inducible protein GADD45 alpha OS=Bos taurus OX=9913 GN=GADD45A PE=2 SV=1
+MTLEEFSAGEQKTERMDKVGDALEEVLSKALSQRTITVGVYEAAKLLNVDPDNVVLCLLA
+ADEDDDRDVALQIHFTLIQAFCCENDIDILRVSNPGRLAELLLLETDAGPAASEGAEQPP
+DLHCVLVTNPHSSQWKDPALSQLICFCRESRYMDQWVPVINLPER
+>sp|P01352|GAST_BOVIN Gastrin OS=Bos taurus OX=9913 GN=GAST PE=1 SV=2
+MQRLCAHVLILVLALAAFCEASWKPHSHLQDAPVAPGANRGQEPLRMNRLGPASNPRRQL
+GLQDPPHMVADLSKKQGPWVEEEEAAYGWMDFGRRSAEEGDQHP
+>sp|Q58D84|FSTL1_BOVIN Follistatin-related protein 1 OS=Bos taurus OX=9913 GN=FSTL1 PE=2 SV=1
+MMWRRWLALALVAVAWVHAEEQVRSKSKICANVFCGAGRECAVTEKGEPTCLCIEQCKPH
+KRPVCGSNGKTYLNHCELHRDACLTGSKIQVDYDGHCKEKKSVSPSASPVVCYQSNRDEL
+RRRIIQWLEAEIIPDGWFSKGSNYSEILDKYFKNFDNGDSRLDSSEFLKFVEQNETAINI
+TTYADQENNKLLRGLCVDALIELSDENADWKLSFQEFLKCLNPSFNPPEKKCALEDETYA
+DGAETEVDCNRCVCACGNWVCTAMTCDGKNQKGAQTQAEEEMTRYVQELQKHQETAEKSK
+RVSTKEI
+>sp|Q148L6|DHDH_BOVIN Trans-1,2-dihydrobenzene-1,2-diol dehydrogenase OS=Bos taurus OX=9913 GN=DHDH PE=2 SV=1
+MALRWGIVSAGLISSDFTTMLRMLPRSEHQVVAVAARDLSRAKEFAKKHDIPKAYGSYEE
+LAKDPDVEVAYIGTQHPQHKAAVLLCLTAGKAVLCEKPMGVNAAEVREMVAEARSRGLFF
+MEAIWTRFFPAVEALRSVLAQGTLGDLRVVRAEFGKNLTHVHRATDWAQAGGGLLDLGIY
+CLQFISMVFGGQKPEKISAVGRRHETGVDDTVTVILQYPGGVHGSFTCSISAQLSNTVSV
+SGTKGMAQLLDPCWSPTELVVKGEHKEFPLPPAPGKEFNFTNGMGMSYEAKHVRECLQKG
+LKESPVIPLVESELLADILEEVRKAIGITFPQDKH
+>sp|Q2HJH1|DNPEP_BOVIN Aspartyl aminopeptidase OS=Bos taurus OX=9913 GN=DNPEP PE=1 SV=1
+MSGRARKEAVQAAARELLKFVNRSPSPFHAVAECRSRLLQAGFHELKETESWDIKPESKY
+FLTRNSSTIIAFAVGGQYVPGNGFSLIGAHTDSPCLRVKRRSRRSQVGFQQVGVETYGGG
+IWSTWFDRDLTLAGRVIVKCPTSGRLEQRLVHVDRPILRIPHLAIHLQRNVNENFGPNME
+MHLVPILATSIQEELEKGTPEPGPLNATDERHHSVLTSLLCAHLGLSPEDILEMELCLAD
+TQPAVLGGAYEEFIFAPRLDNLHSCFCALQALIDSCSAPASLAADPHVRMIALYDNEEVG
+SESAQGAQSLLTELVLRRISASPQHLTAFEEAIPKSYMISADMAHAVHPNYLDKHEENHR
+PLFHKGPVIKVNSKQRYASNAVSEALIREVASSVGVPLQDLMVRNDSPCGTTIGPILASR
+LGLRVLDLGSPQLAMHSIRETACTTGVLQTITLFKGFFELFPSLSRSLLVD
+>sp|A6QPT7|ERAP2_BOVIN Endoplasmic reticulum aminopeptidase 2 OS=Bos taurus OX=9913 GN=ERAP2 PE=2 SV=1
+MANSCRKLIFNIYVVFYCSAVIMPQICICSQFTSSPIDQFNKDPKAFPVATNGEIFPWHE
+LRLPTVVIPLHYDLLIHPNLTSLDFVASEKIEVLVRDATQFIILHSKDLEILNASLQSEE
+DVRYKKPGENLTVLSYPAHQQIALLVPEKLRAHLRYSVAIDFQAKLADGFEGFYKSTYRT
+LGGETRTIAVTDFEPTEARMAFPCFDEPLFKANFSIKIRRESRHIALSNMPKVKTIELEG
+GLLEDHFETTVRMSTYLVAYIVCDFTSVSGTASSGVKVSIYASPDKWSQTHYALEASVKL
+LDFYENYFDIHYPLPKLDLVAIPDFASGAMENWGLITYRETSLLFDPKTSSTSDKLWVTK
+VIAHELAHQWFGNLVTMEWWNDIWLNEGFARYMELISLNITYPELQFDDSFSNTCFEVIK
+RDSLNSSHPISNEAKTATQIKEMFDAVSYNKGACILNMLKDFLSEETFRKGIIHYLKKFT
+YRNAKNDDLWHSLSNNCLEGDSTSGGFCYSDSRKTSNTLAFLRENVELKEMMATWTLQKG
+IPLVVVKREGRSLRLQQERFLSGVFKEDPEWGTLQERYLWHIPVTYSTSSSQAIHRHILK
+LKTDTVDLSEKTDWVKFNVDSSGYYIVHYEGQGWDELITLLNQNHTLLRPKDRLGLIHDA
+FQLVSAGRLTLDKALDLTRYLQHETSIPALLKGLEYLELFYRMVERRNISDVTENLKHYL
+LQYFKPVIDTQSWLDEGSVWDRMLRSTVLKLACYLNHAPCIQKATELFSQWMESSGKLNI
+PADVLTIVYSVGAQTTAGWNYLLEQYELSLSGAEKNKILYALSTSKHQEKLMKLIELGME
+GKVIKTQDLATLLFTTARNPKGQQLAWNFVKENWTHLLKKFELGSFPIRMIISGTTSHFS
+SKDELQEVKLFFESLKAQGSHLDIFQIILETISKNIKWLEKNLPTLRKWLLTSI
+>sp|Q1KZG4|FHIT_BOVIN Bis(5'-adenosyl)-triphosphatase OS=Bos taurus OX=9913 GN=FHIT PE=2 SV=1
+MSFRFGQHLIKPSVVFLKTELSFALVNRKPVVPGHVLVCPLRPVERFRDMSPEEVADLFQ
+AAQRVGTVVEKHFQGTSLTFSMQDGPEAGQTVKHVHVHILPRKAGDFHRNDSIYDALEKH
+DREDKDSPALWRSEEEMAAEAAALRVYFQ
+>sp|Q8SPU8|DHRS4_BOVIN Dehydrogenase/reductase SDR family member 4 OS=Bos taurus OX=9913 GN=DHRS4 PE=2 SV=2
+MLKVGLPLGACARSWKSVRMASCGMARRNPLDNKVALVTASTDGIGFAIARRLAQDGAHV
+VVSSRKQQNVDRAVATLKGEGLSVTGTVCHVGKAEDRERLVATAVKLHGGVDILISNAAV
+SPFFGSLMDVPEEVWDKILDVNVKATALLTKAVVPEMAKRGGGSIVIVSSIAAYSPFPSL
+GPYNVSKTALLGLTKNLALELAESNVRVNCLAPGLIRTSFSRVLWEDPARQESIKATFQI
+KRIGKPEECAGIVSFLCSEDASYITGETVVVAGGSLSHL
+>sp|P27117|DCOR_BOVIN Ornithine decarboxylase OS=Bos taurus OX=9913 GN=ODC1 PE=2 SV=1
+MNSFSNEEFDCHFLDEGFTAKDILDQKINEVSYSDDKDAFYVADLGDILKKHLRWLKALP
+RVTPFYAVKCNDSRTIVKTLAAIGTGFDCASKTEIQLVQSLGVPPERIIYANPCKQVSQI
+KYAANNGVQMMTFDSEVELMKVARAHPKAKLVLRIATDDSKAVCRLSVKFGATLKTSRLL
+LERAKELDIDVIGVSFHVGSGCTDPETFVQAISDARCVFDMGAEVGFNMYLLDIGGGFPG
+SEDVKLKFEEITSVINPALDKYFPSDSGVRIIAEPGRYYVASAFTLAVNIIAKKLVLKEQ
+TGSDDEEESTDRTFMYYVNDGVYGSFNCILYDHAHVKPLLQKRPKPDEKYYSSSIWGPTC
+DGLDRIVERCNLPEMHVGDWMLFENMGAYTVAAASTFNGFQRPTIYYVMSGPTWQLMQQI
+RTQDFPPGVEEPDVGPLPVSCAWESGMKRHSAACASTRINV
+>sp|A6QLH5|ERI3_BOVIN ERI1 exoribonuclease 3 OS=Bos taurus OX=9913 GN=ERI3 PE=2 SV=1
+MATASPAADGGRGRPWEGGLVSWPPAPPLTIPWTWMGPSWGQHPGHWGFPALTEPSASPA
+ASLGIFEVRRVLDASGCSMLAPLQTGAARFSSYLLSRARKVLGSHLFSPCGVPEFCSIST
+RKLAAHSFGASMAAMMSFPPQRYHYFLVLDFEATCDKPQIHPQEIIEFPILKLNGRTMEI
+ESTFHMYVQPVVHPQLTPFCTELTGIIQAMVDGQPSLQQVLERVDEWMAKEGLLDPNVKS
+IFVTCGDWDLKVMLPGQCQYLGLPVADYFKQWINLKKAYSFAMGCWPKNGLLDMNKGLSL
+QHIGRPHSGIDDCKNIANIMKTLAYRGFIFKQTSKPF
+>sp|G3N1S4|MCIN_BOVIN Multicilin OS=Bos taurus OX=9913 GN=MCIDAS PE=3 SV=1
+MQECGATAAGRRAFDSICPNRMLDPRGRPISKPGKPERKFAPPRKFFPGSSGCNRVSVYE
+DPPDAETPALPALTTIDLQDLADCSSFLGSDPPPGGDSAASQSHSLQTAADFDLQDFRDT
+VDNLIADSSSLMSPSLAGGDLPFSPSDVLPFGPCLSPPLSPPEVPPPEQYWKEVADQNQR
+ALGDALIENNQLHATLTQKQEEIASLKERNLQLKELASRTRHLASVLDKLMITHSRDPGA
+AAEPFLLKATAKRSLEELFSAAGQDCAEVDAVLREISERCDEALQSRDPKRLRLQPEPQS
+LDRRPGNLHGAFPGLRTDCSLSTLNLSHSELEEGGSFSTPIRSHSTIRTLAFPQGNAFTI
+RTANGGYKFRWIPS
+>sp|A3KN05|MAIP1_BOVIN m-AAA protease-interacting protein 1, mitochondrial OS=Bos taurus OX=9913 GN=MAIP1 PE=2 SV=1
+MALAVRLLPRLLLSRPLPGWAARLRTLSSAEVKRPLSGLCYLCRRRLGSGAAPFPRVSWA
+SAALALSARGPQRPLLSPLEVASTLPTFPSCPRRTYSTEEQPQQRQKTKMIILGFSNPIN
+WVRTRIYSFLIWAYFDQEFSITEFSEGAKQAFAHVSKLLSQCKFDLLEELVAKETLHVLK
+EKVTSLPDNHKNALAADIDEIVYTSTGDISIYYDEKGRKFVNILMCFWYLTSANIPSEAI
+SGARVFQVKLGDQNVETKQLLSASYEFQREFTQGVKPDWTIARIEHPKLLE
+>sp|A4IFD8|MET14_BOVIN N6-adenosine-methyltransferase non-catalytic subunit OS=Bos taurus OX=9913 GN=METTL14 PE=2 SV=1
+MDSRLQEIRERQKLRRQLLAQQLGAESADSIGALLNSKDEQREIAETRETCRASYDTSAP
+NAKRKYQDEGETDEDKMEEYKDELEMQQEEENLPYEEEIYKDSSTFLKGTQSLNPHNDYC
+QHFVDTGHRPQNFIRDVGLADRFEEYPKLRELIRLKDELIAKSNTPPMYLQADIEAFDIR
+ELTPKFDVILLEPPLEEYYRETGITANEKCWTWDDIMKLEIDEIAAPRSFIFLWCGSGEG
+LDLGRVCLRKWGYRRCEDICWIKTNKNNPGKTKTLDPKAVFQRTKEHCLMGIKGTVKRST
+DGDFIHANVDIDLIITEEPEIGNIEKPVEIFHIIEHFCLGRRRLHLFGRDSTIRPGWLTV
+GPTLTNSNYNAETYASYFSAPNSYLTGCTEEIERLRPKSPPPKSKSDRGGGAPRGGGRGG
+TSAGRGRERNRSNFRGERGGFRGGRGGAHRGGFPPR
+>sp|Q0IIL1|MICU1_BOVIN Calcium uptake protein 1, mitochondrial OS=Bos taurus OX=9913 GN=MICU1 PE=2 SV=1
+MFRLNSLSALAELAVGSRWYHGGSQPTQIRRRLMMVAFLGASAVTASTGLLWKRALAESP
+PSANNIKSELGDKGKSKEEGEDCNAEKKAAGVCLEPYPEEKKKKRSGFRDRKVMEYENRI
+RAYSTPDKIFRYFATLKVISEHGESEVFMTPQDFVRSITPNEKQPEHLGLDQYTIKRFDG
+KKIAQEREKFADEGSIFYTLGECGLISFSDYIFLTTVLSTPQRNFEIAFKMFDLNGDGEV
+DMEEFEQVQSIIRSQTSMGMRHRDRSTTGNTLKSGLCSALTTYFFGADLKGKLTIKNFLE
+FQRKLQHDVLKLEFERHDPVDGRITERQFGGMLLAYSGVQSKKLTAMQKQLKKHFKEGKG
+LTFQEVENFFTFLKNINDVDTALSFYHMAGASLDKVTMQQVARTVAKVELSDHVCDVVFA
+LFDCDGNGELSNKEFVSIMKQRLMRGLEKPKDMGFTRLMQAMWKCAQETAWDFALPKQ
+>sp|Q32LC4|MMGT1_BOVIN Membrane magnesium transporter 1 OS=Bos taurus OX=9913 GN=MMGT1 PE=2 SV=1
+MAPSLWKGLVGIGLFALAHAAFSAAQHYFPSSGIKWKRKCEFLQSSSFQDKIFRSMYYVY
+DRSYMRLTEKEDESLPIDIVLQTLLAFAVTCYGIVHIAGEFKDMDATSELKNKTFDTLRN
+HPSFYVYNHRGRVLFRPSDTTNSSNQDALSSNTSLKLRKLESLRR
+>sp|P58354|GTR8_BOVIN Solute carrier family 2, facilitated glucose transporter member 8 OS=Bos taurus OX=9913 GN=SLC2A8 PE=2 SV=2
+MTPEDQEETQPLLRPPGGSAPRGRRVFLAAFAAALGPLSFGFALGYSSPAIPSLRRAAPP
+APHLDEDAASWFGAIVTLGAAAGGVLGGWLLDRAGRKLSLVLCALPFVAGFAVITAAQNL
+WMLLGGRLLTGLACGIASLVAPVYISEIAYPEVRGLLGSCVQLMVVTGILLAYLAGWVLE
+WRWLAVLGCVPPSFMLLLMCFMPETPRFLLSQHKHQEAMAAMQFLWGYAQGWEEPPLGAQ
+HQDFHVAQLRRPGVYKPFIIGISLMAFQQLSGVNAVMFYAETIFEEAKFKDSSLASVVVG
+VIQVLFTATAALIMDRAGRRLLLTLSGVVMVFSTSAFGTYFKLTEGGPSNSSHVDLPALV
+SMEAADTNVGLAWLAVGSMCLFIAGFAVGWGPIPWLLMSEIFPLHVKGVATGVCVLTNWF
+MAFLVTKEFSSLMEVLRPYGAFWLASAFCIFGVLFTLACVPETKGKTLEQITAHFEGR
+>sp|P07353|IFNG_BOVIN Interferon gamma OS=Bos taurus OX=9913 GN=IFNG PE=1 SV=1
+MKYTSYFLALLLCGLLGFSGSYGQGQFFREIENLKEYFNASSPDVAKGGPLFSEILKNWK
+DESDKKIIQSQIVSFYFKLFENLKDNQVIQRSMDIIKQDMFQKFLNGSSEKLEDFKKLIQ
+IPVDDLQIQRKAINELIKVMNDLSPKSNLRKRKRSQNLFRGRRASM
+>sp|Q05718|IBP6_BOVIN Insulin-like growth factor-binding protein 6 OS=Bos taurus OX=9913 GN=IGFBP6 PE=2 SV=2
+MTPHRLLPPLLLTLLLAARPGGALARCPGCGQGVSAGCPGGCAEEEDGGPAAEGCAEAGG
+CLRREGQQCGVYTPNCAPGLQCQPPEKEDLPLRALLQGRGRCGRARTPSGENPKESKPQA
+GTARSQDVNRRDQQRNSGTSTTPSRSNSGGVQDTEMGPCRKHLDSVLQQLQTEVFRGAHT
+LYVPNCDHRGFYRKRQCRSSQGQRRGPCWCVERMGQPLPGSSEGGDGSSLCPTGSSG
+>sp|A1L595|K1C17_BOVIN Keratin, type I cytoskeletal 17 OS=Bos taurus OX=9913 GN=KRT17 PE=2 SV=1
+MTTTIRHFSSGSIKGSSGLAGGSSRSCRVSGSLGGGSCRLGSAGGLGSGLGGSSYSSCYS
+FGSGGGYGSGGYVSGGYGGGFGGVDGLLVGGEKATMQNLNDRLASYLDKVRALEEANTEL
+ELKIRDWYQKQAPGPAPDYSSYFKTIEDLRNKIHTATVDNANLLLQIDNARLAADDFRTK
+FETEQALRVSVEADINGLRRVLDELTLARADLEMQIENLKEELAYLRKNHEEEMKALRGQ
+VGGEINVEMDAAPGVDLSRILNEMRDQYEKMAEKNRKDAEDWFFSKTEELNREVATNSEL
+VQSGKSEISELRRTLQALEIELQSQLSMKASLEGSLAETENRYCMQLSQIQGLIGSVEEQ
+LAQLRCEMEQQNQEYKILLDVKTRLEQEIATYRRLLEGEDAHLTQYKTKEPVTTRQVRTI
+VEEVQDGRVISSREQVHQTSH
+>sp|Q5E9T6|LGI1_BOVIN Leucine-rich glioma-inactivated protein 1 OS=Bos taurus OX=9913 GN=LGI1 PE=2 SV=1
+MESERSQRMGNACIPLKRIAYCLCLLSALLLTEGKKPAKPKCPAVCTCTKDNALCENARS
+IPRTVPPDVISLLFTSNSFDVISDDAFIGLPHLEYLFIENNNIKSISRHTFRGLKSLIHL
+SLANNNLQTLPKDIFKGLDSLTNVDLRGNSFNCDCKLKWLVEWLSHTNATVEDIYCEGPP
+EYKKRKINSLSSKDFDCIITEFAKSQDLPYQSLSIDTFSYMNDEYVVIAQPFTGKCIFLE
+WDHVEKTFRNYDNITGTSTVVCKPIVIETQLYVIVAQLFGGSHIYKRDSFANKFIKIQDI
+EILKIRKPNDIETFKIENNWYFVVADSSKAGFTTIYKWNGNGFYSHQSLHAWYRDTDVEY
+LEIARTPQTLRTPHLILSSSSQRPVIYQWNKAIQLFTNQTDIPNMEDVYAVKHFSVKGDV
+YICLTRFIGDSKVMKWGGSSFQDIQRMPSRGSMVFQPLQINNYQYAILGSDYSFTQVYNW
+DAEKAKFVKFQELNVQAPRSFTHVSINKRNFLFASSFKGNTQIYKHVIVDLSA
+>sp|A7MAZ5|H13_BOVIN Histone H1.3 OS=Bos taurus OX=9913 GN=HIST1H1D PE=1 SV=1
+MSETAPVAPAAPAPAEKTPVKKKAKKSGVAAGKRKASGPPVSELITKAVAASKERSGVSL
+AALKKALAAAGYDVEKNNSRIKLGLKSLVSKGTLVQTKGTGASGSFKLNKKAATGEAKPK
+GKKAGAAKPKKAAGAAKKPKKSTGAATPKKAAKKTPKKVKKPAAAAGTKKVAKSPKKAKA
+AKPKKPTKSPAKAKAPKPKAAKPKAAKPKATKAKKAVSKKK
+>sp|A2VE33|LYPD8_BOVIN Ly6/PLAUR domain-containing protein 8 OS=Bos taurus OX=9913 GN=LYPD8 PE=2 SV=2
+MKSFLFAGIVVVLTVAAVDTLRCIQCNSLKDSCVAKNATECPSNATTSCTSFSTNFYHGE
+HPTWYEDHACSEENCSNTTVESFTVSVSENETFHFESQCCLGEPCNQTSNTTASPHQVGS
+GNMECPACYGNNETSCNETRKCYGERCVSIIAEFTNETKTLVLKGCSNVSISTCESLGAG
+NQTFRGVTFRKFECGDNFSTTTPLATTDTGSQASFTPLALASILLLSLLL
+>sp|Q0VD01|IMP4_BOVIN U3 small nucleolar ribonucleoprotein protein IMP4 OS=Bos taurus OX=9913 GN=IMP4 PE=2 SV=1
+MLRREARLRREYLYRKAREEAERTAQERKDKVRRALEENRLIPTELRREALALQGSLEFD
+DAGGEGVTNHVDDEYRWAGVEDPKVMITTSRDPSSRLKMFAKELKLVFPGAQRMNRGRHE
+VGALVRACKANGVTDLLVVHEHRGTPVGLIVSHLPFGPTAYFTLCNVVMRHDIPDLGTAS
+EAKPHLIMHGFSSRLGKRVSDILRYLFPVPKDDSRRVITFANQDDYISFRHHVYKKTNHR
+NVELTEVGPRFELKLYMIRLGTLEQEATADVEWRWHPYTNTAHKRVFLSAE
+>sp|Q28005|LSHR_BOVIN Lutropin-choriogonadotropic hormone receptor OS=Bos taurus OX=9913 GN=LHCGR PE=2 SV=1
+MGRPSLALRLLLALLLLPPPAPLLWALRPAPCPEPCSCPPDGALRCPGPQAGLSRLSLTY
+LPIKVIPSQAFRGLNEVIKIEISQSDSLEKIEANAFDNLLNLSEILIQNTKNLVHIEAGA
+FTNLPRLKYLSICNTGIHKLPDVTKIFSSEFNFILEICDNLHITTIPRNAFQGMNNESIT
+LKLYGNGFEEIQSHAFNGTTLISLELKENARLEKMHNDAFRGATGPSILDISSTKLQALP
+TYGLESIQTLIATSSYSLKKLPSREKFTNLLDATLTYPSHCCAFRNLPTNEQNFSFSIFK
+NFSKQCESTARRPNNETLYSAIFAESELSGWDYDYGFCLPKTLQCAPEPDAFNPCEDIMG
+YNFLRVLIWLINILAITGNVTVLFVLLTSRYKLTVPRFLMCNLSFADFCMGLYLLLIASV
+DAQTKGQYYNHAIDWQTGSGCSAAGFFTVFASELSVYTLTVITLERWHTITYAIQLDQKL
+RLKHAIPVMLGGWLFSTLIAVLPLVGVSNYMKVSICLPMDVESTLSQVYILTILILNVMA
+FIIICACYIKIYFAVQNPELMATNKDTKIAKKMAVLIFTDFTCMAPISFFAISAAFKVPL
+ITVTNSKVLLVLFYPVNSCANPFLYAIFTKAFQRDFFLLLSKFGCCKYRAELYRRKDFSA
+YISNCKNGFTGSNKPSRSTFKLTTLQCQYSAVLDKTCYKEC
+>sp|Q3ZBX9|H2AJ_BOVIN Histone H2A.J OS=Bos taurus OX=9913 GN=H2AFJ PE=2 SV=1
+MSGRGKQGGKVRAKAKSRSSRAGLQFPVGRVHRLLRKGNYAERVGAGAPVYLAAVLEYLT
+AEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGKVTIAQGGVLPNIQAVLLPKK
+TESQKTKSK
+>sp|Q2KIX7|HP251_BOVIN Protein HP-25 homolog 1 OS=Bos taurus OX=9913 PE=1 SV=1
+MPGGRRRVGSMNIAGFWILAQFVLLLVANVKSSADSELCGPRGARGPPGLSGLPGPPGYT
+GPIGMPGLTGRPGLPGLVEKCPPLPQSAFSVKLSGPFPGPSQPIVFQEVLYNHQGHFDPA
+TGVFNCSVPGVYHFGFDIELFQSAVKVGLMRNGIQIRDKRAEAGDSHEQASGSSVLELEK
+GDRVWLESKLDREESEKGTTHAVFYGFLLNGN
+>sp|A6QQQ9|K1C20_BOVIN Keratin, type I cytoskeletal 20 OS=Bos taurus OX=9913 GN=KRT20 PE=2 SV=2
+MDFSQRSFHRSLSSSSQSPGLSMSSSIYRRGVAPSVYGGAGGHGTRISTSRHLANYGSVP
+AGGNLFAGNEKMTMQNLNDRLASYLERVRSLEQSNSHLEQQIKHWYETNTPSTGRDHSAY
+MGQIKELRDQIKDAQLQNARCVLQIDNAKLAMEDFRLKYEAERGICQTVVADLHGLKRVF
+DELTLTKADLEIQIEELTKDLHLLQKEHEEEVRSLRAHLGNNVNVEVDAPPSLNLGAIMN
+EMRQKYDAMAQENLQKAKEQFEIQINDLQQQVTVSTEELKGTKDQIKEQRHTYQVLELEL
+QSLLNMKEALEHTLEETNARYGSHLAKIQARLNSLEGQLVQVRTDTERQIHEYNILLDIK
+IRLEQEIATYRRLLEGEDVKEYQLSTLDEKDIKKTRKIKTVVQEVVDGKVVSSEVKEVEE
+SV
+>sp|P20456|IMPA1_BOVIN Inositol monophosphatase 1 OS=Bos taurus OX=9913 GN=IMPA1 PE=1 SV=1
+MADPWQECMDYAVTLAGQAGEVVREALKNEMNIMVKSSPADLVTATDQKVEKMLITSIKE
+KYPSHSFIGEESVAAGEKSILTDNPTWIIDPIDGTTNFVHGFPFVAVSIGFVVNKKMEFG
+IVYSCLEDKMYTGRKGKGAFCNGQKLQVSHQEDITKSLLVTELGSSRTPETVRIILSNIE
+RLLCLPIHGIRGVGTAALNMCLVAAGAADAYYEMGIHCWDVAGAGIIVTEAGGVLLDVTG
+GPFDLMSRRVIASSNKTLAERIAKEIQIIPLQRDDED
+>sp|Q5EA20|HPPD_BOVIN 4-hydroxyphenylpyruvate dioxygenase OS=Bos taurus OX=9913 GN=HPD PE=2 SV=3
+MTTYSDKGEKPERGRFLHFHSVTFWVGNAKQAASYYCSKLGFEPLAYKGLETGSREVVSH
+VVKQGQIVFVFSSALNPWNKEMGDHLVKHGDGVKDIAFEVEDCDYIVQKARERGAKIVRE
+PWVEQDKLGKVKFAVLQTYGDTTHTLVEKMNYTGRFLPGFEAPPFMDPQLSKLPSCSLEI
+IDHIVGNQPDQEMVSASEWYLKNLQFHRFWSVDDTQVHTEYSSLRSVVVANYEESIKMPI
+NEPAPGKKKSQIQEYVDYNGGAGVQHIALKTKDIITAIRHLRERGVEFLAVPSTYYKQLR
+EKLKMAKIRVKENIDILEELKILVDYDEKGYLLQIFTKPMQDRPTLFLEVIQRHNHQGFG
+AGNFNSLFKAFEEEQDLRGNLTDMEPNGVVSGM
+>sp|A1A4H9|LRRT1_BOVIN Leucine-rich repeat transmembrane neuronal protein 1 OS=Bos taurus OX=9913 GN=LRRTM1 PE=2 SV=1
+MDFLLLGLCLHWLLRRPSGVVLCLLGACFQMLPAAPSGCPQLCRCEGRLLYCEALNLTEA
+PHNLSGLLGLSLRYNSLSELRAGQFTGLMQLTWLYLDHNHICSVQGDAFQKLRRVKELTL
+SSNQITQLANTTFRPMPNLRSVDLSYNKLQALAPDLFHGLRKLTTLHMRANAIQFVPVRI
+FQDCRSLKFLDIGYNQLKSLARNSFAGLFKLTELHLEHNDLVKVNFAHFPRLISLHSLCL
+RRNKVAIVVSSLDWVWNLEKMDLSGNEIEYMEPHVFETVPHLQSLQLDSNRLTYVEPRIL
+NSWKSLTSITLAGNLWDCGRNVCALASWLSNFQGRYDGNLQCASPEYAQGEDVLDAVYAF
+HLCEEGAEPTSGHLLSAVTNRSDLGFPAGPATTLADDREGQPDSTPEPVTVALPGEHAEN
+AVQIHKVVTGTMALIFSFLIVVLVLYVSWKCFPASLRQLRQCFVTQRRKQKQKQTMHQMA
+AMSAQEYYVDYKPNHIEGALVIINEYGSCTCHQQPARECEV
+>sp|Q2TBX4|HSP13_BOVIN Heat shock 70 kDa protein 13 OS=Bos taurus OX=9913 GN=HSPA13 PE=2 SV=1
+MAGEMTILGSAVLTLLLAGYLAQQYLPLPTPKVIGIDLGTTYCSVGVFFPGTGKVKVIPD
+ENGHISIPSMVSFTDDDVYVGYESLELADSNPQNTIYDAKRFIGKVFTPEELEAEIGRYP
+FKVLNKNGMVEFSVTSNETITVSPEYVGSRLLLKLKEMAEEYLGMPVANAVISVPAEFDL
+KQRNSTIQAANLAGLKILRVINEPTAAAMAYGLHKAEVFHVLVIDLGGGTLDVSLLNKQG
+GMFLTRAMSGNNKLGGQDFNQRLLQYLYKQIYQTYGFLPSRKEEIHRLRQAVEMVKLNLT
+LHETAQMSVLLTVEENDRKGPPTSDSELPKDKFSQANDPHVDSMFGANLSEKKNGEGQVL
+FETEISRKLFDTLNEDLFQKILVPIQQVLKEGHLEKTEIDEVVLVGGSTRIPRIRQVIQE
+FFGKDPNTSVDPDLAVVTGVAIQAGIDGGSWPLQVSALEIPNKHLQKTNFN
+>sp|G5E5X0|LIMD1_BOVIN LIM domain-containing protein 1 OS=Bos taurus OX=9913 GN=LIMD1 PE=3 SV=1
+MDKYDDLGLEASKFIEDLNMYEASKDGLFRVDKGAGNNPEFEETRRVFATKMAKIHLQQQ
+QQQQLLQEETLPRASRGPINGGARLGPPAHREAGGGSKLAADGAAKPPLAASTVAPGTTI
+TVAPGQPSYPPQEQRAKLYVRGSRQGSQDCGSKESMVNSEMSAFHRPGPCEDPSCLTHGD
+YYDNLSLAGPKWADDPGVSPGIRLGVGSGWSGTPGSDPLLSKPSRDPHLYHQQLSAGSGR
+SLQSGQDGGPGGGNSEKPAGVWTTASSQRVSPGLPSAGPENGALPRSAQPRTPSFSAPLA
+LNRPSQGSLPRTNSGVGSEVSGTMPKPTVDPQPWFQDGPKSYLSSSAPSSSPASMDHMQA
+GALPGLGPKPGSTDPGIGPKLSPNSLVHPVMSTLPELSCKEGASSWASDGSLGPVLPETP
+SSPRVRLPCQTLIPGPELGPTAAELKLEALTQRLEREMDAHPKADYFGACVKCSKGVFGA
+GQACQAMGNLYHDACFTCAACSRKLRGKAFYFVNGKVFCEEDFLYSGFQQSADRCFLCGH
+LIMDMILQALGKSYHPGCFRCVICNECLDGVPFTVDSENKIYCVRDYHKVLAPKCAACGL
+PILPPEGSDETIRVVSMDRDYHVECYHCEDCGLELNDEDGHRCYPLEDHLFCHSCHVKRL
+EKGPSPAALRQHHF
+>sp|Q5EAE6|DAP1_BOVIN Death-associated protein 1 OS=Bos taurus OX=9913 GN=DAP PE=3 SV=3
+MSSPPEGKLETKAGHPPAVKAGGMRIVQKHPHSGDTREEKDKDDQEWESPSPPKPTVFIS
+GVIARGDKDFPPAAAQVAHQKPHASIDRHPSPRTQHIQQPRK
+>sp|P31976|EZRI_BOVIN Ezrin OS=Bos taurus OX=9913 GN=EZR PE=1 SV=2
+MPKPINVRVTTMDAELEFAIQPNTTGKQLFDQVVKTIGLREVWYFGLQYVDNKGFPTWLK
+LDKKVSAQEVRKESPLQFKFRAKFYPEDVAEELIQDITQKLFFLQVKEGILSDEIYCPPE
+TAVLLGSYAVQAKFGDYNKELHKAGYLGSERLIPQRVMDQHKLTRDQWEDRIQVWHAEHR
+GMLKDSAMLEYLKIAQDLEMYGINYFEIKNKKGTDLWLGVDALGLNIYEKDDKLTPKIGF
+PWSEIRNISFNDKKFVIKPIDKKAPDFVFYAPRLRINKRILQLCMGNHELYMRRRKPDTI
+EVQQMKAQAREEKHQKQLERQQLETEKKRRETVEREKEQMMREKEELMLRLQDYEEKTRK
+AEKELSDQIQRALKLEEERKRAQEEAGRLEADRLAALRAKEELERQAADQIKSQEQLATE
+LAEYTAKIALLEEARRRKENEVEEWQLRAKEAQDDLVKTREELHLVMTAPPPPPVYEPVN
+YHVHEGPQEEGTELSAELSSEGILDDRNEEKRITEAEKNERVQRQLMTLTSELSQARDEN
+KRTHNDIIHNENMRQGRDKYKTLRQIRQGNTKQRIDEFEAM
+>sp|Q5E9D5|DEST_BOVIN Destrin OS=Bos taurus OX=9913 GN=DSTN PE=2 SV=3
+MASGVQVADEVCRIFYDMKVRKCSTPEEIKKRKKAVIFCLSADKKCIIVEEGKEILVGDV
+GVTITDPFKHFVGMLPEKDCRYALYDASFETKESRKEELMFFLWAPELAPLKSKMIYASS
+KDAIKKKFQGIKHECQANGPEDLNRACIAEKLGGSLIVAFEGCPV
+>sp|Q09139|FABP7_BOVIN Fatty acid-binding protein, brain OS=Bos taurus OX=9913 GN=FABP7 PE=1 SV=2
+MVEAFCATWKLTESQNFDEYMKALGVGFATRQVGNVTKPTVIISQEGDRVVIRTQSTFKN
+TEISFHLGEEFDETTADDRNCKSVVSLDGDKLVHVQKWDGKETNFVREIKDGKMIMTLTF
+GDVVAVRHYEKA
+>sp|Q0P563|FUCM_BOVIN Fucose mutarotase OS=Bos taurus OX=9913 GN=FUOM PE=2 SV=1
+MVVLKGVPALLSPELLFALARMGHGDEIVLADVNFPSSSICRGGPEEIRADGLGIPQLLE
+AVLQLLPLDTYVQSPAMVMELVPSDRKSGLLTPVWTSYQSILSRAGYEFSLGMGRFAFYE
+RAKKAFAVVATGETALYGNLILKKGVLAPKDLC
+>sp|P28339|DPOD1_BOVIN DNA polymerase delta catalytic subunit OS=Bos taurus OX=9913 GN=POLD1 PE=1 SV=1
+MDGKRRPGPGPGVPPKRARGGLWDEDEAYRPSQFEEELALMEEMEAERRLQEQEEEELQS
+ALEAADGQFSPTAIDARWLRPAPPALDPQMEPLIFQQLEIDHYVAPARPLPGAPPPSQDS
+VPILRAFGVTNEGVSVCCHIHGFAPYFYTPAPPGFGPEHLSELQRELSAAISRDQRGGKE
+LTGPAVLAVELCSRESMFGYHGHGPSPFLRITLALPRLMAPARRLLEQGIRLAGLGTPSF
+APYEANVDFEIRFMVDTDIVGCNWLELPAGKYILRPEGKATLCQLEADVLWSDVISHPPE
+GEWQRIAPLRVLSFDIECAGRKGIFPEPERDPVIQICSLGLRWGEPEPFLRLALTLRPCA
+PILGAKVQSYEREEDLLQAWSTFIRIMDPDVITGYNIQNFDLPYLISRAQTLKVPGFPLL
+GRVIGLRSNIRESSFQSRQTGRRDSKVVSMVGRVQMDMLQVLLREYKLRSYTLNAVSFHF
+LGEQKEDVQHSIITDLQNGNDQTRRRLAVYCLKDAFLPLRLLERLMVLVNAMEMARVTGV
+PLGYLLSRGQQVKVVSQLLRQAMRQGLLMPVVKTEGGEDYTGATVIEPLKGYYDVPIATL
+DFSSLYPSIMMAHNLCYTTLLRPGAAQKLGLTEDQFIKTPTGDEFVKASVRKGLLPQILE
+NLLSARKRAKAELAKETDPLRRQVLDGRQLALKVSANSVYGFTGAQVGRLPCLEISQSVT
+GFGRQMIEKTKQLVETKYTVENGYSTSAKVVYGDTDSVMCRFGVSSVAEAMALGREAADW
+VSGHFPSPIRLEFEKVYFPYLLISKKRYAGLLFSSRPDAHDRMDCKGLEAVRRDNCPLVA
+NLVTASLRRLLIDRDPSGAVAHAQDVISDLLCNRIDISQLVITKELTRAAADYAGKQAHV
+ELAERMRKRDPGSAPSLGDRVPYVIISAAKGVAAYMKSEDPLFVLEHSLPIDTQYYLEQQ
+LAKPLLRIFEPILGEGRAEAVLLRGDHTRCKTVLTGKVGGLLAFAKRRNCCIGCRTVLSH
+QGAVCKFCQPRESELYQKEVSHLSALEERFSRLWTQCQRCQGSLHEDVICTSRDCPIFYM
+RKKVRKDLEDQERLLRRFGPPGPEAW
+>sp|Q0V8G2|GABP2_BOVIN GA-binding protein subunit beta-2 OS=Bos taurus OX=9913 GN=GABPB2 PE=2 SV=2
+MSLVDLGKRLLEAARKGQDDEVRTLMANGAPFTTDWLGTSPLHLAAQYGHYSTAEVLLRA
+GVSRDARTKVDRTPLHMAAADGHAHIVELLVRNGADVNAKDMLKMTALHWATEHHHRDVV
+ELLIKYGADVHAFSKFDKSAFDIALEKNNAEILVILQEAMQNQVNANPERANPVTMATPF
+IFTSGEVVNLASLVSSASTKTTSGDPHASSTVHFSNSTTSVLATLAALAEASAPLSNSHR
+ATANSEEIIEGNSVDSSIQQVVGSGGQRVITIVTDGIPLGNIQTAIPAGGIGQPFIVTVQ
+DGQQVLTVPAGQVAEETVIEEEAEEAEKLPLTKKPRIEEMTNSVEESKEGTERELLQQRL
+QEANRRAQEYRHQLLKKEQEAEQYRLRLEAMARQQPNGVDFAMVEEVAEVDAVVVTEREM
+EERETEVTGAVGTAEPHTGVSMETVST
+>sp|P13184|CX7A2_BOVIN Cytochrome c oxidase subunit 7A2, mitochondrial OS=Bos taurus OX=9913 GN=COX7A2 PE=1 SV=3
+MLRNLLALRQIAKRTISTSSRRQFENKVPEKQKLFQEDNGIPVHLKGGIADALLYRATLI
+LTVGGTAYAMYELAVASFPKKQD
+>sp|Q5E9I8|DCA11_BOVIN DDB1- and CUL4-associated factor 11 OS=Bos taurus OX=9913 GN=DCAF11 PE=2 SV=1
+MGSRNSSSAGTGSGDPSEGLPRRGAGLRRSEEEEEEDEDVDLAQVLAYLLRRGQVRLVQG
+GGAANLQLIQALSDSEEEHDSAWDGRLGDRYNPPVDATPDTRELECSEIKTQVELATGRL
+GLRRAARELSFPQMLHQRERGLCHQGSFSLGERSRVMSHFLPNDLGFTDTYSQKAFCGIY
+SKDGQIFMSACQDQTIRLYDCRYGRFRKFKSIKARDVGWSVLDVAFTPDGNHFLYSSWSD
+YIHICNIYGEGDTHTALDLRPDERRFAVFSIAVSSDGREVLGGANDGCLYVFDREQNRRT
+LQIESHEDDVNAVAFADVSSQILFSGGDDAICKVWDRRTMREDDPKPVGALAGHQDGITF
+IDSKGDARYLISNSKDQTIKLWDIRRFSSREGMEASRQAATQQNWDYRWQQVPKKAWRKL
+KLPGDSSLMTYRGHGVLHTLIRCRFSPTHSTGQQFIYSGCSTGKVVVYDLLSGHVVKKLT
+THKACVRDVSWHPFEEKIVSSSWDGNLRLWQYRQAEYFQDDMPESEEHPSTPAPMSHPST
+AFSSPQ
+>sp|P16068|GCYB1_BOVIN Guanylate cyclase soluble subunit beta-1 OS=Bos taurus OX=9913 GN=GUCY1B1 PE=1 SV=1
+MYGFVNHALELLVIRNYGPEVWEDIKKEAQLDEEGQFLVRIIYDDSKTYDLVAAASKVLN
+LNAGEILQMFGKMFFVFCQESGYDTILRVLGSNVREFLQNLDALHDHLATIYPGMRAPSF
+RCTDADKGKGLILHYYSEREGLQDIVIGIIKTVAQQIHGTEIDMKVIQQRNEECDHTQFL
+IEEKESKEEDFYEDLDRFEENGTQESRISPYTFCKAFPFHIIFDRDLVVTQCGNAIYRVL
+PQLQPGNCSLLSVFSLVRPHIDISFHGILSHINTVFVLRSKEGLLDVEKSECEDELTGTE
+ISCLRLKGQMIYLPEADSILFLCSPSVMNLDDLTRRGLYLSDIPLHDATRDLVLLGEQFR
+EEYKLTQELEILTDRLQLTLRALEDEKKKTDTLLYSVLPPSVANELRHKRPVPAKRYDNV
+TILFSGIVGFNAFCSKHASGEGAMKIVNLLNDLYTRFDTLTDSRKNPFVYKVETVGDKYM
+TVSGLPEPCIHHARSICHLALDMMEIAGQVQVDGESVQITIGIHTGEVVTGVIGQRMPRY
+CLFGNTVNLTSRTETTGEKGKINVSEYTYRCLMTPENSDPQFHLEHRGPVSMKGKKEPMQ
+VWFLSRKNTGTEETEQDEN
+>sp|Q2KIX2|GDAP2_BOVIN Ganglioside-induced differentiation-associated protein 2 OS=Bos taurus OX=9913 GN=GDAP2 PE=2 SV=1
+MDPLGAPSQFVDVDTLPSWGNSCEDQLNASEIAAETYQEETIRSPFLYNKDINGKVVLWK
+GDVALLNCTAIVNTSNESLTDKNPVSESIFMLAGPDLKEDLQKLRGCRTGEAKLTKGFNL
+AARFIIHTVGPKYKSRYRTAAESSLYSCYRNVLQLAKEQSMSSVGFCVINSAKRGYPLED
+ATHIALRTVRRFLEIHGETLEKVVFAVSELEEATYQKLLPLYFPRSLKEESRSLPCLPAD
+IGNAEGEPVVPERQIRISEKPGAPEDNQEEEDEGLGVDLSFIGSHAFARMEGDIDKQRRL
+ILQGQLSEAALQKQHQRNYNRWLCQARSEDLSDIASLKALYQTGVDNCGRTVMVVVGRNI
+PVTLIDMDKALLYFIHVMDHIAVKEYVLVYFHTLTSEYNHLDSDFLKKLYDVVDVKYKRN
+LKAVYFVHPTFRSKVSTWFFTTFSVSGLKDKIHHVDSLHQLFSAISPEQIDFPPFVLEYD
+ARENGPYYTSYLPSPDL
+>sp|Q3T122|EIF3D_BOVIN Eukaryotic translation initiation factor 3 subunit D OS=Bos taurus OX=9913 GN=EIF3D PE=2 SV=1
+MAKFMTPVIQDNPSGWGPCAVPEQFRDMPYQPFSKGDRLGKVADWTGATYQDKRYTNKYS
+SQFGGGSQYAYFHEEDETSFQLVDTARTQKTAYQRNRMRFAQRNLRRDKDRRNMLQFNLQ
+TLPKSAKQKERERIRLQKKFQKQFGVRQKWDQKSQKPRDSSVEVRSDWEVKEEMDFPQLM
+KMRYLEVSEPQDIECCGALEYYDKAFDRITTRSEKPLRSIKRIFHTVTTTDDPVIRKLAK
+TQGNVFATDAILATLMSCTRSVYSWDIVVQRVGSKLFFDKRDNSDFDLLTVSETANEPPQ
+DEGNSFNSPRNLAMEATYINHNFSQQCLRMGKERYNFPNPNPFVEDDMDKNEIASVAYRY
+RRWKLGDDIDLIVRCEHDGVMTGANGEVSFINIKTLNEWDSRHCNGVDWRQKLDSQRGAV
+IATELKNNSYKLARWTCCALLAGSEYLKLGYVSRYHVKDSSRHVILGTQQFKPNEFASQI
+NLSVENAWGILRCVIDICMKLEEGKYLILKDPNKQVIRVYSLPDGTFSSDEDDEEEEEEE
+EEEEEEEA
+>sp|Q95120|DMP1_BOVIN Dentin matrix acidic phosphoprotein 1 OS=Bos taurus OX=9913 GN=DMP1 PE=2 SV=1
+MKTTILLMFLWGLSCALPVARYQNTESKSSEEWKGHLAQTPTPPLESSESSEESKLSSEE
+QANEDPSDSTESEEVLGLDDQQHVHRPAGGLSRRGGSEGDNKDDDEDESGDDTFGDDDGG
+PGPEERRSGGDSRLGSDEDSADTTRSREDSTPQGDEGARDTTSESRDLDREDEGNSRPEG
+GDSTPDSDSEEHWVGGGSEGDSSHGDGSEFDDEGMQSDDPGAYRSERGNSRISDAGLKST
+QSKGDDEEQASTQDSHESPAAAYPRRKFFRKSRLPEEDGRGELDDSRTIEVMSDSTENPD
+SKEAGLGQSREHSKSESRQESEENRSPEDSQDVQDPSSESSQEVDLPSQENSSESQEEAL
+HESRGDNPDNATSHSREHQADSESSEEDVLDKPSDSESTSTEEQADSESHESLRSSEESP
+ESTEEQNSSSQEGAQTQSRSQESPSEEDDGSDSQDSSRSKEDSNSTESVSSSEEEAQTKN
+TEVESRKLTVDAYHNKPIGDQDDNDCQDGY
+>sp|P50154|GBGT2_BOVIN Guanine nucleotide-binding protein G(I)/G(S)/G(O) subunit gamma-T2 OS=Bos taurus OX=9913 GN=GNGT2 PE=3 SV=1
+MAQELSEKELLKMEVEQLKKEVKNPRALISKTGKEIKDYVEAEAGNDPLLKGIPEDKNPF
+KEKGGCIIS
+>sp|Q5E993|EMC2_BOVIN ER membrane protein complex subunit 2 OS=Bos taurus OX=9913 GN=EMC2 PE=2 SV=1
+MAKVSELYDVTWEEMRDKMRKWREENSRNSEQIVEVGEELISEYASKLGDDIWIIYEQVM
+IAALDYGRDDLALFCLQELRRQFPGSHRVKRLTGMRFEAMERYDDAIQLYDRILQEDPTN
+TAARKRKIAIRKAQGKNVEAIRELNEYLEQFVGDQEAWHELAELYINEHDYAKAAFCLEE
+LMMTNPYNHLYCQQYAEVKYTQGGLENLELSRKYFAQALKLNNRNMRALFGLYMSASHIA
+SNPKASAKTKKDNMKYASWAASQINKAYQFAGRSKKETKYSLKAVEDMLETLQITQS
+>sp|Q2HJ44|GLD2_BOVIN Poly(A) RNA polymerase GLD2 OS=Bos taurus OX=9913 GN=TENT2 PE=2 SV=1
+MFPNSILGRPPFTPNHQQHNNFFALSPSLYSHQQLIDAQFSFHNADLSRAVSLQQLTYGN
+VSPIQTSTSPLFRGRKRLSDEKNLPLDGKRQRFHSPHQEPTIVNHIVPLSDERRYSMSPL
+FHTHYVPDIVRCVPPFREISILEPREITLPEAKDKLSQQILELFEACQQQVSDLKKKELC
+RTELQREIQLLFPQSRLFLVGSSLNGFGTRSSDGDLCLVVKEEPCFFQVNQKTEARHILT
+LVHKHFCTRLSGYIERPQLIRAKVPIVKFRDKVSCVEFDLNVNNIVGIRNTFLLRTYAYL
+ENRVRPLVLVIKKWASHHDINDASRGTLSSYSLVLMVLHYLQTLPEPILPSIQKIYPESF
+SPSIQLHLVHQAPCNVPPYLSKNESNLGDLLLGFLKYYATEFDWNSQMISVREAKAIPRP
+DGIEWRNKYICVEEPFDGTNTARAVHEKQKFDMIKDQFLKSWHRLKNRKDLNSILPLRAA
+ILKR
+>sp|Q3T168|CYBP_BOVIN Calcyclin-binding protein OS=Bos taurus OX=9913 GN=CACYBP PE=2 SV=1
+MSSALEELQKDLEEVKVLLEKATRKRVRDALTAEKSKIETEMKNKMQQKSQRKAELTENE
+KPAAVVAPITTGYTVKISNYGWDQSDKFVKIYITLPGVHQVPAESVQVNFTERSFDLLVK
+NLNGKSYSMIVNNLLKPISVEGSSKKVKTDTVLILCRKKAENTRWDYLTQVEKECKEKEK
+PSYDTETDPSEGLMNVLKKIYEDGDDDMKRTINKAWVESREKQAKGDTDF
+>sp|E1BP74|MARF1_BOVIN Meiosis regulator and mRNA stability factor 1 OS=Bos taurus OX=9913 GN=MARF1 PE=3 SV=2
+MEGSGTENPCSTTVGWLQQDNDAKPWLWRFSNCFSRPQQTLPLSPQTKDYMENKKVAVEL
+KDVPSPLHAGSKLFPAVPLPDIHSLQQPKVQLSTVPKVSCCAHCTNDPSTSPVRFGGGSG
+GGAGSLVPPGALLDSQSTRTITCQVGSGLAFQSAPPLQNASARNTLAGVASDFPSMCLES
+NLSSCKHLPCCGKLHFQSCRGNVHKLHQFPALQGCPSSAGYFPCSDFTSGAPGHVEEHVS
+QSELTPHLCTNSLHLNVVPPVCLKGSLYCEDCLSKPARNSIIDAAKVWPNIPPPNTQTAP
+VTVPLCNGCGTKGMGKETTLLLATSLGKAASKFGSPEVALAGQMLENLPPIGVFWDIENC
+SVPSGRSATAVVQRIREKFFKGHREAEFICVCDISKENKEVIQELNNCQVTVAHINATAK
+NAADDKLRQSLRRFANTHTAPATVVLVSTDVNFALELSDLRHRHGFHIILVHKNQASEAL
+LHHANELIRFEEFISDLPPRLPLKMPCHTLLYVYNLPANKDGKSISNRLRRLSDNCGGKV
+LNITGCSAILRFINRDSAERALKRMENEDVFGNRIVVSFTPKSSELCETKSSNATADKVK
+SPKKLKNPKLCLIKDISESPSSAKAAPGKGSQANSGSATRNANVKSLQELCRLESKTGTR
+SSEPQQGHLRLGAPPHRSSSAAAPAPKAPGLAESVYKTNPKKESLGARSVTSSPVEKKEK
+EETLFQVSYPSAFSKLIASRQVSPLLTAQPWSSRNLSPNLLNRASPLAFNAAHSSVGADG
+PDPFAHGVDVQISNLDYRLSRKELQQLMQEAFSRHGKVKSVELSPHTDYQLKAVVQMENL
+QEAIGAVNSLHRYKIGSKKILVSLATGAANKSLSLLSAETMSILQDAPACCLPLFKFTDI
+YEKKFGHRLNVSDLYKLTDTVAIREQGNGRLVCLLPSSQARQSPLGSSQSHDGSSTNCSP
+IIFEELEYHEPVCRQHCPNKDFSEHEFDPDSYKIPFVILSLKTFAPQVHSLLQTHEGTVP
+LLSFPDCYAAEFGELEIVQENRGGGVPLEHLITCVPGVNIATAQNGVKVVKWIHNKPPPP
+NTDPWLLRSKSPVGNPQLIQFSREVIDLLKNQPSCVIPISNFIPSYHHHFAKQCRVSDYG
+YSKLIELLEAVPHVLQILGMGSKRLLTLTHRAQVKRFTQDLLKLLKSQASKQVIVKEFAQ
+AYHWCFSKDWDVTEYGVCELIDIISEIPDTTICLSQQDSEAMICIPRRERTQDEIERTKQ
+FSKDVVDLLRHQPHFRMPFNKFIPSYHHHFGRQCKLAYYGFTKLLELFEAIPDILQVLEC
+GEEKILTLTEVERFKALAAQFVKLLRSQKDNCLMMTDLLKEYAKTFGYTFRLQDYDVSSV
+SALTQKLCHVVKVADMESGKQIQLINRKSLRALTAQLLVLLMSWEGTTHLSVDELKRHYE
+STHSTPLNPCEYGFMTLTELLKSLPYLVEDQVFTNDKTEECVKLTSLYLFAKNVRSLLHT
+YHYQQLFLHEFSMAYSKYVGETLQPKTYGFSSVEELLGAIPQVVWIKGHGHKRIVVLKND
+MKSRVNSLGPSPASHETQPSAPERILEVPESPPASELRLGVGGDGPHPAEQELLRLTDDS
+PVDLLCAPVPSCLPSPQLRPDPVVLQAADLIWFEEHPQEPSEIMILNQEEKIEIPVPIRN
+ENLPPDPSSPGVSAAVPAPPSPSSETPESLLSKDPTESPAKKQPKNRVKLAANFSFAPIT
+KL
+>sp|Q3ZCF2|MED10_BOVIN Mediator of RNA polymerase II transcription subunit 10 OS=Bos taurus OX=9913 GN=MED10 PE=2 SV=1
+MAEKFDHLEEHLEKFVENIRQLGIIVSDFQPSSQAGLNQKLNFIVTGLQDIDKCRQQLHD
+ITVPLEVFEYIDQGRNPQLYTKECLERALAKNEQVKGKIDTMKKFKSLLIQELSKVFPED
+MAKYRSIRGEDHPPS
+>sp|Q64L89|MGST1_BOVIN Microsomal glutathione S-transferase 1 OS=Bos taurus OX=9913 GN=MGST1 PE=2 SV=1
+MANLSQLMENEVFMAFASYTTIVLSKMNFMSTATAFYRLTKKVFANPEDCAGFGKGENAK
+KYLRTDDRVERVRRAHLNDLENIVPFLGIGLLYSLSGPDLSTAILHFRLFVRARIYHTIA
+YLTPLPQPNRALAFFIGYGVTLSMAYRLLKSKLYL
+>sp|Q32LI5|MEIG1_BOVIN Meiosis expressed gene 1 protein homolog OS=Bos taurus OX=9913 GN=MEIG1 PE=3 SV=1
+MANSDVKPKSISRAKKWSEEIENLYRFQQAGYRDEIEYKQVKQVSMVDRWPETGYVKKLQ
+RRDNTFYYYNKQRECDDKEVHKVKIYAY
+>sp|E1BGH8|MMS22_BOVIN Protein MMS22-like OS=Bos taurus OX=9913 GN=MMS22L PE=3 SV=1
+MDNCPPASTFLTDSLELELQTEWCNPPCFSCDFDNRGGGKHFSGESYLSSGALKRVILNL
+DPLPTNFEEDTVEIFGIEWVTETALVNSSRVLFHLFRQQLYNLETLLQASCDFGKISTLH
+CKAHNIRQLCVTFLHYVKVFIFRSLQVRNAESHVPVHPYETLEAQLPSVLVDELHGLLLY
+IGHLSELPTTNTGAFVNQNQTKLYPPSWHLLHLHLDIHWLVLEILHMLGEKLKQVVYSHQ
+FMNLAGDNLTNVSLFEEHCENLLCDLINLSLNRYDKKVRPSEALMSHHCPCPCIKELWVL
+LIHLLNHRSKWSLSESFWNWLNKLLKTLLEKSNDQRRSVLIVQPRDPLGFSWWIITHVAS
+FYQFDRHGTPDEMRQMESNWNFVEELLKKSISVQDGILEEQLRMYLHCCLTLCDFWEPNI
+AIVTILWEYYSKNLNSSFSISWLPLKGLTYIIKSPLSMLEMVKTCCCDKQDHDLYKSSSS
+YTIFLCILAKVVKKAMKNNGPHPWKQVKGRIYSKFHQKRMEELTEVGLQNFFSLFLLLAA
+VAEVEDVASHVLRLLDFLKPTFKTSPLIWKGQMAFLLMYTQKNLDIGVLAEKFSNAFREK
+AKEFLVSKNDEMGQRQTLWTLLSIYMDSVQEVFETSRCLHPSHEKLLNDGFSMLLRACQE
+SELRTVLNFLQAVLARIRSLHQQLRQELQREHGDLSVQSSLSTKERHLAAVASALWRHFF
+SFLKSQRMSQIVPLSQLADAAADFTLLAMDLPSTAPSDLQPQPVTSMIQLFGWDDIIWPQ
+VVARYLSHFLQNSMLCEALSHSGCVSFQALTVRSWIRCILQMYVKNLHVPDDSFIDINPE
+QAVEKDYMEQLTELTRLLFKLSEVKNIFSKSQVELPIPDDPKKALIRFFEAVGITYGNLQ
+TVSDKSAMVTKSLEYLGEILKYIKPYLGKKVSSAGLQLTYTMMGTLVKSWALIFATSKAQ
+KLLFRIIDCLLLPHTVLQQEKELPAPMLTAIQKSLPLYLQGMCIVCCQSQNTNAYLNQLL
+GNVIEQYIGRFLPASAHVLGLGQHPVLLALKNSASVPPMSLLKKCIVHVIRKSYFEFKGS
+LLPPRLASILAFILQLVKETNTDVSEIELLLPGVLKCLLLVSEPQVKRLATENLQCMVRA
+CQVGSGGEPAAQLTSVFRQFIEDYGMRYDYQIYSILEAVAALDQQVVIHLVPTLTQSLKN
+SERKWGLGRNSAQREAYSKLLSQLGQVGQDEIQRLENDHIESML
+>sp|Q5BIP7|LIAS_BOVIN Lipoyl synthase, mitochondrial OS=Bos taurus OX=9913 GN=LIAS PE=2 SV=1
+MSLRCGGAVRTVGPRVFGRYVFSPVREVSFLPDEKKEFLQSGPDLQEFISGNLADKSTWD
+EYKGNLKRQKGERLRLPPWLKTEIPMGKNYNKLKNTLRNLNLHTVCEEARCPNIGECWGG
+GEYATATATIMLMGDTCTRGCRFCSVKTARNPPPLDANEPYNTAKAIAEWGLDYVVLTSV
+DRDDMPDGGAEHFAKTVSYLKERNPKILVECLTPDFRGDLKAIEKVALSGLDVYAHNVET
+VPELQRKVRDPRANFDQSLRVLKHAKEVRPDVISKTSIMLGLGENDEQVYATMKALREAD
+VDCLTLGQYMQPTKRHLKVEEYITPEKFKYWEKVGNELGFHYTASGPLVRSSYKAGEFFL
+KNLVAKRKTKAL
+>sp|P58353|GTR5_BOVIN Solute carrier family 2, facilitated glucose transporter member 5 OS=Bos taurus OX=9913 GN=SLC2A5 PE=1 SV=2
+MEPQDPVKREGRLTPVIVLATLIAAFGSSFQYGYNVAAINSPSEFMKDFYNYTYYDRVGE
+YMNEFYLTLLWSVTVSMFPFGGFLGSLMVGPLVNNLGRKGTLLFNNIFSIVPALLMGFSE
+LAKSFEMIIVARVLVGICAGLSSNVVPMYLGELAPKNWRGALGVVPQLFITIGILVAQIF
+GLRSLLANEEGWPILLGLTGIPAVLQLLFLPFFPESPRYLLIQKKDEAAAKSALRRLRGW
+HDVDAEIEEILEEDRAEKAVGFISVLKLFKMRSLRWQVISIIVLMAGQQLSGVNAIYYYA
+DQIYLSAGVNEDDVQYVTAGTGAVNVLITVCAIFVVELMGRRFLLLLGFSVCFTACCVLT
+GALALQDVISWMPYVSIACVISYVIGHALGPSPIPALLVTEIFLQSSRPAAYMVAGTVHW
+LSNFTVGLVFPFIQVGLGAYSFVIFAVICLLTTVYIFLIIPETKSKTFIEINRIFIKMNK
+VPGVHPEKEELKEFPPSTARQ
+>sp|Q3HNG7|H1FOO_BOVIN Histone H1oo OS=Bos taurus OX=9913 GN=H1FOO PE=1 SV=1
+MAPGSIASSDTSSSTSSSSTSSASSASAEGSSRPLGSEKPGLARGAVRAPRRHPPVLRMV
+LEALQAGERRRGTSVAAIKVYILQKYPTVDALRLNHLLKQALATGLHRGLLIRPVNSKAK
+GATGSFKLVPKDKRKIPPRKTAPRMPGQAEGKDPKKPSESKKDPANTVEVKKGSRKPREE
+RAAPSKPGAAKKAPKKGTQTKDPEPRLGEAKKSSRRPDKAAQAPPSAGGPGGKSKVKERG
+SRQADTKAHRKTQPGSQSSKSTVTKGENGAPLAKKKMGGKVPKEAAGEGPKAKAPVPPKG
+AGSKKEPGPLAGKAEASKGPRKPGIPTKSSVSKAASKKAEAEG
+>sp|E1BJS7|LIN41_BOVIN E3 ubiquitin-protein ligase TRIM71 OS=Bos taurus OX=9913 GN=TRIM71 PE=3 SV=2
+MASFPETDFQICLLCKEMCGSPAPLSSNSSASSSSSQTSTSSGGGGGGPGAAARRLHVLP
+CLAFCRPCLEAHRGGAPGEPLKLRCPVCDQKVVLAEAAGMDARPSSAFLLSNLLDAVVAT
+ADEPPPKNGRAGAAAGAGGHGSNHRHHAHHAHPRAAASAPPPPLPPAPPPPAPPRSAPGG
+PAGSPSALLLRRPHGCSSCDEGNAASSRCLDCQEHLCDNCVRAHQRVRLTKDHYIERGPP
+GPAAAAAAAAAQQLGLGPPFPGAPFSLLSVFPERLGFCQHHDDEVLHLYCDTCSVPICRE
+CTVGRHGGHSFVYLQEALQDSRALTIQLLADAQQGRQAIQLSIEQAQTVAEQVEMKAKVV
+QSEVKAVTARHKKALEERECELLWKVEKIRQVKAKSLYLQVEKLRQNLNKLESTISAVQQ
+VLEEGRALDILLARDRMLAQVQELKTVRSLLQPQEDDRVMFTPPDQALYLAIKSFGFVSS
+GAFAPLTKATGDGLKRALQGKVASFTVIGYDHDGEPRLSGGDLMSAVVLGPDGNLFGAEV
+SDQQNGTYVVSYRPQLEGEHLVSVTLCNQHIENSPFKVVVKSGRSYVGIGLPGLSFGSEG
+DSDGKLCRPWGVSVDKEGYIVVADRSNNRIQVFKPCGAFHHKFGTLGSRPGQFDRPAGVA
+CDASRRIVVADKDNHRIQIFTFEGQFLLKFGEKGTKNGQFNYPWDVAVNSEGKILVSDTR
+NHRIQLFGPDGVFLNKYGFEGALWKHFDSPRGVAFNHEGHLVVTDFNNHRLLVIHPDCQS
+ARFLGSEGTGNGQFLRPQGVAVDQEGRIIVADSRNHRVQMFESNGSFLCKFGAQGSGFGQ
+MDRPSGIAVTPDGMIVVVDFGNNRILIF
+>sp|Q9XTA5|HIF1A_BOVIN Hypoxia-inducible factor 1-alpha OS=Bos taurus OX=9913 GN=HIF1A PE=2 SV=1
+MEGAGGANDKKKISSERRKEKSRDAARSRRSKESEVFYELAHQLPLPHNVSSHLDKASVM
+RLTISYLRVRKLLDAGDLDIEDEMKAQMNCFYLKALDGFVMVLTDDGDMIYISDNVNKYM
+GLTQFELTGHSVFDFTHPCDHEEMREMLTHRNGLVKKGKEQNTQRSFFLRMKCTLTSRGR
+TMNIKSATWKVLHCTGHIHVYDTNSNQSQCGYKKPPMTCLVLICEPIPHPSNIEIPLDSK
+TFLSRHSLDMKFSYCDERITELMGYEPEELLGRSIYEYYHALDSDHLTKTHHDMFTKGQV
+TTGQYRMLAKRGGYVWIETQATVIYNTKNSQPQCIVCVNYVVSGIIQHDLIFSLQQTECV
+LKPVESSDMKMTQLFTKVESEDTSSLFDKLKKEPDALTLLAPAAGDTIISLDFGSNDTET
+DDQQLEEVPLYNDVMLPSSNEKLQNINLAMSPLPASETPKPLRSSADPALNQEVALKLEP
+NPESLELSFTMPQIQDQPASPSDGSTRQSSPEPNSPSEYCFDVDSDMVNEFKLELVEKLF
+AEDTEAKNPFSTQDTDLDLEMLAPYIPMDDDFQLRSFDQLSPLENSSTSPQSASTNTVFQ
+PTQMQKPPIATVTTTATSDELKTVTKDGMEDIKILIAFPSPPHVPKEPPCATTSPYSDTG
+SRTASPNRAGKGVIEQTEKSHPRSPNVLSVALSQRTTAPEEELNPKILALQNAQRKRKIE
+HDGSLFQAVGIGTLLQQPDDRATTTSLSWKRVKGCKSSEQNGMEQKTIILIPSDLACRLL
+GQSMDESGLPQLTSYDCEVNAPIQGSRNLLQGEELLRALDQVN
+>sp|P10881|LA_BOVIN Lupus La protein homolog OS=Bos taurus OX=9913 GN=SSB PE=2 SV=2
+MAENGDNEKMAALEAKICHQIEYYFGDFNLPRDKFLKEQIKLDEGWVPLEIMIKFNRLNR
+LTTDFNVIVEALSKSKAELMEISEDKTKIRRSPSKPLPEVTDEYKNDVKNRSVYIKGFPT
+DAALDDIKEWLEDKGQVLNIQMRRTLHKAFKGSIFAVFDSIESAKKFVETPGQKYKDTDL
+LILFKEDYFTKKNEERKQNKMEAKLRAKQEQEEKQKLAENAEMKSLEEKIGCLLKFSGDL
+DDQTCREDLHTLFSNHGEIKWIHFVRGAKEGIILFKEKAKEALDKAKEANNGNLQLRNKE
+VTWEVLEGDVEKEALKKIIEDQQESLNKWKSKGRRFKGKGKGNKAAQAGSAKGKVQFQGK
+KTKFDSDDERDENGASRAVKRAREETDKEPPSKQQKTENGAGDQ
+>sp|P62803|H4_BOVIN Histone H4 OS=Bos taurus OX=9913 PE=1 SV=2
+MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLK
+VFLENVIRDAVTYTEHAKRKTVTAMDVVYALKRQGRTLYGFGG
+>sp|A4FUA8|CAZA1_BOVIN F-actin-capping protein subunit alpha-1 OS=Bos taurus OX=9913 GN=CAPZA1 PE=2 SV=1
+MADFEDRVSDEEKVRIAAKFITHAPPGEFNEVFNDVRLLLNNDNLLREGAAHAFAQYNMD
+QFTPVKIEGYEDQVLITEHGDLGNSRFLDPRNKISFKFDHLRKEASDPQPEEADGGLKSW
+RESCDSALRAYVKDHYSNGFCTVYAKTIDGQQTIIACIESHQFQPKNFWNGRWRSEWKFT
+ITPPTAQVVGVLKIQVHYYEDGNVQLVSHKDVQDSVTVSNEAQTAKEFIKIIEHAENEYQ
+TAISENYQTMSDTTFKALRRQLPVTRTKVDWNKILSYKIGKEMQNA
+>sp|Q9MZ08|BCAM_BOVIN Basal cell adhesion molecule OS=Bos taurus OX=9913 GN=BCAM PE=2 SV=2
+MEPPDARAGARRAPRLLVLALLLAAPPGSKAEVRLSVPPLVEVMRGESVTLDCSPLGTHD
+YFMLEWFLVDRSGARHRLASAELRGSELRDKELNSRGRSPPYQLDSQGRLVLPEAQVGDE
+RDYVCVVKAGAAGTAEATARLKVFAKPEAPEVSPNKGILSVMDDFAQEIATCSSRNGNPA
+PQIMWYRNGQPLAVPLEVNSEGYMTTRTVREASGLLSLTSTLYLRLHKPDREASFHCSVH
+YYLPAGQHGRLDGPSFSLTLHYPTEHVLFWLGSQSTAEGWVREGDSVQLLCQGDGSPTPE
+YTFFWLQDKQEDVLKTSLEGNLTLERVQRNQSGTYGCRVEDFDVPEDAELSKTLELRVAY
+LDSLELSAGEELSLPLHNSTTVTCSARGLPTPTLYWTKDSAPMGEDPTLSLHSVTFDSAG
+TYTCEAYMPRIPLLSRTRSFRLLVQGTPELKAKETQPKAEGSWTEGDEVTLICYARGYPK
+PKLTWSQLGGSPTEPAPGGQGWVSSSLTLKVTSALSQDGVSCEASNPLGNTHHVFHFGTV
+APQTSQAGVAVMAVAISVALLLLVVAVFYCMRRKGRPGCCQWGEKGSPPPGEPKLSHSGS
+QRPEQTGLLMGSASGGAKHGSGGFGDEC
+>sp|Q2TA45|AGFG1_BOVIN Arf-GAP domain and FG repeat-containing protein 1 OS=Bos taurus OX=9913 GN=AGFG1 PE=2 SV=1
+MAASAKRKQEEKHLKMLRDMTGLPHNRKCFDCDQRGPTYVNMTVGSFVCTSCSGSLRGLN
+PPHRVKSISMTTFTQQEIEFLQKHGNEVCKQIWLGLFDDRSSAIPDFRDPQKVKEFLQEK
+YEKKRWYVPPEQAKVVASVHASISGSSASSTSSTPEVKPLKSLLGDSAPALHLNKGTPSQ
+SPVVGRSQAQQQEKKQFDLLSDLGSDIFAAPAPQSTATANFANFAHFNSHAAQNSANADF
+ANFDAFGQSSGSSNFGGFPTASHSSFQPQTTGGSAGSVNANFAHFDNFPKSSSADFGTFN
+TSQSHQTASAVSKVSANKAGLQTTDKYAALANLDNIFSAGQGGDQGSGFGTTGKAPVGSV
+VSVPSQSSASSDKYAALAELDSVFSSAATSSNAYTSTSNASSNVFGTVPVGASAQTQPAS
+SSVPAPFGATPSTNPFVAAAGPSVASSTNPFQTNARGATAATFGTASMSMPAGFGTPAPY
+SLPTSFSGSFQQPAFPAQAAFPQQTAFSQQPNGAGFAAFGQTKPVVTPFGQVGAAGVSSN
+PFMTGAPTGQFPTGSSSTNPFL
+>sp|A2VEA3|ARI1_BOVIN E3 ubiquitin-protein ligase ARIH1 OS=Bos taurus OX=9913 GN=ARIH1 PE=2 SV=1
+MDSDEGYNYEFDEDEECSEEDSGAEEEEDEDDDEPDDDNLDLGEVELVEPGLGVGGERDG
+LLCGETGGGGGSALGPGGGGGGGGGGGGPGHEQEEDYRYEVLTAEQILQHMVECIREVNE
+VIQNPATITRILLSHFNWDKEKLMERYFDGNLEKLFAECHVINPSKKSRTRQMNTRSSAQ
+DMPCQICYLNYPNSYFTGLECGHKFCMQCWSEYLTTKIMEEGMGQTISCPAHGCDILVDD
+NTVMRLITDSKVKLKYQHLITNSFVECNRLLKWCPAPDCHHVVKVQYPDAKPVRCKCGRQ
+FCFNCGENWHDPVKCKWLKKWIKKCDDDSETSNWIAANTKECPKCHVTIEKDGGCNHMVC
+RNQNCKAEFCWVCLGPWEPHGSAWYNCNRYNEDDAKAARDAQERSRAALQRYLFYCNRYM
+NHMQSLRFEHKLYAQVKQKMEEMQQHNMSWIEVQFLKKAVDVLCQCRATLMYTYVFAFYL
+KKNNQSIIFENNQADLENATEVLSGYLERDISQDSLQDIKQKVQDKYRYCESRRRVLLQH
+VHEGYEKDLWEYIED
+>sp|Q3SZD7|CBR1_BOVIN Carbonyl reductase [NADPH] 1 OS=Bos taurus OX=9913 GN=CBR1 PE=2 SV=1
+MSSSNCVALVTGANKGIGFVIVRDLCRRFSGDVVLTARDEARGRAAVQQLQAEGLSPLFH
+QLDIDDRQSIRALRDFLRKEYGGLDVLVNNAGIAFKTADTTPFHIQAEVTMKTNFFGTRD
+VCTELLPLIKPQGRVVNVSSFVSVNSLKKCSRELQQKFRSETITEEELVGLMNKFVEDTK
+NGVHRKEGWPDTAYGVTKIGVTVLSRIHARKLSEQRGGDKILLNACCPGWVRTDMGGPKA
+SKSPEEGAETPVYLALLPSDAEGPHGEFISEKRVVQW
+>sp|P02769|ALBU_BOVIN Serum albumin OS=Bos taurus OX=9913 GN=ALB PE=1 SV=4
+MKWVTFISLLLLFSSAYSRGVFRRDTHKSEIAHRFKDLGEEHFKGLVLIAFSQYLQQCPF
+DEHVKLVNELTEFAKTCVADESHAGCEKSLHTLFGDELCKVASLRETYGDMADCCEKQEP
+ERNECFLSHKDDSPDLPKLKPDPNTLCDEFKADEKKFWGKYLYEIARRHPYFYAPELLYY
+ANKYNGVFQECCQAEDKGACLLPKIETMREKVLASSARQRLRCASIQKFGERALKAWSVA
+RLSQKFPKAEFVEVTKLVTDLTKVHKECCHGDLLECADDRADLAKYICDNQDTISSKLKE
+CCDKPLLEKSHCIAEVEKDAIPENLPPLTADFAEDKDVCKNYQEAKDAFLGSFLYEYSRR
+HPEYAVSVLLRLAKEYEATLEECCAKDDPHACYSTVFDKLKHLVDEPQNLIKQNCDQFEK
+LGEYGFQNALIVRYTRKVPQVSTPTLVEVSRSLGKVGTRCCTKPESERMPCTEDYLSLIL
+NRLCVLHEKTPVSEKVTKCCTESLVNRRPCFSALTPDETYVPKAFDEKLFTFHADICTLP
+DTEKQIKKQTALVELLKHKPKATEEQLKTVMENFVAFVDKCCAADDKEACFAVEGPKLVV
+STQTALA
+>sp|Q10741|ADA10_BOVIN Disintegrin and metalloproteinase domain-containing protein 10 OS=Bos taurus OX=9913 GN=ADAM10 PE=1 SV=1
+MVLLRVLILLLSWVAGLGGQYGNPLNKYIRHYEGLSYDVDSLHQKHQRAKRAVSHEDQFL
+RLDFHAHGRHFNLRMKRDTSLFSEEFRVETSNAVLDYDTSHIYTGHIYGEEGSFSHGSVI
+DGRFEGFIQTHGGTFYVEPAERYIKDRTLPFHSVIYHEDDIKYPHKYGPQGGCADHSVFE
+RMRKYQMTGVEEVTQTPQEKHAINGPELLRKKRTTVAEKNTCQLYIQTDHLFFKYYGTRE
+AVIAQISSHVKAIDTIYQTTDFSGIRNISFMVKRIRINTTADEKDPTNPFRFPNIGVEKF
+LELNSEQNHDDYCLAYVFTDRDFDDGVLGLAWVGAPSGSSGGICEKSKLYSDGKKKSLNT
+GIITVQNYGSHVPPKVSHITFAHEVGHNFGSPHDSGTECTPGESKNLGQKENGNYIMYAR
+ATSGDKLNNNKFSLCSIRNISQVLEKKRNNCFVESGQPICGNGMVEQGEECDCGYSDQCK
+DECCYDANQPEGKKCKLKPGKQCSPSQGPCCTAHCAFKSKTEKCRDDSDCAKEGICNGIT
+ALCPASDPKPNFTDCNRHTQVCINGQCAGSICEKHGLEECTCASSDGKDDKELCHVCCMK
+KMEPSTCASTGSVQWNKYFLGRTITLQPGSPCNDFRGYCDVFMRCRLVDADGPLARLKKA
+IFSPELYENIAEWIVAYWWAVLLMGIALIMLMAGFIKICSVHTPSSNPKLPPPKPLPGTL
+KRRRPPQPIQQPQRQRPRESYQMGHMRR
+>sp|Q3ZBL9|CCNH_BOVIN Cyclin-H OS=Bos taurus OX=9913 GN=CCNH PE=2 SV=1
+MYHNSSQKRHWTFASEEQLARLRADANRKFKCKAVANGKVLPNDPVFLEPHEEITLCKYY
+EKRLLEFCSVFKPAMPRSVVGTACMYFKRFYLNNSVMEYHPRIIMLTCAFLACKVDEFNV
+SSPQFVGNLRESPLGQEKTLEQILEYELLLIQQLNFHLIVHNPYRPFEGFLIDLKTRYPL
+LENPEILRKTADDFLNRVALTDAHLLYTPSQIALTAILSSASRAGITMESYLSESLMLKE
+NRTSLSQLLDIMKSMRNLVKKYEPPRPEEVAALKQKLERCHSAELALNVVTKKRKGYEDD
+DYVSKKSKHEEVCSPKGSFM
+>sp|O77700|ADA2B_BOVIN Alpha-2B adrenergic receptor (Fragment) OS=Bos taurus OX=9913 GN=ADRA2B PE=3 SV=2
+AIAAVITFLILFTIFGNALVILAVLTSRSLRAPQNLFLVSLAAADILVATLIIPFSLANE
+LLGYWYFWRTWCEVYLALDVLFCTSSIVHLCAISLDRYWAVSRALEYNSKRTPRRIKFII
+LIVWLIAAVISLPPLIYKGDQGPQPLARPQCKLNQEAWYILASSIGSFFAPCLIMILVYL
+RIYLIAKRSHCRGPRAKGGPGERESKQPHPVPGEVSDSAKLPTLASQLATPGEANGCSQP
+RPGEKGDGETPEAPGTPALPPSWPAIPKSGQGQKEGVCGSSPEEEAEEEEEEGCEPQALP
+ASPASACSPPLQQPQGSRVLATLRGQVLLGRGTGTAGAQWWRRRTQLSREKRFTFVLAVV
+IGVFVLCWFPFFFSYSLGAICPQHCKVPHGLF
+>sp|Q2KHY5|FAP24_BOVIN Fanconi anemia core complex-associated protein 24 OS=Bos taurus OX=9913 GN=FAAP24 PE=2 SV=1
+MESNPPDSTGPMHVPFGHIVANEKWRGSQLAQGMQGKIKLVFEDGLTPVDFYLSSKSCIL
+YITEAELVAGNGYRKRLVRVRNSNKLQGIVVVEKTQMSEQYFPAVQKFTVLDLGMVLLPV
+ASQMEASCLIIQLVQEQTREPSKNPFLRKKRALVSEPALLRSVQQIPGVGKVKAPLLLQR
+FPSIQQLSNASLRELEAVVGPAAAQRIHAFFSQPR
+>sp|Q3SZC1|GRPE1_BOVIN GrpE protein homolog 1, mitochondrial OS=Bos taurus OX=9913 GN=GRPEL1 PE=1 SV=1
+MAARCVRLARGSLPAFALSLRSSPRLLCTAAKQKNNGQNLEEDAGQNEQKTDLPSTEKTL
+MEEKVKLEEQLKETMEKYKRALADTENLRQRSQKLVEEAKLYGIQGFCKDLLEVADILEK
+ATQCVPQEEIRDDNPHLKSLYEGLVMTEVQIQKVFTKHGLLRLNPLGAKFDPYEHEALFH
+TPVEGKEPGTVALVNKVGYKLHGRTLRPALVGVVKGA
+>sp|Q29RS8|FLI1_BOVIN Friend leukemia integration 1 transcription factor OS=Bos taurus OX=9913 GN=FLI1 PE=2 SV=1
+MDGTIKEALSVVSDDQSLFDSAYGAAAHLPKADMTASGSPDYGQPHKINPLPPQQEWMNQ
+PVRVNVKREYDHMNGSRESPVDCSVSKCGKLVGGGESNTMSYTSYVDEKNGPPPPNMTTN
+ERRVIVPADPTLWTQEHVRQWLEWAIKEYGLMEIDTSFFQNMDGKELCKLNKEDFLRATS
+LYNTEVLLSHLTYLRESSLLPYNTTSHTDPSSRLNVKEDPSYDSVRRGGWGSNMNSGLNK
+SPPLAGAQTMSKNTEQRPQPDPYQILGPTSSRLANPGSGQIQLWQFLLELLSDSANASCI
+TWEGTNGEFKMTDPDEVARRWGERKSKPNMNYDKLSRALRYYYDKNIMTKVHGKRYAYKF
+DFHGIAQALQPHPTESSMYKYPSDISYVPSYHTHQQKVNFVPPHPSSMPVTSSSFFGAAS
+QYWTSPTGGIYPNPNVPRHPNTHVPSHLGSYY
+>sp|Q3ZBA7|FXL21_BOVIN F-box/LRR-repeat protein 21 OS=Bos taurus OX=9913 GN=FBXL21 PE=2 SV=1
+MKRNRLSFMNKVLQSSPAVKQPKLGCHSSLSQTHMRAALLDWGNLPHHVVLRIFQYLPLI
+DRARASSVCRRWNEVFHIPDLWRKFEFELNQSAASYFNSTHPDLIQQIIKKHAAHLQYVS
+FKVDSSTESAEAACGILSQLVNCSIQTLGLISTAKPSFLNMSKSHFVSALTVLFVNSISL
+SSIKIEDTPVDDPSLSILVANNSGTLRRLKMSSCPHVSSNGILCVADHCQGLRELALNYY
+MLSDKLLLALSNETHVNLEHLRIDVMSENAGQIEFHSIKRQSWDALIKHSPGVNVVMYFF
+LYEEEMETFFKEETPVTHLYFGRSVSKEILGRLGLNCPRLTELVVCANGIQVIDTELICI
+AEHCKNLTALGLSECEVSCSAFIEFVRLCGRKLTHLSIMEEVLIPDDVYSLGEIHTEVSK
+YLGRIWFPDVMPLW
+>sp|Q28113|FUT2_BOVIN Galactoside 2-alpha-L-fucosyltransferase 2 OS=Bos taurus OX=9913 GN=FUT2 PE=3 SV=2
+MFSTQTFFFFPTAPFILFVFTASTIFHLHQRLEKMQPTWELEALEPATMETPSRPQPRPQ
+LKGMWTINAIGRLGNQMGEYATLYALAKMNGRAAFIPPQMHSTLAPIFRITLPVLHDATA
+RSVPWQNYHLNDWMEEQYRHIPGEYVRLTGYPCSWTFYHHLRAEILQEFTLHAHVREEAQ
+NFLRGLRVNGSRPSTYVGVHVRRGDYVHVMPNVWKGVVADRRYLEQALDWFRARYSAPIF
+VVSSNGMAWCRENINASRGDVVFAGNGNEGSPAKDFALLTQCNHTIMTIGTFGIWAAYLA
+GGETIYLANYTLPDSPFLKIFKPEAAFLPKWIGIPADLSPLLKH
+>sp|A6QLE7|GPR52_BOVIN G-protein coupled receptor 52 OS=Bos taurus OX=9913 GN=GPR52 PE=2 SV=1
+MNDSRWTEWRILNTSSGILNVSERHSCPLGFGHYSAVDVCIFETIVIVLLTFLIIAGNLT
+VIFVFHCAPLLHHYTTSYFIQTMAYADLFVGVSCLVPTLSLLHYSTGIHESLTCQVFGYI
+ISVLKSVSMACLACISVDRYLAITKPLSYNQLVTPCRLRICIILIWIYSCLIFLPSFFGW
+GKPGYHGDIFEWCATSWLTSAYFTGFIVCLLYAPAALVVCFTYFHIFKICRQHTKEINDR
+RARFPSHEAAASRDAGHSPDRRYAMVLFRITSVFYMLWLPYIIYFLLESSRVLDNPTLSF
+LTTWLAISNSFCNCVIYSLSNSVFRLGLRRLSETMCTSCMCVKDKEARDPKPRKRANSCS
+I
+>sp|Q71SS4|DERL1_BOVIN Derlin-1 OS=Bos taurus OX=9913 GN=DERL1 PE=2 SV=1
+MSDIGDWFRSIPTITRYWFAATVAVPLVGKLGLISPAYFFLWPEAFLYRFQIWRPITATF
+YFPVGPGTGFLYLVNLYFLYQYSTRLETGAFDGRPADYLFMLLFNWICIVITGLAMDMQL
+LMIPLIMSVLYVWAQLNRDMIVSFWFGTRFKACYLPWVILGFNYIIGGSVINELIGNLVG
+HLYFFLMFRYPMDLGGRNFLSTPQFLYRWLPSRRGGVSGFGVPPASMRRAADQNGGGGRH
+NWGQGFRLGDQ
+>sp|Q3SYU2|EF2_BOVIN Elongation factor 2 OS=Bos taurus OX=9913 GN=EEF2 PE=2 SV=3
+MVNFTVDQIRAIMDKKANIRNMSVIAHVDHGKSTLTDSLVCKAGIIASARAGETRFTDTR
+KDEQERCITIKSTAISLFYELSENDLNFIKQSKDGSGFLINLIDSPGHVDFSSEVTAALR
+VTDGALVVVDCVSGVCVQTETVLRQAIAERIKPVLMMNKMDRALLELQLEPEELYQTFQR
+IVENVNVIISTYGEGESGPMGNIMIDPVLGTVGFGSGLHGWAFTLKQFAEMYVAKFAAKG
+EGQLGPAERAKKVEDMMKKLWGDRYFDPATGKFSKSANSPDGKKLPRTFCQLILDPIFKV
+FDAIMNFKKEETAKLIEKLDIKLDSEDKDKEGKPLLKAVMRRWLPAGDALLQMITIHLPS
+PVTAQKYRCELLYEGPPDDEAAMGIKSCDPKGPLMMYISKMVPTSDKGRFYAFGRVFSGL
+VSTGLKVRIMGPNYTPGKKEDLYLKPIQRTILMMGRYVEPIEDVPCGNIVGLVGVDQFLV
+KTGTITTFEHAHNMRVMKFSVSPVVRVAVEAKNPADLPKLVEGLKRLAKSDPMVQCIIEE
+SGEHIIAGAGELHLEICLKDLEEDHACIPIKKSDPVVSYRETVSEESNVLCLSKSPNKHN
+RLYMKARPFPDGLAEDIDKGEVSARQELKQRARYLAEKYEWDVAEARKIWCFGPDGTGPN
+ILTDITKGVQYLNEIKDSVVAGFQWATKEGALCEENMRGVRFDVHDVTLHADAIHRGGGQ
+IIPTARRCLYASVLTAQPRLMEPIYLVEIQCPEQVVGGIYGVLNRKRGHVFEETQVAGTP
+MFVVKAYLPVNESFGFTADLRSNTGGQAFPQCVFDHWQILPGDPFDNTSRPSQVVAETRK
+RKGLKEGIPALDNFLDKL
+>sp|Q5E9R3|EHD1_BOVIN EH domain-containing protein 1 OS=Bos taurus OX=9913 GN=EHD1 PE=1 SV=1
+MFSWVSKDARRKKEPELFQTVSEGLRQLYAQKLLPLEEHYRFHEFHSPALEDADFDNKPM
+VLLVGQYSTGKTTFIRHLIEQDFPGMRIGPEPTTDSFIAVMHGPTEGVVPGNALVVDPRR
+PFRKLNAFGNAFLNRFMCAQLPNPVLDSISIIDTPGILSGEKQRISRGYDFAAVLEWFAE
+RVDRIILLFDAHKLDISDEFSEVIKALKNHEDKIRVVLNKADQIETQQLMRVYGALMWSL
+GKIINTPEVVRVYIGSFWSHPLLIPDNRKLFEAEEQDLFKDIQSLPRNAALRKLNDLIKR
+ARLAKVHAYIISSLKKEMPNVFGKESKKKELVNNLGEIYQKIEREHQISPGDFPNLRKMQ
+ELLQTQDFSKFQALKPKLLDTVDDMLANDIARLMVMVRQEESLMPAQVVKGGAFDGTMNG
+PFGHGYGEGAGEGIDDVEWVVGKDKPTYDEIFYTLSPVNGKITGANAKKEMVKSKLPNTV
+LGKIWKLADVDRDGLLDDEEFALANHLIKVKLEGHELPADLPPHLVPPSKRRHE
+>sp|A6H759|LRC72_BOVIN Leucine-rich repeat-containing protein 72 OS=Bos taurus OX=9913 GN=LRRC72 PE=2 SV=1
+MARDPSHLPRACRPPAIPVRVAEAALEISRTAIEEQLKICGHKKDADVFELFLSQKELTE
+VIDLSRFKKLKYLWLHHNKLHGITFLTRNYCLAELYLNNNAIFDIEGLHYLPSLHILLLH
+HNELINLDATVKELKGMLNLKTLTLYQNPLCQYNLYRLYIIYHLPGVELLDRNQVTEKER
+RSMITLFNHKKAHIVQSIAFRGKVDASWDPRSPFKQKPAQRVPSDFAFANNVDKTMFDDP
+EDAVFVRSMKRSAMAITSLNWDTVPTREEKYLEEKDTGPAQMLTITLR
+>sp|P34933|HSP72_BOVIN Heat shock-related 70 kDa protein 2 OS=Bos taurus OX=9913 GN=HSPA2 PE=2 SV=2
+MSARGPAIGIDLGTTYSCVGVFQHGKVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQV
+AMNPTNTIFDAKRLIGRKFEDATVQSDMKHWPFRVVSEGGKPKVQVEYKGEIKTFFPEEI
+SSMVLTKMKEIAEAYLGGKVQSAVITVPAYFNDSQRQATKDAGTITGLNVLRIINEPTAA
+AIAYGLDKKGCAGGEKNVLIFDLGGGTFDVSILTIEDGIFEVKSTAGDTHLGGEDFDNSM
+VSHLAEEFKRKHKKDIAPNKRAVRRLRTACERAKRTLSSSTQASIEIDSLYEGVDFYTSI
+TRARFEELNADLFRGTLEPVEKALRDAKLDKGQIQEIVLVGGSTRIPKIQKLLQDFFNGK
+ELNKSINPDEAVAYGAAVQAAILIGDKSENVQDLLLLDVTPLSLGIETAGGVMTPLIKRN
+TTIPTKQTQTFTTYSDNQSSVLVQVYEGERAMTKDNNLLGKFDLTGIPPAPRGVPQIEVT
+FDIDANGILNVTAADKSTGKENKITITNDKGRLSKDDIDRMVQEAERYKSEDEANRDRVA
+AKNAVESYTYNIKQTVEDEKLRGKISDQDKNKILDKCQEVINWLDRNQMAEKDEYEHKQK
+ELERVCNPIISKLYQGGPGGGGGSGASGGPTIEEVD
+>sp|A2VDU3|M3K7_BOVIN Mitogen-activated protein kinase kinase kinase 7 OS=Bos taurus OX=9913 GN=MAP3K7 PE=2 SV=1
+MSTASAASSSSSSSAGEMIEAPSQVLNFEEIDYKEIEVEEVVGRGAFGVVCKAKWRAKDV
+AIKQIESESERKAFIVELRQLSRVNHPNIVKLYGACLNPVCLVMEYAEGGSLYNVLHGAE
+PLPYYTAAHAMSWCLQCSQGVAYLHSMQPKALIHRDLKPPNLLLVAGGTVLKICDFGTAC
+DIQTHMTNNKGSAAWMAPEVFEGSNYSEKCDVFSWGIILWEVITRRKPFDEIGGPAFRIM
+WAVHNGTRPPLIKNLPKPIESLMTRCWSKDPSQRPSMEEIVKIMTHLMRYFPGADEPLQY
+PCQYSDEGQSNSATSTGSFMDITSTNTSNKSDTNMEQVPATNDTIKRLESKLLKNQAKQQ
+SESGRLSLGASRGSSVESLPPTSEGKRMSADMSEIEARIAATTGNGQPRRRSIQDLTVTG
+TDPGQVSSRSSSPSVRMITTSGPTSEKPARSHPWTPDDSTDTNGSDNSIPMAYLTLDHQL
+QPLAPCPNSKESMAVFEQHCKMAQEYMKVQTEIALLLQRKQELVAELDQDEKDQQNTSRL
+VQEHKKLLDENKSLSTYYQQCKKQLEVIRSQQQKRQGTS
+>sp|A4FUD9|MCM3_BOVIN DNA replication licensing factor MCM3 OS=Bos taurus OX=9913 GN=MCM3 PE=2 SV=1
+MAGTVVLDDVELREAQRDYLDFLDDEEDQGIYQSKVRELISDNQYRLIVNVNDLRRKNEK
+RANRLLSNAFEELVAFQRALKDFVASIDATYAKQYEEFYIGLEGSFGSKHVSPRTLTSCF
+LSCVVCVEGIVTKCSLVRPKVVRSVHYCPATKKTIERRYSDLTSLVAFPSSSVYPTKDEE
+NNPLETEYGLSVYKDHQIITIQEMPEKAPAGQLPRSVDVILDDDLVDRVKPGDRVQVVGT
+YRCLPGKKGGYTSGTFRTVLIACNVKQMSKDVQPSFSAEDIAKIKKFSKTRSKDIFDQLA
+RSLAPSIHGHDYVKKAILCLLLGGVERDLENGSHIRGDINILLIGDPSVAKSQLLRYVLC
+TAPRAIPTTGRGSSGVGLTAAVTTDQETGERRLEAGAMVLADRGVVCIDEFDKMSDMDRT
+AIHEVMEQGRVTIAKAGIHARLNARCSVLAAANPVYGRYDQYKTPMENIGLQDSLLSRFD
+LLFIMLDQMDPEQDREISDHVLRMHRYRAPGEQDGDAMPLGSAVDILATDDPNFSPDDQQ
+DTQIYEKHDNLLHGIKKKKEKMVSAAFMRKYIHVAKIIKPVLTQESAAYIAEEYSRLRSQ
+DSMSSDTARTSPVTARTLETLIRLATAHAKARMSKTVDLQDAEEAVELVQYAYFKKVLEK
+EKKRKKRSEDESDAEDEVEKSQEDQEQKTKRRRICPSDAKEGDSYDPYDFTNTEEEMPQV
+HTPKATDSQETKESQKVELSESRLKAFKAALLEVFREAHAQSVGMNRLTESVNRDNEEPF
+SSAEIQAALSRMQDDNQVMVSEGIVFLI
+>sp|P05785|K1C14_BOVIN Keratin, type I cytoskeletal 14 (Fragment) OS=Bos taurus OX=9913 GN=KRT14 PE=2 SV=1
+SVEEQLAQLRCEMEQQNQEYKILLDVKTRLEQEIATYRRLLEGEDAHLSSSQFSSGSQSS
+RDVSSSRQVRTKVVDVHDGKVVFTHEQIVRTKN
+>sp|P08831|IL1A_BOVIN Interleukin-1 alpha OS=Bos taurus OX=9913 GN=IL1A PE=2 SV=1
+MAKVPDLFEDLKNCYSENEDYSSEIDHLSLNQKSFYDASYEPLREDQMNKFMSLDTSETS
+KTSKLSFKENVVMVAASGKILKKRRLSLNQFITDDDLEAIANNTEEEIIKPRSAHYSFQS
+NVKYNFMRVIHQECILNDALNQSIIRDMSGPYLTATTLNNLEEAVKFDMVAYVSEEDSQL
+PVTLRISKTQLFVSAQNEDEPVLLKEMPETPKIIKDETNLLFFWEKHGSMDYFKSVAHPK
+LFIATKQEKLVHMASGPPSITDFQILEK
+>sp|Q58CS6|IFT20_BOVIN Intraflagellar transport protein 20 homolog OS=Bos taurus OX=9913 GN=IFT20 PE=2 SV=1
+MTHLSLADPVREPLFSGEAGRQTAMAKDILAEAGLHFDELNKLRVLDPEVSQQTIELKEE
+CKDFVDKIGQFQKIVGGLIELVDQLAKEAENEKMKAIGARNLLKSIAKQREAQQQQLQAL
+IAEKKMQLERYRVEYEALCKVEAEQNEFIDQFIFQK
+>sp|Q2TBU0|HPT_BOVIN Haptoglobin OS=Bos taurus OX=9913 GN=HP PE=2 SV=1
+MSALQAVVTLLLCGQLLAVETGSEATADSCPKAPEIANSHVEYSVRYQCDKYYKLHAGNG
+VYTFNNKQWINKDIGQQLPECEEDDSCPEPPKIENGYVEYLVRYQCKPYYTLRTCGDGVY
+TFNSKKQWINKNIGQKLPECEAVCGKPKHPVDQVQRIIGGSLDAKGSFPWQAKMVSQHNL
+ISGATLINERWLLTTAKNLYLGHSSDKKAKDITPTLRLYVGKNQLVEVEKVVLHPDHSKV
+DIGLIKLRQKVPVNDKVMPICLPSKDYVKVGRVGYVSGWGRNENFNFTEHLKYVMLPVAD
+QDKCVKHYEGVDAPKNKTAKSPVGVQPILNENTFCVGLSKYQDDTCYGDAGSAFVVHDKE
+DDTWYAAGILSFDKSCAVAEYGVYVKVTSILDWVRKTIANN
+>sp|Q05688|IGF1R_BOVIN Insulin-like growth factor 1 receptor (Fragment) OS=Bos taurus OX=9913 GN=IGF1R PE=2 SV=1
+NAIFVPRPERKRREVMQIANTTMSSRSRNTTVLDTYNITDPEELETEYPFFESRVDNKER
+TVISNLRPFTLYRIDIHSCNHEAEKLGCSASNFVFARTMPAEGADDIPGPVTWEPRPENS
+IFLKWPEPENPNGLILMYEIKYGSQVEDQRECVSRQEYRKYGGAKLNRLNPGNYTARIQA
+TSLSGNGSWTDPVFFYVQAKTTYENFIHLMIALPIAVLLIVGGLVIMLYVFHRKRNSSRL
+GNGVLYASVNPEYFSAADVYVPDEWEVAREKITMSRELGQGSFGMVYEGVAKGVVKDEPE
+TRVAIKTVNEAASMRERIEFLNEASVMKEFNCHHVVRLLGVVSQGQPTLVIMELMTRGDL
+KSYLRSLRPEMENNPVLAPPSLSKMIQMAGEIADGMAYLNANKFVHRDLAARNCMVAEDF
+TVKIGDFGMTRDIYETDYYRKGGKGLLPVRWMSPESLKDGVFTTHSDVWSFGVVLWEIAT
+LAEQPYQGLSNEQVLRFVMEGGLLDKPDNCPDMLFELMRMCWQYNPKMRPSFLEIISSVK
+DEMEAGFREVSFYYSEENKPPEPEELDLEPENMESVPLDPSASSASLPLPDRHSGHKAEN
+GPGPGVLVLRASFDERQPYAHMNGGRKNERALPLPQSSTC
+>sp|Q5E9X4|LRC59_BOVIN Leucine-rich repeat-containing protein 59 OS=Bos taurus OX=9913 GN=LRRC59 PE=2 SV=1
+MTKAGSKGGNLRDKLDGNELDLSLSDLNEVPVKELAALPKATVLDLSCNKLTTLPSDFCG
+LTHLVKLDLSKNKLRQLPADFGRLVNLQHLDLLNNRLVTLPVSFAQLKSLKWLDLKDNPL
+DPVLAKVAGDCLDEKQCKQCANKVLQHMKAVQADQERERQRRLEIDREAEKKWEAKQRAK
+EAQERELRKREKAEEKERRRKEYDALKAAKREQEKKPKKETNQAPKSKSSSRPRKPPPRK
+HTRSWAVLKLLLLLLLCVAGGLVACRVTELQQQPLCTSVNTIYDNAVRGLRSHDILQWVL
+QTDSQQ
+>sp|Q32L00|ALKB3_BOVIN Alpha-ketoglutarate-dependent dioxygenase alkB homolog 3 OS=Bos taurus OX=9913 GN=ALKBH3 PE=2 SV=1
+MEDKRRRARVQGAWAGPAKSQATAQPAPTAENNLQQRPGKAWMNKEQHLSDRQFVFKEPQ
+EGVRRAPEPRVIEKEGVYEISMSPTGISRVCLCPGFVDLKEADSVFEQLCRDVPWKQRTG
+IRDDVTYQQPRLTAWYGELPYTYSRITMEPNPHWHPVLLMLKNQIEENTGHSFNSLLCNL
+YRNEKDSVDWHSDDEPSLGRCPIIASLSFGATRMFEMRKKPPPEDNGDYTYVERVKIPLD
+HGTLLIMEGATQADWQHRVPKEYHSREPRINLTFRTVYPDPRGAHW
+>sp|E1BKA3|AJUBA_BOVIN LIM domain-containing protein ajuba OS=Bos taurus OX=9913 GN=AJUBA PE=3 SV=1
+MERLGEKASRLLEKLRLSDSGSAKFGRRKGESSRSGSDGTPGPGKGRLSGLGGPRKSGPR
+GAAGGPGDEPLEPAREQGPLDAERNPRGSFEVPRYEGSFPGGPPPSRALPLPQSLPPDFR
+LETTAPALSPRSSFASSSASDASKPSSPRGSLLLDGAGAGGAGGSRPCSNRTSGISMGYD
+QRHGSPLPAGPCLFGPPLAGVPAGYSSGGVPSAYPELHAALDRLCAHRPAGFGCQESRHS
+YPPALGSPGALAGAGVGATGPLERRGAQPGRHSVTGYGDCAAGARYQDELTALLRLTVGT
+GGREAGVRGESAGIEASGLEEPPGAFVPEAARARIREPESREDYFGTCIKCNKGIYGQSN
+ACQALDSLYHTQCFVCCSCGRTLRCKAFYSVNGSVYCEEDYLFSGFQEAAEKCCVCGHLI
+LEKILQAMGKSYHPGCFRCIVCNKCLDGIPFTVDFSNQVYCVTDYHKSYAPKCAACGQPI
+LPSEGCEDIVRVISMDRDYHFECYHCEDCRMQLSDEEGCCCFPLDGHLLCHGCHMQRLSA
+RQPPANYI
+>sp|A2VE00|CC126_BOVIN Coiled-coil domain-containing protein 126 OS=Bos taurus OX=9913 GN=CCDC126 PE=2 SV=1
+MVFTISRKSMSQKLSLLLLVFGLLWGLMLLHYIFQRPRHQSSVKLREQILDLSKKYVKAL
+AEENKNTMDVENGASMAGYADLRRTIAVLLDDILQRLVKLENKVDYIVVNGSAASTTSGT
+SGNPVPLTTNKRVKASGSIR
+>sp|Q8MJK1|CBY1_BOVIN Protein chibby homolog 1 OS=Bos taurus OX=9913 GN=CBY1 PE=2 SV=1
+MPLFGSTFSPKKTPPRKSASLSNLHNLDRSTREVELGLDYGTPTMNLAGQSLKFENGQWI
+AETGISGGVDRREAQRLRRRNQQLEEENNLLRLKVDILLDMLSETTAESHLMEKELDELK
+SVSRRRK
+>sp|A6QPB3|COHA1_BOVIN Collagen alpha-1(XVII) chain OS=Bos taurus OX=9913 GN=COL17A1 PE=2 SV=1
+MDITQKNKRDGTEVTERIITETVTTRLTSLPPKGGTSNGYAKTGSLGGGSRLEKQSLTHG
+SSGYINSSGSLRGNASTSSYRRAHSPASTLPNSPGSTFERKTHVTRHGTYEGSSSGNSSP
+EYPRKEFASSSTRGRSQTRESEIRVRLQSASPSTRWTELDDVKRLLKGSRSASVSPTRNS
+SNTLPIPKKGTVETKVVTASSQSVSGTYDTTILDANLPSHVWSSTLPAGSSMGTYHNNIT
+TQSSSLLNTNAYSAGSVFGVPNNMASCSATLQPGISTSSSVFGMQNNLAPSSSTLSHGMA
+ATSTAYGVKKNMPQSPTAVSTGVSTSAASTTNVQNDDLLHKDCKFLILEKDNTPAKKEME
+LLIMTKDSGKVFTASPASVAATSFSEDTLKKEKQAAYTDTYLVSEANGDVKTVTAKGNGA
+SADIHGYDHRRGGGGGGGSGGALGSGAAGGGGKGSWGAAPTWCPCGSWCSWWKWLLGLLL
+TWLLLLGLLFGLIALAEEVRKLKARVEELEKMRGRLSYNEKMERSSQDSVQGVAPRLGEG
+LGKSELDDYNLEDVWQFMKVRLMTEQENGNLRGSPGPKGDMGVQGPKGDRGFPGTPGIPG
+PLGHQGPEGPKGQKGNVGEPGMEGPMGQRGREGPMGPRGEPGPPGFGEKGDRGDAGKPGI
+PGPPGVPGSVGPKGSIGPQGLRGEVGLPGIKGDKGPMGPPGPKGDQGEKGPRGLTGEPGL
+KGLPGAVGEPGAKGAMGPAGPDGHQGPRGEQGLPGMPGTRGLPGPSGDPGKPGLTGPQGP
+QGIPGTPGRPGVKGEPGAPGKIMTSEGSSTITVPGPPGPPGAMGPPGPPGAPGPVGPAGL
+PGQQGPRGEPGLAGESFMGSSSSFSEVLSTQGIDLRGPPGPPGPPGPPGEGLPGPPGPPG
+SLLTSSETFFSGPPGPPGPPGPKGDQGPPGPRGHQGERGFPGLSGSGSSSLGLNLQGPPG
+PPGPQGPKGDKGDPGVPGAPGIPGGPSRGGSSSSTTFMQGPPGPPGPPGPPGSLSSSGLE
+IQQYISDYMQSDSIRPYLSGVQGPPGPPGPPGPVTTITGETFNYSELASLVVSYLQTSGY
+NIGTSSTSISSEDILAALRRDDVRQYLQQYLMPQGAGGDWFLQSLDYAELSNRILSYMSS
+TGVSIGLPGPPGPPGLPGTSYEELLSLLQGSEFRGIVGPPGPPGPPGLPGSSWSSISTED
+LSSYLQTAGLSSIPGPPGPPGPPGPRGPPGISGALATYAAENSDSFRSELISYLTSPDVR
+SFIVGPPGPPGPQGPPGDTRLVSTDSSYSRSGSSSSFSRDTSYSSSMGIGGASGGSLGEA
+GAFGMDMGRGYGAAAESGMYGGNGRFGTSFAGGLDYNELAVRVSESLQRQGLLQGMAYTV
+QGPPGRPGPQGPPGISKIFSAYSNVTEDLMDFFRTYGAIPGPPGQKGEMGIPGPKGERGP
+AGPPGPRGHKGEKGDKGDQFYIGRRRRSIAVKP
+>sp|Q2TBL8|CDK10_BOVIN Cyclin-dependent kinase 10 OS=Bos taurus OX=9913 GN=CDK10 PE=2 SV=1
+MGEPEPEQIRLKCVRKEGFFTVPPEHRLGRCRSVKEFEKLNRIGEGTYGIVYRARDTHTD
+EIVALKKVRMDKEKDGVPISSLREITLLLRLRHPNIVELKEVVVGNHLESIFLVMGYCEQ
+DLASLLENMPTPFSEAQVKCIVLQVLRGLQYLHRNFIIHRDLKVSNLLMTDKGCVKTADF
+GLARAYGIPVKPMTPKVVTLWYRAPELLLGTTTQTTSIDMWAVGCILAELLAHKPLLPGT
+SEIHQVDLIVQLLGTPSENIWPGFSQLPLASQYSLRKQPYNNLKHKFPWLSEAGLRLMNL
+LFMYDPKKRATAGDCLESSYFKEKPLPCEPELMPTFPHHRNKRATPATSLGTESQSRRGR
+P
+>sp|A6H754|CD37L_BOVIN Hsp90 co-chaperone Cdc37-like 1 OS=Bos taurus OX=9913 GN=CDC37L1 PE=2 SV=2
+MEQPWPPPGPWSLPRAEGEAEEESDLDLSPGSPRCPQLPGGGTQMYSHGIEMACQKQKEF
+VKSSVACKWNLAEAQQKLGSLALHNSESLDQEHAKAQTAISELRQREEEWRQKEEALVQR
+ERMCLWNMDAISKDVFNKSFINQDKRKETEDEDKSKSFMQKHEQKIRHFGMLSRWDDSQR
+FLSDHPYLVCEETAKYLILWCFHLEAEQKGALMEQIAHQAVVMQFIMEMAKNCNVDPRGC
+FRLFFQKAKAEEEGYFEAFKNELEAFKSRVRLYSQSPNFQPVTVQNHVPHSGVGSIGLLE
+SLPQNPDYLQYSINTALCSLNSVVHKEDDEPKMMDTV
+>sp|Q3T0B6|C1QBP_BOVIN Complement component 1 Q subcomponent-binding protein, mitochondrial OS=Bos taurus OX=9913 GN=C1QBP PE=2 SV=1
+MFQLLRCVPRVLGTAVAGLRAAAPSLPRLQPASRPCARPFGLLSVRARSVQLPGLLKPRG
+PCACGCGCSGLHTEGDKAFVDFLSDEIKEEKKIQKYKSLPKMSGGWELEVNGTEAKLVRK
+VAGEKITVTFNINNSIPPAFGGEEEEPSQGQKAEEQEPELTSTPNFVVEVTKDGSSKALV
+LDCHYPEDEIGQEDDQSDIFSIKEVSFQATGESDWKDTNYTLNTDSLDWGLYDHLMDFLA
+DRGVDNTFADELVELSTALEHQEYISFLEDLKGFVKSK
+>sp|A6QLR3|ABRX2_BOVIN BRISC complex subunit Abraxas 2 OS=Bos taurus OX=9913 GN=ABRAXAS2 PE=2 SV=2
+MAASISGYTFSAVCFHSANSNADHEGFLLGEVRQEETFSISDSQISSTEFLQVIEIHNHQ
+PCSKLFSFYDYASKVNEESLDRILKDRRKKVIGWYRFRRNTQQQMSYREQVIHKQLTRIL
+GVPDLVFLLFSFISTANNSTHALEYVLFRPNRRYNQRISLAIPNLGNTSQQEYKVSSVPN
+TSQSYAKVIKEHGADFFDKDGVMKDIRAIYQVYNALQEKVQAVCADVEKSERVVESCQAE
+VNKLRRQITQRKNEKEQERRLQQAMVSRQMPSESVDPTFSPRMPYPGFTAEGRSTLGDTE
+ASDPPPPYSDLHPNNQESTLSHSRMESSVFMPRPQAVGSSSYASTSAGLKYPGSGADAPP
+SHRAAGDSAEESDDSDYENLIDPTEPPNSEYSRSRDSRPMTHPDGGSQI
+>sp|P52556|BLVRB_BOVIN Flavin reductase (NADPH) OS=Bos taurus OX=9913 GN=BLVRB PE=1 SV=2
+MVVKKIALFGATGNTGLTTLAQAVQAGYEVTVLVRDPSRLPSEGPQPAHVVVGDVRQPAD
+VDKTVAGQDAVIVLLGTRNDLSPTTVMSEGAQNIVAAMKAHGVDKVVACTSAFLLWDPSK
+VPPRLQDVTDDHIRMHKVLQQSGLKYVAVMPPHIGDHPLTGAYTVTLDGRGPSRVISKHD
+LGHFMLHCLTTDKYDGHTTYPSHVYE
+>sp|Q1JPD2|ABHGA_BOVIN Phosphatidylserine lipase ABHD16A OS=Bos taurus OX=9913 GN=ABHD16A PE=2 SV=1
+MAKLLSCVLGPRLYKIYRERDSERAPSSVPGTPTSVTNPHSSSWDTYYQPRALEKHADSI
+LALASVFWSISYYSSPFAFFYLYRKGYLSLSKVVPFSHYAGTLLLLLAGVACLRGIGRWT
+NPQYRQFITILEATHRNHSAENKRQLANYNFDFRSWPVDFHWEEPSSRKESRGGPSRRGV
+ALLRPEPLHRGTADTFLNRVKKLPCQITSYLVAHTLGRRMLYPGSVYLLQKALMPVLLQG
+QARLVEECHGRRAKLLACDGNEIDTMFVDRRGTAEPQGQKLVICCEGNAGFYEVGCVSTP
+LEAGYSVLGWNHPGFAGSTGVPFPQNEANAMDVVVQFAIHRLGFQPEDIILYAWSIGGFT
+ATWAAMSYPDISAVILDASFDDLVPLALKVMPDSWRGLVTRTVRQHLNLNNAEQLCRYQG
+PVLLIRRTRDEIITTTVPEDIMSNRGNDLLLKFLQHRYPRVMAEEGLRVVRQWLEASSQL
+EEASIYSRWEVEEDWCLSVLRSYQAEHGPEFPWSVGEDMSADGRRQLALFLAQKHLNNFE
+ATHCTPLPAQNFQMPWHL
+>sp|Q0P5A2|COQ5_BOVIN 2-methoxy-6-polyprenyl-1,4-benzoquinol methylase, mitochondrial OS=Bos taurus OX=9913 GN=COQ5 PE=2 SV=1
+MAAPRSWALWSFCGCGWSRAVSGCRLPGLRSSSPRGPLGARLLSQEKEATETHFGFETVS
+EEEKGGKVYQVFESVAKKYDVMNDMMSLGIHRVWKDLLLWKMRPFPGTQLLDVAGGTGDI
+AFRFLNYVQAQHQRKQKRQLRAQQNLSWEEIARKYQNEEDSLGGSHVMVCDINKEMLKIG
+KQKARAQGYKAGLAWILGDAEELPFDDNKFDVYTIAFGIRNVTHIDQALQEAHRVLKPGG
+RFLCLEFSQVNNPLLSRLYDVYSFQVIPVLGEVIAGDWKSYQYLVESIRQFPSQEEFKEM
+IEDAGFQKVTYENLTSGIVAIHSGFKLYLR
+>sp|Q10568|CPSF2_BOVIN Cleavage and polyadenylation specificity factor subunit 2 OS=Bos taurus OX=9913 GN=CPSF2 PE=1 SV=1
+MTSIIKLTTLSGVQEESALCYLLQVDEFRFLLDCGWDEHFSMDIIDSLRKHVHQIDAVLL
+SHPDPLHLGALPYAVGKLGLNCAIYATIPVYKMGQMFMYDLYQSRHNTEDFTLFTLDDVD
+AAFDKIQQLKFSQIVNLKGKGHGLSITPLPAGHMIGGTIWKIVKDGEEEIVYAVDFNHKR
+EIHLNGCSLEMLSRPSLLITDSFNATYVQPRRKQRDEQLLTNVLETLRGDGNVLIAVDTA
+GRVLELAQLLDQIWRTKDAGLGVYSLALLNNVSYNVVEFSKSQVEWMSDKLMRCFEDKRN
+NPFQFRHLSLCHGLSDLARVPSPKVVLASQPDLECGFSRDLFIQWCQDPKNSIILTYRTT
+PGTLARFLIDNPSEKVTEIELRKRVKLEGKELEEYLEKEKLKKEAAKKLEQSKEADIDSS
+DESDAEEDIDQPSAHKTKHDLMMKGEGSRKGSFFKQAKKSYPMFPAPEERIKWDEYGEII
+KPEDFLVPELQATEEEKSKLESGLTNGDEPMDQDLSDVPTKCISTTESIEIKARVTYIDY
+EGRSDGDSIKKIINQMKPRQLIIVHGPPEASQDLAECCRAFGGKDIKVYMPKLHETVDAT
+SETHIYQVRLKDSLVSSLQFCKAKDAELAWIDGVLDMRVSKVDTGVILEEGELKDDGEDS
+EMQVDAPSDSSVIAQQKAMKSLFGDDEKETGEESEIIPTLEPLPPHEVPGHQSVFMNEPR
+LSDFKQVLLREGIQAEFVGGVLVCNNQVAVRRTETGRIGLEGCLCQDFYRIRDLLYEQYA
+IV
+>sp|Q5E9N9|APEX2_BOVIN DNA-(apurinic or apyrimidinic site) lyase 2 OS=Bos taurus OX=9913 GN=APEX2 PE=2 SV=1
+MLRLVSWNINGIRSPLQGVRCEEPSSCSAMAMGRILDKLDADIVCLQETKVTRDVLTEPL
+AIIEGYNSYFSFSRNRSGYSGVATFCKDSATPVAAEEGLSGLLSTQNGDVGCYGNMDDFT
+QEELRALDSEGRALLTQHKICTWEGKEKTLTLINVYCPHADPGKPERLTFKMRFYRLLQI
+RAEALLAAGSHVIILGDLNTAHRPIDHWDAVNMECFEEDPGRKWMDGLLSNLGCESGSHM
+GPFIDSYRCFQPKQKGAFTCWSTVSGARHLNYGSRLDYVLGDRTLVIDTFQSSFLLPEVM
+GSDHCPVGAVLSVSSVPAKQCPPLCTCFLPEFAGTQLKILRFLVHFKQDPVFKQSALQPS
+NQTQVHMRKNKARVRSTRSRPSKTGSSRGQKNLMSYFQPSSSGPQTSNLDLPSLGTLITP
+KTSEEDVMANVVEGQTKASEAKDEKEIRTSFWKSLLGGPSPMPLCGGHREPCVMRTVKKP
+GPNLGRHFYMCARPQGPPTDPSSRCNFFLWSRPS
+>sp|A6QQ68|F228B_BOVIN Protein FAM228B OS=Bos taurus OX=9913 GN=FAM228B PE=2 SV=1
+MKSYENDPITDKLPKLKSSREWLEPQPLSFMEVLAKEDVDTAIQSILYRENYIIKELDKC
+LKHHDFLNARRKEILYKRWVDHVADPLQKKIIEKVTSYKKIKKRRQEELDGFLKYVNKKG
+NAFIEHYDPKEYDPFYMNRENPNFLKVTIPPFRDPLKKAQYDKDDGKRILLQCETGKIYT
+MKEFKEVEKAKLHSRFPGISNSRHLMTPNEWIRLPPNYIESEFCKRSRLKIKVNFNESSF
+DLKPSVRTPHPEFQKEDKAVHYKF
+>sp|Q4PKH3|CLCN7_BOVIN H(+)/Cl(-) exchange transporter 7 OS=Bos taurus OX=9913 GN=CLCN7 PE=2 SV=1
+MANVSKKVSWSGRDLDDDEAAPLLRRAPRLGVPAGEAAPLLNGAGPAAARASPHSAFFRI
+GQLSSVELDDELLDPDMDPPHPFPREIPHNEKLLSLKYESLDYDNSENQLFLEEERRINH
+TAFRTVEIKRWVICAMVGILTGLVACFIDIVVEKLAGLKYRLVKDNIDRFTEHGGLSFSL
+LLWAALNAAFVLLGSTIVAFIEPVAAGSGIPQIKCFLNGVKIPHVVRLKTLVIKVSGVIL
+SVVGGLAVGKEGPMIHSGSVIAAGISQGRSTSLKRDFKIFEYFRRDTEKRDFVSAGAAAG
+VSAAFGAPVGGVLFSLEEGASFWNQFLTWRIFFASMISTFTLNFVLSIYHGNAWDLSSPG
+LINFGRFDTETMVYVIHEIPIFIAMGVVGGILGAVFNALNYWLTMFRIRYVHRPCLQVVE
+ATLVAAVTATAAFVLIYSSRDCQPLRGSSVSYPLQLFCADGEYNSMAVAFFNTPEKSVVS
+LFHDPPGSYNPMTLGLFTLVYFFLACWTYGLTVSAGVFIPSLLIGAAWGRLFGISLSYIT
+GAAVWADPGKYALMGAAAQLGGIVRMTLSLTVIMMEATSSVTYGFPIMLVLMTAKIVGDV
+FIEGLYDMHIQLQSVPFLHWEAPVTSHSLTAREVMSTPVTCLRRREKVGVIVDVLSSTAS
+NHNGFPVVEDADGTQPARLQGLILRSQLIVLLKHKVFVERSSMGLLRRRLRLKDFRDAYP
+RFPPIQSIHVSQDERECTMDLSEFMNPSPYTVPQEASLPRVFKLFRALGLRHLVVVDNCN
+QVVGLVTRKDLARYRLGKGGLEELSLAQT
+>sp|Q32KT5|CF136_BOVIN Uncharacterized protein C6orf136 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MYQPSRGAARRLGPCLRAYQARPQDQSSPWALPFPPLWPHSTTTTSPSLPLFWSPPPPSP
+PTRLLPPAPPLPLPQVQALTSPWVVLPPGKGEEGPGPELLSGCLDGLRSLFEGPPCPCPG
+ALIPFQAPGTAHPSPATPSGDPSMEEHLAVMYERLRQELPNLFLHSHDYTLYSSDVEFIN
+EILNMRTKGRTWYILSLTLCRFLAWNYFAQLRLEILQLTRHPENWTLQARWRLVGLPIHL
+LFLRFYKRDKEELYRTYDAYSTFYLNSNGLICRHRLDKLMPSHSPPEPVKKLLVGALVAL
+GLSEPEPNLHLCSKD
+>sp|Q0P5A5|CKS1_BOVIN Cyclin-dependent kinases regulatory subunit 1 OS=Bos taurus OX=9913 GN=CKS1B PE=3 SV=1
+MSHKQIYYSDKYDDEEFEYRHVMLPKDIAKLVPKTHLMSESEWRNLGVQQSQGWVHYMIH
+EPEPHILLFRRPLPKKPKK
+>sp|Q1LZE6|CHPT1_BOVIN Cholinephosphotransferase 1 OS=Bos taurus OX=9913 GN=CHPT1 PE=2 SV=1
+MAAGAGARPAPRWLKALTEPLSAAQLRRLEEHRYTAAGVSLLEPPLQLYWTWLLQWIPLW
+MAPNSITLLGLAINMLTTLVLISYCPTVTEEAPYWTYLLCALGLFIYQSLDAIDGKQARR
+TNSCSPLGELFDHGCDSLSTVFMAVGASIAVRLGTHPDWLFFCSFIGMFMFYCAHWQTYV
+SGVLRFGKVDVTEIQIALVIVFVLSTFGGATMWDYTIPILEIKLKILPVLGVVGGAIFSC
+SNYFHVILHGGVGKNGSTIAGTSVLSPGLHIGIIIILAIMIYKKSATNLFEKHPCLYTLM
+FGCVFAKVSQKLVIAHMTKSELYLQDTVFIGPGLLFLDQYFNNFVDEYIVLWIAMVISSL
+DMMRYFSALCLQISRHLHLSIFKTSCHQAPEQVQVLPPKSHQNNMD
+>sp|Q1LZD0|AA2BR_BOVIN Adenosine receptor A2b OS=Bos taurus OX=9913 GN=ADORA2B PE=2 SV=1
+MPLEAQDAVYVALELALAALSVTGNVLVCAAVGTSSALQTPTNYFLVSLAAADVAVGLFA
+IPFAVTISLGFCTDFHSCLFLACFVLVLTQSSIFSLLAVAVDRYLAVRVPLRYKSLVTGA
+RARGVIAALWVLAFGIGLTPFLGWNDRKIATNCTEPGDAATNVSCCLIRCLFENVVPMSY
+MVYFNFFGCVLPPLLIMLVIYVKIFLVACRQLQRTELMDHSRTVLQREIHAAKSLALIVG
+IFALCWLPVHTINCASLFQPTWAKVKPKWAINTAILLSHANSAVNPIVYAYRNRDFRYTF
+HKIISRYILCRTHILKSGEGQVGSQPTLQLGL
+>sp|Q3SZG6|FA92A_BOVIN Protein FAM92A OS=Bos taurus OX=9913 GN=FAM92A PE=2 SV=1
+MLRRSLENRDAQTRQLQDAVTNVEKHFGELCQIFAAYVRKTARLRDKADLLVNEINVYAS
+TETPHLKQGLKNFADEFAKLQDYRQAEVERLEAKVVEPLKAYGTIVKMKRDDLKATLTAR
+NREAKQLTQLERTRQRNPSDRHVISQAETELQRATMDATRTTRHLEETIDNFEKQKIKDI
+KTIFSEFITIEMLFHGKALEVYTAAYQNIQKIDEEEDLEVFRHSLYPQDYSSRLDIVRAN
+SKSPLQRSLSAKCVSGTGQVLTCRLRKDHQTEDDDEEDEDLDVTEEEN
+>sp|P80221|CXCL6_BOVIN C-X-C motif chemokine 6 OS=Bos taurus OX=9913 GN=CXCL6 PE=1 SV=2
+MRLLSSRAARVSGPSGSLCALLALLLLTPPGPLASAGPVAAVVRELRCVCLTTTPGIHPK
+TVSDLQVIAAGPQCSKVEVIATLKNGREVCLDPEAPLIKKIVQKILDSGKNN
+>sp|Q28003|CXCR2_BOVIN C-X-C chemokine receptor type 2 OS=Bos taurus OX=9913 GN=CXCR2 PE=2 SV=1
+MTIILKDLSNSSILWEGFEDEFGNYSGTPPTEDYDYSPCEISTETLNKYAVVVIDALVFL
+LSLLGNSLVMLVILYSRIGRSVTDVYLLNLAMADLLFAMTLPIWTASKAKGWVFGTPLCK
+VVSLLKEVNFYSGILLLACISMDRYLAIVHATRTLTQKWHWVKFICLGIWALSVILALPI
+FIFREAYQPPYSDLVCYEDLGANTTKWRMIMRVLPQTFGFLLPLLVMLFCYGFTLRTLFS
+AQMGHKHRAMRVIFAVVLVFLLCWLPYNLVLIADTLMRAHVIAETCQRRNDIGRALDATE
+ILGFLHSCLNPLIYVFIGQKFRHGLLKIMAIHGLISKEFLAKDGRPSFVGSSSGNTSTTL
+>sp|Q29RM2|FA53C_BOVIN Protein FAM53C OS=Bos taurus OX=9913 GN=FAM53C PE=2 SV=1
+MITLITEQLQKQTLDELKCTRFSISLPLPDHADISNCGNPFQLVSEGASWRGLPHCSCAE
+FQDSLNLSYHPSGLSLHLRPPSPGSSPQEQSLSQVLSPEPPDPEKLPVPPAPPSKRHCRS
+LSVPVDLSRWQPVWRPAPSKLWTPIKHRGSGGGGGPQVPHQSPPKRVSSLRFLQAPSASS
+QCAPAHRPYSPPFFSLALAQDSSHPSAASPQSGSWESDAESLSPCPPQRRFSLSPSLGPQ
+ASRFLPSARSSPASSPELPWRPRGLRNLPRSRSQPCDLDARKAGVKRRHEEDPRRLRPSL
+DFDKMNQKPYSGGLCLQETAREGSSISPPWFMACSPPPLSASCSPIGGSSQVLSESEEEE
+EGAVRWGRQALSKRTLCQQDFGDLDLNLIEEN
+>sp|Q28009|FUS_BOVIN RNA-binding protein FUS OS=Bos taurus OX=9913 GN=FUS PE=2 SV=2
+MASNDYTQQATQSYGAYPTQPGQGYSQQSNQPYGQQSYGGYGQSTDTSGYGQSSYSGSYG
+QTQNTGYSTQSAPQGYSSAGGYGSSQSSQSSYGQQSSYPGYGQQPAPSGTSGSYGSSSQS
+SGYGQPQGGGYGQQSGYGGQQQSYGQQQSYNPPQGYGQQSQYNSSGGGGGGGGGSYGQDQ
+PSMSSGGGGGGYGNQDQSGGYGGGQQDRGGRGRGGGGGYNRSSGGYEPRGRGGGRGGRGG
+MGGSDRGGFNKFGGPRDQGSRHDSEQDNSDNNTIFVQGLGENVTIESVADYFKQIGIIKT
+NKKTGQPMINLYTDRETGKLKGEATVSFDDPPSAKAAIDWFDGKEFSGNPIKVSFATRRA
+DFNRGGGNGRGGRGRGGPMGRGGYGGGGSGGGGRGGFPSGGGGGGGQQRAGDWKCPNPTC
+ENMNFSWRNECNQCKAPKPDGPGGGPGGSHMGGNYGDDRRGGRGGYDRGGYRGRGGDRGG
+FRGGRGGGDRGGFGPGKMDSRGEHRQDRRERPY
+>sp|Q2YDE8|FA71D_BOVIN Protein FAM71D OS=Bos taurus OX=9913 GN=FAM71D PE=2 SV=1
+MKKSNRKSPTRIDEKDDICVPDSKDPGELQNMLNGGEYAPFVSPPILESNFIQVNRRGES
+IYLHNRANWVTVGICSSNPTTKTPNVMLLAHLTPTAQKDSEPLFTSLLTSPSPENLVLTR
+FLPLQFVTLSVHDAENMRIKVKLVSGRAYYLQLCAPACKQEALFCQWVELISLLNKEKAK
+ASKVSEVSSLSEITNSTDITGSVDIMDIAAFPAIQTSHLSTCSDPNNDVESVDFSEFTDI
+TDVTDDTDIPENEVTEAPDINIVTEVTEVTDICGVTASSGVRVVFENDDILKAKQEEKEK
+MENILKSGCLRDTKSKNEFRESPKRVTISNLALTFEGERCFQTTLTPEEDETEKSKEMSD
+RPREIRTMDSESTTLKAEEPRSRRTDSDTSDKCKLLN
+>sp|Q3MHJ0|K1143_BOVIN Uncharacterized protein KIAA1143 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MSKRNQVSYVRPAEPAFLARFKERVGYKEGPTVETKRIQPQLPEEDGDHSDKEDEQPQVV
+VLKKGDLSAEEVMKIKAEIKAARADEEPPSADGRIMYRKPVKRSSDEKHSGLSASSKKKK
+TKEEDEINKQDSVKKNSQKQIKNSSLLSFDNEDENE
+>sp|Q5EA98|MFAP1_BOVIN Microfibrillar-associated protein 1 OS=Bos taurus OX=9913 GN=MFAP1 PE=2 SV=1
+MSVPSSLMKQPPIQSTAGAVPVRNEKGEISMEKVKVKRYVSGKRPDYAPMESSDEEDEEF
+QFIKKAKEQEAEPEEQEEDSSSDPRLRRLQNRISEDVEERLARHRKIVEPEVVGESDSEV
+EGDPWRMEREDSSEEEEEEIDEEEIERRRGMMRQRAQERKNEELEVMEVEDEGRSGEESE
+SESEYEEYTDSEDEMEPRLKPVFIRKKDRVTVQEREAEALKQKELEQEAKHMAEERRKYT
+LKIVEEETKKELEENKRSLAALDALNTDDENDEEEYEAWKVRELKRIKRDREDREALEKE
+KAEIERMRNLTEEERRAELRANGKVITNKAVKGKYKFLQKYYHRGAFFMDEDEEVYKRDF
+SAPTLEDHFNKTILPKVMQVKNFGRSGRTKYTHLVDQDTTSFDSAWGQESAQNTKFFKQK
+AAGVRDVFERPSAKKRKTT
+>sp|A2VE13|MAL2_BOVIN Protein MAL2 OS=Bos taurus OX=9913 GN=MAL2 PE=1 SV=1
+MSAGGAPVPPPPNPAMSFPAPRVTLPAGPDILRTYSGAFVCLEIVFGGLVWILVASSNVP
+LPLLQGWVMFVSVTAFVCSLLFLGVFLSGVVTQINANWNFLDFAYHFTVFVFYFGAFLLE
+AATTSLHDLRCNRTMTVQPLLSDNQYNINVAATIFAFVTTACYGCSLGLALRRWRP
+>sp|Q3MHG6|GTPBA_BOVIN GTP-binding protein 10 OS=Bos taurus OX=9913 GN=GTPBP10 PE=2 SV=1
+MVRCSCVLFRKYGNFIDNLRLFTKGGSGGMGYPRLGGEGGKGGDVWVVAHNRMTLKQLKD
+KYPQKRFVAGEGANSRVSALKGSKGKDCEIPVPVGVSVTDENGKIIGELNKEKDRLLVAE
+GGLGGKLLTNFLPLKGQKRVIHLDLKLIADIGLVGFPNAGKSSLLSKISHAKPAIADYAF
+TTIKPELGKIMYSDFKQISVADLPGLIEGAHMNKGMGHKFLKHIERTKQLLFVVDISGFQ
+LSSQTHYRTAFETIILLSKELELYKEELHTKPALLAVNKMDLPDAQGKFHVLMNQLQNPK
+EFFHLFEKNMIPERTVEFQHIIPISAITGEGIDELKNCIRKSLDEHTNQENDAYHKKQLL
+NLHISNTVSYSEPPSKTAVSSPRMDIT
+>sp|A7YWM2|K1C40_BOVIN Keratin, type I cytoskeletal 40 OS=Bos taurus OX=9913 GN=KRT40 PE=2 SV=1
+MASDCSPTGCSSESSARASDCALASTCSVETTCLPSACATSSCQTPSFPSGARLPTGCLP
+PACFAGSCNIPCVVGNCAWCEDGVFNSNEKETMQFLNDRLASYLEKVRGLEELNAELECR
+IREQCEEDVPLVCPDYQCYFDTIEDLQQKILCTKAENCRLAVQLDNCKLAADDFRSKYES
+ELSLRQLVETDISGLRGILGELTVCRSDLEAHVESLKDDLLCLKKSHEEEVNVLRGQLGD
+RLSVELDTAPTTDLNRVLDEMRCQYETVLANNRRDVEEWFAAQTEELNQQQLSSAEQLQG
+CQTEILELKRTANTLEIELQAQQSLTESLECTVAETEAQYSSELAQIQCLIDNVENQLAE
+IRCDLERQNQEYRVLLDTKARLECEINTYQGLLDSEDSRLPCNPCSATSMSNDTCEPCSA
+YVICTVENSCP
+>sp|Q3MHF8|LS14A_BOVIN Protein LSM14 homolog A OS=Bos taurus OX=9913 GN=LSM14A PE=2 SV=1
+MSGGTPYIGSKISLISKAEIRYEGILYTIDTENSTVALAKVRSFGTEDRPTDRPIPPRDE
+VFEYIIFRGSDIKDLTVCEPPKPQCSLPQDPAIVQSSLGSSTSSFQSVGSYGPFGRMPTY
+SQFSPSSLVGQQFGAVGVAGSSLTSFGTEASSSSALSQSSVVGSAFTQDSRALKTQLSQG
+RSSPQLDPLRKSPTMEQAVQTASAHLPAPAPVGRRSPVSTRPLPSTSQKPIENQEHRRAE
+VHKVSRPENEQLRNDSKRQIVPGAPSAPRRGRGGHRGGRGRFGIRRDGPMKFEKDFDFES
+ANAQFNKEEIDREFHNKLKLKEDKLEKQEKPVNGEDKGDSGVDTQNSEGNADEEDPLGPN
+CYYDKTKSFFDNISCDDNRERRPTWAEERRLNAETFGIPLRPNRGRGGYRGRGGLGFRGG
+RGRGSGRGGAFTTPRGFRGGFRGGRGGREFADFEYRKDNKVAA
+>sp|Q08DG7|HXA3_BOVIN Homeobox protein Hox-A3 OS=Bos taurus OX=9913 GN=HOXA3 PE=2 SV=1
+MQKATYYDSSAIYGGYPYQAANGFGYNANQQPYPASTALGADGEYHRPACSLQSPANAGS
+HPKAHELSEACLRTLSGPPSQPPVLGEPPLAPPPPQAAPPAPPQPPAPPQPPAPTPAAPP
+PPSSVSPPQNGSSNPTPASAAKSPLLNSPTVAKQIFPWMKESRQNTKQKTSGSSSGESCA
+GDKSPPGQASSKRARTAYTSAQLVELEKEFHFNRYLCRPRRVEMANLLNLTERQIKIWFQ
+NRRMKYKKDQKGKGMLTSSGGQSPSRSPVPPGPGSYLNSMHSLVNSVPYEPQSPPPFSKP
+PQGAYGLPPASYPAPLPSCAPPPPQKRYTAAGAGAGGTPDYDPHAHGLQGNGSYGTPHLQ
+GSPVFVGGSYVEPMSNSGPPLFGLTHLPHTASAAMDYGGAGPLGSGHHHGPGPGEPHPTY
+TDLTAHHPSQGRIQEAPKLTHL
+>sp|Q32KX5|LRC28_BOVIN Leucine-rich repeat-containing protein 28 OS=Bos taurus OX=9913 GN=LRRC28 PE=2 SV=1
+MASELCKTISVARLEKHKNLFLNYRNLQHFPLELLKDEGLQYLERLYMKRNSLTTLPENL
+AQKLPNLVELYLHSNNIVVVPEAIGSLVKLQCLDLSDNALEIVCPEIGRLRALRHLRLAN
+NQLQFLPPEVGDLKELQTLDISTNRLLTLPERLHLCLSLQYLTVDRNRLWCVPRHLCQLP
+SLNELSMAGNRLAFLPLDLGRSRELQYVYVDNNIHLKGLPSFLYNKVIGCSGCGAPIQVS
+EVKLLSFSSGQLTVFLPAEVKAIGTEKDHILPLQELAMRTLHHNYHASLKDLDFLSPVSL
+PRSLLELLHCPLGHCHRCSEPMFTIVYPKLFPLRETPMAGLHQGRTTVSFVAYCCSTQCL
+QTFDLLS
+>sp|Q1JQD9|LMBL2_BOVIN Lethal(3)malignant brain tumor-like protein 2 OS=Bos taurus OX=9913 GN=L3MBTL2 PE=2 SV=1
+MEKPRGVEETPSSEPMEEEEEDDDLELFGGYDSFRSYNSSAGSESSSYLEESSEAEHEDR
+EAGELPTSPLHLLSPGTPRSLDGSGSEPAVCEMCGIVGTREAFFSKTKRFCSVSCSRSYS
+SNSKKASILARLQGKPPTKKAKVLHKAAWSAKIGAFLHSQGTGQLADGTPTGQDALVLGF
+DWGKFLKDHSYKAAPVSCFKHVPLYDQWEDVMKGMKVEVLNSDAVLPSRVYWIASVIQAA
+GYRVLLRYEGFENDASHDFWCNLGTVDVHPIGWCAINSKILVPPRTIHAKFTDWKGYLMK
+RLVGSRTLPVDFHIKMVESMKYPFRQGMRLEVVDKSQVSRTRMAVVDTVIGGRLRLLYED
+GDSDDDFWCHMWSPLIHPVGWSRRVGHGIKLSERRSDMAHHPTFRKIYCDAVPYLFKKVR
+AVYTEGGWFEEGMKLEAIDPLNLGNICVATICKVLLDGYLMICVDGGPSTDGSDWFCYHA
+SSHAIFPANFCQKNDIELTPPKGYEAHTFSWEAYLEKTKAKAAPSRLFNMDCPNHGFKVG
+MKLEAVDLMEPRLICVATVKRVVHRLLSIHFDGWDSEYDQWVDCESPDIYPVGWCELTGY
+QLQPPVATEPTTPLKAKEATKKKKKQFGKKRKRIPPAKTRPLRQGSKKALLEEDLQAAAK
+APSEPAPDEIITVRVKEEHLDVATADKALSPELPVPVENIKQETDD
+>sp|Q2M2T5|COMD1_BOVIN COMM domain-containing protein 1 OS=Bos taurus OX=9913 GN=COMMD1 PE=2 SV=1
+MAAELEGSKCLSGLLSGLAQDTFYGHPGITEELLRSQLYPEVSLEEFRPFLAKMKGILKS
+IASADMDFNQLEAFLTALTKKQGGITSEQAAVISKFWKSHKTKIRESLMNQSCWDRGLRS
+LSWRVDGKSQSRHSAQIHTPVAIMELEIGKSGQESEFLCLEFDEVKVNQVLKKLSEVEES
+ISTLMQPA
+>sp|E1BH29|ALKB5_BOVIN RNA demethylase ALKBH5 OS=Bos taurus OX=9913 GN=ALKBH5 PE=3 SV=1
+MAAASGYTDLREKLKSMTSRDNYKAGSREAAAAAAAAVAAAAAAAAAAEPYAAPGVKRKY
+PEDSDPERSDFEEQQLQKEEEARKVKSGIRQMRLFSQDECAKIEARIDEVVSRAEKGLYN
+EHTVDRAPLRNKYFFGEGYTYGAQLQKRGPGQERLYPPGDVDEIPEWVHQLVIQKLVEHR
+VIPEGFVNSAVINDYQPGGCIVSHVDPIHIFERPIVSVSFFSDSALCFGCKFQFKPIRVS
+EPVLSLPVRRGSVTVLSGYAADEITHCIRPQDIKERRAVIILRKTRLDAPRLETKSLSSS
+VLPPSYASDRLSGNNRDPALKPKRSHRKADPDAAHRPRILEMDKEENRRSVLLPAHRRAG
+RFSSENYRRKSYEPGEDCSEAAGSPARKVKMRRH
+>sp|Q3SYX3|CB042_BOVIN Uncharacterized protein C2orf42 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MEPNSLRTKVPAFLSDLGKATLRGIRKCPRCGTYNGTRGLSCKNKTCGTIFRYGARKQPS
+VEAVKIITGSDLQVYSVRQRDRGPDYRCFVELGVSETTIQTVDGTIITQLSSGRCYVPSC
+LKAATQGVVENQCQHIKLAVNCQAEATPLTLKSSVLNAMQASPETKQTIWQLATEPTGPL
+VQRITKNILVVKCKASQKHSLGYLHTSFVQKIGAKSLPERRFFCSCQTLKSHKSNASKDE
+AVQRCIHFFACICAFASDETLAQEFSDFLNFDSSGLKEVIVPQLGCHSESPVSACESTAS
+KPKKRKKEEVSGAQTNSSLLPPDTVSSNLRKSGLKKPVVASSLKRQACGQLLDEAQVTLS
+FQDWLASVTERIHQTMHYQFDGKPEPLVFHIPQSFFDALQQRISIGSAKKRLPNSTTAFI
+RKDALPLGTFSKYTWHITNILQVKQILDTPEMPLEITRSFIQNRDGTYELFKCPKVEVES
+IAETYGRIEKQPVLRPLELKTFLKVGNTSPDQKEPTPFIIEWIPDILPQSKIGELRIKFE
+YGHHRNGHVAEYQDQRPPLDQPLELAPLTTITFP
+>sp|Q3ZCD0|CD81_BOVIN CD81 antigen OS=Bos taurus OX=9913 GN=CD81 PE=2 SV=1
+MGVEGCTKCIKYLLFVFNFVFWLAGGVILGVALWLRHDPQTTNLLYLELGDRPAPNTFYV
+GIYILIAVGAVMMFVGFLGCYGAIQESQCLLGTFFTCLVILFACEVAAGIWGFVNKDQIA
+KDVKQFYDQALQQAIVDDDANNAKAVVKTFHETLNCCGSNTLMTLTTSVLKNSLCPSSGN
+VITNLFKEDCHGKIDELFSGKLYLIGIAAIVVAVIMIFEMILSMVLCCGIRNSSVY
+>sp|Q3T0L1|CENPH_BOVIN Centromere protein H OS=Bos taurus OX=9913 GN=CENPH PE=2 SV=1
+METQSEEQAVTKPADSGGEGGPPQVAGAQAARPEDRMTLLLRLRAQTKQQLLEYKSMVDA
+NEEKTPEQIMQEKQIEAKIEELENEVEEAKTAFEMKKLALDRMQLSTALKKHLEKVDIKT
+SVLMDNMKQILNLNKLIMKSQQETWDLEEKLLDVRKKRLQLKQASERKLLEIQTEKNKQK
+DDLDSMENSDKIKAIQQNLETEIQITTVIQHVFQNLILGSKVNWAEDPALKETVLQLEKN
+LTMI
+>sp|Q2KI54|ARMC8_BOVIN Armadillo repeat-containing protein 8 OS=Bos taurus OX=9913 GN=ARMC8 PE=2 SV=1
+MACLLETPIRMSVLSEVTASSRHYVDRLFDPDPQKVLQGVIDMKNAVIGNNKQKANLIVL
+GAVPRLLYLLQQETSSTELKTECAVVLGSLAMGTENNVKSLLDCHIIPALLQGLLSPDLK
+FIEACLRCLRTIFTSPVTPEELLYTDATVIPHLMALLSRSRYTQEYICQIFSHCCKGPDH
+QTILFNHGAVQNIAHLLTSVSYKVRMQALKCFSVLAFENPQVSMTLVNVLVDGELLPQIF
+VKMLQRDKPIEMQLTSAKCLTYMCRAGAIRTDDNCIVLKTLPCLVRMCSKERLLEERVEG
+AETLAYLIEPDVELQRIASITDHLIAMLADYFKYPSSVSAITDIKRLDHDLKHAHELRQA
+AFKLYASLGANDEDIRKKIIDTENMMDRIVTGLSESSVKVRLAAVRCLHSLSRSVQQLRT
+SFQDHAVWKPLMKVLQNAPDEILVVASSMLCNLLLEFSPSKEPILESGAVELLCGLTQSE
+NPALRVNGIWALMNMAFQAEQKIKADILRSLSTEQLFRLLSDSDLNVLMKTLGLLRNLLS
+TRPHIDKIMSTHGKQIMQAVTLILEGEHNIEVKEQTLCILANIADGTTAKELIMTNDDIL
+QKIKYYMGHSHVKLQLAAMFCISNLVWNEEEGSQERQDKLRDMGIVDILHKLSQSPDSNL
+CDKAKTALQQYLA
+>sp|E1BC52|CH037_BOVIN Protein C8orf37 homolog OS=Bos taurus OX=9913 PE=1 SV=1
+MAEDLDELLDEVESKFCRPDPLRLGTVERPRGGGGGFFGHDQNRAEAKENLRSAETFEKE
+DDLDSLINEIFEEPHFDKKHFKLKSKSSGNTSVRASIQGLGKSCSPVYIGGSTVPCGIGT
+NASPRACDHLRCTACDFWVESYDDYRWDKSCDYLFFRNNMPEFHKLKTKLVKKKGTRAYA
+CQCSWKAVEELTDLQTDHQLRWVCGKH
+>sp|P05631|ATPG_BOVIN ATP synthase subunit gamma, mitochondrial OS=Bos taurus OX=9913 GN=ATP5F1C PE=1 SV=3
+MFSRAGVAGLSAWTVQPQWIQVRNMATLKDITRRLKSIKNIQKITKSMKMVAAAKYARAE
+RELKPARVYGVGSLALYEKADIKTPEDKKKHLIIGVSSDRGLCGAIHSSVAKQMKSEAAN
+LAAAGKEVKIIGVGDKIRSILHRTHSDQFLVTFKEVGRRPPTFGDASVIALELLNSGYEF
+DEGSIIFNRFRSVISYKTEEKPIFSLDTISSAESMSIYDDIDADVLRNYQEYSLANIIYY
+SLKESTTSEQSARMTAMDNASKNASEMIDKLTLTFNRTRQAVITKELIEIISGAAALD
+>sp|Q32KT0|CP087_BOVIN UPF0547 protein C16orf87 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MSATRAKKVKMATKSCPECDQQVPVACKSCPCGYIFISRKLLNAKHPEKAPSSTENKHEA
+KRRRTERVRREKINSTVNKDLENRKRSRSNSHSDHIRRGRGRPKSASAKKHEEEREKQEK
+EIDIYANLSDEKAFVFSVALAEINRKIINQRLIL
+>sp|Q3ZBP0|CK074_BOVIN Protein C11orf74 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MPAKTPELEMMDEDRLIEEVLDKFVNCHEQTYEEFLRTFTHLSKDNVTKREAFGTNSSEN
+NFTSIKFTQRNEPNDCRLSNKAIFLHTSSQCSEEEQIMIGDGQKAGSSFQGDLNRAGKVK
+VDNFLDIEDLDLDEEINPQLSKDVLLLPGQVEQEVSLSVPSYIPSVAIQPVTPGTKPRPT
+VKAADKQSKEIVGDEVQPFSLDEEFDYDAVVLTPKFTPAEMNAIKELSKQKTKSADLEDP
+HD
+>sp|Q2KIQ4|COQ2_BOVIN 4-hydroxybenzoate polyprenyltransferase, mitochondrial OS=Bos taurus OX=9913 GN=COQ2 PE=2 SV=1
+MLGSCGAGLVRGLRAETQAWLWGTRGRSLALVHAARGLHAANWQPSPGQGPRGRPLSLSA
+AAVVNSAPRPLQPYLRLMRLDKPIGTWLLYLPCTWSIGLAADPGCLPDWYMLSLFGTGAV
+LMRGAGCTINDMWDRDYDKKVTRTASRPIAAGDISTFRSFVFLGGQLTLALGVLLCLNYY
+SIALGAASLLLVTTYPLMKRITYWPQLALGLTFNWGALLGWSAVKGSCDPSVCLPLYFSG
+IMWTLIYDTIYAHQDKKDDALIGLKSTALLFREDTKKWLSGFSVAMLGALSLVGVNSGQT
+MPYYTALAAVGAHLAHQIYTLDINRPEDCWEKFTSNRTIGLIIFLGIVLGNLCKAKETDK
+TRKNIENRMEN
+>sp|Q0VCT9|CITE2_BOVIN Cbp/p300-interacting transactivator 2 OS=Bos taurus OX=9913 GN=CITED2 PE=2 SV=1
+MADHMMAMNHGRFPDGTNGLHHHPAHRMGMGQFPSPHHHQQQQPQHAFNALMGEHIHYGA
+SNMNASSGIRHAMGPGTVNGGHPPSALAPAARFNNSQFMGPPVASQGGSLPASMQLQKLN
+NQYFNHHPYPHNHYMPDLHPAAGHQMNGTNQHFRDCNPKHSGGSSTPGGSGGSSTPGGSA
+GTSGGGAGSSNSGGGSGGGSSSNMPASVAHVPAAVLPPNVIDTDFIDEEVLMSLVIEMGL
+DRIKELPELWLGQNEFDFMTDFVCKQQPSRVSC
+>sp|A6QQC0|AHSA2_BOVIN Activator of 90 kDa heat shock protein ATPase homolog 2 OS=Bos taurus OX=9913 GN=AHSA2 PE=2 SV=1
+MAKWGQGDPRWIVEEREDGTNVNNWHWTERDATSWSKGRLRELLVGITVENEAGRCEISE
+LKQVEGEASCSSRKGKLIFFYEWNIKLGWKGIIRESGAKHKGLIEIPSLSEENEVDDTEV
+NVSKKKGDGDILKDLMKTAGTAKVREALGDYLKALKTEFTMGMILPTKAMAAQELTVERK
+LSENALQIQASSRVALGVRIPTVALHMTELFDTAIEQLYRIFTVKDLVQKFSKSPAVLEA
+EKGGKFQMLMETSPVNIQNC
+>sp|P28190|AA1R_BOVIN Adenosine receptor A1 OS=Bos taurus OX=9913 GN=ADORA1 PE=2 SV=3
+MPPSISAFQAAYIGIEVLIALVSVPGNVLVIWAVKVNQALRDATFCFIVSLAVADVAVGA
+LVIPLAILINIGPRTYFHTCLKVACPVLILTQSSILALLAIAVDRYLRVKIPLRYKTVVT
+PRRAVVAITGCWILSFVVGLTPMFGWNNLSAVERDWLANGSVGEPVIECQFEKVISMEYM
+VYFNFFVWVLPPLLLMVLIYMEVFYLIRKQLNKKVSASSGDPQKYYGKELKIAKSLALIL
+FLFALSWLPLHILNCITLFCPSCHMPRILIYIAIFLSHGNSAMNPIVYAFRIQKFRVTFL
+KIWNDHFRCQPAPPVDEDAPAERPDD
+>sp|A0JN80|DI3L1_BOVIN DIS3-like exonuclease 1 OS=Bos taurus OX=9913 GN=DIS3L PE=2 SV=2
+MLQKREKVLLLRTFHGRTLRIVREHYLRPSVPCNSPLCPQPATCHNDGKLLSSDVTHYMV
+PDWKVVQDYLEILEFPELKGIVFMQTACQAVQHQRGRRQYNKLRNLLKDARHDCVLFANE
+FQQSCYLPRERGESMEKWQSRSIYNAAAWYYHHCQDRMPIVMVTEDEEAIQQYGSETGGV
+FVISFKNYLDNFWPDLKAAHELCESILQSRRERENESQESHGKEYPEHVPLEVLEAGIKS
+GRYIQGTLNVNKHRAQIEAFVRLQGASSKDSDLVSDVLIHGMKARNRSIHGDVVVVELLP
+KSEWKGRTTALGENDGDDKALGESPSEPMPTGRVVGILQKNWRDYVVTFPSIEEVQSQGK
+NAQKILVTPWDYRIPKIRISTQQAEALQDFRVVVRIDSWESTSMYPNGHFVRVLGRIGDL
+EGEIATILVENSISVVPFSEAQMCEMPVNTPENPWKVSSQEEREREDLRKTHLVFSIDPS
+GCEDVDDTLSVRALDNGNLELGVHIADVTHFVAPHSYIDIEARTRATTYYLADRRYDMLP
+AILSADVCSLLGGVDRYAVSVMWELDKTSYEIKKVWYGRTIIRSAYKLFYEAAQDLLDGN
+FSVVKDIPEFKDLDEKSRQAKLEELVWAIRKLTDIARHIRAKRDSCGALELEGVEVRIQL
+DEKKNIHDLIPKQPLEVHETVAECMILANHWVAKKIWESFPHQALLRRHPPPHQEFFSEL
+RECAKAKGFFIDTRSNKALADSLDNANDPNDPIVNKLLRSMATQAMSNALYFSTGSCAEE
+EFHHYGLALDKYTHFTSPIRRYSDIIVHRLLMAAISKDKKMEIQENLFSNKDLEELCRHI
+NNRNRAAQHSQKQSTELFQCMYFKDKDPETEERCISDGVIYSIRTNGVLVFIPRFGIKGA
+AYLRNKDGLVVSCGPDGHSEWKPGSLQRFQNKITCTTTGGESVTFHLFDHVTVRISVQTS
+RCHSDTIRLEIISNKPHMTPDTELLQQCSLLLKSDLVKEVTRSVEEAQLAQEVAVNITED
+YQKYCQTKGRSLYTLLEEIRDLALLDVSNSYGI
+>sp|P20288|DRD2_BOVIN D(2) dopamine receptor OS=Bos taurus OX=9913 GN=DRD2 PE=2 SV=1
+MDPLNLSWYDDDPESRNWSRPFNGSEGKADRPPYNYYAMLLTLLIFVIVFGNVLVCMAVS
+REKALQTTTNYLIVSLAVADLLVATLVMPWVVYLEVVGEWKFSRIHCDIFVTLDVMMCTA
+SILNLCAISIDRYTAVAMPMLYNTRYSSKRRVTVMIAIVWVLSFTISCPMLFGLNNTDQN
+ECIIANPAFVVYSSIVSFYVPFIVTLLVYIKIYIVLRRRRKRVNTKRSSRAFRANLKAPL
+KGNCTHPEDMKLCTVIMKSNGSFPVNRRRVEAARRAQELEMEMLSSTSPPERTRYSPIPP
+SHHQLTLPDPSHHGLHSTPDSPAKPEKNGHAKTVNPKIAKIFEIQSMPNGKTRTSLKTMS
+RRKLSQQKEKKATQMLAIVLGVFIICWLPFFITHILNIHCDCNIPPVLYSAFTWLGYVNS
+AVNPIIYTTFNIEFRKAFLKILHC
+>sp|Q2KI83|DJC17_BOVIN DnaJ homolog subfamily C member 17 OS=Bos taurus OX=9913 GN=DNAJC17 PE=2 SV=1
+MAVTKELLQMDLYALLGIEEKAEDKEVKKAYRQKALSCHPDKNPDNPRAAELFHQLSQAL
+EVLTDAAARAAYDKVRKAKKQAAERTQKLDERRKKVKLDLEARERQAQALGSEEEEESGS
+ARTLEQEIERLREEGSRQLEEQQRLIREQIRQEQEQRLTGMAKNPENKETPKLKLKWKSK
+KEAESQGGYSRDVLLQLFQKYGEVLNLVLSSKKAGTAVVEFATVKAAELAVQNEVGLVDN
+PLKISWLEGRPQSAMGHNHPGLSRGSVVSERDYESLVMMRMRQAAERQQLIAQMQQEDQA
+GQPT
+>sp|P15101|DOPO_BOVIN Dopamine beta-hydroxylase OS=Bos taurus OX=9913 GN=DBH PE=1 SV=2
+MQVPSPSVREAASMYGTAVAVFLVILVAALQGSAPAESPFPFHIPLDPEGTLELSWNISY
+AQETIYFQLLVRELKAGVLFGMSDRGELENADLVVLWTDRDGAYFGDAWSDQKGQVHLDS
+QQDYQLLRAQRTPEGLYLLFKRPFGTCDPNDYLIEDGTVHLVYGFLEEPLRSLESINTSG
+LHTGLQRVQLLKPSIPKPALPADTRTMEIRAPDVLIPGQQTTYWCYVTELPDGFPRHHIV
+MYEPIVTEGNEALVHHMEVFQCAAEFETIPHFSGPCDSKMKPQRLNFCRHVLAAWALGAK
+AFYYPEEAGLAFGGPGSSRFLRLEVHYHNPLVITGRRDSSGIRLYYTAALRRFDAGIMEL
+GLAYTPVMAIPPQETAFVLTGYCTDKCTQLALPASGIHIFASQLHTHLTGRKVVTVLARD
+GRETEIVNRDNHYSPHFQEIRMLKKVVSVQPGDVLITSCTYNTEDRRLATVGGFGILEEM
+CVNYVHYYPQTQLELCKSAVDPGFLHKYFRLVNRFNSEEVCTCPQASVPEQFASVPWNSF
+NREVLKALYGFAPISMHCNRSSAVRFQGEWNRQPLPEIVSRLEEPTPHCPASQAQSPAGP
+TVLNISGGKG
+>sp|P98140|FA12_BOVIN Coagulation factor XII OS=Bos taurus OX=9913 GN=F12 PE=1 SV=2
+MRALLLLGALLVSLESTVSTPPWKGPKKHKLTDSEHTVVLTVTGEPCHFPFQYHRQLHHK
+CIHRGRPGPRPWCATTPNFEKDQRWAYCLEPKKVKDHCSKHNPCQKGGTCVNMPDGPRCI
+CADHFTGKHCQKEKCFEPQFFRFFHENEIWHRLEPAGVVKCQCKGPNAQCKPLASQVCRT
+NPCLNGGSCLQAEGHRLCRCAPSFAGRLCDVDLKASCYDDRDRGLSYRGMAGTTLSGAPC
+QSWASEATYWNVTAEQVLNWGLGDHAFCRASTPPRGYRNPDNDTRPLCFIWKGDRLSWNY
+CRLAPCQAAAGHEHFPLPSPSALQKPESTTQTPLPSLTSGWCSPTPLASGGPGGCGQRLR
+KWLSSLNRVVGGLVALPGAHPYIAALYWDQHFCAGSLIAPCWVLTAAHCLQNRPAPKELT
+VVLGQDRHNQSCEQCQTLAVRDYRLHEAFSPITYQHDLALVRLQESADGCCAHPSPFVQP
+VCLPSTAARPAESEAAVCEVAGWGHQFEGGEYSSFLQEAQVPLIDPQRCSAPDVHGAAFT
+QGMLCAGFLEGGTDACQGDSGGPLVCEDETPERQLILRGIVSWGSGCGNRLKPGVYTDVA
+NYLAWIREHTAS
+>sp|Q5E982|DPH5_BOVIN Diphthine methyl ester synthase OS=Bos taurus OX=9913 GN=DPH5 PE=2 SV=1
+MLYMIGLGLGDAKDITVKGLEVVRRCSRVYLETYTSVLTVGKEVLEEFYERKLILADREE
+VEQEADNILKDADISDVAFLVVGDPFGATTHSDLILRATKLGIPYRVIHNASIMNAVGCC
+GLQLYKFGETVSIVFWTDTWRPESFFDKVKKNRQNGMHTLCLLDIKVKEQSLENLIKGRK
+IYEPPRYMSVNQAAQQLLEIVQNQRIRGEEPAVTEETLCVGLARVGAEDQKIAAGTLQQM
+STVDLGGPLHSLIITGGSLHPLEMEMLSLFTIPENSSEAQSIGGL
+>sp|Q71SP7|FAS_BOVIN Fatty acid synthase OS=Bos taurus OX=9913 GN=FASN PE=2 SV=1
+MEEVVITGMSGKLPESENLEEFWANLIGGVDMVTDDDRRWKAGLYGLPRRSGKLKDLSRF
+DASFFGVHPKQAHNMDPQLRLLLEVTYEAIVDAGINPASIRGTNTGVWVGVSGSEASEAL
+SRDPETLVGYSMVGCQRAMLANRLSFFFDFKGPSITLDTACSSSLLALQRAYQAIQRGEC
+AMAIVGGVNIRLKPNTSVQFMKLGMLSPEGTCKFFDASGNGYCRAKAVMAILLTKKSLAR
+RVYATILNAGTNTDGCKEKGVTFPSGEAQEQLISSLYKPAGLDPETLEYVEAHGTGTKVG
+DPQELNGIVQALCGTRQSPLRIGSTKSNMGHPEPASGLAALAKVLLSLEHGLWAPNLHFH
+NPNPKIPALQDGRLQVVDRPLPVLGGNVGINSFGFGGSNVHVILQPNSQPLPPPAPHAAL
+PRLLRASGRTLEGVQGLLELGLQHSQNLAFVSMLNDIATPSPAAMPFRGYAVLGSQGGSQ
+KVQQVLAGKRPLWFICSGMGTQWRGMGLSLMRLSRFRDSILRSDEAVKPLGLQVSQLLLS
+TDEAIFDDMVISFVSLTAIQIALIDLLTSMGLQPDGIIGHSLGEVACGYADGCISQEEAI
+LSAYWRGQCIKEANIPPGAMAAVGLTWEECKQRCPPGIVPACHNCIDTVTISGPQASMLE
+FVQQLKQEGVFAKEVRTGGMAFHSYFMDAIAPMLLQQLKKVIREPQPRSPRWLSTSIPET
+QWQESLARTFSAEYNVNNLVSPVLFQEALWRVPEDAVVLEIAPHALLQAVLKRGLKSSCT
+IIPLMKKDHRDNLEFFLSNVGQLYLTGIDVNPNGLFPPVEFPAPRGTPLISPHIKWDHSQ
+TWDVPTAEDFPSGSSSSSATIYKIDINPESPDHYLVDHCIDGRIIFPGTGYLCLVWKTLA
+RALDQNMEHTPVVFEDVTLHQAVILPKTGIVLLKVRLLEASCTFEVSENGNLIASGKVYQ
+WEDPNPKLFDNRYGPDPATPVDPTTAIHLSRGDVYKELQLQGFNYGPYFQGILEASSEGN
+TGQLLWKDNWVTFMDTMLQMSILAPSKRSLRLPTRITAIYIHPATHQQKLYTLQDKTQVA
+DVVINRCLDTTVAGGIYISRIHTSVAPRHQQEQLVPILEKFCFTPHVETGCLAGNLALQE
+ELQLCVGLAQALQTRVAQQGIKMVVPGLDGAQAPQEAPQQGLPRLLATACQLQLNGNLQM
+EMGQILAQERALLCDDPLLSGLLNSPALKACVTLALENMTSLKMKVVLAGDGQLYSRIPT
+LLNTQPLLELDYTATDRHPQALEAAQAKLQQLDITQGQWDPSDPAPSNLGGANLVVCNYA
+LASLGDPATAVGNMVAALKEGGFLLLHTLLRGHPLGETVTFLTCPEPQQGQRHLLSQDEW
+ERLFAGASLHLVALKKSFYGSVLFLCRRLAPLDSPIFLPVEDTSFQWVDSLKNILADSSS
+RAVWLMAVGCTTSGVVGLVNCLRKEPDGHRIRCVLVSNLNSTSPIPETDPKSLELQKVLQ
+SDLVMNVYRDGAWGAFRHFPLEQDKPEEQTEHAFINVLTRGDLSSIRWVCSPLRHSQPTA
+PGFQLCTIYYASLNFKRNHAGHGQAVPRRHPRNWASRNCLLGMEFSGRDASGKRVMGLVP
+AEGLATSTLVPQSFLWDVPSNWTLEEAASVPVVYSTAYYALMVRGRMQPGETVLIHSGSG
+GVGQAAIAIALSLGCRVFPLVGSAEKRAYLQSRFPQLNETSFANSRDTSFEQHVLWHTAG
+KGADLVLNSLAEEKLQASVRCLAQHGRFLEIGKFDLSKNHPLGMAIFLKNVTFHGILLDS
+LFEENNTMWQEVSTLLKAGIRKGVVQPLKRTVFPRTQAEDAFRYMAQGKHIGKVVIQVRE
+EEQEAVLHGTKPTQMVALCKTFCPAHKSYIITGGLGGFGLELAHWLVERGAQKLVLTSRS
+GIRTGYQARQVHEWRRQGVQVLVSTSDVSTLDGTRSLITEAAQLGPVGGIFNLAVVLRDA
+MLDNQTPEFFQDVNKPKYNGTLNLDRVTREACPELDYFEVFSSVSCGRGNAGQTNYGFAN
+STMERICEKRRHDGLPGLAVQWGAIADVGLLMELKGTKDKAIGGTLPQRITSCMEVLDLF
+LNQPHPVLSSFVLAEKATSRGPSGSHQDLVKAVTHILGIRDLATVNLDSSLSDLGLDSLM
+GVEVRQMLEREHNLLLSMREIRQLTIHKLQEISAQAGTADELTDSTPKFGSPAQSHTQLN
+LSTLLVNPEGPTLTRLNSVQSSERPLFLVHPIEGSTTVFHSLATKLSIPTYGLQCTGAAP
+LDSIQSLATYYIECIRQVQPEGNYRIAGYSYGACVAFEMCSQLQAQQNAGPTNNSLFLFD
+GSHTFVMAYTQSYRAKLNPGCEAEAEAEAMCFFMQQFTEAEHSRVLEALLPLGDLEARVA
+ATVELIVQSHAGLDRHALSFAARSFYHKLRAAEEYTPRATYHGNVTLLRAKMGSAYQEGL
+GADYNLSQVCDGKVSVHIIEGDHRTLLEGSGLESILSIIHSSLAEPRVSVREG
+>sp|Q32P86|DB119_BOVIN Beta-defensin 119 OS=Bos taurus OX=9913 GN=DEFB119 PE=3 SV=1
+MKFLFLFLAILLAMEPVVSGRRHMLRCMGDLGICRPACRQSEEPYLYCRNYQPCCLPFYV
+RIDISGKEGKNDWSRENRWPKVS
+>sp|Q28107|FA5_BOVIN Coagulation factor V OS=Bos taurus OX=9913 GN=F5 PE=1 SV=1
+MFLACPGFWVLVVLGSSWAGWGNLGAEAAKLRQFYVAAQSIRWNYRPESTHLSSKPFETS
+FKKIVYREYEAYFQKEKPQSRTSGLLGPTLYAEVGDIMKVHFKNKAHKPLSIHAQGIKYS
+KFSEGASYSDHTLPMEKMDDAVAPGQEYTYEWIISEHSGPTHDDPPCLTHIYYSYVNLVE
+DFNSGLIGPLLICKKGTLTEDGTQKMFEKQHVLMFAVFDESKSWNQTSSLMYTVNGYVNG
+TMPDITVCAHDHISWHLIGMSSGPELFSIHFNGQVLEQNHHKISAITLVSATSTTANMTV
+SPEGRWTIASLIPRHFQAGMQAYIDIKNCAKKTRNPKKLTRDQRRHIKRWEYFIAAEEVI
+WDYAPIIPANMDKKYRSLHLDNFSNRIGKHYKKVVYKQYQDDSFTKRLEDPSSEGDGILG
+PIIRAQVRDTLKIVFKNMASRSYSIYPHGVTFSPYDNEVNSSSTSGSNTMIRAVRPGETY
+TYKWNILESDEPTENDAQCLTRPYYSNVDITRDLASGLIGLLLICKSRSLDRRGIQRAAD
+IEQQAVFAVFDENKSWYIEDNIYKFCENPEKVKRDDPKFYESNIMSNFTLPAINGYVPES
+IPILGFCFDDTVQWHFCSVGTQNDILTIHFTGHSFIYGKRHEDTLTLFPMQGESVTVTMD
+NVGTWMLTTMNSNPRSKKLRLRFRDAKCIRNDDDDSYEIIYEPSGSTAMTTKKIHDSSEI
+EDENDADSDYQDELALILGLRSFRNSSLNQEKDELNLTALALEKDSEFIPPSANRSLDSN
+SSSRSHVSRLIAKNFAESLKTLLHLEAPAAGSPLEHAGLDKNSALNPPMAEHSSPYSEDP
+REDHPLSDVTGVSLLPFGTGFKNRKPAKHQRFQVGRGQAAKHKFSQTRFPAHKTRTRLSQ
+DNSSSSRMGPWEDIPSDLLLLQQKDPYKILNGEWHLVSEKGSYEIIQDANENKTVNKLPN
+SPQNDSRTWGENIPFKNSHGKQSGHPTFLVTRRKPLQDRQDRRNSRLKEGLPLIRTRRKK
+KEEKPAYHVPLSPRSFHPLRGEVNASFSDRRHNHSLLLHASNETSLSIDLNQTFPSMNLS
+LAASLPDHDQTSPNDTTSQTSSPPDLYPTVSPEEHYQIFPIQDSDPTHSTTAPSNRSPDP
+THSTTAPSNRSPPTQPSQIPNYDLRNRAIPTDVSQIFPSLELEVWQTATSLDLSQPSISP
+DLGQMALSPDPGQESLSPDLGQTSLSPDLSQESLSPDLGQTALSPDPSQESLSPDLGQTA
+LSPDPSQESLSPDLGQTALSPDPGQESLSPDLGQTSLSPDLSQESLSPDLGQTALSPDPS
+QESLSPDLGQTALSPDPSQESLSPDLGQTSLSPDLGQESLSPDLGQTALSPDPSQESLSP
+DLGQTSLSPDLGQESLSPDLGQTALSPDLSQESLSPDLGQTPLSPDLSLESLSPDLSQLD
+LKQTSPPLDLNQTSHTSESSQSLPLPEFGQTFPNADIGQMPSPPPDSTLNNTFIPEEFNP
+LVVVGLSRDDGDYIEIIPRQKEESSEEDYGEFEFVAYNDPYQTDLRTDINSSRNPDNIAA
+WYLRSNTGNRKYYYIAAEEISWDYSKFVQSDDVDYVPEDTVYKKVVFRKYLDSTFTKLDP
+QGEYEEHLGILGPVIRAEVDDVIQVRFKNLASRPYSLHAHGLSYEKSSEGKTYEDDSPEW
+FKEDNAIQPNKTYTYVWHATTRSGPENPGSACRAWAYYSAVNPEKDIHSGLIGPLLICRK
+GTLDKETNMPVDMREFVLLFMVFDEKKSWYYDKKPTRSWRRASSEVKNSHEFHAINGMIY
+NLPGLRMYEQEWVRLHLLNLGGSRDIHVVHFHGQTLLENGTQQHQLGVWPLLPGSFKTLE
+MKASKPGWWLLDTEVGEIQRAGMQTPFLIVDRECKMPMGLSTGLIADSQIQASEFWGYWE
+PKLARLNNGGSYNAWIAEKLSTEFNPEPWIQVDMQKEVLLTGIQTQGAKHYLKPYYTTEF
+CVAYSLDRKNWRIFKGNSTRNVMYFGGNSDASTIKENQIDPPVVARYIRISPTGSYNKPA
+LRLELQGCEVNGCSTPLGMESGKIENKQITASSFKKSWWGNYWEPFLARLNAQGRVNAWQ
+AKANNNNQWLQIDLLKIKKITAIVTQGCKSLSSEMYVKSYTIHYSDQGTDWKPYREKSSM
+VDKIFEGNNNVRGHVKNFFNPPIISRFIRIIPKTWNQSIALRLELFGCDMY
+>sp|Q2KJA6|INT9_BOVIN Integrator complex subunit 9 OS=Bos taurus OX=9913 GN=INTS9 PE=2 SV=1
+MKLYCLSGHPTLPCNVLKFKSTTIMLDCGLDMTSTLNFLPLPLVQSPRLSNLPGWSLKDG
+NAFLDKELKECSGHVFVDSVPEFCLPETELIDLSTVDVILISNYHCMMALPYITEHTGFT
+GTVYATEPTVQIGRLLMEELVNFIERVPKAQSASLWKNKDIQRLLPSPLKDAVEVSTWRR
+CYTMQEVNSALSKIQLVGYSQKIELFGAVQVTPLSSGYALGSSNWIIQSHYEKVSYVSGS
+SLLTTHPQPMDQASLKNSDVLILTGLTQIPTANPDSMVGEFCSNLALTVRNGGNVLVPCY
+PSGVIYDLLECLYQYIDSAGLSSIPFYFISPVANSSLEFSQIFAEWLCHNKQTKVYLPEP
+PFPHAELIQTNKLKHYPSIHGDFSNDFRQPCVVFTGHPSLRFGDVVHFMELWGKSSLNTV
+IFTEPDFSYLEALAPYQPLAMKCIYCPIDTRLNFIQVSKLLKEVQPLHVVCPEQYTQPTP
+AQSHRMDLMVDCQPPAMSYRRAEVLALPFKRRYEKIEIMPELADSLVPMEIKPGISLATV
+SAVLHTKDNKHVLQPPPRPTQPTGGKKRKRASDDIPDCKVLKPLLSGSIPVDQFVQTLEK
+HGFSDIKVEDTAKGHIVLLQEAETLIQIEEDSTHIICDDDEVLRVRLRDLVLKFLQKF
+>sp|P03924|NU6M_BOVIN NADH-ubiquinone oxidoreductase chain 6 OS=Bos taurus OX=9913 GN=MT-ND6 PE=1 SV=1
+MMLYIVFILSVIFVMGFVGFSSKPSPIYGGLGLIVSGGVGCGIVLNFGGSFLGLMVFLIY
+LGGMMVVFGYTTAMATEQYPEIWLSNKAVLGAFVTGLLMEFFMVYYVLKDKEVEVVFEFN
+GLGDWVIYDTGDSGFFSEEAMGIAALYSYGTWLVIVTGWSLLIGVVVIMEITRGN
+>sp|A6QR11|NELL2_BOVIN Protein kinase C-binding protein NELL2 OS=Bos taurus OX=9913 GN=NELL2 PE=2 SV=1
+MESRVLLRTFCLLFGLGAVWGLGVDPSLQIDVLTELELGESTTGVRQVPGLHNGTKAFLF
+QDTPRSIKASTATAEQFFQKLRNKHEFTILVTLKQTHLNSGVILSIHHLDHRYLELESSG
+HRNEVRLHYRSGSHHPHTEVFPYILADDKWHKLSLAISASHLILHIDCNKIYERVVEKPS
+TDLPLGTSFWLGQRNNAHGYFKGIMQDVQLLVMPQGFIAQCPDLNRTCPTCNDFHGLVQK
+IMELQDILAKTSAKLSRAEQRMNRLDQCYCERTCTMKGTTYREFESWTDGCKNCTCLNGT
+IQCETLICPNPDCPLKSAPAYVDGKCCKECKSICQFQGRTYFEGQRNTVYSSSGVCVLYE
+CKDQSMKLVESSGCPALDCAESHQITLSHSCCKVCKGYDFCSERHNCMENSVCRNLNDRA
+VCSCRDGFRALREDNAYCEDIDECAEGRHYCRENTMCVNTPGSFMCICKTGYIRIDDYSC
+TEHDECVTNQHNCDENALCFNTVGGHNCVCKPGYTGNGTTCKAFCQDGCRNGGACIAANV
+CACPQGFTGHSCETDIDECSDGFVQCDSRANCINLPGWYHCECRDGYHDNGMFSPSGESC
+EDIDECGTGRHSCANDTICFNLDGGYDCRCPHGKNCTGDCIHDGKIKHNGQIWVLENDRC
+SVCSCQNGFVMCRRMVCDCENPTVDLFCCPECDPRLSSQCLHQNGETLYNSGDTWVQNCQ
+QCRCLQGEVDCWPLPCPEVECEFSVLPENECCPRCVTDPCQADTIRNDITKTCLDEMNVV
+RFTGSSWIKHGTECTLCQCKNGHICCSVDPQCLQEL
+>sp|Q28165|PABP2_BOVIN Polyadenylate-binding protein 2 OS=Bos taurus OX=9913 GN=PABPN1 PE=1 SV=3
+MAAAAAAAAAAGAAGGRGSGPGRRRHLVPGAGGEAGEGAPGGAGDYGNGLESEELEPEEL
+LLEPEPEPEPEEEPPRPRAPPGAPGPGPGSGAPGNQEEEEESGLVEGDPGDGAIEDPELE
+AIKARVREMEEEAEKLKELQNEVEKQMNMSPPPGNAGPVIMSIEEKMEADARSIYVGNVD
+YGATAEELEAHFHGCGSVNRVTILCDKFSGHPKGFAYIEFSDKESVRTSLALDESLFRGR
+QIKVIPKRTNRPGISTTDRGFPRARYRARTTNYNSSRSRFYSGFNSRPRGRVYRGRARAT
+SWYSPY
+>sp|Q95KU9|NEMO_BOVIN NF-kappa-B essential modulator OS=Bos taurus OX=9913 GN=IKBKG PE=1 SV=1
+MSRPPWKSPLCEMVQPSGSPAGDQDMLGEESSLGKPAMLHVPSEQGTPETFQRCLEENQE
+LRDAIRQSNQMLRERCEELQHFQGNQREEKAFLMQKFQEARDLVVRLSLEKRELRQQREQ
+ALKEVERLKTCQQQMAEDKASVKAQVTSLLGELQESQSRLEAATKERQALESRARVASEK
+ARQLESEREALEQRHSVQVDQLVLQNESMEAALRMERQAASEEKRKLAQLQVAYHQLFQE
+YDNHMKSSMVSSERNRGLQLEDLKQQLQQAEEALVAKQEVIDKLKEEAEQHKIVMETVPV
+LKAQADIYKADFQAERQAREKLAEKKEFLQEQLEQLQREYSRLKTSCQESARIEDMRKRH
+VEVSQPPLAPGPAHHSFHLNPSSQRRSPPDEPPKFCCPKCQYQAPDIDTLQIHVMECIE
+>sp|P01239|PRL_BOVIN Prolactin OS=Bos taurus OX=9913 GN=PRL PE=1 SV=1
+MDSKGSSQKGSRLLLLLVVSNLLLCQGVVSTPVCPNGPGNCQVSLRDLFDRAVMVSHYIH
+DLSSEMFNEFDKRYAQGKGFITMALNSCHTSSLPTPEDKEQAQQTHHEVLMSLILGLLRS
+WNDPLYHLVTEVRGMKGAPDAILSRAIEIEEENKRLLEGMEMIFGQVIPGAKETEPYPVW
+SGLPSLQTKDEDARYSAFYNLLHCLRRDSSKIDTYLKLLNCRIIYNNNC
+>sp|Q1RMT9|P3IP1_BOVIN Phosphoinositide-3-kinase-interacting protein 1 OS=Bos taurus OX=9913 GN=PIK3IP1 PE=2 SV=1
+MLLAWVRTILVSNMLLAEAYGSGGCFWDNGHLYRADQPSPAPGHSCLNWLDAQSGLAFAP
+ESGAGNHSYCRNPDQDPRGPWCYVSGEAGAPEKRPCQDLRCPDTTSQGLPTSATETEEAA
+EVPGGDEVFAPANALPARSEAAAVQPVIGISQRVRVNSKEKKDLGTLGYVLGITMMVIIV
+VIGAGIVLGYTYKRGKDLKAQHEQKVCERELQRITLPLSAFTNPTCEIVDEKTVVVHASQ
+TPVDLQEGSAPLMGQAGTPGA
+>sp|Q0VC85|PHLA2_BOVIN Pleckstrin homology-like domain family A member 2 OS=Bos taurus OX=9913 GN=PHLDA2 PE=2 SV=1
+MRSPGEVLREGELEKRSDSLLQVWKKKRGVLTTDRLRLFPTGPGARPKELRFHSILKVDC
+VERTGKYVYFTIVTTDRKEIDFRCAGESYWNASITLALIDFQNRRAMQDFRSRRERAAAA
+AAAAAAAAAAEQEPEAGPGGQP
+>sp|Q5E9B3|PGTB2_BOVIN Geranylgeranyl transferase type-2 subunit beta OS=Bos taurus OX=9913 GN=RABGGTB PE=2 SV=1
+MGTPQKDVIIKSDAPDTLLLEKHADYIASYGSKKDDYEYCMSEYLRMSGIYWGLTVMDLM
+GQLHRMNREEILTFIKSCQHECGGISASIGHDPHLLYTLSAVQILTLYDSINVIDINKVV
+EYVQSLQKEDGSFAGDIWGEIDTRFSFCAVATLALLGKLDAINVEKAIEFVLSCMNFDGG
+FGCRPGSESHAGQIYCCTGFLAITSQLHQVNSDLLGWWLCERQLPSGGLNGRPEKLPDVC
+YSWWVLASLKIIGRLHWIDREKLRSFILACQDEETGGFADRPGDMVDPFHTLFGIAGLSL
+LGEEQIKPVSPVFCMPEEVLRRVNVQPELVS
+>sp|Q3T160|NPM_BOVIN Nucleophosmin OS=Bos taurus OX=9913 GN=NPM1 PE=2 SV=1
+MEDSMDMDMSPLRPQNYLFGCELKADRDYHFKVDNDENEHQLSLRTVSLGAGAKDELHVV
+EAEAMNYEGSPIKVTLATLKMSVQPTVSLGGFEITPPVVLRLKCGSGPVHISGQHLVAVE
+EDAESEEEEEEEVKLLSISGKRSAPGSGSKVPQKKVKLAADEDEDDDDDDDDDDDEDDDD
+DDFDEEVEEKAPVKKSVRDTPAKNAQKSNQNGKDSKPSTPRSKGQESFKKQEKTPKTPRG
+PSSVEDIKAKMQASIEKGGSLPKVEAKFINYVKNCFRMTDQEAIQDLWQWRKSL
+>sp|P01154|POPI_BOVIN Posterior pituitary peptide OS=Bos taurus OX=9913 PE=1 SV=1
+RGEVKDASGELEPPPGPFIQRGRASCWLGRTGSCQNCWLCSQGNCAGV
+>sp|Q2HJA4|PAR2_BOVIN Proteinase-activated receptor 2 OS=Bos taurus OX=9913 GN=F2RL1 PE=2 SV=1
+MRSPSAAWLLGGVLLLAASGSCNRTVPGNKSKGRSLIGNVDNSPVVAGRGVTVKPGFSVD
+EFSTSVLTGKLTTVFLPVVYTIVFVVGLPSNGMALWVFLFRTKKKHPAVIYMANLALADL
+LSVTWFPLKIAYHIHGNNWIYGESLCKVLIGFFYGNMYCSILFMTCLSVQRYWVIVNPMV
+HPKKQANIAIGVSLGIWLLILLLTIPLYVVKQTSYIRALNITTCHDVLPEEVLVGDMFNY
+FLSLAIGVFLFPAFLTASAYVLMIRTLQSSAMDESSGKKRRRAIKLIVTVLAMYLICFTP
+SNLLLVVHYFLIKTRGQSHVYALYIVALCLSTLNSCIDPFVYYFISQDFRDHAKNALLCR
+SVRTVKRMQVSLSSKKFSGKSSSYSSSSTSVKGSY
+>sp|Q2T9S4|PGP_BOVIN Glycerol-3-phosphate phosphatase OS=Bos taurus OX=9913 GN=PGP PE=2 SV=1
+MAEAEAGGDDGRCVRLNAERAQALLADVDTLLFDCDGVLWRGETAVPGAPETLTALRARG
+KRLAFITNNSSKTREAYAEKLRCLGFGAPAGPDAGREVFGTAYCTALYLRQRLTGPPAPK
+AYVLGSVALAAELEAVGVSCVGVGPEPLLGDGPGAWLDAPLEPDVRAVVVGFDPHFSYMK
+LTKAVRYLQQPDCLLVGTNMDNRLPLENGRFIAGTGCLVRAVEMAAQRQADIIGKPSRFI
+FDCVSQEYGIHPERTVMVGDRLDTDILLGVTCGLKTILTLTGVSSLRDVKSNQESDCMAK
+KKMVPDFYVDSIADLLPALQG
+>sp|Q2HJC9|PQBP1_BOVIN Polyglutamine-binding protein 1 OS=Bos taurus OX=9913 GN=PQBP1 PE=2 SV=1
+MPLPVALQTRLAKRGILKHLEPEPEEEIIAEDYDDDPVDYEATRLEGLPPSWYKVFDPSC
+GLPYYWNVDTDLVSWLSPHDPNSVVTKSAKKLRSSNADAEEKLDRSHEKSDRGHEKSDRG
+HEKSDRSHEKSERNHEKSDRDRERGYDKVDRERERDRDRDRGYDKVDREESKERRHHRRE
+ELAPYPKSKKAASRKDEELDPMDPSSYSDAPRGTWSTGLPKRNEAKTGADTTAAGPLFQQ
+RPYPSPGAVLRANAEASRTKQQD
+>sp|Q58D85|PAR3_BOVIN Proteinase-activated receptor 3 OS=Bos taurus OX=9913 GN=F2RL2 PE=2 SV=1
+MRAAIFAAIGALLLSPASCQSGMEYDADNLAKPTLSIKTFRGAPQNSFEEFPLSAIEGWT
+GTTKTVKIKCPEELDSNLHVNNATMGYLSSPLSTKLIPAIYILVFAVGMPANAVTLWMLF
+RTRTIRMTIFYTNLAIADFLFCVTLPFRIAYHLNGNNWVFGEVMCRATTVIFYGNMYCSI
+LLLACISINRYLAIVHPFTYRGLPKRTYALLTCGLVWTTVFLYMLPFFILKQEYYLVQQD
+ITTCHDVHNTCESSSPFQLYYFISLAFFGFLIPFLVIIYCYTAIIWTLNAKDRRWLWYIK
+ASLLTFVIFTICFAPSNIILIIHHANYYYSNTDALYFVYLIALCLGSLNSCLDPFLYFLM
+SKITDHSTAYLTMVKLS
+>sp|E1BM58|PRAX_BOVIN Periaxin OS=Bos taurus OX=9913 GN=PRX PE=1 SV=3
+MEARSRSAEELRRAELVEIIVETEAQTGVSGINVAGGGKEGIFVRDLREDSPAARSLSLQ
+EGDQLLSARVFFENFKYEDALRLLQCAEPYKVSFCLKRTVPTGDLALRPGTVAGYEIKGP
+RAKVAKLNIQSLSPVKKKKMVMPGALGAPADLAPVDVEFSFPKFSRLRRGLKAEAVEGPV
+PAAPTRRRLQLPRLRVREVAEEAQVARLAAAAPPPRKAKAEAEVAAGPRFTAPQVELVGP
+RLPGAEVGVPQVPAPKREAAPAVEPAAVGIQVPQVELPSLPSLPALPTLPCLETREGAVA
+VTVPTLDVAAPTVGVDLALPGAEVEPREEVPEVALKMPRLSFPRFGARAKEAAEAKVKGP
+KLRMPTFGLSLLEPRPAVPEAPESKLKLPTIKIPSFGIGVSPPEVKVPKGPEVKPPKVPE
+VKLPKMPEPVLPEVRLPEVELPKVSEMKLPKVPEMAVPEVRLPEVQLPKVPEMKLPEVKL
+PKVPEMAVPEVHLPEVQLPKVPEMKLPEVKLPKVPEMAVPEVRLPEVQLPKVPEMKLPKV
+PEMKCPEMKLPKVPEMAVPEVRLPEVQLPKVPEVKLPEVKLPEVKLPKVPEMAVPEVHLP
+EVQLPKVSEMKVPDVKLPEVKLPEIKLPKVPEMVVPDVHLPQVHLPKVSEMRLPEVQAPK
+VPEVHLPKAPEVKLPKAPEAQLKAARVEEAEGMDFGFKMPKMTLPKLGRAESPSQGKPGE
+AGAEVSGKLVTLPCLQPEVGSEARVGVPRLTLPSVELDLPGALGLEGQAAAAEVGKGEQA
+EAAGVGEVAFRLPSVEIVTPQLPTLDEGQAEVTEAKVKLSSKFSLPKFGLSGPKVTKPEA
+EGAGRAAKLKVSKFAISLPRARVGTEVEAKGTEEAGLLPALDLSIPQLSLDSHLPTGKAE
+VAGADIKLKGPKFGLPKFGVRGRDTEAGELVPGAAELEGKSRGWDGKVKMPKLKMPSFGL
+ARGKEADISGGQVSPGEKPESTAVQLKIPEVELVTLGAQEEGRVEEEAAGSRGRLAGLQV
+SPAKQVGTEAQDGGLRMPLGISLPQVELTGFREATPGQQAESSAPPAEGTAGYRVHVPQV
+TLALPGAQAVGGELLVGEGVFKMPSVTVPQLELDVGLSREVQDGEAATSEGGLKLKVPTL
+GARAGAGAEGPSDQSAGAERTFHLSLPDVELSPPAVGTHAEYQVAEGEGDAGHKLKVRLP
+RFGLARAKEGAEEGEKAKSPKLKLPHVGFSQSEAVSGEGSPSPEEEDVEGGGEGASGRRG
+RVRVRLPRVGLAAPSKASRGQEGKAAPKSPSGEKSPKFRFPRVSLSPKTRGGSGDQDEGG
+FRVRLPSVGFSETGPPGPTRMEGAQAAVI
+>sp|Q3T0D3|NTAQ1_BOVIN Protein N-terminal glutamine amidohydrolase OS=Bos taurus OX=9913 GN=WDYHV1 PE=1 SV=2
+MEGDAPVAAAAHYQPASPPRDACVYNSCYCEENIWKLCEYIKNHDQYPLEECYAVFISNE
+RKMIPIWKQQARPGDGPVIWDYHVVLLHVSSGGQSFIYDLDTVLPFPCPFDTYVEDAFKS
+DEDIHPQFRRKFRVIRADSYLKNFASDRSHMKDSSGNWREPPPSYPCIETGDSKMNLNDF
+ISMDPEVGWGAVYSLPEFVHRFSSQNY
+>sp|Q9N1F0|MRVI1_BOVIN Protein MRVI1 OS=Bos taurus OX=9913 GN=MRVI1 PE=1 SV=1
+MVKAPQSEERLAGGGKGNNSVLACGAQASWSIFGADAAEVPGTRSHSRQEAAMPHIPEDE
+EPPGEPQAAQSPAGQDPATTGISCSPPTIILTGDASSPEGETDKNPVNRAHSPHRRLSHR
+HLKVSTASLTSVDPAGHVIDLVNDQLPDISISEEDKKKNLALLEEAKLVSERFLTRRGRK
+SRSSPGESSPAVSPNLSPGASPASSQSNSLTVPTPPGLDVCSGPPSPLPGAPPQKGDEAE
+VPSPHLGESNVLKGLADRKQNDQRTLSQGRLTARSPTVEKSKEITIEQKENFDPLQRPEA
+IPKGPASGPGSGGKMALNSPQPGPVESELGKPLAKTAKEGNPLPRGPTQGSGGVAPQASQ
+GKSTVGEPAGSKVGSKAELWPPTSRPPLLRGVSWDSGPEEPGPRLQKVLAKLPLAEEEKR
+FTGKAGSKLAKAPGLKDFQIQVQPVRMQKLTKLREEHILLRNQNLVGLKLPELSEAAEQE
+KGHPSELSSAIEEEESKGGLDVMPNISDVLLRKLRVHKSLPGSAPPLTEKEVENVFVQLS
+LAFRNDSYTLESRINQAERERNLTEENTEKELENFKASITSSASLWHHCEHRETYQKLLE
+DIAVLHRLAARLSSRAEMVGAVRQEKRMSKATEVMMQYVENLKRTYEKDHAELMEFKKLA
+NQNSSRSCGPSEDGVPRTARSMSLSLGKNMPRRRVSVAVVPKFNILNLPGQSPSSSPIPS
+LPALSESSNGKGNPPVSSALPALLENGKTNGDPDCEASASVPTPSCLEGISQEAKARMEE
+EAYNKGYQEGLKKTKELQGLREEEEEQKSESPEEPEEVAETEEEEKEQRSSKLEELVHFL
+QVMYPKLCQHWQVIWMMAAAMLVLTVVLGLYGSHNSCVEQADGSLGKSTCSAAQRDSWWS
+SGLQHEQPTEQ
+>sp|Q2T9W7|MSPD1_BOVIN Motile sperm domain-containing protein 1 OS=Bos taurus OX=9913 GN=MOSPD1 PE=2 SV=1
+MHQQKRQPELVEGNLPVFVFPTELIFYADDQSTHKQVLTLYNPYEFALKFKVLCTTPNKY
+VVVDAAGAVKPQCCMDIVIRHRDVRSCHYGVIDKFRLQVSEQSQRKALGRKEVVATLLPS
+AKEQQKEEEEKRIKEHLTESLFFEQSFQPENRTVSSGPSLLTVFLAVVCITALMLPTLGD
+VESLVPLYLHLSVNQKLVAAYILGLITMAIFRT
+>sp|P34942|NDUAA_BOVIN NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 10, mitochondrial OS=Bos taurus OX=9913 GN=NDUFA10 PE=1 SV=1
+MALRLLRLVPPRVGGIHTSVQFKLQYGPLAYILGEKATKKMTEKSKLITVDGNICSGKSK
+LAKEIAEKLGLKHFPEAGIHYVDSTTGDGKPLPVQFSGNCSLEKFYDDPKSNDGNSYRLQ
+AWLYASRLLQYADALEHLLSTGQGVVLERSIYSDFVFLEAMYRQGFIRKQCVDHYNQVKK
+VTICEYLPPHVVVYVDVPVPEVQSRIQKKGNPHEMKITSAYLQDIENAYKGTFLPEMSEK
+CEVLQYSAWEAQDAEKVVEDIEYLKYDKGPWLDQNDRNLHKLRMLVQDKLEVLNYTSIPV
+FLPEVTVGAHQSDQVFQEFTELPGRKYRAGYNEDVGDKWIWLK
+>sp|Q2PC20|PPM1K_BOVIN Protein phosphatase 1K, mitochondrial OS=Bos taurus OX=9913 GN=PPM1K PE=2 SV=1
+MSTAALLTLVRSGGNQVRRRVLLRARGLQDDRWVMPTCHSSTSEPKWSRFDPDGSGRPAT
+WDNFGIWDNRLEEPILLPPSIKYGKPIPKVSLQNVGSASQIGKRKENEDRFGFAQLTNEV
+LYFAVYDGHGGPAAADFCHTHMEKCILDLLPKEENLETVLTLAFLEIDKTFARHAHLSAD
+ATLLTSGTTATVALLRDGIELVIASVGDSRAILCRKGKPMKLTIDHTPERKDEKERIKKC
+GGFVAWNSLGQPHVNGRLAMTRSLGDLDLKTSGVIAEPETKRIKLHHADDSFLVLTTDGI
+NFMVNSQEICDFVNQCHDPNEAAHAVTEQAIQYGTEDNTTAVVVPFGAWGKYKNSEITFS
+FSRSFASSGRWA
+>sp|P31836|NCAM1_BOVIN Neural cell adhesion molecule 1 OS=Bos taurus OX=9913 GN=NCAM1 PE=1 SV=1
+MLQTKNLIWTLFFLGTAVSLQVDIVPSQGEISVGESKFFLCQVAGDAKDKDISWFSPNGE
+KLTPNQQRISVVWNDDSSSTLTIYNANIDDAGIYKCVVTAEDGTESEATVNVKIFQKLMF
+KNAPTPQEFREGEDAVIVCDVVSSLPPTIIWKHKGRDVILKKDVRFIVLTNNYLQIRGIK
+KTDEGTYRCEGRILARGEINFKDIQVIVNVPPTVQARQSIVNATANLGQSVTLVCNAEGF
+PEPTVSWTKDGEQIENEEDEKYLFSDDSSELTIRKVDKNDEAEYVCIAENKAGEQDASIH
+LKVFAKPKITYVENQTAMELEEQVTLTCEASGDPIPSITWRTSTRNISSEEKASWTRPEK
+QETLDGHMVVRSHARVSSLTLKSIQYTDAGEYVCTASNTIGQDSQSMYLEVQYAPKLQGP
+VAVYTWEGNQVNITCEVFAYPSATISWFRDGQLLPSSNYSNIKIYNTPSASYLEVTPDSE
+NDFGNYNCTAVNRIGQESLEFVLVQADTPSSPSIDQVEPYSSTAQVQFDEPEATGGVPIL
+KYKAEWRAMGEEVWHSKWYDAKEASMEGIVTIVGLKPETTYAVRLAALNGKGLGEISAAS
+EFKTQPVREPSAPKLEGQMGEDGNSIKVKLIKQDDGGSPIRHYLVKYRALSSEWKPEIRL
+PSGSDHVMLKSLDWNAEYEVYVVAENQQGKSKAAHFVFRTSAQPTAIPANGSPTSGLSTG
+AIVGILVVTFVLLLVAVDVTCYFLNKCGLLMCIAVNLCGKAGPGAKGKDMEEGKAAFSKD
+ESKEPIVEVRTEEERTPNHDGGKHTEPNETTPLTEPEKGPVEAKPETETKPAPAEVQTVP
+NDATQIKVNESKA
+>sp|Q17QT2|MTUS1_BOVIN Microtubule-associated tumor suppressor 1 homolog OS=Bos taurus OX=9913 GN=MTUS1 PE=2 SV=1
+MLLSPKFSLSTIHVRLTAKGLLRNLRLPSGFRKSTVIFHTVEKGRQKSPRSLCIQTQTSP
+DVLSSEKALELAQYKSKCTKQSGIILQLKQLLSLGNTKFEALTVVAQHLLSEREEALKQN
+KTLSQELVNLRGELVTVSTTCEKLEKARNELQIAYEGFVQKLNQQHQTDLTELENRLKEF
+YTGECEKLQNIYIEEAEKYKTQLQEQFDNLNATHETSKLKIEASHSEKIELLKKAYENSL
+SEIKKSHEMEKKSLEDLLYEKQESLEKQISDLKSENDTLNEKLKSEEQKRISREKANLKN
+PQIMYLEQELESLKAVLEIKNEKLHQQDVKLMKMEKLVDSNTALVDRLKRFQQENEELKA
+RMDKHMAISRQLSTEQAVLQESLEKESKVNKRLSMENEELLWKLHNGNLCSPKRSPTSPA
+APFQSPRNSGSFPSPSISHPDDLPGNQRQTEGISAGLTLPATAHHPP
+>sp|P13909|PAI1_BOVIN Plasminogen activator inhibitor 1 OS=Bos taurus OX=9913 GN=SERPINE1 PE=1 SV=1
+MRMSPVFACLALGLALIFGEGSASYQPQSAAASLATDFGVKVFQQVVRASKDRNVVFSPY
+GVASVLAMLQLTTGGETRQQIQEAMQFKIEEKGMAPAFHRLYKELMGPWNKDEISTADAI
+FVQRDLELVHGFMPNFFRLFRTTVKQVDFSEVERARFIVNDWVKRHTKGMISDLLGEGAV
+DQLTRLVLVNALYFNGQWKMPFPESNTHHRLFHKSDGSTISVPMMAQTNKFNYTEFTTPD
+GRYYDILELPYHGNTLSMLIAAPYEKEVPLSALTSILDAELISQWKGNMTRLTRLLVLPK
+FSLETEIDLRRPLENLGMTDMFRPSQADFSSFSDQEFLYVSQALQKVKIEVNESGTLASS
+STALVVSARMAPEEIIMDRPFLFVVRHNPTGTVLFMGQVMEP
+>sp|Q2KIH8|OD3L1_BOVIN Outer dense fiber protein 3-like protein 1 OS=Bos taurus OX=9913 GN=ODF3L1 PE=2 SV=1
+MKLPKGVKNPVFYGQQPEKKVPMSSGHEIKQTPVVLAMLKGPGPAKYLRPSCTGYIDHDV
+SMFQEPAYTLHAWHPEKRIMDIRSPGPCYFLDPKITRFGMASCPQVPMAEHISNLPWPPW
+TRTGSTRICQEAPDRPHTPGQSRPSIRTAALSIAWPNALATRWTTHLGLAPAPTMSSRSG
+HTSPARLLSPWASSTRPTYAR
+>sp|P03910|NU4M_BOVIN NADH-ubiquinone oxidoreductase chain 4 OS=Bos taurus OX=9913 GN=MT-ND4 PE=1 SV=1
+MLKYIIPTIMLMPLTWLSKNNMIWVNSTAHSLLISFTSLLLMNQFGDNSLNFSLLFFSDS
+LSTPLLILTMWLLPLMLMASQHHLSKENLTRKKLFITMLISLQLFLIMTFTAMELILFYI
+LFEATLVPTLIIITRWGNQTERLNAGLYFLFYTLAGSLPLLVALIYIQNTVGSLNFLMLQ
+YWVQPVHNSWSNVFMWLACMMAFMVKMPLYGLHLWLPKAHVEAPIAGSMVLAAVLLKLGG
+YGMLRITLILNPMTDFMAYPFIMLSLWGMIMTSSICLRQTDLKSLIAYSSVSHMALVIVA
+ILIQTPWSYMGATALMIAHGLTSSMLFCLANSNYERIHSRTMILARGLQTLLPLMATWWL
+LASLTNLALPPTINLIGELFVVMSTFSWSNITIILMGVNMVITALYSLYMLIMTQRGKYT
+YHINNISPSFTRENALMSLHILPLLLLTLNPKIILGPLY
+>sp|Q58DN4|PPME1_BOVIN Protein phosphatase methylesterase 1 OS=Bos taurus OX=9913 GN=PPME1 PE=2 SV=3
+MSALEKSMHLGRLPSRPPLPGSGGSQSGAKMRMGPGRKRDFSPVPWSQYFESMEDVEVEN
+ETGKDTFRVYKSGSEGPVLLLLHGGGHSALSWAVFTAAIISRVQCRIVALDLRGHGETKV
+RNSEDLSAETMAKDVGNVVEAMYGDLPPPIMLIGHSMGGAIAVHTASSNLVPSLLGLCMI
+DVVEGTAMDALNSMQNFLRGRPKTFKSLENAIEWSVKSGQIRNLESARVSMVGQVKQCEG
+ITSPEGSKSIVEGIIEEEEEDEEGSESVNKRKKEDDMETKKDHPYTWRIELAKTEKYWDG
+WFRGLSNLFLSCPIPKLLLLAGVDRLDKDLTIGQMQGKFQMQVLPQCGHAVHEDAPDKVA
+EAVATFLIRHRFAEPIGGFQ
+>sp|Q9TTY5|PTAFR_BOVIN Platelet-activating factor receptor OS=Bos taurus OX=9913 GN=PTAFR PE=1 SV=2
+MEPNNSFRVDSEFRYTLFPIFYSIVFVLGVIANSYVLWVFARLYPSKKFNEIKIFMVNLT
+MADLLFLVTLPLWIVYYYNQGDWILPKFLCNLAGCFFFINTYCSVAFLAVITYNRFQAVT
+RPIKTAQATTRKRGILLSLIIWVSIVGAASYFFVLDSTNREPNKTGSANITRCFEHYEKG
+SIPVLTIHIFLVFSFFLVFLIILFCNLVIIRTLLTQQVQIQRNAEVKRRALWMVCTVLAV
+FIICFVPHHLVQLPWTLAELGFQDTDFHQAINDAHQVTLCLLSTNCVLDPIIYCFLTKKF
+RKHLTEKLYSMRESRKCSRATSETGTEVVMQLKDVPVKSLKY
+>sp|O18951|P2RY2_BOVIN P2Y purinoceptor 2 (Fragment) OS=Bos taurus OX=9913 GN=P2RY2 PE=2 SV=1
+ISVHRCLGVLRPLHSLRWGRARYARRVAFAVWVLVLYCQAPVLYFVTTSTRSSRIICHDT
+SARELFSHFVAYSSVMLSLLFAAPFAVILVCYVLMARRLLKPAYGTSGGLPRAKRKSVRT
+IAIVLTVFVLCFL
+>sp|E1B7R9|PRCD_BOVIN Photoreceptor disk component PRCD OS=Bos taurus OX=9913 GN=PRCD PE=1 SV=1
+MCTTLFLLSTLAMLWRRRFANRVQPEPNGVDGAVSGSSLETDLQSSGREKEPLK
+>sp|A5PK51|PNCB_BOVIN Nicotinate phosphoribosyltransferase OS=Bos taurus OX=9913 GN=NAPRT PE=2 SV=2
+MAAEQDPEGRAAARPLLTDLYQATMALGYWRAGRAQDQAEFELFFRQCPFGGAFALAAGL
+RDCVRFLRAFRLRDADVQFLASALPPDTDPAFFEHLRALDCSGVTVRALPEGSLAFPGVP
+LLQVSGPLLVVQLLETPLLCLVSYASLIATNAARLRLIAGPDKRLLEMGLRRAQGPDGGL
+TASTYSYLGGFDASSNVLAGQLRGVPVAGTLAHSFVTSFSGSEVPPDPMLAPAAGQGSQV
+DLAASVEMWLERVCGHLGLGVQEPHRGERAAFVAYALAFPRAFQGLLDTYSVRRSGLPNF
+LAVALALQELGYQAVGVRLDSGDLLQQAREIRGVFRTAAAQFGVPWLQSVPIAVSNNIDE
+EELARLAQKGSEVNVIGIGTSVVTCPRQPSLGCVYKLVSVGGQPRMKLTEDPEKQTLPGS
+KAAFRLLGSDGSLLLDVLQLAEEPPPQAGQELRVWPRGARESRTVRPAHVEPLLRLWVQQ
+GQLCEPLPSLAESRAFAQQSLHRLSPAHRRLEQPALYQVALSEKLQALVDRLSARGAL
+>sp|Q0V898|NELFE_BOVIN Negative elongation factor E OS=Bos taurus OX=9913 GN=NELFE PE=2 SV=1
+MLVIPPGLSEEEEALQKKFNKLKKKKKALLALKKQSSSSTASQGGVKRSLSEQPVVDTAT
+ATEQAKQLVKSGAISAIKAETKNSGFKRSRTLEGKLKDPEKGPVPTFQPFQRSVSADDDL
+QESSRRPQRKSLYESFVSSSDRLRELGPDGEEAEGPGAGDGPPRSFDWGYEERGGARSSA
+SPPRSRSRDRSRERNRDRDRDRDRERDRERDRDRDRDRERDRDRDRDRDRDRERDREGPF
+RRSDSFPERRAPRKGNTLYVYGEDMTPTLLRGAFSPFGNIIDLSMDPPRNCAFVTYEKME
+SADQAVAELNGTQVESVQLKVSIARKQPMLDAATGKSVWGSLAVQNSPKGCHRDKRTQIV
+YSDDVYKENLVDGF
+>sp|Q32KW7|PDZD9_BOVIN PDZ domain-containing protein 9 OS=Bos taurus OX=9913 GN=PDZD9 PE=2 SV=1
+MKKSVQKNVKGKQVNLKVKPSVHDLSKTQQTKLTVGSMGLGLIIIQHGPYLQISHLITKG
+AAARDGTLQPGDVLISVGYANVLGYTLREFLKLLQHITIGTILQIKVYRDFIDIPQEWQE
+IYDLIPETKFPITRTTKKTEEAKDGSVTSSDDDEAFDTRLKYYKYPRSTGHYPVRRPMSI
+SREWHGYKKKNHVMRVGKDINCDVMIHRDHKKEVRAPSPYWTMVKQDNEISSSSSASSTS
+DAFWLEDCTPVEKGSSEPVSRVG
+>sp|A7E321|PNM8A_BOVIN Paraneoplastic antigen-like protein 8A OS=Bos taurus OX=9913 GN=PNMA8A PE=2 SV=1
+MTMAANLLEDWCRGMEADIHRSLLVTGIPEDCGQAEIEETLNGVLSPLGPYSVLNKIFLR
+QENAKAALVEVGEGVNLRAIPREFPGRGGIWRVVCRDPTQDAEFLKNLNEFLDAEERTLE
+DVVHLLELSRASPPKTQNRSTENWAEALGVLLGAVVQIIYYMDAEMLSQEEARAQDLAKA
+QAVASLASAAGRKVKKEPGRAAERGSALKMENPDGWNDVADGGDGPKPLVRKAGALTHSR
+RKRQKKTPKQEPVPWKKSQGSHSHSSASLKHPEADDGKNRERLEHVRNNKKPCVKQEGSA
+LKKAPVKCAWKFPSNLPHVAASRGVASESDQDGGLEGPPKKKAMGWVSAKSPAYMRKKKV
+SLGPVSYVLVNSEDPRKKPGVSKKGPGSGQDAPDQKAPGVPQAHESPTSASQGPEAKPQS
+PLHASSGENDGRSHLGCVNKWMEGEEQQGKAGAQEPKWAESQMVGEDPSAV
+>sp|P34979|PE2R3_BOVIN Prostaglandin E2 receptor EP3 subtype OS=Bos taurus OX=9913 GN=PTGER3 PE=2 SV=1
+MKATRDHASAPFCTRFNHSDPGIWAAERAVEAPNNLTLPPEPSEDCGSVSVAFSMTMMIT
+GFVGNALAITLVSKSYRRREGKRKKSFLLCIGWLALTDMVGQLLTSPVVIVLYLSHQRWE
+QLDPSGRLCTFFGLTMTVFGLSSLFIASAMAVERALATRAPHWYSSHMKTSVTRAVLLGV
+WLAVLAFALLPVLGVGQYTIQWPGTWCFISTGPGGNGTNSRQNWGNVFFASAFAILGLSA
+LVVTFACNLATIKALVSRCRAKATASQSSAQWGRITTETAIQLMGIMCVLSVCWSPLLIM
+MLKMIFNHTSVEHCKTYTENQDECNFFLIAVRLASLNQILDPWVYLLLRKILLQKFCQLL
+KGHSYGLDTEGGTENKDKEMKENLYISNLSRFFILLGHFTEARRGRGHIYLHTLEHQ
+>sp|Q5EA80|PGTA_BOVIN Geranylgeranyl transferase type-2 subunit alpha OS=Bos taurus OX=9913 GN=RABGGTA PE=2 SV=1
+MHGRLKVKTSEEQAEAKRLEREQKLKLYQAATQTVFQKRQAGELDESVLELTSQILGANP
+DFATLWNCRREVLQQLEVQKSPEELATLVKAELGFLESCLRVNPKSYGTWHHRCWLLSRL
+PEPNWARELELCARFLEVDERNFHCWDYRRFVAAQAAVPPAEELAFTDSLITRNFSNYSS
+WHYRSCLLPQLHPQPDSGPQGRLPEDVLLKELELVQNAFFTDPNDQSAWFYHRWLLGRAD
+PQDALRCLHVSRDEACLTVSFSRPLLVGSGMETLLLMVDESPLAVEWRTPEGRNRPSHIW
+LCDLPATSLNDQLPQHTFRVIWTAGDAQKECVLLKGRQEGWCRDSATDEQLFRCELSVEK
+STVLQSELESCKELQELEPENKWCLLTIILLMRALDPLQYEKETLQYFQTLKAVDPMRAA
+YLDDLRSKFLLENSVLKMEYAEVRVLHLGHKDLTVLCHLEQLLLVTHLDLSHNRLRALPP
+ALAALRCLEVLQANDNAIESLDGVTNLPRLQELILCNNRLQQPAVLQPLTSCPRLTLLNL
+QGNPLCQAEGSSEHLAELLPSVSSILT
+>sp|F1MCY2|PHAR4_BOVIN Phosphatase and actin regulator 4 OS=Bos taurus OX=9913 GN=PHACTR4 PE=2 SV=1
+MGQADISRPVNPDGVEEIGQPTADLGMVMDCVEAGDITPPTKRKSKFSGFGKIFKPWKWR
+KKKSDKFKETSEVLERKISMRKPREELVKRGLLLEDSEQGGEDPGKLSHATLKNGHTTLI
+GSTRSSSPVQVEEESERIASLRKPVPEEEPKKRLGSSGSQPHSEAEFVPESIPKQPLLPP
+KRHLSSSHEANEGQAKDATSSGSLARPSSSASTTAITTAPAATMAATNPAKTVHSSGPPS
+QAPRTLPAAPASTHTTATLSLTHTGPAKQPPIPPPKPTHRNSNPVIAELSQAINSGTLLS
+KPSPPLPPKRGIPSALVPTSESAAATTATKAPSDQREKSACSVGSEPLLTPSSSPLPAHI
+PPEPPQSPPFPAKTFQVVPEIEFPPSLDLPQEIPQQESQKREVPKRMLDHSFGEPQVPPR
+LPPVPLHIRIQQALTSPLPVTPPLEGSHRAHSLLFENSDNFSEDSSTLGRTRSLPITIEM
+LKVPDDEEEEEQSHIFAFDEDVASTSVIPKLPQCLQEEEEGKESDSDSEGPIQYRDEEDE
+DESHHSALANKVKRKDTLAMKLNHKPSEPEMNMNSWPRKSKEEWNEIRHQIGNTLIRRLS
+QRPTPEELEQRNILQPKNEADRQAEKREIKRRLTRKLSQRPTVAELLARKILRFNEYVEV
+TDAHDYDRRADKPWTKLTPADKAAIRKELNEFKSSEMEVHEDSKHFTRYHRP
+>sp|A7MBC7|NEMP1_BOVIN Nuclear envelope integral membrane protein 1 OS=Bos taurus OX=9913 GN=NEMP1 PE=2 SV=1
+MAGGMKVAVLPAVGAGPWSWGAGGCGAVRLLLVLFGCFVCGSAGIDLNVVTLRESEILFM
+NTSRQSCYKNVLIPKWHDIWTRIQIRVNSSKLVRVTQVENEDKLKELEQFSIWNFFSSFL
+KEKLNDTYINVGLYSTKTCLKVEILEEDTKYSVIVTRRFDPKLFLIFLLGLTLFFCGDLL
+SRSQIFYYSTGMSVGIVASLLIIIFIVSKFMPKKSPIYIILVGGWSFSLYLIQLVFKNLQ
+EIWRCYWQYLLSYVLAVGFMSFAVCYKYGPLENERSINLLTWTLQLLGLCFMYSSIQIPH
+IALAIVVIALCTKNLDYPIHWLYITYRKMCKATEKTVPPRLLTEEEYRLQGEVETRKALE
+QLREYCNSPDCSAWKTVSRIQSPKRFADFVEGSFHLTPNEVSVHEQEYGLGSIIAQDELS
+EETSSEEEDSDSRYPLVVQQNSFLT
+>sp|P61286|PABP1_BOVIN Polyadenylate-binding protein 1 OS=Bos taurus OX=9913 GN=PABPC1 PE=2 SV=1
+MNPSAPSYPMASLYVGDLHPDVTEAMLYEKFSPAGPILSIRVCRDMITRRSLGYAYVNFQ
+QPADAERALDTMNFDVIKGKPVRIMWSQRDPSLRKSGVGNIFIKNLDKSIDNKALYDTFS
+AFGNILSCKVVCDENGSKGYGFVHFETQEAAERAIEKMNGMLLNDRKVFVGRFKSRKERE
+AELGARAKEFTNVYIKNFGEDMDDERLKDLFGKFGPALSVKVMTDESGKSKGFGFVSFER
+HEDAQKAVDEMNGKELNGKQIYVGRAQKKVERQTELKRKFEQMKQDRITRYQGVNLYVKN
+LDDGIDDERLRKEFSPFGTITSAKVMMEGGRSKGFGFVCFSSPEEATKAVTEMNGRIVAT
+KPLYVALAQRKEERQAHLTNQYMQRMASVRAVPNPVINPYQPAPPSGYFMAAIPQTQNRA
+AYYPPSQIAQLRPSPRWTAQGARPHPFQNMPGAIRPAAPRPPFSTMRPASSQVPRVMSTQ
+RVANTSTQTMGPRPAAAAAAATPAVRTVPQYKYAAGVRNPQQHLNAQPQVTMQQPAVHVQ
+GQEPLTASMLASAPPQEQKQMLGERLFPLIQAMHPTLAGKITGMLLEIDNSELLHMLESP
+ESLRSKVDEAVAVLQAHQAKEAAQKAVNSATGVPTV
+>sp|Q8MJ08|PE2R4_BOVIN Prostaglandin E2 receptor EP4 subtype OS=Bos taurus OX=9913 GN=PTGER4 PE=2 SV=1
+MSIPGTNASSSQASNPLNSPVTIPAVMFIFGVVGNLVAIVVLCKSRKEQKETTFYTLVCG
+LAVTDLLGTLLVSPVTIATYLKGQWPGGHALCEYSTFILLFFGLSGLSIICAMSIERYLA
+INHAYFYSHYVDKRLAGLTLFAVYASNVLFCALPSMGLGSSRLQYPATWCFIDWTTNVTA
+HAAFSYMYAGFSSFLILATVLCNVLVCGALLRMHRQFMRRTSLGTEQQHHAAAAAVALAP
+TACRAPPAASSPALPRLSDFRRRRSFRRIAGAEIQMVILLIATSLVVLICSIPLVVRVFV
+NQLYRPQLEPVIGKNPDLQAIRIASVSPILDPWIYILLRKTVLSKAIEKIKCLFCRIGGS
+RRERSGPHCSDSRRTSSAVSGHSRSFLSRELKEISSTSQTLLYTPELSENGLGGGRNLLP
+GVPGVGLTQIDSTSLRTLRISETSDSSQGQDSESFLLVDEVGGSCRAGPAPKGSSLQVTF
+PSETLNLSEKCI
+>sp|Q1RMH4|PRAF1_BOVIN Prenylated Rab acceptor protein 1 OS=Bos taurus OX=9913 GN=RABAC1 PE=2 SV=1
+MAVEKDQQKDAEAEGLSAATLLPKLIPSGAGREWLERRRATIRSWGSFVDQRRFSRPRNL
+GELCQRLVRNVEYYQSNYVFVFLGLILYCVATSPMLLVALAVFFGACYILYLRTLQSKFV
+LFGREVSPAHQYALAGGVSFPFFWLAGAGSAVFWVLGATLVVIGSHAAFHQIEAVDGEEL
+QMEPV
+>sp|Q6H236|PEG3_BOVIN Paternally-expressed gene 3 protein OS=Bos taurus OX=9913 GN=PEG3 PE=2 SV=1
+MLPPKSLSATKPKKWAPNLYELDSDLSEPDAVPGEGATDSEFFHQRFRNFLYVEFIGPRK
+TLLKLRNLCLDWLQPEIRTKEEIIEVLVLEQYLSILPERIKPWVYARKPETCEKLVALLE
+DYEAMYEPEDDNSSDTHSEGGMSRRAAESPPPRPALPCCSERERRRGRSRDMESRDRWPS
+VRSPRSRFHQRDLALPLAERAKEREHRRRDSLLDLDARSEEAVLYQDMVALTEDRKPQNP
+IQDNMENYRKLLSLGVQLAEDDGHSHMTQGHSARSKRSAYPSTSRGLKTAPETKKSAHRR
+GICEAESSHGVIMEKFIKDVARSSRSGRARESSERPHRLSRRAGGDWKEASFSRREAGAS
+ERGPEGGAFGGGGFSCGSDLVSKKRALERKRRYHFDAEGQGPVHDPRGGARKRPFECGGE
+ARRAAKAAGASSLSAPPAAPSQPLDFGAMPYVCDECGRSFAVISEFVEHQIVHTRESLYE
+YGESFIHSAAVSEAQSRPEGARRSEGAQAAGLAEHRGGQAQEHLRGSGDEEQDEPFLPSP
+TFSELQKMYGKDKFYECKVCKETFLHSSALIEHQKIHSHEDREKERSTGAVRRTPMLGEL
+QRACGKEKRYECKVCGETFHHSAALREHQKTHGRGSPSEGRARAFEETFIPGQSLKRRQK
+TYSKEKLYDFREGGDAFGRSSDFMEHQKIHSRKSYFDSRGYEKPLLHSMSMPGSQKSHTI
+TRPPEDEDEEKAFTASSSPEDGQEARGYERSAYERAILHSLAAFRPPRGLREDGEPSTYL
+SGLRDPPQKTPAWESPYAGGRHSFFRSSVFYRASRPAPLDHLAGEGPSGWQRDGEASGPS
+SDGRQHQKARAKKKNIERKNYDASMMHSLHFGESQTFRPRERFYECLECGEFFVRSSELA
+EHQKIHNRKKLSGSKNYLRSVLRSLSSTDPQTSYQGQSVQMSYPQEAAQTSYAELAAQTS
+YAEEPAQTSYAVEPAQTSYAEEPAQTSYTEAPAEASYTEEPAQTSCIEEPAQTSYTNPAA
+ETSYAEEPAQTSYTEAPAEASYTEEPAQTSCIEEPAQTSYTNPAAETSYTEEPAQTSYTE
+APAEASGIEEPAQTNYTEESAEVSYTEEPSQTSCIEEPAQTSYTDPAAETSYTEEPAQTS
+YTQEPAQTSCTEEPAQTSCTEEPAQTSYTQEPAQTSYTKEPAEASYTEEPAQTSCIEEPA
+QTNYTKESAKASYTEEPAQTSYTDPAAETSYTEEPAQTNYTVESAEASYTEEPSQTSCIE
+EPAQTSYTDSAADTSCTEEPAQTSCTEEPAQTSYTQEPAQTSCTEEPAQTSCTEEPAQTS
+YTQEPAQTSCTEEPAQTSYTQEPAQTSCTEEPAQTSYTEEPAQTSYTEEPAQTSYTQEPA
+QTSCTEEPAQTSYTEEPAQTSYTEEPAQTSYTQEPAQTSYTEEPAQTSYTEEPAQTSYAQ
+EPAQTSYAEEPAQTSYAEEPAQTSYAEEPAQTSYTQEPAQTNYTEEPAEASYTEEPAQTS
+YAEEPAQTSYPEEPAQTSYAEEPAQTSYAEEPAQTSYPEEPAQTSYTEEPAQTSYAKEPA
+QTSYPEEPAQTSYAEEPAQTSYAEEPAQTSYAEEPAQTSYSEEPAQTRYTGNELRSDMRK
+NQLRPDMPRNQLRPVMPRNQLRPDMPRNQPRPVILRNQLRPDMPRNQPRPVILRNQLRPD
+MLGNQLRPDMPGNQLRPDMLREPPAETSYAELVAQISYAELVTPTSYAELAAETGYFEPP
+AQTSYTEPAETNYADPAAQVSFDEPPAEASYADLAAEISYAELAAETSYADLAAQISYDE
+PPAETSYAELAAQISYSEPADQTSYAELAAQTSYSEPLAQTSYAELTSETSYCEQPVLNE
+CKECGECFATVEDLGRHQKIYAREKFHDGKLFGEPVMQDLGLDGSPEEELEEQEEPEEPE
+DSIYGCKDCGLGFADRADLRDHQKVHGREYLVDSREYTHPAVHMPPVSEYQKDCLGEQLY
+ECPACGESFVHSSFLFEHQKVHEQDQFYGHRRYEPFMQPLIVSPRRPQAPQKSAPAGVGP
+QCQVCGQDFIHASVLSEHARGHAGEGLPDQGQGGAGAAGPGPAPTEPQQDPGEEQRYECE
+TCGESFPSQADLQEHMRVHEKGEPYDYGAAFVHTSFLTEPPKRDWPFYECKDCGKSFIHS
+TILTKHQKLHLQEEGAAAAAAATAQEAEANVLVPREVLRIQGSNVEAAEPEVEAAEPEVE
+AAEPEVEAAEPLGEAEGPEWEAAEPSGEAEQPHAEAEQPDMDADEPDGAGIEDPEERAEE
+PEGDDDEPDGAGIEDPEEEGEEQEIQVEEPYYDCGECGETFPSGAAYAEHLTAHASLVIL
+EPAGLYGEGAGGPEGGRPDDELFKCDVCGQLFSDRLSLARHQNTHTG
+>sp|O18778|PAHX_BOVIN Phytanoyl-CoA dioxygenase, peroxisomal OS=Bos taurus OX=9913 GN=PHYH PE=2 SV=2
+MDRNRASARLTVLLRHLGCRSAGTIIAHHTSGVGSLASFHPQQFQYTRENNVLSLEQRKF
+YEENGFLVIKNLVSDADIQRFRNEFERICRKEVKPLGLSVMRDVTITKSEYVPSEKVVSK
+VQDFQEDEELFRYCTLPEILKYVECFTGPNIMAMHTMLINKPPDSGKKTSRHPLHQDLHY
+FPFRPSNSIVCAWTAMEHIDRNNGCLVVLPGTHKGPLQPHDYPQWEGGVNIMFHGIQDYD
+KNNARVHLVMEKGDTVFFHPLLIHGSGRNKSQGFRKAISCHFADANCHYIDVEGTSQENI
+EKEVVDIVRKKYGFKDVTLKDVWTFRGRVVKGERINL
+>sp|Q2KIT6|PNMA2_BOVIN Paraneoplastic antigen Ma2 homolog OS=Bos taurus OX=9913 GN=PNMA2 PE=2 SV=1
+MALALLEDWCRILSVDDQKSLMVMGIPVDCSEDEIQEVLQEILKPLGRYKLLGKIFRKQE
+NANAVLLELLEDPEVSVIPSKVQGKGGIWKVIFKTPNQDTEFLERLNLFLEKEGQTVSGM
+FRALGHQGLSPAAMPCISPELLAHVLGQVIAHPPQPLLPMRYRKLRVFSGSAVPAPEEEP
+FEVWLEQATEIVKEWPVAEAEKKRWLMESLRGPALDLMHIVQADNPSISVEECLEAFKQV
+FGNLESRRTSQVKYLKTYQEEGEKVSAYVLRLETLLRRAVEKRAIPRNIADQIRLEQVMA
+GASLSEVLWCRLRELKDQGRPPSFLQLMKVIREEEEEEATFENENTEEPEGGDGYGHWGN
+EAND
+>sp|A4IFH6|PPLA_BOVIN Cardiac phospholamban OS=Bos taurus OX=9913 GN=PLN PE=1 SV=1
+MDKVQYLTRSAIRRASTIEMPQQARQNLQNLFINFCLISICLLLICIIVMLL
+>sp|A7YY44|PAR1_BOVIN Proteinase-activated receptor 1 OS=Bos taurus OX=9913 GN=F2R PE=2 SV=1
+MGPRWLLLWAAGLGLCSPLVSARTRGPRPGTDPTNGTLGPRSFFLRNSNDGYEQIPLPED
+EDSSEGEFTEDRLSSGNRSSPPQKSPPGFISKSASGYLTSAWLTVFIPSVYTGVFLVSLP
+LNIMAVVVFVLKMKVKKPAVVYMLHLAAADVLFVCVLPFKISYYFSGSDWRFGSAMCRFV
+TAAFYGNMYASIMLMTAISVDRFLAVVYPIQSLSWRTLGRASFICLAIWAMAIAGVAPLL
+LQEQATQVPGLNITACHDVLNQTLLEGYYSYYFSAFSAVFFFVPLTLSTVSYVSIIRCLS
+SSTVANQNKKSRALLLSAAVFCIFILCFGPTNILLLLHYAFLSSDPMTEAAYFAYLLCVC
+VSSISCCIDPLIYYYASSECQRHLFAILHCKESSDPGSCNSSGQLMPSKMDTCSSNLSSS
+LYKKLLT
+>sp|P61602|NCALD_BOVIN Neurocalcin-delta OS=Bos taurus OX=9913 GN=NCALD PE=1 SV=2
+MGKQNSKLRPEVMQDLLESTDFTEHEIQEWYKGFLRDCPSGHLSMEEFKKIYGNFFPYGD
+ASKFAEHVFRTFDANGDGTIDFREFIIALSVTSRGKLEQKLKWAFSMYDLDGNGYISKAE
+MLEIVQAIYKMVSSVMKMPEDESTPEKRTEKIFRQMDTNRDGKLSLEEFIRGAKSDPSIV
+RLLQCDPSSAGQF
+>sp|Q29W19|PACA_BOVIN Pituitary adenylate cyclase-activating polypeptide OS=Bos taurus OX=9913 GN=ADCYAP1 PE=2 SV=1
+MTMCSGARLALLVYGILMHSSVYGSPAASGLRFPGIRPENEVYDEDGNPQQDFYDSESLG
+VGSPASALRDAYALYYPAEERDVAHGILNKAYRKVLDQPSARRSPADAHGQGLGWDPGGS
+ADDDSEPLSKRHSDGIFTDSYSRYRKQMAVKKYLAAVLGKRYKQRVKNKGRRIPYL
+>sp|Q0VCI1|OSGEP_BOVIN Probable tRNA N6-adenosine threonylcarbamoyltransferase OS=Bos taurus OX=9913 GN=OSGEP PE=2 SV=1
+MPAVLGFEGSANKIGVGVVRDGKVLANPRRTYVTPPGTGFLPGDTARHHRAVILDLLQEA
+LTEAGLTSEDIDCIAYTKGPGMGAPLVSVAVVARTVAQLWNKPLLGVNHCIGHIEMGRLI
+TGATNPTVLYVSGGNTQVIAYSEHRYRIFGETIDIAVGNCLDRFARVLKISNDPSPGYNI
+EQMAKRGKKLVELPYTVKGMDVSFSGILSFIEDVAQRMLATGECTPEDLCFSLQETVFAM
+LVEITERAMAHCGSQEALIVGGVGCNVRLQEMMETMCQERGARLFATDERFCIDNGAMIA
+QAGWEMFQAGHRTPLSESGITQRYRTDEVEVTWRD
+>sp|Q8WN55|PTBP1_BOVIN Polypyrimidine tract-binding protein 1 OS=Bos taurus OX=9913 GN=PTBP1 PE=2 SV=1
+MDGIVPDIAVGTKRGSDELFSACVTNGPFIMSGTSASTANGNDSKKFKGDSRSAGVPSRV
+IHIRKLPGDVTEGEVISLGLPFGKVTNLLMLKGKNQAFIEMHTEEAANTMVNYYTSVTPV
+LRGQPIYIQFSNHKELKTDSSPNQARAQAALQAVNSVQSGNLALAASAAAVDAGMAMAGQ
+SPVLRIIVENLFYPVTLDVLHQIFSKFGTVLKIITFTKNNQFQALLQYADPVSAQHAKLS
+LDGQNIYNACCTLRIDFSKLTSLNVKYNNDKSRDYTRPDLPSGDSQPSLDQTMAAAFGLS
+VPNVHGALAPLAIPSAAAAAAAAGRIAIPGLAGAGNSVLLVSNLNPERVTPQSLFILFGV
+YGDVQRVKVLFNKKENALVQMADGSQAQLAMSHLNGHKLHGKPVRITLSKHQSVQLPREG
+QEDQGLTKDYGNSPLHRFKKPGSKNFQNIFPPSATLHLSNIPPSISEDDLKILFSSNGGI
+VKGFKFFQKDRKMALIQMGSVEEAIQALIDLHNHDLGENHHLRVSFSKSTI
+>sp|Q3MHH2|NOL11_BOVIN Nucleolar protein 11 OS=Bos taurus OX=9913 GN=NOL11 PE=2 SV=1
+MADLEEGFALTAVPLGSGPDGPLGVEQSGKTDQFLVTDSGRTVILYKVSDQKPLGSWSVK
+QGQIITCPAVCNFQTGEYIVVHDNKVLRIWNNEDVNLDKVFKATLSAEVYRIHSIQGTEP
+LVLFKEGAVRGLEALLAEPQQKIETVISDEEVIKWTKFFMVFRHPVLIFITEKHGNYFVY
+VQKFNSRILSKYTLLLGQEEKCVIQSFSTSVGRKFISLMSLSSDGCVYETLIPIHPSEPE
+KNQRLVQSQLLKSVVSGSARNGVALAILDQDHIAVLGAPLPASKECLSVWNTKFQTLQTS
+KELPQGTSGQLWYYGENLFMLHGKFLTVVPFKCEVSSLAGALGKLKHSQDPGIHATPHFV
+NWETSQGCGLGSQNSEQSKRILRRRKVEVSVQPEVPASTQLLATIQKDSEKHIEVELRKF
+LATKRTPDFHTIIGDVIIGLLGRCKAEPSFYPCNCLMQLVQTHVLSYSLCPGLMEFALEK
+TDVQILQLCLQQFPDIPESVTCACLKVFLSIGDDTLQDTDINMESVSDYMDPVQDGEMEE
+QTAFLQNGFSPEEDNCDSCAQKLKEKPQAAADESTSCPVTPKRAALLNAVLHSAYSETFL
+LPHLKDIPAQHSTLFLQYLYFLYLKCSENATMTLPGTHPPTLSQIMDWICLLLDANFTVV
+VMIPEAKRLLLSLYKFVKSQISICSELNKIEVSFRELQKLNQEKNNRELYSIEVLELF
+>sp|Q9GLR0|NEC2_BOVIN Neuroendocrine convertase 2 OS=Bos taurus OX=9913 GN=PCSK2 PE=2 SV=1
+MRGGCISQGKAAAGLLFCVMVFASAERPVFTNHFLVELHRGGEEKARQVAAEHGFGVRKL
+PFAEGLYHFYHNGLAKARRRRSLQHQQQLERDPRVKRALQQEGFNRKKRGYRDINEIDIN
+VNDPLFTKQWYLINTGQADGTPGLDLNVAEAWELGYTGKGVTIGIMDDGIDYLHPDLASN
+YNAEASYDFSSNDPYPYPRYTDDWSNSHGTRCAGEVSAAANNNICGVGVAYGSKVAGIRM
+LDQPFMTDIIEASSISHMPQLIDIYSASWGPTDNGKTVDGPRELTLQAMADGVNKGRGGK
+GSIYVWASGDGGSYDDCNCDGYASSMWTISINSAINDGRTALYDESCSSTLASTFSNGRK
+RNPEAGVATTDLYGNCTLRHSGTSAAAPEAAGVFALALEANLGLTWRDMQHLTVLTSKRN
+QLHDEVHQWRRNGVGLEFNHLFGYGVLDAGAMVKMAKDWKTVPERFHCVGGSVQNPEKIP
+TTGKPVLTLTTDACEGKENFVRYLEHVQAVVTVNATRRGDLNINMTSPMGTKSILLSRRP
+RGDDAKVGFDKWPFMTTHTWGEDARGTWILELGFVGSAPQKGVLMEWTLMLHGTQSAPYI
+DQVVRDYQSKLAMSKKEELEEELDEAVQRSLKSILGKD
+>sp|P48042|P2RY1_BOVIN P2Y purinoceptor 1 OS=Bos taurus OX=9913 GN=P2RY1 PE=2 SV=1
+MTEVLWPAVPNGTDTAFLADPGSPWGNSTVTSTAAVASPFKCALTKTGFQFYYLPAVYIL
+VFIIGFLGNSVAIWMFVFHMKPWSGISVYMFNLALADFLYVLTLPALIFYYFNKTDWIFG
+DAMCKLQRFIFHVNLYGSILFLTCISAHRYSGVVYPLKSLGRLKKKNAVYISVLVWLIVV
+VGISPILFYSGTGIRKNKTITCYDTTSDEYLRSYFIYSMCTTVAMFCVPLVLILGCYGLI
+VRALIYKDLDNSPLRRKSIYLVIIVLTVFAVSYIPFHVMKTMNLRARLDFQTPEMCAFND
+RVYATYQVTRGLASLNSCVDPILYFLAGDTFRRRLSRATRKASRRSEANLQSKSEDMTLN
+ILSEFKQNGDTSL
+>sp|A5PJZ2|PPM1L_BOVIN Protein phosphatase 1L OS=Bos taurus OX=9913 GN=PPM1L PE=2 SV=1
+MIEDTMTLLSLLGRIMRYFLLRPETLFLLCISLALWSYFFHTDEVKTIVKSSRDAVKMVK
+GKVAEIMQNDRLGGLDVLEAEFSKTWEFKSHNVAVYSIQGRRDHMEDRFEVLMDLANKTH
+PSIFGIFDGHGGETAAEYVKSRLPEALKQHLQDYEKDKENSVLSYQTILEQQILSIDREM
+LEKLTVSYDEAGTTCLIALLSDKDLTVANVGDSRGVLCDKDGNAIPLSHDHKPYQLKERK
+RIKRAGGFISFNGSWRVQGILAMSRSLGDYPLKNLNVVIPDPDILTFDLDKLQPEFMILA
+SDGLWDAFSNEEAVRFIKDRLDEPHFGAKSIVLQSFYRGCPDNITVMVVKFRNSSKTEEQ
+>sp|Q32PF3|PCNP_BOVIN PEST proteolytic signal-containing nuclear protein OS=Bos taurus OX=9913 GN=PCNP PE=2 SV=1
+MADGKAGEEKPEKPQRAGAAGGPEEEAEKPVKTKTVSSSNGGENSSRSAEKRSAEDETAD
+LPTKPTKISKFGFAIGSQTTKKASAISIKLGSSKPKEPVPTLAPKTLSVAAAFNEDEDSE
+PEEMPPEAKMRMKNIGRDTPTSAGPNSFNKGKHGFSDNQKLWERNIKSHLGNVHDQDN
+>sp|Q08DS5|NMD3_BOVIN 60S ribosomal export protein NMD3 OS=Bos taurus OX=9913 GN=NMD3 PE=2 SV=1
+MEYMTDPVKHTPGHILCCDCGVPISPNPANICVACLRSKVDISQGIPKQVSISFCKQCQR
+YFQPPGTWVQCALESRDLLALCLKKIKAPLSKVRLVDASFVWTEPHSKRLKVKLTIQKEV
+MNGAILQQVFVVDYVVQPQMCGDCHRVEAKDFWKAVVQVRQKTLHKKTFYYLEQLILKYG
+MHQNTLRIKEIHDGLDFYYSSKQHAQKMVEFLQCTVPTRYKASQRLISQDIHSNTYNYKS
+TFSVEIVPICKDNVVCLSPKLAQSLGNMNQICVCIRVTSVIHLIDPNTLQVADIDGNTFW
+SHPFNSLCHPKQLEEFIVMECSIVQDIKRSAGAGMISKKHTLGEVWVQKTSEMNTDKQYF
+CRTHLGHLLNPGDLVLGFDLVNCNLNDEHVNKMKSDRVPDVVLIKKSYDRTRRQRRRNWK
+LKELARDRENTDTDDERQYQDFLEDLEEDEAIRKNVNIYRDSTIPVESDTDDEGAPRISL
+AEMLEDLHISQDATGGEGESMMT
+>sp|Q2HJ97|PHB2_BOVIN Prohibitin-2 OS=Bos taurus OX=9913 GN=PHB2 PE=2 SV=1
+MAQNLKDLAGRLPSGPRGMGTALKLLLGAGAVAYGIRESVFTVEGGHRAIFFNRIGGVQQ
+DTILAEGLHFRIPWFQYPIIYDIRARPRKISSPTGSKDLQMVNISLRVLSRPNAMELPSM
+YQRLGLDYEERVLPSIVNEVLKSVVAKFNASQLITQRAQVSLLIRRELTERAKDFSLILD
+DVAITELSFSREYTAAVEAKQVAQQEAQRAQFLVEKAKQEQRQKIVQAEGEAEAARMLGE
+ALSKNPGYIKLRKIRAAQNISKTIATSQNRIYLTADNLVLNLQDESFTRGSDSLIKGKK
+>sp|Q3T0B2|PSMD6_BOVIN 26S proteasome non-ATPase regulatory subunit 6 OS=Bos taurus OX=9913 GN=PSMD6 PE=2 SV=1
+MPLENLEEEGLPKNPDLRIAQLRFLLSLPEHRGDAAVRDELMAAVRDNNMAPYYEALCKS
+LEWQMDVDLLNKMKKANEDELKRLDEELEDAEKNLGESEIRDAMMAKAEYLCRIGDKEGA
+LTAFRRTYDKTVALGHRLDIVFYLLRIGLFYMDNDLITRNTEKAKSLIEEGGDWDRRNRL
+KVYQGLYCVAIRDFKQAAELFLDTVSTFTSYELMDYKTFVTYTVYVSMIALERPDLREKV
+IKGAEILEVLHSLPAVRQYLFSLYECRYSVFFQSLAIVEQEMKKDWLFAPHYRYYVREMR
+IHAYSQLLESYRSLTLGYMAEAFGVGVEFIDQELSRFIAAGRLHCKIDKVNEVVETNRPD
+SKNWQYQETIKKGGLLLNRVQKLSRVINM
+>sp|P02699|OPSD_BOVIN Rhodopsin OS=Bos taurus OX=9913 GN=RHO PE=1 SV=1
+MNGTEGPNFYVPFSNKTGVVRSPFEAPQYYLAEPWQFSMLAAYMFLLIMLGFPINFLTLY
+VTVQHKKLRTPLNYILLNLAVADLFMVFGGFTTTLYTSLHGYFVFGPTGCNLEGFFATLG
+GEIALWSLVVLAIERYVVVCKPMSNFRFGENHAIMGVAFTWVMALACAAPPLVGWSRYIP
+EGMQCSCGIDYYTPHEETNNESFVIYMFVVHFIIPLIVIFFCYGQLVFTVKEAAAQQQES
+ATTQKAEKEVTRMVIIMVIAFLICWLPYAGVAFYIFTHQGSDFGPIFMTIPAFFAKTSAV
+YNPVIYIMMNKQFRNCMVTTLCCGKNPLGDDEASTTVSKTETSQVAPA
+>sp|Q8HYZ4|PCKGC_BOVIN Phosphoenolpyruvate carboxykinase, cytosolic [GTP] OS=Bos taurus OX=9913 GN=PCK1 PE=2 SV=1
+MPPQLSDGLNYSAKIVRGSLDSLPQAVRSFVESSAKLCRPDQVHICDGSEEENRQLLSHM
+EEEGVIKRLKKYDNCWLALTDPRDVARIESKTVIITREQRDTVPIPKNGLSQLGRWMSEE
+DFEKAFNIRFPGCMKGRTMYVIPFSMGPLGSPLSKIGIELTDSPYVVTSMRIMTRMGTSV
+LEALGDGEFVKCLHSVGCPLPLKKPLVNNWACNPELTLIAHLPDRREIISFGSGYGGNSL
+LGKKCFALRMASRLAKEEGWLAEHMLILGITNPKGQKKYFAAAFPSACGKTNLAMMNPTL
+PGWKVECVGDDIAWMKFDQQGNLRAINPENGFFGVAPGTSVRTNPNAIKTIQKNTIFTNV
+AETSDGGVYWEGIDQPLAARVKLISWKGKEWDPKDGEPCAHPNSRFCTPASQCPIIDPDW
+ESPEGVPIEGIIFGGRRPVGVPLVYEALSWQHGVFVGAAMRSEATAAAEYKGKVIMHDPF
+AMGPFFGYNFGQYLAHWLSMAQRPAAKLPKIFHVNWFRKDKAGRFLWPGFGENSRVLEWM
+FNRVVGEGGASVTPIGYIPDEDALDLRGLGDVDVKELFHISKEFWEEEVEEIQKYLEEQV
+NVDLPPEIKNQVLALKQRISQM
+>sp|Q56JZ2|PA2GA_BOVIN Phospholipase A2, membrane associated OS=Bos taurus OX=9913 GN=PLA2G2A PE=2 SV=1
+MKTLLLLAVIMAIGLLQVHGDLLNFRKMIKLTTGKEPATRYSFYGCYCGMSGRGTPKDAT
+DWCCRAHDCCYKNLESRGCRTKFLKYNVTYQEDQIVCEDADDCKSQVCQCDKIAANCFAA
+NLKTYNKKLRFYNKFRCRGAAPAC
+>sp|Q2KI18|PLPL2_BOVIN Patatin-like phospholipase domain-containing protein 2 OS=Bos taurus OX=9913 GN=PNPLA2 PE=2 SV=1
+MFPKETTWNISFAGCGFLGVYHIGVASCLREHAPFLVANATHIYGASAGALTATALVTGA
+CLGEAGANIIEVSKEARKRFLGPLHPSFNMVKTIRGCLLKILPADCYECASGRLGISLTR
+VSDGENVIITHFNSKEELIQANVCSTFIPVYCGLIPPSLQGVRYVDGGISDNLPLYELKN
+TITVSPFSGESDICPQDSSTNIHELRVTNTSIQFNLRNLYRLSKALFPPEPLVLREMCKQ
+GYRDGLRFLRRNGLLNRPNPLLALPPSQPPAPEDADAQEGAVAMERTGGKDHLPPPREDH
+ILEHLPSRLNEALLEACMEPTDLLTTLSNMLPVRLAMAMMVPYTLPLESAVSFTIRLLEW
+LPDVPEDIRWMKEQTGSICQYLMIRAKRKLGNHLPSRLSGQVVLRRARSLPSVPLSCAAY
+SEVLPSWMRNSLSLGDVLAKWEECQRQLLLGLFCTNVAFPPDALRMRVPAGPAPEPPQHP
+PSSPPC
+>sp|Q9GM96|NPVF_BOVIN Pro-FMRFamide-related neuropeptide VF OS=Bos taurus OX=9913 GN=NPVF PE=1 SV=1
+MEIISLKRFILLMLATSSLLTSNIFCTDESRMPNLYSKKNYDKYSEPRGDLGWEKERSLT
+FEEVKDWAPKIKMNKPVVNKMPPSAANLPLRFGRNMEEERSTRAMAHLPLRLGKNREDSL
+SRWVPNLPQRFGRTTTAKSITKTLSNLLQQSMHSPSTNGLLYSMACQPQEIQNPGQKNLR
+RRGFQKIDDAELKQEK
+>sp|Q5BIS6|NR1H2_BOVIN Oxysterols receptor LXR-beta OS=Bos taurus OX=9913 GN=NR1H2 PE=2 SV=2
+MSTPTTNSVDTPLPGNGPSTPSSSPGGKEDGPEPCPGGADPDVPSTDGADSASVVVILDT
+AEEPERKRKKGPAPKMLGDELCQVCGDTASGFHYNVLSCEGCKGFFRRSVIRGGAGRYAC
+RGGGTCQMDAFMRRKCQQCRLRKCKEAGMREQCVLSKEQIRKKKIRKQQQQQQQQSSPTG
+PGVSSSSPASGPGASPGGSDGGGQGSGEGEGVQLTAAQELMIQQLVAAQLQCNKRSFSDQ
+PKVTPWPLGADPQSRDARQQRFAHFTELAIISVQEIVDFAKQVPGFLQLGREDQIALLKA
+STIEIMLLETARRYNHETECITFLKDFTYSKDDFHRAGLQVEFINPIFEFSRAMRRLGLD
+DAEYALLIAINIFSADRPNVQEPSRVEALQQPYVDALLSYTRIKRPQDQLRFPRMLMKLV
+SLRTLSSVHSEQVFALRLQDKKLPPLLSEIWDVHE
+>sp|Q28142|NRX1B_BOVIN Neurexin-1-beta OS=Bos taurus OX=9913 GN=NRXN1 PE=1 SV=2
+MYQRMLRCGAELGSPGGGGGGAGGRLALLWIVPLTLSGLLGVAWGASSLGAHHIHHFHGS
+SKHHSVPIAIYRSPASLRGGHAGTTYIFSKGGGQITYKWPPNDRPSTRADRLAIGFSTVQ
+KEAVLVRVDSSSGLGDYLELHIHQGKIGVKFNVGTDDIAIEESNAIINDGKYHVVRFTRS
+GGNATLQVDSWPVIERYPAGNNDNERLAIARQRIPYRLGRVVDEWLLDKGRQLTIFNSQA
+TIIIGGKEQGQPFQGQLSGLYYNGLKVLNMAAENDANIAIVGNVRLVGEVPSSMTTESTA
+TAMQSEMSTSIMETTTTLATSTARRGNSPTKEPVSQTTDDILVASAECPSDDEDIDPCEP
+SSGGLANPTRAGGREPYPGSAEVIRESSSTTGMVVGIVAAAALCILILLYAMYKYRNRDE
+GSYHVDESRNYISNSAQSNGAVVKEKQPSSAKSANKNKKNKDKEYYV
+>sp|A5PJV0|MAFG_BOVIN Transcription factor MafG OS=Bos taurus OX=9913 GN=MAFG PE=2 SV=1
+MTTPNKGNKALKVKREPGENGTSLTDEELVTMSVRELNQHLRGLSKEEIIQLKQRRRTLK
+NRGYAASCRVKRVTQKEELEKQKAELQQEVEKLASENASMKLELDALRSKYEALQNFART
+VARSPVAPARGPLAAGLGPLVPGKVAATSVITIVKSKTDARS
+>sp|Q2HJF8|MIRO1_BOVIN Mitochondrial Rho GTPase 1 OS=Bos taurus OX=9913 GN=RHOT1 PE=2 SV=1
+MPAGRGRPLRAADMKKDVRILLVGEPRVGKTSLIMSLVSEEFPEEVPPRAEEITIPADVT
+PERVPTHIVDYSEAEQSDEQLHQEISQANVICIVYAVNNKHSIDKVTSRWIPLINERTDK
+DSRLPLILVGNKSDLVEYSSMETILPIMNQYTEIETCVECSAKNLKNISELFYYAQKAVL
+HPTGPLYCPEEKEMKPACIKALTRIFKISDQDNDGTLNDAELNFFQRICFNTPLAPQALE
+DVKNVVRKHISDGVADGGLTLKGFLFLHTLFIQRGRHETTWTVLRRFGYDDDLDLTPEYL
+FPLLKIPPDCTTELNHHAYLFLQSTFDKHDLDRDCALSPDELKDLFKVFPYIPWGPDVNN
+TVCTNEKGWITYQGFLSQWTLTTYLDVQRCLEYLGYLGYSILTEQESQASAITVTRDKKI
+DLQKKQTQRNVFRCNVIGMKNCGKSGVLQALLGRNLTRQKKIRDDHKSYYAINTVYVYGQ
+EKYLLLHDISESEFLTEAEILCDVVCLVYDVSNPKSFEYCARIFKQHFMDSRIPCLIVAA
+KSDLHEVKQEYSISPTDFCRKHKMPPPQAFTCNTADAPSKDIFVKLTTMAMYPHVTQADL
+KSSTFWLRASFGATVFAVLGFAMYKALLKQR
+>sp|A4IFN2|MEDAG_BOVIN Mesenteric estrogen-dependent adipogenesis protein OS=Bos taurus OX=9913 GN=MEDAG PE=2 SV=1
+MAGLESAPAARPSLTSISSGELRSLWTCDCELALLPLGQLLRLQPGAFQLRGDQLVVPAP
+AEPASARGGFNVFGDGFVRLDGQLYRLSSYMRRYVELTNYCDYKDYRETILSKPMLFFVH
+VQTKKDSLKERTYAFLVNTRHPKIRRQIEQGMDMVISSVIGESYRLQFDFQEVVKNFFPP
+GNKVVNGEDLSFAYEFKADALFDFFYWFGLSNSTVKVNGKVLNLSSTSPEKKETIKLFLE
+KMSEPLIRRSSFSDRKFSVTSRGSIDEVFNCNLSPRSSLMEPLVAELPFPCVLESEETPN
+PFI
+>sp|Q3ZC21|MI4GD_BOVIN MIF4G domain-containing protein OS=Bos taurus OX=9913 GN=MIF4GD PE=2 SV=1
+MGEPSKEEYKIQCFDAETQQLLKTALKDPGAVDLEKVANVIVDHSLQDSVFSKEAGRMCY
+AIIQAESKQAGQSVFRRGLLNRLQQEYQTREQLRARSLQGWVCYVTFICNIFDYLRVNNM
+PMMALVNPVYDCLFRLAQPDSLSKEEEVDCLVLQLHRVGEQLEKMNGQRMDELFVLIRDG
+FLLPAGLSSLAQLLLLEIIEFRAAGWKTTPAAHKYYYSEVSD
+>sp|Q2KHV9|K2013_BOVIN Uncharacterized protein KIAA2013 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MWLQQRLKGLPGLLSSSWARRLLCLLGLLVLLLWFAGSGARRAAGGLQLLPWPHGEPGAA
+EPSACLEAATHAWRSLRERGEAVLLGPGVPSLVANGFLALDVVANRLWVTPGEREPAVAP
+DFVPFVQLRPLSALPEAGESVLLLREGLLRRVRCLQLGTSGPGPAAAGPGPASASGLLTG
+SGRDCVLLQEDFLAHRGRPHVYLQRIQLNNPTERVAALQTVGPTAGPAPRAFTSTLEKVG
+DHQFLLYSGRSPPVPTGLVHLVVVAAKKLVDRLQVAPRTQLDETVLWVVHVSGPLNPQVL
+KSKAAKELKVLQDLARKEMLELLEMPAAELLQDHQRLWAQLFSPGVEMKKITDAHTPSGL
+TVNLTLYYMLSCSPAPLLSPDLSHRERDQMESTLNYEDHCFSGHATMHAENLWPGRLSSV
+QQILQLWDLWRLTLQKRGCKGLVRAGAPGILQGMVLSFGGLQFTENHLQFQADPDVLHNS
+YALHGIRYKNDHINLAVLADAEGKPYLHVSVESRGQLVKIYACEAGCLDEPVELTSAPQG
+HTFSVMVTQPITPLLYISTDLTHLQDLRHTLHLKAILAHDEHMAQQDPGLPFLFWFSVAS
+LITLFHLFLFKLIYNEYCGPGAKPFFRNKEDPSV
+>sp|P46065|GUC1A_BOVIN Guanylyl cyclase-activating protein 1 OS=Bos taurus OX=9913 GN=GUCA1A PE=1 SV=2
+MGNIMDGKSVEELSSTECHQWYKKFMTECPSGQLTLYEFRQFFGLKNLSPWASQYVEQMF
+ETFDFNKDGYIDFMEYVAALSLVLKGKVEQKLRWYFKLYDVDGNGCIDRDELLTIIRAIR
+AINPCSDSTMTAEEFTDTVFSKIDVNGDGELSLEEFMEGVQKDQMLLDTLTRSLDLTRIV
+RRLQNGEQDEEGASGRETEAAEADG
+>sp|Q56JY0|HIKES_BOVIN Protein Hikeshi OS=Bos taurus OX=9913 GN=HIKESHI PE=2 SV=1
+MFGCLVAGRLVQTAAQQVAEDKFVFDLPDYENINHVVVFMLGTVPFPEGMGGSVYFSYPD
+SNGMPVWQLLGFVTNGKPSAIFKISGLKSGEGSQHPFGTMNIVRTPSVAQIGISVELLDS
+LAQQTPVGNAAVSSVDSFTQFTQKMLDNFYNFASSFAVSQAQMTPSPSEMFIPANVVLKW
+YENFQRRLAQNPLFWKT
+>sp|Q3ZC46|ID2_BOVIN DNA-binding protein inhibitor ID-2 OS=Bos taurus OX=9913 GN=ID2 PE=2 SV=1
+MKAFSPVRSVRKNSLSDHGLGISRSKTPVDDPMSLLYNMNDCYSKLKELVPSIPQNKKVS
+KMEILQHVIDYILDLQIALDSHPTIVSLHHQRPGQSQASRTPLTTLNTDISILSLQASEF
+PSELMSNDSKALCG
+>sp|Q5E985|HYAL1_BOVIN Hyaluronidase-1 OS=Bos taurus OX=9913 GN=HYAL1 PE=2 SV=1
+MRPFSLEVSLHLPWAMAAHLLPVCTLFLNLLSMTQGSRDPVVPNQPFTTIWNANTEWCMK
+KHGVDVDISIFDVVTNPGQTFRGPNMTIFYSSQLGTYPYYTSAGEPVFGGLPQNASLNAH
+LARTFQDILAAMPEPRFSGLAVIDWEAWRPRWAFNWDTKDIYRQRSRALVQKQHPDWLAP
+RVEAAAQDQFEGAAEEWMAGTLKLGQALRPQGLWGFYNFPECYNYDFKSPNYTGRCPLNI
+CAQNDQLGWLWGQSRALYPSIYLPAALEGTKKTQMFVQHRVAEAFRVAAGAGDPKLPVLP
+YMQLFYDMTNHFLPAEELEHSLGESAAQGAAGVVLWVSWLSTSTKESCQAIKEYVDTTLG
+PSILNVTSGARLCSQVLCSGHGRCARRPSYPKARLILNSTSFSIKPTPGGGPLTLQGALS
+LEDRLRMAVEFECRCYRGWRGTRCEQWGMW
+>sp|P28801|GSTP1_BOVIN Glutathione S-transferase P OS=Bos taurus OX=9913 GN=GSTP1 PE=1 SV=2
+MPPYTIVYFPVQGRCEAMRMLLADQGQSWKEEVVAMQSWLQGPLKASCLYGQLPKFQDGD
+LTLYQSNAILRHLGRTLGLYGKDQQEAALVDMVNDGVEDLRCKYVSLIYTNYEAGKEDYV
+KALPQHLKPFETLLSQNKGGQAFIVGDQISFADYNLLDLLRIHQVLAPSCLDSFPLLSAY
+VARLNSRPKLKAFLASPEHMNRPINGNGKQ
+>sp|Q3T0D6|LEG4_BOVIN Galectin-4 OS=Bos taurus OX=9913 GN=LGALS4 PE=2 SV=1
+MAFVPAPGYQPTYNPTLPYHNPIPGGLRVGMSVYIQGVASEHMKRFFVNFEVGQGQGADV
+AFHFNPRFDGWDKVVLNSKQNGSWGQEERKMSMPFRKGAAFELVFMVMTEHFKVVVNGTP
+FHEFKHRIPLQMVTHLHVDGDLMLQSINFIGGQPPSNQMPMPAQAYPMPMSAQAYPSPGQ
+YYQQQSRLPTMEGPPAFNPPVPFNGRLQGGLIVRRTIIIKGYIPPTAKSFVINFKVGSSG
+DVALHINPRMTEGAVVRNSFLNGSWGSEERKVSYNPFGPGQFFDLSVRCGADRFKVYANG
+KHLFDFSHRLSAFQRVDLVEIHGDVTLSYVQI
+>sp|Q2YDJ4|HINT3_BOVIN Histidine triad nucleotide-binding protein 3 OS=Bos taurus OX=9913 GN=HINT3 PE=2 SV=1
+MMEEEECGLGKSCARSEPVAAAQPAGSPGETPAVAAESPELANYSSKCVFCRIAAHQDPG
+TELLHCENEDLVCFKDIKPAAPHHYLVVPKKHFENCKYLKKDQIELIENMVTVGKAILER
+NNFTDFENTRMGFHVSPFCSIAHLHLHVLAPADQLSFMSRLVYRVNSYWFITADYLIEKL
+RT
+>sp|Q2HJD1|LMA2L_BOVIN VIP36-like protein OS=Bos taurus OX=9913 GN=LMAN2L PE=2 SV=1
+MAVALGPSGWWQRWRRRLSAREVSRMLLLLLLLGSGQGPRQVGAGQTFEYLKREHSLSKP
+YQGVGTSSSSLWNLMGNAMVMTQYIRLTPDMQSKQGALWNRVPCFLRDWELQVHFRIHGQ
+GKKNLHGDGLAIWYTKDRMQPGPVFGNMDKFVGLGVFVDTYPNEEKQQERVFPYISAMVN
+NGSLSYDHERDGRPTELGGCTAIVRNLHYDTFLVIRYVKRHLTIMMDIDGKHEWRDCIEV
+PGVRLPRGYYFGTSSITGDLSDNHDVISLKLFELTVERTPEEEKLHRDVFLPSVDNMKLP
+EMTAPLPPLSGLALFLIVFFSLVFSVFAIVIGIILYNKWQDQSRKRFY
+>sp|Q1JQC2|IR3IP_BOVIN Immediate early response 3-interacting protein 1 OS=Bos taurus OX=9913 GN=IER3IP1 PE=3 SV=1
+MAFTLYSLLQAALLCVNAIAVLHEERFLKNIGWGTDQGIGGFGEEPGIKSQLMNLIRSVR
+TVMRVPLIIVNSIAIVLLLLFG
+>sp|Q29S21|K2C7_BOVIN Keratin, type II cytoskeletal 7 OS=Bos taurus OX=9913 GN=KRT7 PE=2 SV=1
+MSLHFGSQVFSSRSAAFPGRGTQVRLSSVRPGGFGSSSSLYGLGASRPRVAARSSYGAPV
+GTGIRAVTINQSLLTPLQVDIDPSIQQVRQEEREQIKTLNNKFASFIDKVRFLEQQNKLL
+ETKWALLQEQKSAKSNRLPGIFEAQIAGLRKQLEALQLDGGRLEVELRNMQDVVEDFKNK
+YEDEINHRTAAENEFVVLKKDVDVAYMNKVELEAKVDTLNDEINFLRTLYEQELKELQSE
+VSDTSVVLSMDNNRSLDLDSIIAEVKAQYEEIANRSRAEAEACYQTKFETLQAQAGKHGD
+DLQNTRNEIADMNRAVQRLQAEIDSVKNQRSKLEAAIADAEQRGELAVKDARAKQEDLEA
+ALQKAKQDMTRQLREYQELMNVKLALDIEIATYRKLLEGEESRLTGDGVGAVNISVVSST
+GGSGSLLTFGGTMGNNALRFSSGGGPGTLKAYSMRTTSATSRSPRK
+>sp|P02070|HBB_BOVIN Hemoglobin subunit beta OS=Bos taurus OX=9913 GN=HBB PE=1 SV=1
+MLTAEEKAAVTAFWGKVKVDEVGGEALGRLLVVYPWTQRFFESFGDLSTADAVMNNPKVK
+AHGKKVLDSFSNGMKHLDDLKGTFAALSELHCDKLHVDPENFKLLGNVLVVVLARNFGKE
+FTPVLQADFQKVVAGVANALAHRYH
+>sp|Q8WML4|MUC1_BOVIN Mucin-1 OS=Bos taurus OX=9913 GN=MUC1 PE=1 SV=1
+MTPDIQAPFLSLLLLFPVLTVANVPTLTTSDSINPRRTTPVSTTQSSPTSSPTKETSWST
+TTTLLTASSPAPSPAASPGHDGASTPTSSPAPSPAASPGHDGASTPTSSPAPSPAASPGH
+DGASTPTSSPAPSPAASPGHDGASTPTSSPAPSPAASPGHNGTSSPTGSPAPSPAASPGH
+DGASTPTSSPAPSPAASPGHNGTSSPTGSPAPSPAASPGHDGASTPTSSPAPSPAASPGH
+NGTSSPTGSPAPSPTASPGHDSAPSLTSSPAPSPTASPGQHGASSPTSSDTSSMTTRSMS
+SSMVTSAHKGTSSRATMTPVSKGTPSSVPSSETAPTAASHITRTAASSPSIALSTSSNPK
+TSQQLSVRVSLYFLSFRITNLQFNSSLENPQTSYYQELQRSIWGLILQIYKQRDFLGLSE
+IKFRPGSVVVELTLAFREGTTAEWVKAQFSQLEAHAASYNLTISGVSVYSAPFPSSAQAG
+SGVPGWGIALLVLVCVLVALAIIYLIALVVCQCGRKKCEQLDVFPTLDAYHPMSEYSTYH
+THGRYVPPGSTKRSPYEEVSAGNGGSNLSYTNLAATSANL
+>sp|Q2TBP0|PSB7_BOVIN Proteasome subunit beta type-7 OS=Bos taurus OX=9913 GN=PSMB7 PE=1 SV=1
+MAAVSVYERPVGGFSFDNCRRNAVLEADFAKKGYKLPTARKTGTTIAGVVYKDGIVLGAD
+TRATEGMVVADKNCSKIHFISPNIYCCGAGTAADTDMTTQLISSNLELHSLSTGRLPRVV
+TANRMLKQMLFRYQGYIGAALVLGGVDVTGPHLYSIYPHGSTDKLPYVTMGSGSLAAMAV
+FEDKFRPDMEEEEAKKLVSEAIAAGIFNDLGSGSNIDLCVISKSKLDFLRPYSVPNKKGT
+RFGRYRCEKGTNAVLTEKVTTLEIEVLEETVQTMDTS
+>sp|Q3ZBF9|PLPP_BOVIN Pyridoxal phosphate phosphatase OS=Bos taurus OX=9913 GN=PDXP PE=1 SV=1
+MARCERLRGAALRDVVGRAQGVLFDCNGVLWNGERAVPGAPELLERLAQAGKATLFVSNN
+SRRARPELALRFARLGFGGLRSEQLFSSALCAARLLRQRLLGPPDTQGAVFVLGGEGLRA
+ELRAAGLRLAGDPSEDPGAAPRVRAVLVGYDEHFSFAKLSEACAHLRDPDCLLVATDRDP
+WHPLSDGSRTPGTGSLAAAVETASGRQALVVGKPSPYMFECITEHFSVDPGRTLMVGDRL
+ETDILFGHRCGMTTVLTLTGVSSLEEAQAYLAAGQHDLVPHYYVESIADLMEGLED
+>sp|A6QP81|MT21C_BOVIN Protein-lysine methyltransferase METTL21C OS=Bos taurus OX=9913 GN=METTL21C PE=2 SV=1
+MDACLSSEQQPRPLDEGPSPPDNEALHTDGTSKRPGDSNEIEASLRSLQKFVPTNYASYT
+QEYYRFVGKKIVIQESIESYGAVVWPGAMALCQYLEEHTEELNLRGAKILEIGAGPGLVS
+IVASILGAQVTATDLPDVLGNLQYNLLKNTLNCTTYLPEVKELVWGEGLEQSFPKSTLYY
+DYVLASDVVYHHYFLDKLLATMVYLCQPGTVLLWANKFRFSTDYEFLDKFKQVFDTTLLA
+ESQESSIKLFKGILKWD
+>sp|Q2HJ92|PRKRA_BOVIN Interferon-inducible double-stranded RNA-dependent protein kinase activator A OS=Bos taurus OX=9913 GN=PRKRA PE=2 SV=1
+MSQSRHRAAAPPMEREDSGTFSLGKMITAKPGKTPIQVLHEYGMKTKNIPVYECERSDVQ
+IHVPTFTFRVTVGDITCTGEGTSKKLAKHRAAEAAINILKANASICFAVPDPLMPDPSKQ
+PKNQLNPIGSLQELAIHHGWRLPEYTLSQEGGPAHKREYTTICRLESFMETGKGASKKQA
+KRNAAEKFLAKFSNISPENHISLTNMVGHSLGCTWHSLRNSPGEKINLLKRSLLSIPNTD
+YIQLLSEIAKEQGFNITYLDIEELSANGQYQCLAELSTSPITVCHGSGISCSSAQSDAAH
+NALQYLKIIAERK
+>sp|Q2T9N3|NTM1A_BOVIN N-terminal Xaa-Pro-Lys N-methyltransferase 1 OS=Bos taurus OX=9913 GN=NTMT1 PE=2 SV=3
+MTSEVIEDEKQFYSKAKTYWKEVPATVDGMLGGYGHISSIDINSSRKFLQRFLREGQNKT
+GTSYALDCGAGIGRITKRLLLPLFGVVDMVDVTEDFLVKAKTYLGEEGKRVRNFFCCGLQ
+DFSPEPQSYDVIWIQWVIGHLTDQHLAEFLRRCKRGLRPNGIIVIKDNMAQEGVILDDVD
+SSVCRALDVVHRIVRSAGLSLLAQERQENLPDEIYHVYSLALR
+>sp|Q32LH7|NB5R4_BOVIN Cytochrome b5 reductase 4 OS=Bos taurus OX=9913 GN=CYB5R4 PE=2 SV=1
+MLNVPSQSFPGPSSQQRVASGGRSKVPLKQGRSLMDWIRLTKSGKDLTGLKGRLIEVTEE
+ELKKHNKKDDCWICIRGFVYNVSPYMEYHPGGEDELMRAAGSDGTDLFDQVHRWVNYESM
+LKECLVGRMAMKPALPKDYHEEKKVLNGMLPQSQVTDTLAKEGPSSPSYDWFQTDSLVTI
+VIYTKQKDINLDSVIVDHRDDSFRAETVIKDYSYLVHVALSHEIQEDFSVLVVENVGKIE
+IVLKKKENTSWKCLGHPQENHNSFIPKKDTGLFYRKCQLVSKEDVTHDTKLFCLMLPPST
+HLEVPVGQHVYLRLPITGTEIVKPYTPVCDSLFSEFKEPVLPNNIYIYFLIKIYPAGFFT
+PELDQLQIGDYVSVSNPEGNFIISQLQELEDLFLLAAGTGFTPMVKVLNYALTNIPSLRK
+VKLMFFNKTEDDIIWRSQLEKLAFKDKRFEVEFVLSAPTSEWSGKQGYISPALLSEFLKR
+RSDTSKVLICLCGPTPFTEQGMKMLHDLNFSKDEIHSFTA
+>sp|Q32P65|NDUF2_BOVIN NADH dehydrogenase [ubiquinone] 1 alpha subcomplex assembly factor 2 OS=Bos taurus OX=9913 GN=NDUFAF2 PE=2 SV=1
+MGWSQRLFGVIRRALSKEVKEQVGTDRFGNKYYYIPEYKNWRGQTIREKRIVEAANKSEI
+DYEVGDIPTEWEAWIRKTRQTPPTMEEIMKNEKCREEIQMKSQDFYEKEKLLQEESNKEL
+PPPVQTQIKGHASAPYFGKDEPSESPTSTGKTFQPGSWMPHGDKGHSQ
+>sp|Q2T9V7|PREY_BOVIN Protein preY, mitochondrial OS=Bos taurus OX=9913 GN=PREY PE=3 SV=1
+MLSGVCARLASALRGTRAPPSAVALRCLHASSSRPSADKRDRTEKPPRAFDQALLEFLVC
+PLSKKPLRYEASTNELINEELGIAYPIIDGIPNMIPQAARMTHQNKKQEEVEQH
+>sp|A4FUB0|CE034_BOVIN Uncharacterized protein C5orf34 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MAAEVQMVLYEDDSVQVQYIDGSRLQLSPCGSEFLFEKAPPVSAHPLQQPERIRQRTHFV
+ISAYREQLQRALDFRNSFATCPFLSESIIPSEMKTRILIDVSEVRWPSLDTGDGMTYMQS
+GTVRISSLDGHAYLCLPKSQHEFTVHFLCKVSQKPDSSIEVSEKNNKGKKDKQVEKAGKI
+CTRGSLSGQRLKNKENELYHQIMKSEEPSEKSCVNGAKGREVLSSPHPKDTCIYTWVKQC
+WSVASCPEEWKYPLSLALHFHDKISSMSGIDADITQKRMLTSDVSEERGKEVSVLPRALL
+LSCPAPHLHRWNFSDSLSQKQFGEEEYSYHELVKVVWYKGVTYRLTHKHMNSIEIYPGDG
+SVFKSEGAYLGNYFTYYSIREESEEREEKTYAVNNLPPDRPGSPFSVCSLIKQATRILQH
+CAKMRLSLSHNYRVCCWKMVPGINDSSVLPLLLRESFVPGVGRFLAYSDDKVHAIFLDGI
+TLTLNWNFGSFIEKRQVNRGLTLGWCKLTFPDGQNQLIQIQHPRPYERYVTTVISWCRRL
+TQISQQEIPIHPSSSVPEESWSVASELEKIQKFNLLLESSGVLNQTSNKKNKQSLDRCKP
+KSSETLLKEVNEKSVSVALKKTSEILQDIDNLLSNSKW
+>sp|Q3SZW3|ARV1_BOVIN Protein ARV1 OS=Bos taurus OX=9913 GN=ARV1 PE=2 SV=1
+MGTGGQNGLRPGKGNTEGVKEGKGKTDEVTMTSNTDASASCQYRCIECNQEAKELYRDYN
+HGVLKITICKSCQKPVDKYIEYDPVIILINAILCKAQAYRHILFNTKINMHGKLCVFCLL
+CEAYLRWWQLQDSSQSIDPDDFIRYAKEWDFYRMFAIASLEQTAYFIGIFAFLWVERPIR
+AKEKLNFTLLLKALLLSSYGKLLLIPAVIWEHDYTPLCLRLIKVFVLTSNFQAIRVTLNI
+NRKLAFLAILSGLLVESTMVYFFQRMEWAVGSDCAIYKSQDF
+>sp|O75601|CASPD_BOVIN Caspase-13 OS=Bos taurus OX=9913 GN=CASP13 PE=1 SV=1
+MAEDKHNKNPLKMLESLGKELISGLLDDFVEKNVLKLEEEEKKKIYDAKLQDKARVLVDS
+IRQKNQEAGQVFVQTFLNIDKNSTSIKAPEETVAGPDESVGSAATLKLCPHEEFLKLCKE
+RAGEIYPIKERKDRTRLALIICNTEFDHMPPRNGAALDILGMKQLLEGLGYTVEVEEKLT
+ARDMESVLWKFAAREEHKSSDSTFLVFMSHGILDGICGTMHSEEEPDVLPYDTIFRTFNN
+RNCLSLKDKPKVIIVQACRGANRGELWVSDSPPALADSFSQSSENLEEDAVYKTHVEKDF
+IAFCSSTPHNVSWRDIKKGSLFITRLITCFQKYAWCCHLEEVFRKVQQSFEKPNVKAQMP
+TVERLSMTRYFYLFPGN
+>sp|A6H7G2|DBNL_BOVIN Drebrin-like protein OS=Bos taurus OX=9913 GN=DBNL PE=2 SV=1
+MAVNLSRNGPALLEAYQQVVNEKSSTDWALFTYEGNSNDIRVAGTGEGGLEEMVEELNSG
+KVMYAFCRVKDPNSGLPKFVLINWTGEGVNDVRKGACANHVSTMAGFLKGAHVTINARAE
+EDVEPECIMQKVARASGANYTFHKESSRFQDTGPQAPVGSVYQKTNAVSEIKRVGKDSFW
+AKAEKEEENRRLEEKRRAEEERQQLEQERRERELREAALREQRYQEQGGEAGLQRKYEQH
+EVLSRNREEQPAHPREIFKQKERAMSTTSISSPQPGKLKSPFLQKQLTQPDTPISRESPH
+ATSRPRADLREEPVPSIPPCSVQVEEEAVYEEPPEQETFYEEPPAVQQQDASSEPIDHYP
+GLSGKGLCARALYDYQAADETEISFDPENLITGIEVIDEGWWRGYGPDGHFGMFPANYVE
+LIE
+>sp|Q32LM5|LYRM2_BOVIN LYR motif-containing protein 2 OS=Bos taurus OX=9913 GN=LYRM2 PE=3 SV=1
+MATSRLPPATLTLKQFMRRQQVLLLYRRILQAIRQVPNDSDRKYLKDWAREEFKRNKSAT
+EEDTIRMMITQGNMQLKELEKTLALARS
+>sp|Q0P5J6|K1C27_BOVIN Keratin, type I cytoskeletal 27 OS=Bos taurus OX=9913 GN=KRT27 PE=2 SV=1
+MSVRFSSASRRLGSCGGAGSVRLSGGGAGFGVGSTGSVPGFGSGFTCAFGGSSSAGSYSG
+GLGGGSASCTAFTGNEHGLLSGNEKVTMQNLNDRLASYLDNVRALEEANADLEQKIKGWY
+EKFGPGSCRGLDHDYSRYFTVIDDLRNQIISATTSNANIVLQNDNARLTADDFRLKFENE
+QALHQSVDADVSSLRRVLDELTLCRTDLEIQLETLSEELAYLKKNHEEEMKALQCAAGGN
+VNVEMNAAPGVDLTVLLNNMRAEYEALAEQNRRDAEAWFNEKSASLQQQISDDAGATTSA
+RNELTEMKRNLQTLEIELQSLLATKHSLECSLTETEGNYCAQLAQIQAQIGALEEQLHQV
+RTETEGQKLEYEQLLDIKVHLEKEIETYCRLIDGEDGSCAKSKGYGGPGHQIKDPSKATV
+VKTIVEEIDPRGKVLSSRVHSVEEKSTKVNNVKSEQRVPS
+>sp|Q24K22|HGFL_BOVIN Hepatocyte growth factor-like protein OS=Bos taurus OX=9913 GN=MST1 PE=2 SV=1
+MGWLPLLLLLIWFSGAPGQRSPLNDFQVLRGTELQHLLHSVGPGPWQEDVANAEECAGLC
+GPLLDCRAFHYNLSSHGCQLLPWTQHSPHTRLQRSGRCDLFQKKNYVRTCIVDNGVEYRG
+TVAITVGGLPCQRWSHRFPNDHKFTPTLRNGLEENFCRNPDRDPGGPWCYTTDPAVRFQS
+CGIKSCREATCLWCNGEDYRGSVDSTESGRECQRWDLQHPHPHPFEPGFWTKIWTTTIAG
+IRTARSGPGAIPPTRRWRESSATYPAAVQRSEAQPSQEATTLNCFRGKGEGYRGTVNTTA
+AGVPCQRWDAQLPHQHRFAPEKYACKDLRENFCRNPDGSEAPWCFTSRPGMRMAFCYQIR
+RCTDDVRPEDCYHGAGELYRGSVSKTRKGIRCQNWSAETPHKPQFKHTSAPHTPLEENFC
+RNPDGDSHGPWCYTTDPGTPFDYCALRRCDDDQQPSILETAHQVLFDKCGKRVTRVDPLH
+SKLRVVGGQPGNSPWTVSLRNRQGQHFCGGSLVKEQWVLTARQCFSSCHMSLVGYEVWLG
+TLFQDPQPGEPDLQHIPMAKMVCGPSGSQLVLLKLERPVILNQRVALICLPPERYVVPPG
+TRCEIAGWGETKGTGDDTVLNIALLSVISNQECNVKHRGRVRESEMCTAGLLAPVGACEG
+DYGGPLACFTHDCWVLQGIIIPNRVCARPRWPAVFMRVSVFVDWIHKVMRLG
+>sp|A7MB78|GYS1_BOVIN Glycogen [starch] synthase, muscle OS=Bos taurus OX=9913 GN=GYS1 PE=2 SV=1
+MPLNRTLSMSSLPGLEDWEDEFDLENTVLFEVAWEVANKVGGIYTVLQTKAKVTGDEWGD
+NYYLVGPYTEQGVRTQVELLEPPTPALKRTLDSMNSKGCKVYFGRWLIEGGPLVVLLDVG
+ASAWALERWKGELWDTCNIGVPWYDREANDAVLFGFLTTWFLGEFLAQSEEKPHVVAHFH
+EWLAGVGLCLCRARRLPVATIFTTHATLLGRYLCAGAVDFYNNLENFNVDKEAGERQIYH
+RYCMERAAAHCAHVFTTVSQITAIEAQHLLKRKPDIVTPNGLNVKKFSAMHEFQNLHAQS
+KARIQEFVRGHFYGHLDFNLDKTLYFFIAGRYEFSNKGADVFLEALARLNYLLRVNGSEQ
+TVVAFFIMPARTNNFNVETLKGQAVRKQLWDTANTVKEKFGRKLYESLLVGSLPDMNKML
+DKEDFTMMKRAIFATQRQSFPPVCTHNMLDDSSDPILTTIRRIGLFNSSADRVKVIFHPE
+FLSSTSPLLPVDYEEFVRGCHLGVFPSYYEPWGYTPAECTVMGIPSVSTNLSGFGCFMEE
+HIADPSAYGIYILDRRFRSLDDSCSQLTSFLYSFCQQSRRQRIIQRNRTERLSDLLDWKY
+LGRYYMSARHMALAKAFPEYFTYEPHEADATQGYRYPRPASVPPSPSLSRHSSPHQSEDE
+EEPRDLPPDEDDERYDEDEEAAKDRRNIRAPEWPRRASCTSSTGSKRGSVDTAPSSSVST
+PSEPLSPASSLGEERN
+>sp|Q3T001|H17B6_BOVIN 17-beta-hydroxysteroid dehydrogenase type 6 OS=Bos taurus OX=9913 GN=HSD17B6 PE=2 SV=1
+MWLYLAVLLGLYYLLRWFRERQVVSHLQDKFVFITGCDSGFGNQLARQLDLRGLRVLAGC
+LTEQGAEQLRNQTSDRLQTVILDVTKTESIAAATEWVKECVGDRGLWGLVNNAGIFHSHG
+YAEWIKIETYRDTLRVNLIGVIEVTLSMLPLVRKAQGRIVNVSSILGRIAFFGAVYSCSK
+YGVEAFSDILRRELQHFGVKVSMVEPGYFRTAMTDWQKFSEIMKQIWKETPAHIKETYGQ
+KFFDAYHDLMKQGLLSCSTNLNLVTDCMEHALTSVHPRTRYSAGWDAQFFFVPLSYLPTS
+LADYILTRSWPKPAQAV
+>sp|Q27965|HS71B_BOVIN Heat shock 70 kDa protein 1B OS=Bos taurus OX=9913 GN=HSPA1B PE=2 SV=1
+MAKNTAIGIDLGTTYSCVGVFQHGKVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVA
+LNPQNTVFDAKRLIGRKFGDPVVQSDMKHWPFRVINDGDKPKVQVSYKGETKAFYPEEIS
+SMVLTKMKEIAEAYLGHPVTNAVITVPAYFNDSQRQATKDAGVIAGLNVLRIINEPTAAA
+IAYGLDRTGKGERNVLIFDLGGGTFDVSILTIDDGIFEVKATAGDTHLGGEDFDNRLVNH
+FVEEFKRKHKKDISQNKRAVRRLRTACERAKRTLSSSTQASLEIDSLFEGIDFYTSITRA
+RFEELCSDLFRSTLEPVEKALRDAKLDKAQIHDLVLVGGSTRIPKVQKLLQDFFNGRDLN
+KSINPDEAVAYGAAVQAAILMGDKSENVQDLLLLDVAPLSLGLETAGGVMTALIKRNSTI
+PTKQTQIFTTYSDNQPGVLIQVYEGERAMTRDNNLLGRFELSGIPPAPRGVPQIEVTFDI
+DANGILNVTATDKSTGKANKITITNDKGRLSKEEIERMVQEAEKYKAEDEVQRERVSAKN
+ALESYAFNMKSAVEDEGLKGKISEADKKKVLDKCQEVISWLDANTLAEKDEFEHKRKELE
+QVCNPIISRLYQGAGGPGAGGFGAQGPKGGSGSGPTIEEVD
+>sp|Q0VC73|KTU_BOVIN Protein kintoun OS=Bos taurus OX=9913 GN=DNAAF2 PE=2 SV=2
+MAKEAASSPLEDLDLSGEEVQRLTSAFQDPEFRRMFSEYAEELTDPENRRRYEEEITALE
+RERGVEVRFVHPEPGHVLRTSLDGTRRCFVNVCSNALVGAPSSQPGSGSAVSGRQWSLPY
+SLAPGREYAWGRGTRYTVYDVVFHPDAIALARRHERFRQMLDATALEAVEKQFGVKLDRR
+NAKTLKIKYKGTPDAAVLRTPLPGGAPARPEGEPESPFPDFPYPYRYPAAGASAAVPRPQ
+APSPPEAVRQPAPTEPRYSVVQRHHVDLQDYRCSRDSAPGTVPQELVVTIELPLLRSAEQ
+AALEVTGKLLCLDSRKPDYRLRLSLPYPVDDSRGKAQFNKARRQLVVTLPVAPTASRPEP
+AASPEEAADPPGTDGAACASACRGEAGPAGVCAGDAISGPSRTRAADAGITTPDAPGKER
+VAKSEERDFGGQEISTTGTREEPPSGAGNSPGDRGGGALSTSWGDLDAGLSVGSASVRPT
+LGVEARETREGTGREPAYRAMGGPGTDRGEALCPPLQCSQDEESLTLLVQVPWILLQSLQ
+GEVNPLWYKLSFSTQDLVYYSFFLQFTPENKLSTKEPEVSISSNNAVINLAKSPECHGYW
+REWYYGLNNYCLEERLFVNEDNVNEFLEEVLSPPFKQTLPLTPPLIEVLQVTDSKIEIHA
+KLQECSNSEQLHEKEERVHEGSPLTEKENTEHATISTTDSASSVAVTVLEADRCGSATCL
+QQGALDVSQKLFAESQQPKSEKEREFIKDKSAVYANERKDNLKEPVITEEKELDGNHPSS
+LLNKTAVRDTPGFDHIKETNMQDGSVQIIKDHVTHCSFSFQNNLLYDLD
+>sp|Q0VBW8|NMS_BOVIN Neuromedin-S OS=Bos taurus OX=9913 GN=NMS PE=2 SV=1
+MKYLAQFPSILAIYCFCLLQIPSSGFPRPLADASDGLDIVKFEQMAYWASLSRQPKDNQD
+IYKRFLFHYSRTQEPAHPVKTGFPPVHPLMRLAAKLADRRMKTFWRRDSRATAADFTKKD
+YTATLGRPFFLFRPRNGRNLDFDTW
+>sp|Q0P5D8|NSUN3_BOVIN tRNA (cytosine(34)-C(5))-methyltransferase, mitochondrial OS=Bos taurus OX=9913 GN=NSUN3 PE=2 SV=1
+MVLTLLKAKPERKLAKQICKVVLDHFEKQYSKELGDAWNTVRDILTSPSCWQYAVLLNRF
+NYPFELEKDLHLKGYHSLLQGSLPYYPKSMKCYLSRTPHRMPSERHQTGNLKKYYLLNAA
+SLLPVLALELRDGEKVLDLCAAPGGKSLALLQCAYPGYLHCNEYDSLRLRWLRQTLESFI
+PQPLVNVIKVSELDGREMGDAQPETFDKVLVDAPCSNDRSWLFSSDSQKAACRISQRRNL
+PLLQMELLRSAIKALRPGGLLVYSTCTLSKAENQDVISEVLNSYSNIMPVDIKEMARTCS
+RDFTFAPTGQECGLLVIPDKGKAWGPMYVAKLKKSWTT
+>sp|Q3SZC2|PSB9_BOVIN Proteasome subunit beta type-9 OS=Bos taurus OX=9913 GN=PSMB9 PE=1 SV=1
+MLRTGAPNGDLPRAGEVHTGTTIMAVEFDGGVVVGSDSRVSAGEAVVNRVFDKLSPLHQH
+IYCALSGSAADAQAIADMAAYQLELHGMELEEPPLVLAAANVVRNITYKYREDLSAHLMV
+AGWDQREGGQVYGTMSGMLIRQPFAIGGSGSTYIYGYVDAAYKPGMSPEECRRFTTNAIA
+LAMKRDGSSGGVIYLATITGAGVDHRVILGDELPRFYDE
+>sp|P55859|PNPH_BOVIN Purine nucleoside phosphorylase OS=Bos taurus OX=9913 GN=PNP PE=1 SV=3
+MANGYTYEDYQDTAKWLLSHTEQRPQVAVICGSGLGGLVNKLTQAQTFDYSEIPNFPEST
+VPGHAGRLVFGILNGRACVMMQGRFHMYEGYPFWKVTFPVRVFRLLGVETLVVTNAAGGL
+NPNFEVGDIMLIRDHINLPGFSGENPLRGPNEERFGVRFPAMSDAYDRDMRQKAHSTWKQ
+MGEQRELQEGTYVMLGGPNFETVAECRLLRNLGADAVGMSTVPEVIVARHCGLRVFGFSL
+ITNKVIMDYESQGKANHEEVLEAGKQAAQKLEQFVSLLMASIPVSGHTG
+>sp|Q148G5|PROD_BOVIN Proline dehydrogenase 1, mitochondrial OS=Bos taurus OX=9913 GN=PRODH PE=2 SV=1
+MGLRRTSWMHFSALCRRAPLSTAPAAREQPAAGPGAEPVCGPAETARPPVPAVDFGNTQE
+AYRSRRSWELARSLLVLSLCASPALLARHEQLLHLARKLLGQRLFNTLMKMTFYGQFVAG
+EDQESIRPLIQHNRAFGVGSILDYGVEEDLTPEEAERTEMESCSSALERDGCGVSKREKQ
+FQAHRAFGDRRDGVTSARTYFYASEAKCDSHMETFLHCIEASGGASEDGFSAIKLTALGR
+PQFLLQFSDMLTKWRRFFHHVAAEQGKAGLAAVDTKLEVAALQESVVKMGIASRAEIEDW
+FTAETLGVSGTLDLLDWCSLIDSRTELSRHLVVPNMQTGRLEPLLSQFTEEEERQMTRML
+QRMDVLAKKANQVGVRLMVDAEQTYFQPAISRLTLEMQRRFNVERPLIFNTFQCYLRDAY
+DNVILDVELARREGWCFGAKLVRGAYMAQVGYEDPINPTYEATSAVYHRCLDYVLEELKH
+NARAAVMVASHNEDTVRFTLRRMEELGLHPADRQVYFGQLLGMCDHISFPLGQAGFPVYK
+YVPYGPVMEVLPYLSRRALENSGVMKGAQRERQLLWQELKRRLCTRSLFHQPA
+>sp|P23439|PDE6B_BOVIN Rod cGMP-specific 3',5'-cyclic phosphodiesterase subunit beta OS=Bos taurus OX=9913 GN=PDE6B PE=1 SV=1
+MSLSEGQVHRFLDQNPGFADQYFGRKLSPEDVANACEDGCPEGCTSFRELCQVEESAALF
+ELVQDMQENVNMERVVFKILRRLCSILHADRCSLFMYRQRNGVAELATRLFSVQPDSVLE
+DCLVPPDSEIVFPLDIGVVGHVAQTKKMVNVQDVMECPHFSSFADELTDYVTRNILATPI
+MNGKDVVAVIMAVNKLDGPCFTSEDEDVFLKYLNFGTLNLKIYHLSYLHNCETRRGQVLL
+WSANKVFEELTDIERQFHKAFYTVRAYLNCDRYSVGLLDMTKEKEFFDVWPVLMGEAQAY
+SGPRTPDGREILFYKVIDYILHGKEDIKVIPSPPADHWALASGLPTYVAESGFICNIMNA
+PADEMFNFQEGPLDDSGWIVKNVLSMPIVNKKEEIVGVATFYNRKDGKPFDEQDEVLMES
+LTQFLGWSVLNTDTYDKMNKLENRKDIAQDMVLYHVRCDREEIQLILPTRERLGKEPADC
+EEDELGKILKEVLPGPAKFDIYEFHFSDLECTELELVKCGIQMYYELGVVRKFQIPQEVL
+VRFLFSVSKGYRRITYHNWRHGFNVAQTMFTLLMTGKLKSYYTDLEAFAMVTAGLCHDID
+HRGTNNLYQMKSQNPLAKLHGSSILERHHLEFGKFLLSEETLNIYQNLNRRQHEHVIHLM
+DIAIIATDLALYFKKRTMFQKIVDESKNYEDRKSWVEYLSLETTRKEIVMAMMMTACDLS
+AITKPWEVQSKVALLVAAEFWEQGDLERTVLDQQPIPMMDRNKAAELPKLQVGFIDFVCT
+FVYKEFSRFHEEILPMFDRLQNNRKEWKALADEYEAKVKALEEDQKKETTAKKVGTEICN
+GGPAPRSSTCRIL
+>sp|Q32PB4|NUPR2_BOVIN Nuclear protein 2 OS=Bos taurus OX=9913 GN=NUPR2 PE=3 SV=1
+MEPAAPTVQPRAQPPPPDVWPPVGSEEEFYDCPDYYYLRDFPACGAGRINGRTRRERELR
+TNWLVPGGHERKIAQKLLNSQRKRRQRQLQPRPRTRLT
+>sp|P23726|P85B_BOVIN Phosphatidylinositol 3-kinase regulatory subunit beta OS=Bos taurus OX=9913 GN=PIK3R2 PE=1 SV=1
+MAGPEGFQYRALYPFRRERPEDLELLPGDVLVVSRAALQALGVAEGNERCPQSVGWMPGL
+NERTRQRGDFPGTYVEFLGPVALARPGPRPRGPRPLPARPRDGPPEPGLTLPDLPEQFSP
+PDVAPPILVKLVEAIERTGLDSYRPEPPAVRTDWSLSDVEQWDAAALSDGVKGFLLALPA
+PLVTPEAAAEAHRALREAAGPVGPALEPPTLPLHHALTLRFLLQHLGRVAGRAPAPGPAV
+RALGATFGPLLLRAPPPPSPPPGGAPDGTEPTPDFPALLVEKLLQEHLEEQEVAPPALPP
+KPPKTKPAPTGLANGGSPPSLQDAEWYWGDISREEVNEKLRDTPDGTFLVRDASSKIQGE
+YTLTLRKGGNNKLIKVFHRDGHYGFSEPLTFCSVVDLITHYRHESLAQYNAKLDTRLLYP
+VSKYQQDQIVKEDSVEAVGAQLKVYHQQYQDKSREYDQLYEEYTRTSQELQMKRTAIEAF
+NETIKIFEEQGQTQEKCSKEYLERFRREGNEKEMQRILLNSERLKSRIAEIHESRTKLEQ
+ELRAQASDNREIDKRMNSLKPDLMQLRKIRDQYLVWLTQKGARQKKINEWLGIKNETEDQ
+YSLMEDEDDLPHHEERTWYVGKINRTQAEEMLSGKRDGTFLIRESSQRGCYACSVVVDGD
+TKHCVIYRTATGFGFAEPYNLYGSLKELVLHYQHASLVQHNDALTVTLAHPVRAPGPGPP
+PAAR
+>sp|P67939|P53_BOVIN Cellular tumor antigen p53 OS=Bos taurus OX=9913 GN=TP53 PE=2 SV=1
+MEESQAELNVEPPLSQETFSDLWNLLPENNLLSSELSAPVDDLLPYTDVATWLDECPNEA
+PQMPEPSAPAAPPPATPAPATSWPLSSFVPSQKTYPGNYGFRLGFLQSGTAKSVTCTYSP
+SLNKLFCQLAKTCPVQLWVDSPPPPGTRVRAMAIYKKLEHMTEVVRRCPHHERSSDYSDG
+LAPPQHLIRVEGNLRAEYLDDRNTFRHSVVVPYESPEIDSECTTIHYNFMCNSSCMGGMN
+RRPILTIITLEDSCGNLLGRNSFEVRVCACPGRDRRTEEENLRKKGQSCPEPPPRSTKRA
+LPTNTSSSPQPKKKPLDGEYFTLQIRGFKRYEMFRELNDALELKDALDGREPGESRAHSS
+HLKSKKRPSPSCHKKPMLKREGPDSD
+>sp|Q2KJA4|OBF1_BOVIN POU domain class 2-associating factor 1 OS=Bos taurus OX=9913 GN=POU2AF1 PE=2 SV=1
+MLWQKPTAPEQAPAPPRPYQGVRVKEPVKELLRRKRGHASSGASVTPTAVVLPHQPLATY
+TTVGPSCLDMEVSASTVTEEGALCAGWLSQPAPAALQPLAPWTPYTEYVSHEAVSCPYSA
+DMYVQPVCPSYTVVGPSSVLTYASQPLITNVTTRSAAAPTVGPPLEGPEHQAPLTYFPWP
+QPLSTLPTSTLQYQPPAPALPGPQFVQLPISIPEPVLPDAEDPRRAIGSLTIDKLLLEEE
+DSDTYALNHTLSVEGF
+>sp|Q02370|NDUA2_BOVIN NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 2 OS=Bos taurus OX=9913 GN=NDUFA2 PE=1 SV=2
+MAAAAAIRGVRGKLGLREIRIHLCQRSPGSQGVRDFIEKRYVELKKANPDLPILIRECSD
+VQPKLWARYAFGQEKNVSLNNFSADQVTRALENVLSSKA
+>sp|Q8WMP9|PAG15_BOVIN Group XV phospholipase A2 OS=Bos taurus OX=9913 GN=PLA2G15 PE=1 SV=1
+MGCLCLYRSTLLTGGLLFLLMLADPAFPAGSRPPVVLVPGDMGNQLEAKLDKPSVVHYVC
+SKRTDHYFTLWLNLELLLPVIIDCWIDNVRLIYNQTSHTTQFPEGVDVRVPGFGDTFSME
+FLDPSKSSVGSYLHTMVESLVSWGYERGKDVRGAPYDWRRAPNENGPYFLALRKMIEEMY
+QLYGGPVVLVAHSMGNMYMLYFLQHQPQDWKDKYIRAFVALGPPWGGVPKTLRVLASGDN
+NRIPVIRSLKIRAQQRSAVSTTWLLPYSYTWSPQKVFVRTPKANYTLQDYRQFFQDIGFK
+DGWSMRQDTEGLVEATVPPGVRLHCLYGTGVPTPESFDYESFPDRDPKIHYGTGDGTVNL
+QSALHCHTWRGLQKQEVSLQALPGNEHIAMLANTTTLAYLKRVLLGP
+>sp|P06868|PLMN_BOVIN Plasminogen OS=Bos taurus OX=9913 GN=PLG PE=1 SV=2
+MLPASPKMEHKAVVFLLLLFLKSGLGDLLDDYVNTQGASLLSLSRKNLAGRSVEDCAAKC
+EEETDFVCRAFQYHSKEQQCVVMAENSKNTPVFRMRDVILYEKRIYLLECKTGNGQTYRG
+TTAETKSGVTCQKWSATSPHVPKFSPEKFPLAGLEENYCRNPDNDENGPWCYTTDPDKRY
+DYCDIPECEDKCMHCSGENYEGKIAKTMSGRDCQAWDSQSPHAHGYIPSKFPNKNLKMNY
+CRNPDGEPRPWCFTTDPQKRWEFCDIPRCTTPPPSSGPKYQCLKGTGKNYGGTVAVTESG
+HTCQRWSEQTPHKHNRTPENFPCKNLEENYCRNPNGEKAPWCYTTNSEVRWEYCTIPSCE
+SSPLSTERMDVPVPPEQTPVPQDCYHGNGQSYRGTSSTTITGRKCQSWSSMTPHRHLKTP
+ENYPNAGLTMNYCRNPDADKSPWCYTTDPRVRWEFCNLKKCSETPEQVPAAPQAPGVENP
+PEADCMIGTGKSYRGKKATTVAGVPCQEWAAQEPHQHSIFTPETNPQSGLERNYCRNPDG
+DVNGPWCYTMNPRKPFDYCDVPQCESSFDCGKPKVEPKKCSGRIVGGCVSKPHSWPWQVS
+LRRSSRHFCGGTLISPKWVLTAAHCLDNILALSFYKVILGAHNEKVREQSVQEIPVSRLF
+REPSQADIALLKLSRPAIITKEVIPACLPPPNYMVAARTECYITGWGETQGTFGEGLLKE
+AHLPVIENKVCNRNEYLDGRVKPTELCAGHLIGGTDSCQGDSGGPLVCFEKDKYILQGVT
+SWGLGCARPNKPGVYVRVSPYVPWIEETMRRN
+>sp|Q2KID6|PLRG1_BOVIN Pleiotropic regulator 1 OS=Bos taurus OX=9913 GN=PLRG1 PE=2 SV=1
+MVEEVQKHSVHTLVFRSLKRTHDMFVADNGKPVPLDEESHKRKMAIKLRNEYGPVLHMPT
+SKENLKEKVPQNASDSYGHKQYPANQGQEVEYLVTGTHPYPPGPGVALTADTKIQRMPSE
+SAAQSLAVALPASQARADANRPVPAGGEYRHPGAPDRAQPAGAVVMEGSNAKNSALMAKK
+APTMPKPQWHPPWKLYRVISGHLGWVRCIAVEPGNQWFVTGSADRTIKIWDLASGKLKLS
+LTGHISTVRGVIVSTRSPYLFSCGEDKQVKCWDLEYNKVIRHYHGHLSAVYGLDLHPTID
+VLVTCSRDSTARIWDVRTKASVHTLSGHTNAVATVRCQAAEPQIITGSHDTTIRLWDLVA
+GKTRVTLTNHKKSVRAVVLHPRHYTFASGSPDNIKQWKFPDGSFIQNLSGHNAIINTLTV
+NSDGVLVSGADNGTMHLWDWRTGYNFQRVHAAVQPGSLDSESGIFACAFDQSESRLLTAE
+ADKTIKVYKEDDTATEETHPVSWKPEIIKRKRF
+>sp|P06642|HBE2_BOVIN Hemoglobin subunit epsilon-2 OS=Bos taurus OX=9913 GN=HBE2 PE=2 SV=2
+MVHFTTEENVAVASLWAKVNVEVVGGESLARLLIVCPWTQRFFDSFGNLYSESAIMGNPK
+VKVYGRKVLNSFGNAIKHMDDLKGTFADLSELHCDKLHVDPENFRLLGNMILIVLATHFS
+KEFTPQMQAAWQKLTNAVANALTHKYH
+>sp|F1MVX2|LANC1_BOVIN Glutathione S-transferase LANCL1 OS=Bos taurus OX=9913 GN=LANCL1 PE=1 SV=2
+MAQRAFPNPYADYNKSLAEGYFDSAGRLTPEFSQRLNNKIRELLQQMERGLKSADPRDST
+VYTGWAGIAVLYLHLYDVFGDPNYLQMAHGYVKQSLNSLSKHSITFLCGDGGPLAVAAVV
+HHKMNNEKQAEECITRLIHLNKIDPHAPSEMLYGRMGYISALLFVNKNFGEEKIPQSHIQ
+QICETVLTSGEDLARKRRFTGKTPLMYEWYQEYYVGAAHGLAGIYYYLMQPSLQVSHAKL
+HNLVKPSVDYVCQLKFPSGNYPPCVDDSRDLLIHWCHGAPGVIYMLTQAYKVFKEERYLN
+DAYQCADVIWQYGLLKKGYGLCHGTAGNAYAFLSLYSLTQDAKYLYRACKFAEWCLDYGE
+HGCRTPDTPFSLFEGMAGTIYFLADLLVPTKARFPAFEL
+>sp|Q17QQ9|KRCC1_BOVIN Lysine-rich coiled-coil protein 1 OS=Bos taurus OX=9913 GN=KRCC1 PE=2 SV=1
+MKHSKKTYDSFQDELEDYIKVQKARGLEPKTCFRKMREDYLETYGYKEEVDSRPRCRMFE
+QRLPYGTVQTYPRSCSISQRVEKQLPQWLPAHDSRLRLDSLSYSQFTRDCFSGKPVPPNL
+SQHESNCSSYSVESGVYRHLSSENNTSAHQASYKHIHQKRKRHTEEGREKPEEERPKHKR
+KKACEEIDLDKYKSIQTSKTEAETVRVSTEKLKNRKEKRSRDVASKKEERKRRKEKKEQG
+QERTEEEMLWDQSILGF
+>sp|Q5E9P5|PAMR1_BOVIN Inactive serine protease PAMR1 OS=Bos taurus OX=9913 GN=PAMR1 PE=2 SV=1
+MELGWWPQLGLAFLQLLLISSLPREYTVINEACPGAEWNIMCRECCEYDQIKCECPGKKE
+VVGYTIPCCRNEENECDSCLIHPGCTIFENCKTCRNGSWGGTLDDFYVKGIYCAECRAGW
+YGGDCMRCGQVLRVPKGQILLESYPLNAHCEWTIHAKPGFIIQLRIVMLSLEFDYMCQYD
+YVEVRDGDSSDSQIIKRFCGNERPAPIRSTGSSLHILFHSDGSKNFDGFHAIFEEITACS
+SSPCFHDGTCLLDSTGSYKCACLAGYTGKHCENLLEERNCSDPGGPVNGYKKITGGPGLI
+HGHYAKIGTVLTFFCNSSYVLSGNEMRTCQQNGEWSGKQPICIKACREPKISDLVRRKVL
+PMQVQSRETPLHQLYSSAFSKQKLQDAPTKKPVLPFGDLPPGYQHLHTQLQYECISPFYR
+RLGSSRRTCLRTGKWSGRAPSCIPICGKTENVSAPKTQGTRWPWQAAIYRRAGGVHGGGL
+HKDAWFLVCSGALVNERTVVVAAHCVTDLGRVTVIKTADLKVVLGKFYRDDDRDEKSIQS
+LRISAIILHPNYDPILLDMDIAILKLLDKARMSTRVQPICLAAPRDLSTSFQESRITVAG
+WNVLADSRSPGYKDDMLRSGVVRVADSLLCEEQHEAQGIPVSVTDSMFCAGRDPTAPSDI
+CTAETGGIAAVSFPGRASPEPRWHLVGLVSWSYDKTCSHSLSTAFTKVLPFKDWIERNMK
+>sp|Q5E972|ORML2_BOVIN ORM1-like protein 2 OS=Bos taurus OX=9913 GN=ORMDL2 PE=2 SV=1
+MNVGVAHSEVNPNTRVMNSRGIWLAYIILVGLLHVVLLSIPFFSIPVVWTLTNVIHNLVM
+YVFLHTVKGTPFETPDQGKARLLTHWEQMDYGLQFTSSRKFLSISPIVLYLLASFYTKYD
+AAHFLINTASLLSVLLPKLPQFHGVRLFGINKY
+>sp|Q58CW4|NMUR2_BOVIN Neuromedin-U receptor 2 OS=Bos taurus OX=9913 GN=NMUR2 PE=2 SV=1
+MEKHENVSWMYQQELKDPFKKYLNNTDDYLALLCGPRRSHLFLPVTAVYALIFVVGVVGN
+LLVCLVILRHQTMKTPTNYYLFSLAVSDLLVLLLGMPLEVYEMWRNYPFLFGPVGCYFKT
+ALFETVCFASILSVTTVSVERYVAVLHPFRAKLKSTRGRALRILGIVWGLSVLFSLPNTS
+IHGIKLHYFPNGSIIPGSATCTVIKPMWIYNFIIQVTSLLFYILPMTVISVLYYLMGLKL
+KKDQHLEADKVTANIQRPSRKSVTKMLFVLVLVFAICWAPFHIDRLFFSFVEEWTEPLAA
+VFNLIHVVSGVFFYLSSAVNPIIYNLLSHRFQAAFRTVIPPSCQQQHSHNHSPGPSMQRN
+IFLTECHLVELTEDVAPQFPRQLSVLSSQLPTALCTGQVPRKELAKS
+>sp|Q3ZBD0|PSMD7_BOVIN 26S proteasome non-ATPase regulatory subunit 7 OS=Bos taurus OX=9913 GN=PSMD7 PE=2 SV=1
+MLELAVQKVVVHPLVLLSVVDHFNRIGKVGNQKRVVGVLLGSWQKKVLDVSNSFAVPFDE
+DDKDDSVWFLDHDYLENMYGMFKKVNARERIVGWYHTGPKLHKNDIAINELMKRYCPNSV
+LVIIDVKPKDLGLPTEAYISVEEVHDDGTPTSKTFEHVTSEIGAEEAEEVGVEHLLRDIK
+DTTVGTLSQRITNQVHGLKGLNSKLLDIRGYLEKVATGKLPINHQIIYQLQDVFNLLPDV
+SLQEFVKAFYLKTNDQMVVVYLASLIRSVVALHNLINNKIANRDAEKKEGQEKEDSKKDR
+KDDKEKEKEKSDVKKEEKKEKK
+>sp|Q3SWX0|NIPA2_BOVIN Magnesium transporter NIPA2 OS=Bos taurus OX=9913 GN=NIPA2 PE=2 SV=1
+MSQGHGKYDFYIGLGLAMSSSIFIGGSFILKKKGLLRLARKGSTRAGQGGHAYLKEWLWW
+AGLLSMGAGEVANFAAYAFAPATLVTPLGALSVLVSAILSSYFLNERLNLHGKIGCLLSI
+LGSTVMVIHAPKEEEIETLNEMSHKLGDPGFVVFATLVVIVSLILIFVVGPRHGQTNILV
+YITICSVIGAVSVSCAKGLGIAIKELFAGKPVLQHPLTWILLLSLIVCVSTQINYLNRAL
+DIFNTSIVTPIYYVFFTTSVITCSAILFKEWQDMPVDDVIGTLSGFFTIIVGIFLLHAFK
+DVSFSLSSLPVSFRKDEKAVNGSLSSMYEVLNNNEESLTCGIEQHTAENISRRNGNLTAF
+>sp|P11181|ODB2_BOVIN Lipoamide acyltransferase component of branched-chain alpha-keto acid dehydrogenase complex, mitochondrial OS=Bos taurus OX=9913 GN=DBT PE=1 SV=2
+MAAALVLRTWSRAAGQLICVRYFQTCGNVHVLKPKYVCFFGYPPFKYSHPYQWLKTTAAL
+QGQIVQFKLSDIGEGIREVTVKEWYVKEGDTVSQFDSICEVQSDKASVTITSRYDGVIKK
+LYYNLDDTAYVGKPLVDIETEALKDSEEDVVETPAVSHDEHTHQEIKGQKTLATPAVRRL
+AMENNIKLSEVIGSGKDGRILKEDILNYLEKQTGAILPPSPKAEIMPPPPKPKDRTIPIP
+ISKPPVFIGKDRTEPVKGFHKAMVKTMSAALKIPHFGYCDEVDLTELVKLREELKPIAFA
+RGIKLSFMPFFLKAASLGLLQFPILNASVDENCQNITYKASHNIGIAMDTEQGLIVPNVK
+NVQIRSIFEIATELNRLQKLGSAGQLSTNDLIGGTFTLSNIGSIGGTYAKPVILPPEVAI
+GALGTIKALPRFNEKGEVCKAQIMNVSWSADHRIIDGATVSRFSNLWKSYLENPAFMLLD
+LK
+>sp|Q8MJG1|PSIP1_BOVIN PC4 and SFRS1-interacting protein OS=Bos taurus OX=9913 GN=PSIP1 PE=2 SV=2
+MTRDFKPGDLIFAKMKGYPHWPARVDEVPDGAVKPPTNKLPIFFFGTHETAFLGPKDIFP
+YSENKEKYGKPNKRKGFNEGLWEIDNNPKVKFSSQQASAKQSNASSDVEVEEKETSVSKE
+DTDPEEKASNEDVTKAIDITTPKAARRGRKRKAEKQVETEEAGVVTTATASANLKVSPKR
+GRPAATEVKIPKPRGRPKMVKQPCPSESDMITEEDKSKKKGQEEKQPKKQLKKDEEGQKE
+EEKPRKEPDKKEGKKEVESKRKNLAKTGVTSTSDSEEEGDDQEGEKKRKGGRNFQTAHRR
+NMLKGQHEKEAADRKRKQEEQMETEQQNKDEGKKPEVKKVEKKRETSMDSRLQRIHAEIK
+NSLKIDNLDVNRCIEALDELASLQVTMQQAQKHTEMITTLKKIRRFKVSQVIMEKSTMLY
+NKFKNMFLVGEGDSVITQVLNKSLAEQRQHEEANKTKDQGKKGPNKKLEKEQTGSKTLNG
+GSDAQDSNQPQHNGDSNEESKDNHEASSKKKPSSEERETEISLKDSTLDN
+>sp|A7MBH3|NAIF1_BOVIN Nuclear apoptosis-inducing factor 1 OS=Bos taurus OX=9913 GN=NAIF1 PE=2 SV=1
+MAVPAKKRKMNFSEREVEIIVEELELKKHLLVNHFNAGVPLAAKSAAWHGILRRVNAVAT
+CRRELPEVKKKWSDLKTEVRRKVAQVRAAVEGGEAPGPTEEDGAGGPGTGGGSGAGGPAV
+APVLLTPMQQRICNLLGEATIISLPSTAEIHPVALGPTATAAAATVTLTQIPTETTYHTL
+EEGVVEYCTAEAPPPLPAEAPVEMMAQHADTSVKPQALKSRIALNSAKLIQEQRVTNLHV
+KEIAQHLEQQNDLLQMIRRSQEVQACAQERQAQAMEGTQAALSVLIQVLRPMIKDFRRYL
+QSNMPNPATASEPGQVAQNGQPDSIIQ
+>sp|Q0VCW8|PDCL3_BOVIN Phosducin-like protein 3 OS=Bos taurus OX=9913 GN=PDCL3 PE=2 SV=1
+MQDPNADTEWNDILRKKGILPSKEDLKDLEKEAEEEEQRILQQSIVKTYEDMTLEELEDN
+EDEFNEEDERAIEMYRQQRLAEWKATQLKNKFGEVLEISGKDYVQEVTKAGEGLWVILHL
+YKQGIPLCALINQHLSALARKFPDVKFIKAISTTCIPSYPDRNLPTVFVYLEGDIKAQFI
+GPLVFGGMNLTLDELEWKLSESGAIKTSLEENPKKPVEDVLLSAVRCSVPAKRDSDSEDD
+>sp|Q5E9Q4|NECP2_BOVIN Adaptin ear-binding coat-associated protein 2 OS=Bos taurus OX=9913 GN=NECAP2 PE=2 SV=1
+MEEAEYESVLCVKPDVHVYRIPPRATNRGYRAAEWQLDQPSWSGRLRITAKGQVAYIKLE
+DRTSGELFAQAPVDQFPGTAVESVTDSSRYFVIRIEDGNGRRAFIGIGFGDRGDAFDFNV
+ALQDHFKWVKQQCEFAKQAQNPDQGPKLDLSFKEGQTIKLNIASMKKKDGAAGTPRARPT
+STGGLSLLPPPPGAKTAALAPLSGEHLSVGGSVVQPAVSPSSGGATVSWPQPKPATTATA
+DIWGDFTKSTGSTSSQTQPGAGWVQF
+>sp|Q28146|NRX1A_BOVIN Neurexin-1 OS=Bos taurus OX=9913 GN=NRXN1 PE=1 SV=1
+MGTALLQRGGCFLLCLSLLLLGCWAELGSGLEFPGAEGQWTRFPKWNACCESEMSFQLKT
+RSARGLVLYFDDEGFCDFLELILTRGGRLQLSFSIFCAEPATLLTDTPVNDGAWHNVRIR
+RQFRNTTLFIDQVEAKWVEVKSKRRDMTVFSGLFVGGLPPELRAAALKLTLASVREREPF
+KGWIRDVRVNSSLALPVDSGEVKLDDEPPNSGGGSPCEAGEEGEGGVCLNGGVCSVVDDQ
+AVCDCSRTGFRGKDCSQEDNNVEGLAHLMMGDQGKSKEDNNVEGLAHLMMGDQGKEEYIA
+TFKGSEYFCYDLSQNPIQSSSDEITLSFKTLQRNGLMLHTGKSADYVNLALKNGAVSLVI
+NLGSGAFEALVEPVNGKFNDNAWHDVKVTRNLRQTSGIGHAMVNKLHCSVTISVDGILTT
+TGYTQEDYTMLGSDDFFYVGGSPSTADLPGSPVSNNFMGCLKEVVYKNNDVRLELSRLAK
+QGDPKMKIHGVVAFKCENVATLDPITFETPESFISLPKWNAKKTGSISFDFRTTEPNGLI
+LFSHGKPRHQKDAKHPQMIKVDFFAIEMLDGHLYLLLDMGSGTIKIKALQKKVNDGEWYH
+VDFQRDGRSGTISVNTLRTPYTAPGESQILDLDDELYLGGLPENKAGLVFPTEVWTALLN
+YGYVGCIRDLFIDGQSKDIRQMAEVQSTAGVKPSCSRETAKPCLSNPCKNNGMCRDGWNR
+YVCDCSGTGYLGRSCEREATVLSYDGSMFMKIQLPVVMHTEAEDVSLRFRSQRAYGILMA
+TTSRDSADTLRLELDAGRVKLTVNLDCIRINCNSSKGPETLFAGYNLNDNEWHTVRVVRR
+GKSLKLTVDDQQAMTGQMAGDHTRLEFHNIETGIITERRYLSSVPSNFIGHLQSLTFNGM
+AYIDLCKNGDIDYCELNARFGFRNIIADPVTFKTKSSYVALATLQAYTSMHLFFQFKTTS
+LDGLILYNSGDGNDFIVVELVKGYLHYVFDLGNGANLIKGSSNKPLNDNQWHNVMISRDT
+SNLHTVKIDTKITTQITAGARNLDLKSDLYIGGVAKETYKSLPKLVHAKEGFQGCLASVD
+LNGRLPDLISDALFCNGQIERGCEGPSTTCQEDSCSNQGVCLQQWDGISCDCSMTSFSGP
+LCNDPGTTYIFSKGGGQITYKWPPNDRPSTRADRLAIGFSTVQKEAVLVRVDSSSGLGDY
+LELHIHQGKIGVKFNVGTDDIAIEESNAIINDGKYHVVRFTRSGGNATLQVDSWPVIERY
+PAGNNDNERLAIARQRIPYRLGRVVDEWLLDKGRQLTIFNSQATIIIGGKEQGQPFQGQL
+SGLYYNGLKVLNMAAENDANIAIVGNVRLVGEVPSSMTTESTATAMQSEMSTSIMETTTT
+LATSTARRGKPPTKEPVSQTTDDILVASAECPSDDEDIDPCEPSSGGLANPTRAGGREPY
+PGSAEVIRESSSTTGMVVGIVAAAALCILILLYAMYKYRNRDEGSYHVDESRNYISNSAQ
+SNGAVVKEKQPSSAKSANKNKKNKDKEYYV
+>sp|Q3SYX0|NDRG1_BOVIN Protein NDRG1 OS=Bos taurus OX=9913 GN=NDRG1 PE=2 SV=1
+MSRELQDVDLAEVKPLVEKGETITGLLQEFDVQEQDIETLHGSIHVTLCGTPKGNRPVIL
+TYHDIGMNHKTCYNPLFNSEDMQEITQHFAVCHVDAPGQQDGAASFPTGYMYPSMDQLAE
+MLPGVLQQFGLKSIIGMGTGAGAYILTRFALNNPEMVEGLVLINVNPCAEGWMDWAASKI
+SGWTQALPDMVVSHLFGKEEMQNNVEVVHAYRHHVMNDMNPGNLQLFINAYNGRRDLEIE
+RPMPGAHTVTLQCPALLVVGDSSPAVDAVVECNSKLDPTKTTLLKMADCGGLPQISQPAK
+LAEAFKYFVQGMGYMPSASMTRLMRSRTASGSSVTSLEGARSRSHTSEGTRSRSHTSEGT
+RLDIIPNSGGPGSSAGPNSTEVSC
+>sp|Q5EAB6|PMGT1_BOVIN Protein O-linked-mannose beta-1,2-N-acetylglucosaminyltransferase 1 OS=Bos taurus OX=9913 GN=POMGNT1 PE=2 SV=1
+MDDWKPSPLIKPFGARKKRSWYLTWKYKLTNQRALRRFCQTGAVLFLLVTVIVNIKLILD
+TRRAISEASEDLEPEQDYDEALGRLEPPRRIGSGPRRVLDVEVYSSRSKVYVAVDGTTVL
+EDEAQEQGRGIHVIVLNQATGHVMAKRVFDTYSPHEDEAMVLFLNMVAPGRVLICTVKDE
+GSFHLKDTAKALLRSLGSQAGPALGWRDTWAFVGRKGGPVLGEKHSKSPALSSWGDPVLL
+KTDVPLSSAEEAECHWADTELNRRRRRFCSKVEGYGSVCSCKDPTPIEFSPDPLPDNKVL
+NVPVAVIAGNRPNYLYRMLRSLLSAQGVSPQMITVFIDGYYEEPMDVVALFGLRGIQHTP
+ISIKNARVSQHYKASLTATFNLFPEAKFAVVLEEDLDIAVDFFSFLSQSIHLLEEDDSLY
+CISAWNDQGYEHTAEDPALLYRVETMPGLGWVLRKSLYKEELEPKWPTPEKLWDWDMWMR
+MPEQRRGRECIIPDVSRSYHFGIVGLNMNGYFHEAYFKKHKFNTVPGVQLRNVDSLKKDA
+YEVEVHRLLSEAEVLDHSKNPCEDSFLPDTEGHTYVAFIRMEKDDDFTTWTQLAKCLRIW
+DLDVRGNHRGLWRLFRKKNHFLVVGVPASPYSMKKPPSITPIFLESPPKEEGGPGAAEQT
+>sp|Q647I9|NALP5_BOVIN NACHT, LRR and PYD domains-containing protein 5 OS=Bos taurus OX=9913 GN=NLRP5 PE=2 SV=1
+MREAKIAPLSNYGLQWCFEQLGKEEFQTFKALLKEHASESAACSFPLVQVDRADAESLAS
+LLHEHCRASLAWKTSTDIFEKMSLSALSEMARDEMKKYLLAEISEDSAPTKTDQGPSMKE
+VPGPREDPQDSRDYRIHVMTTFSTRLDTPQRFEEFASECPDAHALSGAFNPDPSGGFRPL
+TVVLHGPPGVGKSSLARRLLLFWAQGDLYKGLFSYVFLLRARDLQGSRETSFAELISKEW
+PDAPVPVEKVLSQPERLLIVVDGLEELELTFRDQDSSLLADWAERQPAPVLAHSLLKKVL
+LPECALLLTVQDAGLQRLQALLRSPRYLWVGGLSVENRMQLLLGGGKHCRRKTCAWHAGA
+DHQEVLDKCQVPVVCALVREALELQGEPGKGLPVPGHTLTGLYATFVFQRLAPKDAGWRA
+LSGEERGALKGLCRLAADGVWNAKFVFDGDDLGVHGLQGPELSALQQASILLPDGHCGRG
+HAFSHLSLQEFFAALFYVLRGVEGDGEGYPLFPQSTKSLTELRHIDLNVQLVQMKRFLFG
+LVSKEVMRALETLLGCPVRPVAKQQLLHWICLVGQHPAAAASPDLLEAFYCLFEAQDDEF
+VRLALNGFQEVWLQLNRPMDLTVSSFCLRRCQHLRKVRLDVRGTPKDEFAEAWSGAPQGL
+KIKTLDEHWEDLCSVLSTHPNLRQLDLSGSVLSKEAMKTLCVKLRQPACKIQNLIFKGAR
+VTPGLRHLWMTLIINRNITRLDLTGCRLREEDVQTACEALRHPQCALESLRLDRCGLTPA
+SCREISQVLATSGSLKSLSLTGNKVADQGVKSLCDALKVTPCTLQKLILGSCGLTAATCQ
+DLASALIENQGLTHLSLSGDELGSKGMSLLCRAVKLSSCGLQKLALNACSLDVAGCGFLA
+FALMGNRHLTHLSLSMNPLEDPGMNLLCEVMMEPSCPLRDLDLVNCRLTASCCKSLSNVI
+TRSPRLRSLDLAANALGDEGIAALCEGLKQKNTLTRLGLEACGLTSEGCKALSAALTCSR
+HLASLNLMRNDLGPRGMTTLCSAFMHPTSNLQTIGLWKEQYPARVRRLLEQVQRLKPHVV
+ISDAWYTEEEEDGPCWRI
+>sp|P61282|NEDD8_BOVIN NEDD8 OS=Bos taurus OX=9913 GN=NEDD8 PE=3 SV=1
+MLIKVKTLTGKEIEIDIEPTDKVERIKERVEEKEGIPPQQQRLIYSGKQMNDEKTAADYK
+ILGGSVLHLVLALRGGGGLRQ
+>sp|Q2NKS3|PSMG3_BOVIN Proteasome assembly chaperone 3 OS=Bos taurus OX=9913 GN=PSMG3 PE=2 SV=1
+MEGKPLLTSKQKTAVVCGVPTQVVCTAFSSHILVVVTQLGKMGTLVSLEPSSVTSDVGKP
+VLTTKVLLGKDEPLVHVFAKNLVAFVSQEAGNRAVLLALATKDKSMEAVKALQEVIQACQ
+VW
+>sp|Q5NDF2|PMGT2_BOVIN Protein O-linked-mannose beta-1,4-N-acetylglucosaminyltransferase 2 OS=Bos taurus OX=9913 GN=POMGNT2 PE=2 SV=1
+MHLSAVLNALLVSVLAAVLWKHVRLREHAASLEEELAVGRRAADPAPALRIDYPKALQIL
+TEGGTHMVCTGRTHTDRLCRFKWLCYSSEAEEFIFFHGNASVMLPSLGSRRFQPALLDLS
+TVEDHNTQYFNFVELPAAALRFMPKPVFVPDVALIANRFNPDNLMHVFHDDLLPLFYTLR
+QFPGLAREARLFFMEGWGEGAHFDLYKLLSPKQPLLRAQLKALGRLLCFSHAFVGLSKVT
+TWYQYGFVQPQGPKANILVSGNEIRQFAHFLMEKLNVSQAGGPLGEEYILVFSRTQNRLI
+LNEAELLLALAQEFQMKTVTVSLEDHAFADVVRLVSNASMLVSMHGAQLVTALFLPRGAA
+VVELFPYAVNPDHYTPYKTLATLPGMDLQYIAWQNTMPENTVTHPERPWDQGGIAHLDRA
+EQARILQSREVPRHLCCRNPEWLFRIYQDTKVDIPSLIQTIRRVVKGHPGPRKQKWTVSL
+YPGKVREARCQASVQGASEARLSVSWQIPWNLKYLKVREVKYEVWLQEQGENTYVPYMLA
+LQNHTFTENIKPFTTYLVWIRCIFNKTLLGPFADVLVCST
+>sp|P35705|PRDX3_BOVIN Thioredoxin-dependent peroxide reductase, mitochondrial OS=Bos taurus OX=9913 GN=PRDX3 PE=1 SV=2
+MAATAGRLFRASLIRHVSAIPWGISASAALRPAASRRMCLTNALWSGSDQAKFAFSTSSS
+YHAPAVTQHAPYFKGTAVVSGEFKEISLDDFKGKYLVLFFYPLDFTFVCPTEIIAFSDKA
+SEFHDVNCEVVAVSVDSHFSHLAWINTPRKNGGLGHMNIALLSDLTKQISRDYGVLLEGP
+GLALRGLFIIDPNGVIKHLSVNDLPVGRSVEETLRLVKAFQFVEAHGEVCPANWTPESPT
+IKPHPTASREYFEKVNQ
+>sp|Q28143|NRX3B_BOVIN Neurexin-3-beta OS=Bos taurus OX=9913 GN=NRXN3 PE=1 SV=2
+MHLRIHARRNPPRRPAWTLGIWSLFWGCIVSSVWSSSNVASSSSSPGSHSQHEHHFHGSK
+HHSVPISIYRSPVSLRGGHAGATYIFGKSGGLILYTWPANDRPSTRSDRLAVGFSTTVKD
+GILVRIDSAPGLGDFLQLHIEQGKIGVVFNIGTVDISIKEERTPVNDGKYHVVRFTRNGG
+NATLQVDNWPVNEHYPTGNTDNERFQMVKQKIPFKYNRPVEEWLQEKGRQLTIFNTQAQI
+AIGGKDKGRLFQGQLSGLYYDGLKVLNMAAENNPNIKINGSVRLVGEVPSILGTTQTTSM
+PPEMSTTVMETTTTMATTTTRKNRSTASIQPTSDDLVSSAECSSDDEDFVECEPSTANPT
+EPGVRRVPGASEVIRESSSTTGMVVGIVAAAALCILILLYAMYKYRNRDEGSYQVDETRN
+YISNSAQSNGTLLKEKPPSSKGGHKKQKNKDKEYYV
+>sp|Q2KJ24|MTM9L_BOVIN Myotubularin-related protein 9-like OS=Bos taurus OX=9913 GN=MTMR9L PE=2 SV=1
+MEFAEMIRTGQAQAELLRGPEEPPLRGTLCITGHHLLLSPGPQATPDLWLLLLRNVDSIE
+KRVAGDSGTITLRCKDLRVLQLDIEGVEATLDIARSIEALSSLESVITSFPFFYRPKGLR
+LGDAWHFHPPECYYKRVARETNAWRLSEANEDFSLCPSYPRAVIVPRAVDDSAVARSARF
+RQGGRFPVLSYYHAPSGTVLLRAGQPLTGPQKRRCSEDEELLRAVLAGARPGARGFIVDT
+RSPQAAKQARMTGGGTEAKAAYPGWKRLHRPLERGRPLQESFVRLVEACGDLEQSMDRWL
+NRLESCRWLSHVKETLSTACLAAQSMEQEGACILVHGAEGTDSTLLITSLAQLILDPLSR
+TMAGFQELIEREWVQAGHPFQLRCAHSAFSHARPKHEAPTFLLFLDCVWQLGRQFPLSLE
+FGEGMLLALFDHAYASPFGTFLCNNEKERCLCEVRTRTHSLWSGLSQPKEQRKLRNPLYV
+PNPLAIWPSAEPQSLRLWQGLFLRGTRPPEPSEVAWEKVWQIVTDQEKTEGSQPTDSASE
+PGP
+>sp|P55943|MT2H_BOVIN Metallothionein-II, hippocampal OS=Bos taurus OX=9913 PE=1 SV=1
+MDPNCSCATGGSCTCANSCTCKACKCASCKKSCCSCCPVGCAKCAQGCICKGASDKCSCC
+A
+>sp|P56701|PSMD2_BOVIN 26S proteasome non-ATPase regulatory subunit 2 OS=Bos taurus OX=9913 GN=PSMD2 PE=1 SV=2
+MEEGGRDKAPLQPQQPPATSPGSGDEKPSGKERRDAGDKDKEQELSEEDKQLQDELEMLV
+ERLGEKDTSLYRPALEELRRQIRSSTTSMTSVPKPLKFLRPHYGKLKEIYENMAPGENKR
+FAADIISVLAMTMSGERECLKYRLVGSQEELASWGHEYVRHLAGEVAKEWQELDDAEKTQ
+REPLLTLVKEIVPYNMAHNAEHEACDLLMEIEQVDMLEKDIDENAYAKVCLYLTSCVNYV
+PEPENSALLRCALGVFRKFSRFPEALRLALMLNDMELVEDIFTSCKDVVVQKQMAFMLGR
+HGVFLELSEDVEEYEDLTEIMSNVQLNSNFLALARELDIMEPKVPDDIYKTHLENNRFGG
+SGSQVDSARMNLASSFVNGFVNAAFGQDKLLTDDGNKWLYKNKDHGMLSAAASLGMILLW
+DVDGGLTQIDKYLYSSEDYIKSGALLACGIVNSGVRNECDPALALLSDYVLHNSNTMRLG
+SIFGLGLAYAGSNREDVLTLLLPVMGDSKSSMEVAGVTALACGMIAVGSCNGDVTSTILQ
+TIMEKSETELKDTYARWLPLGLGLNHLGKGEAIEAILAALEVVSEPFRSFANTLVDVCAY
+AGSGNVLKVQQLLHICSEHFDSKEKEEDKDKKEKKDKDKKEAPADMGAHQGVAVLGIALI
+AMGEEIGAEMALRTFGHLLRYGEPTLRRAVPLALALISVSNPRLNILDTLSKFSHDADPE
+VSYNSIFAMGMVGSGTNNARLAAMLRQLAQYHAKDPNNLFMVRLAQGLTHLGKGTLTLCP
+YHSDRQLMSQVAVAGLLTVLVSFLDVRNIILGKSHYVLYGLVAAMQPRMLVTFDEELRPL
+PVSVRVGQAVDVVGQAGKPKTITGFQTHTTPVLLAHGERAELATEEFLPVTPILEGFVIL
+RKNPNYDL
+>sp|Q3SZ07|OVCA2_BOVIN Esterase OVCA2 OS=Bos taurus OX=9913 GN=OVCA2 PE=2 SV=1
+MAAQPLLRILCLAGFRQSERGFREKTGALRKALRGRAELVCLSGPHPVVDAAGSEGARPD
+SGPCPPEEQPQGWWFSEQEADVFLALEEPTACRGLEEALETVAQALNKLGPFDGILGFSQ
+GAALAALVCALGQGGDPRFPLPRFVILVSGFCPRGLGLMEPIMQGPLSLPSLHVFGDTDG
+VIPSQESMQLCSRFDGAVTLTHSGGHFIPAAAPQRQAYLKFLDQFAD
+>sp|P79391|OLR1_BOVIN Oxidized low-density lipoprotein receptor 1 OS=Bos taurus OX=9913 GN=OLR1 PE=1 SV=1
+MTVDDPKGMKDQLDQKPNGKTAKGFVSSWRWYPAAVTLGVLCLGLLVTVILLILQLSQVS
+DLIKKQQANITHQEDILEGQILAQRRSEKSAQESQKELKEMIETLAHKLDEKSKKLMELH
+RQNLNLQEVLKEAANYSGPCPQDWLWHEENCYQFSSGSFNWEKSQENCLSLDAHLLKINS
+TDELEFIQQMIAHSSFPFWMGLSMRKPNYSWLWEDGTPLTPHLFRIQGAVSRMYPSGTCA
+YIQRGTVFAENCILTAFSICQKKANLLRAQ
+>sp|Q08DJ8|HSF1_BOVIN Heat shock factor protein 1 OS=Bos taurus OX=9913 GN=HSF1 PE=2 SV=1
+MDLPVGPGAAGPSNVPAFLTKLWTLVSDPDTDALICWSPSGNSFHVLDQGQFAKEVLPKY
+FKHSNMASFVRQLNMYGFRKVVHIEQGGLVKPERDDTEFQHPCFLRGQEQLLENIKRKVT
+SVSTLRSEDIKIRQDSVTKLLTDVQLMKGKQESMDSKLLAMKHENEALWREVASLRQKHA
+QQQKVVNKLIQFLISLVQSNRILGVKRKIPLMLNDGGPAHPMPKYGRQYSLEHIHGPGPY
+PAPSPAYSGSSLYSPDAVTSSGPIISDITELAPGSPVASSGGSVDERPLSSSPLVRVKEE
+PPSPPQSPRAEGASPGRPSSMVETPLSPTTLIDSILRESEPTPVASTTPLVDTGGRPPSP
+LPASAPEKCLSVACLDKTELSDHLDAMDSNLDNLQTMLTSHGFSVDTSTLLDLFSPSVTV
+PDMSLPDLDSSLASIQELLSPQEPPRPLEAEKSSPDSGKQLVHYTAQPLLLLDPGSVDVG
+SSDLPVLFELGEGSYFSEGDDYSDDPTISLLTGSEPPKAKDPTVS
+>sp|P0CB32|HS71L_BOVIN Heat shock 70 kDa protein 1-like OS=Bos taurus OX=9913 GN=HSPA1L PE=3 SV=1
+MAAAKGTAIGIDLGTTYSCVGVFQHGKVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQ
+VAMNPQNTVFDAKRLIGRKFNDPVVQSDMKLWPFQVINEGGKPKVMVSYKGEKKAFYPEE
+ISSMVLTKMKETAEAFLGYTVTNAVITVPAYFNDSQRQATKDAGVIAGLNVLRIINEPTA
+AAIAYGLDKAGQGERHVLIFDLGGGTFDVSVLTIDDGIFEVKATAGDTHLGGEDFDNRLV
+SHFVEEFKRKHKKDISQNKRAVRRLRTACERAKRTLSSSTQANLEIDSLYEGIDFYTSIT
+RARFEELCADLFRGTLEPVEKALRDAKMDKAKIHDIVLVGGSTRIPKVQRLLQDYFNGRD
+LNKSINPDEAVAYGAAVQAAILMGDKSEKVQDLLLLDVAPLSLGLETAGGVMTVLIKRNS
+TIPTKQTQIFTTYSDNQPGVLIQVYEGERAMTRDNNLLGRFDLTGIPPAPRGVPQIEVTF
+DIDANGILNVTAMDKSTGKANKITITNDKGRLSKEEIERMVLDAEKYKAEDEVQREKIAA
+KNALESYAFNMKSAVSDEGLQGKISESDKKKILSKCNEVLLWLEANQLAEKDEFDHKRKE
+LEQVCNPIITKLYQGGCTGPSCGTGYTPGRAATGPTIEEVD
+>sp|Q58DS6|JMJD6_BOVIN Bifunctional arginine demethylase and lysyl-hydroxylase JMJD6 OS=Bos taurus OX=9913 GN=JMJD6 PE=2 SV=1
+MNHKSKKRIREAKRSARPELKDSLDWTRHNYFESFPLNPAAVADNVERADALQLSVEEFV
+ERYERPYKPVVLLNAQEGWSAQEKWTLERLKRKYRNQKFKCGEDNDGYSVKMKMKYYIEY
+MESTRDDSPLYIFDSSYGEHPKRRKLLEDYKVPKFFTDDLFQYAGEKRRPPYRWFVMGPP
+RSGTGIHIDPLGTSAWNALVQGHKRWCLFPTSTPRELIKVTREEGGNQQDEAITWFNIIY
+PRTQLPTWPPEFKPLEILQKPGETVFVPGGWWHVVLNLDTTIAITQNFASSTNFPVVWHK
+TVRGRPKLSRKWYRILKQEHPELAVLADSVDLQESTGIASDSSSDSSSSSSSSSSDSDSE
+CESGSEGEGTMHRRKKRRTCGMVGNGDTTSQDDCVSKERSSSR
+>sp|Q06283|LYSC2_BOVIN Lysozyme C-2 OS=Bos taurus OX=9913 GN=LYZ2 PE=1 SV=2
+MKALVILGFLFLSVAVQGKVFERCELARTLKKLGLDGYKGVSLANWLCLTKWESSYNTKA
+TNYNPSSESTDYGIFQINSKWWCNDGKTPNAVDGCHVSCSELMENDIAKAVACAKHIVSE
+QGITAWVAWKSHCRDHDVSSYVEGCTL
+>sp|Q32PJ8|HDAC1_BOVIN Histone deacetylase 1 OS=Bos taurus OX=9913 GN=HDAC1 PE=2 SV=1
+MAQTQGTKRKVCYYYDGDVGNYYYGQGHPMKPHRIRMTHNLLLNYGLYRKMEIYRPHKAN
+AEEMTKYHSDDYIKFLRSIRPDNMSEYSKQMQRFNVGEDCPVFDGLFEFCQLSTGGSVAS
+AVKLNKQQTDIAVNWAGGLHHAKKSEASGFCYVNDIVLAILELLKYHQRVLYIDIDIHHG
+DGVEEAFYTTDRVMTVSFHKYGEYFPGTGDLRDIGAGKGKYYAVNYPLRDGIDDESYEAI
+FKPVMSKVMEMFQPSAVVLQCGSDSLSGDRLGCFNLTIKGHAKCVEFVKSFNLPMLMLGG
+GGYTIRNVARCWTYETAVALDTEIPNELPYNDYFEYFGPDFKLHISPSNMTNQNTNEYLE
+KIKQRLFENLRMLPHAPGVQMQAIPEDAIPEESGDEDEEDPDKRISICSSDKRIACEEEF
+SDSDEEGEGGRKNSSNFKKAKRVKTEDEKEKDPEEKKEVTEEEKTKEEKQEAKGVKEEVK
+LA
+>sp|Q148F8|HSPB6_BOVIN Heat shock protein beta-6 OS=Bos taurus OX=9913 GN=HSPB6 PE=2 SV=1
+MEIPVSVQPSWLRRASAPLPGLSAPGRLFDQRFGEGLLEAELAALCPAALAPYYLRAPSV
+ALPTAQVSTDPGHFSVLLDVKHFSPEEIAVKVVGDHVEVHARHEERPDEHGYIAREFHRR
+YRLPPGVDPAAVTSALSPEGVLSIQAAPAPAQAPLQSPPGAAAK
+>sp|Q5EAC9|HSPB8_BOVIN Heat shock protein beta-8 OS=Bos taurus OX=9913 GN=HSPB8 PE=2 SV=1
+MADGQMPFPCHYTSRRRRDPFRDSPLSSRLLDDGFGMDPFPDDLTASWPDWALPRLSSAW
+PGTLRSGMVPRGPTAMTRFGVPAEGRSPPPFPGEPWKVCVNVHSFKPEELMVKTKDGYVE
+VSGKHEEKQQEGGIVSKNFTKKIQLPAEVDPVTVFASLSPEGLLIIEAPQVPPYSPFGES
+SFNNELPQDGQEVTCT
+>sp|Q2KJ34|HBP1_BOVIN HMG box-containing protein 1 OS=Bos taurus OX=9913 GN=HBP1 PE=2 SV=1
+MVWEVKSNQMPDAVQKLLLVMDKRAPGVSDSLQLLQCKETLPSSPGYNSCDEHMELDDLP
+ELQAVQSDPTPSAIYQLSSDVSHQEYPRPSWNQNTSEISENTYRENEVDWLTELANIATS
+PQSPLMQCSFYNRSSPVHIIATSKSLHSYARPPPVASSKGEPAFPHHWKEQTPVRHERAN
+SESESGIFCMSSLSDDDDLGWCNSWPSTAWHCFLKGTRLCFHKGSNKEWQNVEDFARTEG
+CDNEEDLQMGTHKDYGSDGLKLLSHEESVSFGESVLKLTFDPGTVEDGLLTVECKLDHPF
+YVKNKGWSSFYPSLTVVQHGIPCCEIHIGDVCLPPGHPDAINFDDSGVFDTFKSYDFTPM
+DSSAVYVLSSMARQRRASLSCGGPGGQDFERSGFSKNCGSPGSSQLSSSSLYAKAVKTHS
+SGTVSATSPNKCKRPMNAFMLFAKKYRVEYTQMYPGKDNRAISVILGDRWKKMKNEERRM
+YTLEAKALAEEQKRLNPDCWKRKRTNSGSQQH
+>sp|P53710|ITA2_BOVIN Integrin alpha-2 (Fragment) OS=Bos taurus OX=9913 GN=ITGA2 PE=2 SV=1
+PLQLVLVFSQGILNCCVAYNVGLPKAKIFSGPSSEQFGYAVQQFINPKGNWLLVGSPWSG
+FPKNRMGDVYKCPVDLSTTTCEKLNLQTSTSMSNVTEMKTNMSLGLTLTRNVGTGGFLTC
+GPLWAQQCGSQYYTTGVCSDVSPDFQLRTSFAPAVQTCPSFIDVVVVCDESNSIYPWDAV
+KNFLEKFVQGLDIGPTKTQMGLIQYANNPRVVFNLNTFKSKDEMIKATSQTFQYGGDLTN
+TFKAIQYARDTAYSTAAGGRPGATKVMVVVTDGESHDGSKLKAVIDQCNKDNILRFGIAV
+LGYLNRNALDTKNLIKEIKAIASIPTERHFFNVSDEADLLEKAGTIGEQIFSIEGTVQGG
+DNFQMEMSQVGFSAEYSPQNNILMLGAVGAYDWSGTVVQKTPHGHLIFSKQAFEQILQDR
+NHSSYLGYSVASISTGNSVHFVAGAPRANYTGQIVLYSVNENGNVTVIQSQRGDQIGSYF
+GSVLCAVDVNKDTITDVLLVGAPMYMNDLKKEEGRVYLFTITKGILNWHQFLEGPNGLEN
+ARFGSAIAALSDINMDGFNDVIVGSPLENQNSGAVYIYNGHEGMIRLRYSQKILGSDRAF
+SSHLQYFGRSLDGYGDLNGDSITDVSVGAFGQVVQLWSQSIADVSVDASFTPKKITLLNK
+NAEIKLKLCFSAKFRPTNQNNQVAIVYNITIDEDQFSSRVISRGLFKENNERCLQKTMIV
+SQAQRCSEYIIHIQEPSDIISPLNLCMNISLENPGTNPALEAYSETVKVFSIPFHKDCGD
+DGVCISDLVLNVQQLPATQQQPFIVSNQNKRLTFSVQLKNKKESAYNTEIVVDFSENLFF
+ASWSMPVDGTEVTCQIASSQKSVTCNVGYPALKSKQQVTFTINFDFNLQNLQNQASISFR
+ALSESQEENMADNSVNLKLSLLYDAEIHITRSTNINFYEVSLDGNVSSVVHSFEDIGPKF
+IFSIKVTTGSVPVSMASVIIHIPQYTKDKNPLMYLTGVHTDQAGDISCEAEINPLKIGQT
+SSSVSFKSENFRHIKELNCRTASCSNIMCWLRDLQVKGEYFLNVSTRIWNGTFAASTFQT
+VQLTAAAEIDTYNPQIYVIEENTVTIPLTIMKPHEKVEVPTGVIVGSVIAGILLLLALVA
+ILWKLGFFKRKYEKMAKNPDETDETTELNS
+>sp|Q4TZY1|KCJ12_BOVIN ATP-sensitive inward rectifier potassium channel 12 OS=Bos taurus OX=9913 GN=KCNJ12 PE=2 SV=1
+MTASGRTNPYSIVSSEEDGLHLVTMSGANGFGNGKVHTRRRCRNRFVKKNGQCNIEFANM
+DEKSQRYLADMFTTCVDIRWRYMLLIFSLAFLASWLLFGVIFWVIAVAHGDLEPAEAHGR
+TPCVLQVHGFMAAFLFSIETQTTIGYGLRCVTEECPVAVFMVVAQSIVGCIIDSFMIGAI
+MAKMARPKKRAQTLLFSHNAVVALRDGKLCLMWRVGNLRKSHIVEAHVRAQLIKPRVTEE
+GEYIPLDQIDIDVGFDKGLDRIFLVSPITILHEIDEASPLFGISRQDLETDDFEIVVILE
+GMVEATAMTTQARSSYLANEILWGHRFEPVLFEEKNQYKIDYSHFHKTYEVPSTPRCSAK
+DLVENKFLLPSTNSFCYENELAFLSRDEEDEVDGEQDSLGPQARRDFDRPQAGTALEQRP
+YRRESEI
+>sp|P32592|ITB2_BOVIN Integrin beta-2 OS=Bos taurus OX=9913 GN=ITGB2 PE=1 SV=1
+MLRQRPQLLLLAGLLALQSVLSQECTNYKVSTCRDCIESGPGCAWCQKLNFTGQGEPDSI
+RCDTRAELLSKGCPADDIMEPKSLAETRDSQAGSRKQLSPQEVTLYLRPGQAVAFNVTFR
+RAKGYPIDLYYLMDLSYSMVDDLVNVKKLGGDLLRALNGITESGRIGFGSFVDKTVLPFV
+NTHPEKLRNPCPNKEKECQPPFAFRHVLKLTDNSKQFETEVGKQLISGNLDAPEGGLDAM
+MQVAACPEEIGWRNVTRLLVFATDDGFHFAGDGKLGAILTPNDGRCHLEDNLYKSSNEFD
+YPSVGQLAHKLAESNIQPIFAVTKKMVKTYEKLTEIIPKSAVGELSEDSRNVVELIKNAY
+NKLSSRVFLDHSTLPDTLKVTYDSFCSNGKSQVDQPRGDCDGVQINVPITFQVKVTATEC
+IQQQSFTIRALGFTDTVTVRVLPQCECQCRDASRDGSICGGRGSMECGVCRCDAGYIGKN
+CECQTQGRSSQELEGSCRKDNSSIICSGLGDCICGQCVCHTSDVPNKKIYGQFCECDNVN
+CERYDGQVCGGEKRGLCFCGTCRCDEQYEGSACQCLKSTQGCLNLDGVECSGRGRCRCNV
+CQCDPGYQPPLCSECPGCPVPCAGFAPCTECLKFDKGPFAKNCSAACGQTKLLSSPVPGR
+KCKERDSEGCWMTYTLVQRDGRDRYDVHVDDMLECVKGPNIAAIVGGTVGGVVLVGILLL
+VIWKALTHLSDLREYHRFEKEKLKSQWNNDNPLFKSATTTVMNPKFAES
+>sp|P01131|LDLR_BOVIN Low-density lipoprotein receptor OS=Bos taurus OX=9913 GN=LDLR PE=1 SV=2
+MRLAGWGLRWAIALLIAVGEAAVEDNCGRNEFQCQDGKCISYKWVCDGTAECQDGSDESQ
+ETCKSVTCKMGDFSCGGRVNRCISGSWRCDGQVDCENGSDEEGCSPKTCSQDEFRCNDGK
+CIAPKFVCDLDLDCLDGSDEASCPMPTCGPANFQCNSSMCIPQLWACDGDPDCDDGSDEW
+PKHCGTPHPSGPLQDNNPCSALEFHCGSGECIHSSWHCDHDPDCKDKSDEENCAVATCRP
+DEFQCSDGTCIHGSRQCDREPDCKDLSDELGCVNVTLCEGPNKFKCQSGECISLDKVCNS
+VRDCRDWSDEPLKDCGTNECLDNKGGCSHICNDLKIGYECLCPEGFQLVGKHRCEDIDEC
+QNPDTCSQLCVNLEGSYKCECEEGFRLEPLTKACKAVGTIAYLFFTNRHEVRKMTLDRSE
+YTSLIPNLKNVVALDTEVASNRIYWSDLSQRKIYSAQIDGAPGFSSYDTVIGEDLQAPDG
+LAVDWIHSNIYWTDSILGTVSVADTKGVKRKTLFQEEGSKPRAIVVDPVHGFMYWTDWGA
+PAEIKKGGLNGVDVYSLVTEDIQWPNGITLDLSGGRLYWVDSKLHSISSIDVNGGNRKTV
+LEDKKKLAHPFSLAIFEDKVFWTDVINEAIFSANRLTGSDISLMAENLLSPEDIVLFHNL
+TQPRGVNWCERTALRNGGCQYLCLPAPQINPRSPKFTCACPDGMLLAKDMRSCLTESESA
+VTTRGPSTVSSTAVGPKRTASPELTTAESVTMSQQGQGDIASQADTERPGSVGALYIVLP
+IALLILLAFGTFLLWKNWRLKSINSINFDNPVYQKTTEDEVHICRSQDGYTYPSRQMVSL
+EDDVA
+>sp|Q148I1|PAAF1_BOVIN Proteasomal ATPase-associated factor 1 OS=Bos taurus OX=9913 GN=PAAF1 PE=2 SV=1
+MAAPLRIQSDWAQALRKDEGEAWLSCHPPGKPTLYGSLTCQGIGLDGIPEVTASEGFIVN
+EINKKSIHVSCPKENVSSKFLAPYTTFSRIHTKSITCLDISSGGGLGVSSSADGSMKIWQ
+ASNGELRRVLEGHVFDVNCCRFFPSGLVVLSGGMDAQLKIWSAEDASCVVTFKGHKGGIL
+DTAIVDRGKNVVSGSRDGTARLWDCGRSACLGVIADCGSSINGVAVGAADNSINLGSPEQ
+MPSEREVGTESKMLLLAREDKKLQCLGLQSRQPLFLFIGSDAFNCCTFLSGFLLLAGTQD
+GNIYQLDVRNPRTPVQVIHRSGAPVMSLLSFRDGFIASQGDGSCFIVQQDLDYVIELTGA
+DCDPVYKVATWEKQIYTCCRDGLVRRYQLSDL
+>sp|A7MBC0|NAGA_BOVIN N-acetylglucosamine-6-phosphate deacetylase OS=Bos taurus OX=9913 GN=AMDHD2 PE=2 SV=1
+MRGGQGAARAPVIQFTNCRILRGGALLREDLWVRGGRILDPEKLFFEERRVADEQRDCGG
+CILAPGFIDVQINGGFGVDFSQASEDVGSGVALVARRILSHGVTSFCPTLVTSPLEVYHK
+VLPQIPVKSGGPHGAGVLGVHLEGPFISREKRGAHPEAHLRSFEADAFQDVLATYGGLDN
+VRIVTLAPELGHSQEVIRALTALGICVSLGHSVADLGTAEEAVQSGATFITHLFNAMLPF
+HHRDPGIVGLLTSDRLPAGRHIFYGMIADGIHTNPAALRIAHRAHPKGLVLVTDAVPALG
+LGNGRHTLGQQEVEVDGLTAYVAGTNTLSGSIAPMDTCVRHFLQATGCSVESALEAASLH
+PAQLLGLEKRKGTLDFGADADFVVLDDSLHVRATYISGELVWQVEEARP
+>sp|Q28147|PP1R8_BOVIN Nuclear inhibitor of protein phosphatase 1 OS=Bos taurus OX=9913 GN=PPP1R8 PE=1 SV=1
+MAAAANSGSSLPLFDCPTWAGKPPPGLHLDVVKGDKLIEKLIIDEKKYYLFGRNPDLCDF
+TIDHQSCSRVHAALVYHKHLKRVFLIDLNSTHGTFLGHIRLEPHKPQQIPIDSTVSFGAS
+TRAYTLREKPQTLPSAVKGDEKMGGEDDELKGLLGLPEEETELDNLTEFNTAHNKRISTL
+TIEEGNLDIQRPKRKRKNSRVTFSEDDEIINPEDVDPSVGRFRNMVQTAVVPVKKKRVEG
+PGSLVLEESGSRRMQNFAFSGGLYGGLPPTHSEAGSQPHGIHGTALIGGLPMPYPNLAPD
+VDLTPVVPSAVNMNPAPNPAVYNPEAVNEPKKKKYAKEAWPGKKPTPSLLI
+>sp|Q2M2U5|IQCF2_BOVIN IQ domain-containing protein F2 OS=Bos taurus OX=9913 GN=IQCF2 PE=2 SV=1
+MGVRFCKDGHVIQIIIENKEEVTMKKMKEQQKKREKTKNGRRVIAAKKIQAWWRGTLVRR
+TLLHAALSTWIIQSWWRLTKDRLLQKKRRAALSDYALRERAVVKLQSLVRMWRIHWRYCQ
+VLNAIYVIQCHWQCHNCQTCALLRGHCVVTATHLQFHIEIINP
+>sp|Q0P5C2|MTDC_BOVIN Bifunctional methylenetetrahydrofolate dehydrogenase/cyclohydrolase, mitochondrial OS=Bos taurus OX=9913 GN=MTHFD2 PE=2 SV=1
+MAAASFITSLVTRLLRSAQSGRLHQRPFHLSAVRNEAVVISGRKLAEQIKQEVRQEVEEW
+VASGNKRPHLSVVLVGENPASQSYVLNKTRAAASVGINSETILKPASISEEELLNLINKL
+NNDDNVDGLLVQLPLPEHIDERKVCNAVSPDKDVDGFHVINVGRMCLDQCSMLPATPWGV
+WEIIKRTGIPTLGKNVVVAGRSKNVGMPIAMLLHTDGAHERPGGDATVTISHRYTPKEEL
+KKHTALADIVISAAGIPNLITADMIKEGAAVIDVGINRIQDPITAKPKLVGDVDFEGVKK
+KAGYITPVPGGVGPMTVAMLMKNTIIAAKKVLRLEEQEVLKSKELGVASN
+>sp|Q17QJ7|P5CR2_BOVIN Pyrroline-5-carboxylate reductase 2 OS=Bos taurus OX=9913 GN=PYCR2 PE=2 SV=1
+MSVGFIGAGQLACALARGFTAAGILSAHKIIASSPEMDLPTVSALRKMGVNLTRSNKETV
+RHSDVLFLAVKPHIIPFILDEIGADVQARHIVVSCAAGVTISSVEKKLMAFQPAPKVIRC
+MTNTPVLVREGATVYATGTHALVEDGQLLEQLMSSVGFCTEVEEDLIDAVTGLSGSGPAY
+AFMALDALADGGVKMGLPRRLAVRLGAQALLGAAKMLLDSEQHPGQLKDNVCSPGGATIH
+ALHFLESGGFRSLLINAVEASCIRTRELQSMADQEKISPAALKKTLLDRVKLESPTVTTL
+TPTSSGKLLTRSPVPGGKKD
+>sp|Q3ZBE9|NSDHL_BOVIN Sterol-4-alpha-carboxylate 3-dehydrogenase, decarboxylating OS=Bos taurus OX=9913 GN=NSDHL PE=2 SV=1
+MEQAAGEPTRTCLTEDIPKAKRCTVIGGCGFLGQHMVEQLLARGYAVNVFDIRQGFDNPR
+VQFFLGDLCSQQDLYPALKGVSTVFHCASPPPFNNNKELFYRVNYIGTKNVIETCKEAGV
+QKLILTSSASVIFEGVDIKNGTEDLPYATKPIDYYTETKILQERAVLGAHDPEKNFLTTA
+IRPHGIFGPRDPQLVPILIEAAKKGKMKFMIGNGKNLVDFTFVENVVHGHILAAEHLSQD
+TALGGKAFHITNDEPIPFWTFLSRILTGLNYEAPKYHIPYWLAYYLALLVSLLVMVISPV
+IQLQPTFTPMRVALAGTFHYYSCEKAKKLMGYRPLVTMDDAVDKTVRSFHHLRKVM
+>sp|P32120|ARRB2_BOVIN Beta-arrestin-2 OS=Bos taurus OX=9913 GN=ARRB2 PE=1 SV=1
+MGEKPGTRVFKKSSPNCKLTVYLGKRDFVDHLDKVDPVDGVVLVDPDYLKDRKVFVTLTC
+AFRYGREDLDVLGLSFRKDLFIANYQAFPPTPNPPRPPTRLQERLLRKLGQHAHPFFFTI
+PQNLPCSVTLQPGPEDTGKACGVDFEIRAFCAKSLEEKSHKRNSVRLVIRKVQFAPEKPG
+PQPSAETTRHFLMSDRSLHLEASLDKELYYHGEPLNVNVHVTNNSTKTVKKIKVSVRQYA
+DICLFSTAQYKCPVAQVEQDDQVSPSSTFCKVYTITPLLSNNREKRGLALDGKLKHEDTN
+LASSTIVKEGANKEVLGILVSYRVKVKLVVSRGGDVSVELPFVLMHPKPHDHIALPRPQS
+AATHPPTLLPSAVPETDAPVDTNLIEFETNYATDDDIVFEDFARLRLKGLKDEDYDDQFC
+>sp|P35445|COMP_BOVIN Cartilage oligomeric matrix protein OS=Bos taurus OX=9913 GN=COMP PE=1 SV=2
+MVLAAARVLLLTLAALGASGQGQMPLGGDLGPQMLRELQETNAALQDVRDLLRQQVKEIT
+FLKNTVMECDACGMQPARTPKLTVRPLSQCSPGFCFPGVACTETANGARCGPCPEGFTGN
+GSHCADVNECTAHPCFPRVRCINTSPGFRCEACPPGFSGPTHEGVGLAFAKANKQVCTDI
+NECETGQHNCVPNSVCVNTVGSFQCGPCQPGFVGDQASGCRRRPQRFCPDGTPSPCHEKA
+DCVLERDGSRSCVCAVGWAGNGLICGRDTDLDGFPDEKLRCSERQCRKDNCVTVPNSGQE
+DVDQDGIGDACDPDADGDGVLNEKDNCPLVRNPDQRNTDGDKWGDACDNCRSQKNDDQKD
+TDKDGRGDACDDDIDGDRIRNPVDNCPKVPNSDQKDTDGDGVGDACDNCPQKSNADQRDV
+DHDFVGDACDSDQDQDGDGHQDSKDNCPTVPNSAQQDSDHDGQGDACDDDDDNDGVPDSR
+DNCRLVPNPGQEDMDRDGVGDACQGDFDADKVVDKIDVCPENAEVTLTDFRAFQTVVLDP
+EGDAQIDPNWVVLNQGMEIVQTMNSDPGLAVGYTAFNGVDFEGTFHVNTATDDDYAGFIF
+GYQDSSSFYVVMWKQMEQTYWQANPFRAVAEPGIQLKAVKSSTGPGEQLRNALWHTGDTA
+SQVRLLWKDPRNVGWKDKTSYRWFLQHRPQVGYIRVRFYEGPELVADSNVILDTTMRGGR
+LGVFCFSQENIIWANLRYRCNDTIPEDYEAQRLLQA
+>sp|Q95323|CAH4_BOVIN Carbonic anhydrase 4 OS=Bos taurus OX=9913 GN=CA4 PE=2 SV=1
+MRLLLALLVLAAAPPQARAASHWCYQIQVKPSNYTCLEPDEWEGSCQNNRQSPVNIVTAK
+TQLDPNLGRFSFSGYNMKHQWVVQNNGHTVMVLLENKPSIAGGGLSTRYQATQLHLHWSR
+AMDRGSEHSFDGERFAMEMHIVHEKEKGLSGNASQNQFAEDEIAVLAFMVEDGSKNVNFQ
+PLVEALSDIPRPNMNTTMKEGVSLFDLLPEEESLRHYFRYLGSLTTPTCDEKVVWTVFQK
+PIQLHRDQILAFSQKLFYDDQQKVNMTDNVRPVQSLGQRQVFRSGAPGLLLAQPLPTLLA
+PVLACLTVGFLR
+>sp|P32236|GNRHR_BOVIN Gonadotropin-releasing hormone receptor OS=Bos taurus OX=9913 GN=GNRHR PE=2 SV=1
+MANSDSPEQNENHCSAINSSIPLTPGSLPTLTLSGKIRVTVTFFLFLLSTIFNTSFLLKL
+QNWTQRKEKRKKLSRMKLLLKHLTLANLLETLIVMPLDGMWNITVQWYAGELLCKVLSYL
+KLFSMYAPAFMMVVISLDRSLAITKPLAVKSNSKLGQFMIGLAWLLSSIFAGPQLYIFGM
+IHLADDSGQTEGFSQCVTHCSFPQWWHQAFYNFFTFSCLFIIPLLIMVICNAKIIFTLTR
+VLHQDPHKLQLNQSKNNIPRARLRTLKMTVAFATSFTVCWTPYYVLGIWYWFDPDMVNRV
+SDPVNHFFFLFAFLNPCFDPLIYGYFSL
+>sp|A1A4L6|ETS2_BOVIN Protein C-ets-2 OS=Bos taurus OX=9913 GN=ETS2 PE=2 SV=1
+MNDFGIKNMDQVAPVASSYRGTLKRQAAFDTFDGSLLAVFPSLNEEQTLQEVPTGLDSIS
+HDSANCELPLLTPCSKAVMSQALKATFSGFKKEQRRLGIPKNPWLWTEQQVCQWLLWATN
+EFSLVDVNLQRFGMTGQVLCNLGKERFLELAPDFVGDILWEHLEQMIKENQEKNEDQYEE
+NSHLNSVPHWINSNSLGFGVEQAPYGMQTQSYPKGGLLDGLCPASSAPSTLGPEQDFQMF
+PKARLNTVSVNYCSVGQDFPAGSLNLLSSASGKPRDHDSAETGGDSFESSESLLQSWNSQ
+SSLLDVQRVPSFESFEDDCSQSLGLSKPTMSFKDYIQDRSDPVEQGKPVIPAAVLAGFTG
+SGPIQLWQFLLELLSDKSCQSFISWTGDGWEFKLADPDEVARRWGKRKNKPKMNYEKLSR
+GLRYYYDKNIIHKTSGKRYVYRFVCDLQNLLGFTPEELHAILGVQPDTED
+>sp|P0DPE2|EFCE2_BOVIN EEF1AKMT4-ECE2 readthrough transcript protein OS=Bos taurus OX=9913 GN=EEF1AKMT4-ECE2 PE=1 SV=1
+MACLGPSAQVPELPEKNCGYREVQYWDQRYQGAADSAPYEWFGDFSCFRDLLEPELRPLD
+RILVLGCGNSALSYEIFLGGFPDVTSVDYSSVVVAAMRARYAHVPTLRWETMDVRALGFP
+SGSFDVVLEKGTLDALLTGEQDPWTVSSEGVHTVDQVLNEAGFRKRTSRLLGLHTQLELV
+LAGVSLLLAALLLGCLVALGVQYHRDPSHSTCLTEACIRVAGKILESLDRGVSPCEDFYQ
+FSCGGWIRRNPLPDGRSRWNTFNSLWDQNQAILKHLLENTTFNSSSEAERKTQRFYLSCL
+QVERIEELGAQPLRDLIDKIGGWNVTGPWDQDNFMEVLKAVAGTYRATPFFTVYVSADSK
+SSNSNIIQVDQSGLFLPSRDYYLNRTANEKVLTAYLDYMEELGMLLGGQPTSTREQMRQV
+LELEIQLANITVPQDQRRDEEKIYHKMSIAELQALAPSMDWLEFLSFLLSPLELGDSEPV
+VVYGTDYLQQVSELINRTEPSVLNNYLIWNLVQKTTSSLDHRFESAQEKLLETLYGTKKS
+CTPRWQTCISNTDDALGFALGSLFVKATFDRQSKEIAEGMISEIRAAFEEALGHLVWMDE
+KTRQAAKEKADAIYDMIGFPDFILEPKELDDVYDGYEVSEDSFFQNMLNLYNFSAKVMAD
+QLRKPPSRDQWSMTPQTVNAYYLPTKNEIVFPAGILQAPFYTCNHPKALNFGGIGVVMGH
+ELTHAFDDQGREYDKEGNLRPWWQNESLAAFRNHTACIEEQYSQYQVNGEKLNGRQTLGE
+NIADNGGLKAAYNAYKAWLRKHGEEQQLPAVGLTNHQLFFVGFAQVWCSVRTPESSHEGL
+VTDPHSPARFRVLGTLSNSRDFLRHFGCPVGSPMNSGQLCEVW
+>sp|Q29RQ3|DC1I1_BOVIN Cytoplasmic dynein 1 intermediate chain 1 OS=Bos taurus OX=9913 GN=DYNC1I1 PE=1 SV=1
+MSDKSDLKAELERKKQRLAQIREEKKRKEEERKKKEADMQQKKEPVPDDSDLDRKRRETE
+ALLQSIGISPEPPLVPTPMSPSSKSVSTPSEAGSQDSGDLGPLTRRRLHKLGVSKITQVD
+FLPREVVSYSKETQTPLATHQSEEDEDDEEMVEPKGDQDSEQENEDKKQEVKEAPPRELT
+EEEKQQILHSEEFLIFFDRTIRVIERALAEDSDIFFDYSGRELEEKDGDVQAGANLSFNR
+QFYDEHWSKHRVVTCMDWSLQYPELMVASYNNNEDAPHEPDGVALVWNMKFKKTTPEYVF
+HCQSSVMSVCFARFHPNLVVGGTYSGQIVLWDNRSHRRTPVQRTPLSAAAHTHPVYCVNV
+VGTQNAHNLITVSTDGKMCSWSLDMLSTPQESMELVYNKSKPVAVTGMAFPTGDVNNFVV
+GSEEGTVYTACRHGSKAGIGEVFEGHQGPVTGINCHMAVGPIDFSHLFVTSSFDWTVKLW
+TTKHNKPLYSFEDNADYVYDVMWSPVHPALFACVDGMGRLDLWNLNNDTEVPTASVAIEG
+ASALNRVRWAQGGKEVAVGDSEGRIWIYDVGELAVPHNDEWTRFARTLVEIRANRADSEE
+EGAVELSA
+>sp|A5PJI7|EI2BG_BOVIN Translation initiation factor eIF-2B subunit gamma OS=Bos taurus OX=9913 GN=EIF2B3 PE=2 SV=1
+MEFQAVVMAVGGGSRMTDLTSSIPKPLLPVGNKPLIWYPLNLLERVGFEEVIVITTKDVQ
+KALCADFNKMKMKLDIVCIPDEADMGTADSLRHIYQKLKTDVLVLSCDLITDVALHEVVD
+LFRAHDASLAMLMRKGQESLEPVPGQKGKKKAVEQRDFVGVDSTGKRLLFMANEADLDEE
+LIIKGSILQKHPRIRFHTGLVDAHLYCLKKYVVDFLMENKSITSIRSELIPYLVRKQFSS
+ASSQQGQEEKEEDLKKKELKSLDIYSFIKEANTLTLAPYDTCWNACRGDSWEGLSRSQVR
+CYVHIMKEGLCSRVSTLGLYMEANRQVSKLLPVICPEESLIHSSAQIVSKHMVGADSLIG
+PDTQVGEKSSIKHSVIGSSCVIRDRVTVTNCLLMNSVTVEEGSNIQSSIICNDAVIEKGA
+DIKNCLIGSGQRIEAKAKRVNVIVGNDQFLEI
+>sp|Q1RMU4|ERLN2_BOVIN Erlin-2 OS=Bos taurus OX=9913 GN=ERLIN2 PE=2 SV=1
+MAQLGAVVAVAASFFCASLFSAVHKIEEGHIGVYYRGGALLTSTSGPGFHLMLPFITSYK
+SVQTTLQTDEVKNVPCGTSGGVMIYFDRIEVVNFLVPHAVYDIVKNYTADYDKALIFNKI
+HHELNQFCSVHTLQEVYIELFDQIDENLKLALQQDLTSMAPGLVIQAVRVTKPNIPEAIR
+RNYELMESEKTKLLIAAQKQKVVEKEAETERKKALIEAEKVAQVAEITFGQKVMEKETEK
+RISEIEDAAFLAREKAKADAECYTAMKIAEANKLKLTPEYLQLMKYKAIASNSKIYFGKD
+IPNMFMDSAGGVGKQFEGLADKLSFVLEDEPMEADSEN
+>sp|Q3SZ68|LTOR5_BOVIN Ragulator complex protein LAMTOR5 OS=Bos taurus OX=9913 GN=LAMTOR5 PE=3 SV=1
+MEATLEQHLEDTMKNPSIVGVLCTDSQGLNLGCRGTLSDEHAGVISVLAQQAAKLTSDPT
+DIPVVCLESDNGNIMIQKHDGITVAVHKMAS
+>sp|A0JN95|MET15_BOVIN Probable methyltransferase-like protein 15 OS=Bos taurus OX=9913 GN=METTL15 PE=2 SV=1
+MLRYPYFCRIHKNFLSCWLESGIYNLGVWPKKIHATAERYNEYEAQEETDQTGIQELHRS
+QDRDSGVMTKLHIPVMVDEVVRCLAPQKGQVFLDMTFGSGGHTRAILQKEPDITLYALDR
+DPTAYAIAEQLSELYPKQIRAILGQFSQAEALLMKAGVQPGTLDGVLLDLGCSSMQLDTP
+ERGFSLRKDGPLDMRMDGDRYPDMPTAADVVNALDQQALASILRAYGEEKHAKKIASAII
+QARGLYPITRTQQLASIVAGAFPPSALYARKDLLQRPTHIATKTFQAFRIFVNNELNELY
+TGLKTAQKFLRPGGHLVALSFHSLEDRIIKRFLLGISMTERFNLSARQKVIQKSQLDSDQ
+ENKEGVSTGKAPLMWKLIHKKVLTPEDEDVQDNPRGRSAKLRAAIKL
+>sp|F1MYR9|KCNJ5_BOVIN G protein-activated inward rectifier potassium channel 4 OS=Bos taurus OX=9913 GN=KCNJ5 PE=1 SV=2
+MAGDSRNAMNQDMEIGVTPRDPKKIPKQARDYIPIATDRTRLLSEGKKPRQRYMEKSGKC
+NVHHGNVQETYRYLSDLFTTLVDLKWRFNLLVFTMVYTITWLFFGFIWWLIAYIRGDLDH
+VGDREWIPCVENLSGFVSAFLFSIETETTIGYGFRVITEKCPEGIVLLLVQAILGSIVNA
+FMVGCMFVKISQPKKRAETLMFSNNAVISLRDEKLCLMFRVGDLRNSHIVEASIRAKLIK
+SRQTKEGEFIPLNQTDINVGFDTGDDRLFLVSPLIICHEINEKSPFWEMSRAQLTQEEFE
+VVVILEGMVEATGMTCQARSSYMDTEVLWGHRFTPVLTLEKGFYEVDYNTFHDTYETNTP
+SCCAKELAEMKREGRLLQYHPSPPLPGGCVGAELGAEAEQEGEEEPEGLSGSQETKDSA
+>sp|P01001|ISK6_BOVIN Serine protease inhibitor Kazal-type 6 OS=Bos taurus OX=9913 GN=SPINK6 PE=1 SV=3
+MKTSGVFLLLSLALFCFFSGVFGQGAQVDCAEFKDPKVYCTRESNPHCGSDGQTYGNKCA
+FCKAVMKSGGKINLKHRGKC
+>sp|Q0IIC2|KLD10_BOVIN Kelch domain-containing protein 10 OS=Bos taurus OX=9913 GN=KLHDC10 PE=2 SV=1
+MSAAQGWDRNRRRGGGAAGGGGGGSGAGGGSGGNGGRGTGQLNRFVQLSGRPHLPGKKKI
+RWDPVRRRFIQSCPIIRIPNRFLRGHRPPPARSGHRCVADNTNLYVFGGYNPDYDESGGP
+DNEDYPLFRELWRYHFATGVWHQMGTDGYMPRELASMSLVLHGNNLLVFGGTGIPFGESN
+GNDVHVCNVKYKRWALLSCRGKKPSRIYGQAMAIINGSLYVFGGTTGYIYSTDLHKLDLN
+TREWTQLKPNNLSCDLPEERYRHEIAHDGQRIYILGGGTSWTAYSLNKIHAYNLETNAWE
+EIATKPHEKIGFPAARRCHSCVQIKNDVFICGGYNGEVILGDIWKLNLQTFQWVKLPATM
+PEPVYFHCAAVTPAGCMYIHGGVVNIHENKRTGSLFKIWLVVPSLLELAWEKLLAAFPNL
+ANLSRTQLLHLGLTQGLIERLK
+>sp|A7E316|PACER_BOVIN Protein associated with UVRAG as autophagy enhancer OS=Bos taurus OX=9913 GN=RUBCNL PE=2 SV=1
+MVSQSSGRQDSPVDPWEGVSDDPGNTDGLPSLLDTEHPFCPSDIRFTRHRAAWINPPCAQ
+QQLQDASPQVLAVGNRESHTASNTKSPLGTSPLSLGDSVVETSLPKGTTDSLGSSSAWGT
+AGNGSDSSVTLKEERAGLPRRCPHTSLITSSKTVSGPPCPEDGRAFFKPSQLTASADADA
+VQVGGRTVSSNSFSPEAFVLPVDAEKENAHFYVADMIISVMEKMKCNILSQQHTETWSTE
+EAGRSLGNSRADLEGTFYTHVKQESGSSTSSDSGYEGCVLQVSPVVETPTFSEVTEEDCK
+CDFDDFVIVELGDFSNTTEPCGCSSDTSKSVIHEPNFNSAELIAKELYRVFRKCWMLAEV
+HYQLTGSLDAAGSIVINEERVQKDFESSTDVVQEIKLKSRIRGTGDWAPPRFQIIFDIHP
+PLKRDLVVIAQNFFCAGCGTPIEPKFVKRLRYCEYLGKYFCDCCHSYSESCIPARILRMW
+DFRKYYVSNFSKRLLDHIWHEPIFNLLHVSHGLYTKAKELDRVREIQEQLFHIKKLLKTC
+RFAESTLKEFEQLPGHLTEALHLFSLEDMVKVKKGLLAPLLKDILKASLEHVASCELCQG
+KGFICEFCRSTAVIFPFQTATCRRCSACRACFHKQCFQSSKCPRCARITARRRLLESLPS
+AAT
+>sp|Q3SYT7|PSMD8_BOVIN 26S proteasome non-ATPase regulatory subunit 8 OS=Bos taurus OX=9913 GN=PSMD8 PE=2 SV=3
+MAATAVNGVAGTSSSGSAAASGAILQAAAGMYEQLKGEWNRKSPNLSKCGEELGRLKLVL
+LELNFLPTTGTKLTKQQLILARDIQEIGAQWSILRKDIPSFERYMAQLKCYYFDYKEQLP
+ESAYMHQLLGLNLLFLLSQNRVAEFHTELERLPAKDIQTNVYIKHPVSLEQYLMEGSYNK
+VFLAKGNIPAESYTFFIDILLDTIRDEIAGCIEKAYEKILFTEATRILFFNTPKKMTDYA
+KKRGWVLGINNYYSFASQQQKPEDTTIPSTELAKQVIEYARQLEMIV
+>sp|Q06154|PMEL_BOVIN Melanocyte protein PMEL OS=Bos taurus OX=9913 GN=PMEL PE=2 SV=2
+MDLVLRKYLLHVALMGVLLAVGTTEGPRDRDWLGVSRQLRIKAWNRQLYPEWTESQGPDC
+WRGGHISLKVSNDGPTLIGANASFSIALHFPKSQKVLPDGQVIWANNTIINGSQVWGGQL
+VYPQEPDDTCIFPDGEPCPSGPLSQKRCFVYVWKTWDQYWQVLGGPVSGLSIGTDKAMLG
+TYNMEVTVYHRRGSQSYVPLAHSSSAFTITDQVPFSVSVSQLQALDGRNKRFLRKQPLTF
+ALQLHDPSGYLAGADLSYTWDFGDSTGTLISRALTVTHTYLESGPVTAQVVLQAAIPLTS
+CGSSPVPGTTDRHVTTAEAPGTTAGQVPTTEVMGTTPGQVPTAEAPGTTVGWVPTTEDVG
+TTPEQVATSKVLSTTPVEMPTAKATGRTPEVSTREPSGTTVTQGTTPELVETTAGEVSTP
+EPAGSNTSSFMPTEGTAGSLSPLPDDTATLVLEKRQAPLDCVLYRYGSFSLTLDIVQGIE
+SAEILQAVSSSEGDAFELTVSCQGGLPKEACMDISSPGCQLPAQRLCQPVPPSPACQLVL
+HQVLKGGSGTYCLNVSLADANSLAMVSTQLVMPGQEAGLRQAPLFVGILLVLTALLLASL
+IYRRRLMKQGSAVPLPQLPHGRTQWLRLPWVFRSCPIGESKPLLSGQQV
+>sp|Q2TBI1|NSE4A_BOVIN Non-structural maintenance of chromosomes element 4 homolog A OS=Bos taurus OX=9913 GN=NSMCE4A PE=2 SV=1
+MSGDSSGRRPEGRGRGRDPHRDRTRSRSRSRSPLSPGSRRGAAPERREAPERPGLEDTEP
+SDSGDEMIDPASLEEETDPSLCRQIRHQYRALINSVQQNREDILNASDKLTEVLEEANTL
+FNGVSRAREAVLDAHFLVLASDLGKEKAKQLRSDLNSFDMLRYVETLLTHMGVNPLEAEE
+LIRDEDSSDLEFIVYDSWKISGKTAENTFNKTHTFHFLLGSIQGECPVPKPRIERPRKVR
+MIEEQQAMPAQLKRMEESHQEATEKEVERILGLLQTYFQEDPDTPMSFFDFVVDPHSFPR
+TVENIFHVSFIIRDGFARIRLDRDRLPVIEPVNINEESGGNTQIRNQAIIALSYRDWEEI
+VRTFEISEPVITSSQSQQRLSA
+>sp|Q9TTF0|NR2E3_BOVIN Photoreceptor-specific nuclear receptor OS=Bos taurus OX=9913 GN=NR2E3 PE=1 SV=2
+MSSNVAAAVPAAVSASRKESPGRWGLGEEPTGVGPSLQCRVCGDSSSGKHYGIYACNGCS
+GFFKRSVRRRLIYRCQVGAGMCPVDKAHRNQCQACRLKKCLQAGMNQDAVQNERQPRSTA
+QVRMDSVESETEPRLQPLATPPALAGPSSRGPTPVSAARALGPQALMPPGHHHFMASLIT
+AETCTKLEPEDADENIDVTSNDPEFPSSPYSSSSPCALDSIHETSARLLFMAVKWAKNLP
+VFSNLPFRDQVILLEEAWSELFLLGAIQWSLPLDNCPLLALPEASAGGSSQGRLVLASAE
+TRILQETISRFRALAVDPTEFACMKALVLFKPETRGLKDPEHVEALQDQSQVMLSQHSKA
+HHPSQLVRFGKLLLLLPSLRFISSERVELLFFRKTIGNTPMEKLLCDMFKN
+>sp|Q95MI6|CRF_BOVIN Corticoliberin OS=Bos taurus OX=9913 GN=CRH PE=2 SV=2
+MRLRLLVSVGVLLVALLPSPPCRALLSRGPIPGARQASQHPQPLSFFQPPPQPQEPQALP
+TLLRVGEEYFLRLGNLDETRAAPLSPAASPLASRSSSRLSPDKVAANFFRALLQPRRPFD
+SPAGPAERGTENALGSRQEAPAARKRRSQEPPISLDLTFHLLREVLEMTKADQLAQQAHN
+NRKLLDIAGK
+>sp|Q17QJ3|DUS26_BOVIN Dual specificity protein phosphatase 26 OS=Bos taurus OX=9913 GN=DUSP26 PE=2 SV=1
+MCPGNWLWASVTFMARFSRSGSRSPVRVRGALEEPPAVQHPFLNVFELERLLYTGKTACN
+HADEVWPGLYLGDQEIANNHRELRRLGITHVLNASHSRWRGTPEAYEGLGIRYLGVEAHD
+SPAFDMSVHFQAAADFIHRALSQPGGRILVHCAVGVSRSATLVLAYLMLYHRLTLVEAIK
+KVKDHRGIIPNRGFLRQLLALDRRLRQGLEA
+>sp|Q3MHR2|DCK_BOVIN Deoxycytidine kinase OS=Bos taurus OX=9913 GN=DCK PE=2 SV=1
+MATPPKRSCPSPAASSEGTRIKKISIEGNIAAGKSTFVNILKQVCEDWEVVPEPVARWCN
+VQSTQDEFEELTTSQKSGGNVLQMMYEKPERWSFTFQSYACLSRIRAQLAALNGKLKDAE
+KPVLFFERSVYSDRYIFASNLYESDCMNETEWTIYQDWHDWMNNQFGQSLELDGIIYLRA
+TPEKCLNRIYLRGRNEEQGIPLEYLEKLHYKHESWLLHRTLKTNFDYLQEVPILTLDVNE
+DFKDKHDSLIEKVKDFLSTL
+>sp|A0JNI8|LHX9_BOVIN LIM/homeobox protein Lhx9 OS=Bos taurus OX=9913 GN=LHX9 PE=2 SV=2
+MEIVGCRAEDSSCPFRPPAMLFHGISGGHIQGIMEEMERRSKSEARLAKGAQLNGRDAGM
+PPLSPEKPALCAGCGGKIADRYYLLAVDKQWHLRCLKCCECKLALESELTCFAKDGSIYC
+KEDYYRRFSVQRCARCHLGISASEMVMRARDSVYHLSCFTCSTCNKTLTTGDHFGMKDSL
+VYCRAHFETLLQGEYPPQLSYTELAAKSGGLALPYFNGTGTVQKGRPRKRKSPALGVDIV
+NYNSGCNENEADHLDRDQQPYPPSQKTKRMRTSFKHHQLRTMKSYFAINHNPDAKDLKQL
+AQKTGLTKRVLQVWFQNARAKFRRNLLRQENGGVDKADGTSLPAPPSADSGALSPPGTAT
+TLTDLTNPSITVVTAVTSNMDSHESASPSQTTLTNLF
+>sp|A4FUD6|ELMO2_BOVIN Engulfment and cell motility protein 2 OS=Bos taurus OX=9913 GN=ELMO2 PE=2 SV=1
+MPPPSDIVKVAIEWPGANAQLLEIDQKRPLASIIKEVCDGWSLPNPEYYTLRYADGPQLY
+ITEQTRSDIKNGTILQLAISPSRAARQLMERTQSSSMETRLDAMKELAKLSADVTFATEF
+INMDGIVVLTRLVESGTKLLSHYSEMLAFTLTAFLELMDHGIVSWDMVSITFIKQIAGYV
+SQPMVDVSILQRSLAILESMVLNSQSLYQKIAEEITVGQLISHLQVSNQEIQTYAIALIN
+ALFLKAPEDKRQDMANAFAQKHLRSIILNHVIRGNRPIKTEMAHQLYVLQVLTFNLLEER
+MMTKMDPNDQAQRDIIFELRRIAFDADSDPSNAPGSGTEKRKAMYTKDYKMLGFTNHINP
+AMDFTQTPPGMLALDNMLYLAKVHQDTYIRIVLENSSREDKHECPFGRSAIELTKMLCEI
+LQVGELPNEGRNDYHPMFFTHDRAFEELFGICIQLLNKTWKEMRATAEDFNKVMQVVREQ
+ITRALPSKPNSLDQFKSKLRSLSYSEILRLRQSERMSQDDFQSPPIVELREKIQPEILEL
+IKQQRLNRLCEGSSFRKIGNRRRQERFWYCRLALNHKVLHYGDLDDNPQGEVTFESLQEK
+IPVADIKAIVTGKDCPHMKEKSALKQNKEVLELAFSILYDPDETLNFIAPNKYEYCIWID
+GLSALLGKDMSSELTKSDRDTLLSMEMKLRLLDLENIQIPEAPPPVPKEPSSYDFVYHYG
+>sp|Q2KIE4|MCTS1_BOVIN Malignant T-cell-amplified sequence 1 OS=Bos taurus OX=9913 GN=MCTS1 PE=2 SV=1
+MFKKFDEKENVSNCIQLKTSVIKGIKNQLIEQFPGIEPWLNQIMPKKDPVKIVRCHEHIE
+ILTVNGELLFFRQREGPFYPTLRLLHKYPFILPHQQVDKGAIKFVLSGANIMCPGLTSPG
+AKLYPAAVDTIVAIMAEGKQHALCVGVMKMSAEDIEKVNKGIGIENIHYLNDGLWHMKTY
+K
+>sp|Q2TBU5|NT5D1_BOVIN 5'-nucleotidase domain-containing protein 1 OS=Bos taurus OX=9913 GN=NT5DC1 PE=2 SV=1
+MAQHFSLAACDVVGFDLDHTLCCYNLPESARLIYNSFAQFLVKEKGYDKGLLTVTPEDWD
+FCCKGLALDLEDGNFIKLADNGTVLRASHGTKMLSAEALAEEFGRKEWKHFMPDTGMAFR
+SGKYYFYDNYFDLPGALLCARVVDSLTKQNNGQKPFDFWKDIVAGIQHNYKMSAFKENCG
+IYFPEIKRDPGKYLHTCPESVKKWLRQLKNAGKILMLITSSHSDYCRLLCQYILGNDFED
+LFDIVITNALKPGFFSHLPSQRPFRTLENDEEREALPFLDKPGWYSQGNAVHLYELLKKM
+TGKPEPKVVYFGDSMHSDIFPACHYSNWETVLILEELRGDKDGKPEESEPEEKKGKYEGS
+KAKPLNTSSKKWGSFFIDSVSGLENREDSLVYTWSCKRISAYSTIAIPSIEAIAELPLDY
+KFTRFSSNNSKTAGYYPNPPLVLSNAGKLTAK
+>sp|Q5EAD5|PGTB1_BOVIN Geranylgeranyl transferase type-1 subunit beta OS=Bos taurus OX=9913 GN=PGGT1B PE=2 SV=1
+MAATEDERPTGSGEGERLDFLRDRHVRFFQRCLQVLPERYSSLETSRLTIAFFALSGLDM
+LDSLDVVNKDDIIEWIYSLQVLPTEDRSNLNRCGFRGSSYLGIPFNPSKNPGTAHPYDSG
+HIAMTYTGLSCLVILGDDLSRVNKEACLAGLRALQLEDGSFCAVPEGSENDMRFVYCASC
+ICYMLNNWSGMDMKKAINYIRRSMSYDNGLAQGAGLESHGGSTFCGIASLCLMGKLEEVF
+SEKELNRIKRWCIMRQQNGYHGRPNKPVDTCYSFWVGATLKLLKIFQYTNFEKNRNYILS
+TQDRLVGGFAKWPDSHPDALHAYFGICGLSLMEESGICKVHPALNVSTRTSERLRDLHQS
+WKTKDSKQCSENVHIST
+>sp|Q3SZ19|PSMD9_BOVIN 26S proteasome non-ATPase regulatory subunit 9 OS=Bos taurus OX=9913 GN=PSMD9 PE=1 SV=1
+MSEKAGSQSGGSPEASGVTVSDVQELIRRKEEIEAQIKANYEVLESQKGIGMNEPLVDCE
+GYPRADVDLYQVRTARHNIVCLQNDHKAVMKQVEDALHQLHARDKEKQARDLAEAHREAL
+SRDQSQGLSPAQAFAKVNSISPGSPASIAGLQVDDEILEFGSVNTQNFQSLQNIGSVVQH
+SEGKPLNVTVMRRGEKHQLRLVPTRWAGKGLLGCNIIPLQR
+>sp|Q1RMU3|P4HA1_BOVIN Prolyl 4-hydroxylase subunit alpha-1 OS=Bos taurus OX=9913 GN=P4HA1 PE=1 SV=1
+MIWYILVVGILLPQSLAHPGFFTSIGQMTDLIHTEKDLVTSLKDYIKAEEDKLEQIKKWA
+EKLDRLTSTATKDPEGFVGHPVNAFKLMKRLNTEWSELENLVLKDMSDGFISNLTIQRQY
+FPNDEDQVGAAKALLRLQDTYNLDTDTISKGDLPGVKHKSFLTVEDCFELGKVAYTEADY
+YHTELWMEQALRQLDEGEVSTVDKVSVLDYLSYAVYQQGDLDKALLLTKKLLELDPEHQR
+ANGNLKYFEYIMAKEKDANKSSSDDQSDQKTTLKKKGAAVDYLPERQKYEMLCRGEGIKM
+TPRRQKKLFCRYHDGNRNPKFILAPAKQEDEWDKPRIIRFHDIISDAEIEVVKDLAKPRL
+RRATISNPITGDLETVHYRISKSAWLSGYENPVVSRINMRIQDLTGLDVSTAEELQVANY
+GVGGQYEPHFDFARKDEPDAFKELGTGNRIATWLFYMSDVLAGGATVFPEVGASVWPKKG
+TAVFWYNLFASGEGDYSTRHAACPVLVGNKWVSNKWLHERGQEFRRPCTLSELE
+>sp|Q2YDC9|PDCD2_BOVIN Programmed cell death protein 2 OS=Bos taurus OX=9913 GN=PDCD2 PE=2 SV=1
+MASESAGPAELGFVEAAPSWRLRSEQFPSKVGGRPAWLSAAGLPGPPELACPLCGRPMAF
+LLQVYAPLPGRADAFHRCLFLFCCRTPPCCCGLRVFRNQLPRQNDFYSYEPPSEDPPSET
+GESVYLHLKSGAHLCRVCGCSGPKRCSRCHKAHYCSKEHQSLDWRLGHKQACAQTDNLDN
+IVPDHNFLFPEFEIVIETEDEIMPEVVERDDESEIIGTMGEAHEEELESMAKHESKEDRI
+FRKFKTKISLEPEQILRYGRGIAPLWISGENTPKEKDIPDCPCGAKRLFEFQVMPQLLNY
+LKADRLGRSVDWGVLAIFTCAESCKLGIGYTEEFVWKQDITDAA
+>sp|Q9TQZ3|PMP22_BOVIN Peripheral myelin protein 22 OS=Bos taurus OX=9913 GN=PMP22 PE=1 SV=2
+MLLLLLGIIVLHVAVLVLLFVSTIVSQWMVGNGHATDLWQNCSTSLMGSVQHCFSSSANE
+WLQSVQATMILSIIFSVLSLFLFFCQLFTLTKGGRFYITGVFQILAGLCVMSAASIYTVR
+HPEWHFNSDGSYGFAYILAWVAFPLALLSGVIYVILRKRE
+>sp|Q0V8H6|MOV10_BOVIN Putative helicase MOV-10 OS=Bos taurus OX=9913 GN=MOV10 PE=2 SV=1
+MPSKFSCRQLRETGQRFENFLVDRGQDRETDRERLRTIYNQDFKTSFGTPAPGFSSMLYG
+MKIANLAYVTKTRVRFFGLDRWADVWFPEKRRMKPGLEMSKHHRSLLATIFHDRAEYMHG
+KHGVNVEVQGPHEARDGQLLIRLDLNRKEVLTLRLRNGGTQPVTLTHLFPFCRTPQFSFC
+NGDRELPCLLGPGECYELHVHCKTSFVGYFPATVLWELLGPGEPGSEGAGTFYIARFLAA
+VAHSPLAAQLKPTTPFKRTQVSRNPVVTRRIEEGERPDRAKNYDLEFSLPLGTYYPPPRL
+RQLLPVLLRGTSIFTAPKEIAEIKAQLQTTLKWRNYEVKLRLLLHLEELQMEHDIRHYDL
+ESVPMTWDPIDRNPRLLTLEVPGVAESRPSVLRGDHLFALLSSETHHEDPVTYKGFVHKV
+ELDRVKLSFSTSLLSRFVDGLTFKVNFTFNRQPLRVQHRALELTGRWPLEPMLFPVASRG
+VPLLPSDVKLKLYDRSLESNPEQLQAMKHIVMGTTRPAPYIIFGPPGTGKTVTLVEAIKQ
+VVKHLPKAHILACAPSNSGADLLCQRLRVHLPSSIYRLLAPSRDIHLVPEDIKPCCNWDA
+KKGDFVFPSKKKLQEYRVLITTLITASRLVSAQFPIDHFTHIFIDEAGHAMEPESLVAIA
+GLMEVKEADNPGGQLVLAGDPRQLGPVLRCPLTQKHGLGYSLLERLLTFNALYKKGPDGY
+NPQFITKLLRNYRSHPTILDVPNRLYYDGELQACADVVDRERFCRWEGLPRQDFPIIFHG
+VMGKDEREGNSPSFFNPEEAATVTSYLKQLLAPSSKKGKARLSPRSVGVISPYRKQVEKI
+RYCITKLDKQLRGLDDIKDLKVGSVEEFQGQERSVILISTVRSSQSFVQLDLDFNLGFLK
+NPKRFNVAVTRAKALLIVVGNPLLLGHDPDWKVFLEFCKENGGYTGCPFPAKLDLQQGQN
+LLQGLSKLSPSTSGLKSHDYLPQEREGEEGLSLQVEPEWRNEL
+>sp|Q9XTA2|PPCE_BOVIN Prolyl endopeptidase OS=Bos taurus OX=9913 GN=PREP PE=2 SV=1
+MLSFQYPDVYRDETAVQDYHGHKICDPYAWLEDPDSEQTKAFVEAQNKITVPFLEQCPIR
+GLYKERMTELYDYPKYSCNFKKGKRYFYFYNTGLQNQRVLYVQDSLEGEARVCLDPNTLS
+DDGTVALRGYAFSEDGEYVAYGLSASGSDWVTIKFMKVDGAKELADVLERVKFSCMAWTH
+DGKGMFYNAYPQQDGKSDGTETSTNLHQKLCYHVLGTDQSEDILCAEFPDEPKWMGGAEL
+SDDGRYVLLSIREGCDPVNRLWYCDLHQEPNGITGILKWVKLIDNFEGEYDYVTNEGTVF
+TFKTNRHSPNYRLINIDFTDPEESRWKVLVPEHEKDVLEWVACVRSNFLVLCYLHDVKNT
+LQLHDMATGALLKTFPLEVGSVVGYSGQKKDTEIFYQFTSFLSPGIIYHCDLTKEELEPR
+VFREVTVKGIDASDYQTVQIFYPSKDGTKIPMFIVHKKGIKLDGSHPAFLYGYGGFNISI
+TPNYSVCRLIFVRHMGGVLAVANIRGGGEYGETWHKGGILANKQNCFDDFQCAAEYLIKE
+GYTSPKRLTINGGSNGGLLVATCANQRPDLFGCVIAQVGVMDMLKFHKYTIGHAWTTDYG
+CSDNKQHFEWLIKYSPLHNVKLPEADDIQYPSMLLLTADHDDRVVPLHSPKFIATLQHLV
+GRSRKQNNPLLIHVDTKAGHGAGKPTAKVIEEVSDMFAFIARCLNIDWIQ
+>sp|Q8SPN1|PKR2_BOVIN Prokineticin receptor 2 OS=Bos taurus OX=9913 GN=PROKR2 PE=2 SV=1
+MAAQNGNASFPANFSIPQEHASSLPFNFSYDDYDLPLDEDEDMTKTQTFFAAKIVIGVAL
+VGIMLTCGIGNFVFITALTRYKKLRNLTNLLIANLAISDFLVAIICCPFEMDYYVVHQLS
+WEHGHVLCACINYLRTVSLYVSTNALLAIAIDRYLAIVHPLKPRMNYQTASFLIALVWMV
+SILISIPSAYFTKETVLFIVKNQKKIFCGQVWPVDQQLYYKSYFLFVFGIEFLGPVFTMT
+LCYARISRELWFKAVPGFQTEQIRKRLRCRRKTVLVLMCILTAYVLCWAPFYGFTIVRDF
+FPTVFVKEKHYLTAFYVVECIAMSNSMINTVCFVTVKNSTMKYFKKMLLLHWRPSHHGSK
+SSADLDLKTSRLPATEEVDCIRLK
+>sp|Q2KIT1|PKRI1_BOVIN PRKR-interacting protein 1 OS=Bos taurus OX=9913 GN=PRKRIP1 PE=2 SV=1
+MASSAASSVRPPRPKKEPQALIIPKNAAEEQKLKLERLMKNPDKAVPIPEKMSEWAPRPP
+PEFVRDVMGSSAGAGSGEFHVYRHLRRREYQRQDYMDAMAEKQKLEAEFQKRLERNKIAA
+EEQTAKRRKKRQKLKEKKLLAKKMKLEQKKQSEASSETQEQPSSGSEEASGTEDEEEDAP
+SFITGR
+>sp|A7E2Z9|K132L_BOVIN UPF0577 protein KIAA1324-like homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MLFLRPGPARGRGRGRPARAPHSGLSPPWSPAWICCWALAGCQAAWAGAGDLPSTSGRPL
+PPCLEKDYHFEYTECDSSGSRWRVAIPNSAVDCSGLPDPVRGKECTFSCASGEYLEMKNQ
+VCSKCGEGTYSLGSGIKFDEWDELPAGFSNVATFMDTVVGPSDSRPEGCNNSSWVPRGNY
+IESNRDDCTVSLIYAVHLKKSGYVFFEYQYVDNNIFFEFFIQNDQCQEMDTTADKWVKLT
+DNGEWGSHSVMLKSGTNILYWRTTGILMGSKAVKPVLVKNITIEGVAYTSECFPCKPGTF
+SDKPGSFICQVCPRNTYSEKGAKECIRCDEDSQFSEEGSSECMERPPCTSKDYFQIHTPC
+DEEGKTQIMYKWIEPKICREDLTDAIRLPPSGEKKDCPPCNPGFYNNGSSSCHPCPPGTF
+SDGTKECRSCPAGTEPALGFEYKWWNVLPGNMKTSCFNVGNSKCDGMNGWEVAGDHIQSG
+AGGSDNDYLILNLHIPGFKPPTSMTGAMGSELGRITFVFETLCSADCVLYFMVDINRKST
+NVVESWGGTKEKQAYTHVIFKNATFTFTWAFQRTNQGQDATTLRKKPTSAWSVHLIPTCP
+YIRSMARRLVFHVGLGVEALSNLSSVGSLMNGPSFTSKGTKYFHFFNISLCGHEGKKLAV
+CTNNITDFTVKEMVAGSDDYTNLVGAFVCQSTIIPSESKGFRAALSSQSIILADTFLGVT
+VETTLQNINIKEDMFPVSPSQIPDVHFFYKSSTTTTSCVNGRSTAVKMRCNPAKPGAGAI
+SVPSKCPAGTCDGCTFYFLWESVEACPLCTEHDFHEIEGACKRGFQETLYVWNEPKWCIK
+GISLPEKKLSTCETVDFWLKVGAGVGAFTAVLLVALTCYFWKKNQKLEYKYSKLVMTTNS
+KECELPAADSCAIMEGEDNEEEVVYSNKQSLLGKLKSLATKEKEDHFESVQLKSSRSPNI
+>sp|Q27956|LIF_BOVIN Leukemia inhibitory factor OS=Bos taurus OX=9913 GN=LIF PE=2 SV=1
+MKVLAAGVVPLLLVLHWKHGAGSPLPITPVNATCATRHPCPSNLMNQIRNQLGQLNSSAN
+SLFILYYTAQGEPFPNNLDKLCSPNVTDFPPFHANGTEKARLVELYRIIAYLGASLGNIT
+RDQKVLNPYAHGLHSKLSTTADVLRGLLSNVLCRLCSKYHVSHVDVTYGPDTSGKDVFQK
+KKLGCQLLGKYKQVIAVLAQAF
+>sp|Q3ZBW7|MFR1L_BOVIN Mitochondrial fission regulator 1-like OS=Bos taurus OX=9913 GN=MTFR1L PE=2 SV=1
+MEANVTIPIWQNKPHGAARSVVRRIGTNLPLKPCPRASFETLPNISDLCLRDVPPVPTLA
+DIAWIAADEEETYARVRSDTRPLRHTWKPSPLIVMQRNASVPNLRGSEERLLALKKPALP
+ALSRTTELQDELSHLRSQIAKIVAADAASASLTPDFLSPGSSNVSSPLPCFGSSFHSTTS
+FVISDITEETEIEVPELPSVPLLCSASPECCKPEHKATCSSSEEDDCVSLSKASSFADMM
+GILKDFHRMKQSQDLSRSSLKEEDPAVLISEVLRRKFALKEEDISRKGN
+>sp|Q5EAC2|GSHB_BOVIN Glutathione synthetase OS=Bos taurus OX=9913 GN=GSS PE=2 SV=1
+MATGWGSLLQDEQQLEELARQAVDRALAEGVLLRTSQAPSSSHVVSYAPFTLFPSPVPSA
+LLEQAYAVQADFNLLVDAVSQNAVFLEQTLSSTIKRDSFTARLFDIHKQVLKEGIAQTVF
+LGLNRSDYMFQCNPDGSAALKQIEINTVSASFGGLASRTPAVHRHVLSVLGKTKEAAKIL
+SNNPSKGLAMGIAKAWELYGSANAQVLLIAQEKERNIFDQRAIENELLARNIHVIRRKFE
+DVSEKGSLDQDRRLFMDGQEIAVVYFRDGYMPGHYSLQNWEARLLLERSCAVKCPDIATQ
+LAGTKKVQQELSRVGVLESFLPGQPEAVARLRATFAGLYSLDLGEEGDQAITKAIAAPSC
+FVLKPQREGGGNNLYGEEMVQALERLKDSEERASYILMEKIEPEPFRNCLLRPGSPARVI
+QCISELGIFGVYVREGKTLVMNKHVGHLLRTKAIEHADGGVAAGVAVLDNPYPV
+>sp|Q5E9J4|IFT22_BOVIN Intraflagellar transport protein 22 homolog OS=Bos taurus OX=9913 GN=IFT22 PE=2 SV=1
+MLKAKILFVGPCESGKTVLANFLTESSDITEYNPTQGVRILEFENPHVTSNNKGTGCEFE
+LWDCGGDPKFESCWPALMKDSHGVVIVFNADIPSHLKEIETWYSCFVQQQFLQNTQCLLI
+AHHKPGSGSDKDNPALAPPLNKLKLVHSNLEDDPEEIRMEFIKYLRSIINSVSESRDREE
+MSIIT
+>sp|P09428|IL1B_BOVIN Interleukin-1 beta OS=Bos taurus OX=9913 GN=IL1B PE=2 SV=2
+MATVPEPINEMMAYYSDENELLFEADDPKQMKSCIQHLDLGSMGDGNIQLQISHQFYNKS
+FRQVVSVIVAMEKLRNSAYAHVFHDDDLRSILSFIFEEEPVIFETSSDEFLCDAPVQSIK
+CKLQDREQKSLVLASPCVLKALHLLSQEMNREVVFCMSFVQGEERDNKIPVALGIKDKNL
+YLSCVKKGDTPTLQLEEVDPKVYPKRNMEKRFVFYKTEIKNTVEFESVLYPNWYISTSQI
+EERPVFLGHFRGGQDITDFRMETLSP
+>sp|Q05443|LUM_BOVIN Lumican OS=Bos taurus OX=9913 GN=LUM PE=1 SV=1
+MNLGVFPLLLALIGGASSTYPDYYEYYDFPQALYGRSSPNCAPECNCPESYPSAMYCDEL
+KLKSVPMVPPGIKYLYLRNNQIDHIDDKAFENVTDLQWLILDHNLLENSKIKGKVFSKLK
+QLKKLHINYNNLTESVGPLPKSLVDLQLTNNKISKLGSFDGLVNLTFIHLQHNQLKEDAV
+SAALKGLKSLEYLDLSFNQMTKLPSGLPVSLLTLYLDNNKISNIPDEYFKRFSALQYLRL
+SHNELADSGVPGNSFNVSSLLELDLSYNKLKSIPTVNENLENYYLEVNELEKFDVKSFCK
+ILGPLSYSKIKHLRLDGNHITQTSLPPDMYECLRVANEITVN
+>sp|Q32LD3|LYPD4_BOVIN Ly6/PLAUR domain-containing protein 4 OS=Bos taurus OX=9913 GN=LYPD4 PE=2 SV=1
+MGPQHLSPMQLLCLLGAISSLPWAEALLCYEATSSLFRAVGLHRWQWFLLRSMVCKLNEG
+CEETLVFIEAGTRKGILGFKGCSPASSYPPQVSYLVSPPGLSIASYSRVCRTYLCNNLTN
+MNAILHLKARTPKTLKSSSHSCPTCVGEHSKSCLPNFVSSESCPRDATKCYSSTVKFQAG
+FLNTTFLLMGCAREHTSVFAHFHHIGSIRVTEVINIVEKALFTGAGTPCRSPSWGILLGL
+LFAFKG
+>sp|Q28067|KCMB1_BOVIN Calcium-activated potassium channel subunit beta-1 OS=Bos taurus OX=9913 GN=KCNMB1 PE=1 SV=3
+MGKKLVMAQRRGETRALCLGVAMVVGAVITYYILGTTVLPLYQKSVWTQESTCHLIETNI
+RDQEELEGKRVPQYPCLWVNVSSVGRWAVLYHTEDTRDQNHQCSYIPSSLDNYQVARADV
+EKVRARFHENQDFFCFSTTRENETSVLYRRLYGPQSLLFSLFWPTFLLTGGLLIIVMVKI
+NQSLSILAAQR
+>sp|P0C0S4|H2AZ_BOVIN Histone H2A.Z OS=Bos taurus OX=9913 GN=H2AFZ PE=1 SV=2
+MAGGKAGKDSGKAKTKAVSRSQRAGLQFPVGRIHRHLKSRTTSHGRVGATAAVYSAAILE
+YLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDSLIKATIAGGGVIPHIHKSLIG
+KKGQQKTV
+>sp|Q4PJK1|KCAB1_BOVIN Voltage-gated potassium channel subunit beta-1 OS=Bos taurus OX=9913 GN=KCNAB1 PE=2 SV=1
+MQVSIACTEHNLKSRNGEDRLLSKQSSTAPNVVNAARAKFRTVAIIARSLGTFTPQHHIS
+LKESTAKQTGMKYRNLGKSGLRVSCLGLGTWVTFGGQISDEVAERLMTIAYESGVNLFDT
+AEVYAAGKAEVILGSIIKKKGWRRSSLVITTKLYWGGKAETERGLSRKHIIEGLKGSLQR
+LQLEYVDVVFANRPDSNTPMEEIVRAMTHVINQGMAMYWGTSRWSAMEIMEAYSVARQFN
+MIPPVCEQAEYHLFQREKVEVQLPELYHKIGVGAMTWSPLACGIISGKYGNGVPESSRAS
+LKCYQWLKERIVSEEGRKQQNKLKDLSPIAERLGCTLPQLAVAWCLRNEGVSSVLLGSST
+PEQLIENLGAIQVLPKMTSHVVNEIDNILRNKPYSKKDYRS
+>sp|Q2T9R2|KASH5_BOVIN Protein KASH5 OS=Bos taurus OX=9913 GN=CCDC155 PE=2 SV=2
+MDMPEDQAGGPTAKMYLWDQAEDRSLGTLLSLEEQILNSTFEACDPQRTGTVAVTHLLAY
+LEAVTGRGPQDARLQTLACSLDPSGEGPQATVDLDTFLVVMRDWITACQLDGGLELEEET
+AFEGALTSQQLPSGCPEVEDPANLESFGGEDPRPELPATADLLSSLEDLELSNRRLAGEN
+AKLQRSVETAEEGSARLGEEISALRKQLRSTQQALQLARGVDEELEDLKTLAKSLEEQNR
+SLLAQARHTEKEQQRLVAEMETLQEENGKLLAERDGVKRRSEELASEKDILKRQLYECEH
+LICQRDAILSERTRHAESLTKTLEEYRATTQELRLEISHLEEQLSQTQEGLDELSEGAQV
+RRVDCTNLLPPSLGVELQAIQQRNLQEESAHPQEGREEPSTRLPRREEEDGAEIQVMVDL
+PLHPEDSHPGDILGNPPESSPSEPELQQALVPMVKELVPVRRPVWGQLCLWPLHLRRLRV
+TRHLLIPAPLLGLLLLLLLSVLLLGQSPPPTWPHLQLCYLQPPPV
+>sp|Q3SZB8|H3CL_BOVIN Histone H3.3C-like OS=Bos taurus OX=9913 PE=2 SV=3
+MARTKRTAYKSTGGKVPRKQLVIEAAGKSAPSTSGMTKPHRYRPGTVALREIRPYQKSTH
+FLIRKLPFQRLVREIAQDFKTDLKFQSAAIRTLQEASEAYLVVFLESKQHSNLARMYSQN
+KSFPWLEGKRRQPQPM
+>sp|Q2YDM2|INT11_BOVIN Integrator complex subunit 11 OS=Bos taurus OX=9913 GN=INTS11 PE=2 SV=2
+MPEIRVTPLGAGQDVGRSCILVSIAGKNVMLDCGMHMGFSDDRRFPDFSYNTRSGRLTDF
+LDCVIISHFHLDHCGALPYFSEMVGYDGPIYMTQPTQAICPILLEDYRKIAVDKKGEANF
+FTSQMIKDCMKKVVAVHLHQTVQVDDELEIKAYYAGHVLGAAMFQIKVGSESVVYTGDYN
+MTPDRHLGAAWIDKCRPSLLITESTYATTIRDSKRCRERDFLKKVHETVERGGKVLIPVF
+ALGRAQELCILLETFWERMDLKAPIYFSTGLTEKANHYYKLFIPWTNQKIRKTFVQRNMF
+EFKHIKAFDRAFADSPGPMVVFATPGMLHAGQSLQIFRKWAGNEKNMVIMPGYCVQGTVG
+HKILSGQRKLEMEGRQVLEVKMQVEYMSFSAHADAKGIMQLVGQAEPENVLLVHGEAKKM
+EFLKQKIEQEFRVNCYMPANGETVTLPTSPSIPVGISLGLLKREMAQGLLPDAKKPRLLH
+GTLIMKDSNFRLVSSEQALKELGLAEHQLRFTCRVHLHDTRKEQEMAMRVYSHLKSVLKD
+HCVQHLPDGSVTVESILVQAAAHSEDPGTKVLLVSWTYQDEELGSYLTSLLKKGLPQAS
+>sp|Q58CP0|IDH3G_BOVIN Isocitrate dehydrogenase [NAD] subunit gamma, mitochondrial OS=Bos taurus OX=9913 GN=IDH3G PE=2 SV=1
+MALKVATAAGGAVKAALRPALLWRPWEVLGSHEAPRRSFSQQTIPPSAKYGGRHTVTMIP
+GDGIGPELMLHVKSVFRHACVPVDFEEVHVSSTADEEDIRNAIMAIRRNRVALKGNIETN
+HNLPPSHKSRNNILRTSLDLYANVIHCKSLPGVVTRHRDIDILIVRENTEGEYSSLEHES
+VAGVVESLKIITKAKSLRIAEYAFQLAQESGRKKVTAVHKANIMKLGDGLFLQCCREVAA
+RYPQITFENMIVDNTTMQLVSRPQQFDVMVMPNLYGNIVNNVCAGLVGGPGLVAGANYGH
+VYAVFETATRNTGKSIANKNIANPTATLLASCMMLDHLKLHSYATSIRKAVLASMDNENM
+HTPDIGGQGTTSEAIQDIIRHIRVINGRAVEA
+>sp|Q24JY7|I27L2_BOVIN Interferon alpha-inducible protein 27-like protein 2 OS=Bos taurus OX=9913 GN=IFI27L2 PE=2 SV=1
+MIKRAAAAAIGGALAVAAVPAVLGAVGFTGAGIAASSLAAKMMSAAAVANGGGVAAGSLV
+ATLQSVGAAGLSTSSNILLGSIGSAFGALLGGAKRASPSPPPGGPRPEGEQPGENVPQVE
+PPKSPLGPEKHEK
+>sp|P05786|K2C8_BOVIN Keratin, type II cytoskeletal 8 OS=Bos taurus OX=9913 GN=KRT8 PE=2 SV=3
+MSIRVTQKSYKVSTSAPRSFSSRSYTSGPGSRISSSAFSRVGSSSSFRGGLGTGMSMAGS
+YGGAPGLGGITAVTVNQSLLSPLKLEVDPNIQAVRTQEKEQIKTLNNKFASFIDKVRHLE
+QQNKVLETKWNLLQQQKTARSNIDNMFESYINNLRRQLETLAQEKLKLEVELGNMQGLVE
+DFKTKYEDEIQKRTDMENEFVIIKKDVDEAYMNKVELESRLEGLTDEINFYRQLYEEEIR
+EMQSQISDTSVVLEMDNNRNLDLDGIIAEVKAQYEEIANRSRAEAEAMYQIKYEELQTLA
+GKHGDDLRRTKTEISEMNRNINRLQAEIEGLKGQRASLEAAIADAEQRGEMAVKDAQAKL
+AELEAALRNAKQDMARQLREYQELMNVKLALDVEIATYRKLLEGEESRLESGMQNMSIHT
+KTTSGYAGGLTSSYGTPGFNYSLSPGSFSRTSSKPVVVKKIETRDGKLVSESSDVLSK
+>sp|Q58DK5|HEM2_BOVIN Delta-aminolevulinic acid dehydratase OS=Bos taurus OX=9913 GN=ALAD PE=2 SV=1
+MHPQSVLHSGYFHPLLRNWQTAATSLSASNLIYPIFVTDVPDDKQPIASLPGVARYGVNR
+LEEMLKPLVEEGLRCVLIFGVPSRVPKDERGSAADSEDSPAIEAIRLLRKNFPSLLVACD
+VCLCPYTSHGHCGLLSENGSFQAEESRQRLAEVALAYAKAGCQVVAPSDMMDGRVEAIKE
+ALMAHGFGNRVSVMSYSAKFASCFYGPFRDAAQSSPAFGDRRCYQLPPGARGLALRAVDR
+DVREGADLLMVKPGTPYLDIVREVKNKHPELPLAVYHVSGEFAMLWHGAQAGAFDLKAAV
+LEVMTAFRRAGADVIITYYTPQLLQWLKE
+>sp|P04263|K2CA_BOVIN Keratin, type II cytoskeletal 68 kDa, component IA (Fragment) OS=Bos taurus OX=9913 PE=2 SV=1
+DAEQHGEVALKDANAKLQDLKAALQQAKEDLARLLKEYQELMNVKLALDIEIATYRTLLE
+GEECRMSGECQSSVSIEMVHNTTSSSSGGSGALGGGAGGRGGLGSGGLGSGSLGSGRLGS
+GGRGSRASRGNLALDSSSGGGSAVRGSVSNSGGSCAVSGVGGRGSVRVTQSSSQSQRSHH
+KL
+>sp|A6QPL4|KIF22_BOVIN Kinesin-like protein KIF22 OS=Bos taurus OX=9913 GN=KIF22 PE=2 SV=2
+MDAGLPARRRREMAAAISGAGRCRLSKVGAGRRPPPARVRVAVRLRPFVDGTAGENDTPC
+VRGLDSCSLEIANWRNHQETLKYQFDAFYGERSSQQDIYAGSVQPILRHLLEGQNASVLA
+YGPTGAGKTHTMLGSPEQPGVIPRALMDLLQLTREEGAEGRPWALSVTMSYLEIYQEKVL
+DLLEPSSGDLVIREDCRGNILIPGLTQKPITSFADFERHFLPASRNRTVGATRLNQRSSR
+SHAVLLVKVDQRERLAPFRQREGKLYLIDLAGSEDNRRTGNKGLRLKESGAINTSLFVLG
+KVVDALNQGLPRVPYRDSKLTRLLQDSLGGSAHSILIANIAPERRFYLDTVSALNFAARS
+KEVINRPFTNESLQLPVLAPVKLSQKELLGPSEAKRARGPEEEETESPELPIAPASASQK
+LSPLQKLSSMDPAMLERLLSLDRLLGSQGSQGTPLLSTPKRERMVLMKTVEEKDLEIERL
+KMKQKELEAKVLAQEAADPKEKENYSTTMLRPLARRTVTVAKPLKKAVVMPLQLIQEQAA
+SPNAKIHILKKKGRKRKLESLDASQPEKAEDGWELQISPELLAHGRQKILDLLNEGSARD
+LRSLQRIGQKKAQLIVGWRELHGPFSQVEDLERVEGISGKQMESFLKANILGLAAGQGCG
+PS
+>sp|Q6TJY3|KS6B1_BOVIN Ribosomal protein S6 kinase beta-1 OS=Bos taurus OX=9913 GN=RPS6KB1 PE=2 SV=1
+MRRRRRRDGFYPAPDFRDREAEDMAGVFDIDLDQPEDAGSEDELEEGGQLNESMDHGGVG
+PYELGMEHCEKFEISETSVNRGPEKIRPECFELLRVLGKGGYGKVFQVRKVTGANTGKIF
+AMKVLKKAMIVRNAKDTAHTKAERNILEEVKHPFIVDLIYAFQTGGKLYLILEYLSGGEL
+FMQLEREGIFMEDTACFYLAEISMALGHLHQKGIIYRDLKPENIMLNHQGHVKLTDFGLC
+KESIHDGTVTHTFCGTIEYMAPEILMRSGHNRAVDWWSLGALMYDMLTGAPPFTGENRKK
+TIDKILKCKLNLPPYLTQEARDLLKKLLKRNAASRLGAGPGDAGEVQAHPFFRHINWEEL
+LARKVEPPFKPLLQSEEDVSQFDSKFTRQTPVDSPDDSALSESANQVFLGFTYVAPSVLE
+SVKEKFSFEPKIRSPRRFIGSPRTPVSPVKFSPGDFWGRGASASTANPQTPVEYPMETSG
+IEQMDVTMSGEASAPLPIRQPNSGPYKKQAFPMISKRPEHLRMNLEL
+>sp|Q3SWY5|NOSIP_BOVIN Nitric oxide synthase-interacting protein OS=Bos taurus OX=9913 GN=NOSIP PE=2 SV=1
+MTRHGKNCTAGAVYTYHEKKKDTAASGYGTQNIRLSRDAVKDFDCCCLSLQPCHDPVVTP
+DGYLYEREAILEYILHQKKEIARQMKAYEKQRGARREEQKELQRAAAQDHVRGFLEKEAA
+IVSRPLNPFTPKAASAGNGPDDAQPGSSAGPAGKDKDKALPSFWIPSLTPEAKATKLEKP
+SRIVTCPMSGKPLRMSDLTPVRFTPLDSSVDRVGLITRSERYVCAVTRDSLSNATPCAVL
+RPSGAVVTLECVEKLIRKDMVDPVTGEKLTDRDIIVLQRGGTGFAGSGVKLQAEKSRPVM
+QA
+>sp|P98201|NFAC1_BOVIN Nuclear factor of activated T-cells, cytoplasmic 1 OS=Bos taurus OX=9913 GN=NFATC1 PE=1 SV=2
+MTGLEEDQEFDFDFLFEFNQSDEGAVAAPAEHYGYAAPGLGAGLPLSAAHPSLPAPCHDL
+QSSAAGVSAVGYGGTVDSGPSGYFLSSGGIRPNGAPALESPRIEITSYLGLHHNSSQFLH
+EVDVEDVLPKRSPSTATLNLPSLEAYRDPSCLSPASSLSSRSCNSEASSYESSFSYPYAS
+PQTSPWQSPCVSPKTTDPEEGFARGLGACGLLGSPRHSPSTSPRTSVTEESWLGARTSRP
+SSPCNKRKYGLNGRQLSCSPHPSPTPSPQGSPRVSVTDDTWLGNTTQYTSSAIVAAINAL
+STDSSLDLGDGVPVKARKTTLDHSPAVALKVEPAGEDLGTTPPTPDFQPEEFAAFQHIRK
+GAFCDQYLSVPQHPYPWARPRSPASYTSPSLPALDWQLPSHSGPYELRIEVQPKSHHRAH
+YETEGSRGAVKASAGGHPSVQLHGYVESEPLTLQLFIGTADDRLLRPHAFYQVHRITGKT
+VSTASHEAVVCSTKVLEIPLLPENNMRATIDCAGILKLRNSDIELRKGETDIGRKNTRVR
+LVFRVHIPQPNGRTLSLQVASNPIECSQRSAQELPLVEKQSAASGPVLGGKRMVLSGHNF
+LQDSKVIFVEKAPDGHHIWEMEAKTEGDLCKPNSLVVEIPPFRNQRITSPVQVNFYVCNG
+KRKRSQYQHFTYLPANVPIIKTEPSDDYEPALTCGPMSQGLSPLPKPCYGQPLALPPDPG
+ACLMPGFPPRPQGSASPELHDLSCAPYGSATAGPGHSPLGLPRPVGGVLASQEAPRPSGV
+PPGPPQPPPPTLLQPQVSPTSSG
+>sp|A2VDW6|NECA3_BOVIN N-terminal EF-hand calcium-binding protein 3 OS=Bos taurus OX=9913 GN=NECAB3 PE=2 SV=1
+MACAGLLTVCLIRPPAPEPPRPPAPAPAAGPAGHALFQDVFRRADKNDDGKLSFEEFQNY
+FADGVLSPGELRELFSGVDGHPADNLETEKLCDYFSEHLGVYRPVLAALESLNCAVLTAM
+DTTKLEYERASKVDQFVTRFLLRETVSQLQALQSSLEGASDTLEAQAQGPRSDEERVEVP
+SRPRGSRWAGRRALRSVSRSSTWSPGSSNTGQSSEAEMQWRLQINRLQELIDQLECKAPR
+LEPLHEEELTKGPSSHILVAQRQVQVAEEALQDFHHALCCYVDFTGSQSHCLHVSAQKML
+DKASFTLYEFWQDEASWRRHQQSACSKAFQRILIDHLRAPDTLTTVFFPASWWIMNNN
+>sp|Q2TBP4|POC1A_BOVIN POC1 centriolar protein homolog A OS=Bos taurus OX=9913 GN=POC1A PE=2 SV=1
+MTAHCPEDPSLERHFKGHRDAVTSVDFSLNTKQLASGSMDSCLMVWHMKPQTRAYRFAGH
+KDAVTCVNFSPSGHLLASGSRDKTVRIWVPNVKGESTVFRAHTATVRSVHFCSDGQSFVT
+ASDDKTVKVWSTHRQKFLFSLSQHINWVRCAKFSPDGRLIVSASDDKTVKLWDKTSRECV
+HSYCEHGGFVTYVDFHPSGTCIAAAGMDNTVKVWDVRTHRLLQHYQLHSAAVNALSFHPS
+GNYLVTASSDSTLKILDLMEGRLLYTLHGHQGPATTVAFSRTGEYFASGGSDEQVMVWKS
+NFDIVDYGEVLRVQRPPATRASSSGTLPEVDPLVPPGRGRSQESMQSHSQEPVSVPQSLT
+STLEHIVGQLDVLTQTVSILEQRLTLTEDKLKQCLENQQLIMQRTTP
+>sp|Q2YDH1|APC10_BOVIN Anaphase-promoting complex subunit 10 OS=Bos taurus OX=9913 GN=ANAPC10 PE=2 SV=1
+MTTPNKTPPGADPKQLERTGTVREIGSQAVWSLSSCKPGFGVDQLRDDNLETYWQSDGSQ
+PHLVNLQFRRKTTVKTLCIYADYKSDESYTPSKISVRVGNNFHNLQEIRQLELVEPSGWI
+HVPLTDNHKKPTRTFMIQIAVLANHQNGRDTHMRQIKIYTPVEESSIGKFPRCTTIDFMM
+YRSIR
+>sp|Q3MHX1|COMD7_BOVIN COMM domain-containing protein 7 OS=Bos taurus OX=9913 GN=COMMD7 PE=2 SV=1
+MGRLHCTQDPVPEAVGGDMQQLNQLSAQQFSALTEVLFHFLTEPKEVERFLAQLSEFAAT
+NQISLGPLRSIVKSLLLVPNGALKKGLTAEQVRADFMTLGLSEEKAIYFSEKWKQNAPTL
+ARWAVGQTLMINQLVDMEWKFGVTSGSSELEKVGSIFLQLKLVVKKGNQTENVYIELTLP
+QFYSFLHEMERVRTSMECFS
+>sp|Q9TU23|CE290_BOVIN Centrosomal protein of 290 kDa (Fragment) OS=Bos taurus OX=9913 GN=CEP290 PE=1 SV=2
+ERQLRKENGKQKNELMAMEAEVGEKIGRLQRFKEMAVFKIAALQKVVDNSVSLSELELAN
+RQYNELTAKYRDILQKDNMLVQRTNNLEHLECENVSLKEQMESINKELEITKEKLHTIEQ
+AWEQETKLGNESNMDKAKKSVTNSEIVSISKKITMLEMKELNERQRAEHSQKMYEHVKTS
+LQQVEERNFELETKFAELTRINLEAQKVEQMLRDELADSVSKTVSDADRQHILELEKSEM
+ELKVEVSKLKEISDIAKRQVEILNAQQQSREKEVESLRTQLLDYQAQSDEKALIAKLHQH
+VVSLQASEAAALGKVESVASKLQKVEAHTLRLEQKLDEKEQALFYARLEGRNRAKHLRQT
+IQSLRRQFSGALPLAQQEKFSKTMIQLQNDKLKIMEEMKNSQQEHRSLKNKTLEMELKLK
+GLEDLISTLKDARGAQKVISWHTKIEELRLQELKLNRELVKDKEEIKYLNNIISEYENTI
+SSLEEEIVQQNKFHEERQMAWDQREVELERQLDVFDRQQSEILREAQKFEEATGSMPDPS
+LPIPNQLEIALRKIKENIRIILETQATCRSLEEKLREKESALRLAEENILSRDKVINELR
+LRLPATAEQEKLLAEFSRKEVEPKSHHTLKLAHQTIANMQARLNQKEEVLKKYQHLLEKA
+REEQREIVKKHEEELHTLHRKLELQADNSLSKFKETAWDLIKQSPTPVPTNKHFIRLAEM
+EQTVAEQDDSLSSLVIKLKQVSQDLERQKEITELKIKEFENMKLRLQENHADEVKKIKAE
+VEDLRCLLVQSQKESQSLKSELQTQKEANSRAPTTTMRNLVERLKSQLALKEKQQKALSR
+ALLELRAEMTAAAEERIISMTSQKEANLNVQQIVDRHTKELKSQIEDLNENILKLKEALK
+TSKNRENTLTDNLNDLTNELQNKQKAYGKVLREKDAVDQENNELKRQIKRLTSGLQGKPL
+IDNKQSLIEELQKKIKKLESQLERKVDEAEMKPMKEKSAREEVIRWEEGKKWQTKIEGIR
+NKLKEKEGEVYILTKQLTTLKDLFAKADKEKLTLQRKLKTTGLTVDQVMAARVLESEKEL
+EELKKRNLDLENDISYMRSHQALPRDSVIEDLHLQNKYLQEKLHALEKQLSKDAYSRPST
+SGIDSDDHYQREQELQRENLKLSSENIELKFQLEQANKDLPRLKNQVRDLKEMCEFLKKE
+KAEVERKLGRVRGSGRSGKTIPELEKTIGLMKKVVEKVQRENEQLKKASGILTSEKMANI
+EMENEKLKAELEKLKVHLGRQLSMHYESKAKGTEKIVAENERLRKELKKIEILKHVPEGD
+ETEQGLQRELRVLRLANSQLEKEKEELIHRIEISKDQNGPDSTISDPDHLMEKIKDLETQ
+LRTSDMEKQHLKEEIQKLKKELENFDPSFFEEIEDLKYNYKEEVKKNILLEEKLKKLSEQ
+FGVELTSPVAASEQFEDEQENPVNFPIY
+>sp|Q2TBN5|COMD9_BOVIN COMM domain-containing protein 9 OS=Bos taurus OX=9913 GN=COMMD9 PE=2 SV=1
+MAALTAENFAALQSLLKASSKDVVRQLCQESFSSSALGSKNLLDVTCSSLSVTQEEAEQL
+LQALHRLTRLAVFRDLSSAEAILALFPENFHQNLKNLLTKIILEHVSAWRAEAQVNQISL
+PRLVDLDWRVDIKTSSDSISRMAVPTCLLQMKIQEDPSLCGDRPSVSDVTVELSKETLDT
+MLDGLGRIRDQLSAVASK
+>sp|Q5EA40|BCAT2_BOVIN Branched-chain-amino-acid aminotransferase, mitochondrial OS=Bos taurus OX=9913 GN=BCAT2 PE=2 SV=1
+MATAALRQIWIPRFLPVPWFLCGSRRYASSSFKAADLQLEMTQEPHKKPDPSQPLLFGKT
+FTDHMLMVEWNQEKGWGQPRIQPFQNLTLHPACSALHYSLQLFEGMKAFKGGDQRVRLFR
+PWLNMERMLRSALRLCLPSFDKIELLECIRRLVEVDQDWVPGSMGTSLYVRPVLIGNEPS
+LGVGHPTRALLFVILSPVGAYFPGDALKPVSLLADPSFIRAWVGGVGNYKLGGNYGPTVL
+VQQEAQKKGCEQVLWLYGPDHELTEVGTMNIFVFWTYEDGVLELVTPPLDGIILPGIVRQ
+SLLDLARTWGEFRVVERKITMKEFLRALKDGRVREVFGSGTACQVCPVHQILYQGKHFHI
+PTMENGPQLILRFHKELKAIQYGSKAHEWMLPV
+>sp|Q08DY9|CASP3_BOVIN Caspase-3 OS=Bos taurus OX=9913 GN=CASP3 PE=2 SV=1
+MENTENSVDSKSIKTSETKILHGSKSMDSGISLEESYKMDYPEMGLCIIINNKNFHENTG
+MACRSGTDVDAANLRETFMNLKYEVRIKNDLTCKEMLELMSNVSKEDHSKRSSFICVLLS
+HGEEGIIFGTNGPVNLKKLASFFRGDYCRSLTGKPKLFIIQACRGTELDCGIETDSGAED
+DMACQKIPVEADFLYAYSTAPGYFSWRNAKNGSWFIQALCEMLKKHAHRLELMHILTRVN
+RKVAIEYESFSTDSAFHAKKQIPCIMSMLTKELYF
+>sp|Q2HJ63|CAHM2_BOVIN Calcium homeostasis modulator protein 2 OS=Bos taurus OX=9913 GN=CALHM2 PE=2 SV=1
+MAALIAENFRFLSLFFKSKDVMIFNGLVALGTVGSQELFTVVAFHCPCSPARNYLYGLAA
+IGVPALALFLIGVILNNHTWNLVAECQYRRTKNCSAAPNFLLLSSIVGRAAVAPVTWSVI
+SLLRGEAYVCALSEFVNPHSLMVGERSFPVAHATEILARFPCGEGPANLSVFREEVSRRL
+KYESQLFGWLLIGVVAILVFLTKCLKHYCSPLSYRQEAYWAQYRANEDQLFQRTAEVHSR
+VLAANNVRRFFGFVALDKDDEELVAKFPVEGTQPRLQWNAITGVYLYRENQGLPLYSRLH
+KWAQGVVGNGMTPDHVEMSLLPS
+>sp|Q08DZ3|ELMD2_BOVIN ELMO domain-containing protein 2 OS=Bos taurus OX=9913 GN=ELMOD2 PE=1 SV=1
+MFIALWEFFYGHFFRFWMKWLLRQMTGKCELQRIFDTYVGAQRTHRIENSLTYSKNKVLQ
+KATLVVQSEVDRCVEDIMKEKNINPEKDASFKICMKACLLQISGYKQLYLDVESVRKKPY
+DSDNLQHEKLLIKLWNLLMPTKKLKARISKQWADIGFQGDDPKTDFRGMGILGLINLVYF
+SENYTSEAHQILSRSNHPKLGYSYAIVGINLTEMAYSLLKSEALKFHLYNFVPGIPTMEH
+FHQFYCYLVYEFDKFWFEEKPESIMYFNVYREKFHEKIKGLLLDCNVSLTLKI
+>sp|A6QLA4|MAP1_BOVIN Methionine aminopeptidase 1 OS=Bos taurus OX=9913 GN=METAP1 PE=2 SV=1
+MAAVETRVCETDGCSSEAKLQCPTCIKLGIQGSYFCSQECFKGSWATHKLLHKKAKDEKA
+KREVSSWTVEGDINTDPWAGYRYTGKLRPHYPLMPTRPVPSYIQRPDYADHPLGMSESEQ
+ALKGTSQIKLLSSEDIEGMRLVCRLAREVLDIAAGLIKPGVTTEEIDHAVHLACIARNCY
+PSPLNYYNFPKSCCTSVNEVICHGIPDRRPLQEGDIVNVDITLYRNGYHGDLNETFFVGD
+VDEGARKLVQTTYECLMQAIDAVKPGVRYRELGNIIQKHAQANGFSVVRSYCGHGIHKLF
+HTAPNVPHYSKNKAVGVMKAGHVFTIEPMICEGGWQDETWPDGWTAVTRDGKRSAQFEHT
+LLVTDTGCEILTRRLDSAQPHFMSQF
+>sp|Q5EA95|IFT57_BOVIN Intraflagellar transport protein 57 homolog OS=Bos taurus OX=9913 GN=IFT57 PE=1 SV=1
+MAAAAAVVTPSGLEDEVSRSRGEGAGEMVVERGPGAAYHMFVVMEDLVEKLKLLRYEENL
+LRKNNLKPPSRHYFALPTNPGEQFYMFCTLAAWLINKAGRPFEQPQEYDDPNAIISNILS
+ELRSFGRTADFPPSKLKSGYGEHVCYVLDCLAEEALKYIGFTWKRPAYPVEELEEETVAE
+DDAELTLNKVDEEFVEEETDNEENFIDLNVLKAQTYRLDMNESAKQEDILESTTDAAEWS
+LEVERVLPQLKVTIRTDNKDWRIHVDQMHQHKSGIESALKETKGFLDRLHNEISRTLEKI
+GSREKYINNQLEHLVQEYRAAQAQLSEARERYQQGNGGVTERTRILSEVTEELEKVKQEM
+EEKGSSMTDGAPLVKIKQSLTKLKQETVQMDIRIGVVEHTLLQSKLKEKSNMTRDMHATI
+IPESAIGSY
+>sp|A2VDZ3|MEF2A_BOVIN Myocyte-specific enhancer factor 2A OS=Bos taurus OX=9913 GN=MEF2A PE=2 SV=1
+MGRKKIQITRIMDERNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNSSNKLFQYAST
+DMDKVLLKYTEYNEPHESRTNSDIVEALNKKEHRGCDSPDPDTSYVLTPHTEEKYKKINE
+EFDNMMRNHKIAPGLPPQNFSMSVTVPVTSPSALSYTNPGSSLVSPSLAASSALADTSML
+SPPQATLHRNVSPGAPQRPPSTGSAGGMLSTSDLTVPNGAGSSPVGNGFVNSRASPNLIG
+TTGANSLGKVMPTKSPPPPGGGSLGMNSRKPDLRVVIPPSSKGMMPPLNTQRISSSQATQ
+PLATPVVSVTTPSLPPQGLVYSAMPTAYNTDYSLTSADLSALQGFNSPGMLSLGQVSAWQ
+QHHLGQAALNSLVAGGQLSQGSNLSINTNQNINIKSEPISPPRDRMTPSGFQQQQQPQPP
+PPPPQAPQPQPRQEVGRSPVDSLSSSSSSYDGSDREDPRGDFHSPVVLGRPPNSEDRESP
+SVKRMRMDAWVT
+>sp|Q2KIJ5|KDSR_BOVIN 3-ketodihydrosphingosine reductase OS=Bos taurus OX=9913 GN=KDSR PE=2 SV=1
+MLLLAAASLVAFVLLLYMVSPLISPKPLALPGAHVVVTGGSSGIGKCIAIECYKQGAFIT
+LVARNEDKLLQAKKEIEKHSINDKQVVLCISVDVSQDYSQVENVIKQAQEKLGPVDMLVN
+CAGMSLSGKFEDLEVSTFERLMSINYLGSVYPSRAVIATMKERRMGRVVFVSSQAGQLGL
+FGYTAYSSSKFALRGLAEALQMEVKPYNVYVTVAYPPDTDTPGFAKENQTKPLETRLISE
+TTSVCKPEQVAKQIVKDVQGNFNSSIGSDGYMLSSLTCGMAPVTSIMEGLQQVVTMGLFR
+TIALFYLGSFDSIVRRCMMQKAKLETVDKTA
+>sp|Q863D4|KCNRG_BOVIN Potassium channel regulatory protein OS=Bos taurus OX=9913 GN=KCNRG PE=2 SV=1
+MSGQELVTLNVGGKVFTTRSSTLQQFPGSRLMRMLDGRDKEFKMVDGQIFVDRDGVLFSF
+ILDFLRTQQLLLPTDFSDHLRLQREALFYELNPLVDLLNQEHKLQPRPALVEVHFLSRNT
+QAFFRVFGSCSKTIEMLTGRITVFIEQPSAPASSSNSFPQMTLLPLPPQRPSYHDLVFQC
+GSDSTTDNQTRIRYISIKPDNRKLANGTNVLGLLIDTLLMEGFHLVGTRTVSSEDRTECY
+SFERIKKPDALAMNKTLKSETTLMPEQ
+>sp|Q29460|PA1B3_BOVIN Platelet-activating factor acetylhydrolase IB subunit gamma OS=Bos taurus OX=9913 GN=PAFAH1B3 PE=1 SV=1
+MSGDENPASKPTPVQDVQGDGRWMSLHHRFVADSKDKEPEVVFIGDSLVQLMHQCEIWRE
+LFSPLHALNFGIGGDSTQHVLWRLENGELEHIRPKIVVVWVGTNNHGHTAEQVTGGIKAI
+VQLVNERQPQARVVVLGLLPRGQHPNPLREKNRRVNELVRAALAGHPRAHFLDADPGFVH
+SDGTISHHDMYDYLHLSRLGYTPVCRALHSLLLRLLTQDQGQGGAPLPEPSP
+>sp|Q3T063|NADC_BOVIN Nicotinate-nucleotide pyrophosphorylase [carboxylating] OS=Bos taurus OX=9913 GN=QPRT PE=2 SV=1
+MDPEGLAHLLPPATLAALADSWLREDCPGLNYVALVSGTAPSQAVLWAKSPGVLAGRPFL
+DAIFAQVNCQVSWFLPEGSKLVPVAKVAEVRGPAHCLLLGERVALNTLARCSGVASMAAA
+AVETARGTGWAGHVAGTRKTTPGFRLVEKYGLLVGGAAAHRYDLGGLVMVKDNHVMAAGG
+VKKAVRAARRAADFALKVEVECSSLQEAVEAAEAGADLVLLDNFRPEELHPTAATLKAQF
+PSVSVEASGGVRLDNLPQFCGPHIDVISLGMLTQAAPALDFSLKLFAEGATPVPHARRS
+>sp|P01317|INS_BOVIN Insulin OS=Bos taurus OX=9913 GN=INS PE=1 SV=2
+MALWTRLRPLLALLALWPPPPARAFVNQHLCGSHLVEALYLVCGERGFFYTPKARREVEG
+PQVGALELAGGPGAGGLEGPPQKRGIVEQCCASVCSLYQLENYCN
+>sp|Q0X0C4|HEXI1_BOVIN Protein HEXIM1 OS=Bos taurus OX=9913 GN=HEXIM1 PE=2 SV=1
+MAEPLLSEFQHQPQTSNCTGAVAVHEERNPDRPPGAEERVPEEDSRWQSRASPKSGGSPG
+HGGEGSLEPQPSPLKTQVCPESSCPEAGEKGQNGDDLSAGGAPPQQRQVGKKKHRRRPSK
+KKRLWKPYYTLTWEEKKKFDEKQSLRASRIRAEMFAKGQPVAPYNTTQFLMDDHDQEEPD
+LKTGLYPKRAAAKSDDTSDEDFMEEAGEEDGGSDGMGGDGSEFLQRDFSETYERYHAESL
+QNMSKQELIKEYLELEKCLSRMEDENNRLRLESQRLDGDDARVRELELELDRLRAENLQL
+LTENELHRQQERAPLSNFGD
+>sp|P30404|PPIF_BOVIN Peptidyl-prolyl cis-trans isomerase F, mitochondrial OS=Bos taurus OX=9913 GN=PPIF PE=1 SV=3
+MMLALRCGPRLLGLLSGPRSAHLRLPAVRACSSGSGSHGSSSSSGNPLVYLDVGADGQPL
+GRVVLELKADVVPKTAENFRALCTGEKGFGYKGSTFHRVIPSFMCQAGDFTNHNGTGGKS
+IYGSRFPDENFKLKHEGPGVLSMANAGPNTNGSQFFICTIKTDWLDGKHVVFGHVKEGMD
+VVKKIESFGSKSGKTSKKIVITDCGQLS
+>sp|P51866|PECA1_BOVIN Platelet endothelial cell adhesion molecule OS=Bos taurus OX=9913 GN=PECAM1 PE=1 SV=1
+MQLRWTQRGMMWLGALLTLLLCSSLKGQENSFTINSIHMQILPHSTVQNGENLTLQCLVD
+VSTTSRVKPLHQVLFYKDDVLLHNVSSRRNTESYLIPHVRVCDSGRYKCNVILNNKEKTT
+PEYEVWVKGVSDPRVTLDKKEVIEGGVVVVNCSVPEEKAPVHFTIEKFELNIRGAKKKRE
+KTSQNQNFVTLEFTVEEQDRTIRFQCQAKIFSGSNVESSRPIQSDLVTVRESFSNPKFHI
+IPEGKVMEGDDLQVKCTVQVTHQAQSFPEIIIQKDREIVAHNSLSSEAVYSVMATTEHNG
+NYTCKVEASRISKVSSVVVNVTELFSKPKLESSATHLDQGEDLNLLCSIPGAPPANFTIQ
+KGGMTVSQTQNFTKRVSEWDSGLYTCVAGVGRVFKRSNTVQITVCEMLSKPSIFHDSRSE
+VIKGQTIEVSCQSVNGTAPIFYQLSNTSKPVANQSVGSNKPAIFRVKPTKDVEYCCSADN
+CHSHSKMFSEVLRVKVIAPVDEAQLVVLKGEVEPGEPIVFYCSVNEGSFPITYKFYKEKE
+SKPFYQDTINATQIMWHKTTASKEYEGQYYCTASNRANLSKHVIQSNTLTVRVYLPLEKG
+LIAVVVIGVIIVTLVLGAKCYFLKKAKAKQMPVEMSRPAVPLLNSNNEKTLSDAGTEADR
+HYGYNEDVGNHAMKPLNENKEPLTLDVEYTEVEVTSPEPHQGLGTKGTETETVYSEIRKA
+DPDFVENRYSRTEGSLDGS
+>sp|Q3SX17|P2Y14_BOVIN P2Y purinoceptor 14 OS=Bos taurus OX=9913 GN=P2RY14 PE=2 SV=1
+MNATSVPPAEGSCPSNALITKQIIPMLYFVVFVAGILLNGMSGWVFFYVPSSKSFIVYLK
+NIVIADFLMSLTFPFKILGDLGLGLWQVKVFVCRVSAVLFYINMYVSIVFFGLIGFDRYY
+KIVKPLLTSFIQSISYSKLLSVLVWSLTLLIALPNMILTNRNVTEATRVKCMDLKSDLGL
+KWHKASSYIFVGIFWIVFLSLIIFYTAITKKIFKSHFKSRKNSVSVKKKSSRNIFSIMFV
+FFICFVPYHIARIPYTQSQTEAHYSCQSKQILFYVKEFSLLLSAANVCLDPIIYFFLCQP
+FREVLCKKLHIQLKTQHDSETSKIKRENIIQESTDTL
+>sp|Q29S05|N42L1_BOVIN NEDD4-binding protein 2-like 1 OS=Bos taurus OX=9913 GN=N4BP2L1 PE=2 SV=1
+MEESFLESFGRLSLRQQQPPPPRPPAPPPLRGTPPRRHSFRKHLYLLRGLPGSGKTTLAR
+QLQHDFPRALIFSTDDFFFREDGAYEFNPDFLEEAHEWNQKRARKAMRNGISPIIIDNTN
+LHAWEMKPYAVMVFQTEQKNLFRLEMDMVVFRPEMKKHSWSPKRENTPNERTV
+>sp|Q0VD50|NMNA1_BOVIN Nicotinamide/nicotinic acid mononucleotide adenylyltransferase 1 OS=Bos taurus OX=9913 GN=NMNAT1 PE=2 SV=1
+MENSEKTEVVLLACGSFNPITNMHLRLFELAKDYMNGTGKYKVIKGIISPVGDAYKKKGL
+ISAYHRVIMAELATKNSKWVEVDTWESLQKEWTETAKVLRHHQEKLEASICDPQQNSPVL
+EKPGRKRKWAEQKQDISEKKSLEQTKTKGVPKVKLLCGADFLESFGVPNLWKSEDITKIL
+GDYGLICITRAGNDAQKFIYESDVLWKHQNNIHLVNEWITNDISSTKIRRALRRGQSIRY
+LVPDLVEEYIEKHNLYSSESEERNVGVVLAPLQRNTTEVKA
+>sp|Q3SZ76|COMD3_BOVIN COMM domain-containing protein 3 OS=Bos taurus OX=9913 GN=COMMD3 PE=2 SV=1
+MELSEYVQKGFQMLADPGSFDSNTFTLLLRAAFQSLLDAQADEAVLDHPDLKHIDPVVLK
+HRHAAAATYILEAGKQRADRSTLSTYLEDCKFDSERIELFWTEYQNNRNSLEILLGSIGR
+SLPHITDVSWRLEYQIKTNQLDKMYRPAYLVTLNVENTDSRSHPEISFSCNMEQLQDLVG
+KLKDASKSLERATQL
+>sp|Q2TA08|BAG5_BOVIN BAG family molecular chaperone regulator 5 OS=Bos taurus OX=9913 GN=BAG5 PE=2 SV=1
+MEMGNQHPSISRLQEIQKEVKSIEQQVLGFSGLSDDKNYKKLERILTKQLFEIDSVDTEG
+KGDIQQARKRAAQETERLLKELEQNANHPHRLEIQNIFQEAQALVKEKVVPFYNGGNCVT
+DEFEEGIQDVILRLTHVKTGGKVSLRKARYHTLTKICAVQEIIENCMKKQPSLPLSEDAH
+PSVAKINSVMCEVNKTRGTLIALLMGVNNKETCRHLSCVLSGLMADLDALDVCGHTEIRN
+YRKEVVEDINQLLRYLDLEEEADTTHAFDLGQNHSILKIEKVLKRMREIKTELLQAQNPP
+ELYLSAKTELQGLIGQLDEVSLEKNPCIREARRRAVIEVQTLITYIDLKEALEKRKLLAC
+EEHPSHKAVWDVLGNLSEIQGEVLSFDGNRTDKNYIRLEELLTKQLLALDAVDPQGEEKC
+KAARKQAVKLAQNILSYLDLKSDEWEY
+>sp|Q3SZI4|1433T_BOVIN 14-3-3 protein theta OS=Bos taurus OX=9913 GN=YWHAQ PE=1 SV=1
+MEKTELIQKAKLAEQAERYDDMATCMKAVTEQGAELSNEERNLLSVAYKNVVGGRRSAWR
+VISSIEQKTDTSDKKLQLIKDYREKVESELRSICTTVLELLDKYLIANATNPESKVFYLK
+MKGDYFRYLAEVACGDDRKQTIDNSQGAYQEAFDISKKEMQPTHPIRLGLALNFSVFYYE
+ILNNPELACTLAKTAFDEAIAELDTLNEDSYKDSTLIMQLLRDNLTLWTSDSAGEECDAA
+EGAEN
+>sp|Q3T0B9|CEBPG_BOVIN CCAAT/enhancer-binding protein gamma OS=Bos taurus OX=9913 GN=CEBPG PE=2 SV=1
+MSKVSQQNSTPGVNGISVIHTQAHASGLQQVPQLVPAGPGGGGKAVPPSKQSKKSSPMDR
+NSDEYRQRRERNNMAVKKSRLKSKQKAQDTLQRVNQLKEENERLEAKIKLLTKELSVLKD
+LFLEHAHNLADNVQPSSTENTTNPDKAGQ
+>sp|P00727|AMPL_BOVIN Cytosol aminopeptidase OS=Bos taurus OX=9913 GN=LAP3 PE=1 SV=3
+MFLLPLPAAARVAVRHLSVKRLWAPGPAAADMTKGLVLGIYSKEKEEDEPQFTSAGENFN
+KLVSGKLREILNISGPPLKAGKTRTFYGLHEDFPSVVVVGLGKKTAGIDEQENWHEGKEN
+IRAAVAAGCRQIQDLEIPSVEVDPCGDAQAAAEGAVLGLYEYDDLKQKRKVVVSAKLHGS
+EDQEAWQRGVLFASGQNLARRLMETPANEMTPTKFAEIVEENLKSASIKTDVFIRPKSWI
+EEQEMGSFLSVAKGSEEPPVFLEIHYKGSPNASEPPLVFVGKGITFDSGGISIKAAANMD
+LMRADMGGAATICSAIVSAAKLDLPINIVGLAPLCENMPSGKANKPGDVVRARNGKTIQV
+DNTDAEGRLILADALCYAHTFNPKVIINAATLTGAMDIALGSGATGVFTNSSWLWNKLFE
+ASIETGDRVWRMPLFEHYTRQVIDCQLADVNNIGKYRSAGACTAAAFLKEFVTHPKWAHL
+DIAGVMTNKDEVPYLRKGMAGRPTRTLIEFLFRFSQDSA
+>sp|O77780|ADAM2_BOVIN Disintegrin and metalloproteinase domain-containing protein 2 OS=Bos taurus OX=9913 GN=ADAM2 PE=2 SV=1
+MLCLLFLLLGLTGLQTDDNSERLRVQFTVPEKIRSTSSGGVETHVSYIILIEGKTYTVNL
+MQKAFLPHNFRVYGYSGTGSMKPLEHEFQNFCYYQGYIEGYPNSMAIISTCTGLRGLLQF
+ENVSYGIEPLEPSIGFEHMVYQIKPRDSSSSVYTEREIELREKPYKIQNVEPLPDFSQYI
+EMHIVVEKDLYNHMGADTTVVIQKIFQLTGLTNAIFTSLNITVILSSLELWIDENKIPVT
+GDANELLHRFVKWKRSYLVLRPHDMAFLLVYREKSNYIGATFQGRMCDKHYGGGVALHSS
+TISLESLAVIIAQLLSLSMGIPYDDINKCHCPGDVCIMNPAAVHSSGVKLFSNCSVEDFL
+RFISKPKSQCLQNQPRLDPTYKSAVCGNGKVEEGEQCDCGNKKACDALPDTCCVADTCRF
+QPGSACDTGLCCESCAFIPKGHICRGSTDECDLHEYCNGSSAACQEDVYVQDGHPCGQNQ
+WLCISGICVDGIKQCFDIFGEGTSYAPAECFQRLNSMNDLSGNCGVTPTGFTPCTSENVR
+CGKLLCTYDKREVISVENASVMYSNINGKICIGLHYEYGNEDEGMMWVKDGTVCGESKIC
+QNKQCVDSSFLNYDCNPEKCNNQGVCNNKKHCHCNPSYLPPNCEHSAPGWEGGSIDSGNF
+PPSEPPTGGPAFTDVGTTPLAESRYIENVYRSKPTRWPFFLFIPFFIILCVLIATLVKVY
+FQRKKWRTEDYTSDEQLESESEPKD
+>sp|Q2HJ57|COTL1_BOVIN Coactosin-like protein OS=Bos taurus OX=9913 GN=COTL1 PE=2 SV=3
+MATKIDKEACRTAYNLVRDDSSAVIWVTFKYDGSTIVPGEQGAEYQDFIQQCTDDVRLFA
+FVRFTTGDAMSKRSKFALITWIGENVSGLQRAKTGTDKTLVKEVVQNFAKEFVISDRKEL
+EEDFIKNELKKAGGANYDAQTE
+>sp|P00974|BPT1_BOVIN Pancreatic trypsin inhibitor OS=Bos taurus OX=9913 PE=1 SV=2
+MKMSRLCLSVALLVLLGTLAASTPGCDTSNQAKAQRPDFCLEPPYTGPCKARIIRYFYNA
+KAGLCQTFVYGGCRAKRNNFKSAEDCMRTCGGAIGPWENL
+>sp|A5D7T0|CK2N2_BOVIN Calcium/calmodulin-dependent protein kinase II inhibitor 2 OS=Bos taurus OX=9913 GN=CAMK2N2 PE=3 SV=1
+MSEILPYSEDKMGRFGADPEGSDLSFSCRLQDTNSFFAGNQAKRPPKLGQIGRAKRVVIE
+DDRIDDVLKGMGEKPPSGV
+>sp|P10730|ANPRC_BOVIN Atrial natriuretic peptide receptor 3 OS=Bos taurus OX=9913 GN=NPR3 PE=1 SV=2
+MPSLLVLTFSACVLLGWALLADCTGGGGSGGAGPGRGRREREALPPQKIEVLVLLPQDDS
+YLFSLARVRPAIEYALRTVEGNATGRRLLPAGTRFQVAYEDSDCGNRALFSLVDRVAAAR
+GAKPDLILGPVCEYAAAPVARLASHWDLPMLSAGALAAGFQHKDTEYSHLTRVAPSYAKM
+GEMMLALFRHHQWSRAVLVYSDDKLERNCFFTLEGVHEVFQEEGLHTSAYNFDETKDLDL
+EDIVRHIQASERVVIMCASSDTIRGIMLAAHRHGMTSGDYAFFNIELFNSSFYGDGSWKR
+GDKHDFEAKQAYSSLQTITLLRTVKPEFEKFSMEVKSSVEKQGLSEEDYVNMFVEGFHDA
+ILLYVLALREVLRAGYSKKDGGKIIQQTWNRTFEGIAGQVSIDANGDRYGDFSVIAMTDT
+EAGTQEVIGDYFGKEGRFEMRPNVKYPWGPLKLRIDETRMVEHTNSSPCKASGGLEESAV
+TGIVVGALLGAGLLMAFYFFRKKYRITIERRNQQEESNVGKHRELREDSIRSHFSVA
+>sp|Q28044|ADRB2_BOVIN Beta-2 adrenergic receptor OS=Bos taurus OX=9913 GN=ADRB2 PE=2 SV=2
+MGQPGNRSVFLLAPNASHAPDQNVTLERDEAWVVGMGILMSLIVLAIVFGNVLVITAIAK
+FERLQTVTNYFITSLACADLVMGLAVVPFGACHILMKMWTFGNFWCEFWTSIDVLCVTAS
+IETLCVIAVDRYLAITSPFKYQCLLTKNKARVVILMVWIVSGLTSFLPIQMHWYRASHKE
+AINCYAKETCCDFFTNQPYAIASSIVSFYLPLVVMVFVYSRVFQVAKRQLQKIDKSEGRF
+HAQNVSQVEQDGRSGLGQRRTSKFYLKEHKALKTLGIIMGTFTLCWLPFFIVNIVHVIKD
+NLIRKEIYILLNWLGYINSAFNPLIYCRSPDFRIAFQELLCLRRSSLKAYGNGCSSNSND
+RTDYTGEQSGYHLGEEKDSELLCEDPPGTENFVNQQGTVPSDSIDSQGRNCSTNDSLL
+>sp|Q3ZC01|CNOT7_BOVIN CCR4-NOT transcription complex subunit 7 OS=Bos taurus OX=9913 GN=CNOT7 PE=2 SV=1
+MPAATVDHSQRICEVWACNLDEEMKKIRQVIRKYNYVAMDTEFPGVVARPIGEFRSNADY
+QYQLLRCNVDLLKIIQLGLTFMNEQGEYPPGTSTWQFNFKFNLTEDMYAQDSIELLTTSG
+IQFKKHEEEGIETQYFAELLMTSGVVLCEGVKWLSFHSGYDFGYLIKILTNSNLPEEELD
+FFEILRLFFPVIYDVKYLMKSCKNLKGGLQEVAEQLELERIGPQHQAGSDSLLTGMAFFK
+MREMFFEDHIDDAKYCGHLYGLGSGSSYVQNGTGNAYEEEASKQS
+>sp|Q2NL33|CL12B_BOVIN C-type lectin domain family 12 member B OS=Bos taurus OX=9913 GN=CLEC12B PE=2 SV=1
+MSEDMTYATLTFQDSVAAGNNQDRNNLRKRGYPAPSSIWRQAALGLLTLCVMLLIGLVTL
+GIMFLQMSSEINSDSDKLTQLQKIIHQQQDNISQQLSKYRNFPVEEEFLKSQISSLLKRQ
+GQMAIKLCQELIIHTSDHKCNPCPKTWKWYQTSCYYFAVNEEKTWPNSRKNCMDKNSTLV
+KIDSLEEKDFLRSQPLPKFPFFWLGLSWDPSGRSWLWEDSSRPSPSLFSAYEYAQINESK
+GCAYFQNGNIYISRCSAEISWICEKTAALVKIEDLD
+>sp|Q5EAB4|CHID1_BOVIN Chitinase domain-containing protein 1 OS=Bos taurus OX=9913 GN=CHID1 PE=2 SV=1
+MRVLLGTLWLTLACSSVHATLSKSDAKKAASKTLQEKTQVSGKPAQERGLVVTDLRAEDV
+VLEHRSYCSAKAHRKHFAGDVLGYITPWNRHGYDVAKIFGGKFTHVAPVWLQLRRHGREM
+FEVTGLDDVDQGWLRAVRKQAKGLRIVPRLRFEDWTYEDFDSVLDNEDEIEELSRTVVQV
+AKSQHFDGLVVEVWNQLLVQKHAGLIHLLTHMAEALHQARLLVFLVIPPAVAPGTNKLGM
+FTNTEFEQLAPVLDGFSLMTYDYSTAQPAGPNAPLPWVRACVQVLDPKSKWRSKILLGLN
+FYGMDYSASKDAREPIIGARYIQTLKDHRPQVVWDSQAAEHFFEYKKSRGGRHVVFYPTL
+KSLQERLELAQELGVGLSIWELGQGLDYFYDLL
+>sp|Q29442|CO4A4_BOVIN Collagen alpha-4(IV) chain (Fragment) OS=Bos taurus OX=9913 GN=COL4A4 PE=1 SV=1
+GPPGPPGAPGKALKGDIPDPGLPGDQGPPGPDGPRGVPGPPGPPGSVDLLKGEPGDCGLP
+GPPGLPGPPGPPGHKGFPGCDGKHGQKGPMGFPGPQGPPGSPGPPGDKGLPGPPGRRGPL
+GPPGSRGEPGPPADLDACPRIPGLPGVPGPRGPEGTMGLPGMRGPPGPGCKGEPGLDGRR
+GEDGLPGSPGPPGHKGDMGEAGCPGAPGPPGPMGDPGPIGFGPGYLSGFLLVLHSQTDGE
+PTCPMGMPRLWTGYSLLYLEGQERAHNQDLGLAGSCLPIFSTLPFAYCNIHQVCHYARRN
+DRSYWLASTAPLPMTPLSEDEIRPYISRCAVCEAPAQAVAVHSQDQSIPPCPRAWRSLWI
+GYSFLMHTGAGDQGGGQALMSPGSCLEDFRAAPFLECQGRQGTCHFFANKYSFWLTTVRP
+DLQFSSAPLPDTLKESHAQRQKISRCQVCVKHS
+>sp|Q2HJ22|CLD5_BOVIN Claudin-5 OS=Bos taurus OX=9913 GN=CLDN5 PE=2 SV=1
+MGSAALEILGLVLCLVGWVGLILACGLPMWQVTAFLDHNIVTAQTTWKGLWMSCVVQSTG
+HMQCKVYDSVLALSPEVQAARALTVGAVLLALVALFVTLAGAQCTTCVAPGPAKARVALT
+GGALYALCGLLALVPLCWFANIVVREFYDPTVPMSQKYELGAALYIGWAASALLMCGGGL
+VCCGAWVCTGRPDFSFPVKYSASRRPTATGDYDKKNYV
+>sp|P28327|GRK1_BOVIN Rhodopsin kinase GRK1 OS=Bos taurus OX=9913 GN=GRK1 PE=1 SV=1
+MDFGSLETVVANSAFIAARGSFDASSGPASRDRKYLARLKLPPLSKCEALRESLDLGFEG
+MCLEQPIGKRLFQQFLRTHEQHGPALQLWKDIEDYDTADDALRPQKAQALRAAYLEPQAQ
+LFCSFLDAETVARARAGAGDGLFQPLLRAVLAHLGQAPFQEFLDSLYFLRFLQWKWLEAQ
+PMGEDWFLDFRVLGRGGFGEVFACQMKATGKLYACKKLNKKRLKKRKGYQGAMVEKKILA
+KVHSRFIVSLAYAFETKTDLCLVMTIMNGGDIRYHIYNVDEDNPGFQEPRAIFYTAQIVS
+GLEHLHQRNIIYRDLKPENVLLDDDGNVRISDLGLAVELKAGQTKTKGYAGTPGFMAPEL
+LLGEEYDFSVDYFALGVTLYEMIAARGPFRARGEKVENKELKQRVLEQAVTYPDKFSPAS
+KDFCEALLQKDPEKRLGFRDGSCDGLRTHPLFRDISWRQLEAGMLTPPFVPDSRTVYAKN
+IQDVGAFSTVKGVAFEKADTEFFQEFASGTCPIPWQEEMIETGVFGDLNVWRPDGQMPDD
+MKGVSGQEAAPSSKSGMCVLS
+>sp|Q3ZBJ0|ENY2_BOVIN Transcription and mRNA export factor ENY2 OS=Bos taurus OX=9913 GN=ENY2 PE=3 SV=1
+MVVSKMNKDAQMRAAINQKLIETGERERLKELLRAKLIECGWKDQLKAHCKEVIKEKGLE
+HVTVDDLVAEITPKGRALVPDSVKKELLQRIRTFLAQHASL
+>sp|Q2KJE5|G3PT_BOVIN Glyceraldehyde-3-phosphate dehydrogenase, testis-specific OS=Bos taurus OX=9913 GN=GAPDHS PE=2 SV=1
+MSKRDIVLTNVTVVQLLRQPCPEPRVEAEPEPPAQPQPQPEPIKEEVPPPPPPPPAPKKV
+RELIVGINGFGRIGRLVLRACMEKGVKVVAVNDPFIDLEYMVYMFKYDSTHGRYKGNVEH
+KKGQLVVDNNEISVFQCKQPKEIPWKSVGSPFVVEATGVYLSLEETKAHIEAGAQRVVIC
+APSPDAPMFVMGVNEKEYNPSSMKIVSNASCTTNCLAPLAKVIHERFGILEGLMTTVHSY
+TATQKTVDGPSKKAWRDGRGAHQNIIPASTGAAKAVGKVIPDLKGKLTGMAFRVPTPDVS
+VVDLTCRLAQPTPYSAIKDAIKAAAKGPMAGILAYTEDEVVSTDFLSDTHSSIFDAKAGI
+ALNDNFVKLISWYDNEYGYSNRVVDLVRYMFSRDK
+>sp|P10096|G3P_BOVIN Glyceraldehyde-3-phosphate dehydrogenase OS=Bos taurus OX=9913 GN=GAPDH PE=1 SV=4
+MVKVGVNGFGRIGRLVTRAAFNSGKVDIVAINDPFIDLHYMVYMFQYDSTHGKFNGTVKA
+ENGKLVINGKAITIFQERDPANIKWGDAGAEYVVESTGVFTTMEKAGAHLKGGAKRVIIS
+APSADAPMFVMGVNHEKYNNTLKIVSNASCTTNCLAPLAKVIHDHFGIVEGLMTTVHAIT
+ATQKTVDGPSGKLWRDGRGAAQNIIPASTGAAKAVGKVIPELNGKLTGMAFRVPTPNVSV
+VDLTCRLEKPAKYDEIKKVVKQASEGPLKGILGYTEDQVVSCDFNSDTHSSTFDAGAGIA
+LNDHFVKLISWYDNEFGYSNRVVDLMVHMASKE
+>sp|Q9N0W2|FUT8_BOVIN Alpha-(1,6)-fucosyltransferase OS=Bos taurus OX=9913 GN=FUT8 PE=2 SV=1
+MRPWTGSWRWIMLILFAWGTLLFYIGGHLVRDNDHPDHSSRELSKILAKLERLKQQNEDL
+RRMAESLRIPEGPIDQGPASGRIRALEEQLVKAKEQIENYKKQTRNGLGKDHEILRRRIE
+NGAKELWFFLQSELKKLKNLEGNELQRHADEFLSDLGHHERSIMTDLYYLSQTDGAGDWR
+EKEAKDLTELVQRRITYLQNPKDCSKAKKLVCNINKGCGYGCQLHHVVYCFMIAYGTQRT
+LILESHNWRYATGGWETVFRPVSETCTDRSGVYTGHWSGEIKDKNVQVVELPIVDSLHPR
+PPYLPLAVPEDLADRLVRVHGDPAVWWVSQFVKYLIRPQPWLEKEIEEATKKLGFKHPVI
+GVHVRRTDKVGTEAAFHPIEEYMVHVEEHFQLLARRMQVDKKRVYLATDDPSLLKEAKTK
+YPHYEFISDNSISWSAGLHNRYTENSLRGVILDIHFLSQADFLVCTFSSQVCRVAYEIMQ
+TLHPDASANFHSLDDIYYFGGQNAHNQIAIYPHEPRTADEIPMEPGDIIGVAGNHWDGYS
+KGVNRKLGRTGLYPSYKVREKIETVKVPHVPEAEK
+>sp|A0JNB0|FYN_BOVIN Tyrosine-protein kinase Fyn OS=Bos taurus OX=9913 GN=FYN PE=2 SV=1
+MGCVQCKDKEATKLTEERDGSLNQSSGYRYGTDPTPQHYPSFGVTSIPNYNNFHGAGGQG
+LTVFGGVNSSSHTGTLRTRGGTGVTLFVALYDYEARTEDDLSFHKGEKFQILNSSEGDWW
+EARSLTTGETGYIPSNYVAPVDSIQAEEWYFGKLGRKDAERQLLSFGNPRGTFLIRESET
+TKGAYSLSIRDWDDMKGDHVKHYKIRKLDNGGYYITTRAQFETLQQLVQHYSERAAGLCC
+RLVVPCHKGMPRLTDLSVKTKDVWEIPRESLQLIKRLGNGQFGEVWMGTWNGNTKVAIKT
+LKPGTMSPESFLEEAQIMKKLKHDKLVQLYAVVSEEPIYIVTEYMNKGSLLDFLKDGEGR
+ALKLPNLVDMAAQVAAGMAYIERMNYIHRDLRSANILVGNGLICKIADFGLARLIEDNEY
+TARQGAKFPIKWTAPEAALYGRFTIKSDVWSFGILLTELVTKGRVPYPGMNNREVLEQVE
+RGYRMPCPQDCPISLHELMIHCWKKDPEERPTFEYLQGFLEDYFTATEPQYQPGENL
+>sp|Q3SZA2|FMC1_BOVIN Protein FMC1 homolog OS=Bos taurus OX=9913 GN=FMC1 PE=3 SV=1
+MAALGSPLRTWRGLLRELRYLNAATGRPYRDTAAYRYLVKAFRAHRVTSEKLCRAQHELH
+FQAATYLCLLRSIREHVALHQEFHGKGERSVEESAGLVGLKLPQQPGGKGWEP
+>sp|O18956|ENTP1_BOVIN Ectonucleoside triphosphate diphosphohydrolase 1 OS=Bos taurus OX=9913 GN=ENTPD1 PE=1 SV=1
+MEDRRESELKVFCSKNILSILGFSCIIAVIALLALGLTQNKALPENVKFGIVLDAGSSHT
+SLYIYRWPAEKENDTGVVTQIEESNVKGPGISGFAKKVNEINVYLTACMERAQKVIPSIQ
+HMETPVYLGATAGMRLLRMENKQMADKILAAVASSISEYPFDFQGARIISGQEEGAYGWI
+TVNYLLGKFTQKLSWFNLKPSKDDTQETYGALDLGGASTQITFVPQNETTESPNNNLYFR
+LYGKNYSVYTHSFLCYGKDQALLQKLALGLQGTNGIIHEPCFHSRYMRKIKMSVLNEGFC
+TKRHELNSSFYPLVDIEIRGAGNFQRCRQSIIQLFNTSYCPYSSCSFNGVFLPPLHGQFG
+AFSAFYYVMEFLNLTSEESVSVEQLTEKLEEFCAQRWEEVQKNFGEVKEKYLSEYCFSGT
+YILVLLLNGYHFTAESWKNIHFMNKVRSTDVGWTLGYMLNLTNKIPAEEPMSPPLPHSTY
+VFLMVLFSLILLAVIIVGIVVFHKPSYFWKDMV
+>sp|E1BPW0|ENTP5_BOVIN Ectonucleoside triphosphate diphosphohydrolase 5 OS=Bos taurus OX=9913 GN=ENTPD5 PE=3 SV=1
+MALYQGAAFFMLVASCVCSTVFHREQQTWFEGVFLSSMCPVNVSAGTLYGIMFDAGSTGT
+RIHVYTFVQKVPDNTGQLPVLEGEIFDSVKPGLSAFVDQPKQGAETVQELLEVAKDSIPP
+SHWKRTPVVLKATAGLRLLPEEKAEALLFEVKEIFKKSPFLVPDDSVSIMDGSYEGILAW
+VTVNFLTGQLHGHNQETVGTLDLGGASTQITFLPQFEKTLEQTPRDYLTSFEMFNSTYKL
+YTHSYLGFGLKAARLATLGALETAGIDGYTFRSACLPRWLEAEWIFGGVKYQYGGNQEAG
+EVGFEPCYAEVLRVVQGKLHQPDEVQRGSFYAFSYYYDRAVDTDMIDYEKGGVLKVEDFE
+RKAREVCDNLENFTSGSPFLCMDLSYITALLKDGFGFASSTVLQLTKKVNNIETGWALGA
+TFHLLQSLGISH
+>sp|Q2KIT4|DNJB4_BOVIN DnaJ homolog subfamily B member 4 OS=Bos taurus OX=9913 GN=DNAJB4 PE=2 SV=1
+MGKDYYCILGIEKGASDEDIKKAYRKQALRFHPDKNKSPQAEERFKEVAEAYEVLSDPKK
+REIYDQFGEEGLKGGAGGTDGQGGTFRYTFHGDPHATFAAFFGGSNPFEIFFGRRMGGGR
+DSDEMEVDGDPFGAFGFSMNGYPRDRNSVGPSRLKQDPPVIHELRVSLEEIYSGCTKRMK
+ISRKRLNPDGRSYRTEDKILTIEIKKGWKEGTKITFPREGDETPTSIPADIVFVIKDKDH
+PKFKRDGSNIIYTAKISLREALCGCSINVPTMDGRTIPMTINDIVKPGMRRRIIGYGLPF
+PKNPDQRGDLLIEFEVSFPDTISSSSKEVLRKHLPAS
+>sp|Q3SZN5|DPOE3_BOVIN DNA polymerase epsilon subunit 3 OS=Bos taurus OX=9913 GN=POLE3 PE=2 SV=1
+MAERPEDLNLPNAVITRIIKEALPDGVNISKEARSAISRAASVFVLYATSCANNFAMKGK
+RKTLNASDVLSAMEEMEFQRFVTPLKEALEAYRREQKGKKEASEQKKKDKDKKTDSEEQD
+KSRDEDNDEDEERLEEEEQNEEEEVDN
+>sp|Q0VCA0|FGF18_BOVIN Fibroblast growth factor 18 OS=Bos taurus OX=9913 GN=FGF18 PE=2 SV=1
+MYSAPSTCTCLCLHFLLLCFQVQVLAAEENVDFRIHVENQTRARDDVSRKQLRLYQLYSR
+TSGKHIQVLGRRISARGEDGDKYAQLLVETDTFGSQVRIKGKETEFYLCMNRKGKLVGKP
+DGTSKECVFIEKVLENNYTALMSAKYSGWYVGFTKKGRPRKGPKTRENQQDVHFMKRYPK
+GQAELQKPFKYTTVTKRSRRIRPTHPG
+>sp|Q2KJD6|ENTR1_BOVIN Endosome-associated-trafficking regulator 1 OS=Bos taurus OX=9913 GN=ENTR1 PE=2 SV=1
+MAGYARRPGVTPLSRARSLVIPDDDKLGDLEAANPFSFKEFLKTKNLSLSKEDTDSRVYS
+QEATRHSLGLDRTSPASQTVGYGLEYQQPFFEDPTGAGDLLDEDEDEEDGWNGAYLPSAM
+EQTHSSRVAASTSPCSTYVSFFSNPSELVGPESLPPWTLSDSDSRISPTGSPSADFTAHG
+ESLGDRHLRTLQISYEALKDENSKLRRKLTEIQSFSETQTEMVRTLERKLEAKMIKEESD
+YHDLESVVQQVEQNLELMTKRAVKAENHVLKLRQEVSLLQAQVSDFKRENEALRSGQGAS
+LTVVKQNTDVALQNLRVVMNNAHASIKQLVSGAETLNLVAEILKSIDRISEIKDQGEES
+>sp|Q8HYR6|DHRS9_BOVIN Dehydrogenase/reductase SDR family member 9 OS=Bos taurus OX=9913 GN=DHRS9 PE=2 SV=1
+MLFWLLVLLILCGFLWNYKRQLKIANITDKYIFITGCDTGFGNLAARTFDKKGFHVIAAC
+LTESGSTALKAETSERLHTVLLDVTDPENVKRAAQWVKNQVGEKGLWGLINNAGILGVLA
+PNDWLTVEDYREPVEVNLFGLISVTLNMLPLVKKARGRIINVSSIGGRLAFGGGGYSPSK
+YAVEGFNDSLRRDMKAFGVHVACIEPGLFKTNLSDPEKTAEKKLAIWKHLSPDIKQQYGE
+SYIEKSLEQLKGTASFVNVDLSLVVECMDHALTSLFPKTRYAAGKDAKTFWIPLSHMPAV
+LQDFLLLKQKVELANPRAV
+>sp|Q17QX9|CWC27_BOVIN Spliceosome-associated protein CWC27 homolog OS=Bos taurus OX=9913 GN=CWC27 PE=2 SV=1
+MSNIYIQEPPTNGKVLLKTTAGDIDIELWSKEAPKACRNFIQLCLEAYYDNTIFHRVVPG
+FIVQGGDPTGTGTGGESIYGVPFKDEFHSRLRFNRRGLVAMANAGPHDNGSQFFFTLGRA
+DELNNKHTIFGKVTGDTVYNMLRLTEVDIDDEERPRNSHKIRSCEVLFNPFDDIIPREIK
+KPKKEKPEEEVKKLKPKGTKNFSLLSFGEEAEEEEEEVNRVSQSMKGKSKSSHDLLKDDP
+HLSSVPAVESERGDAAEDSDDDGEYEGAEHDEYVDGDEKNQMRERIAKKLKKDTSENVKR
+AGEGEVEKKPVSRSEELRKEARQLKRELLAAKQKKAENSAIQAEKRSEEEEAAPDGAVAE
+YRREKQKYEALRKQQAKTGTSREDQTLALLNQFKSKLTQAIAETPENDISETEVEDDEGW
+MSHVLQFEDKSRKVKDASMQDSDTFEIYDPRNPVNKRRREESKKLMREKKERR
+>sp|Q1RMH9|DNJC2_BOVIN DnaJ homolog subfamily C member 2 OS=Bos taurus OX=9913 GN=DNAJC2 PE=2 SV=1
+MLLLPSAADGQGTAITHALTSASTLCQVEPVGRWFEAFVKRRNRNASASFQELEDKKELS
+EESEDEELQLEEFPMLKTLDPKDWKNQDHYAVLGLGHVRYKATQRQIKAAHKAMVLKHHP
+DKRKAAGEPIKEGDNDYFTCITKAYEMLSDPVKRRAFNSVDPTFDNSVPSKSEAKDNFFE
+VFSPVFERNSRWSNKKNVPKLGDMNSSFEDVDAFYSFWYNFDSWREFSYLDEEEKEKAEC
+RDERRWIEKQNRATRAQRKKEEMNRIRTLVDNAYSCDPRIKKFKEEEKAKKEAEKKAKAD
+AKRKEQEAKEKQRQAELEAARLAKEKEEEEVRQQALLAKKEKDIQKKAIKKERQKLRNLC
+KTWNHFSDSEAERVKMMEEVEKLCDRLELSSLQCLNETLTSSTKEVGKAALEKQIEEINE
+QIRKEKEEAEARMRQASKNAEKSAGGGGNGSKHWSEDDLQLLIKAVNLFPAGTNSRWEVI
+ANYMNIHSSSGVKRTAKDVIGKAKSLQKLDPHQKDDINKKAFDKFKKEHGVVPQADNATP
+SERFEGPCTDFTPWTTEEQKLLEQALKTYPVNTPERWEKIAEAVPGRTKKDCMKRYKELV
+EMVKAKKAAQEQVLNASRGKK
+>sp|A4IFL1|CXA4_BOVIN Gap junction alpha-4 protein OS=Bos taurus OX=9913 GN=GJA4 PE=2 SV=1
+MGDWGFLEKLLDQVQEHSTVVGKIWLTVLFIFRILILGLAGESVWGDEQSDFECNTAQPG
+CTNVCYDQAFPISHIRYWVLQFLFVSTPTLVYLGHVIYLSRREERLRQKEGELRALPAKD
+PRVERALASIERQMAKISVAEDGHLRIRGALMGTYVASVLCKSVLEAGFLYGQWRLYGWT
+MEPVFVCQRSPCPYLVDCFVSRPTEKTIFIIFMLVVGLISLVLNLLELAYLLCRCLSRGV
+RARQRQDAPPAPGTSSEPYADQVFFYLPMSEGPSSPPCPTYNGLSSSEQNWANLTTEERL
+ASSRAPLFLDPPPQTGRKSPSRPSSSASKKQYV
+>sp|P0C6R3|EST4A_BOVIN Carboxylesterase 4A OS=Bos taurus OX=9913 GN=CES4A PE=2 SV=1
+MNWILCLSLTLLLVVQTAWGALHTKEPLADTKYGTLRGKQIHVGKTPINVFLGVPFSKPP
+VGAHRFAAPEPPEPWEGIRDATTYAPVCLQESWGQVTSMYFNTHKRYKWLHFSEDCLYLN
+VHAPVRARGDPLQPVMIWFPGGAFLVGSASTYDGSELAAREKVVVVVLQHRLGILGFLST
+GDSQARGNWALLDQIAALRWVQKNIEAFGGDPGCVTLFGQSSGAMCISGLMTSSLARGLF
+HRAISQSGTAVFQNFITPDPLKVAKKIAQLAGCNHNSTKILVDCLRTLSGAEVMRVSQKM
+RFFKLHSQEDPQKVVWFMSPVVDGVVFQDNPIVLLTQGQVAPVPYLLGVNNLEFNWLLPF
+IMKFPMSHLRKETIAKLLWSTSTLLNVTKEQLPLVMEEYLRDVDDHDQKMLQKHVMDLAA
+DATFVYSTLQAAHYHHNAGFPVYLYEFEHYAPGTIVKPRTDGADHGDEIGFIFGSPFSKG
+HSSNKEKALSLQMMKYWANFARTGNPNGGKLPYWPRYNKDEKYLQLDLTTRVGVMLREEK
+MAFWKRLHQN
+>sp|A0JN54|DGKA_BOVIN Diacylglycerol kinase alpha OS=Bos taurus OX=9913 GN=DGKA PE=2 SV=1
+MAKERGLISPSDFAQLQKYMEYSTKKVSDVLKLFEDGEMAEYLQGDAIGYEGFQQFLKIY
+LEVDNVPDHLSQALFQSFQTGYYIEDTVREDVVCLSDVSCYFSLLEGGRPEDKLEFTFKL
+YDTDRNGILDSSEVDRIIIQMMRMAEYLDWDVSELRPILQEMMKEIDYDGSGSVSLAEWL
+RAGATTVPLLVLLGLEMTLKDNGQHMWRPKRFPRPVYCNLCESSIGLGKQGLSCNLCKYI
+VHDQCAMKALPCEVSTYAKSRKDIGVQSHVWVRGGCESGRCDRCQKKIRIYHSLVGLHCV
+WCHLEIHDDCLPAMGHECDCGLLRDHILPPSSIYPSVLASGQERKTSKISQKTMDDLSLS
+TSEALRIDPVSNTHPLLVFVNPKSGGKQGERVLWKFQYLLNPRQVFNLLKDGPEPGLRFF
+RDVPDYRILVCGGDGTVGWILESIDKANLPFVPPVAVLPLGTGNDLARCLRWGGGYEGQN
+LGKILKDLETSKVVHMDRWSVEVIPQQTEEKSDPVPFQIINNYFSIGVDASIAHRFHIMR
+EKYPEKFNSRMKNKLWYFEFATSESIFSTCKKLEESLTVEICGKPLDLSNLSLEGIAVLN
+IPSTHGGSNLWGDTKRPHGDIHGINQALGATAKVITDPDILKTCVPDLSDKRLEVVGLEG
+AIEIGQIYTKLKNAGHRLAKCSEITFHTTKTLPMQIDGEPWMQTPCTIKITHRNQMPMLV
+GPPPRSSNFFGFLC
+>sp|A6QLD5|F124B_BOVIN Protein FAM124B OS=Bos taurus OX=9913 GN=FAM124B PE=2 SV=1
+MDEMPEPPAMTVHLLANAGQGLLLQQTLDQLLDCICPDIRLFLVSERASPVKYYDKCHSK
+RSRFPGMSVLLFLKENLGEERLFHVLDSLQHWPWQCYPTQNAQGRPCPYILANQEFYSLD
+SQMPIWGVRQVHCGTEILRVTLYCSFDNYEDAIRLYAMILQREATLQKSNFCFFVLYSTE
+TFALQLSLKQLPLGTSVDPKEASVLQFKVQEIGQLVPLLPHPCVPISRTRWQTQDYDGNK
+ILLQVQLNPGLGVRNGEPPFLNGTLGADTLPHGSRLTPVSAIRTLELRSRRIRGRRFKVS
+SVELPEPGGRPVSDGSSNTWWKSAGGSAQPSSPATESQPQLSSLHLEPGARMKVLGRENS
+FEKLEAETNVDTGFTMVSSEPRPSFASRFPRNLQTHQPPSCLSTSFSGSAASKNNRIFKE
+RVHPLPLAGQRDLGAKKILSKCPLPLPVQGEAKEAEEEFFI
+>sp|Q2VWH6|FEZF2_BOVIN Fez family zinc finger protein 2 OS=Bos taurus OX=9913 GN=FEZF2 PE=2 SV=2
+MASSASLETMVPPACPRAGASPATSKTLAFSIERIMAKTSEPRAPFEPRPGALEADSGQG
+KKLLNLCSPLPCMIPLQPLGYEMPSKTLLSYSELWKSSLRAGGSGGGGGGGGGGGGAPVC
+GASGLCKTNCGVCCKAELGLVPSALPAGRVIKPQVINQAVGLPASGSLYYFNYLDSATYP
+SSELLGGHLFPSGLLNTQAPAALAAHPKLFLLENAKLAGLTADKFAHPAPYAHKERLPAP
+LEQVLKENSALTAERGGVKGHSKLPGGSADGKPKNFTCEVCGKVFNAHYNLTRHMPVHTG
+ARPFVCKVCGKGFRQASTLCRHKIIHTQEKPHKCNQCGKAFNRSSTLNTHIRIHAGYKPF
+VCEFCGKGFHQKGNYKNHKLTHSGEKQYKCTICNKAFHQVYNLTFHMHTHNDKKPFTCAT
+CGKGFCRNFDLKKHVRKLHDSVGPAAPSTKDLTRTVQS
+>sp|Q3T146|CE046_BOVIN Uncharacterized protein C5orf46 homolog OS=Bos taurus OX=9913 PE=3 SV=1
+MAVSGLRLTIVWGLLVLILTCQADDKPEGKPDEQPHDSGKNSEPAFPKFLNILGSDIIEN
+AVEFILRSMTRSTEFLEHGDKQGEHSSK
+>sp|Q2YDH9|CC172_BOVIN Coiled-coil domain-containing protein 172 OS=Bos taurus OX=9913 GN=CCDC172 PE=2 SV=1
+MSLESLFQHIIFSEHQAEESRRLMREVRSEINRCREKIKKAAEQLNKEKIQLESKVQQFS
+EKAFLLQLLKTHENALERQCNEITNQRNMLLQTFEATKRRVTEEEEKFIKEITDFNNEYE
+LTKKRERLMKENVKIQISDLENQANILKMEMKSMEHDSDLLNELQRQKNELIQELSTLQR
+KLKGFEDKKHEAICTTKYLEAEKIKINEKPQNDAECLRLKKELELYKEDDMQSVHDALQT
+EIEFLELTLAQKDHQETNNL
+>sp|Q29450|ADCY7_BOVIN Adenylate cyclase type 7 OS=Bos taurus OX=9913 GN=ADCY7 PE=2 SV=1
+MPAKGRYFLNEGEEGPDQDALYEKYRLTSQHGPLLLMLLLVAIAACTTLIVITFSYGDPS
+RHRAVLGTAFFTLAMFVLLYALVYVECLDRRGLRISALLIWGCLVTLGYVLVFDFDSPRK
+DTLCLWGRCPSSSFVVFVVYTLLPFSMWGAVTAGLVSSISHLLVLAMHQEDFTSPVGLKL
+LATAVVFVCGNLTGAFHKHHMQDASHDLFTYTVKCIQIRRKLRIEKRQQENLLLSVLPAH
+ISMGMKLAIIERLKERGDRRYLPDNNFHNLYVKRHQNVSILYADIVGFTRLASDCSPKEL
+VVVLNELFGKFDQIAKANECMRIKILGDCYYCVSGLPVSLPNHARNCVKMGLDMCEAIKQ
+VREATGVDISMRVGIHSGNVLCGVIGLRKWQYDVWSHDVSLANRMEAAGVPGRVHITEAT
+LKHLDKAYEVEDGHGQQRDPYLKEMNIRTYLVIDPRSQQPPQPSQHNSKNKGNATLKMRA
+SVRMTRYLESWGAARPFAHLNQRESVSSSETLVSHGRRPKAVPLRRHRTPDRSASPKGRS
+EDDSYDDEMLSAIEGLSSTRPCCSKSDDFSTFGSIFLEKGFEREYRLAPIPRVRYYFACA
+SLVFVCILLIHVLLLYSMKTLGVSFGLVACVLGLVLGLCFADVFLRCCPALGKLRAIAES
+VETQPLLRVSLAILTIGSLLVIAVVNLPLMPFRDRGLTAGNETGLRAVSGWEMSPCYLLP
+YYTCSCILAFIACSVFLRMSLELKVVLLTVALVAYLVLFNVYPSWQWDCCGHSLGNLTGT
+NGTLSSSSCSWHLKTMTNFYLVLFYTTLIMLSRQIDYYCRLDCLWKKKFKKEHEEFETME
+NVNRLLLENVLPAHVAAHFIGDKLNEDWYHQSYDCVCVMFASVPDFKVFYTECDVNKEGL
+ECLRLLNEIIADFDELLLKPKFSGVEKIKTIGSTYMAAAGLSVPSGPENQDLERQHAHIG
+IMVEFSTALMSKLDGINRHSFNSFRLRVGINHGPVIAGVIGARKPQYDIWGNTVNVASRM
+ESTGELGKIQVTEETCTILQGLGYSCECRGLIDVKGKGELRTYFVCTDTAKFQGLGLN
+>sp|Q2NKU0|CENPX_BOVIN Centromere protein X OS=Bos taurus OX=9913 GN=CENPX PE=3 SV=1
+MEETGAVFRKELVSKLLHLHFKDKKTKVSGDALQLVAELLKIFVVEAAIRSVRQAQAEGL
+AHVDVEQLEKVLPQLLLDF
+>sp|A6QLY2|GPX7_BOVIN Glutathione peroxidase 7 OS=Bos taurus OX=9913 GN=GPX7 PE=2 SV=1
+MVAARAAAWLLLAAAACAPREQDFYDFKAVNIRGKLVSLEKYRGSVSLVVNVASECGFTD
+QHYRALQQLQRDLGPHHFNVLAFPCNQFGQQEPDSNKEIESFARRTYSVSFPMFSKIAVT
+GTGAHPAFKYLTETSGKEPTWNFWKYLVAPDGKVIGAWDPTVSVEEIRPQITALVRKLIL
+KKREDL
+>sp|P80416|CYTA_BOVIN Cystatin-A OS=Bos taurus OX=9913 GN=CSTA PE=1 SV=1
+MIPGGLTEAKPATIEIQEIANMVKPQLEEKTNETYEEFTAIEYKSQVVAGINYYIKIQTG
+DNRYIHIKVFKSLPQQSHSLILTGYQVDKTKDDELAGF
+>sp|Q3ZBY7|DEGS1_BOVIN Sphingolipid delta(4)-desaturase DES1 OS=Bos taurus OX=9913 GN=DEGS1 PE=2 SV=1
+MGNRVSREDFEWVYTDQPHATRRQEILAKYPEIKSLMKPDSNLIWIVIMMVLTQFVAFYL
+VKDLDWKWVLFWAYAFGSCVNHSMTLAIHEVSHNSAFGHYKAMWNRWFGIFANLPIGVPY
+SVSFKRYHMDHHRYLGADGIDVDIPTDFEGWFFCTTFRKFIWVILQPLFYAFRPLFINPK
+PISYLEIINTVIQITFDIVVYYVLGVKSLVYMLAASLFGLGLHPISGHFIAEHYMFLKGH
+ETYSYYGPLNLLTFNVGYHNEHHDFPNIPGKSLPLVRKIAAEYYDNLPHYNSWIKVLYDF
+VTDDTISPYSRMKRHLKGNEVQE
+>sp|Q29RK8|CXG2_BOVIN Gap junction gamma-2 protein OS=Bos taurus OX=9913 GN=GJC2 PE=2 SV=1
+MTNMSWSFLTRLLEEIHNHSTFVGKVWLTVLVVFRIVLTAVGGESIYSDEQTKFTCNTRQ
+PGCDNVCYDAFAPLSHVRFWVFQIVVISTPSVMYLGYAVHRLARASQDERRRASRRRPSR
+RAPRPPLPLPPPPHPGWPEPADLGEEEPMLGLGEEDEDPGVAEGLGEDEEAEDTGAAKGA
+GGDTKVAGVPGPAGQHDGRRRIQREGLMRVYVAQLVARAAFEVAFLVGQYLLYGFEVRPF
+FACSRQPCPHVVDCFVSRPTEKTVFLLVMYVVSCLCLLLNLCEMAHLGLGNAQDAVRGRR
+PLPASPGPMPRPPPCALPAAPSGLACPPDYSLVVRTAEHARAQDQELASLALQALQDRRA
+LGDLDSPPGPGLPANARGPPKPGAPASGSGSATSGGTVGGQGRQGIKPRMGSEKGSGSSS
+REGKTTVWI
+>sp|P35662|CYLC1_BOVIN Cylicin-1 OS=Bos taurus OX=9913 GN=CYLC1 PE=1 SV=1
+MSLPRLCLGELINEDIHTWNSLCRQEITIKTYDNSIPISESSKKIRNQQYLTITFPKSSQ
+PGGNKRLRPSEIQVTVPRHDKRNLDELQKPAHIWIRHSLRKKFQSPSINLIVRRQASFRH
+PYTHITHSKKAESKKYKDDKKETALKKISKKDTGPHEVDEKPKRRNKADKTPSKSSHGSQ
+LSKKSKSKSETNPESKDSISVSIKHQKKEKRYSKDSKEMDFESTSTKKYSKSSKNNSDAV
+SETCSKNSSNVGLMVHLGESDAESMEFDMWLKNYSQNNSKKPTKKDAKKDAKGKGSDAES
+VDSKDAKKDKKGATKDTKKGAKKDTESTDAESGDSKDAKKGKKESKKDKKKDAKKDAASD
+AESGDSKDAKKDSKKGKKDSKKDNKKKDAKKDAESTDAESGDSKDAKKDSKKGKKDSKKD
+DKKKDAKKDAESTDAESGDSKNAKKDSKKGKKDDKKKDAKKDAVSTDADSESEGDAKKSK
+KDSKKDKKDLKKDDQKKPAMKSKESTETESDWESKKVKRDSKKDTKKTAKKATESSGAES
+DVSSKRYLKKTEMFKSSDAESEESLFKPGSKKRVDESDATSTDSKKDAVEPKRGIKMPSR
+RTTFKEKGKKIGTGRVPPSRERPPLPPCEPILPSPRVKRLCRCQMPPPPPKPRYAPLPEA
+KWIHKLL
+>sp|A1A4H6|DDX27_BOVIN Probable ATP-dependent RNA helicase DDX27 OS=Bos taurus OX=9913 GN=DDX27 PE=2 SV=1
+MLSELGFIRTIGEDEDVQVEPETDSEDEEEEGPIVLGRKQKALQKNRSADFNPDFVFTEK
+EGMYDGSWAMADVLSQLKKKRAATTLDEKIEKVRKKRKTEDKEAKSGKSEKEKEAKEGSE
+PEEEEDLERKDVEASEDEESETDYSSADENILTKADTLKIKERKKKKKKGQEAGGFFEDA
+SQYDENLSFQDMNLSRPLLKAITAMGFKQPTPIQKACIPVGLLGKDICACAATGTGKTAA
+FALPVLERLIYKPRQAPVTRVLVLVPTRELGIQVHSVTKQLAQFCSITTCLAVGGLDVKS
+QEAALRAAPDILIATPGRLIDHLHNCPSFHLSSIEVLILDEADRMLDEYFEEQMKEIIRM
+CSHHRQTMLFSATMTDEVKDLASVSLKNPVRIFVNSNTDVAPFLRQEFIRIRPNREGDRE
+AIVAALLMRTFTDHVMLFTQTKKQAHRMHILLGLMGLQVGELHGNLSQTQRLEALRRFKD
+EQIDILVATDVAARGLDIEGVKTVINFTMPNTIKHYVHRVGRTARAGRAGRSVSLVGEEE
+RKMLKEIVKAAKAPVKARILPQDVILKFRDKIEKMEKDVYAVLQLEAEEKEMQKSEAQIN
+TAQRLLEKGKEAPNPEPERSWFQTKEERKKEKIAKALQEFDLALRGKKKRKKFMKEAKKK
+GEMTAEERSQFEILKAQMFAERLAKRNRRAKRARAMPEEEPVRAPAKKQKQVKKSVFDEE
+LTNTSKKALKQYRAGPSFEERKKLGLPHQRRGGNFKSKSRYKRRK
+>sp|Q08E20|ESTD_BOVIN S-formylglutathione hydrolase OS=Bos taurus OX=9913 GN=ESD PE=2 SV=1
+MALKQVSSSKCFGGLQKVFEHDSVELKCKMKFAVYLPPKAETGKCPVLYWLSGLTCTEQN
+FISKSGYHQAASEHGLVVIAPDTSPRGCNIKGEEDSWDFGTGAGFYVDATEDLWKTNYRM
+YSYVTKELPQLVNDNFPVDPQRMSVFGHSMGGHGALICALKNPGKYKSVSAFAPLCNPVL
+CRWGKKAFTGYLGTDQSKWEAYDATYLVKSYPGPQLDILIDQGKEDEFLSDGQLLPDNFI
+AACTEKKIPVVFRLQEGYDHSYYFIATFIADHIRHHAKYLNA
+>sp|A6QPL2|FNDC4_BOVIN Fibronectin type III domain-containing protein 4 OS=Bos taurus OX=9913 GN=FNDC4 PE=2 SV=1
+MPGCLPADSVGTMASLMPLSPYLSPTVLLLVSCDLGFVRADRPPSPVNVTVTHLRANSAT
+VSWDVPEGNIVIGYSISQQRQNGPGQRVIREVNTTTRACALWGLAEDSDYTVQVRSIGLR
+GESPPGPRVHFRTLKGSDRLPSNSSSPGDITVEGLDGERPLQTGEVVIIVVVLLMWAAVI
+GLFCRQYDIIKDNDSNNNPKEKGKGPEQSPQGRPVGTRQKKSPSINTIDV
+>sp|Q3ZC48|DRAM2_BOVIN DNA damage-regulated autophagy modulator protein 2 OS=Bos taurus OX=9913 GN=DRAM2 PE=2 SV=1
+MWWFQQGLSFLPSALVIWTAAAFIFSYITAITLHHVDPVLPYISDTGTVAPEKCLFGAML
+NIAAVLCVATIYVRYKQVHALNPEENRIIRLNKAGLVLGLLSCLGLSLVANFQKTTFFAV
+HVCGAVLTFGMGSLYMFVQTILSYQMQPKIHGKQVFWIRLLLVIWCGVSAFSMLTCSSLL
+YNGSFGADIVQKLHWNPEDKGYVLHMITTAAEWSMSLSFFGFFLTYIRDFQKISLRVEAT
+LHGLTLYDTAPCPVNNERTWLLSRDV
+>sp|Q17QH9|DRC10_BOVIN Dynein regulatory complex protein 10 OS=Bos taurus OX=9913 GN=IQCD PE=2 SV=1
+MALDVLTVAPLYQGPDINKIRLIAQTTKKSTIPPKALTPARSKLTTIETKRIMSVLDETI
+HKVELVSLLSHAASESKTSEGMLGPDIAKAVREHEDLCQALLDRVSYLQEEERKLQEAED
+FEDEAWFRERLFAMDLQKSQLPPLMQEIKESTKNVVRLLLSNPQAASLLQAQTLGRSKEA
+QCFIDSLVELRGFLFEKLLTSPMEARDKIQFIQDITRRNRRHQEIIDTLENELAACVRNR
+NAEVEKENFVIQELKNHLHQVLRFSENSLLRTKQEAEKQQKADFRASQARVATVQQEILV
+LQSQFYNLVMENRDTEQALRKKKYKVETEIENWIQKYDSEMSEKQDEYEELDIIHKEEQL
+QLEELKKRHDVLVEEFSQIQAEREILTKKRLEDEQEMVRMVRAATLIQALWKGYLVRSML
+KSKKKKRGKGKGEKKGKGKGKGKK
+>sp|P17322|EDN1_BOVIN Endothelin-1 OS=Bos taurus OX=9913 GN=EDN1 PE=2 SV=1
+MDYFPMIFALLFVAFQGAPEAAVLGTELSAGAEDGGEKPAPATPWRPRRSKRCSCSSLMD
+KECVYFCHLDIIWVNTPEHVVPYGLGSPSRSKRSLKDFFPTKATVHRKRCQCASQTDKKC
+WNFCQAGKELRDQDSMEKAWNNQKRGKDCSKLGEKCLHQQLVAGRKTRRLEAISNSIKTS
+FRVAKLKAQLYRDKKVIYNRAH
+>sp|A3FPG8|GPAT4_BOVIN Glycerol-3-phosphate acyltransferase 4 OS=Bos taurus OX=9913 GN=GPAT4 PE=3 SV=1
+MFLLLPFDSLIVSLLGISLTVLFTLLLVFIIVPAVFGVSFGIRKLYMKTLLKIFAWATLR
+MERGAKEKNHQLYKPYTNGIIAKDPTSLEEEIKEIRRSGSSKALDNTPEFELSDIFYFCR
+KGMETIMDDEVTKRFSAEELESWNLLSRTNYNFQYISLRLTVLWGLGVLIRYCLLLSLRI
+ALAFTGISLLVVGTTMVGYLPNGRFKEFLSKHVHLMCYRICVRALTAIITYHDRKNRPRN
+GGICVANHTSPIDVIILASDGYYAMVGQVHGGLMGVIQRAMVKACPHVWFERSEVKDRHL
+VARRLTEHVQDKSKLPILIFPEGTCINNTSVMMFKKGSFEIGATVYPVAIKYDPQFGDAF
+WNSSKYGMVTYLLRMMTSWAIVCSVWYLPPMTRQAEEDAVQFANRVKSAIARQGGLVDLL
+WDGGLKREKVKDTFKEEQQKLYSKMIVGNHEDRSRS
+>sp|A7YWS7|DPOE2_BOVIN DNA polymerase epsilon subunit 2 OS=Bos taurus OX=9913 GN=POLE2 PE=2 SV=1
+MAPERLRSRALSAFKLRGLMLRGEAVKYLTEALQSINEIELEDALEKIIDAVEKQPLSSN
+MIERSVVEAAVQECSQSVDETIDHIFNIIGAFDIPRFVYNSERKKFLPLLMTNHPAPNLF
+GTARDKAELFRERYTILHQRTHRHELFTPPVIGSHPDESGSKFQLKTIETLLGSTSKIGD
+VIVLGMITQLKEGKFFLEDPTGTIQLDLSKAQFHSGLYTESCFVLAEGWFEDQVFHVNAF
+GFPPTEPSSTTRAYYGNTNFFGGPSNTSVKTSAKLKQLEDENKDAMFVFISDVWLDQVEV
+LEKLHIMFSGYSPAPPTCFILCGNFSSAPYGKNQVQALKDSLKTLADIICGYPNIHQSSR
+FVFVPGPEDPGFGSILPRPPFAESITNEFRQRVPFSVFTTNPCRIQYCTQEIIVFREDLV
+NKMCRNCVRFPSSNLDIPNHFVKTVLSQGHLTPLPLYVCPVYWAYDYALRVYPVPDLLVI
+ADKYDPFTLTNTECLCINPGSFPRSGFSFKVFYPSNKVVEDSKLQGF
+>sp|Q2KII4|ELOC_BOVIN Elongin-C OS=Bos taurus OX=9913 GN=ELOC PE=3 SV=1
+MDGEEKTYGGCEGPDAMYVKLISSDGHEFIVKREHALTSGTIKAMLSGPGQFAENETNEV
+NFREIPSHVLSKVCMYFTYKVRYTNSSTEIPEFPIAPEIALELLMAANFLDC
+>sp|Q0P571|MLRS_BOVIN Myosin regulatory light chain 2, skeletal muscle isoform OS=Bos taurus OX=9913 GN=MYLPF PE=2 SV=1
+MAPKKAKRRAAAEGGSSSVFSMFDQTQIQEFKEAFTVIDQNRDGIIDKEDLRDTFAAMGR
+LNVKNEELDAMMKEASGPINFTVFLNMFGEKLKGADPEDVITGAFKVLDPEGKGTIKKKF
+LEELLTTQCDRFSQEEIKNMWAAFPPDVGGNVDYKNICYVITHGDAKDQE
+>sp|Q0VCR2|CXA5_BOVIN Gap junction alpha-5 protein OS=Bos taurus OX=9913 GN=GJA5 PE=2 SV=1
+MGDWSFLGEFLEEVHKHSTVIGKVWLTVLFIFRMLVLGTAAESSWGDEQADFLCDTMQPG
+CENVCYDQAFPISHIRYWVLQVIFVSTPSLVYLGHAVHMVRVQEKRKLLREAERAKEARA
+AGSYEYPVAEKTELSCWEEVNGRIALQGSLLNTYVCSILIRTTMEVAFIVGQYLLYGVFL
+DTLHVCRRSPCPHPVNCYVSRPTEKNVFIVFMLAVAGLSLFLSLAELYHLGWKKIRQRYV
+KSQPGVGECQLPGPSAGRVQSCTPPPDFNQCLENGPGGKFFSPFSNKMASQQNTDNLSTE
+QVRSQEQIQREGFIHIRYAQKPEVPNEGSPGPSLPHGYQSDKRRLSKASSKARSDDLSV
+>sp|P04837|FSHB_BOVIN Follitropin subunit beta OS=Bos taurus OX=9913 GN=FSHB PE=2 SV=1
+MKSVQFCFLFCCWRAICCRSCELTNITITVEKEECGFCISINTTWCAGYCYTRDLVYRDP
+ARPNIQKTCTFKELVYETVKVPGCAHHADSLYTYPVATECHCSKCDSDSTDCTVRGLGPS
+YCSFREIKE
+>sp|Q6EWQ6|DHYS_BOVIN Deoxyhypusine synthase OS=Bos taurus OX=9913 GN=DHPS PE=2 SV=2
+MEGPQEREVPAAALAAVLKHSSALPFETAQVRGYDFNRGVDYRALLEAFSTTGFQATNFG
+RAVQQVNAMIEKKLEPLSEDEDQHADLTQSRRPLTGCTIFLGYTSNLISSGIRETIRYLV
+QHNMVDVLVTTAGGVEEDFIKCLAPTYLGEFSLRGKELRENGINRIGNLLVPNDNYCKFE
+DWLMPILDQMVLEQNTEGVKWTPSKMIARLGKEINNPESVYYWAQKNHIPVLSPALTDGS
+LGDMIFFHSYKNPGLVLDIVEDLKLINTQAIFAKRTGMIILGGGMVKHHIANANLMRNGA
+DYAVYINTAQEFDGSDSGARPDEAVSWGKIRMDAQPVKVYADASLVFPLLVAETFAQKVD
+AFMPEKNED
+>sp|P79107|FCGR3_BOVIN Low affinity immunoglobulin gamma Fc region receptor III OS=Bos taurus OX=9913 GN=FCGR3 PE=2 SV=1
+MWQLLPPAALPVLVSADTQTADPSKAVVLLDPQWNHVLTNDRVTLKCQGDYPVEDNSTKW
+WHNGTLISSQTPSYFIADVKVQDSGEYKCQTGLSAPSDPVKLEVHVGWLLLQVAQRVVNV
+GKPIRLKCHSWKKTPVAKVQYFRNGRGKKYSHGNSDFHIPEAKLEHSGSYFCRGIIGSKN
+ESSESVQITVQAPETLQTVSSFFPPWHQITFCLVMGVLFAVDTGLYFSVRRHLQSSEEWR
+DGKVTWSKGP
+>sp|Q3ZCA1|LRAT1_BOVIN Protein LRATD1 OS=Bos taurus OX=9913 GN=LRATD1 PE=2 SV=1
+MGNQLDRITHLNYSELPTGDPSGIEKDELRVGVAYFFSDEEEDLDERGQPDKFGVKAPPG
+CAPCPESPSRHHHHHHHHHLLHQLVLNETQFSAFRGQECIFSKVSGGPQGADLSVYAVTA
+LPALCEPGDLLELLWLQPAPEPPAPAPHWAVYVGGGQIIHLCQGEIRQDSLYEAGAANVG
+RVVNSWYRYRPLVAELVVQNACGHLGLKSEEICWTNSESFAAWCRFGKREFKAGGEVPAG
+TQPPQQQYYLKVHLADNKVHTARFHSLEDLIREKRRIDASGRLRVLQELADLVDDKE
+>sp|P12624|MARCS_BOVIN Myristoylated alanine-rich C-kinase substrate OS=Bos taurus OX=9913 GN=MARCKS PE=1 SV=6
+MGAQFSKTAAKGEATAERPGEAAVASSPSKANGQENGHVKVNGDASPAAAEPGAKEELQA
+NGSAPAADKEEPAAAGSGAASPAAAEKDEPAAAAPDAGASPVEKEAPVEGEAAEPGSPTA
+AEGEAASAASSTSSPKAEDGATPSPSNETPKKKKKRFSFKKSFKLSGFSFKKNKKEAGEG
+GEAEGAAGASAEGGKDEASGGAAAAAGEAGAAPGEPTAAPGEEAAAGEEGAAGGDPQEAK
+PEEAAVAPEKPPASEEAKAVEEPSKAEEKAEEAGVSAAGCEAPSAAGPGVPPEQEAAPAE
+EAAAAPASSACAAPSQEAQPECSPEAPPAEAAE
+>sp|Q5E9L3|MISSL_BOVIN MAPK-interacting and spindle-stabilizing protein-like OS=Bos taurus OX=9913 GN=MAPK1IP1L PE=2 SV=3
+MSDEFSLADALPENSPAKTSAVSNTKPGQPPQGWPGSNPWNNPSAPPAVPSGLPPSATPS
+PVPFGPTPTGMYPSVPPTGPPPGPPAPFPPSGPSCPPPGGPYPGPGPTGPYPTPNMPFPE
+LPRPYGAPTDPAAAGPLGPWGSMSSGPWAPGMGGQYPTPNMPYPSPGPYPAPPPPQAPGA
+APPVPWGTVPPGAWGPPAPYPAPAGSYPTPGLYPTPNNPFQVPSGPSGAPPMPGGPHSYH
+>sp|Q08E57|BABA1_BOVIN BRISC and BRCA1-A complex member 1 OS=Bos taurus OX=9913 GN=BABAM1 PE=2 SV=1
+MEVAEPSCPTEEEEEEEEEEEQSAEPRPRTRSNPEGAEDRALGAQTSVGSRSEGEGEAAS
+ADDGTANPPGAGPKPWQVPPPAPEVQVRTPRVNCPEKVIICLDLSEEMALPKLESFNGSK
+TNALNVSQKMIEMFVRTKHKIDKSHEFALVVVNDDTAWLSGLTSDPRELCSCLYDLETAS
+CSTFNLEGLFSLIQQKTELPVTENVQTIPPPYVVRTILVYSRPPCQPQFSLTEPMKKMFQ
+CPYFFFDVVYIHNGADEKEEEMSWKDMFAFMGSLDTKGTSYKYEVALAGPALELHNCMAK
+LLAHPLQRPCQSHASYSLLEEDDEATEVEATV
+>sp|A0JN62|CE120_BOVIN Centrosomal protein of 120 kDa OS=Bos taurus OX=9913 GN=CEP120 PE=2 SV=2
+MVSKSDQLLIVVSILEGRHFPKRPKHMLIVEAKFDGEQLATDPVDHTDQPEFATELAWEI
+DRKALHQHRLQRTPIKLQCFALDPSTSARETIGYIVLDLRTAQETKQAPKWYQLLSNKYT
+KFKSEIQISIALETDTKAPVDSFKAKGAPPRDGKVPASLSGLDPKDIVAVLNEEGGYHQI
+GPAGYCTDFFIMSVTIAFATQLEQLIPCTMKLPERQPEFFFYYSLLGNDVTNEPFSDLIN
+PNFEPERASVRIRSSIEILRVYLTLHSKLQIHLCCGDQSLGSTEIPLTGLLKKGSTEINH
+RPVTVEGAFTLDPPNRAKQKLAPIPVELAPTVGVSVALQREGMDVQSLIELKTQNEHEPH
+HSKKRVLTPIKENTHTGPQSPSESPVPPHNQSPPTKDDATESEVESLLYDKDTKLNPKAI
+SSSVPALLAKPVTTSIASEAASGQKIAVPATSHHFCFSIDLRSIHDLEVGFPINCILRYS
+YPFFGSAAPIMTNPPVEVRKNMEVFLPQSYCAFDFATLPHQLQDTFLRIPLLVELWHKDK
+MSKDLLLGIARIQLSNILSSEKTRFLGSNGEQCWRQTFSESVPIVATQGSNNRIVDLSYT
+VTLEDYGLVKMREIFVSDSSQGLSAVQQKPSSVPPAPCPSEIQTEPRETLEYKAALELEM
+WKEMQEDIFENQLKQKELAHMQALAEEWKKRDRERESLVKKKVAEYNILEGKLQKTLIDL
+EKREQQLAIAESELQRERRELKSERERNLQELQDSIRRAKEDCVHQVELERLKMKQLEED
+KHRLQQQLNDAENKYKTLEKEFHQFKDQQSSKPEIRLQSEINLLTLEKVELERKLESATK
+SKLHYKQQWGRALKELARLKQREQESQMARLKKQQEELEQMRLRYLAAEEKDTVKTERQE
+LLDIRNELNRLRQQEQKQYPDSREIASGKMDGPHGSALEEGLDDYLTRLIEERDTLMRTG
+VYNHEDRIISELDRQIREVLAKNNASN
+>sp|Q9N1Q8|CABP5_BOVIN Calcium-binding protein 5 OS=Bos taurus OX=9913 GN=CABP5 PE=1 SV=1
+MQFPMGPACIFLRKGIAEKQRERPLGPDEIEELREAFLEFDKDRDGFISCKDLGNLMRTM
+GYMPTEMELIELGQQIRMNLGGRVDFDDFVELMTPKLLAETAGMIGVQEMRDAFKEFDAN
+GDGEITLGELQQAMQRLLGDKLTSQEISEVVQEADINGDGTVDFEEFVKMMSR
+>sp|Q28177|BFSP2_BOVIN Phakinin OS=Bos taurus OX=9913 GN=BFSP2 PE=1 SV=1
+MSTRRVVVDAPAGASSSMPLQRHKASFRAAQSPSSLDGLPASRTVAVSGLVRTPRVYVGM
+APSGPTGGLGARVTRRALGISSVFLQGLRSSGLATAPAPSLERDLGAVEDLGGCLVEYMA
+KVHALEKVSQELEAQLRMHLESKATRSENWGALRASWASSCQQVGEAVLENARLMLQTEN
+IQAGADDFKERYENEQPFRKAAEEEINSLYKVIDEANSSKMDLESQIESLKEELGFLSRS
+YEEDVKMLYKQLAGSELEQLNVPIGTGLDDILETIRIHWERDVEKNRLQAGALLQAKQQA
+ELARRAQTQEEKLAAALRVELHNTSCQIQSLQAETESLRALKRGLENTLHDAKHWHDIEL
+QNLGAVVSRLEAELREMRAEAEQQLQAREHLLSHKCQLQRDVASYHALLDREESS
+>sp|P63258|ACTG_BOVIN Actin, cytoplasmic 2 OS=Bos taurus OX=9913 GN=ACTG1 PE=1 SV=1
+MEEEIAALVIDNGSGMCKAGFAGDDAPRAVFPSIVGRPRHQGVMVGMGQKDSYVGDEAQS
+KRGILTLKYPIEHGIVTNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMT
+QIMFETFNTPAMYVAIQAVLSLYASGRTTGIVMDSGDGVTHTVPIYEGYALPHAILRLDL
+AGRDLTDYLMKILTERGYSFTTTAEREIVRDIKEKLCYVALDFEQEMATAASSSSLEKSY
+ELPDGQVITIGNERFRCPEALFQPSFLGMESCGIHETTFNSIMKCDVDIRKDLYANTVLS
+GGTTMYPGIADRMQKEITALAPSTMKIKIIAPPERKYSVWIGGSILASLSTFQQMWISKQ
+EYDESGPSIVHRKCF
+>sp|P25508|COCA1_BOVIN Collagen alpha-1(XII) chain (Fragments) OS=Bos taurus OX=9913 GN=COL12A1 PE=1 SV=1
+NQPGPPGPPGPPGSAGEPGPGGRPGFPGTPGMQGPQGERGLPGEXGERGLPGPPGPQGES
+RTGPPGSTGSRGPPGPPGRPGDSGIR
+>sp|Q3ZBI7|ATPMD_BOVIN ATP synthase membrane subunit DAPIT, mitochondrial OS=Bos taurus OX=9913 GN=ATP5MD PE=1 SV=1
+MAGPEADAQFHFTGIKKYFNSYTLTGRMNCVLATYGSIALIVLYFKLRSKKTPAVKAT
+>sp|Q3T0K1|CALU_BOVIN Calumenin OS=Bos taurus OX=9913 GN=CALU PE=2 SV=1
+MDLRQFLMCLSLCTAFALSKPTEKKDRVHHEPQLSDKVHNDAQSFDYDHDAFLGAEEAKT
+FDQLTPEESKERLGMIVDKIDADKDGFVTEGELKSWIKHAQKKYIYDNVENQWQEFDLNQ
+DGLISWDEYRNVTYGTYLDDPDPDDGFNYKQMMVRDERRFKMADKDGDLIATKEEFTAFL
+HPEEYDYMKDIVVQEPMEDIDKNADGFIDLEEYIGDMYSHDGNADEPEWVKTEREQFVEF
+RDKNRDGKMDKEETKDWILPSDYDHAEAEARHLVYESDQNKDGKLTKEEIVDKYDLFVGS
+QATDFGEALVRHDEF
+>sp|Q9TTS3|ACACA_BOVIN Acetyl-CoA carboxylase 1 OS=Bos taurus OX=9913 GN=ACACA PE=2 SV=1
+MDEPSSLAKPLELNQHSRFIIGSVSEDNSEDEISNLVKLDLLEEKEGSLSPASVSSDTLS
+DLGISSLQDGLALHMRSSMSGLHLVKQGRDRKKIDSQRDFTVASPAEFVTRFGGNKVIEK
+VLIANNGIAAVKCMRSIRRWSYEMFRNERAIRFVVMVTPEDLKANAEYIKMADHYVPVPG
+GPNNNNYANVELILDIAKRIPVQAVWAGWGHASENPKLPELLLKNGIAFMGPPSQAMWAL
+GDKIASSIVAQTAGIPTLPWSGSGLCVDWHENDFSKRILNVPQELYEKGYVKDVDDGLKA
+AEEVGYPVMIKASEGGGGKGIRKVNNADDFPNLFRQVQAEVPGSPIFVMRLAKQSRHLEV
+QILADQYGNAISLFGRDCSVQRRHQKIIEEAPAAIATPAVFEHMEQCAVKLARMVGYVSA
+GTVEYLYSQDGSFYFLELNPRLQVEHPCTEMVADVNLPAAQLQIAMGIPLYRIKDIRMMY
+GVSPWGDAPIDFENSAHVPCPRGHVIAARITSENPDEGFKPSSGTVQELNFRSNKNVWGY
+FSVAAAGGLHEFADSQFGHCFSWGENREEAISNMVVALKELSIRGDFRTTVEYLIKLLET
+ESFQLNRIGTGWLDRLIAEKVQAERPDTMLGVVCGALHVADVSLRNSISNFLHSLERGQV
+LTAHTLLNTVDVELIYEGVKYVLKVTRQSPNSYVVIMNGSCVEVDVHRLSDGGLLLSYDV
+SSYTTYMKEEVDRYRITIGNKTCVFEKENDPSVLRSPSAGKLIQYIVEDGGHVFAGQCYA
+EIEVMKMVMTLTAAESGCIHYVKRPGAALDPGCVIAKMQLDNPSKVQQAELHTGSLPRIQ
+STALRGEKLHRVFHYVLDNLVNVMNGYCLPDPFFSSRVKDWVERLMKTLRDPSLPLLELQ
+DIMTSVSGRIPPNVEKSIKKEMAQYASNITSVLCQFPSQQIANILDSHAATLNRKSEREV
+FFMNTQSIVQLVQRYRSGIRGHMKAVVMDLLRQYLRVETQFQNGHYDKCVFALREENKSD
+MNTVLNYIFSHAQVTRKNLLVTMLIDQLCGRGPTLTDELLNILTELTQLSKTTNAKVALR
+ARQVLIASHLPSYELRLNQVESIFLSAIDMYGHQFCIENLQKLILSETSIFDVLPNFFYH
+SNQVVRMAALEVYVRRAYIAYELNSVQHRQLKDNTCVVEFQFMLPTSHPNRGNIPTLNRM
+SFSSNLNHYGMTHVASVSDVLLDNAFTPPCQRMGGMVSFRTFEDFVRIFDEVMGCFCDSP
+PQSPTFPEAGHTSLYDEDKVPRDEPIHILNVAIKTDCDIEDDSLAAMFREFTQQNKATLV
+EHGIRRLTFLVAQKDFRKQVNYEVDQRFHREFPKFFTFRARDKFEEDRIYRHLEPALAFQ
+LELNRMRNFDLTAIPCANHKMHLYLGAAKVEVGTEVTDYRFFVRAIIRHSDLVTKEASFE
+YLQNEGERLLLEAMDELEVAFNNTNVRTDCNHIFLNFVPTVIMDPSKIEESVRSMVMRYG
+SRLWKLRVLQAELKINIRLTPTGKAIPIRLFLTNESGYYLDISLYKEVTDSRTAQIMFQA
+YGDKQGPLHGMLINTPYVTKDQLQSKRFQAQSLGTTYIYDIPEMFRQSLIKLWESMSSQA
+FLPPPPLPSDILTYTELVLDDQGQLVHMNRLPGGNEIGMVAWKMTLKSPEYPDGRDIIVI
+GNDITYRIGSFGPQEDLLFLRASELARAEGIPRIYVAANSGARIGLAEEIRHMFHVAWVD
+PEDPYKGYKYLYLTPQDYKRVSALNSVHCEHVEDEGESRYKITDIIGKEEGLGAENLRGS
+GMIAGESSLAYDEIITISLVTCRAIGIGAYLVRLGQRTIQVENSHLILTGAGALNKVLGR
+EVYTSNNQLGGIQIMHNNGVTHSTVCDDFEGVFTVLHWLSYMPKSVYSSVPLLNSKDPID
+RVIEFVPTKAPYDPRWMLAGRPHPTQKGQWLSGFFDYGSFSEIMQPWAQTVVVGRARLGG
+IPVGVVAVETRTVELSIPADPANLDSEAKIIQQAGQVWFPDSAFKTYQAIKDFNREGLPL
+MVFANWRGFSGGMKDMYDQVLKFGAYIVDGLRECSQPVMVYIPPQAELRGGSWVVIDPTI
+NPRHMEMYADRESRGSVLEPEGTVEIKFRRKDLVKTMRRVDPVYIHLAERLGTPELSVAE
+RKELESKLKEREEFLLPIYHQVAVQFADLHDTPGRMQEKGVINDILDWKTSRTFFYWRLR
+RLLLEDLVKKKIHNANPELTDGQIQAMLRRWFVEVEGTVKAYVWDNNKDLVEWLEKQLTE
+EDGVRSVIEENIKYISRDYVLKQIRSLVQANPEVAMDSIVHMTQHISPTQRAEVVRILST
+MDSPST
+>sp|Q17QE0|F241A_BOVIN Uncharacterized protein FAM241A OS=Bos taurus OX=9913 GN=FAM241A PE=2 SV=1
+MCSAGQLLGGGGGGGGSGGERDEDRDALAERAAAGTEQESGASPRRRGRRPLEEREQDIE
+ESQNHTGEPVGDDYKKMGTLFGELNKSLLNMGFTRMYFGEQIVEPVIVIFFWVMLWFLGL
+PAFGLVALLCLVIIYVQQ
+>sp|P42891|ECE1_BOVIN Endothelin-converting enzyme 1 OS=Bos taurus OX=9913 GN=ECE1 PE=1 SV=2
+MMSTYKRATLDEEDLVDSLSESDVYPNHLQVNFRGPRNGQRCWAARTPVEKRLVVLVALL
+AAALVACLAVLGIQYQTRTPSVCLSEACISVTSSILSSMDPTVDPCQDFFTYACGGWIKA
+NPVPDGHSRWGTFSNLWEHNQAIIKHLLENSTASVSEAERKAQVYYRACMNETRIEELKA
+KPLMELIEKLGGWNITGPWDKDNFQDTLQVVTSHYHTSPFFSVYVSADSKNSNSNVIQVD
+QSGLGLPSRDYYLNKTENEKVLTGYLNYMVQLGKLLGGGAEDTIRPQMQQILDFETALAN
+ITIPQEKRRDEELIYHKVTAAELQTLAPAINWLPFLNTIFYPVEINESEPIVIYDKEYLS
+KVSTLINSTDKCLLNNYMIWNLVRKTSSFLDQRFQDADEKFMEVMYGTKKTCLPRWKFCV
+SDTENTLGFALGPMFVKATFAEDSKNIASEIILEIKKAFEESLSTLKWMDEDTRKSAKEK
+ADAIYNMIGYPNFIMDPKELDKVFNDYTAVPDLYFENAMRFFNFSWRVTADQLRKAPNRD
+QWSMTPPMVNAYYSPTKNEIVFPAGILQAPFYTRSSPNALNFGGIGVVVGHELTHAFDDQ
+GREYDKDGNLRPWWKNSSVEAFKQQTACMVEQYGNYSVNGEPVNGRHTLGENIADNGGLK
+AAYRAYQNWVKKNGAEQTLPTLGLTNNQLFFLSFAQVWCSVRTPESSHEGLITDPHSPSR
+FRVIGSISNSKEFSEHFHCPPGSPMNPHHKCEVW
+>sp|Q2T9Z2|FND11_BOVIN Fibronectin type III domain-containing protein 11 OS=Bos taurus OX=9913 PE=2 SV=1
+MNFQVTGLGLDKIKLDSPQSFLDQEEVEEAEDGQLLEPEAWRTYVERRNALQEFLTSDLS
+PHLLKRHHARMELLKKCSYYIEILPKHLALGDQNPLVLPTTMFQLIDPWKFQRMKKVGAA
+QTKIQLLLLGDLLEQLDHGRSELDALLESPDPRPFLAGWGLVEQRLADLSAVMDSFLAMM
+VPGRLHIKHRLVSDIGATKIPHIRLMLSTKMPVMFDRKESVAHQDWVSLRWFVTIQQAVP
+EQFELRYKLLDPRTQQECMQCGIIPVAACAFDIRNLLPNRAYKFTVKRAESYTLVYEPWR
+DSLTLHTRPGPPEGLAPSRLGKLGLSLTTPSER
+>sp|A3KMX0|ER6L2_BOVIN DNA excision repair protein ERCC-6-like 2 OS=Bos taurus OX=9913 GN=ERCC6L2 PE=2 SV=3
+MQPGCQALPPGQMDQSTPKPHAETNSKDIWHPGERCLAPSPDNEKLCEASIKSITVDENG
+KSFAVILYSNFQERRVPLKRLQEVKSVKDCSRNFIFDDEDLEKPYFPDRKFPSSAVPFQL
+SENGDSIPYTINRYLRDYQREGAQFLYGHFIQGRGCILGDDMGLGKTVQVISFLAAVLGK
+KGTREDIENNMPEFLLRNMKKDPPSTAKKMFLIVAPLSVLYNWKDELDTWGYFRVTILHG
+NKKDSELIRVKQRKCEIALTTYETLRLCLDELNSLEWSAVIVDEAHRIKNPKARVTEIMK
+ALRCNVRIGLTGTILQNNMKELWCVMDWAVPGLLGSRIHFKKQFSDPVEHGQRHTATKRE
+LATGRKAMQRLARKMSGWFLRRTKTLIKDQLPKKEDRMVYCSLTDFQKAVYQTVLETEDV
+SLILQSSEPCTCNSGQKRRNCCYKTNSQGETVKTLYFSYLAVLQKVANHVALLQTASTSR
+QQETLIKRICDQVFSRFPDFVQKSKDAAFETLSDPKYSGKMKVLQQLLNHCRKNKDKVLL
+FSFSTKLLDVLQQYCMASGLDYRRLDGSTKSEERIKIVKEFNSTQDVNICLVSTMAGGLG
+LNFVGANVVVLFDPTWNPANDLQAIDRAYRIGQCRDVKVLRLISLGTVEEIMYLRQVYKQ
+QLHCVVVGSENAKRYFEAVQGSKEHQGELFGVYNLFKLRSQGSCLTRDILEREGKVEAGI
+MTATTWLKEEPPVHKLETAREPDCREHRGPEQLAEAACDLCSDFSEDETASNSVTKTVKN
+KASDSSRASVSPGQFSLLQCGFSKLFETKCETVEDGDENIASDNESSDEQPTCFSTEAKN
+AGCQKSQDSLGTLKHQKLANVLNPNGKCVFEKSEKILKENIPSESDDEKNFKNTVDHHHI
+LQNVTESEDSDIIFPTQYTTQRFLKKNIRFKPPVDGSEDSESENPVNIKNNGDDTKTNVR
+GNGLISKQLNLEIETLKSNTKRKGGSDISDESDDIEVFCKSRVRKQRATSSLRFKRKKEN
+KRELYTFPKTMKKANQQCATDEDCNSQTIDDFSSSDDNLSVGHISFSKQNHRPKTIKDKI
+SVSSKLTNHKRNSTFIPRKPMKFSNESVVNQNRICESMDKFLDGVQEVAYIHSNQNVIGS
+SKAENHMSRWAARDVFELKQFSQLPANIAVCTSKTHKEKMKGDISTRTKECQPLSEGSIS
+FPLYISHPVSQKKKKVCRIDQTTFIIGETPKGIRRKQFEEMASYFKLSSVKEFAKRVTNA
+TSEERQKMLRDFYTSQYPEVREFFVDSPSECTKSASEKGERVENKSEKRQSLMKEQPSDS
+ETLSFKNSTKKISPIFSPSICKKKSIKFQNHSSCKKKVLSNDVETKKLPISCTQETDGGE
+RSQASEGTAASCSLNSKSGADELGKLENAGKGQQDLTSLGISRNGPIFQVENKTIENPVL
+EDTSVVGLLGDTSILDDLFKSHENSPTQLPKKVLSGSIEKAKQRPKDFWDILNDQNDDSL
+SKLTDLAVIETLCEKVPFAASSKRKEDLEASLWKSNEKFLWKKFNSSDTDESTANTQE
+>sp|Q58D13|DPOA2_BOVIN DNA polymerase alpha subunit B OS=Bos taurus OX=9913 GN=POLA2 PE=2 SV=1
+MAVSAQLLVEELQIFGLECEEAVIEKLVELCILYGQNEEGMASELIAFCTSTRKDCFTLE
+TLNSFEHEFLSKRVSKTRHGASKDKGLRHAGARDIVSIQELIEVEEEEETLLNSYTTPSK
+GSQKRTITTPETPLTKRSVSARSPHQLLSPSSFSPSATPPQKYSSRSNRGEVVTSFGSAQ
+GVSWSGRGGASPLSLKVLGHPEPLTGSYKYMFQKLPDIREVLTCKIEELGSELKEHYKIE
+AFAPILVPAQEPVTLLGQIGCDSNGKLNHKSVILEGDLEHSSGAQIPVDLSELKEYSLFP
+GQVVVMEGINTTGRKLVATRLYEGVPLPFHQPDEEDGDSEQFMVLVACGPYTTSDSITFD
+PLLDLITIINRDRPDVCILFGPFLDAKHEQVESCLLTSSFEDVFKQCLRTIIEGTRSSGS
+HLIIVPSLRDVHHEPVYPQPPFSCSDLLREDKKRVRLVSEPCTLSINGVIFGLTSTDLLF
+HMGAEEISSSSGTSDRFSRILRHILTQRSYYPLYPPQEDMAIDYENFYLYAQLPVTPDVF
+IAPSELRYFVKVGLNSAISLQCQNQFLSGALALNSSRVCWWGQWPRSFGGGVGVENKSVS
+IMLK
+>sp|P00429|CX6B1_BOVIN Cytochrome c oxidase subunit 6B1 OS=Bos taurus OX=9913 GN=COX6B1 PE=1 SV=2
+MAEDIQAKIKNYQTAPFDSRFPNQNQTRNCWQNYLDFHRCEKAMTAKGGDVSVCEWYRRV
+YKSLCPISWVSTWDDRRAEGTFPGKI
+>sp|P98072|ENTK_BOVIN Enteropeptidase OS=Bos taurus OX=9913 GN=TMPRSS15 PE=1 SV=1
+MGSKRSVPSRHRSLTTYEVMFAVLFVILVALCAGLIAVSWLSIQGSVKDAAFGKSHEARG
+TLKIISGATYNPHLQDKLSVDFKVLAFDIQQMIDDIFQSSNLKNEYKNSRVLQFENGSII
+VIFDLLFDQWVSDKNVKEELIQGIEANKSSQLVTFHIDLNSIDITASLENFSTISPATTS
+EKLTTSIPLATPGNVSIECPPDSRLCADALKCIAIDLFCDGELNCPDGSDEDNKTCATAC
+DGRFLLTGSSGSFEALHYPKPSNNTSAVCRWIIRVNQGLSIQLNFDYFNTYYADVLNIYE
+GMGSSKILRASLWSNNPGIIRIFSNQVTATFLIQSDESDYIGFKVTYTAFNSKELNNYEK
+INCNFEDGFCFWIQDLNDDNEWERTQGSTFPPSTGPTFDHTFGNESGFYISTPTGPGGRR
+ERVGLLTLPLDPTPEQACLSFWYYMYGENVYKLSINISSDQNMEKTIFQKEGNYGQNWNY
+GQVTLNETVEFKVSFYGFKNQILSDIALDDISLTYGICNVSVYPEPTLVPTPPPELPTDC
+GGPHDLWEPNTTFTSINFPNSYPNQAFCIWNLNAQKGKNIQLHFQEFDLENIADVVEIRD
+GEGDDSLFLAVYTGPGPVNDVFSTTNRMTVLFITDNMLAKQGFKANFTTGYGLGIPEPCK
+EDNFQCKDGECIPLVNLCDGFPHCKDGSDEAHCVRLFNGTTDSSGLVQFRIQSIWHVACA
+ENWTTQISDDVCQLLGLGTGNSSVPTFSTGGGPYVNLNTAPNGSLILTPSQQCLEDSLIL
+LQCNYKSCGKKLVTQEVSPKIVGGSDSREGAWPWVVALYFDDQQVCGASLVSRDWLVSAA
+HCVYGRNMEPSKWKAVLGLHMASNLTSPQIETRLIDQIVINPHYNKRRKNNDIAMMHLEM
+KVNYTDYIQPICLPEENQVFPPGRICSIAGWGALIYQGSTADVLQEADVPLLSNEKCQQQ
+MPEYNITENMVCAGYEAGGVDSCQGDSGGPLMCQENNRWLLAGVTSFGYQCALPNRPGVY
+ARVPRFTEWIQSFLH
+>sp|A6QP05|DHR12_BOVIN Dehydrogenase/reductase SDR family member 12 OS=Bos taurus OX=9913 GN=DHRS12 PE=2 SV=1
+MLLYRSAAWFAKGLREYTKSGYESASKDFVPDDLEVQVPGRAFMVTGGNSGIGKATAMEI
+AKRGGTVHLVCRDHSRAEGAKAEIIRESGNQNIFLHIVDLSLPKSVWKFVENFKQEHTLN
+VLINNAGCMVNKRELTEDGLEKNFATNTLGVYVLTTALIPVLEKEHDPRVITVSSGGMLV
+QKLNTDDPQSERTAFDGTMVYAQNKRQQVVLTERWARAHPAIHFSCMHPGWVDTPGVRLS
+MPGFHARLGARLRSEAQGADTVLWLALAPAATAQPSGCFFQDRKPAPTHLPLARTSSSPA
+EEEKLIEILEELARRFK
+>sp|Q28022|MFAP5_BOVIN Microfibrillar-associated protein 5 OS=Bos taurus OX=9913 GN=MFAP5 PE=1 SV=1
+MTFFGPKVLLLLTALIMSSGRTPLGVSGQRGDDVTTVTSETFTEDPNLVNDPATDETVLA
+DIQPSTDDLASLNDKNTTTECRDEKFACTRLYSVHRPIKQCLHQICFTSSRRMYVINNEI
+CSRLVCKEHEAMKDELCRQKAGLPPRRLRRSNYFRLPPCDNVNLQGPSGL
+>sp|Q3MHR3|DYL2_BOVIN Dynein light chain 2, cytoplasmic OS=Bos taurus OX=9913 GN=DYNLL2 PE=3 SV=1
+MSDRKAVIKNADMSEDMQQDAVDCATQAMEKYNIEKDIAAYIKKEFDKKYNPTWHCIVGR
+NFGSYVTHETKHFIYFYLGQVAILLFKSG
+>sp|Q95135|EAA3_BOVIN Excitatory amino acid transporter 3 OS=Bos taurus OX=9913 GN=SLC1A1 PE=2 SV=1
+MGKPARKGCDWKRFLRNNWLLLSTVVAVVLGIVIGVLVREYSKLSNLEKFYFSFPGEILM
+RMLKLVILPLIVSSMITGVATLDSNVSGKIGLRAVVYYFCTTLIAVILGIVLVVSIKPGV
+TQKVNEIDRTGNTPEVSTVDAMLDLIRNMFPENLVQACFQQYKTTREEVELSEEPGTNST
+EATVTAIMTTAISKNKTKEYKVVGMYSDGINVLGLIVFCLVLGIVIGRKWEKGQILVDFF
+NALSDATMKIVQIIMCYMPIGILFLIAGKIIEVEDWEIFRKLGLYMATVLSGLAIHSIVI
+LPLIYFIIVRKNPFQFAMGMAQALLTALMISSSSATLPVTFRCAEEKNRVDKRITRFVLP
+VGATINMDGTALYEAVAAVFIAQLNDLDLSVGQIITISVTATAASIGAAGVPQPGLVTMV
+IVLSAVGLPAEDVTLIIAVDWLLDRFRTMVNVLGDAFGTGIVEKLSKKELEQMDVSSEVN
+IVNPFTLESTALDNEDSDTKKSYVNGGFAVDKSDTISFTQTSQF
+>sp|Q3T0L5|EPCAM_BOVIN Epithelial cell adhesion molecule OS=Bos taurus OX=9913 GN=EPCAM PE=2 SV=1
+MAPPQVLAFGLLVAAATAAVAADQEGCVCENYKLTTNCSVNALGQCQCTSVGTQHSVICT
+KLATKCLVMKAEMNHSKSGRRGKPEGAIQNNDGLYDPECDDKGLFKAKQCNGTSTCWCVN
+TAGVRRTDKDSEISCSEPVRTYWIIIELKHKTREKPYDLQSLQSALKDVITNRYQLDPKY
+ITNILYENDVITIDLVQNSSQKTQNDVDIADVAYYFEKDVKDESLFHSKRMDLRVNGELL
+DLDPGRTSIYYVDEKPPEFSMQGLQAGIIAVIVVVVVAIIAGIIVLVVSRKKSMTKYEKA
+EIKEMGEMHRELNA
+>sp|Q08DF4|DYN1_BOVIN Dynamin-1 OS=Bos taurus OX=9913 GN=DNM1 PE=2 SV=1
+MGNRGMEDLIPLVNRLQDAFSAIGQNADLDLPQIAVVGGQSAGKSSVLENFVGRDFLPRG
+SGIVTRRPLVLQLVNATTEYAEFLHCKGKKFTDFEEVRLEIEAETDRVTGTNKGISPVPI
+NLRVYSPHVLNLTLVDLPGMTKVPVGDQPPDIEFQIRDMLMQFVTKENCLILAVSPANSD
+LANSDALKVAKEVDPQGQRTIGVITKLDLMDEGTDARDVLENKLLPLRRGYIGVVNRSQK
+DIDGKKDITAALAAERKFFLSHPSYRHLADRMGTPYLQKVLNQQLTNHIRDTLPGLRNKL
+QSQLLSIEKEVEEYKNFRPDDPARKTKALLQMVQQFAVDFEKRIEGSGDQIDTYELSGGA
+RINRIFHERFPFELVKMEFDEKELRREISYAIKNIHGIRTGLFTPDLAFEATVKKQVQKL
+KEPSIKCVDMVVSELTATIRKCSEKLQQYPRLREEMERIVTTHIREREGRTKEQVMLLID
+IELAYMNTNHEDFIGFANAQQRSNQMNKKKASGNQDEILVIRKGWLTINNIGIMKGGSKE
+YWFVLTAENLSWYKDDEEKEKKYMLSVDNLKLRDVEKGFMSSKHIFALFNTEQRNVYKDY
+RQLELACETQEEVDSWKASFLRAGVYPERVGDKEKASETEENGSDSFMHSMDPQLERQVE
+TIRNLVDSYMAIVNKTVRDLMPKTIMHLMINNTKEFIFSELLANLYSCGDQNTLMEESAE
+QAQRRDEMLRMYHALKEALSIIGDINTTTVSTPMPPPVDDSWLQVQSVPTGRRSPTSSPT
+PQRRAPAVPPARPGSRGPAPGPPPAGSALGGAPPVPSRPGASPDPFGPPPQVPSRPNRAP
+PGVPSQPIGSGKSIPS
+>sp|A2VDP5|ENPP4_BOVIN Bis(5'-adenosyl)-triphosphatase ENPP4 OS=Bos taurus OX=9913 GN=ENPP4 PE=2 SV=1
+MKLLLMLLFSGLMTGCRGNSSSASPPKLLLVSFDGFRADYLQNYEFPHLQNFIKEGVLVE
+QVKNVFITKTFPNHYSIVTGLYEESHGIVANSMYDVNTKKHFSDHNDKDPFWWNEAVPIW
+VTNQLQDNRSSAAAMWPGTDVPIHNSTPSYFMNYSPSVSFRERLGNVTTWLSSSNPPVTF
+ATLYWEEPDASGHKYGPEDKENMRRVLEEIDEHIGELVHRLKVLGLWESLNVIITSDHGM
+TQCSKDRVINLDGCLDPSYYTLIDLTPVAAILPKINKTKVYSKLKVCDPHMNVYLKEDIP
+ARFHYQHSDRIQPIILVADEGWTIVLNKSSLKLGDHGYDNSLPSMNPFLAAHGPAFHKGY
+KHSSINTVDIYPMMCHILGLKPHPNNGTFGHTKCLLVDQWCINLPEAIGIVIGALLVLTT
+LTCLIIIMQNRVSGPRPFSRLQLQEDDDDPLIG
+>sp|P50448|F12AI_BOVIN Factor XIIa inhibitor OS=Bos taurus OX=9913 PE=1 SV=1
+MASRLTPLTLLLLLLLAGDRVTSDMIVGPGNLQEGESEGDSQKGGILDGESIQGNEDSPT
+LPITNLTVVPATVTKPFSQPATEPVQSTIQPTAEPFCLAPVTSCSDSEIRSAEAVLGEAL
+TDFSLRLYQDFSVLKKRETNFIFSPFSIASLLTQILLGAGGETRVSLEHLLSYPQNFSCV
+HHALRAFMSEGFTSFSQIFHSSDLTIKDTFAEASQRLYGSSPRPLGNDSTASLELINDWV
+AKKTNLRIRRLLDSLPEDTRLILLNAVALSAKWKIAFDKGRTSTKPFHLKSSAIKVPMMN
+SKKYPVASFTDRTLNRPGGRLQLSHNLSFVILVPQTVKHHLQDLEQALSTAVFKAVIKKL
+EMTKFHPTHLTMPRIKVQSSQDMLDYFDFIYDVNLCGLTEDPDVQVSGIRHQATLELTES
+GVDATAASVVSVARNLLLFEVQQPFLFLLWDQQHKFPVFMGRVYDPKG
+>sp|Q2HJI3|F136A_BOVIN Protein FAM136A OS=Bos taurus OX=9913 GN=FAM136A PE=2 SV=1
+MAELQQLRVQEAVDSMVKSLERENIRKMQGLMFRCSAACCEESQASMQQVHQCIERCHAP
+LAQAQALVTSELEKFQDRLARCTMYCNDKAKDSIDAGSKELHVKRQLETCVTKCVDDHMN
+LIPTMTRKMKESLSSIGK
+>sp|A0JNF3|F149B_BOVIN Protein FAM149B1 OS=Bos taurus OX=9913 GN=FAM149B1 PE=2 SV=1
+MELDQNATEKVQAMFTAIDELLYEQELSVHTKSLREECQQWTRSFPHLRILGRQIITPSE
+GYGLYPRSPSAVSASREATLSQERDSTIFGIRGKKLHFSSSYGPKASSIAKSPCLCSMER
+EEDCIIFSEGIIEEYLAFDHTDMEEEFHGKKSEAATEKQKLGYPPIAPFYCMKEDVLAYV
+FDDVWSKVLSCMEQLTRTHWEGFASDDESNVAITRPASQSPCVLSELQPLVLPRVPQSKV
+LSVTSHPMSLCQAASGHQPNVNGLLVHGMPLQPRNLSLMDKLLDLDDKLLMRPGSSTIFS
+TRNWPNRALKLSTSFLSYTVQSARRRNPPPRTLHPISTSHSRAGTPRLMDEIRRGSRVPV
+AADSLSSPSPLPLSRNNLLPPIGTAEAEHLSTVGPQRQTKTHSDSSRARSAVVDEPNHQQ
+PQEKLLLPDFFSRPNTTQSFLPDTQYRRVCAAEYPHQARPGRGSAGTGPQLHGSTKSQNR
+SGPISRTRQGP
+>sp|A6QQ66|ERIC2_BOVIN Glutamate-rich protein 2 OS=Bos taurus OX=9913 GN=ERICH2 PE=2 SV=1
+MSKNVVIAEQEKNNEHCPEDINDKLSESTDDDGEDTSDEDKEEDSNPNKDTHAPLELMTE
+FLRAEMGHDYHLAKKLCQMILIYEPENPEAKEFFSLIEEMLLMEKAQNLEEDDDESEEDN
+SESEGESTEDPSEESSDECEDG
+>sp|Q8WNR4|H2BV_BOVIN Histone H2B subacrosomal variant OS=Bos taurus OX=9913 GN=SUBH2BV PE=1 SV=3
+MARNVTKRNKRCRGHQKAIYKKKSHSSSESGLRNYSLYINRVLKEVVPQKGISSRTIDII
+NTMINDMFERISTEACNLMYYRKRCTLTPEDIEKAVYLLLPEKLAKYAVAFGKEAVQRYV
+RS
+>sp|Q3T0N1|MB12A_BOVIN Multivesicular body subunit 12A OS=Bos taurus OX=9913 GN=MVB12A PE=2 SV=1
+MDPGPGANGMPLAGLAWSSASAPPPRGFSAISCTVEGTPASFGKTFAQKSGYFLCLNPLG
+SLENPQENVVVDIQILVDKSPLPPGFSPVCDPLDSKASVSKKKRMCVKLVPLGAADTAVF
+DIRLSGKTKTVPGYLRVGDMGGFAIWCRKAKAPRPVPKPRALSRDVRDLSLDSPGQPSKG
+GFPERTLSRLGSRASTLRRNDSIYEASNLYGISAMDGVPFTLHPRFEGKSCGPLAFSAFA
+DLTIKSLADIEAEYNYGFVVEKTAAARLPPSVS
+>sp|Q5E997|CAZA2_BOVIN F-actin-capping protein subunit alpha-2 OS=Bos taurus OX=9913 GN=CAPZA2 PE=1 SV=3
+MADLEEQLSDEEKVRIAAKFIIHAPPGEFNEVFNDVRLLLNNDNLLREGAAHAFAQYNLD
+QFTPVKIEGYEDQVLITEHGDLGNGKFLDPKNRISFKFDHLRKEATDPRPYEAENAIESW
+RTSVETALRAYVKEHYPNGVCTVYGKKIDGQQTIIACIESHQFQAKNFWNGRWRSEWKFT
+ITPSTTQVVGILKIQVHYYEDGNVQLVSHKDIQDSLTVSNEVQTAKEFIKIVEAAENEYQ
+TAISENYQTMSDTTFKALRRQLPVTRTKIDWNKILSYKIGKEMQNA
+>sp|A0JN41|CAH10_BOVIN Carbonic anhydrase-related protein 10 OS=Bos taurus OX=9913 GN=CA10 PE=2 SV=1
+MEIVWEVLFLLQANFIVCISAQQNSPKIHEGWWAYKEVVQGSFVPVPSFWGLVNSAWNLC
+SVGKRQSPVNIETSHMIFDPFLTPLRINTGGRKVSGTMYNTGRHVSLRLDKEHLVNISGG
+PMTYSHRLEEIRLHFGSEDSQGSEHLLNGQAFSGEVQLIHYNHELYTNVTEAAKSPNGLV
+VVSIFIKVSDSSNPFLNRMLNRDTITRITYKNDAYLLQGLNIEELYPETSSFITYDGSMT
+IPPCYETANWIIMNKPVYITRMQMHSLRLLSQNQPSQIFLSMSDNFRPVQSLNNRCIRTN
+INFSLQGKDCPNNRAQKLQYRVNEWLLK
+>sp|Q2T9P5|CC50C_BOVIN Cell cycle control protein 50C OS=Bos taurus OX=9913 GN=TMEM30C PE=2 SV=1
+MKRKCQDYESRLPDNTAVKQQQLPAFRLQLTASEILSGFFAIGLFCLGMGIILLLSAKSI
+KEVEINYTEKCATCAKLREEATNFDKECNCSISFYLPQKMEGNVYLYYKLYGFYQNLYRY
+ILSRSNIQLVGADVKDVRNCAPFRTSDNGLPIAPCGAIANSMFNDTIVLWYNFNSSTHIR
+VPMVRTETAWWTDKYVKFQNPAFQNLSSAFAGTAKPPNWPKPVYELDENDPGNNGFINDD
+FIVWMRTAAFPNFKKLYRRLHRIGNFTEGLPAGSYSFIINYNFPVSRFQGQKAVVLSTLT
+WSGGSSLFLALAYLVTGAVTLLASFSMMALHLKLKERKTFFLQ
+>sp|Q5EA37|CC130_BOVIN Coiled-coil domain-containing protein 130 OS=Bos taurus OX=9913 GN=CCDC130 PE=2 SV=1
+MGERKGVNKYYPPDFNPEKHGSLNRYHNSHPLRERARKLSQGILIIRFEMPYNIWCDGCK
+NHIGMGVRYNAEKKKVGNYYTTPIYRFRMKCHLCVNYIEMQTDPANCDYVIVSGAQRKEE
+RWDMEDNEQVLTTEHEKKQKLEMDAMFRLEHGEADRSTLKKALPTLSHIQEAQSAWKDDF
+ALNSMLRKRFREKKKAMQEEEERDQALQAKASLAIPLVPETEDDRRLAALLKFHTLDSYE
+DKQKLKRTEIISRSWFPSTPGASASSSSSSKTNSVLKKLAQNRRATPTSSPVTMGHLGIV
+RRRSREVPESPQHVAETFKSGEPQLPEGTNQNRPVSPQDCSLETAETPKNSSALGQEESC
+QDRPQPPPDTSPEAPNPQDTPQPCSLGSSLVADYSGSESE
+>sp|Q3SZZ0|BRX1_BOVIN Ribosome biogenesis protein BRX1 homolog OS=Bos taurus OX=9913 GN=BRIX1 PE=2 SV=1
+MAATKRKRRGGLAVQAKKLKRDAKDGKLPAKANDVSEEAAEEEKDRIPGPVCKGKWKNKE
+RILIFSSRGINFRTRHLMQDLRMLMPHSKADTKMDRKDKLFVINEVCEMKNCNKCIYFEA
+KKKQDLYMWLSNSPHGPSAKFLVQNIHTLAELKMTGNCLKGSRPLLSFDPAFDELPHYAL
+LKELLIQIFSTPRYHPKSQPFVDHVFTFTILDNRIWFRNFQIIEEDAALVEIGPRFVLNL
+IKIFQGSFGGPTLYENPHYQSPNMHRRVIRSITAAKYREKQQVKDVQKLRKKEPKTILPH
+DPTADVFVTPAEEKPVEIQWVKPEPKVDLKARKKRIYKRQRKMKQKMSSGNAK
+>sp|Q32LQ0|AMPE_BOVIN Glutamyl aminopeptidase OS=Bos taurus OX=9913 GN=ENPEP PE=2 SV=1
+MILEERSSWEGSKRYCIKTKHVAIICAVVVAVGLIVGLSVGLTRSCDSTEGMTQGTTQGT
+TQAPSHLPPVTSPPEDQGVCPASEDESGGWKDFRLPDFIKPVHYDLEVKPLMEQDTYTGS
+VDISINVSSSTRYLWLHLRETRITRLPVLRRPSGEQVQVRQCFEYKKQEYVVVEAEEELE
+PNTGEGPYHLILEFAGWLNGSLVGFYRTTYVEKGQTKSIAATDHEPTDARKSFPCFDEPN
+KKATYTISIVHSKEYKALSNMPVEKEESVDDIWSRTTFQKSVPMSTYLVCFAVHQFDSVT
+RISNRGIPLTIYVQPEQKHTAEYAANITKSVFDYFEDYFGMSYSLPKLDKIAIPDFGTGA
+MENWGLITYRETNLLYDPDESASSNKQRVAAVIAHELVHQWFGNIVTMEWWDDLWLNEGF
+ASFFEYLGVAYAEKDWQMRDQMILDDVLPVQEDDSLMSSHPIVVTVATPDEITSVFDGIS
+YSKGASILRMLENWITREKFQIGCQNYLKKHKFENAKTSDFWAALEEASNLPVKEVMDTW
+TNQMGYPVLNVDNMKNITQKRFLLDPRANASEPHSAFGYTWNIPIKWTEDDEQRITLYNR
+SETGGITLESTLSGNAFLKINPDHIGFYRVNYEVSTWEWIATNLSVNHTDFSSADRASFI
+DDAFALARAQLLNYKEALNLTKYLKEEKEYLPWHRVISAVTYIISMFEDDKELYPVIEKY
+FRDQVKPIADSLGWNDVGDHLTKLLRASVLGLACKMGDSDALNNASQLFQEWLTGTVSLP
+VNLRLLVYRYGMQNSGNETSWNYTLEQYQKTSLAQEKEKLLYGLASVKNVTLLSRYLDLL
+KDSNLIKTQDVFTVIQYISYNSYGKTMAWNWIQLNWEYLVNRYTLNNRNLGRIVTIAEPF
+NTELQLWQIKSFFERYPEAGAGQKPREQVLETVKNNIEWLKQNRDTIRNWFLDLNG
+>sp|P00430|COX7C_BOVIN Cytochrome c oxidase subunit 7C, mitochondrial OS=Bos taurus OX=9913 GN=COX7C PE=1 SV=3
+MLGQSIRRFTTSVVRRSHYEEGPGKNIPFSVENKWRLLAMMTLFFGSGFAAPFFIVRHQL
+LKK
+>sp|A5PJP1|BL1S3_BOVIN Biogenesis of lysosome-related organelles complex 1 subunit 3 OS=Bos taurus OX=9913 GN=BLOC1S3 PE=2 SV=1
+MESQSRRRRPLRRPETLVQGEAAESDSDLSASSSEEEELYLGPSGPTRGRPTGLRVAGEA
+AETDSDPEPEPKAAPRDLPPLVVQRETAGEAWAEEEAPAPAPARSLLQLRLAESQARLDH
+DVAAAVSGVYRRAGRDVAALAGRLAAAQAAGLAAAHSVRLARGDLCALAERLDIVASCRL
+LPDIRGVPGTEPEQDPGPRA
+>sp|Q3T0R4|DRS7B_BOVIN Dehydrogenase/reductase SDR family member 7B OS=Bos taurus OX=9913 GN=DHRS7B PE=2 SV=1
+MVSAATRKSLLRARVMDFITSTAILPLLLGCVGLFSLFKLLQWLRMRAYVRNAVVVITGA
+TSGLGRECARVFHAAGARLVLCGRNAEALEELSQELAASRAPGVQTHKPCTVTFDLADPG
+AIAGAASEILQCFGHVDVLINNAGISYRGAIVDTSPDVDKRVMETNYFGPVALTKALLPA
+MIRRRQGHVVAISSIQGKISLPFRSAYAASKHATQAFFDCLRAEVEQHDIEVTVISPGYI
+HTNLSLNAVTADGSKYGVMDETTAQGRSPVQVAQDILAALGKKKKDVVLADPMPSLAVYL
+RTLAPGLFFRLMASRARKERKSKHS
+>sp|P01035|CYTC_BOVIN Cystatin-C OS=Bos taurus OX=9913 GN=CST3 PE=1 SV=2
+MVGSPRAPLLLLASLIVALALALAVSPAAAQGPRKGRLLGGLMEADVNEEGVQEALSFAV
+SEFNKRSNDAYQSRVVRVVRARKQVVSGMNYFLDVELGRTTCTKSQANLDSCPFHNQPHL
+KREKLCSFQVYVVPWMNTINLVKFSCQD
+>sp|Q2TBP1|DDX25_BOVIN ATP-dependent RNA helicase DDX25 OS=Bos taurus OX=9913 GN=DDX25 PE=2 SV=1
+MASLLWGGDAGAAESERLNGHFSNLIHPQNHLLGIKSATIPNIDGSVNRIEEDDEDDVVD
+LAANSLLNKLIRQSLVESSHRVEVLQKDPSSPLYSVKTFEELRLKEELLKGIYAMGFNRP
+SKIQEMALPMMLAHPPQNLIAQSQSGTGKTAAFVLAMLSRVNALKLFPQCLCLAPTYELA
+LQTGRVVERMGKFCVDVQVMYAIRGNRIPRGTDVTKQIVIGTPGTVLDWCFKRKLIDLTK
+IRVFVLDEADVMIDTQGFEDQSIRIQRALPSECQMLLFSATFEDSVWQFAERIIPDPNVI
+KLRKEELTLNNIRQYYVLCGNRKDKYQALCNIYGGITIGQAIIFCQTRRNAKWLTVEMMQ
+DGHQVSLLSGELTVDQRASIIQRFRDGKEKVLITTNVCARGIDVKQVTIVVNFDLPVNQA
+EEPDYETYLHRIGRTGRFGKKGLAFNMIEVDKLPLLMKIQDHFNSSIKQLDPEDMDEIEK
+IEY
+>sp|A6QLR4|FLOT2_BOVIN Flotillin-2 OS=Bos taurus OX=9913 GN=FLOT2 PE=2 SV=1
+MGNCHTVGPNEALVVSGGCCGSDYKQYVFGGWAWAWWCISDTQRISLEIMTLQPRCEDVE
+TAEGVALTVTGVAQVKIMTEKELLAVACEQFLGKSVQDIKNVVLQTLEGHLRSILGTLTV
+EQIYQDRDQFAKLVREVAAPDVGRMGIEILSFTIKDVYDKVDYLSSLGKTQTAVVQRDAD
+IGVAEAERDAGIREAECKKEMLDVKFMADTKIADSKRAFELQKSAFSEEVNIKTAEAQLA
+YELQGAREQQKIRQEEIEIEVVQRKKQIAVEAQEILRTDKELIATVRCPAEAEAHRIQQI
+AEGEKVKQVLLAQAEAEKIRKIGEAEAAVIEARGKAEAERMKLKAEAYQKYGDAAKMALV
+LDALPRIAAKIAAPLTKVDEIVVLSGDNSKVTSEVNRLLAELPASVHALTGVDLSKIPLI
+KKATGAQV
+>sp|P14769|GGTA1_BOVIN N-acetyllactosaminide alpha-1,3-galactosyltransferase OS=Bos taurus OX=9913 GN=GGTA1 PE=1 SV=1
+MNVKGKVILSMLVVSTVIVVFWEYIHSPEGSLFWINPSRNPEVGGSSIQKGWWLPRWFNN
+GYHEEDGDINEEKEQRNEDESKLKLSDWFNPFKRPEVVTMTKWKAPVVWEGTYNRAVLDN
+YYAKQKITVGLTVFAVGRYIEHYLEEFLTSANKHFMVGHPVIFYIMVDDVSRMPLIELGP
+LRSFKVFKIKPEKRWQDISMMRMKTIGEHIVAHIQHEVDFLFCMDVDQVFQDKFGVETLG
+ESVAQLQAWWYKADPNDFTYERRKESAAYIPFGEGDFYYHAAIFGGTPTQVLNITQECFK
+GILKDKKNDIEAQWHDESHLNKYFLLNKPTKILSPEYCWDYHIGLPADIKLVKMSWQTKE
+YNVVRNNV
+>sp|Q0IID7|DUS10_BOVIN Dual specificity protein phosphatase 10 OS=Bos taurus OX=9913 GN=DUSP10 PE=2 SV=1
+MPPSPLDDRVVVALSRPVRPQDLNLCLDSSYLGSAAPGSTSHPPVIATTVVSLKAANLTY
+MPSSSGSARSLNCGCSSASCCTVATYDKDNQAPTQAIAAGTATTAIGSSTTCPASQMVNN
+SENAGSLSPSGGVGSPMAGTPKQLASIKIIYPNDLAKKMTKCSKSHLPSQGPVIIDCRPF
+MEYNKSHIQGAVHINCADKISRRRLQQGKITVLDLISCREGKDSFKRIFSKEIIVYDENT
+NEPSRVVPSQPLHIVLESLKREGKEPLVLKGGLSSFKQNHENLCDNSLQLQECREVGGGA
+SAASSMLPQSIPSTPDIENAELTPILPFLFLGNEQDAQDLETMQRLNIGYVINVTTHLPL
+YHYEKGLFNYKRLPATDSNKQNLRQYFEEAFEFIEEAHQCGKGLLIHCQAGVSRSATIVI
+AYLMKHTRMTMTDAYKFVKGKRPIISPNLNFMGQLLEFEEDLNNGVTPRILTPKLMGVET
+VV
+>sp|E1BNS0|FXL15_BOVIN F-box/LRR-repeat protein 15 OS=Bos taurus OX=9913 GN=FBXL15 PE=3 SV=1
+MEPPMDPSGGEQEPGAVRLLDLPWEDVLLPHVLSRVPLRQLLWLQRVSRAFRALVQLHLA
+RLRRFDAAQVGPQIPRAALAWLLRDAEGLQELALAPCHEWLSDEDLVPVLARNPQLRSVA
+LAGCGQLSRRALGALAEGCPRLQRLSLAHCDWVDGLALRGLADRCPALEELDLTACRQLK
+DEAIVYLAQRRGAGLRNLSLAVNANVGDTAVQELARNCPELQHLDLTGCLRVGSDGIRTL
+AEYCPALRSLRVRHCHHVAEPSLSRLRKRGVDIDVEPPLHQALVLLQDMVGFAPFVNLQV
+>sp|A6H751|FOLC_BOVIN Folylpolyglutamate synthase, mitochondrial OS=Bos taurus OX=9913 GN=FPGS PE=2 SV=1
+MSRARCHALFLAAVSPRGATTRVAVRRGLSAWPVLQEPDMEYQDAVRTLNTLQTNANYLE
+MVKRKRGDPQTQLEAMKLYLARSGLQVEDLDQLNIIHITGTKGKGSTCAFTERILRSYGL
+KTGFFSSPHLVQVRERIRINGQPISSELFTKHFWRLYHRLEETKDDSSCVSMPGYFRFLT
+LMAFHVFLQEKVDLAVLEVGIGGAYDCTNIIRKPVVCGITSLGIDHTGLLGDTMEKIAWQ
+KGGIFKSGVPAFTVLQPDEPLAVLRDRAQQISCPLYLCPPLQALEEGGPPLTLGLEGEHQ
+RSNAALALQVARCWLQQKGYQDAGELKASRPSLPGQLPLAPVFQPTPRMQQGLRHTEWPG
+RTQLLRRGPLTWYLDGAHTTSSMQACVRWFRQALHRCERPDSGSEVRVLLFNSTGDRDSA
+ALLKLLQPCQFDYAIFCPNLAEMASTDNADQQNFTVTLDQVLLRCLAHQQHWSRLHEEQV
+SPDPWSTPGQEQDGPASLLLAPHPPHTHSASSLVFSCISHALQWITQGRDPLFQPPSPPR
+GLLAHPVADSGATVLQEAADIHVLVTGSLHLVGGVLKLLEPSLSQ
+>sp|Q2YDH8|FNDC8_BOVIN Fibronectin type III domain-containing protein 8 OS=Bos taurus OX=9913 GN=FNDC8 PE=2 SV=1
+MASETFYKVGDGEEAMLKKETLNVLNALDQMSKPFPNPKSMNRTVTTKSLPLSSRGSLVN
+FLEEDAINLPKHMPLEDSECSSDDTSISPMSSTLLNPIKLAVTQPNSRFFAGMLEGELNK
+LSLSSIAKSTEKGHLALCPQSKSQMAPGGLLDFDNPEVDTDTSSTPSESSVVLDVPEAPF
+VCEHTVSDSTAVISWTYAPGKQQVSFYQVLLQEVVRKNSGETPKAKNRPWIFNKILGTTV
+KLMELKPNTSYCLTVRAANTAGVGTWCKPYKFATVATDLNSFPENNPIQITVQRKEPQRK
+TVSLGLEDMRRLEDLEHLFPY
+>sp|Q2KID0|EXOS2_BOVIN Exosome complex component RRP4 OS=Bos taurus OX=9913 GN=EXOSC2 PE=2 SV=1
+MALEMRLPVARKPLSESLGRESKKHLVVPGDTITTDTGFMRGHGTYMGEEKLIASVAGSV
+ERVNKLICVKALKTRYNGEVGDIVVGRITEVQQKRWKVETNSRLDSVLLLSSMNLPGGEL
+RRRSAEDELAMRGFLQEGDLISAEVQAVFSDGAVSLHTRSLKYGKLGQGVLVQVSPSLVK
+RQKTHFHDLPCGASVILGNNGFIWVYPTPEHKEDDAGGFIANLEPVSLTDREVISRLRNC
+IVSLATQRMMLYDTSILYCYEASLPHQIKDILKPEIMEEIVMETRQRLLEQEG
+>sp|Q2KI97|GPR84_BOVIN G-protein coupled receptor 84 OS=Bos taurus OX=9913 GN=GPR84 PE=2 SV=1
+MWNASDVNFSCYHESVLGYRYVAVSWGIVVAVTGTVGNVLTLLALAIQPKLRTRFNLLIA
+NLTVADLLYCTLLQPFSVDTYLHLHWRTGATFCQIFGFLLFVSNSVSILTLCLIALGRYL
+LIAHPKLFPQVFSAKGIVLALVSTWVVAVASFAPLWPIYILVPVVCTCSFDRIRGQPYTT
+ILMGIYFVVGLSSVGVFYCLIHQQVKRAAQAMNQYKLRQASIRSNHVAGAHEAVPGRFQE
+LDSGLASGGPSEGISSEPVSAATTQTLEGDSSEVRDQSNSKAAKQMAEKNPPGVAAKART
+TKGAQRAQDSPSEFGKVTRMCFAVFLCFTLSYIPFLLLNILDAKVQAPRVVHMLAANLTW
+LNGCINPVLYAAMNRQFRQAYGSLLRRGPQSFHRFH
+>sp|A0JND3|EOGT_BOVIN EGF domain-specific O-linked N-acetylglucosamine transferase OS=Bos taurus OX=9913 GN=EOGT PE=2 SV=1
+MFMLLVFGALLPEVPLSGQDKAPPQADGISATPLFNYASLRLPEEHIPFFLHNNRHIATV
+CRKDSHCPYKKYLENLKYCWGYEKSCRPEFRFGYPVCTYVDMGWTDTLESAQEIFWKQAD
+FGYAAERLEELHVLCQPKEKNDSSLVCSRYLQYCRATNIYLDLRNIKRNHDRFKEDFVQS
+GEIGGYCKLDIRSLMSQGQRKSPLQSWFAELQSYTELNFRPVEDAQCDIVIEKPTYFMKL
+DAGVNMYHHFCDFINLYITQHVNNSFSTDVYVVMWDTSSYGYGDLFSDTWKAFTDYDVIH
+LKTYDAKRVCFKEAIFSLLPRMRYGLFYNTPLISGCQNTGLFRAFSQHVLHRLNITQEGP
+KGGKIRVTILARSTEYRKILNQNELVNALKTVSTFEVQIVDYKYKELGFLDQLRITHNTD
+IFIGMHGAGLTHLLFLPDWAAVFELYNCGDERCYLDLARLRGVHYITWRRQNKVFPQDKG
+HHPTLGEHPKFTNYSFDVEEFMYLVLQAADYVLQHPKWPFKKKHDEL
+>sp|Q0VC66|CTU1_BOVIN Cytoplasmic tRNA 2-thiolation protein 1 OS=Bos taurus OX=9913 GN=CTU1 PE=2 SV=1
+MPAPQCASCHKARAALRRPRSGQALCGSCFCAAFEAEVLHTVVAGRLLPPGAVVAVGASG
+GKDSTVLAHVLRELAPRLGISLHLVAVDEGIGGYRDAALAAVRRQAARWELPLTVVAYAD
+LFGGWTMDAVARSTAGSGRSRACCTFCGVLRRRALEEGARLVGATHVVTGHNADDMAETV
+LMNFLRGDAGRLARGGGLGSPGEGGALPRCRPLQLASQKEVVLYAHFRRLDYFSEECVYA
+PEAFRGHARDLLKMLEAARPSAVLDLVHSAERLALAPTARPPPPGACSRCGALASRALCQ
+ACALLDGLNRGRPRLAIGKGRRGLDEEGPPREPQPSRPLTSEPVPDF
+>sp|P41987|CXA3_BOVIN Gap junction alpha-3 protein OS=Bos taurus OX=9913 GN=GJA3 PE=2 SV=3
+MGDWSFLGRLLENAQEHSTVIGKVWLTVLFIFRILVLGAAAEEVWGDEQSDFTCNTQQPG
+CENVCYDRAFPISHVRFWVLQIIFVSTPTLIYLGHVLHLVRMEEKRKEREEEPPKAAGPE
+GHQDPAPVRDDRGKVRIAGALLRTYVFNIIFKTLFEVGFIAGQYFLYGFQLKPLYRCDRW
+PCPNTVDCFISRPTEKTIFILFMLAVACVSLLLNVLEIYHLGWKKLKQGMTSPFRPDTPG
+SRAGSVKPVGGSPLLLPPNSAPPAVTIGFPPYYAPSASSLGQASAPGYPEPPPPAALPGT
+PGTPGGGGNQGLRARAQNWANREAEPQTSSRKASPPAPTPPAAESPGGGPQQSLPEGAAG
+SSGDSDGEGAVTAVELHAPPEPPADPGRSSKASKSSGGRARAGDLAI
+>sp|Q2YDK0|FHL5_BOVIN Four and a half LIM domains protein 5 OS=Bos taurus OX=9913 GN=FHL5 PE=2 SV=1
+MTTPQFYCQYCMASLLGKKYVLKDDNPYCVSCYDRIFSNYCEECKEPIESGSKDLCYKGH
+HWHEGCFNCTKCNHSLVEKPFAAKDERLLCSECYSNECSSKCFHCKKTIMPGSRKMEFKG
+NYWHETCFVCEHCRQPIGTKPLISKESGNYCVPCFEKEFAHYCSFCKKVITSGGITFRDQ
+PWHKECFLCSGCRKELCEEEFMSRDDYPFCLDCYNHLYAKKCAACTKPITGLRGAKFICF
+QDRQWHSECFNCGKCSVSLVGEGFLTHNKEIFCRKCGSGVDTDI
+>sp|Q5EAE0|ERGI3_BOVIN Endoplasmic reticulum-Golgi intermediate compartment protein 3 OS=Bos taurus OX=9913 GN=ERGIC3 PE=2 SV=1
+MEALGKLKQFDAYPKTLEDFRVKTCGGATVTIVSGLLMLLLFLSELQYYLTTEVHPELYV
+DKSRGDKLKININVLFPHMPCAYLSIDAMDVAGEQQLDVEHNLFKKRLDKDGFPVSSEAE
+RHELGKVEVKVFDPDSLDPDRCESCYGAEMEDIKCCNSCEDVREAYRRRGWAFKNPDTIE
+QCRREGFSQKMQEQKNEGCQVYGFLEVNKVAGNFHFAPGKSFQQSHVHVHDLQSFGLDNI
+NMTHYIRHLSFGEDYPGIVNPLDHTNVTAPQASMMFQYFVKVVPTVYMKVDGEVLRTNQF
+SVTRHEKVANGLMGDQGLPGVFVLYELSPMMVKLTEKHRSFTHFLTGVCAIIGGMFTVAG
+LIDSLIYHSARAIQKKIDLGKTT
+>sp|P30671|GBG7_BOVIN Guanine nucleotide-binding protein G(I)/G(S)/G(O) subunit gamma-7 OS=Bos taurus OX=9913 GN=GNG7 PE=1 SV=1
+MSATNNIAQARKLVEQLRIEAGIERIKVSKASSELMSYCEQHARNDPLLVGVPASENPFK
+DKKPCIIL
+>sp|Q58DC2|DCAF4_BOVIN DDB1- and CUL4-associated factor 4 OS=Bos taurus OX=9913 GN=DCAF4 PE=2 SV=1
+MHQSSWKSRRHRRRGHRHSAWFRQHGSNERKDAGAQQSPQGSSDGHGEAPSTSSTAGSSS
+VPDLPGYYFDPEKKRYFRLLPGHNNCNPLTKESIRQKEMERKRLRLLEEEEQQGKKIARL
+GFNASSLLQKSKLGFLNATSYCRLAHELQVSCMQRKKVQIQSSDPSALASDQFNLIMADT
+NSDRLFTVNDVKVGGSKYGIISLHGLKTPTFRVHMHENLYFTNRKVNAMCWASLNHLDSH
+VLLCLMGIAETPGCATLLPTSLFVSNHAAGDRPGMLCSFRIPGAWSCAWSLNIQANNCFS
+TGLSRRVLVTSVVTGHRQSFGTSSDVLTQQFAVTAPLLFNGCRSGEIFAIDLRCQNQGKG
+WKATCLFHDSAVTSVQILQEEQCLMASDMAGTIKLWDLRTTKCIRQYEGHVNEYAHLPLH
+VHEEEGIMVAVGQDCYTRIWSLHDGQLLRTIPSPYPTSKADIPSVAFSSRLGGARGAPGL
+LMAVRQDLYCFSYS
+>sp|Q32PH8|EF1A2_BOVIN Elongation factor 1-alpha 2 OS=Bos taurus OX=9913 GN=EEF1A2 PE=2 SV=1
+MGKEKTHINIVVIGHVDSGKSTTTGHLIYKCGGIDKRTIEKFEKEAAEMGKGSFKYAWVL
+DKLKAERERGITIDISLWKFETTKYYITIIDAPGHRDFIKNMITGTSQADCAVLIVAAGV
+GEFEAGISKNGQTREHALLAYTLGVKQLIVGVNKMDSTEPAYSEKRYDEIVKEVSAYIKK
+IGYNPATVPFVPISGWHGDNMLEPSPNMPWFKGWKVERKEGNASGVSLLEALDTILPPTR
+PTDKPLRLPLQDVYKIGGIGTVPVGRVETGILRPGMVVTFAPVNITTEVKSVEMHHEALS
+EALPGDNVGFNVKNVSVKDIRRGNVCGDSKSDPPQEAAQFTSQVIILNHPGQISAGYSPV
+IDCHTAHIACKFAELKEKIDRRSGKKLEDNPKSLKSGDAAIVEMVPGKPMCVESFSQYPP
+LGRFAVRDMRQTVAVGVIKNVEKKSGGAGKVTKSAQKAQKAGK
+>sp|P08220|GBRB1_BOVIN Gamma-aminobutyric acid receptor subunit beta-1 OS=Bos taurus OX=9913 GN=GABRB1 PE=1 SV=1
+MWTVQNRESLGLLSFPVMIAMVCCAHSANEPSNMSYVKETVDRLLKGYDIRLRPDFGGPP
+VDVGMRIDVASIDMVSEVNMDYTLTMYFQQSWKDKRLSYSGIPLNLTLDNRVADQLWVPD
+TYFLNDKKSFVHGVTVKNRMIRLHPDGTVLYGLRITTTAACMMDLRRYPLDEQNCTLEIE
+SYGYTTDDIEFYWNGGEGAVTGVNKIELPQFSIVDYKMVSKKVEFTTGAYPRLSLSFRLK
+RNIGYFILQTYMPSTLITILSWVSFWINYDASAARVALGITTVLTMTTISTHLRETLPKI
+PYVKAIDIYLMGCFVFVFLALLEYAFVNYIFFGKGPQKKGAGKQDQSANEKNKLEMNKVQ
+VDAHGNILLSTLEIRNETSGSEVLTGVGDPKTTMYSYDSASIQYRKPMSSREGYGRALDR
+HGAHSKGRIRRRASQLKVKIPDLTDVNSIDKWSRMFFPITFSLFNVVYWLYYVH
+>sp|A5PKC7|CX049_BOVIN Uncharacterized protein CXorf49 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MSSSDDEVLVWGPGFGSECGEQTSGLEAGSTAPRGPGPDPGPEPGAPQSGEGEGGDGFPD
+PEGFESEREVLEAGGPVLLGCEGRPGSPADDTGYVVQLSDESVAAILRQLADLDLLGIRR
+HMSPESHGVGDVSPLRDVEARPGSRGAAAQRCGEAARAEAGPRWVGRPRAGRAWGNPKRS
+TNVAVDRQWPPSVSPARLFSDSESSDECSEIQPMRVSIYPKDGGQAKLNSPKDPRDTPRH
+SNVQGRETLPNVPGTCLSSAPRGLISVVERQGKQGDAEQETISPPKKIQSVLWGKGGSLP
+SFPGVAAAAATAAAATGRLPRPSPRRKRVQEKKSLGGVSKPALGRTFPSWGRGISATPLD
+PATFPPISGIPLLGRSQKYASVPWGAKESKHTGAGKKSVARRARELVAATAVSGEDNDPN
+RDPVPKGQLATDRPWPSCPRVHHGEPSTANLSIRGGQDSGNSKPVAMNKGEVMPRGPGPS
+GDQKPADHHPRLKRRQQPPRRQGCPRCLVLQKEIDDLKEQLASMQYLAEKFRIL
+>sp|Q01107|DSC1_BOVIN Desmocollin-1 OS=Bos taurus OX=9913 GN=DSC1 PE=1 SV=1
+MAVASAAPGSIFWKQLLFSLLVLILFCDACQKISLQVPSHLRAEALVGKVNLKECLQSAS
+LILSSDPDFRILEDGSIYTTHDLVLSSGKSFSILLSDSQGQGQKEIEIILEAGGKKVPKR
+HMKDAVLRRTKRRWAPIPCSLMENSLGPFPQHVQQVQSDAAQNYTIFYSISGPGVDKEPF
+NLFFIEKDTGDIFCTRSIDREQYQEFPIYAYATTADGYAPEYPLPLVFKVEDDNDNAPYF
+ENKLTVFTVPENCRTGTSVGKVTAIDLDEPDTLHTRLKYKILQQIPNNPRHFTVHPDTGV
+ITTTTPLLDREKCDTYKLIMEVRDMGGQPFGLFNTGTITISLEDENDNAPYFTETSYTVE
+VEENRIDVEILRMAVHDHDLPNTPHSRAVYQILQGNENGTFKISTDPNTNEAVLCVVKPL
+NYEVNRQVVLQIGVLNEAQFAKAVNSKTTTTMCTTVVTVKVKDHDEGPECQPPVKVIQSE
+DCLPTGTELLGYKAVDPERGTGEGLRYKKIQDEDNWFEINEYTGDLKTVKVLDRESTFVK
+NNQYNVSVIAFDADGRSCTGTLVVFLEDKNDHPPQIKQEELTICRHDKDYVVLEPTDQDG
+PDNGPPFQFILDNSASKLWTVETRDGKTAILRGRQDLDYDYYTVPIQIKDRHGASATHIL
+PVRVCDCTIPSECRMPSKLSREAALANVFLGKWAILAMVLGSVLLLCILFTCFCVTVKKT
+VKKCFPEDVAQQNLIVSNTEGPGEEVMDANIRLPTQTSNVCDTSISVGTLGGQGVKTQQS
+FEMVKGGYTLDANKGGGHQTLESVKGVTDTGRYTYSDWHNFTQPRLGEKVYLCGQDEEHK
+LCEDYVRSYSYEGKGSVAGSVGCCSDRQEEEGLDFLDHLEPKFRTLAKTCVKK
+>sp|Q0P5J4|K1C25_BOVIN Keratin, type I cytoskeletal 25 OS=Bos taurus OX=9913 GN=KRT25 PE=2 SV=1
+MSLRLPSGSRRASPRPTTGSLRLSSGGASFGAGNACSMPGIGSSFSCAFGGSSSGGNALG
+GNPCAGFTVNEGGLLSGNEKVTMQNLNDRLASYLENVRALEEANADLEQKIKGWYEKFGP
+GSCRGLDHDYSRYFPIIEDLKNQIIASTTSNANAVLQIDNARLTADDFRLKYENELALHQ
+SVESDVNGLRRVLDEITLCRTDLEIQYETLSEELTYLKKNHKEEMQVLQCAAGGNVNVEM
+NAAPGVDLTVLLNNMRAEYEALAEQNRRDAEAWFNEKSASLQQQITEDVGATTSARNELT
+EMKRNLQTLEIELQSLLATKHSLECSLTETEGNYCAQLAQIQAQIGALEEQLHQVRTETE
+GQKLEYEQLLDIKVHLEKEIETYCLLIGGDDGACKSGGYKSKDYGAGNVGNQMKDPVKAI
+VVKKVLEEVDQRSKILTPRLHSLEEKSQSN
+>sp|Q2T9T9|FBXW9_BOVIN F-box/WD repeat-containing protein 9 OS=Bos taurus OX=9913 GN=FBXW9 PE=2 SV=1
+MELPPGPRDDPHAWDDDSDPELEPDTDAQAEAYVARLLSPPKLGLAPPRAPPLPAPTVSF
+GSLEPRAASKGPTVAVPGLLSLPPELLLEICAYLDARLVLHVLPRVCHALRDLVRDRVTW
+RLRAQRRVRAPYPVVEEEDFDWPTACIELEQHLSRWADDGRRAEYFCLADGHFASIDSVL
+LLQGGTLCLSGSRDRNVNLWDLQQLGVEPSRVLVKTLGTQKNSTHKGWVWSLAALDHRVC
+SGSWDSTVKLWDMAADGQQFGEIKGKAAVLCLSYRPDILVTGTYDKKVTVYDPRVGPALL
+KSRRLHSSAVLALLADDRHIISGSEDHTLVVFDRRANSVLQRLQLDSYLLCMSYQEPQLW
+AGDNQGLLHVFANRSGCFQLVRSFDVGHRSQITGIKHSLGALYTTSTDKTIRVHVPTDPP
+RTICTRSHHNVLNGICAEGNLVVAASGGLSLEVWRLQA
+>sp|O77482|IL1RA_BOVIN Interleukin-1 receptor antagonist protein OS=Bos taurus OX=9913 GN=IL1RN PE=2 SV=1
+MDIYIHGYLICLLLFLFRSETACHPLGKRRCEMQAFRIWDVNQKIFYLRNNQLVAGYLQG
+PNTKLEEKIDVVPIEPHTMFLGIHGGKLCLACVKSGDEIKLKLEAVNITDLNQNREQDKR
+FAFIRFDNGPTTSFESAACPGWFLCTSLEADQPVGLTNMPTEALKVTKFYFQQD
+>sp|P00171|CYB5_BOVIN Cytochrome b5 OS=Bos taurus OX=9913 GN=CYB5A PE=1 SV=3
+MAEESSKAVKYYTLEEIQKHNNSKSTWLILHYKVYDLTKFLEEHPGGEEVLREQAGGDAT
+ENFEDVGHSTDARELSKTFIIGELHPDDRSKITKPSESIITTIDSNPSWWTNWLIPAISA
+LFVALIYHLYTSEN
+>sp|Q32L26|EFCB1_BOVIN EF-hand calcium-binding domain-containing protein 1 OS=Bos taurus OX=9913 GN=EFCAB1 PE=2 SV=1
+MNRKKLQKLTDSLTKNCKHFSKFEVKCLINLFYNLVGEVTERQGVIIGLDRNAFRNILHM
+TFGMTDDMIMDRVFRGFDKDNDGCISVTEWVYGLSVFLRGTLEEKMKYCFEVFDLNGDSF
+ISKEEMFHMLKNSLLKQPSEEDPDEGIKDLVEITLKKMDHDHDGKLSFADYEQAVREETL
+LLEAFGPCLPDPKSQSEFEAQVFKDPNEFNEV
+>sp|Q0P5B2|METL2_BOVIN Methyltransferase-like protein 2 OS=Bos taurus OX=9913 GN=METTL2 PE=2 SV=1
+MDCSFPEGDPAAVCGKRQQFGSRFLSDPARVFHHNAWDNVEWSEEQAAEAERKVQENSTQ
+RVCQEKQADYEINANKYWNNFYKIHENGFFKDRHWLFTEFPELAPSQNHLKNLLSENKRS
+EVYEYYRSGEDGPDLTIEEQHRCSSVSLGDKTQPPLTEESVTQKLHHLEICANEFPGSSA
+TYRILEVGCGVGNTVFPILQTNNDPSLFVYCCDFSSTAVELVQTNSAYDPSRCFAFVHDL
+CDEDKSYPMPENSLDVIILIFVLSAIVPDKMQNAINRLSRLLKPGGIMLLRDYGRYDMAQ
+LRFKKGQCLSENFYVRGDGTRVYFFTQDELDTLFTTAGLEKVQNLVDRRLQVNRGKQLTM
+YRVWIQCKYRKPLGSSTG
+>sp|Q2KJ56|HOME1_BOVIN Homer protein homolog 1 OS=Bos taurus OX=9913 GN=HOMER1 PE=2 SV=1
+MGEQPIFSTRAHVFQIDPNTKKNWVPTSKHAVTVSYFYDSTRNVYRIISLDGSKAIINST
+ITPNMTFTKTSQKFGQWADSRANTVYGLGFSSEHHLSKFAEKFQEFKEAARLAKEKSQEK
+MELTSTPSQESAGGDLQSPLTPESINGTDDERTPDLTQNSEPRPEPTQNALPFTHSSAIS
+KHWEAELATLKGNNAKLTAALLESTANVKQWKQQLAAYQEEAERLHKRVTELECVSSQAN
+AVHTHKTELNQTIQELEETLKVKEEEIERLKQEIDNARELQEQRDSLTQKLQEVEIRNKD
+LEGQLSDLEQRLEKSQNEQEAFRNNLKTLLEILDGKIFELTELRDNLAKLLERS
+>sp|Q17QU3|ARPIN_BOVIN Arpin OS=Bos taurus OX=9913 GN=ARPIN PE=2 SV=1
+MSRIYQDSALRNKAVRSARLPGAWDPAAHQRGDGVLLEGELLDVSRHSILDAHGRKERYY
+VLYIRPSRIHRRKFDPKGNEIEPNFSATRKVNTGFLMSSYKVEAKGDSDRLTPEALKGLV
+NKPELLALTESLTPAETVAFWMPESEMEAMELELGAGVRLKTRGDGPFLDSLAKLEAGTV
+TKCNFAGDGKTGASWTDNIMAQKSSEGAASETREQGDGAADEEWDD
+>sp|Q68RJ9|CART_BOVIN Cocaine- and amphetamine-regulated transcript protein OS=Bos taurus OX=9913 GN=CARTPT PE=3 SV=1
+MESPRLRLLPLLGAALLLLLPLLGALAQEDAELQPRALDIYSAVEDASHEKELIEALQEV
+LKKLKSKRIPIYEKKYGQVPMCDAGEQCAVRKGARIGKLCDCPRGTSCNSFLLKCL
+>sp|Q148C7|CA054_BOVIN Uncharacterized protein C1orf54 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MDVLFVAILAVPLILGQEYEDGEELEEDEYYQVIYYYTITPSYDEFGVNFTVDYSMFESE
+NTVNRLDEKVEASETTISYETDRADHQKPVIEKPVTMEPQSPDLNDAVSGLQSPGPLLLS
+WALIQGVMYFL
+>sp|P02663|CASA2_BOVIN Alpha-S2-casein OS=Bos taurus OX=9913 GN=CSN1S2 PE=1 SV=2
+MKFFIFTCLLAVALAKNTMEHVSSSEESIISQETYKQEKNMAINPSKENLCSTFCKEVVR
+NANEEEYSIGSSSEESAEVATEEVKITVDDKHYQKALNEINQFYQKFPQYLQYLYQGPIV
+LNPWDQVKRNAVPITPTLNREQLSTSEENSKKTVDMESTEVFTKKTKLTEEEKNRLNFLK
+KISQRYQKFALPQYLKTVYQHQKAMKPWIQPKTKVIPYVRYL
+>sp|Q29RU8|CASC1_BOVIN Protein CASC1 OS=Bos taurus OX=9913 GN=CASC1 PE=2 SV=1
+MSGSKKKKITKADRLKQILEEEEKRQKEEEEARVKYEKEEMERLEIQRIENEKLQKLEAK
+DLERRNEELEELYLLEACFPEAEKLKRDNRFLSQWKHYIECDGSPDPSIAQEINTFITLW
+KEETNETLEEVIEKSKLVLNLIEKLKLILLETPPYDLQGKNIIQYQESILELQELLHLKF
+NRATEILLRQASTLADLDSGNMEKVIQDENVTLYIWANLKKNPRHRSIRFSETQTGFEIP
+RILATSDIALRLLHTHYDHVTPLSPVLTPSQEHISIVTDFVKEEVKSVQTAVSKDLQEEN
+KQENESNSVHEEETKAEGQGDVEEQMCPVQEEPEATKYEMEMKLLSETVSAAQELLLENA
+SEKPYFLEENEVDLCQFTTLGGVYHLDILELPPQCKPMKGWMIVEILKEGLQKYTYPPET
+TEDLEAENVFPPIEVTLEVHENVIFFENPMVARWDAEGKQWKTDGISNVSYKSEERLITF
+NLETFCPVTLIQDAHINMPYQSWELRPLDVNKVLLTITTVFTELQIQIKENLCMLASIKV
+NNKNHSSILEEKWMTPVSFIIALKKAGLNIFPTGHSHLYVVINYKHPSVEVKAYRQMALL
+SSAFAFCWSKWNTACESKKTVFQVREHLLKEEPIRNPNWTLLMFSGDRAQSLKINESSDA
+FSEALKEETEFHSTLYHMVKDFASQEAMRAVRRSNCQFVDSVCHMLLSTRLLSYS
+>sp|Q3SYR5|APOC4_BOVIN Apolipoprotein C-IV OS=Bos taurus OX=9913 GN=APOC4 PE=2 SV=1
+MSFPGCRPQALASLCFCVLVLACVVACQQEEPEGTLSPQPAPARSSWSLVPGKVKEWVEP
+LVNRTREKWKWFWGPTAFRGFMETYYDDHLKDLGSRARAWLRSSKDNLLNKAHSLCPQLL
+CRPSDQN
+>sp|P30907|AL3A1_BOVIN Aldehyde dehydrogenase, dimeric NADP-preferring (Fragment) OS=Bos taurus OX=9913 GN=ALDH3A1 PE=1 SV=2
+NPHYVDKDRDLDIACRRIAWGKFMNSGQTCVAPDYILCDPSIQSQVVEKLKKSLKEFYGE
+DAKKSRDYGRIINSRHFQRVMGLLEGQKVAYGGTGDATTRYIAPTILTDVDPESPVMQEE
+VFGPVLPIMCVRSLEEAIQFITQREKPLALYVFSPNDKVIKKMIAETSSGGVTANDVVVH
+ISVHSLPYGGVGDSGMGSYHGRKSFETFSHRRSCLVRPLLNEETLKARYPRARPICPDT
+>sp|Q04645|ATNG_BOVIN Sodium/potassium-transporting ATPase subunit gamma OS=Bos taurus OX=9913 GN=FXYD2 PE=1 SV=1
+MVAVQGTEDPFYYDYETVRNGGLIFAALAFIVGLVIILSKRFRCGAKRQHRQIPEDGL
+>sp|P79098|AMPN_BOVIN Aminopeptidase N OS=Bos taurus OX=9913 GN=ANPEP PE=2 SV=4
+MAKGFYISKALGILAILLGVAAVATIIALSVVYAQEKNKNAERGTAAPTSPTGPTTTSAT
+TLDQSKPWNRYRLPTTLLPDSYRVTLRPYLTPNNNGLYIFTGSSTVRFTCKEPTDVIIIH
+SKKLNYTQHSGHLAALKGVGDTQAPEIDRTELVLLTEYLVVHLKSSLEAGKTYEMETTFQ
+GELADDLAGFYRSEYMDGNVKKVLATTQMQSTDARKSFPCFDEPAMKATFNITLIHPKDL
+TALSNMPPKGPSVPFDGDSNWSVTEFETTPVMSTYLLAYIVSEFTSVESVAPNDVQIRIW
+ARPKATADNHGLYALNVTGPILNFFANHYNTAYPLPKSDQIALPDFNAGAMENWGLVTYR
+ENALLYDPQSSSSSNKERVVTVIAHELAHQWFGNLVTLAWWNDLWLNEGFASYVEYLGAD
+YAEPTWNLKDLMVPNDVYSVMAVDALVTSHPLTTPANEVNTPAQISEMFDTISYSKGASV
+IRMLSNFLTEDLFKKGLASYLQTFAYQNTTYLNLWEHLQMAVENQLSIRLPDTVSAIMDR
+WTLQMGFPVITVDTNTGTISQKHFLLDPNSTVTRPSQFNYLWIVPISSIRNGQPQEHYWL
+RGEERNQNELFKAAADDWVLLNINVTGYYQVNYDENNWKKIQNQLMSRRENIPVINRAQV
+IYDSFNLASAHMVPVTLALNNTLFLKNEMEYMPWQAAVSSLNYFKLMFDRTEVYGPMQNY
+LKNQVEPIFLYFENLTKNWTEIPENLMDQYSEINAISTACSNGLPKCEELAKTLFNQWMN
+NPNVNPIDPNLRSTIYCNAIAQGGQEEWDFAWNQLQQAELVNEADKLRSALACTNHVWLL
+NRYLSYTLNPDLIRKQDATSTITSIASNVIGQSLAWDFIRSNWKKLFEDYGGGSFSFSNL
+IQGVTRRFSTEFELQQLEEFKENNMDVGFGSGTRALEQALEKTKANINWVKENKEVVLNW
+FKDHS
+>sp|Q5E9Q7|2ABB_BOVIN Serine/threonine-protein phosphatase 2A 55 kDa regulatory subunit B beta isoform OS=Bos taurus OX=9913 GN=PPP2R2B PE=2 SV=1
+MEEDIDTRKINNSFLRDHSYATEADIISTVEFNHTGELLATGDKGGRVVIFQREQESKNQ
+VHRRGEYNVYSTFQSHEPEFDYLKSLEIEEKINKIRWLPQQNAAYFLLSTNDKTVKLWKV
+SERDKRPEGYNLKDEEGRLRDPATITTLRVPVLRPMDLMVEATPRRVFANAHTYHINSIS
+VNSDYETYMSADDLRINLWNFEITNQSFNIVDIKPANMEELTEVITAAEFHPHHCNTFVY
+SSSKGTIRLCDMRASALCDRHTKFFEEPEDPSNRSFFSEIISSISDVKFSHSGRYIMTRD
+YLTVKVWDLNMENRPIETYQVHDYLRSKLCSLYENDCIFDKFECVWNGSDSVIMTGSYNN
+FFRMFDRNTKRDVTLEASRENSKPRAILKPRKVCVGGKRRKDEISVDSLDFSKKILHTAW
+HPSENIIAVAATNNLYIFQDKVN
+>sp|Q3SZB4|ACADM_BOVIN Medium-chain specific acyl-CoA dehydrogenase, mitochondrial OS=Bos taurus OX=9913 GN=ACADM PE=2 SV=1
+MIALFRRSCGVLRSLSHFDWRSQHTKTALQREPGSGFSFEFTEQQKEFQATARKFAREEI
+IPLAAEYDKTGEYPVPLIKRAWELGLMNTHIPESCGGLGLGTFDSCLISEELAYGCTGVQ
+TAIEANSLGQMPVIIAGNDQQQKKYLGRMTEEPLMCAYCVTEPVAGSDVAGIKTKAEKKG
+DEYIINGQKMWITNGGKANWYFLLARSDPDPKAPASKAFTGFIVEADTPGVQIGRKELNM
+GQRCSDTRGIVFEDVRVPKENVLIGEGAGFKIAMGAFDKTRPPVAAAAVGLAQRALDEAT
+KYALERKTFGKLLIEHQGISFLLAEMAMKVELARLSYQRAAWEVDSGRRNTYYASIAKAY
+AGDIANQLASDAVQIFGGNGFNTEYPVEKLMRDAKIYQIYEGTAQIQRLIIAREHIGRYK
+K
+>sp|Q0VC13|ADAL_BOVIN Adenosine deaminase-like protein OS=Bos taurus OX=9913 GN=ADAL PE=2 SV=1
+MMEAEEQPWKTTFYSKLPKVELHAHLNGSISSNTIRKLIAKKPDLKIHDQMTMIDKGEKR
+TLEECLQMFQIIHLLTTTPEDVLMVTKDVIKEFADDGVKYLELRSTPRGEDATGMTKKTY
+VESILEGIKQSKEENVDIDVRYLISIDRRGGSSAAKEAVKLAEEFFLSAEDTVLGLDLSG
+DPSAGQAKDFLEPLLEAKKSGLKLALHLSEIPNQKTETQVLLNLFPDRIGHGTFLSSSEE
+GSPDLVDFVRQHQIPLELCLTSNVKSQTVPAYDQHHFGFWYSVAHPAVICTDDKGVFATR
+LSQEYQLVAETFHLTQSQVWDLSYESISYIFASDSTKADLRKKWSHLKPHF
+>sp|O97902|ASAP1_BOVIN Arf-GAP with SH3 domain, ANK repeat and PH domain-containing protein 1 OS=Bos taurus OX=9913 GN=ASAP1 PE=1 SV=1
+MRSSASRLSSFSSRDSLWNRMPDQISVSEFIAETTEDYNSPTTSSFTTRLHNCRNTVTLL
+EEALDQDRTALQKVKKSVKAIYNSGQDHVQNEENYAQVLDKFGSNFLSRDNPDLGTAFVK
+FSTLTKELSTLLKNLLQGLSHNVIFTLDSLLKGDLKGVKGDLKKPFDKAWKDYETKFTKI
+EKEKREHAKQHGMIRTEITGAEIAEEMEKERRLFQLQMCEYLIKVNEIKTKKGVDLLQNL
+IKYYHAQCNFFQDGLKTADKLKQYIEKLAADLYNIKQTQDEEKKQLTALRDLIKSSLQLD
+QKESRRDSQSRQGGYSMHQLQGNKEYGSEKKGYLLKKSDGIRKVWQRRKCSVKNGILTIS
+HATSNRQPAKLNLLTCQVKPNAEDKKSFDLISHNRTYHFQAEDEQDYVAWISVLTNSKEE
+ALTMAFRGEQSAGESSLEELTKAIIEDVQRLPGNDVCCDCGSAEPTWLSTNLGILTCIEC
+SGIHREMGVHISRIQSLELDKLGTSELLLAKNVGNNSFNDIMEANLPSPSPKPTPSSDMT
+VRKEYITAKYVDHRFSRKTCSSSSAKLNELLEAIKSRDLLALIQVYAEGVELMEPLLEPG
+QELGETALHLAVRTADQTSLHLVDFLVQNCGNLDKQTALGNTALHYCSMYSKPECLKLLL
+RSKPTVDVVNQAGETALDIAKRLKATQCEDLLSQAKSGKFNPHVHVEYEWNLRQEEMDES
+DDDLDDKPSPIKKERSPRPQSFCHSSSISPQDKLSLPGFSTPRDKQRLSYGAFTNQIFVS
+TSTDSPTSPIAEAPPLPPRNATKGPPGPPSTLPLSTQTSSGSSTLSKKRSPPPPPGHKRT
+LSDPPSPLPHGPPNKGAVPWGNDVGPSSSSKTTNKFEGLSQQSSTGSAKTALGPRVLPKL
+PQKVALRKTETSHHLSLDKANVPPEIFQKSSQLTELPQKPPPGDLPPKPTELAPKPPIGD
+LPPKPGELPPKPQLGDLPPKPQLADLPPKPQVKDLPPKPQLGELLAKPQTGDASPKAQPP
+LELTPKSHPADLSPNVPKQASEDTNDLTPTLPETPVPLPRKINTGKSKVRRVKTIYDCQA
+DNDDELTFMEGEVIVVTGEEDQEWWIGHIEGQPERKGVFPVSFVHILSD
+>sp|P48818|ACADV_BOVIN Very long-chain specific acyl-CoA dehydrogenase, mitochondrial OS=Bos taurus OX=9913 GN=ACADVL PE=2 SV=3
+MRAARMAQSTGRQLLRLRGVSSWPGELLGQPRPGPARRPYASGVAQAAVDQSDSQPSEAS
+TREKRANSVSKSFAVGTFKGQLTTDQVFPYPSVLNEDQTQFLKELVGPVTRFFEEVNDAA
+KNDMLERVEETTMQGLKELGAFGLQVPNELGGVGLCNTQYARLVEIVGMYDLGVGIVLGA
+HQSIGFKGILLFGTKAQKEKYLPKLASGETIAAFCLTEPSSGSDAASIRSSAVPSPCGKY
+YTLNGSKIWISNGGLADIFTVFAKTPVTDTATGAVKEKITAFVVERSFGGVTHGPPEKKM
+GIKASNTAEVYFDGVRVPAENVLGEVGGGFKVAMHILNNGRFGMAAALAGTMKGIIAKAV
+DHAANRTQFGEKIHNFGLIQEKLARMAMLQYVTESMAYMVSANMDQGSTDFQIEAAISKI
+FGSEAAWKVTDECIQIMGGMGFMKEPGVERVLRDLRIFRIFEGTNDILRLFVALQGCMDK
+GKELSGLGNALKNPFGNAGLLLGEAGKQLRRRAGLGSGLSLSGIVHQELSRSGELAVQAL
+EQFATVVEAKLIKHKKDIINEQFLLQRLADSAIDLYAMVVVLSRASRSLSEGHPTAQHEK
+MLCDSWCIEAAARIRENMTALQSDPQQQELFRNFKSISKALVERGGVVTSNPLGF
+>sp|Q9XT98|CLD16_BOVIN Claudin-16 OS=Bos taurus OX=9913 GN=CLDN16 PE=2 SV=1
+MGPGLAASHVSFPDSLLAKMRDLLQYVACFFAFFSAGFLVVATWTDCWMVNADDSLEVST
+KCRGLWWECVTNAFDGIRTCDEYDSILAEHSLKLVVTRALMITADILAGFGFITLLLGLD
+CVKFLPDEPYIKVRISFVAGTTLLIAGAPGIIGSVWYAVDVYVERSSLVLHNIFLGIQYK
+FGWSCWLGMAGSLGCFLAGAILTCCLYLFKDVGPERSYPYSTRKAYSTTAVSMPRSHAIP
+RTQTAKMYAVDTRV
+>sp|Q5E9J2|CNPD1_BOVIN Protein CNPPD1 OS=Bos taurus OX=9913 GN=CNPPD1 PE=2 SV=1
+MDLAGLLLDEEGTFSLTGFQDFTFLPGHQKLSARIRRRLYYGWDWETDCTLEELSSPVAD
+IAVELLQKAAPSPIRRLQKKYVAHVSREACISPCAMMLALVYIERLRHRNPDYLQHVSSS
+DLFLISMMVASKYLYDEGEEEEVFNDEWGAAGGVAVPTLNALERGFLSAMDWRLYTDPRE
+IFEVLSWLEGCVAEQQGRRRGWYTYTDLCVLLEQPAWQLVLGSLCQQLAKLSCLLAMAYV
+SSVALAVASMAVIHQSLGLSCSPPPGPPDLGLASRCLLEPCIPSPMPQCLPSPANASGCL
+EGNVVLRSLWGSLLVSLTPPPLPPPDPPAPPILLHNCPLCQKLQKDSPTCRACHHLNHTV
+PTGPPSPWSHSHGLAPPWPWSPMPPLLPQPQQCSLFSIMELARLKSFIFPG
+>sp|Q32LE4|GGCT_BOVIN Gamma-glutamylcyclotransferase OS=Bos taurus OX=9913 GN=GGCT PE=2 SV=1
+MANFGCEDLRSQDGESFLYFAYGSNLLTERIHLRNPSAVFYSVARLQDFKLDFGNPQGKT
+SETWHGGIATIFESPGDEVWGVVWKMNKSNLSSLDKQEGVKSGMYVPIEVTVSTQEGKEI
+TCRSYQMTNYESVPPSPQYKKVICMGAKENGLPLEYQKKLNSIEPNDYKGKVSEEIEDII
+KKGEAKTH
+>sp|Q0VCX5|ERF1_BOVIN Eukaryotic peptide chain release factor subunit 1 OS=Bos taurus OX=9913 GN=ETF1 PE=2 SV=3
+MADDPSAADRNVEIWKIKKLIKSLEAARGNGTSMISLIIPPKDQISRVAKMLADEFGTAS
+NIKSRVNRLSVLGAITSVQQRLKLYNKVPPNGLVVYCGTIVTEEGKEKKVNIDFEPFKPI
+NTSLYLCDNKFHTEALTALLSDDSKFGFIVIDGSGALFGTLQGNTREVLHKFTVDLPKKH
+GRGGQSALRFARLRMEKRHNYVRKVAETAVQLFISGDKVNVAGLVLAGSADFKTELSQSD
+MFDQRLQSKVLKLVDISYGGENGFNQAIELSTEVLSNVKFIQEKKLIGRYFDEISQDTGK
+YCFGVEDTLKALEMGAVEILIVYENLDIMRYVLHCQGTEEEKILYLTPEQEKDKSHFTDK
+ETGQEHELIESMPLLEWFANNYKKFGATLEIVTDKSQEGSQFVKGFGGIGGILRYRVDFQ
+GMEYQGGDDEFFDLDDY
+>sp|Q17QT7|FA49A_BOVIN Protein FAM49A OS=Bos taurus OX=9913 GN=FAM49A PE=2 SV=1
+MGNLLKVLTREIENYPHFFLDFENAQPTEGEREIWNQISAVLQDSESILADLQAYKGAGP
+EIRDAIQNPNDIQLQEKAWNAVCPLVVRLKRFYEFSIRLEKALQSLLESLTCPPYTPTQH
+LEREQALAKEFAEILHFTLRFDELKMRNPAIQNDFSYYRRTISRNRINNMHLDIENEVNN
+EMANRMSLFYAEATPMLKTLSNATMHFVSENKTLPIENTTDCLSTMTSVCKVMLETPEYR
+SRFTSEETLMFCMRVMVGVIILYDHVHPVGAFCKTSKIDMKGCIKVLKEQAPDSVEGLLN
+ALRFTTKHLNDESTSKQIRAMLQ
+>sp|Q58DG5|F110A_BOVIN Protein FAM110A OS=Bos taurus OX=9913 GN=FAM110A PE=2 SV=1
+MPVDTLTPGARDTPALPIRLRTKVPGYLLRRPADGGARKPSAVERLEADKAKYVKSLHVA
+NTRQEPVQPLLCKQPLFSPGTRRTVLTPSRRALPGPGCRPQLDLDILSSLINLCDSPVSP
+AEASRTPGRAEGARQPPLATPPRPPPSIAAVRRVDVLPLPASPIQPCPSPGPAAASSPVR
+PPGLQRSKSDLSERFSRAAADLERFFNFCGLDPEEARGLGVAHLARASSDIVSLAGPSAG
+PASSEGGCSRRSSVTVEERARERVPYGVSVIERNARVIKWLYGLRQARETPAAEC
+>sp|Q3SZT6|FLTOP_BOVIN Protein Flattop OS=Bos taurus OX=9913 GN=CFAP126 PE=2 SV=1
+MATNYSANQYEKPFSPKYLQNWSLAKPTKERISSHEGYTQIIANDRGHLLPSVPRSKASP
+WGSFMGTWQMPLKVPPARATLTSRTAAGAASLTRWIQKNPDLLKASNGLRPEIFGKPHDP
+DSQKKLRKSITKTVQQAPSPTIIPSSPASNLSSPDQLQSSHPSAGHTPGPQSPLNSPKCP
+PGSPCLPHAGRNLAEV
+>sp|A6QLU3|GAB1_BOVIN GRB2-associated-binding protein 1 OS=Bos taurus OX=9913 GN=GAB1 PE=2 SV=1
+MSGGEVVCSGWLRKSPPEKKLKRYAWKRRWFVLRSGRLTGDPDVLEYYKNDHAKKPIRII
+DLNLCQQVDAGLTFNKKEFENSYIFDINTIDRIFYLVADSEEEMNKWVRCICDICGFNPT
+EEDPVKAPGSSLQAPADIPLAISTAPPSSQVGASAAAAPPPYQLISLPPHLETLGIQEDP
+QDYLLLINCQSKKPEPTRTHADSAKSTSSETDCNDNVPSHKNPASSQSKHGVNGFFQQHM
+MYDSPPSRAASLSVDSSLYNLPRSYSHDVLPKVSPSSTEADGELYVFNTPSGTSSVEPQM
+RHVSISYDIPPTPGNTYQIPRTFPEGTLGQTSKLDTIPDIPPPRPPKPHPAHDRSPVDTC
+SITRTASDTDSSYCIPTAGLPPSRSNTISTVDLNKLRKDASSQDCYDTPRTFPSDRSSSL
+EGFHNHFKIKNILTAGSVSSEELDENYVPMNPNSPPRQHSSSFTEPIQEANYVPMTPGTF
+DFSSFGMQVPPPAHMGFRSSPKTPPRRPVPVADCEPPPVDRNLKPDRKVKPAPLEIKPLP
+EWEELQAPVRSPITRSFARDSSRFPLSPRPDSVHSTTSSSDSHDSEENYVPMNPNLSSED
+SNLFGSNSLDGGNSPMIKPKGDKQVEYLDLDLDSGKSTPPRKQKSSGSGSSVADERVDYV
+VVDQQKTLALKSTREAWTDGRQSTESETPAKNVK
+>sp|Q58D62|FETUB_BOVIN Fetuin-B OS=Bos taurus OX=9913 GN=FETUB PE=1 SV=1
+MNVLLLLVLCTLAMGCGATSPPQPAARPSSLLSLDCNSSYVLDIANDILQDINRDRKDGY
+VLSLNRVSDAREHRQEAGLGSLFYFTLDVLETGCHVLSRTSWKNCEVRIFHESVYGQCKA
+IFYINKEKRIFYLPAYNCTLRPVSQSAIIMTCPDCPSTSPYDLSNPRFMETATESLAKYN
+SESPSKQYSLVKITKTSSQWVFGPAYFVEYLIKESPCVKSEGSSCALESPGSVPVGICHG
+SLGEPQGNQGKIISVTCSFFNSQAPTPRGENATVNQRPANPSKTEELQQQNTAPTNSPTK
+AVPKGSVQYLPDWDKKREGSQEKDPVETFPVQLDLTTNPQGESLDVSFLFQEPMEEKVVV
+LPFPSKEQRSAECPGPAQKGYPFILPS
+>sp|O46510|EPB42_BOVIN Erythrocyte membrane protein band 4.2 OS=Bos taurus OX=9913 GN=EPB42 PE=2 SV=3
+MGQGLGIKSCDFQAARNNAEHHTNDISSQRLFVRRGQPFTISLHFQAPVHTFLRALKKVA
+LIAQTGKQPSKANGTQATFSVSSLGDRKWWSAMVEERDDQSWTISVTTPADAIIGHYSLL
+LQISGRKQCLGQFTLLFNPWNREDAVFLGNEAQRKEYLLNQNGLIFLGTADCIQAEPWDF
+GQLEEDVIDLGLSLLTVDNQVEKWGNPVHVARVLGALLHILKEKSVLPTAKIQTTEERAL
+LNKRRGSAPILRQWVTGHGRPVYEGQAWVLAAVACTVLRGLGIPARVVTTFTSAQGTGGD
+LLVNEYYNEEGLQNGEDNRGRAWIFQTSTECWMARPDLLEVYDGWQILYPSALKGGEVLE
+ACDLVPVRAVKEGIVWLTPAASDIFASINASCVVWKCGEDGTLELTDSNTKYFGNNISTK
+NVDCDCHEDITQNYKYPEGSSQEKMVLEKVQKYRMKHKNDGIYPPCCETDDPLHLFLKAP
+SSLALGKNVEISVNLLNPTDQEKEVQLAIGLQAMYYNGVLAAKLWRKNFVLILSANSAKK
+ISTSLFNSNFEQSLPENSFLRLTAMATHSSLPCFAQQDIAIRRPHLAIEMPETAEQHQTL
+IALVSIHNPLDVPLEDCVISIFGKGLIHREKSYRVNSVQPRNTLRTQLKFVPMKVGCQRL
+TVEMDCNMFQNLTNFRTVMVVAPKSPA
+>sp|Q866X7|CAH11_BOVIN Carbonic anhydrase-related protein 11 OS=Bos taurus OX=9913 GN=CA11 PE=2 SV=1
+MGAAARLSAPRALVLWAALGAAAHIGPAPDPEDWWSYKDNLQGNFVPGPPFWGLVNAAWS
+LCVVGKRQSPVDVELKRVLYDPFLPPLRLSTGGEKLRGTLYNTGRHVSFLPAPRPVVNVS
+GGPLLYSHRLSELRLLFGARDGAGSEHQINHQGFSAEVQLIHFNQELYGNLSAATRGPNG
+LAILSLFVNVAGSSNPFLSRLLNRDTITRISYKNDAYFLQDLSLELLFPESFGFITYQGS
+LSTPPCSETVTWILIDRALNITSLQMHSLRLLSQNPPSQIFQSLSGNGRPLQPLAHRALR
+GNRDPRHPERRCRGPNYRLHVDGAPHGR
+>sp|Q32L18|BTBDG_BOVIN BTB/POZ domain-containing protein 16 OS=Bos taurus OX=9913 GN=BTBD16 PE=2 SV=1
+MKMLNPQKPRLERRIAGSTNRWRFPRQPFSGDLLGLSQMCKAANIDFDEVLKNPDRLCIS
+QIQKIFPENLKNKTIQSREADVILECLGFKWELHQPQLFQSETLAKLYLMGLARGNANPE
+KESVKILQAQQPGRSREQRPVKINDPLVTREAFATALKNLYMQEVKICLDDVLGVLAAAH
+ILQFGSLFQRCVTVMMSGLTPSTIKNFYLAGCKYKEEPLTTACEKWMEMNLVPLVGTQIH
+LRKIPKELLHKVLKSPRLFTFSEFDLLKTLLLWVYLQLNNKTQTFPMYQTVLMFFSSFPK
+NCAFLDRDVGQNLIPLFLCLRLHSITKGKDLEELRHINFFPESWLVRVTANHYHALENGG
+DMAHVKDLSTQAVRFGRLFNQEYTTHSKVITLYGFFFEIKGIKHDTTSYSFHMQRIRHTD
+LECASTVYENTPISLRAERLVTYEIRAQTTVDGKWQEFRTNQITQKFGLAEPSCKSHALK
+IQTVGIPIYASFSFVFSLS
+>sp|P17870|ARRB1_BOVIN Beta-arrestin-1 OS=Bos taurus OX=9913 GN=ARRB1 PE=1 SV=1
+MGDKGTRVFKKASPNGKLTVYLGKRDFVDHIDLVEPVDGVVLVDPEYLKERRVYVTLTCA
+FRYGREDLDVLGLTFRKDLFVANVQSFPPAPEDKKPLTRLQERLIKKLGEHAYPFTFEIP
+PNLPCSVTLQPGPEDTGKACGVDYEVKAFCAENLEEKIHKRNSVRLVIRKVQYAPERPGP
+QPTAETTRQFLMSDKPLHLEASLDKEIYYHGEPISVNVHVTNNTNKTVKKIKISVRQYAD
+ICLFNTAQYKCPVAMEEADDTVAPSSTFCKVYTLTPFLANNREKRGLALDGKLKHEDTNL
+ASSTLLREGANREILGIIVSYKVKVKLVVSRGGLLGDLASSDVAVELPFTLMHPKPKEEP
+PHREVPEHETPVDTNLIELDTNDDDIVFEDFARQRLKGMKDDKEEEEDGTGSPRLNDR
+>sp|Q28043|AVR2A_BOVIN Activin receptor type-2A OS=Bos taurus OX=9913 GN=ACVR2A PE=2 SV=1
+MGAAAKLAFAVFLISCSSGAILGRSETQECIFYNANWERDRTNRTGVESCYGDKDKRRHC
+FATWKNISGSIEIVKQGCWLDDINCYDRTDCIEKKDSPEVYFCCCEGNMCNERFSYFPEM
+EVTQPTSNPVTPKPPYYNILLYSLVPLMLIAGIVICAFWVYRHHKMAYPPVLVPTQDPGP
+PPPSPLLGLKPLQLLEVKARGRFGCVWKAQLLNEYVAVKIFPIQDKQSWQNEYEVYSLPG
+MKHENILQFIGAEKRGTSVDVDLWLITAFHEKGSLSDFLKANVVSWNELCHIAETMARGL
+AYLHEDIPGLKDGHKPAISHRDIKSKNVLLKNNLTACIADFGLALKFEAGKSAGDTHGQV
+GTRRYMAPEVLEGAINFQRDAFLRIDMYAMGLVLWELASRCTAADGPVDEYMLPFEEEIG
+QHPSLEDMQEVVVHKKKRPVLRDYWQKHAGMAMLCETIEECWDHDAEARLSAGCVGERIT
+QMQRLTNIITTEDIVTVVTMVTNVDFPPKESSL
+>sp|Q2TA00|CCD83_BOVIN Coiled-coil domain-containing protein 83 OS=Bos taurus OX=9913 GN=CCDC83 PE=2 SV=1
+METAAKTNKRDIQDGPPKEVKLPISEALLDYHCQIKENALEQFMARIKKLREKNQKYHER
+NKRLKDEQIWHIRNLLKELSEEKSEGSVVVTREEVENAMKEKWKFERDQEQNLKDMRIQI
+SNAEKLFLEKLSEKEYWEEYKNVGSEQHGKLITSLQNDINRVKENAEKMSEQYKITLEDA
+RKRIIRETLLQLDQKKEWATENALRFIDKGSYREIWENDWLKKEIANHRKEVEELENAIH
+ELEEENLVLIDQLFNCRLVDLKIPRRLYLTQAVGQEVPPEVPLELSETHKVIPEKSDSQP
+VDIKSRDVSSISFGSSGFRLSHKDSRFGQLLKIDEEASSSIEFGASDMKYLLYEDEQDFK
+DYVNLGPLEVKLMTVESKKMPIHFQEKETPVKFYEDVRSPESHITYKMMKSFL
+>sp|Q2TBJ9|CABS1_BOVIN Calcium-binding and spermatid-specific protein 1 OS=Bos taurus OX=9913 GN=CABS1 PE=2 SV=1
+MAEDGLPKIYSHPPAESTKTTTEATIFFGADNTIPKSETTITSEGDHVTSVNDYMLENDF
+STTTGNKLIPPKERQKSEDDVESHLEKEFATLMDIKNPMANESITENFLPVKTGNISSTD
+AISLIDFSTDIAKEDILLDTIDPGDKDVSLTSEVSGTPKESTAAIADTPILPNIMGKSDV
+SNYSSSVKFKVPADGNAHITDSSVPEAEITPTTERNLTTIPDITALTEEKITEIDLILPE
+NDPNVVPKLTDSDEEKFITVFELTTTAERDKDNPEDALLTDEESTDEVSVWMERDMANEE
+ESHSVLLTAVESRYDFVIPASVTMNLTEDLLTEEDLPENNRMESVTKNTDELSGTTPDLD
+AFSHKEDNFTTETGVFKLLKEEPDEFLI
+>sp|Q0V8E4|ASND1_BOVIN Asparagine synthetase domain-containing protein 1 OS=Bos taurus OX=9913 GN=ASNSD1 PE=2 SV=1
+MCGICCAVSFSVEHFSRDLKEDLLCNLKRRGPDSSKQLLRSTVNYQCLFSGHVLHLRGLL
+TAQPVEDERGNVFLWNGEIFSGIKVEAEENDTQIMFHYLSSCKNESDILSLFSKVQGPWS
+FIYYQASSHSLWFGRDFFGRRSLLWHFSNLGKSFCLSSVGTQASGVTDQWQEVPASGIFR
+IDLKSASISQSVVLKLYPWKYSSGGDDIRECVHNSLTEISADLPTFVLVAANEAKLYLKD
+PVVPLNMALPQAAFETHCSSISRSPLTRETLRVFLTDGHTKEVVQQFIGVLSTAVKRRVL
+CLPRDENLAPSEVLKTSNRKANVAVLFSGGIDSMVIAALADHHIPLDEPIDLLNVAFMTK
+EKTIPVNFNKKGRKQANHCEMPSEEFSKHAAATAAASPGEQLSVPDRVTGRAGLKELQAA
+NPSRIWNFVEINVSLEELQRLRRTRISHLIQPLDTVLDDSIGCAVWFASRGAGWLVTQDG
+AQPYQSSAKVVLTGIGADEQLAGYSRHQVRFLAHGLEGLNKEIEMELGRISSRNLGRDDR
+VISDHGKEARFPFLDENVVSFLNSLPVWEKANLTLPRGIGEKLILRLAAVELGLTASALL
+PKRAMQFGSRIAKMEKNNEKASDKCGRLQIISLENLSVEN
+>sp|F6QV99|ATAD1_BOVIN ATPase family AAA domain-containing protein 1 OS=Bos taurus OX=9913 GN=ATAD1 PE=2 SV=2
+MVHAETFSRPLSRNEVVGLIFRLTIFGAVTYFTIKWMVDAIDPTRKQKVEAQKQAEKLMK
+QIGVKNVKLSEYEMSIAAHLVDPLNMHVTWSDIAGLDDVITDLKDTVILPIKKKHLFENS
+RLLQPPKGVLLYGPPGCGKTLIAKATAKEAGCRFINLQPSTLTDKWYGESQKLAAAVFSL
+AIKLQPSIIFIDEIDSFLRNRSSSDHEATAMMKAQFMSLWDGLDTDHSCQVIVMGATNRP
+QDLDSAIMRRMPTRFHINQPALKQREAILKLILKNENVDRHVDLLEVAQETDGFSGSDLK
+EMCRDAALLCVREYVNSTSEESHDEDEIRPVQQQDLHRAIEKMKKSKDAAFQNVLTHVCL
+D
+>sp|Q3ZC71|DPM3_BOVIN Dolichol-phosphate mannosyltransferase subunit 3 OS=Bos taurus OX=9913 GN=DPM3 PE=3 SV=1
+MTKLAQWLWALALLGSTWAALTMGALGLELPSSCREVLWPLPAYLLVSAGCYALGTVGYR
+VATFHDCEDAARELQSQIQEARADLTRRGLRF
+>sp|P04696|GNAT2_BOVIN Guanine nucleotide-binding protein G(t) subunit alpha-2 OS=Bos taurus OX=9913 GN=GNAT2 PE=2 SV=3
+MGSGASAEDKELAKRSKELEKKLQEDADKEAKTVKLLLLGAGESGKSTIVKQMKIIHQDG
+YSPEECLEYKAIIYGNVLQSILAIIRAMPTLGIDYAEVSCVDNGRQLNNLADSIEEGTMP
+PELVEVIRKLWKDGGVQACFDRAAEYQLNDSASYYLNQLDRITAPDYLPNEQDVLRSRVK
+TTGIIETKFSVKDLNFRMFDVGGQRSERKKWIHCFEGVTCIIFCAALSAYDMVLVEDDEV
+NRMHESLHLFNSICNHKFFAATSIVLFLNKKDLFEEKIKKVHLSICFPEYDGNNSYEDAG
+NYIKSQFLDLNMRKDVKEIYSHMTCATDTQNVKFVFDAVTDIIIKENLKDCGLF
+>sp|Q08DK4|GHC1_BOVIN Mitochondrial glutamate carrier 1 OS=Bos taurus OX=9913 GN=SLC25A22 PE=2 SV=1
+MADKQISLPAKLINGGIAGLIGVTCVFPIDLAKTRLQNQQNGQRMYTSMSDCLIKTIRSE
+GYFGMYRGAAVNLTLVTPEKAIKLAANDFFRYQLSKDGQQLTLFKEMLAGCGAGTCQVIV
+TTPMEMLKIQLQDAGRLAAQRKILSAQAQLSGQGSAQPSVEAPATPRPTATQLTRDLLRS
+RGIAGLYKGLGATLLRDVPFSIVYFPLFANLNELGRPASGEKSPFYVSFLAGCVAGSAAA
+VAVNPCDVVKTRLQSLQRGINEDTYSGFLDCARKILQNEGPSAFLKGAYCRALVIAPLFG
+IAQVVYFLGIAETLLGLPRVQP
+>sp|Q32L49|F71E1_BOVIN Protein FAM71E1 OS=Bos taurus OX=9913 GN=FAM71E1 PE=2 SV=2
+MKRGHEPKPAFGGAGEAGPGVMAERPLVPACPTGRPGRLQRHLLSGEFDQLRDFRIFESN
+FVQVTRLGEVANKVTMGVAASSPALELPDLLLLAGPAKENGHLQLFGLFPLQFVQLFVHD
+ESRWQLKVKFRTGRAFYLQLRAPPESRDREFGQWVRLLYRLRFHSAQGAVPFTQDYSALE
+DDEDDDEDEDRDLPAGELQAMEARLDPQMSELWGL
+>sp|Q95LA0|FATE1_BOVIN Fetal and adult testis-expressed transcript protein homolog OS=Bos taurus OX=9913 GN=FATE1 PE=2 SV=1
+MAGGAVPNLKEKMQVPKDEDLLLGSFRDNQECSVAAEMKEHMSRLLGASQRRQRVDPKAV
+GSAVVWNTAANQSKKMGPQLRGVGVVGEQGDGGAQPQENPGGSQGMRSQFERRELNTLAE
+IGLEELNELEMEIMRRQLFMITERLRYLEDQSATWHQREVLLFTMLLSSCITNLWLWMRQ
+>sp|Q3SWZ4|EXOS9_BOVIN Exosome complex component RRP45 OS=Bos taurus OX=9913 GN=EXOSC9 PE=2 SV=1
+MKETPLSNCERRFLLRAIEEKKRLDGRQTYDYRNIKISFGTDYGCCIVELGKTRVLGQVS
+CELVSPKLNRATEGILFFNLELSQMAAPAFEPGRQSDLLVKLNRLLERCLRNSKCIDTES
+LCVVAGEKVWQIRVDLHLLNHDGNIIDAASIAAIVALCHFRRPDVSVQGDEVTLYTLEER
+DPVPLSIHHMPICVSFAFFQQGTYLLVDPSEREERVMDGLLVIAMNKHREICTIQSSGGI
+MLLKDQVLRCSKIAGVKVVEITELIQKALENDQKVRKEGGKFGFVESMANQRITAFKMEK
+APIDTSDVEEKAEEIISEAEPPSEVVSKPVLWTPGTAQIGEGIENSWGHLEDSEKEDEDE
+GGSDEAIILDGMKMDTGVEVSNIGSQDAPIVLSDSEEEEMIILEPDKNPKKIRTQTISAT
+QVKAPSKKPVKKRKKKRAAN
+>sp|Q24K12|GPTC1_BOVIN G patch domain-containing protein 1 OS=Bos taurus OX=9913 GN=GPATCH1 PE=2 SV=1
+MAALDSDSDEDLVSYGTGLEPLEEGERPKKPIPLQDQTVRDEKGRYKRFHGAFSGGFSAG
+YFNTVGSKEGWTPSSFVSSRQNRADKSVLGPEDFMDEEDLSEFGIAPKSIVTTDDFASKT
+KDRIREKARQLAAATAPIPGATLLDDLITPAKLSVGFELLRKMGWKEGQGIGPRVKRRPR
+RQKPDPGVKIYGCALPPGGSEGSEDEDDDYLPENVTFAPKDVTPVDFTPKDNVHGLAYKG
+LDPHQALFGTSGEHFNLFSGGPEETGDLLGDIGVNKGRKLGISGQAFGVGALEEEDDDIY
+ATETLSKYDTVLKDEEPGDGLYGWTAPRQYKSQKESEKDLCYVGKILDGFSLASKPLSSK
+KIYPPPELPRDYRPVHYFRPVVAATSENSHLLQVLSESAGKPTNDPGTRSRHQLNACKRG
+ELLGETPIQGAPTSVLEFLSQKDKERLKEVKQATDLKAAQLRARSLAQSASGSRPQPLSP
+DVGHCSWHMALSGGMASTRTSNFKPFAKDPEKQKRYEEFLANMKRGQKDALERCLDPGMT
+EWERGRERDEFARAALLYASSHSTLSSRFTHAQEEDDSEQVEVPRDQENDVSDKQSAVKM
+KMFGKLTRDTFEWHPDKLLCKRFNVPDPYPDSTLVGLPRVKRDKYSVFNFLTIPETASSP
+VTQASSEKVAQHRASDKSRKPSRWDTSKEEKKEDSISEFLSLARSKVGPAKPEPSPLVNK
+EEARATESVSNKVVNKDVDSQTEGEGSRPSMDLFKAIFASSSDEKSSSSEDEQGDSEDDQ
+EGTREADFKSSQETDLVEASSVAQASEPAPQEPAPFFPIQKMQIDEREAFGPRLPPVFCP
+NARQKLEAPLKEKHKKNKEKHKTKKEHRRKKEKKKKHRKHKHKGKQKNKKSEKSSSSEST
+DSSDSQSEEGPTDLSPQELLRRLKRLPLRRQ
+>sp|A2VEA7|DAPL1_BOVIN Death-associated protein-like 1 OS=Bos taurus OX=9913 GN=DAPL1 PE=2 SV=1
+MANEVQVQLSPLKGGHPPAVKAGGKRISKKQEIGILERHTKKTGLEKTSATANVAKIQTM
+DALNDTLEKLSHKFPAVAHMAHQKPRPALEKVTPLKRIYIIQQPRKC
+>sp|A7MBB8|DOK2_BOVIN Docking protein 2 OS=Bos taurus OX=9913 GN=DOK2 PE=2 SV=1
+MEDVVVKQGFLYLQQQQTFGKKWRRFGAALYGGSGCALARLELQEGSEKSRRGEAPRRVI
+RLNDCLRVSEASGEASSPRDTSTFFLETTERLYLLAAPTAERGDWIQAICLLAFPGRRKE
+LSGLEGKGGRPRMEENELYSSTTAGTPQKEFAVTVRPTEVSERCRLRGSYTLRVGESALE
+LWGGPESGTQLYEWPYRFLRRFGRDKVTFSFEAGRRCVSGEGNFEFETRQGNEIFLALEE
+AISAQKNAAPPGPQTQPVPVPAVLPRPESPYARPHDSLPPPSPTVPVPTPRQQRGLEGEY
+AVPFDAVARSLGKSLRGVLAVPPQLPADPLYDSIEDHPPPRPDHIYDEPEGMAALALYDS
+PQEPRGEAWRRQATADRDSSGLKHGYIVGQDFAASGWPQGTEYDNVVLKKGPK
+>sp|Q2NKR7|F162A_BOVIN Protein FAM162A OS=Bos taurus OX=9913 GN=FAM162A PE=2 SV=1
+MGSLRGLRLVAGSCFRSCERDAFSSLRLTRNSDLKRTNGFCSKPQESPKPPDQHTYSHRV
+PLHKPTDWEKKILIWSGRFKKEDEIPETVSFEMLDAAKNKVRVKISYVMIALTVAGCVLM
+VIEGKKAARRNETLTSLNLEKKARLREEAAMKAKTE
+>sp|A2VDX7|EXO5_BOVIN Exonuclease V OS=Bos taurus OX=9913 GN=EXO5 PE=2 SV=2
+MAETEEEETVSEEASGFSDLSDSELLDLEDTQESSASASKPGPSYELPGKDDKLIRSPKW
+KRRLDVSSPMERFHLKYLYVTDLSTQNWCEQQMVYGKEFSGFLTPEKSAILDTGASIHLA
+RELEVHDLVSIPITSKEDAWAVKFLNILSMIPTLQSEGRIREFPVFAEVEGVLLVGVIDE
+LHYTASGELELTELKTRGNPVLPSDAQKKKDYFQVSLYKYIFDAMVQGKVTAASLIHHTK
+LDPEKPLGPSVLRHAQQGGYSVKSLGDLIELVFLSLTLSDLPLIDSLKIEYVHQGTATVL
+GTEMVAFAEKEVRSKVQHYMTYWMGHREPQGVDVEEAWKCRMCNYADICEWKKSGGLISA
+TLEPQVKKAK
+>sp|A6QLQ8|ENDOU_BOVIN Poly(U)-specific endoribonuclease OS=Bos taurus OX=9913 GN=ENDOU PE=2 SV=1
+MRACVPLTVAILCGLAWAGKRESCASRCNERFDRDAVCQCDRRCPQHGDCCEDYEQLCTA
+EENPKEPELFLELEEETEGAPASSLYLAPNSCQGRCLEAFDKHHSCHCNARCPEFGNCCE
+DFESLCGHEGFSHSSDAITKEELQSVSEKIYRADTNKARKEDIVLNSQNCISPSETRDQV
+DRCPEPLFTYVNEKLFSKPTYSAFLNLLNNYQRATGRGEHFTAQELAEQDTFLREIMKTA
+VMKELYGFLHQQNRYSSEQEFVSDLKNMWFGLYSRSKEERDSSGFEHVFSGEVKKGKVTG
+FHNWIRFYMQEKEGLVDYYSHIYDGPWDSYPDVLAMQFNWDGYYKEVGSAFIGSSPEFEF
+ALYSLCFIARPGKVCQLSLGGHPLAIQTYTWNKSTYGNGKKYIATAYVVSSTH
+>sp|Q2KID4|DNAL1_BOVIN Dynein light chain 1, axonemal OS=Bos taurus OX=9913 GN=DNAL1 PE=2 SV=1
+MAKATTIKEALARWEEKTSQKPSEAREIKLYAQIPPIEKMDASLSTLSNCEKLSLSTNCI
+EKIANLNGLKNLRILSLGRNNIKNLNGLEAVGDTLEELWISYNFIEKLKGIHVMKKLKIL
+YMSNNLVKDWAEFVKLAELPCLEDLVFVGNPLEEKHSAEGNWVEEATKRVPKLKKLDGTP
+VIKEDEEEDN
+>sp|O77667|DHI2_BOVIN Corticosteroid 11-beta-dehydrogenase isozyme 2 OS=Bos taurus OX=9913 GN=HSD11B2 PE=1 SV=1
+MESWPWPSGGAWLLVPARALLQLLRADLRLGRPLLAALALLAALDWLCQRLLPPLAALAV
+LAATGWIVLSRLARPQRLPVATRAVLITGCDSGFGNATAKKLDTMGFTVLATVLDLNSPG
+ALELRACCSSRLKLLQMDLTKPGDISRVLEFTKVHTPSTGLWGLVNNAGQNIFVADAELC
+PVATFRTCMEVNFFGALEMTKGLLPLLRRSSGRIVTVSSPAGDMPFPCLAAYGTSKAALA
+LLMGNFSCELLPWGVKVSIIQPACFKTESVKDVHQWEERKQQLLATLPQELLQAYGEDYI
+EHLNGQFLHSLSQALPDLSPVVDAITDALLAAQPLRRYYPGHGLGLIYFIHYYLPEGLRQ
+RFLQSFFISPYVPRALQAGQPGLTSARDIAQDQGPRPDPSPTAQ
+>sp|A3KN46|LTMD1_BOVIN LETM1 domain-containing protein 1 OS=Bos taurus OX=9913 GN=LETMD1 PE=2 SV=1
+MALSRVCWARAALWGSAVPPGLYVVRRLQFVRSGLTWGAPRSSKLHLSPKADVKSLISYV
+VTKTKVINGKYHRFLGRHFPRFYVPYTIFMKGLQMLWADGKKARRIKTNMWKHNIKFHQL
+PYREMEHLRQFRRDVTKCLFLGILSIPPFANYLVFLLMYLFPRQLLIRHFWTPKQQIDFL
+DIYHALRKQSHPEILCYLEKVVPLISDAGLQWHMTELCTKMQRGTHPAVHDILALRECFA
+NHPLGMDQLRALQMKALCRAMLLTPYLPSVLLRHRLKTHTTVIHQLDKALAKLGVGQLTA
+QEVKSACYLRGLNSTHIAEERCRTWLGEWLQISCSLKETELSLLLHNVVLLSINYVGSRR
+>sp|Q5E9F7|COF1_BOVIN Cofilin-1 OS=Bos taurus OX=9913 GN=CFL1 PE=2 SV=3
+MASGVAVSDGVIKVFNDMKVRKSSTPEEVKKRKKAVLFCLSEDKKNIILEEGKEILVGDV
+GQTVDDPYATFVKMLPDKDCRYALYDATYETKESKKEDLVFIFWAPECAPLKSKMIYASS
+KDAIKKKLTGIKHELQANCYEEVKDRCTLAEKLGGSAVISLEGKPL
+>sp|Q2T9W4|ACTL9_BOVIN Actin-like protein 9 OS=Bos taurus OX=9913 GN=ACTL9 PE=2 SV=1
+MDPNQGNPLEPQDSPEIPKPSLNLSSILAKSTLPQEPPSMVGDRLPPKTGAVVIDMGTGT
+CKVGFAGQARPTYTVATIVGCQPQKPATSGQPVMETFIGEAARKRPELTLVQPVHSGIVV
+DWDAAELIWRHMLEHDLRVATRDHPLLFSDPPFSPSTNREKLVEVAFESLSSPAMYVASQ
+SVLSVYAHGRVSGLVVDTGHGVTYTVPVFQGYNLPHATQRLDLAGTHLTAFLAEMLLGSG
+LPLGQQDLDTVENIKHRYCYVAPDFLKEQARPELECRQTLKLPDGRTVTLGKELFQCPEL
+LFSPPEIPGLSPVGVPTMAQQSLSKVAAELRTDLAQNVLLCGGSSLFTGFQARFQTELLR
+NLPPEAHVVVMAQPTRNFSVWIGGSILASLRTFQSCWVLREQYEEQGPYIVYRKCY
+>sp|Q08DE6|AQP3_BOVIN Aquaporin-3 OS=Bos taurus OX=9913 GN=AQP3 PE=2 SV=1
+MGRQKELVNRCGEMLHIRYRLLRQALAECLGTLILVMFGCGSVAQVVLSRGTHGGFLTIN
+LAFGFAVTLGILIAGQVSGAHLNPAVTFAMCFLAREPWIKLPVYTLAQTLGAFLGAGIIF
+GLYYDAIWAFANNQLIVSGPNGTAGIFATYPSGHLDMVNGFFDQFIGTASLIVCVLAIVD
+PYNNPVPRGLEAFTVGLVVLVIGTSMGFNSGYAVNPARDFGPRLFTAIAGWGSEVFTTGR
+HWWWVPIVSPLLGSIAGVFVYQLMIGCHLEPPPPSTDEENVKLSHVKHKEQM
+>sp|Q08E07|CELF3_BOVIN CUGBP Elav-like family member 3 OS=Bos taurus OX=9913 GN=CELF3 PE=2 SV=1
+MKEPDAIKLFVGQIPRHLEEKDLKPIFEQFGRIFELTVIKDKYTGLHKGCAFLTYCARDS
+ALKAQSALHEQKTLPGMNRPIQVKPADSESRGEDRKLFVGMLGKQQTDEDVRKMFEPFGT
+IDECTVLRGPDGTSKGCAFVKFQTHAEAQAAINTLHSSRTLPGASSSLVVKFADTEKERG
+LRRMQQVATQLGMFSPIALQFGAYSAYTQALMQQQAALVAAHSAYLSPMATMAAVQMQHM
+AAINANGLIATPITPSSGTSTPPAIAATPVSAIPAALGVNGYSPVPTQPTGQPAPDALYP
+NGVHPYPAQSPAAPVDPLQQAYAGMQHYTAYPAAYSLVAPAFPQPPALVAQQPPPPPQQQ
+QQQQQQQQQREGPDGCNIFIYHLPQEFTDSEILQMFVPFGHVISAKVFVDRATNQSKCFG
+FVSFDNPASAQAAIQAMNGFQIGMKRLKVQLKRPKDANRPY
+>sp|Q32L08|AMN1_BOVIN Protein AMN1 homolog OS=Bos taurus OX=9913 GN=AMN1 PE=2 SV=2
+MPSPQRVSQLLDLCLWCFMKNISRYITDIKPLPPNIKDRLIKIMSVQGQITDSNISEILH
+PEVQTLDLRSCDISDTALLHLCNCRKLKKLNLKSSKENRISITSKGIKAVASSCSYLHEA
+SLKRCCNLTDEGVLALALNCRLLKIIDLGGCLGITDVSLQALGENCAFLQCVDFSATQVS
+DHGVVALVSGPCAKKLEEIHMGHCVNLTDEAVEAVLTCCPQICILLFHGCPLITDHSREV
+LEQLVGPNKLKQVTWTVY
+>sp|Q3SYW1|AP1M2_BOVIN AP-1 complex subunit mu-2 OS=Bos taurus OX=9913 GN=AP1M2 PE=1 SV=3
+MSASAVFILDVKGKPLISRNYKGDVAMSEIDHFMPLLMQREEEGALTPLLSHGRVHFLWI
+KYSNLYLVATTLKNANASLVYSFLYKIVEVFSEYFKELEEESIRDNFVIVYELLDELMDF
+GFPQTTDSKILQEYITQQGNKLETGKSRVPPTVTNAVSWRSEGIKYKKNEVFIDVIESVN
+LLVNANGSVLLSEIVGSIKLKVFLSGMPELRLGLNDRVLFELTGRSKNKSVELEDVKFHQ
+CVRLSRFDNDRTISFIPPDGDFELMSYRLSTQVKPLIWIESVIEKFSHSRVEIMVKAKGQ
+FKKQSVANGVEISVPVPSDADSPRFKTSVGSAKYVPEKNTVIWSIKSFPGGKEYLMRAHF
+GLPSVEKEEVEGRPPIGVKFEIPYFTVSGIQVRYMKIIEKSGYQALPWVRYITQSGDYQL
+RTS
+>sp|Q3ZBS3|AP1S2_BOVIN AP-1 complex subunit sigma-2 OS=Bos taurus OX=9913 GN=AP1S2 PE=2 SV=1
+MQFMLLFSRQGKLRLQKWYVPLSDKEKKKITRELVQTVLARKPKMCSFLEWRDLKIVYKR
+YASLYFCCAIEDQDNELITLEIIHRYVELLDKYFGSVCELDIIFNFEKAYFILDEFLLGG
+EVQETSKKNVLKAIEQADLLQEDAKEAETPRSVLEEIGLT
+>sp|Q9BDI3|CITE1_BOVIN Cbp/p300-interacting transactivator 1 OS=Bos taurus OX=9913 GN=CITED1 PE=2 SV=1
+MPTMSRPALDVKGGTSPVKENANPEMNSLAYSNLGVKDRKAVAILHYPGVASNGTKASGA
+PTSSSGSPSPISSSTATPPTKPPPFNLHPAPHLLASMQLQKLNSQYHGMAAATPGQPGEA
+EPLPNWGFGAQAGGAGSLSPSAGAQSPAIIDSDPVDEEVLMSLVVELGLDRANELPELWL
+GQNEFDFTADFPSGS
+>sp|A2VE47|ADPGK_BOVIN ADP-dependent glucokinase OS=Bos taurus OX=9913 GN=ADPGK PE=2 SV=1
+MALWRGSAYAGFLALAVGCVFLLEPQLPGSALRSLWSSLQLGPAPAPPGVGSPEGRLAAA
+WDALIVRPARRWRRVAVGVNACVDVVLSGVKLLQALGLSPGNGKDHSELHSRNDLEEAFV
+HFMGKGAAAERFFSDKETFHDIAQVASEFPEAQHYVGGNAALIGQKFAANSDLKVLLCGP
+VGPKLHELLDDNVFVPPESLQEVDEFHLILEYQAGEEWDQLKAPHANRFIFSHDLSNGAM
+NMLEVFVSSLEEFQPDLVVLSGLHMMEGQSKEFQRKRLLEVVTSISDIPTGVPVHLELAS
+MTNKELMSTIVHQQVFPAVTSLGLNEQELLFLSQSASGPHSSLSSWNGVPDVGVVSDILF
+WILKEHGKSESRASDLSRIHFHTLAYHILATVDGHWANQLAAVAAGARVAATQACATETI
+DTRRVSLKAPHEFMTSRLEAGSRVVLNPNEPVVEWHREGVSFHFTPVLVCKDPVRTVGLG
+DAISAEGLFYSEVHPHL
+>sp|Q2KIN1|DPM2_BOVIN Dolichol phosphate-mannose biosynthesis regulatory protein OS=Bos taurus OX=9913 GN=DPM2 PE=3 SV=3
+MATGTDQVVGLGLVALSLIIFTYYTAWVILLPFIDSQHVIHKYFLPRAYAIAIPLAAGHL
+LLLFVGIFITYVMLKNQNDTKKTQ
+>sp|P46165|DEFB7_BOVIN Beta-defensin 7 OS=Bos taurus OX=9913 GN=DEFB7 PE=1 SV=3
+MRLHHLLLALLFLVLSAGSGFTQGVRNFVTCRINRGFCVPIRCPGHRRQIGTCLGPRIKC
+CR
+>sp|A7MB40|F193B_BOVIN Protein FAM193B OS=Bos taurus OX=9913 GN=FAM193B PE=2 SV=1
+MTRRRSRPSGGAGRRERARATGPQKPQAPEPPPPPSLEAGAGAGPPEAPAEPYRDDPREE
+DEPKLAPGPQVPPTTSQSVQTCCLLCHRERKGWEEGPSQNGLVLQGEKLPPDFMPKLVKN
+LLGEMPLWVCQSCRKSMEEDERQTGREHAVAISLSHTSCKSQSCGGDSHSSSSSSSSSSS
+SSSSCHGNSGDWDPSSFLSAHKLSGLWNSPHSSGAMPGGSLGSPPTIPGEVFPISEHHRH
+SDLTAPPNSPTGHHPQPAPLIPSHPGSFGSPPHPHLLPTTPAVHFPAQVSECPVAVAAAP
+HTPGPCQSPHLPSTSMPLLKMPPPFSGCSHPCSGHCSGHCSGPLLPPPSSQQLPSTHSRD
+PGCKGHKFTHSGLTCQLPQPCEADEGLGEEEDSSSERSSCTSSSTHQRDGKFCDCCYCEF
+FGHNAEKEKAQLAAEALKQANRSVSGSRELRPARESLLGWPDRELDRVNSFLNSRLQEIK
+NTVKDSICASFSMCELSVDSNGFSKEGATEPKPQSLAPSNPSGSSEQRPDINLDLSPLTL
+GSPQNHMLQAPGEPAPPWAEMRSPHPPWTEVKGPPPGIIPENGLVRRLNTVPNLSRMIWV
+KTPKPGNPSSEEPSIKGAPGCKQELPEPVASGGKPRKGKRQGNQAKKSEVSPASQSPACL
+ETPSAKGQTPSPKQPSKAPEPPRVDSCAEAGEGSQGTRPGPGWADSPKADKEKGNSWRNW
+PGEAKARPLEQESVQPPGPARPQSFQQGKGRSRRSRNKQEKSASSLDDVFLPKDMDGVEM
+DETDREVEYFKRFCLDSAKQTRQKVAVNWTNFSLKKTTPSTAQ
+>sp|P19803|GDIR1_BOVIN Rho GDP-dissociation inhibitor 1 OS=Bos taurus OX=9913 GN=ARHGDIA PE=1 SV=3
+MAEQEPTAEQLAQIAAENEEDEHSVNYKPPAQKSIQEIQELDKDDESLRKYKEALLGRVA
+VSADPNVPNVVVTRLTLVCSTAPGPLELDLTGDLESFKKQSFVLKEGVEYRIKISFRVNR
+EIVSGMKYIQHTYRKGVKIDKTDYMVGSYGPRAEEYEFLTPMEEAPKGMLARGSYNIKSR
+FTDDDRTDHLSWEWNLTIKKEWKD
+>sp|Q3T0E9|GPR18_BOVIN N-arachidonyl glycine receptor OS=Bos taurus OX=9913 GN=GPR18 PE=2 SV=1
+MTTPHSQAQPGLPIDPHPDEYKVAALVFYSCIFIIGLFVNVTALWVFSCTTKKRTTVTVY
+MMNVALLDLVFIMSLPFRMLYYAKGEWPFGEYFCRILGALTVFYPSIALWLLAFISADRY
+MAIVQPKYAKELKNTCKAVMACVGVWIMTLTTTIPLLLLYEDPDTASSTPPTCLKISDII
+YLKAINALNFTRLIFFFLIPLFIMIGCYLVIIHSLLHGKTSKLKPKVKEKSIRIIITLMV
+QVLVCFMPFHICFAFLMLGGDENSYNPWGAFTTFLMNLSTCLDVILYYIVSKQFQARVIS
+VMLYRNYLRSVRRKSFRSGSLRSLSNINSEML
+>sp|A5PKB7|GIMA6_BOVIN GTPase IMAP family member 6 OS=Bos taurus OX=9913 GN=GIMAP6 PE=2 SV=1
+MSSMFVYVWGTVTDLLHSACSVLALNGDTESSGEHTAPGDTRHGHSSCPTDSRAMEEEEF
+EILLPEEPEEGTSQDPSPDRSGGFLGDEGTLQTLWLILVGKSGSGKSATGNSILGRRVFE
+SKLSARPVTQAFQQGCRAWEGRELQVIDTPDILSPWAAGWATAQGVGEAGTGSLPKQYAV
+LLVTQLGRFTEEDQQVAGRLEEVFGKGILARTILVFTRKEDLDGRSLETYLRETDNRALA
+KLDDVCSRRHCGFNNKGDGAEQETQLRELMRHVEGVLKEPEGCAYSPPATPQPHAAPRES
+WGLWWLGAKEGRGDQAWLWGLHRILKEPEQAGRQLPPSTPI
+>sp|P03968|FGF1_BOVIN Fibroblast growth factor 1 OS=Bos taurus OX=9913 GN=FGF1 PE=1 SV=2
+MAEGETTTFTALTEKFNLPLGNYKKPKLLYCSNGGYFLRILPDGTVDGTKDRSDQHIQLQ
+LCAESIGEVYIKSTETGQFLAMDTDGLLYGSQTPNEECLFLERLEENHYNTYISKKHAEK
+HWFVGLKKNGRSKLGPRTHFGQKAILFLPLPVSSD
+>sp|P33545|DSC2_BOVIN Desmocollin-2 (Fragment) OS=Bos taurus OX=9913 GN=DSC2 PE=2 SV=1
+KFIGRVNLKECFKSATLIHSSDPDFQILEDGSVYTTHAILLSSEKSSFTILLSNTETQEE
+KEILVLLEHQTKVLKKRHSQEKVLRRAKRRWAPIPCSVPENSLGPFPLFLQQIQSDTAQN
+YTIYYSISGPGVDKEPRNLFYVERDTGNLFCTASIDRETYPLFELVAFATTPDGYTPEYP
+LTLVIRIEDENDNAPIFTETSYSFEVFENSKVGTTVGQVCATDQDEPDTLHTRLKYSIIE
+QFPALPTLFSMHPTTGVITTSSSKLDRELIDKYQLKIKVQDMDGQYFGLQTTAICIINIE
+DVNDNLPTFTRSSYVASVEENRIDVEILRVAVRDKDLINTANWRANYTILKGNEDGNFKI
+VTDSQTNEGVLCVVKPLNYEEKQQVTLEIGVVNEAPYTGTSRSTTNMATVTVNVQNQDEG
+PECDPRVQTVRIKENVPVGTKTIGYKAYDPETGSSSGIRYKKSSDPEGWVDVDKNSGVIT
+ILKRLDREARSGVYNISIIASDKDGRTCNGVLGIVLEDVNDNGPVIPQRTVVICKTVMSS
+AEIVAVDPDEPIHGPPFDFSLEGVSDSEVLRMWRLTKVNDTAARLSYLNDLRFGKYTVPV
+RVTDRLGQSLVTQLVVILCDCVTPNDCSFRPVSRTGNREVILGKWAILAILLGIALLFCI
+LFTLVCGATTGADKKPKVFPDDLAQQNLIVSNTEAPGDDKVYSTNDFTTHAVGGSAHGIG
+GTLGSRVKNGGQETIEMVKGGHQTMESCQETGHDHTLERCKEGGQHTLDSCRGGPVATDN
+CKYTYSEWYTYTQPRLGEKVQQCDQDNTHMQAQDYVLTYNYEGRGSAAGSVGCCSERQEE
+DGLEFLDHLGPKFRTLAETCMKR
+>sp|P00157|CYB_BOVIN Cytochrome b OS=Bos taurus OX=9913 GN=MT-CYB PE=1 SV=1
+MTNIRKSHPLMKIVNNAFIDLPAPSNISSWWNFGSLLGICLILQILTGLFLAMHYTSDTT
+TAFSSVTHICRDVNYGWIIRYMHANGASMFFICLYMHVGRGLYYGSYTFLETWNIGVILL
+LTVMATAFMGYVLPWGQMSFWGATVITNLLSAIPYIGTNLVEWIWGGFSVDKATLTRFFA
+FHFILPFIIMAIAMVHLLFLHETGSNNPTGISSDVDKIPFHPYYTIKDILGALLLILALM
+LLVLFAPDLLGDPDNYTPANPLNTPPHIKPEWYFLFAYAILRSIPNKLGGVLALAFSILI
+LALIPLLHTSKQRSMMFRPLSQCLFWALVADLLTLTWIGGQPVEHPYITIGQLASVLYFL
+LILVLMPTAGTIENKLLKW
+>sp|Q5EA88|GPDA_BOVIN Glycerol-3-phosphate dehydrogenase [NAD(+)], cytoplasmic OS=Bos taurus OX=9913 GN=GPD1 PE=2 SV=3
+MTGKKVCIVGSGNWGSAIAKIVGGNAAQLAHFDPRVTMWVFEEDIGGRKLTEIINTQHEN
+VKYLPGHKLPPNVVAVPDVVQAAADADILIFVVPHQFIGKICDQLKGHLKADTIGVSLIK
+GVDEGPKGLKLISEVIGERLGIPMSVLMGANIANEVADEKFCETTIGSKNQAHGQLLKEL
+MQTPNFRITVVQEVDTVEICGALKNIVAVGAGFCDGLGFGDNTKAAVIRLGLMEMIAFAK
+LFCSGSVSSATFLESCGVADLITTCYGGRNRKVAEAFARTGKSIEQLEKEMLNGQKLQGP
+QTARELHSILQHKGMVDKFPLFTAVYKVCYENQPVGEFIHCLQNHPEHV
+>sp|P68265|GLTP_BOVIN Glycolipid transfer protein OS=Bos taurus OX=9913 GN=GLTP PE=1 SV=2
+MALLAEHLLRPLPADKQIETGPFLEAVSHLPPFFDCLGSPVFTPIKADISGNITKIKAVY
+DTNPTKFRTLQNILEVEKEMYGAEWPKVGATLALMWLKRGLRFIQVFLQSICDGERDENH
+PNLIRVNATKAYEMALKKYHGWIVQKIFQAALYAAPYKSDFLKALSKGQNVTEEECLEKV
+RLFLVNYTATIDVIYEMYTRMNAELNYKV
+>sp|A7Z035|EPN4_BOVIN Clathrin interactor 1 OS=Bos taurus OX=9913 GN=CLINT1 PE=2 SV=1
+MLNMWKVRELVDKATNVVMNYSEIESKVREATNDDPWGPSGQLMGEIAKATFMYEQFPEL
+MNMLWSRMLKDNKKNWRRVYKSLLLLAYLIRNGSERVVTSAREHIYDLRSLENYHFVDEH
+GKDQGINIRQKVKELVEFAQDDDRLREERKKAKKNKDKYVGVSSDSVGGFRYSERYDPEP
+KSKWDEEWDKNKSAFPFSDKLGELSDKIGSTIDDTISKFRRKDREDSPERCSDSDEEKKA
+RRGRSPKGEFKDEEETVTTKHIHITQATETTTTRHKRTANPSKTIDLGAAAHYTGDKASP
+DQNASTHTPQSSLKTSVPSSKSSGDLVDLFDGTSQSTGGSADLFGGFADFGSAAASGNFP
+SQVTATSGNGDFGDWSAFNQAPSVPVAASGELFGSASQPAVELVSSSQPALGPPPAASNS
+SDLFDLMGSSQATMTSSQSMNFSMMSTNTVGLGLPMSRSQPLQNVSTVLQKPNPLYNQNT
+DMVQKSVSKTLPSTWSDPSVNISLDNLLPGMQPSKPQQPSLNTMIQQQNMQQPMNMMTQS
+FGAVNLSSPSNMLPVRPQTNPLMGGPMPMSMPNVMTGTMGMAPLGNSPMMNQSMMGMNMN
+IGMSTTGMGLTGTMGMGMPNLAMTSGTMQPKQDAFANFANFSK
+>sp|Q3T094|ETHE1_BOVIN Persulfide dioxygenase ETHE1, mitochondrial OS=Bos taurus OX=9913 GN=ETHE1 PE=2 SV=1
+MAGSVLKIAGRRLSQHTGSGAPVLLRQMFEPKSCTYTYLLGDRESREAVLIDPVLETAQR
+DAQLVKELGLRLLYAVNTHCHADHITGSGLLRSLLPGCQSVISRLSGAQADWHIEDGDSI
+QFGRFALETRASPGHTPGCVTFVLNDHSMAFTGDALLIRGCGRTDFQQGCAETLYHSVHE
+KIFTLPGNCLIYPAHDYHGLTVSTVEEERTLNPRLTLSCEEFVKVMDKLNLPKPQQIDFA
+VPANMRCGIQTPPS
+>sp|P0C591|DUPD1_BOVIN Dual specificity phosphatase DUPD1 OS=Bos taurus OX=9913 GN=DUPD1 PE=2 SV=1
+MTSGESKTGLKNVYPSAKKLLPKVEEGEAEDYCTPGAFELERLFWKGSPQYTHVNEVWPK
+LYIGDETTALDRYGLQKAGFTHVLNAAHGRWNVDTGPDYYRDMAIEYHGVEADDLPSFDL
+SVFFYPAAAFIDAALRYDHNKILVHCVMGRSRSATLVLAYLMIHRNMTLVDAIQQVAKNR
+CVLPNRGFLKQLRELDRQLVQQRRQAQQGEDAEKCEQEP
+>sp|Q3ZCK1|EIF3L_BOVIN Eukaryotic translation initiation factor 3 subunit L OS=Bos taurus OX=9913 GN=EIF3L PE=2 SV=1
+MSYPADDYESEAAYDPYAYPGDYDMHTGDPKQDLAYERQYEQQTYQVIPEVIKNFIQYFH
+KTVSDLIDQKVYELQASRVSSDVIDQKVYEIQDIYENSWTKLTERFFKNTPWPEAETIAP
+QVGNDAVFLILYKELYYRHIYAKVSGGPSLEQRFESYYNYCNLFNYILNADGPAPLELPN
+QWLWDIIDEFIYQFQSFSQYRCKTAKKSEEEIDFLRSNPKIWNVHSVLNVLHSLVDKSNI
+NRQLEVYTSGGDPESVAGEYGRHSLYKMLGYFSLVGLLRLHSLLGDYYQAIKVLENIELN
+KKSMYSRVPECQVTTYYYVGFAYLMMRRYQDAIRVFANILLYIQRTKSMFQRTTYKYEMI
+NKQNEQMHALLAIALTMYPMRIDESIHLQLREKYGDKMLRMQKGDPQVYEELFSYSCPKF
+LSPVVPNYDNVHPNYHKEPFLQQLKVFSDEVQQQAQLSTIRSFLKLYTTMPVAKLAGFLD
+LTEQEFRIQLLVFKHKMKNLVWTSGISALDGEFQSASEVDFYIDKDMIHIADTKVARRYG
+DFFIRQIHKFEELNRTLKKMGQRP
+>sp|A2VE44|MED25_BOVIN Mediator of RNA polymerase II transcription subunit 25 OS=Bos taurus OX=9913 GN=MED25 PE=2 SV=1
+MVPGSEGPARAGGLVADVVFVIEGTANLGPYFEGLRKHYLLPAIEYFNGGPPAETDFGGD
+YGGTQYSLVVFNTVDCAPESYVQCHAPTSSAYEFVTWLDGIKFMGGGGESCSLIAEGLST
+ALQLFDDFKKMREQIGQTHRVCLLICNSPPYLLPAVESTTYSGCTTETLVQKIGERGIYF
+SIVSPRKLPALRLLFEKAAPPAMLEPLQPPADVSQDPRHMVLVRGLVLPVGGGSAPGPLQ
+PKQPVPLPPAAPAGATLSTAPQQPLPPVPQQYQVPGNLSAAQVAAQNAVEAAKNQKAGLG
+PRFSPINPLQQATPGVGPPYSQTQATQLPPGPPGAPKPPPASQPSLVSTVAPGPGLAPPA
+QPGAPSMAGTVAPGGVSGPSPAQLGAPALGGQQSVSNKLLAWSGVLEWQEKPKPASVDAN
+TKLTRSLPCQVYVNHGENLKTEQWPQKLIMQLIPQQLLTTLGPLFRNSRMVQFHFTNKDL
+DSLKGLYRIMGNGFAGCVHFPHTAPCEVRVLMLLYSSKKKIFMGLIPYDQSGFVNGIRQV
+ITNHKQVQQQKLEQQRGMGAQQAPPGLGPILEDQARPSQNLLQLRPPQPQPQGTVGASAA
+AGQPQPQGAAPAPPGAPQGPPGAAPGPPPPGPLLRPQNPGANPQLRSLLLNPPPPQTGVP
+PPQASLHHLQPPGAPALLPPPHQGLGQPQLGPPLLHPPPAQSWPAQLPPRASLPGQMLLS
+GGPRGPVPQPGLQPSVMEDDILMDLI
+>sp|O02659|MBL2_BOVIN Mannose-binding protein C OS=Bos taurus OX=9913 GN=MBL PE=2 SV=1
+MSLFTSLPFLLLTAVTASCADTETENCENIRKTCPVIACGPPGINGIPGKDGRDGAKGEK
+GEPGQGLRGSQGPPGKMGPQGTPGIPGIPGPIGQKGDPGENMGDYIRLATSERATLQSEL
+NQIKNWLIFSLGKRVGKKAFFTNGKKMPFNEVKTLCAQFQGRVATPMNAEENRALKDLVT
+EEAFLGITDQETEGKFVDLTGKGVTYQNWNDGEPNNASPGEHCVTLLSDGTWNDIACSAS
+FLTVCEFSL
+>sp|A5PK23|MED26_BOVIN Mediator of RNA polymerase II transcription subunit 26 OS=Bos taurus OX=9913 GN=MED26 PE=2 SV=1
+MTAAPPSPQQIRDRLLQAIDPQSNIRNMVAVQEVISSLEKYPITKEALEETRLGKLINDV
+RKKTKNEELAKRAKKLLRSWQKLIEPVHQNEAALRGLAGAPGSANGGAHNCRPEAGAAGP
+PKSVHDLKYRNDMPRLCGQRLDRLGSRKRRGDQRDLGHPGPPPKVSKASHDSLVPNSSPL
+PTNGISGSPESFPSPLDSSGHVGPEGNRLEHGENDKHSGKIPVNAVRPHTSSPGLGKPPG
+PCLQTKAVVLQQLDKVDETPGPPHPKGPPRCSLGSRNSRHEGSFARQRSPYTYKGSLPSP
+SPRPQSLDATQVPSPLPLAQPSTPPVRRLELLPSAESPVRWLEQPEGHQRLAGLGCKAGL
+PPAEPLLPRAGFSPDSSKADSDAASSGGSDSKKKKRYRPRDYTVNLDGQVAEAGVKPVRL
+KERKLTFDPMTRQIKPLTQKEPVRADSPVHTEQPRTELDKPEAKASLQSPFEQTNWKELS
+RNEIIQSYLSRQSSLLSSSGAQTPGAHHFMSEYLKQEESTRRGARKPHVLVPHGPPTDFP
+GLSREVTRDDLDKIQAHQWPGVNGCQDTQGNWYDWTQCISLDPHGDDGRLNILPYVCLD
+>sp|Q0V881|LY66F_BOVIN Lymphocyte antigen 6 complex locus protein G6f OS=Bos taurus OX=9913 GN=LY6G6F PE=2 SV=1
+MAVLFLLLLFLCGLPQAETDSIQAIYVVLGEALELPCPSPPALNGDEFLSWFHSPAAGSS
+TALVAHVQVARPSRDPGKPRRESRLTLLGNYSLWLEGSKAGDAGRYWCALLGQRYRYQNW
+RVYDVSVLRGSQFSARAADGSSCSVLLCSVVPARSLDSVTWQEGKGPVKGDVQSFWGDGA
+TLLLMCPGEGLPEPRAHKPGIIRCLVPQNKGISFSLAASTDASPALCAPSADWDVAWILT
+LLLTVGQGFTIVVLGVMLWRQRAQGAQHRNASFPQFKPEIQVYENIHLAHLSPPAPKTR
+>sp|P01096|ATIF1_BOVIN ATPase inhibitor, mitochondrial OS=Bos taurus OX=9913 GN=ATP5IF1 PE=1 SV=2
+MAATALAARTRQAVWSVWAMQGRGFGSESGDNVRSSAGAVRDAGGAFGKREQAEEERYFR
+ARAKEQLAALKKHHENEISHHAKEIERLQKEIERHKQSIKKLKQSEDDD
+>sp|P61603|CH10_BOVIN 10 kDa heat shock protein, mitochondrial OS=Bos taurus OX=9913 GN=HSPE1 PE=3 SV=2
+MAGQAFRKFLPLFDRVLVERSAAETVTKGGIMLPEKSQGKVLQATVVAVGSGSKGKGGEI
+QPVSVKVGDKVLLPEYGGTKVVLDDKDYFLFRDGDILGKYVD
+>sp|Q32PE7|BAFL_BOVIN Barrier-to-autointegration factor-like protein OS=Bos taurus OX=9913 GN=BANF2 PE=3 SV=1
+MDHMSPRLRAFLSEPIGEKDVAWVDGISHELAINLVTKGFNKAYVLLGQFLLMHKREAEF
+QKWLICCCGATEFEARECSNCLKEWCSCFL
+>sp|Q2YDE5|CC196_BOVIN Putative coiled-coil domain-containing protein 196 OS=Bos taurus OX=9913 GN=CCDC196 PE=5 SV=1
+MTSASNSPESYLSSKTRSSKLDDNYLKELNEDLKLRKQELLEILKPLEDKNNLLFQKLMS
+NLEEKQRSLQIMRQIMAGKGNDDSSVIELIKEAEEMKQNLERKNKMLRKEMEMLWNKTFN
+TEEFSDEEKVLQIKNKTDLQDGKAPKTPSSSRKTKNELETLCAEKGKEIRKRLFLPPGKE
+TEENGMGQVSGTSQHHSE
+>sp|Q3SZ31|APC15_BOVIN Anaphase-promoting complex subunit 15 OS=Bos taurus OX=9913 GN=ANAPC15 PE=2 SV=1
+MSTLFPSLFPRVTETLWFNLDRPCVEETELQQQEQQHQAWLQSIAEKDNNLVPIGKPASE
+HYDDEEEEDEDDDEDSEEDSEDDEDMQDMDEMNDYNESPDDGEVNEVDMEGNEQDQDQWM
+I
+>sp|P05630|ATPD_BOVIN ATP synthase subunit delta, mitochondrial OS=Bos taurus OX=9913 GN=ATP5F1D PE=1 SV=2
+MLPSALLRRPGLGRLVRQVRLYAEAAAAQAPAAGPGQMSFTFASPTQVFFNSANVRQVDV
+PTQTGAFGILAAHVPTLQVLRPGLVVVHAEDGTTSKYFVSSGSVTVNADSSVQLLAEEAV
+TLDMLDLGAAKANLEKAQSELLGAADEATRAEIQIRIEANEALVKALE
+>sp|A6QL50|FA72A_BOVIN Protein FAM72A OS=Bos taurus OX=9913 GN=FAM72A PE=1 SV=1
+MSTSSCSFKDRRVSILCCKFCKQVLSSRGMKAVLLADTEIDLFSTDIPPTNAVDFIGRCY
+FTEICKCKLKDIACLKCGNIVGYHVIVPCCSCLLSCNNGHFWMFHSQAVYGINRLDSTGV
+NFLLWGNLPEVEENTDEDMLDISAEECIR
+>sp|P68103|EF1A1_BOVIN Elongation factor 1-alpha 1 OS=Bos taurus OX=9913 GN=EEF1A1 PE=1 SV=1
+MGKEKTHINIVVIGHVDSGKSTTTGHLIYKCGGIDKRTIEKFEKEAAEMGKGSFKYAWVL
+DKLKAERERGITIDISLWKFETSKYYVTIIDAPGHRDFIKNMITGTSQADCAVLIVAAGV
+GEFEAGISKNGQTREHALLAYTLGVKQLIVGVNKMDSTEPPYSQKRYEEIVKEVSTYIKK
+IGYNPDTVAFVPISGWNGDNMLEPSANMPWFKGWKVTRKDGNASGTTLLEALDCILPPTR
+PTDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFAPVNVTTEVKSVEMHHEALS
+EALPGDNVGFNVKNVSVKDVRRGNVAGDSKNDPPMEAAGFTAQVIILNHPGQISAGYAPV
+LDCHTAHIACKFAELKEKIDRRSGKKLEDGPKFLKSGDAAIVDMVPGKPMCVESFSDYPP
+LGRFAVRDMRQTVAVGVIKAVDKKAAGAGKVTKSAQKAQKAK
+>sp|P63214|GBG3_BOVIN Guanine nucleotide-binding protein G(I)/G(S)/G(O) subunit gamma-3 OS=Bos taurus OX=9913 GN=GNG3 PE=1 SV=1
+MKGETPVNSTMSIGQARKMVEQLKIEASLCRIKVSKAAADLMTYCDAHACEDPLITPVPT
+SENPFREKKFFCALL
+>sp|Q5E9X0|GFRA2_BOVIN GDNF family receptor alpha-2 OS=Bos taurus OX=9913 GN=GFRA2 PE=2 SV=1
+MILANAFCLFFFLDETLRSLASPSSPQGPELHGWRPPVDCVRANELCAAESNCSSRYRTL
+RQCLAGRDRNTMLANKECQAALEVLQESPLYDCRCKRGMKKELQCLQIYWSIHLGLTEGE
+EFYEASPYEPVTARLSDIFRLASIFSGTGADPAVSTKSNHCLDAAKACNLNDNCKKLRSS
+YISICNREISPTERCNRRKCHKALRQFFDRVPSEYTYRMLFCSCQDQACAERRRQTILPS
+CSYEDKEKPNCLDLRSLCRTDHLCRSRLADFHANCRASYQTLTSCPTDNYQACLGSYAGM
+IGFDITPNYVDSSPTGIVVSPWCSCRGSGNMEEECEKFLKDFTENPCLRNAIQAFGNGTD
+VNLSPKSPPFQATQAPRVDKTPSLPDDLSDSTSLGTSVISTCTSVQDQGLKANNSKELSM
+CFTELTTNIIPGSKRVIKPNSGPRRTRPSAALTAASFLMLKLAL
+>sp|P37141|GPX3_BOVIN Glutathione peroxidase 3 OS=Bos taurus OX=9913 GN=GPX3 PE=2 SV=2
+MARLFRASCLLSLLLAGFIPPSQGQEKSKTDCHAGVGGTIYEYGALTIDGEEYIPFKQYA
+GKYILFVNVASYUGLTGQYVELNALQEELEPFGLVILGFPCNQFGKQEPGENSEILATLK
+YVRPGGGFTPNFQLFEKGDVNGEKEQKFYTFLKNSCPPTSELLGSPDRLFWEPMKVHDIR
+WNFEKFLVGPDGIPIMRWYHRTTVNSVKMDILTYMRRRAVWEAKGK
+>sp|Q2NKV8|GOT1A_BOVIN Vesicle transport protein GOT1A OS=Bos taurus OX=9913 GN=GOLT1A PE=2 SV=1
+MISITEWQKIGVGTTGFGIFFILFGMLLYFDSVLLAFGNLLFLTGLSLIIGLRRTFSFFF
+QRHKFKGTSFFLGGVVIVLLRWPLLGMCLETYGFFSLFRGFFPVAFGFLGSASNIPFLSA
+LFQRLQGTSSMV
+>sp|P69678|CUTA_BOVIN Protein CutA OS=Bos taurus OX=9913 GN=CUTA PE=1 SV=1
+MRRGRAPTFLLGGGAALLLSLFWMPTLLPAASRLLLLPRALLSMASGSPPAQPSSSSRSA
+YVPGSVSAAFVTCPNEKVAKEIARAVVEKRLACVNLVPQITSIYEWKGKIEEDSEVLMMI
+KTQSSLVPALTDFVRSVHPYEVAEVIALPVEQGNSPYLQWVRQVTESVPDSSTAPL
+>sp|Q08E50|GBRA5_BOVIN Gamma-aminobutyric acid receptor subunit alpha-5 OS=Bos taurus OX=9913 GN=GABRA5 PE=2 SV=1
+MDNGMFSSFIMIKNLLLFCISMNLASHFGFSQMPTSSVKAETDDNITIFTRILDGLLDGY
+DNRLRPGLGERITQVRTDIYVTSFGPVSDTEMEYTIDVFFRQSWKDERLRFKGPMQRLPL
+NNLLASKIWTPDTFFHNGKKSIAHNMTTPNKLLRLEDDGTLLYTMRLTISAECPMQLEDF
+PMDAHACPLKFGSYAYPNSEVIYVWTNGTAKSVVVAEDGSRLNQYHLMGQTVGTENISTS
+TGEYTIMTAHFHLKRKIGYFVIQTYLPCIMTVILSQVSFWLNRESVPARTVFGVTTVLTM
+TTLSISARNSLPKVAYATAMDWFIAVCYAFVFSALIEFATVNYFTKRGWAWDGKKALEAA
+KIKKKERELTINKSTNAYTTGKMTHPPNIPKEQTPAGTTNASSASVKPEDKASENKKTYN
+SISKIDKMSRIIFPLLFGTFNLVYWATYLNREPVIKGATSPK
+>sp|A6QM00|GADL1_BOVIN Acidic amino acid decarboxylase GADL1 OS=Bos taurus OX=9913 GN=GADL1 PE=2 SV=2
+MSLLPDRERAPDGDISPQEMVPSRKNVVLVDGVILNGPATDVKAGEKFVEDACRLIMEEV
+VLKATDINEKVCEWRPPEELKRLLDLELRDAGEPHHRLLQRCQDVIRYSVKTNHPRFFNQ
+LYAGLDYYSLVARFMTEALNPSVYTYEVSPVFLLVEEAVLKKMIEFIGWKEGDGIFNPGG
+SVSNMYAMNLARYKYCPDIKEKGLSGLPRLILFTSAECHYSMKKSASFLGIGTENVCFVE
+TDGRGKMIPEELEKRVQEAKKEGAAPFLVCATSGTTVLGAFDPLDEIADICERHGLWLHV
+DASWGGSALMSRKHRRLLQGIHRADSVAWNPHKMLMAGIQCCAFLVKDKSDLLKRCYSAN
+ASYLFQQDKFYDVSYDTGDKSIQCSRRPDAFKFWLAWKALGTLGLEERVNRALALSRYLV
+EEIKKREGFKLLMEPEYANICFWYIPPSLRQMEEGPEFWAKLHLVAPAIKERMMKKGSLM
+LGYQPHQGKVNFFRQVVISPQVSREDMDFLLDEIDLLGKDM
+>sp|Q2T9V2|DRC9_BOVIN Dynein regulatory complex protein 9 OS=Bos taurus OX=9913 GN=IQCG PE=2 SV=1
+MEEGLEASNLPPEAWPSEVTVSVTGEPPNTSVEEKEETAKEAVIETSPEIMKPLSILDVL
+RISAVLEDTTDQLSILNYIMPVQYEKRQSISMKDNKEMHLEGRSSEKVPLASNPSKISSP
+LVHKEEPKLPEIRQGGQFNKVQDLIFKKPTRQTIMNTETLKKIQIDRQFLSDVITETLEE
+LQEEGTFTNLLKALGKERESKMHFYDTIAREENGRKKIKSLQKQLLNVKKERQAEVQNRN
+EYIAHLKDQLQEMKAKTNMENRYMKRNTELQISQTQKKCSKTEELLLEEIEKLRLKTEEE
+NRIHAEIELFLRKEQQKLEEKLEFWMEKFDKDTEMKQNELNALKSAKASDLAHLQELAKT
+IREYEQVIIEDRIEKEKTRKKREQDDLEMRSIIKLQAWWRGTVVRREIGGFKMPKDKDDS
+KDVKGKGKEKDKRRGKK
+>sp|P46168|DFB10_BOVIN Beta-defensin 10 OS=Bos taurus OX=9913 GN=DEFB10 PE=1 SV=3
+MRLHHLLLLLLLVVLSSGSGFTQGVRSYLSCWGNRGICLLNRCPGRMRQIGTCLAPRVKC
+CR
+>sp|Q95123|DHSD_BOVIN Succinate dehydrogenase [ubiquinone] cytochrome b small subunit, mitochondrial OS=Bos taurus OX=9913 GN=SDHD PE=2 SV=2
+MALWRLSVLCGAREGRALFLRTPVVRPALVSAFLQDRPAQGWCGTQHIHLSPSHHSGSKA
+ASLHWTGERVVSVLLLGLIPAAYLNPCSAMDYSLAATLTLHSHWGIGQVVTDYVHGDAVQ
+KAAKTGLLVLSAFTFAGLCYFNYHDVGICKAVAMLWKL
+>sp|Q2KJJ9|F16P2_BOVIN Fructose-1,6-bisphosphatase isozyme 2 OS=Bos taurus OX=9913 GN=FBP2 PE=2 SV=1
+MGDRSPFETDMLTLTRYVMEKGRQAKGTGELTQLLNSMLTAIKAISSAVRKAGLANLYGI
+AGSVNVTGDEVKKLDVLSNALVINMLQSSYSTCVLVSEENKEAIITSKEKRGKYVVCFDP
+LDGSSNIDCLASIGTIFAIYRKTSEDEPSEKDALQPGRNIVAAGYALYGSATLVALSTGQ
+GVDLFMLDPALGEFVLVEKDVKIKKKGKIYSLNEGYAKYFDAATTEYVQKKKFPEDGSAP
+YGARYVGSMVADVHRTLVYGGIFLYPANQKSPKGKLRLLYECNPVAYIIEQAGGLATTGT
+QPVLDVKPEAIHQRVPLILGSPEDVQEYLTCVQKNQAGR
+>sp|E1B9E5|CTSRD_BOVIN Cation channel sperm-associated protein subunit delta OS=Bos taurus OX=9913 GN=CATSPERD PE=3 SV=1
+MLVLMLVVATTFRLCPLVKARPLCRIRTLRTGKVFPVEEKIQGDRLYFSSGKTHLIKHPC
+KKNLALYLGRQIFLTKDTFESSLIPFSIPTSMQVGTPEVTSAHFAGSVLLLVVNQKVYVY
+DYEANFWTASTGIQHPVSHVSGDNCCYSGNSFCMDISNSVFAYLRGDQVSQANIYFSNSR
+GYRFQKYTQERRAELVGTFGGIFSFHSLSQVGLLLVDEQMAMFSYSDHPLNRSFGLPFDY
+DRALDILIAPGQKGILIFWSEKNLLVSRNSGQLVESVQVREGQRILYNSIVKANVTIHSV
+AANENELAVLTEENNLYYGSLGIQSSSLIKFADQNIWSQEAVLMFTDVGMLEILTPLRDV
+LFPAFDFQKCRLNIQALLMDPQLQAGVCKVELLQGEFENKMYTIDMNSQLELTALMIPRP
+GMLPVPLVSVSNPHSLGLQAVICEDGYTYDGNTKHRLNISLKQQHHWGRADPNFTSSIKR
+PTISTITLDIANKEISCVDLKPLTALISVGCDLEKKIVIQNELSACYHGVLDPVALQDNY
+SYIIEREAYDPNFQGQQAKKDLEVHYPYEKLGCPLLAYYDIPWKPVVELWREGKFQEVVE
+AEYVLLEMNGLFTYTYSLTASTAGCSAQPQNWTTITKMAGDTAPFSWDRENYISCHDPNY
+HEPLRWPDVPYQILGGQTENKVVFDQRNGIYIFFISIVDPSYSYCRLETTFSVYVYGAFP
+LSIFPPEITIVLLTAATLLSIWLAYMIPQLLHTEQGLEGNGFWVRLYQRCRKSCACLWGR
+C
+>sp|Q29RM5|FEM1A_BOVIN Protein fem-1 homolog A OS=Bos taurus OX=9913 GN=FEM1A PE=2 SV=1
+MDLHTAVYNAARDGKLQLLQKLLSGRSREELEELTGEVASGGTPLLIAARYGHLDVVEYL
+VDRCGASVEAGGSVHFDGEIIEGAPPLWAASAAGHLDVVRSLLRRGASVNRTTRTNSTPL
+RAACFDGHLEVVRYLVGEHQADLEVANRHGHTCLMISCYKGHREIARYLLEQGAQVNRRS
+AKGNTALHDCAESGSLEILQLLLGCNARMERDGYGMTPLLAASVTGHTNIVEYLIQEQPA
+GDEQAQPGLARVQPQGARSSPEEPPSGESYESCCPTSREAAVEALELLGATYVDKKRDLL
+GALKHWRRAMELRHQGGEYLPKPEPPQLVLAYDYSREVNTTEELEALITDPDEMRMQALL
+IRERILGPSHPDTSYYIRYRGAVYADSGNFERCIRLWKYALDMQQNNLEPLSPMTASSFL
+SFAELFSYVLQDRSAKGSLGTPIGFADLMGVLCKGVREVERALQLPKEPGDSAQFTKALA
+IILHLLYLLEKVECTPDQEHLKHQTVYRLLKCAPRGKNGFTPLHMAVDAETTNVGRYPVG
+RFPSLQVVKVLLDCGADPDSRDFDNNTPLHIAAQNNCPGIMNALIEAGAHMDATNAFKKT
+AYELLDEKLLAKSTIQPFNYVTLQCLAARALDKNKIPYKGFIPEELEAFIELH
+>sp|Q58DT0|ELF5_BOVIN ETS-related transcription factor Elf-5 OS=Bos taurus OX=9913 GN=ELF5 PE=2 SV=1
+MLDSVTHSTFLPNTSFCDPLMSWTDLFSNEEYYPAFEHQTACDSYWTSVHPEYWTKRHVW
+EWLQFCCDQYKLDANCISFCHFNISGLQLCGMTQEEFMEAAGVCGEYLYFILQSIRSQGY
+SFFNDPDETKATLKDYADSSCLKTSGIKSQDCHSHSRTSLQSSHLWEFVRDLLLSPEENC
+GILEWEDREQGIFRVVKSEALAKMWGQRKKNDRMTYEKLSRALRYYYKTGILERVDRRLV
+YKFGKNAHGWQEDKL
+>sp|A5D7A0|EFHD2_BOVIN EF-hand domain-containing protein D2 OS=Bos taurus OX=9913 GN=EFHD2 PE=2 SV=1
+MATDELASKLSRRLQMEGEGGGEAPEQPGLNGAAAAAAAAGAPDETAEALGSADEELSAK
+LLRRADLNQGIGEPQSPSRRVFNPYTEFKEFSRKQIKDMEKMFKEYDAGRDGFIDLMELK
+LMMEKLGAPQTHLGLKNMIKEVDEDFDSKLSFREFLLIFRKAAAGELQEDSGLHVLARLS
+EIDVSTEGVKGAKSFFEAKVQAMNVSSRFEEEIKAEQEERKKQAEEMKQRKAAFKELQST
+FK
+>sp|A0JNC4|ELOV7_BOVIN Elongation of very long chain fatty acids protein 7 OS=Bos taurus OX=9913 GN=ELOVL7 PE=2 SV=1
+MAFSDLTSRTVRLYDNWIKDADPRVEDWLLMSSPLPQTIILGFYVYFVTSLGPKLMENRK
+PFELKKVMITYNFSIVLFSVYMFYEFIMSGWGTGYSFRCDIVDYSQSPTALRMVRTCWLY
+YFSKFIELLDTIFFILRKKNSQVTFLHVFHHTIMPWTWWFGVKFAAGGLGTFHAFLNTAV
+HVVMYSYYGLCALGPDYQKYLWWKKYLTSLQLIQFVLITIHISQFFFMEDCKYQFPVFQY
+IIMSYGCIFLLLFLHFWYRAYTKGQRLPKTVKHGICKNKDH
+>sp|Q32PF6|DBP_BOVIN D site-binding protein OS=Bos taurus OX=9913 GN=DBP PE=2 SV=2
+MARPVSERTPAPLLLGGPTGAPPGGGALLGLRSLLQGTSKPKEPTSCLLKEKERKASPPA
+ATVPGPGLETAGPADASAGAVVGGGSPRGRPGAAPGPGLLAPLLWERTLPFGDVEYVDLD
+AFLLEHGLPPSPPPPGGPSPAPSPVRTPAPSPRPGSCGSASPRSSPGHAPARAALGAAGG
+HRAGLTSRDTPSPVDPDTVEVLMTFEPDPADLALSSIPGHETFDPRRHRFSEEELKPQPI
+MKKARKIQVPEEQKDEKYWSRRYKNNEAAKRSRDARRLKENQISVRAAFLEKENALLRQE
+VVAVRQELSHYRAVLSRYQAQHGAL
+>sp|A6QQR4|ERC6L_BOVIN DNA excision repair protein ERCC-6-like OS=Bos taurus OX=9913 GN=ERCC6L PE=2 SV=1
+MEVSRGFAEAGALSPEQAASYLRYVKEAKEATKNGDLEQALKLFNLAKDIFPNEKVMSRI
+QKIQEALEELAEHGDDEFIDVCNSGLLLYQELHNQLYEYQKEGIAFLYSLYRDGRRGGIL
+ADDMGLGKTVQIIAFLSGMFDASLVNHVLLIMPTSLISTWLREFVKWTPGMRVKTFHGPS
+KDERTRNLCRIQQRNGVIITTYQMLINNWQQLSSLNGQEFLWDYVILDEAHKIKSSSTKS
+AICARAIPASNRILLTGTPIQNNLQELWSLFDFACQGSLLGTLRTFKMEYENPITRAREK
+DATPGEKALGFKISENLMAIIKPYFLRRTKEEVQKKKSSNPEVQLSEKSPDVGAICEMPS
+LSRKNDLIIWIRLVPLQEEIYRKFVSLDHIKELLMETRSPLAELGVLKKLCDHPRLLSAR
+ACGLLNLGAAKFSVQDEIEGEDSSDVDHIDQISDDTLMEESGKMLFLMDLLKKLRDEGHQ
+TLVFSQSRRILNIIERLLKNRHFKILRIDGTITHLVEREKRISLFQQNKDYSVFLLTTQV
+GGVGLTLTAASRVVIFDPSWNPATDAQAVDRVYRIGQKENVVVYRLITCGTVEEKIYRRQ
+VFKDSLIRQTTGDKKNPFRYFSKQELRELFTIEDFQNSATQLQLQSLHAAQRRSDKNLDE
+HIAFLHSLRIAGISDHDLMYTRDLSVKEELDVIEDSHYIQQRVQKAQFLVESESQNTELL
+MERQKMGNEGIWLREPVYQTKKKRPKVNKPQPQPSSHLPVYHTQEEISSLMASIIIDDLP
+KEDEKDLSRIKLNDTIPQDGRHPCVITLNDDFVTTLPKGCGDVEEIFPDSLSGMALQKEA
+SQEGFMQESEQESPLASFNYLPSKSARVDLGPNLDQLEDDEVLHHCNPSPANPKTKEYQR
+PESNVSVIKIADDDLTAAHSALQDAQANEAKLEEEPLASSGQYACDFNLFLEDSADNGQN
+LSSQFLEHVEKENSLCGSAANSRAESVHSKACLSVDLSEEDDEPEEVVNVKIRRKARRID
+SDNEDEHTFKDTSSTNPFNTSPFPFLSVKQFDASTPKNDISLPERFFSPKISDSINKSVN
+SRRSLASRRSLINVVLDHVEDMEERLDNSSEAKVVEDHLEEGAEESGDEAPEHTKEDPSR
+ETLSSENKSSQLSTSKPGALAQETSPGDPEPLSDGQLVDSPQDEAMEAVNDYDTLVLHGK
+ELKECGKIQEALDCLVKALDIKSSDPEVMLMTLSLYKQLNKT
+>sp|Q9MZG3|DBIL5_BOVIN Diazepam-binding inhibitor-like 5 OS=Bos taurus OX=9913 GN=DBIL5 PE=2 SV=1
+MCQVEFEMACAAIKQLKGPVSDQEKLLVYSYYKQATQGDCNIPAPPATDLKAKAKWEAWN
+ENKGMSKMDAMRIYIAKVEELKKNEAG
+>sp|Q5E9G6|FBX8_BOVIN F-box only protein 8 OS=Bos taurus OX=9913 GN=FBXO8 PE=2 SV=1
+MGQGLWRVARNQQLQQEGYGEQGYLSREQSRRVAASNMSHTSHRKHVQGGIDIYHLLKTR
+KSKEQEGFINLEMLPPELSFTILSYLNATDLCLASCVWQDLANDELLWQGLCKSTWGHCS
+IYNKNPPLGFSFRKLYMQLDEGSLTFNANPDEGVNYFMSKGILDDSPKEIAKFIFCTRTL
+NWKKLRIYLDERRDVLDDLVTLHNFRNQFLPNALREFFRHIHAPEERGEYLETLITKFSH
+RFCACNPDLMRELGLSPDAVYVLCYSLILLSIDLTSPHVKNKMSKREFIRNTRRAAQNIS
+EDFVGHLYDNIYLIGHVAA
+>sp|Q0V7M8|F167A_BOVIN Protein FAM167A OS=Bos taurus OX=9913 GN=FAM167A PE=2 SV=1
+MSVPQIQVEEVAGGEEGPAGTTPPPDDHLRSLKALTEKLRLETRRPSYLEWQARLEEQTW
+PFPRPAAEPRGSGEEEPSLLRTRALRPHPPPNGRANQDDGHPPTGKLEGFESIDEAIAWL
+RKELMEMRLQDQQLARQLMRLRSDIHKLKIEQTCDLHRRMLNDATYELEERDELSDLFCD
+APLASSFSLSAPLKLIGVTKMNINSRRFSLC
+>sp|A4FUI1|CCD58_BOVIN Coiled-coil domain-containing protein 58 OS=Bos taurus OX=9913 GN=CCDC58 PE=2 SV=1
+MAAPSGGVNCEEFAEFQELLKVMRTIDDRIVHELNTTVPTASFAGKIDASQTCKQLYESL
+MEAHASRDRVIKNCIAQTSSVVKQLREEREKNLDDLTLLKQLRKEQTKLKWMQSELNVEE
+VVNDRSWKVFNERCRIHFKPPKNE
+>sp|Q32LD1|BAP18_BOVIN Chromatin complexes subunit BAP18 OS=Bos taurus OX=9913 GN=BAP18 PE=2 SV=1
+MTSASTKVGEIFSAAGAAFTKLGELTMQLHPVADSSPAGAKWTETEIEMLRAAVKRFGDD
+LNHISCVIKERTVAQIKATVKRKVYEDSGIPLPADSPKKGPKKVASGVLSPPPTAPPPSS
+SSVPEAGGPPIKKQKADVTLSALNDSDANSDLVDIEGLGETPPTKKLNFDQA
+>sp|P61157|ARP3_BOVIN Actin-related protein 3 OS=Bos taurus OX=9913 GN=ACTR3 PE=1 SV=3
+MAGRLPACVVDCGTGYTKLGYAGNTEPQFIIPSCIAIKESAKVGDQAQRRVMKGVDDLDF
+FIGDEAIEKPTYATKWPIRHGIVEDWDLMERFMEQVIFKYLRAEPEDHYFLLTEPPLNTP
+ENREYTAEIMFESFNVPGLYIAVQAVLALAASWTSRQVGERTLTGTVIDSGDGVTHVIPV
+AEGYVIGSCIKHIPIAGRDITYFIQQLLRDREVGIPPEQSLETAKAVKERYSYVCPDLVK
+EFNKYDTDGSKWIKQYTGINAISKKEFSIDVGYERFLGPEIFFHPEFANPDFTQPISEVV
+DEVIQNCPIDVRRPLYKNIVLSGGSTMFRDFGRRLQRDLKRTVDARLKLSEELSGGRLKP
+KPIDVQVITHHMQRYAVWFGGSMLASTPEFYQVCHTKKDYEEIGPSICRHNPVFGVMS
+>sp|Q27974|AUXI_BOVIN Putative tyrosine-protein phosphatase auxilin OS=Bos taurus OX=9913 GN=DNAJC6 PE=1 SV=1
+MDSSGASSPDMEPSYGGGLFDMVKGGAGRLFSNLKDNLKDTLKDTSSRVIQSVTSYTKGD
+LDFTYVTSRIIVMSFPLDSVDIGFRNQVDDIRSFLDSRHLDHYTVYNLSPKSYRTAKFHS
+RVSECSWPIRQAPSLHNLFAVCRNMYNWLLQNPKNVCVVHCLDGRAASSILVGAMFIFCN
+LYSTPGPAVRLLYAKRPGIGLSPSHRRYLGYMCDLLADKPYRPHFKPLTIKSITVSPVPF
+FNKQRNGCRPYCDVLIGETKIYTTCADFERMKEYRVQDGKIFIPLSITVQGDVVVSMYHL
+RSTIGSRLQAKVTNTQIFQLQFHTGFIPLDTTVLKFTKPELDACDVPEKYPQLFQVTLDV
+ELQPHDKVMELTPPWEHYCTKDVNPSILFSSHQEHQDTLVLGGQAPIDIPPDNPRHFGQG
+GFFSTLCWQDQKSEKSFCEEDHAALVNQESEQSDDELLTLSSPHGNANGDKPHAARKPSK
+KQQEPAAPAPPEDVDLLGLEGSAVSKNFSSPAAPPSNSELLSDLFGGGGAAGPVQSGQSG
+VDDVFHPSGPTSTQSTPRRSATSTSASPTLRVGEGATFDPFGAPSKPSGQDLLGSFLNTA
+SASSDPFLQPTRSPSPTVHASSTPAVNIQPDVSGAWDWHTKPGGFGMGSKSAATSPTGSS
+HGTPTHQNKPQTLDPFADLGTLGGSSFASKPSTPTGLGGGFPPLSSPQKASPQPMGGGWQ
+QGGGYNWQQTQSKPQSSMPHSSPQNRPNYNVSFSSMPGGQNERGKAAANLEGKQKAADFE
+DLLSGQGFNAHKDKKGPRTIAEMRKEEMAKEMDPEKLKILEWIEGKERNIRALLSTMHTV
+LWAGETKWKPVGMADLVTPEQVKKVYRKAVLVVHPDKATGQPYEQYAKMIFMELNDAWSE
+FENQGQKPLY
+>sp|Q9N1Q9|CABP2_BOVIN Calcium-binding protein 2 OS=Bos taurus OX=9913 GN=CABP2 PE=2 SV=3
+MGNCAKRPRHRAPKDRELRPEEIEELQAAFQEFDRDRDGYIGYQELGACMRTLGYMPTEM
+ELIEISQQISGGKVDFEDFVELMGPKLLAETADMIGVRELRDAFREFDTNGDGCISLGEL
+RAALKALLGERLSQREVDEILRDIDLNGDGLVDFEEFVRMMSR
+>sp|P10950|ASMT_BOVIN Acetylserotonin O-methyltransferase OS=Bos taurus OX=9913 GN=ASMT PE=1 SV=2
+MCSQEGEGYSLLKEYANGFMVSQVLFAACELGVFELLAEALEPLDSAAVSSHLGSSPQGT
+ELLLNTCVSLKLLQADVRGGKAVYANTELASTYLVRGSPRSQRDMLLYAGRTAYVCWRHL
+AEAVREGRNQYLKAFGIPSEELFSAIYRSEDERLQFMQGLQDVWRLEGATVLAAFDLSPF
+PLICDLGGGSGALAKACVSLYPGCRAIVFDIPGVVQIAKRHFSASEDERISFHEGDFFKD
+ALPEADLYILARVLHDWTDAKCSHLLQRVYRACRTGGGILVIESLLDTDGRGPLTTLLYS
+LNMLVQTEGRERTPAEYRALLGPAGFRDVRCRRTGGTYDAVLARK
+>sp|Q7YRZ2|APTX_BOVIN Aprataxin OS=Bos taurus OX=9913 GN=APTX PE=2 SV=1
+MSNVSLSASAVSRVMMRVCWLVRQDNRHQRIKLPHLETVMVGRSPETKIADKKCSRQQVQ
+LKAECNKGYVKVKQVGVNPTSIDSVIIGKDQEVKLQPGQVLYLVNELYPYIIEFEEETKS
+PGLESHRKRKRSGSSDPTERGADPEAEPSTGLEPGSNPHQCSVPPKKEKDASIKKESLGH
+WSQGLKISMEDPKMQVYKDEQVVVIKDKYPKARFHWLVLPWASISSLKAVTREHLELLRH
+MHAVGEKVIADFAGSSKFRFRLGYHAIPSMSHVHLHVISQDFDSPCLKNKKHWNSFNTEY
+FLESQAVIEMVQEAGRVTVRDGMPELLKLPLRCHECQQLLPSIPQLKEHLRKHWSK
+>sp|P81187|CFAB_BOVIN Complement factor B OS=Bos taurus OX=9913 GN=CFB PE=1 SV=2
+MGIGHNPRLCLVPLILGLLCGGVGMTPLPEAGPQSPCSLEGVEIKGGSFRLLKAGQVLEY
+LCPSGFYPYPTQIRTCRSTGSWSTLQTQDRKIVKRAECKAIRCPRPQDFENGEYWPRAAY
+YNLSDEISFRCYDGYTLRGSANRTCQGNGRWDGETAICDDGATYCPNPGIPLGTRKVGSQ
+YRLEDRVTYYCNRGLTLRGSEQRTCLEGGSWSGTEPSCQDSFMYDTPAEVAEAFLSSLTE
+TIEGVDAEDGHSPGEQQKRKIVLDPSGSMNIYLVLDGSDSVGAHNFTGAKNCLRDFIEKV
+ASYGVKPKYGLVTYATEPKVLIRVSDPKSSEADWVTDQLNQINYADHKLKAGTNTKRALL
+EVYNMMSREVNQFKETWNRTRHVIIIMTDGLHNMGGDPVTVIHDIRYLLDIGRNRKNPRE
+DYLDIYVFGVGPLVNQENINALASKKDKEKHVFKLQGMENLEDVFVQMLDESRTLGLCGM
+VWEHKDGTAYHKQPWQAKISVTRPSKGHESCMGAIVSEYFVLTAAHCFTVDDEKHSIKVS
+LGGQRKEWEVKEILFHPKYDLNAKKAKGIPEFYDYDVALVRLKEKLKYETTIRPICLPCT
+EGSIQALRLPRSTTCQQQMQELLPAKDIEALFVSESKKTLTRKAVYIKNGDKKASCERDA
+LRAPGYEKVKDVSEVVTPRFLCTGGVDPYADPNTCKGDSGGPLIIHKRSRFIQVGVISWG
+VVDVCKRPQQVPGYARDFHINLYQVLPWLKEKLQNEDLGFL
+>sp|A4IFA8|CK068_BOVIN UPF0696 protein C11orf68 homolog OS=Bos taurus OX=9913 PE=2 SV=2
+MAAAAAAVAGAGRGGGGGAEPRQERSRARGWAGAERSEGRRMEPGEELEEEDSPGGREDG
+FTAEHLAAEAMAADMDPWLVFDARMTPATELDAWLAKYPPSQVTRYGDPGSPNSEPVGWI
+AAYGQGYIPNSGDVQGLQAAWEALQTSGRPVTPGTLRQLAITHQVLSGKWLIHLAPGFKL
+DHAWAGIARAVVEGRLQVAKVSPRAKEGGRQVICVYTDDFTDRLGVLEADAAIRAAGVKC
+LLTYKPDVYTYLGIYRANRWHLCPTLYESRFQLGGSARGSRVLDRANNVELT
+>sp|Q32L83|BRI3_BOVIN Brain protein I3 OS=Bos taurus OX=9913 GN=BRI3 PE=2 SV=1
+MDHKPLLQERPPAYNLEAGQGEFACAPHGYGAIAAAPPPPYPYLVTGIPTHHPRVYNIHS
+RNVTRYPANSIVVVGGCPVCRVGVLEDSFTFLGIFLAIVLFPFGFICCFALRKRRCPNCG
+ANFT
+>sp|Q3ZC08|ANXA9_BOVIN Annexin A9 OS=Bos taurus OX=9913 GN=ANXA9 PE=2 SV=1
+MSVTHGKMGLSLTQEILSHLGLANKTAAWGTLGTLRTFLSFSADKDVQRLLKAIAGQGVD
+RIAILDVLTNRSREQRQLISRAFHERTQQDLLKSLQAALSGNLERIVVALLQPAAHLDAR
+ELRTALKGSGSAEDVALEILATRTPPQLQECLAVYKHNFQVDAAEDIKSETRGILRDLLL
+ALAKGGREAYTGIIDYNLAAQDVQALKQAEGPSTERTWVLVFTQRNPEHLVRVLNQYQWY
+TGHGLEKTVRARFHGAACVALLNLASVIRNTPLYFADKLHQALQETEPNYQALMRILISR
+SETDLLSIRAEFRKKFGKSLYSSLQDAVKGDCRSALLALCRAEDL
+>sp|Q9TT93|ATS4_BOVIN A disintegrin and metalloproteinase with thrombospondin motifs 4 OS=Bos taurus OX=9913 GN=ADAMTS4 PE=1 SV=3
+MSHMDSHPGRGLADGWLWGIQPRLLLPTVPVSGSRLVWLLLLASLLPSAWPASPLPREEE
+IVFPEKLNGSVLPGLGAPARLLYRLPAFGETLLLELEKDPGVQVEGLTVQYLGRAPELLG
+GAEPGTYLTGTINGDPESVASLHWDGGALLGVLQYRGTELHIQPLEGGAPNSAGGPGAHI
+LRRKSPVSGQGPMCNVKAPPGKPSPSPRRAKRFASLSRFVETLVVADDKMAAFHGAGLKR
+YLLTVMAAAAKAFKHPSIRNPVSLVVTRLVVLGPGEEGPQVGPSAAQTLRSFCAWQRGLN
+TPDDADPGHFDTAILFTRQDLCGVSTCDTLGMADVGTVCDPARSCAIVEDDGLQSAFTAA
+HELGHVFSMLHDNSKQCTGLNGPESTSRHVMAPVMAHVDPEEPWSPCSARFITDFLDNGF
+GHCLLDKPEAPLHLPVTFPGKDYDADRQCQLTFGPDSRHCPQLPPPCAALWCSGHLNGHA
+MCQTKHSPWADGTPCGPAQACMGGRCLHVDQLQAFNVPQAGGWGPWGSWGDCSRSCGGGV
+QFSSRDCTRPVPRNGGKYCEGRRTRFRSCNTQDCPTGSALTFREEQCAAYNHRTDLFKNF
+PGPMDWVPRYTGVAPRDQCKLTCQTRALGYYYVLDPRVADGTPCSPDSSSVCVQGRCIHA
+GCDRVIGSKKKFDKCMVCGGDGSSCSKQSGSFKKFRYGYNNVVTIPAGATHILVRQQGSP
+SVRSLYLALKLPDGSYALNGEYTLIPSPTDVVLPGAVSLRYSGATAASETLSGHGPLAEP
+LTLQVLVAGNPQNARLRYSFFVPRPRPVPSTPRPTPQDWLRRKSQILEILRRRSWAGRK
+>sp|Q866T7|CXD2_BOVIN Gap junction delta-2 protein OS=Bos taurus OX=9913 GN=GJD2 PE=2 SV=1
+MGEWTILERLLEAAVQQHSTMIGRILLTVVVIFRILIVAIVGETVYDDEQTMFVCNTLQP
+GCNQACYDRAFPISHIRYWVFQIIMVCTPSLCFITYSVHQSAKQRERRYSTVFLALDRDP
+PESMGGPGGTGGGGSGGGKREDKKLQNAIVNGVLQNTENTSKETEPDCLEVKELTPHPSG
+LRTASRSKLRRQEGISRFYIIQVVFRNALEIGFLVGQYFLYGFSVPGLYECDRYPCIKEV
+ECYVSRPTEKTVFLVFMFAVSGICVVLNLAELNHLGWRKIKLAVRGAQAKRKSVYEIRNK
+DLPRVSVPNFGRTQSSDSAYV
+>sp|A4FV57|AEBP2_BOVIN Zinc finger protein AEBP2 OS=Bos taurus OX=9913 GN=AEBP2 PE=2 SV=2
+MAAALTDMADLEELSRLSPLPPGSPGPAARGRAEPPEEEEEEDEEEEAEAEAVAALLLNG
+GGGGGGVGGGEAETMSEPSPESASQAGEDDDEEEDDDEEEEDESSSGGGEEESSAESLVG
+SSSGGSSSDETRSLSPGAASSSSGDGDGKEGLEEPKGPRGSQGGGGGGSSSSSVVSSGGD
+EGYGTGGGGSSATSGGRRGSLEMSSDGEPLSRMDSEDSISSTIMDVDSTISSGRSTPAMM
+NGQGSTTSSSKNIAYNCCWDQCQACFTSSPDLADHIRSIHVDGQRGGVFVCLWKGCKVYN
+TPSTSQSWLQRHMLTHSGDKPFKCVVGGCNASFASQGGLARHVPTHFSQQNSSKVSSQPK
+AKEESPSKAGMNKRRKLKNKRRRSLPRPHDFFDAQTLDAIRHRAICFNLSAHIESLGKGH
+SVVFHSTVIAKRKEDSGKIKLLLHWMPEDILPDVWVNESERHQLKTKVVHLSKLPKDTAL
+LLDPNIYRTMPQKRLKRTLIRKVFNLYLSKQ
+>sp|P11842|CRBA4_BOVIN Beta-crystallin A4 OS=Bos taurus OX=9913 GN=CRYBA4 PE=1 SV=3
+MSGMFSGSISETSGMSLQCTKSAGHWKIVVWDEEGFQGRRHEFTAECPSVLELGFETVRS
+LKVLSGAWVGFEHAGFQGQQYVLERGEYPSWDAWSGNTSYPAERLTSFRPVACANHRDSR
+LTIFEQENFLGRKGELSDDYPSLQAMGWDGNEVGSFHVHSGAWVCSQFPGYRGFQYVLEC
+DHHSGDYKHFREWGSHAQTFQVQSIRRIQQ
+>sp|P54131|ACHA7_BOVIN Neuronal acetylcholine receptor subunit alpha-7 OS=Bos taurus OX=9913 GN=CHRNA7 PE=1 SV=1
+MRGSLCLALAASILHVSLQGEFQRKLYKDLVKNYNPLERPVANDSLPLTVYFSLSLLQIM
+DVDEKNQVLTTNIWLQMTWTDHYLQWNASEYPGVKTVRFPDGQIWKPDILLYNSADERFD
+ATFHTNVLVNSSGHCQYLPPGIFKSSCYIDVRWFPFDVQQCKLKFGSWSYGGWSLDLQMQ
+EADISGYIPNGEWDLVGVLGKRSEKFYECCKEPYPDVTFTVSIRRRTLYYGLNLLIPCVL
+ISALALLVFLLPADSGEKISLGITVLLSLTVFMLLVAEIMPATSDSVPLIAQYFASTMII
+VGLSVVVTVIVLQYHHHDPDGGKMPKWTRVVLLNWCAWFLRMKRPGEDKVRPACQHNERR
+CSLASVEMSAVAGPPATNGNLLYIGFRGLDTMHCAPTPDSGVVCGRVACSPTHDEHLLHA
+GQPSEGDPDLAKILEEVRYIAHRFRCQDESEAVCSEWKFAACVVDRLCLMAFSVFTILCT
+IGILMSAPNFVEAVSKDFA
+>sp|Q8MHZ9|CL46_BOVIN Collectin-46 OS=Bos taurus OX=9913 GN=CL46 PE=2 SV=1
+MLLLPLSVLLLLTQPWRSLGAEMKIYSQKTLANGCTLVVCRPPEGGLPGRDGQDGREGPQ
+GEKGDPGSPGPAGRAGRPGPAGPIGPKGDNGSAGEPGPKGDTGPPGPPGMPGPAGREGPS
+GKQGSMGPPGTPGPKGDTGPKGGMGAPGMQGSPGPAGLKGERGAPGELGAPGSAGVAGPA
+GAIGPQGPSGARGPPGLKGDRGDPGERGAKGESGLADVNALKQRVTILEGQLQRLQNAFS
+RYKKAVLFPDGQAVGKKIFKTAGAVKSYSDAQQLCREAKGQLASPRSAAENEAVAQLVRA
+KNNDAFLSMNDISTEGKFTYPTGESLVYSNWASGEPNNNNAGQPENCVQIYREGKWNDVP
+CSEPLLVICEF
+>sp|Q865F8|AHSP_BOVIN Alpha-hemoglobin-stabilizing protein OS=Bos taurus OX=9913 GN=AHSP PE=3 SV=1
+MALIQTNKDLISKGIKEFNILLNQQVFSDPAISEEAMVTVVNDWVSFYINYYKKQLSGEQ
+DEQDKALQEFRQELNTLSASFLDKYRNFLKSS
+>sp|Q28852|ATP5L_BOVIN ATP synthase subunit g, mitochondrial OS=Bos taurus OX=9913 GN=ATP5MG PE=1 SV=3
+MAEFVRNLAEKAPALVNAAVTYSKPRLATFWYYAKVELVPPTPAEIPTAIQSLKKIINSA
+KTGSFKQLTVKEALLNGLVATEVWMWFYVGEIIGKRGIIGYDV
+>sp|Q1LZF8|CLCC1_BOVIN Chloride channel CLIC-like protein 1 OS=Bos taurus OX=9913 GN=CLCC1 PE=2 SV=1
+MLYSLLLCECLWLITAYAHDDEWIDPTDMLNYDAASGRMRKSQVKYGISEKEEVNPDLSC
+ANELSECYNRLDSLTYKIDECEKQKRKDYESQSNPVFRRYLNKILIETKKLGLPDENKHD
+MHYDAEIILKRQTLLEIQKFLSGEDWKPGALDDALSDILINFKFHDFETWKWRFEEFFGV
+DPYNVFMVLLCLLCIVALVATELWTYVRWYTQLKRVFFISFLISLGWNWMYLYKLAFAQH
+QAEVAKMEPLNNVCAEKMNWSGSLWEWLRSSWTYKDDPCQKYYELLLVNPIWLVPPTKAL
+AVTFTNFVTEPLKHVGKGAGEFIKALMKEIPVLLHIPVLIIMALAVLSFCYGAGKSVNML
+RHVGGPEREAPQALQAGERRRQQKIDYRPHGGAGDADFYYRGQISPIEQGPNDNTYEGRR
+DVLRERDVGLRFQTGNKSPEVLRPFDLQEAEAREHPKVVPGLKSPNLESKPREMGEIPGE
+STPTESSTESSQPAKPVSGQKVSEGVEGCPAVEKAQLRTDAAGGPEEGSTCSPASTAVEV
+CG
+>sp|Q2PT36|AICDA_BOVIN Single-stranded DNA cytosine deaminase OS=Bos taurus OX=9913 GN=AICDA PE=2 SV=1
+MDSLLKKQRQFLYQFKNVRWAKGRHETYLCYVVKRRDSPTSFSLDFGHLRNKAGCHVELL
+FLRYISDWDLDPGRCYRVTWFTSWSPCYDCARHVADFLRGYPNLSLRIFTARLYFCDKER
+KAEPEGLRRLHRAGVQIAIMTFKDYFYCWNTFVENHERTFKAWEGLHENSVRLSRQLRRI
+LLPLYEVDDLRDAFRTLGL
+>sp|Q5E9Z5|CXB6_BOVIN Gap junction beta-6 protein OS=Bos taurus OX=9913 GN=GJB6 PE=2 SV=1
+MDWGTLHTFVGGVNKHSTSIGKVWVTVLFVFRVMILVVAAQEVWGDEQEDFVCNTLQPGC
+RNVCYDHFFPVSHIRLWALQLIFVSTPALLVAMHVAYYRHEAARRFRRGETRSEFKDLED
+IKRQKVRIEGSLWWTYTSSIFFRIVFEAAFMYVFYFLYNGYHLPWVLKCGIQPCPNLVDC
+FISRPTEKTVFTIFMISASVICMLLNVAELCYLLLKVCFRRSKRAQTQKAPPNHALKESK
+QNEMNELISEGGQNAITGFPS
+>sp|P43249|GRK5_BOVIN G protein-coupled receptor kinase 5 OS=Bos taurus OX=9913 GN=GRK5 PE=1 SV=1
+MELENIVANTVLLKAREGGGGKRKGKSKKWKEILKFPHINQCEDLRRTIDRDYCSLCDKQ
+PVGRLLFRQFCETRPGLESYIQFLDSVAEYEVTPDEKLGEKGKEIMTKYLTPKSPVFITQ
+VGRDLVSQTEEKLLQKPCKELFSACVQSVHDYLRGEPFHEYLDSMYFDRFLQWKWLERQP
+VTKNTFRQYRVLGKGGFGEVCACQVRATGKMYACKRLEKKRIKKRKGESMALNEKQILEK
+VNSRFVVNLAYAYETKDALCLVLTIMNGGDLKFHIYNMGNPGFEEERALFYAAEILCGLE
+DLHHENIVYRDLKPENILLDDYGHIRISDLGLAVKIPEGDLIRGRVGTVGYMAPEVLNNQ
+RYGLSPDYWGLGCLIYEMIEGQSPFRGRKEKVKREEVDRRVLETEEVYSHKFSEEAKSIC
+KMLLTKDAKQRLGCQEEGAAEVKRHPFFRNMNFKRLEAGMLDPPFVPDPRAVYCKDVLDI
+EQFSTVKGVNLDHTDDDFYSKFSTGSVPIPWQSEMIETECFKELNVFGPHGTLSPDLNRS
+HPPEPPKKGLLQRLFKRQHQNNSKSSPNSKTSFNHHINSNHVSSNSTGSS
+>sp|Q28193|FURIN_BOVIN Furin OS=Bos taurus OX=9913 GN=FURIN PE=1 SV=1
+MELRPWLFWVVAAAGALVLLVADARGEKVFTNTWAVHIPGGPAVADRVARKHGFLNLGQI
+FGDYYHFWHRAVTKRSLSPHRLGHNRLQREPQVKWLEQQVAKRRAKRDIYQEPTDPKFPQ
+QWYLSGVTQRDLNVKEAWAQGYTGRGIVVSILDDGIEKNHPDLAGNYDPGASFDVNDQDP
+DPQPRYTQMNDNRHGTRCAGEVAAVANNGVCGVGVAYNARIGGVRMLDGEVTDAVEARSL
+GLNPNHIHIYSASWGPEDDGKTVDGPAHLAEEAFFRGVSQGRGGLGSIFVWASGNGGREH
+DSCNCDGYTNSIYTLSISSATQFGNVPWYSEACSSTLATTYSSGNQNEKQIVTTDLRQKC
+TESHTGTSAFAPLAAGIIALTLEANKNLTWRDMQHLVVRTSKPAHLNANDWATNGVGRKV
+SHSYGYGLLDAGAMVALAQNWTTVAPQRKCTIDILTEPKDIGKRLEVRKTVTACLGEPSH
+ITRLEHAQARLTLSYNRRGDLAIHLVSPMGTRSTLLAARPHDYSADGFNDWAFMTTHSWD
+EDPSGEWVLEIENTSEANNYGTLTKFTLVLYGTAPEGLPTPPESIGCKTLTSSQACVVCE
+EGFSLHQKNCVQHCPPGFAPQVLDTHYSTENDVEIIRASVCTPCHASCATCQGPAPTDCL
+SCPSHASLDPVEQTCSRQSQSSRESHQQQPPPPPRPPPAEVATEPRLRADLLPSHLPEVV
+AGLSCAFIVLVFVTVFLVLQLRSGFSFRGVKVYTMDRGLISYKGLPPEAWQEECPSDSEE
+DEGRGERTAFIKDQSAL
+>sp|A4IF89|EXOC8_BOVIN Exocyst complex component 8 OS=Bos taurus OX=9913 GN=EXOC8 PE=2 SV=1
+MAMAMSDSGASRLRRQLESGGFEARLYVKQLSQQSDGDRDLQEHRQRIQALAEETAQNLK
+RNVYQNYRQFIETAREISYLESEMYQLSHLLTEQKSSLESIPLTLLPAAAAAGAAAASGG
+EEGGGGAGGRDQLRGQTGFFPSPGGASRDGSGPGEEGKQRTLTTLLEKVEGCRHLLETPG
+QYLVYNGDLVEYEADHMAQLQRVHGFLMNDCLLVATWLPQRRGMYRYNALYPLDGLAVVN
+VKDNPPMKDMFKLLMFPESRIFQAENAKIKREWLEVLEETKRALSEKRRREQEEAAAPRG
+PPQVTPKASNPFEDEDDDEPTVPEIEEEKVDLSMEWIQELPEDLDVCIAQRDFEGAVDLL
+DKLNHYLEDKPSPPPVKELRARVDERVRQLTEVLVFELSPDRSLRGGPKATRRAVSQLIR
+LGQCTKACELFLRNRAAAVHTAIRQLRIEGATLLYIHKLCHVFFTSLLETAREFETDFAG
+TDSGCYSAFVVWARSAMGMFVDAFSKQVFDSKESLSTAAECVRVAKEHCQQLGDIGLDLT
+FIVHALLVKDIQGALHSYKEIIIEATKHRNSEEMWRRMNLMTPEALGKLKEEMKSCGVSN
+FEQYTGDDCWVNLSYTVVAFTKQTMGFLEEALKLYFPELHMVLLESLVEIILVAVQHVDY
+SLRCEQDPEKKAFIRQNASFLYETVLPVVEKRFEEGVGKPAKQLQDLRNASRLIRVNPES
+TTSVV
+>sp|Q17QN6|EM55_BOVIN 55 kDa erythrocyte membrane protein OS=Bos taurus OX=9913 GN=MPP1 PE=1 SV=1
+MTLKASEGEGGGGMRTALSDLYLEHLLQKHNRPEPVSPQLSAVMEDMYTNGPAALGSPAQ
+TQGQEARKVRLIQFEKVTEEPMGITLKLNEKQSCTVARILHGGMVHRQGSLHVGDEILEI
+NGTNVTNHSVDQLQKAMKETKGMISLKVIPNQQNRLPALQMFMRAQFDYDPRKDNLIPCK
+EAGLKFLTGDVIQIINKDDSNWWQGRVEGSSQESAGLIPSPELQEWRVASGAHSAPSEAP
+SCSPFGKKKKYKDKYLAKHSAIFDQLDVVSYEEVVRLPAFKRKTLVLIGASGVGRSHIKS
+ALLSQNPDKFAYPAPYTTRPARKSEEDGKEYHFISTEEMTRSISANEFLEFGSYQGNMFG
+TKFETVHQIHKQDKVAILDIEPQTLKIVRTAELSPFIVFIAPTDQGTQTDTLQQLQKDSE
+AIRSQYAHYFDLSLVNNSVEETLKTLQETFDQACRSPQWVPVSWVY
+>sp|Q29451|MA2B1_BOVIN Lysosomal alpha-mannosidase OS=Bos taurus OX=9913 GN=MAN2B1 PE=1 SV=4
+MVGDARPSGVRAGGCRGAVGSRTSSRALRPPLPPLSSLFVLFLAAPCAWAAGYKTCPKVK
+PDMLNVHLVPHTHDDVGWLKTVDQYFYGIYNNIQPAGVQYILDSVISSLLANPTRRFIYV
+EIAFFSRWWRQQTNATQKIVRELVRQGRLEFANGGWVMNDEATTHYGAIIDQMTLGLRFL
+EETFGSDGRPRVAWHIDPFGHSREQASLFAQMGFDGFFFGRLDYQDKKVRKKTLQMEQVW
+RASTSLKPPTADLFTSVLPNMYNPPEGLCWDMLCADKPVVEDTRSPEYNAKELVRYFLKL
+ATDQGKLYRTKHTVMTMGSDFQYENANTWFKNLDKLIQLVNAQQRANGIRVNVLYSTPAC
+YLWELNKANLSWSVKKDDFFPYADGPYMFWTGYFSSRPALKRYERLSYNFLQVCNQLEAL
+AGPAANVGPYGSGDSAPLNEAMAVLQHHDAVSGTSRQHVANDYARQLSEGWRPCEVLMSN
+ALAHLSGLKEDFAFCRKLNISICPLTQTAERFQVIVYNPLGRKVDWMVRLPVSKHVYLVK
+DPGGKIVPSDVVTIPSSDSQELLFSALVPAVGFSIYSVSQMPNQRPQKSWSRDLVIQNEY
+LRARFDPNTGLLMELENLEQNLLLPVRQAFYWYNASTGNNLSSQASGAYIFRPNQNKPLF
+VSHWAQTHLVKASLVQEVHQNFSAWCSQVVRLYPRQRHLELEWTVGPIPVGDGWGKEVIS
+RFDTALATRGLFYTDSNGREILERRRNYRPTWKLNQTEPVAGNYYPVNSRIYITDGNMQL
+TVLTDRSQGGSSLRDGSLELMVHRRLLKDDARGVGEPLNKEGSGLWVRGRHLVLLDKKET
+AAARHRLQAEMEVLAPQVVLAQGGGARYRLEKAPRTQFSGLRRELPPSVRLLTLARWGPE
+TLLLRLEHQFAVGEDSGRNLSSPVTLDLTNLFSAFTITNLRETTLAANQLLAYASRLQWT
+TDTGPTPHPSPSRPVSATITLQPMEIRTFLASVQWEEDG
+>sp|Q32KY3|MLF1_BOVIN Myeloid leukemia factor 1 OS=Bos taurus OX=9913 GN=MLF1 PE=2 SV=1
+MFGMLSSSFEDDPFFSDSFIAHRESMRQMMRSFSEPFGRDMLSISDRRGRARNRMGHEDE
+ENSLTHTDVSPFQAMDRMMLNMRNSMQELQRNFGHLSMDPNGHSFSSSSVMTYSKVGDEP
+PKVFQASTQTRRAPGGIKETRKALRDSDSGLEKMAVGHHLHDRAHVIKKSKNNKTGDEEV
+NQEFINMNECDAHAFDDEWQNEILKYQPRGQWRNLDNSRMRSVAHENSGSRELKRREKHH
+QSPAIEHGRRSNVFVDKLNIKGSPVKINKK
+>sp|Q148H0|MIC26_BOVIN MICOS complex subunit MIC26 OS=Bos taurus OX=9913 GN=APOO PE=2 SV=1
+MFKVIHRYVGPASLSLLTFKVYASSKKDSPHKDTVKVNELSLYSVPEHQSKYVEEPRTQL
+EESISHLRHYCEPYTSWCQEKYSQNKPKIQSLVQWGLDSYEYLQNAPPGFFPRLGVIGFA
+GVVGLVLARGSKIKKLVYPPGFMGFAASLYYPQQAIVFVQVSGEKLYDWGLRGYIVVEDL
+WKENFQKSGNVKNSPGNK
+>sp|Q3T100|MGST3_BOVIN Microsomal glutathione S-transferase 3 OS=Bos taurus OX=9913 GN=MGST3 PE=2 SV=1
+MAVLSKEYGFVILTGAASFLMVTHLAINVSKARKKYKVEYPTMYSTDPENGHIFNCIQRA
+HQNTLEVYPPFLFFLAVGGVYHPRIVSGLGLAWIVGRVLYAYGYYTGEPRKRQRGALSFI
+ALIGLMGTTVCSAFQHLGWVRTGLNSGCKSCH
+>sp|P68252|1433G_BOVIN 14-3-3 protein gamma OS=Bos taurus OX=9913 GN=YWHAG PE=1 SV=2
+MVDREQLVQKARLAEQAERYDDMAAAMKNVTELNEPLSNEERNLLSVAYKNVVGARRSSW
+RVISSIEQKTSADGNEKKIEMVRAYREKIEKELEAVCQDVLSLLDNYLIKNCSETQIESK
+VFYLKMKGDYYRYLAEVATGEKRATVVESSEKAYSEAHEISKEHMQPTHPIRLGLALNYS
+VFYYEIQNAPEQACHLAKTAFDDAIAELDTLNEDSYKDSTLIMQLLRDNLTLWTSDQQDD
+DGGEGNN
+>sp|P46446|ACY2_BOVIN Aspartoacylase OS=Bos taurus OX=9913 GN=ASPA PE=1 SV=1
+MTSCHVAEDPIKKVAIFGGTHGNELTGVFLVKHWLENSTEIQRTGLEVKPFITNPRAVKK
+CTRYIDCDLNRVFDPENLGKKKSEDLPYEVRRAQEINHLFGPKDSEDSYDIIFDLHNTTS
+NMGCTLILEDSRNDFLIQMFHYIKTSLAPLPCYVYLIEHPSLKYATTRSIAKYPVGIEVG
+PQPQGVLRADILDQMRKMIQHALDFIHNFNEGKEFPPCAIEVYKIMRKVDYPRNESGEIS
+AIIHPKLQDQDWKPLHPEDPVFLTLDGKTIPLGGDQTVYPVFVNEAAYYEKKEAFAKTTK
+LTLNANSIRSSLH
+>sp|Q9MZL5|CACB2_BOVIN Voltage-dependent L-type calcium channel subunit beta-2 OS=Bos taurus OX=9913 GN=CACNB2 PE=2 SV=1
+MQCCGLVHRRRARVSYGSADSYTSRPSDSDVSLEEDREAVRREAERQAQAQLEKAKTKPV
+AFAVRTNVSYSAAHEDDVPVPGMAISFEAKDFLHVKEKFNNDWWIGRLVKEGCEIGFIPS
+PVKLENMRLQHEQRAKQGKFYSSKSGGNSSSSLGDIVPSSRKSTPPSSAIDIDATGLDAE
+DNDIPANHRSPKPSANSVTSPHSKEKRMPFFKKTEHTPPYDVVPSMRPVVLVGPSLKGYE
+VTDMMQKALFDFLKHRFEGRISITRVTADISLAKRSVLNNPSKHAIIERSNTRSSLAEVQ
+SEIERIFELARTLQLVVLDADTINHPAQLSKTSLAPIIVYVKISSPKVLQRLIKSRGKSQ
+AKHLNVQMVAADKLAQCPPELFDVILDENQLEDACEHLADYLEAYWKATHPPSSSLPNPL
+LSRTLATSTLPVSPTLASNSQGSQGDQRTDRGAPGRSASQAEEEHCPEPVKKAQHRSSTQ
+HHNHRSGTSRGLSRQETLDSETQESRDSAYAEPKEEYSHEHADHYAPHRDHNHREEPHGG
+GEHRHREPRHRSRDPDREQDHNESNKQRSRHKSKDRYCDKDGEGLSRRRNEAADWNRDVY
+IRQ
+>sp|A5PK16|CCNF_BOVIN Cyclin-F OS=Bos taurus OX=9913 GN=CCNF PE=2 SV=1
+MGSGGVIHCRCAKCFCYPSKRRIRRRPRNLTILNLPEDALFHILKWLSVGDILAVRAVHS
+HLKYLVDNHASVWACASFQELWPSPGNLKLFERAAEKGNFEAAVKLGIAYLYNEGLSVSD
+EARAEVNGLRASRYFSLAERLNVGAAPFIWLFIRPPWSVSGSCCKAVVHESLRAECQLQK
+THRASILHCLGRVLSLFEDEEKQKQARKLFEESANQGCLTSSYLLWESDRRMDMLDPGRC
+LHSFRKLRDFAAKGCWEAQLSLAKACAHGHQLGLEAKASSEIVCQLFQASHAVNKQRVFS
+VQKGLNDTMRYILIDWLVEVATMKDFSSLCLHLTVECVDRYLRRRLVPRYRLQLLGIACM
+VICTRFISKEILTIREAVWLTDNTYKYEDLVRMMGEVVSALDGKIRVPTVVDYKDVLLTL
+VPMAPRTQHLCSFLCELSLLHTSLAAYAPAHLAAAALLLARLTHGQTQPWTTQLWDLTGF
+SCEDLIPCVLSLHQKCFHDDAPKDYRQVSLTAVKQRFEDKRYEEISLEEVLSYGQLCAAL
+GVKQESLEPAPFLSAGDIHAFLSSPSARRTKRKRENSLQEDRGSFVTTPTAELSSQEETL
+LGSFLDWSLDYCSGYEGDQESEGEKEGDVTAPSGVLDVTVVYLSPEEHCCQESSDEEACP
+EEACGAQDTQALVPGHQALRTPGPEPPLCSRWGLGKDVTTSGYSSVNSASPTDSGRTSGG
+PPRSTSELPTGSSLNTQPCHHHARKSCLQCRPPSPPESCAPQQQVKRKNLSAHSEEEEED
+MNLGFLKL
+>sp|Q3T0E8|CALL4_BOVIN Calmodulin-like protein 4 OS=Bos taurus OX=9913 GN=CALML4 PE=2 SV=1
+MAKFLSQDQINEYKECFSLYDKQQRGKIKATDLLTVMRCLGASPTPGEAQRHLQTHRIDR
+NGELDFSTFLTIMHMQIKQEDPKKEILLAMLMADKEKKGYIMASELRSKLMQLGEKLTHK
+EVEDLFREAGIEPNGKVKYDEFIQKLTIPVRDY
+>sp|Q0NXR6|ACAD8_BOVIN Isobutyryl-CoA dehydrogenase, mitochondrial OS=Bos taurus OX=9913 GN=ACAD8 PE=2 SV=1
+MMLRGGCQRVGARLRGLRRGPRGPADGARRGVVSCIDPSMGLSEEQKEFQKVAFNFAARE
+MAPHMAEWDQKELFPVDTMRKAAQLGFGGVYVQTDVGGAGLSRLDTSIIFEALATGCTST
+TAYMSIHNMCVWIIDRFGSEEQRHRLCPPLCTMEKFASYCLTEPGSGSDAASLMTSAVRQ
+HDHYILNGSKAFISGGGEADIYVVMCRTGGPGPRGISCVVVEKGTPGLSFGKKEKKVGWN
+SQPTQAVIFEDCAVPVANRIGDEGQGFLIAMKGLNGGRINVASCSLGAAHASIVLARDYL
+KVRKQFGEPLANSQYLQFQLADMAARLVASRLMIRTAATALQEEREDAIVLCSMAKLFAT
+DECFAICNQALQMHGGYGYLKDYAVQQYVRDSRVHQILEGSNEVMRMLISRSLLQE
+>sp|P35605|COPB2_BOVIN Coatomer subunit beta' OS=Bos taurus OX=9913 GN=COPB2 PE=1 SV=3
+MPLRLDIKRKLTARSDRVKSVDLHPTEPWMLASLYNGSVCVWNHETQTLVKTFEVCDLPV
+RAAKFVARKNWVVTGADDMQIRVFNYNTLERVHMFEAHSDYIRCIAVHPTQPFILTSSDD
+MLIKLWDWDKKWSCSQVFEGHTHYVMQIVINPKDNNQFASASLDRTIKVWQLGSSSPNFT
+LEGHEKGVNCIDYYSGGDKPYLISGADDRLVKIWDYQNKTCVQTLEGHAQNVSCASFHPE
+LPIIITGSEDGTVRIWHSSTYRLESTLNYGMERVWCVASLRGSNNVALGYDEGSIIVKLG
+REEPAMSMDANGKIIWAKHSEVQQANLKAMGDAEIKDGERLPLAVKDMGSCEIYPQTIQH
+NPNGRFVVVCGDGEYIIYTAMALRNKSFGSAQEFAWAHDSSEYAIRESNSVVKIFKNFKE
+KKSFKPDFGAESIYGGFLLGVRSVNGLAFYDWENTELIRRIEIQPKHIFWSDSGELVCIA
+TEESFFILKYLSEKVLAAQETHEGVTEDGIEDGFEVLGEIQEIVKTGLWVGDCFIYTSSV
+NRLNYYVGGEIVTIAHLDRTMYLLGYIPKDNRLYLGDKELNIVSYSLLVSVLEYQTAVMR
+RDFSMADKVLPTIPKEQRTRVAHFLEKQGFKQQALTVSTDPEHRFELALQLGELKIAYQL
+AVEAESEQKWKQLAELAISKCQFGLAQECLHHAQDYGGLLLLATASGNASMVNKLAEGAE
+RDGKNNVAFMSYFLQGKLDACLELLIRTGRLPEAAFLARTYLPSQVSRVVKLWRENLSKV
+NQKAAESLADPTEYENLFPGLKEAFVVEEWVKETHADLWPAKQYPLVTPNEERNVMEEAK
+GFQPSRSAAQQELDGKPASPTPVIVTSQTANKEEKSLLELEVDLDNLEIEDIDTTDINLD
+EDILDD
+>sp|Q28055|ARP19_BOVIN cAMP-regulated phosphoprotein 19 OS=Bos taurus OX=9913 GN=ARPP19 PE=1 SV=2
+MSAEVPEAASAEEQKEMEDKVTSPEKAEEAKLKARYPHLGQKPGGSDFLRKRLQKGQKYF
+DSGDYNMAKAKMKNKQLPTATPDKTEVTGDHIPTPQDLPQRKPSLVASKLAG
+>sp|Q3T126|CNIH4_BOVIN Protein cornichon homolog 4 OS=Bos taurus OX=9913 GN=CNIH4 PE=2 SV=1
+MEAVVFVFSLLDCCALIFLSVYFIITLSDLECDYINARSCCSKLNKWVIPELVGHTLVTV
+LMLISLHWFIFLLNLPVAAWNIYRYIMVPSGNMGVFDPTEIHNRGQLKSHMKEAMIKLGF
+HLLCFFMYLYSMILALIND
+>sp|Q5E9X5|AP5M1_BOVIN AP-5 complex subunit mu-1 OS=Bos taurus OX=9913 GN=AP5M1 PE=2 SV=1
+MAQRAVWLISHESGTPLGGIVKFSRRYPTVEKRAKVFNGASYVPVPEDGPFLKALLFELR
+LLDDEKDFLESRDSCSHINKTSIYGVPVGGEELWPVVAFLKNGMIYACVPLVEQTLSPRP
+PLISISGISQGFELLFGVQDFLSSGQKTDSELNTKLSQLSDLLLQTCPFGTLLDANLQNS
+LDSINSASVTHLQKQPAWKTGTYKGKPQVSISITEKVNSMQYDKQEIADTWQVVGVVTCK
+CDLEGSMPNVTISLSLPTNGSPLQDILVHPCVTSLDSAILTSSSIDAMDDSAFSGPYKFP
+LTPPLESFNLCYYTSQVPVPPILGFYQVKEEEVQLKITVNLKLHESVKNSFEFCEAHIPF
+YNRGPITHVEYKASFGQLEVFREKSLLVWIIGQKFPKSMEISLSGTITFGAKNHEKQPFD
+QICIGGTAYLKLHFRILDYTLTGCYVDQHSVQVFASGKPKISTYRKLISSDYYIWNSKAP
+APVTYGSLLL
+>sp|Q0IIL2|CLD12_BOVIN Claudin-12 OS=Bos taurus OX=9913 GN=CLDN12 PE=2 SV=1
+MGCRDVHAATVLSFLCGIASVAGLFAGTLLPNWRKLRLITFNRNEKNLTVYTGLWVKCAR
+YDGGNDCLMYDAAWYSSVDQLDLRVLQFALPLSILIAMGALLLCLIGMCNTAFRSSVPNI
+KLAKCLVNSAGCHLVAGLLFFLAGTVSLSPSIWVIFYNIHLNRKFEPVFAFDYAVYVTVA
+SAGGLFMTALLLFIWYCACKSLPSPFWQPLYSHPPGMHTYSQPYSARSRLSAIEIDIPVV
+SHTT
+>sp|Q3ZBU2|CISD1_BOVIN CDGSH iron-sulfur domain-containing protein 1 OS=Bos taurus OX=9913 GN=CISD1 PE=1 SV=1
+MSMTSSVRVEWIAAVTIAAGTAAIGYLAYKRFYVKDHRNKSMVNPHIQKDNPKVVHAFDM
+EDLGDKAVYCRCWRSKKFPLCDGSHTKHNEETGDNVGPLIIKKKDT
+>sp|Q17QN8|CL049_BOVIN UPF0454 protein C12orf49 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MVNLAAMVWRRLLRKRWVLALVFGLSLVYFLTSTFKQEERAVRDRNLLQVQDHDQPIPWK
+VQFNLGNSSRPSNQCRNSIQGKHLITDELGYVCERRDLLVNGCCNVNVPGTKQYCCDGCL
+SSGCCSAYEYCVSCCLQPNKQLLLERFLNRAAVAFQNLFMAVEDHFELCLAKCRTSSQSV
+QHENTYRDPIAKYCYGESPPELFPA
+>sp|P04258|CO3A1_BOVIN Collagen alpha-1(III) chain OS=Bos taurus OX=9913 GN=COL3A1 PE=1 SV=1
+EYEAYDVKSGVAGGGIAGYPGPAGPPGPPGPPGTSGHPGAPGAPGYQGPPGEPGQAGPAG
+PPGPPGAIGPSGKDGESGRPGRPGPRGFPGPPGMKGPAGMPGFPGMKGHRGFDGRNGEKG
+EPGAPGLKGENGVPGEDGAPGPMGPRGAPGERGRPGLPGAAGARGNDGARGSDGQPGPPG
+PPGTAGFPGSPGAKGEVGPAGSPGSSGAPGQRGEPGPQGHAGAPGPPGPPGSDGSPGGKG
+EMGPAGIPGAPGLIGARGPPGPPGTNGVPGQRGAAGEPGKNGAKGDPGPRGERGEAGSPG
+IAGPKGEDGKDGSPGEPGANGLPGAAGERGVPGFRGPAGANGLPGEKGPPGDRGGPGPAG
+PRGVAGEPGRNGLPGGPGLRGIPGSPGGPGSNGKPGPPGSQGETGRPGPPGSPGPRGQPG
+VMGFPGPKGNDGAPGKNGERGGPGGPGPQGPAGKNGETGPQGPPGPTGPSGDKGDTGPPG
+PQGLQGLPGTSGPPGENGKPGEPGPKGEAGAPGIPGGKGDSGAPGERGPPGAGGPPGPRG
+GAGPPGPEGGKGAAGPPGPPGSAGTPGLQGMPGERGGPGGPGPKGDKGEPGSSGVDGAPG
+KDGPRGPTGPIGPPGPAGQPGDKGESGAPGVPGIAGPRGGPGERGEQGPPGPAGFPGAPG
+QNGEPGAKGERGAPGEKGEGGPPGAAGPAGGSGPAGPPGPQGVKGERGSPGGPGAAGFPG
+GRGPPGPPGSNGNPGPPGSSGAPGKDGPPGPPGSNGAPGSPGISGPKGDSGPPGERGAPG
+PQGPPGAPGPLGIAGLTGARGLAGPPGMPGARGSPGPQGIKGENGKPGPSGQNGERGPPG
+PQGLPGLAGTAGEPGRDGNPGSDGLPGRDGAPGAKGDRGENGSPGAPGAPGHPGPPGPVG
+PAGKSGDRGETGPAGPSGAPGPAGSRGPPGPQGPRGDKGETGERGAMGIKGHRGFPGNPG
+APGSPGPAGHQGAVGSPGPAGPRGPVGPSGPPGKDGASGHPGPIGPPGPRGNRGERGSEG
+SPGHPGQPGPPGPPGAPGPCCGAGGVAAI
+>sp|Q3B7N4|CLD7_BOVIN Claudin-7 OS=Bos taurus OX=9913 GN=CLDN7 PE=2 SV=1
+MANSGLQLLGFSMALLGWVGLVACTAIPQWQMSSYAGDNIITAQAMYKGLWMDCVTQSTG
+MMSCKMYDSVLSLPAALQATRALMVVSLVLGFLATFVATMGMKCTNCGGDDKVKKARIAM
+TGGIIFILAGLAALIACSWYGHQIVSDFYNPLVPMNVKYEFGPAIFIGWAGSALVLLGGA
+LLSCSCPGSESKAGYRAPRSYPKPNSAKEYV
+>sp|P13620|ATP5H_BOVIN ATP synthase subunit d, mitochondrial OS=Bos taurus OX=9913 GN=ATP5PD PE=1 SV=2
+MAGRKLALKTIDWVAFGEIIPRNQKAVANSLKSWNETLTSRLATLPEKPPAIDWAYYKAN
+VAKAGLVDDFEKKFNALKVPIPEDKYTAQVDAEEKEDVKSCAEFLTQSKTRIQEYEKELE
+KMRNIIPFDQMTIEDLNEVFPETKLDKKKYPYWPHRPIETL
+>sp|P26444|CRBA2_BOVIN Beta-crystallin A2 OS=Bos taurus OX=9913 GN=CRYBA2 PE=1 SV=2
+MSSAPAQGPAPASLTLWDEEDFQGRRCRLLSDCANIGERGGLRRVRSVKVENGAWVAFEY
+PDFQGQQFILEKGDYPRWSAWSGSAGHHSDQLLSFRPVLCANHSDSRVTLFEGENFQGCK
+FELNDDYPSLPSMGWASKDVGSLKVSSGAWVAYQYPGYRGYQYVLERDHHSGEFRNYSEF
+GTQAHTGQLQSIRRVQH
+>sp|Q8WMV0|GRK7_BOVIN Rhodopsin kinase GRK7 OS=Bos taurus OX=9913 GN=GRK7 PE=1 SV=1
+MVDMGGLDNLIANTAYLQARKSSDADSKELQRRRRSLMLPGPQSCEQLRQALATDFHSLC
+EQQPIGRRLFRDFLATVPAYQEARGFLEEVQSWELAEEGPAKGSALQGLVTTCAAAPVPG
+RPHPFFSPALVTKCQAATTDDDRASLVELAKAEVMAFLQDQPFREFLASPFYDKFLQWKV
+FEMQPVSDKYFEEFRVLGKGGFGEVCAVQVKNTGKMYACKKLDKKRLKKKNGEKMALLEK
+EILERVSSPFIVSLAYAFESKSHLCLVMSLMNGGDLKFHIYSVGEPGLDMSRVIFYSAQI
+TCGVLHLHSLGIVYRDMKPENVLLDDLGNCRLSDLGLAVQIQDGKPITQRAGTNGYMAPE
+ILMEKASYSYPVDWFAMGCSIYEMVAGRTPFRDYKEKVSKEDLKQRTLKEEVRFQHSNFT
+EEAKDICRLFLAKTPEQRLGSREKSDDPRKHHFFKTINFPRLEAGLVEPPFVPDPSVVYA
+KDINEIDDFSEVRGVEFDDNDKQFFQRFATGAVPIAWQEEIIETGPFAELNDPNRPAGCG
+EGSSRSGVCLLL
+>sp|Q32KY6|DCTP1_BOVIN dCTP pyrophosphatase 1 OS=Bos taurus OX=9913 GN=DCTPP1 PE=2 SV=1
+MSASEEMLGGARGESTTATGPFSFSSEPTLEDIRRLHAEFAAERDWEQFHQPRNLLLALV
+GEVGELAELFQWKPDEEPGPQAWSPRERAALQEELSDILIYLVALAARCRVDLPQAVLCK
+MDTNRRRYPVHLSRGSACKYTDLPHGATSENQAMGPADPASESTGQVST
+>sp|Q1LZ79|GEMI8_BOVIN Gem-associated protein 8 OS=Bos taurus OX=9913 GN=GEMIN8 PE=2 SV=1
+MEAKSESTQASESWSSHPVYARYWQHYHQAMAWMRSHQSAYQKAVESVVTSPWYYPATDL
+AQSPAAKGGTSPKSRSKSPSASGDACRRRSRPGKPGPQRRSTEKPARFAEDNDSESDDCG
+IVCDLSNMVITDELRQYFAETEQHREELRRQHQLDAARLKDYVNADHGLYCDKRGRSTLP
+PTERPGERRQAEMKRLYGASAAKIQAMETAMQLSFDKHCDRKQPKYWPVIPLKF
+>sp|P03969|FGF2_BOVIN Fibroblast growth factor 2 OS=Bos taurus OX=9913 GN=FGF2 PE=1 SV=1
+MAAGSITTLPALPEDGGSGAFPPGHFKDPKRLYCKNGGFFLRIHPDGRVDGVREKSDPHI
+KLQLQAEERGVVSIKGVCANRYLAMKEDGRLLASKCVTDECFFFERLESNNYNTYRSRKY
+SSWYVALKRTGQYKLGPKTGPGQKAILFLPMSAKS
+>sp|P46411|EAA1_BOVIN Excitatory amino acid transporter 1 OS=Bos taurus OX=9913 GN=SLC1A3 PE=2 SV=1
+MTKSNGEEARLGGRMERFQQGVRKRTLLAKKKVQNITKEDVKSYLFRNAFVLLTVTAVIV
+GTILGFTLRPYRMSYREVKYFSFPGELLMRMLQMLVLPLIISSLVTGMAALDSKASGKMG
+MRAVVYYMTTTIIAVVIGIIIVIIIHPGKGTKENMHREGKIVQVTAADAFLDLIRNMFPP
+NLVEACFKQFKTNYEKRSFKVPIQPNETLVGAVINNVSEAMETLTRITEELVPVPGSVNG
+VNALGLVVFSMCFGFVIGNMKEQGQALREFFDSLNEAIMRLVAVIMWYAPLGILFLIAGK
+IVEMEDMGVIGGQLAMYTVTVIVGLLIHAVIVLPLLYFLVTRKNPWVFIGGLLQALITAL
+GTSSSSATLPITFKCLEENNGVDKRVTRFVLPVGATINMDGTALYEALAAIFIAQVNNFE
+LNFGQIITISITATAASIGAAGIPQAGLVTMVIVLTSVGLPTDDITLIIAVDWFLDRLRT
+TTNVLGDSLGAGIVEHLSRHELKNRDVEMGNSVIEENEMKKPYQLISQESEIEKSMDSET
+KM
+>sp|Q32L52|GID8_BOVIN Glucose-induced degradation protein 8 homolog OS=Bos taurus OX=9913 GN=GID8 PE=2 SV=1
+MSYTEKPDEITKDEWMEKLNNLHVQRADMNRLIMNYLVTEGFKEAAEKFRMESGIEPSVD
+LETLDERIKIREMILKGQIQEAIALINSLHPELLDTNRYLYFHLQQQHLIELIRQRETEA
+ALEFAQTQLAEQGEESRECLTEMERTLALLAFDNPEDSPFGDLLNMMQRQKVWSEVNQAV
+LDYENRESTPKLAKLLKLLLWAQNELDQKKVKYPKMTDLSKGVIEEPK
+>sp|A0JNG6|F131B_BOVIN Protein FAM131B OS=Bos taurus OX=9913 GN=FAM131B PE=2 SV=1
+MDSTSSLHGSSLHRPSTEQTRTDFSWDGINLSMEDTTSILPKLKRNSNAYGIGALAKSSF
+SGISRSMKDHVTKPTAMGQGRVAHMIEWQGWGKTPAIQPQHSHEAVRRDTDAYSDLSDGE
+KEARFLAGVMEQFAISEATLMAWSSMDGEDMSVNSTQETLGCNYSDNYQELMESQDALAQ
+APMDGWPHSYVSQGMYCLGSSDAWEASDQSLIASPATGSYLGPAFGDSQPSLHEMGPSQP
+ASGYSAQEPSSLLGGDTDWAPGVGGVDLAGGPAEEEKRKKMRGAGTWSPSPHARTPRCPP
+LSAGRCLTSHPQACSPLMRRKARPTTSFLPLLTQPSTPA
+>sp|Q7M3E1|CTRC_BOVIN Chymotrypsin-C OS=Bos taurus OX=9913 GN=CTRC PE=1 SV=2
+MLGITVFTTFLAYASSCGAPIFQPNLSARVVGGEDAIPHSWPWQISLQYLRDNTWRHTCG
+GTLITPNHVLTAAHCISNTLTYRVALGKNNLEVEDEAGSLYVGVDTIFVHEKWNSFLVRN
+DIALIKLAETVELSDTIQVACLPEEGSLLPQDYPCFVTGWGRLYTNGPIAAELQQGLQPV
+VDYATCSQRDWWGTTVKETMVCAGGDGVISACNGDSGGPLNCQAENGNWDVRGIVSFGSG
+LSCNTFKKPTVFTRVSAYIDWINQKLQL
+>sp|Q2T9V8|DTD1_BOVIN D-aminoacyl-tRNA deacylase 1 OS=Bos taurus OX=9913 GN=DTD1 PE=2 SV=1
+MKAVVQRVTRASVTVGGEQISAIGRGICVLLGISLEDTQKELEHMVRKILNLRVFEDESG
+KHWSKSVMDKQYEVLCVSQFTLQCVLKGNKPDFHLAMPAEQAESFYKGFLEQLRKAYRPE
+LVKDGKFGAYMQVHIQNDGPVTIELESPAPGAAASDPKQLSKLEKQQQRKEKTRAKGPSE
+SSKERSAPRKEDRSASSGAEGDVSSEREP
+>sp|Q28019|LTBP2_BOVIN Latent-transforming growth factor beta-binding protein 2 OS=Bos taurus OX=9913 GN=LTBP2 PE=1 SV=2
+MRPPTTARCPGRVLQNPWRSFWPLTLALFVGMGQAQRDPVGRYEPAGRDASRLRRPGGSP
+VVATAKVYSLFREQDAPVRGSPPAELVQPSWGSPRRSTEAEARRPPRAQQPRRVQPPAQT
+WRSRPSGQQQSAPRARAAPALPRLETVQRPRAARGRLTGRNVCGGQCCPGWTTANSTNHC
+IKPVCQPPCQNRGSCSRPQLCVCRSGFRGARCEEVIPEEEFDPQNSRPAPRRSAEGPPSL
+RRSSVARESTTARVRPPAPQLQRARTLSGLSQTRSSQQHVGLSQTTRLYPAPAASGQLTS
+NALPMGPGPERRDGAPQAAYLDRPSSSWGLNLTEKIKKIKIVFTPTICKQTCARGRCANS
+CERGDTTTLYSQSGHGHDPKSGFRIYFCQIPCLNGGRCIGRDECWCPANSTGKFCHLPAP
+RLDQEPPERGPRHRAPLEGPLKQSTFTLPLSNQLASVNPSLVNVHIRHPPEASVQIHQVA
+RVRGEAEEAPEENSVETRPSPRLPAGPGPGRWDSNRIPARSGEAPRLPPPVVPRTPALLG
+RCYLSTLNGQCANPLPELTAHEDCCGSVGAFWGVTSCAPCPPRPASPVIENGQLECPQGY
+KRLNLTHCEDVNECLTLGLCEDSECVNTRGSYLCTCRPGLLLDPSRSRCVSDKAVSMQQG
+LCYRLLGPGTCALPLAQRITKQICCCSRVGKAWGSLCEKCPLPGTEAFREICPAGHGYTY
+SSSDIRLSMRKAEEEELARPSRDRGPKRNGTLPRPAERQPLRAATGTWVEAETIPDKGDS
+QASQVTTSVTQLSTWVPGGALGTPTPSVPEQGIPEAREEAQVTAPTNVLVTPAPSGIDRC
+AAGATNICGPGTCVNLPDGYRCICSPGYRLHPSQAYCTDDNECLRDPCKGRGRCVNRVGS
+YSCFCYPGYKLATSGATQECQDIDECEQPGVCSRGRCTNTEGSYHCECDQGYIMVRKGHC
+QDINECRHPGTCPDGKCVNSPGSYTCLPCEEGYRGQGGSCVDVNECLTPGVCTHGTCINL
+EGSFRCSCEQGYEVTPDEKGCKDVDECAIRASCPTGLCLNTEGSFTCSACESGYWVNEDG
+TACEDLDECAFPGVCPSGVCTNTAGSFSCRDCEAGYQPSALGHTCEDVDECEDPQSSCLG
+GECKNTAGSYQCLCPPGFQLANGTVCEDVDECVGEEYCAPRGECLNSHGSFFCLCADGFV
+SADGGTSCQDVDECAVTDRCVGGQCVNTDGSFNCVCETGFQPSPESGECVDIDECEDLGE
+PICGAWRCENSPGSYRCVLGCQPGFHMAPTGDCIDIDECANDTVCGSHGFCDNTDGAFRC
+LCDQGFETSPSGWDCVDVNECELMLAVCGAALCENVEGSFLCLCASDLEEYDAQEGRCRP
+RGAGGPSVPEARPGAHPPGPVRMECYSGQKDQTPCSSLLGRNTTQAECCCTQGTGWGDAC
+DLCPDEDSVEFSEICPSGKGYIPVEGAWMFGQTTYTDADECVMFGPGLCRNGRCLNTVPG
+YICLCNPGYHYNAASRKCEDHDECQDMACENGECVNTEGSFHCFCSPPLTLDLSQQRCVN
+STSGVEDLPDHDIHMDICWKRVTNYVCSHPLHGRRTTYTECCCQDGEAWSQQCALCPPRS
+SEVYAQLCNVARIEAEREAGIHFRPGYEYSPGPDDLHYSLYGPDGVPFYNYLGPEDAIPE
+PLFPSTAGRPGDRIPLPEPPLQPSELQPHYVASHPGLCCCKNGEEVGSEAVSLPSSPSAH
+HCQQEHQAGFEGLQAEECGILNGCENGRCVRVREGYTCDCFEGFQLDTAHMACVDVNECD
+DLNGPAALCVHGHCDNTEGSYRCHCLPGYVAEAGPPHCTAKE
+>sp|A5D7F6|MAML2_BOVIN Mastermind-like protein 2 OS=Bos taurus OX=9913 GN=MAML2 PE=2 SV=1
+MGDTAPPQAPTGGLGGAPGAGLLGGGSVTPRVHSAIVERLRARIAVCRQHHLSCEGRYER
+GRAESSDRERESTLQLLSLVQHGQGARKAGKHTKATASTATATAPPPAPAAPPTASQTAA
+PAAPAPPPDYHHHHQQHLRSSSSSGGSGGIDGEQQQQPPASTPGDQRNSALIALQGSLKR
+KQIVNLSPANSKRPNGFVDNSFLDIKRIRVGENLAAGPGGLPVNNGQSQMMSGTLPMSQV
+PLRKTAALPPPPTHSPGNGLFNMGLKEVKKEPGETLSCSKHVDGQVTQENIFSNRYGDDP
+GEQLMDPELQELFNELTNISVPPMSDLELENMINATIKQDDPFNIDLGQQSQRSTPRPSL
+PLEKTVIKSEYSPGLTQGPSGSPQLRPSSAGPAFSMASSGLSASSPIPSVPQSQAQPPPA
+TGAARALPSWQEVSHAQQLKQIAANRQQHVRMHQQQQQHQPTSWPALPSSAGPSPGPFGQ
+EKIPSPSFGQQPFSPQSTPMPGVTGGSNQSKVMANYLFKASPSAQGGPLDVLLQPKPQDL
+SRSFLNNPHPAMEPRHGSTKPLFHFNSDQANQQMPSVLPSQSKPSLLHYTQQQPQQSSIT
+VQPQQQQQQPQQQQQPQQQQQPQPQQQQQQQPQAQQPAAQPTQPLSNQPLLRAPLPLQQK
+ILLQKIQNQPITGLGYQVSQQHRQDQHSVVGQNAGPSPSPNPCSNPNTGSGYMNSQQSLL
+NQQLMGKKQTLQRQIMEQKQQLLLQQQMLADAEKIAPQDQINRHLTRPPPDYKDQRRNVG
+NMQPTAQYSGGSSTVSLNSNQALANPVSTHTILTPNSSLMSTSHGTRMPSLPTAVQNIGI
+YGNLPCSQPSTYSVTSGMNQLTQPRNPNQLIANQNNPLMPRPPTLGPSNNNNNNVATFGA
+GSVGNSQQLRPNLTHSMASMPAQRTSNVMITSNTATPNWASQEATAKQQEALKSAGVRFP
+TGTTTAYAPNQSLQQAVGSQQFSQRAVAPSNQLTPAVQMRPMNQMSQTLNGQNMGPLRSL
+NLRPNQLSTQLLPTMNQAGTGLSQSRTVSQPPSLAAGGFPSPNQSSRAFQGTDHGNDLAF
+DFLNQQTDNMGPALNSDADFIDSLLKTEPGNDDWMKDINLDEILGNNS
+>sp|Q2TBN4|MED28_BOVIN Mediator of RNA polymerase II transcription subunit 28 OS=Bos taurus OX=9913 GN=MED28 PE=2 SV=1
+MAAPLGGMFSGQQPGPPQPPPGLLGQASLLQATPGVPRTSNSTLVDELESSFEACFASLV
+SQDYVNGTDQEEIRTGVDQCIKKFLDIARQTECFFLQKRLQLSVQKPEQVIKEDVSELRN
+ELQRKDALVQKHLTKLRHWQQVLEDINMQHKKPADIPQGSLAYLEQASANIPAPMKQT
+>sp|Q3ZC49|LRC39_BOVIN Leucine-rich repeat-containing protein 39 OS=Bos taurus OX=9913 GN=LRRC39 PE=2 SV=1
+MSENVVSTGAVNAVKEVWEKRIKKLNEDLKREKEFQQKLVRIWEERVCLTKLREKVTKED
+GRVILKIEKEEWKTLPSSLLKLNQLQEWQLHRIGLLKIPEFIGRFQNLIVLDLSRNTITE
+IPRGIGLLTRLQELILSYNRIKTVPMELSYCASLEKLELAVNRDISDLPQELSNLLKLTH
+LDLSMNLFTTIPPAVLNMPALEWLDMGSNRLEQLPDTIERMQNLHTLWLQRNEITCLPET
+ISSMKNLSTLVLSNNKLQDIPVCMEKMTNLRFVNFRDNPLKLEVTLPPSENIEEEEEREL
+FGLQFMHTYIQESRRAGNQVNCSTTSPNSIDADG
+>sp|Q29RR1|LRC41_BOVIN Leucine-rich repeat-containing protein 41 OS=Bos taurus OX=9913 GN=LRRC41 PE=2 SV=1
+MAAPEAWRARSCWFCEVAAATTMEATSREAAPAKSSASGPSAPPALFELCGRAVSAHMGV
+LESGVWALPGPILQSILPLLNIYYLERIEETALKKGLSTQAIWRRLWDELMKTRPSSLES
+VTCWRAKFMEAFFSHVLRGTIDVSSDRRLCDQRFSPLLHSSRHVRQLTICNMLQGATELV
+AEPNRRVLETLASSLHTLKFRHLLFSDVAAQQSLRQLLHQLIHHGAVSQVSLYSWPVPES
+ALFILILTMSAGFWQPGPGGPPCRLCGEASRGRAPSRDEGSLLLGSRRPRRDAAERCAAA
+LMASRRKSEAKQTARAAPATRVTRRSTQESLTAGGTDSKREPLPPATSHEAPGTKRPPSA
+PATTSSASASSSTSSSKRAPASSAPQPKPLKRFKRAAGKKGARTRQGCGAESEDLYDFVF
+IVAGEKEDGEEMEIGEVACGALDGSDPSCLGLPALEASQRFRSISTLELFTVPLSTEAAL
+TLCHLLSSWVSLESLTLSYNGLGSNIFRLLDSLRALSVQAGCRLRALHLSDLFSPLPILE
+LTRAIVRALPLLRVLSIRVDHPSQRDNPAVPGNAGPPSNVIGDEEIPENCLEQLEMGFPR
+GAQPAPLLCSVLKASGSLQQLSLDSATFASPQDFGLVLQTLKEYNLTLKRLSFHDMNLAD
+CQSEVLFLLQNLTLQEITFSFCRLFEKRPAQFLPEMVAAMKGNSTLKGLRLPGNRLGNAG
+LLALADVFSEDSSSSLCQLDISSNCIKPDGLLEFAKRLERWGRGAFGHLRLFQNWLDQDA
+VTAREAIRRLRATCHVVSDSWDSSQAFADYVSTM
+>sp|Q27955|KCAB2_BOVIN Voltage-gated potassium channel subunit beta-2 OS=Bos taurus OX=9913 GN=KCNAB2 PE=1 SV=1
+MYPESTTGSPARLSLRQTGSPGMIYSTRYGSPKRQLQFYRNLGKSGLRVSCLGLGTWVTF
+GGQITDEMAEQLMTLAYDNGINLFDTAEVYAAGKAEVVLGNIIKKKGWRRSSLVITTKIF
+WGGKAETERGLSRKHIIEGLKASLERLQLEYVDVVFANRPDPNTPMEETVRAMTHVINQG
+MAMYWGTSRWSSMEIMEAYSVARQFNLIPPICEQAEYHMFQREKVEVQLPELFHKIGVGA
+MTWSPLACGIVSGKYDSGIPPYSRASLKGYQWLKDKILSEEGRRQQAKLKELQAIAERLG
+CTLPQLAIAWCLRNEGVSSVLLGASSADQLMENIGAIQVLPKLSSSIIHEIDSILGNKPY
+SKKDYRS
+>sp|A6QQ59|KTAP2_BOVIN Keratinocyte-associated protein 2 OS=Bos taurus OX=9913 GN=KRTCAP2 PE=2 SV=1
+MVVGTGTSLALSSLLSLLLFAGMQMYSRQLASTEWLTIQGGLLGSGLFVFSLTAFNNLEN
+LVFGKGFQAKIFPEILLCLLLALFASGLIHRVCVTTCFIFSMVGLYYINKISSTLYQATA
+PVLTPAKVTGKGKKRN
+>sp|Q4GZT3|PKD2_BOVIN Polycystin-2 OS=Bos taurus OX=9913 GN=PKD2 PE=2 SV=1
+MVNSSRVQPQQPGDARRSPAPRAPGPGRLMAGGAIAGAGLAAPGGLREQRGLEIEMERIR
+QAAARDPPAGASASPSPPLSSCSRQAWSRDNPGFEAEEEEEEEEVEGEEGGMVVEMDVEW
+RPGSRRSASSSAVSSAGARGRGLGGYHGAGHPSGRRRQREDQGPPSPSPAGGGDPLHRHL
+PLDGQHPRVAWAERLVRGLRGLWGTRLMEESSTDREKYLKSVLRELATYLLFLIVLCILT
+YGMMSSSVYYYTRIMSQLFLDTPVSKMEKTNFKTLSSMEDFWKFTEGALLDGLYWKTQPS
+NRTEADNRSFIYYENLLLGVPRIRQLRVRNGSCSIPLDLRDEIKECYDVYSVSSEDRAPF
+GPRNGTAWIYTSEKDLNGSSHWGMIATYSGAGYYLDLSRTREETAAQVANLKKNVWLDRG
+TRAIFIDFTVYNANINLFCVIRLLIEFPATGGVIPSWQFQPVKLIRYVTTFDFFLAACEI
+IFCLFILYYVVEEILEIRIHKLHYFRSFWNCLDVVIIVLSVVAIGINIYRTSNVEALLQF
+LEDQNTFPNFENLAYWQTQFNNIAAVIVFFVWIKLFKFINFNRTMSQLSTTMSRCAKDLF
+GFAIMFFIIFLAYAQLAYLVFGTQVDDFSTFQECIFTQFRIILGDINFAEIEEANRVLGP
+IYFTTFVFFMFFILLNMFLAIINDTYSEVKSDLAQQKAEMELSDLIRKGYHKALIKLKLK
+KNTVDDISESLRQGGGKLNFDELRQDLKGKGHTDAEIEAIFTKYDQDGDQELTEHEHQQM
+RDDLEKEREDLDLDHSSLPRPMSSRSFPRSLDDSEEEDDDDSGHSSRRRGSISSGVSYEE
+FQVLVRRVDRMEHSIGSIVSKIDAVIVKLEIMERAKLKRREVLGRLLDGVAEDERLGRDN
+EIHREQMERLVREELERWESDDAASQISHGLGTPLGLNGQPRPRSSRPSSSQSTEGMEGG
+GGNGSANIHV
+>sp|A6QNL6|NKAI2_BOVIN Sodium/potassium-transporting ATPase subunit beta-1-interacting protein 2 OS=Bos taurus OX=9913 GN=NKAIN2 PE=2 SV=1
+MGYCSGRCTLIFICGMQLVCVLERQIFDFLGYQWAPILANFVHIIIVILGLFGTIQYRPR
+YITGYAVWLVLWVTWNVFVICFYLEAGDLSKETDLILTFNISMHRSWWMENGPGCTVTSV
+TPAPDWAPEDHRYITVSGCFLEYQYIEVAHSSLQIVLALAGFIYACYVVKCITEEEDSFD
+FIGGFDSYGYQGPQKTSHLQLQPMYMQIPLDNN
+>sp|P25500|PAPOA_BOVIN Poly(A) polymerase alpha OS=Bos taurus OX=9913 GN=PAPOLA PE=1 SV=3
+MPFPVTTQGSQQTQPPQKHYGITSPISLAAPKETDCLLTQKLVETLKPFGVFEEEEELQR
+RILILGKLNNLVKEWIREISESKNLPQSVIENVGGKIFTFGSYRLGVHTKGADIDALCVA
+PRHVDRSDFFTSFYDKLKLQEEVKDLRAVEEAFVPVIKLCFDGIEIDILFARLALQTIPE
+DLDLRDDSLLKNLDIRCIRSLNGCRVTDEILHLVPNIDNFRLTLRAIKLWAKRHNIYSNI
+LGFLGGVSWAMLVARTCQLYPNAIASTLVHKFFLVFSKWEWPNPVLLKQPEECNLNLPVW
+DPRVNPSDRYHLMPIITPAYPQQNSTYNVSVSTRMVMVEEFKQGLAITDEILLSKAEWSK
+LFEAPNFFQKYKHYIVLLASAPTEKQRLEWVGLVESKIRILVGSLEKNEFITLAHVNPQS
+FPAPKENPDKEEFRTMWVIGLVFKKTENSENLSVDLTYDIQSFTDTVYRQAINSKMFEVD
+MKIAAMHVKRKQLHQLLPSHVLQKKKKHSTEGVKLTPLNDSSLDLSMDSDNSMSVPSPTS
+AMKTSPLNSSGSSQGRNSPAPAVTAASVTNIQATEVSLPQINSSESSGGTSSESIPQTAT
+QPAISSPPKPTVSRVVSSTRLVNPPPRPSGNAAAKIPNPIVGVKRTSSPHKEESPKKTKT
+EEDETSEDANCLALSGHDKTETKEQLDTETSTTQSETIQTATSLLASQKTSSTDLSDIPA
+LPANPIPVIKNSIKLRLNR
+>sp|Q5E9A3|PCBP1_BOVIN Poly(rC)-binding protein 1 OS=Bos taurus OX=9913 GN=PCBP1 PE=2 SV=1
+MDAGVTESGLNVTLTIRLLMHGKEVGSIIGKKGESVKRIREESGARINISEGNCPERIIT
+LTGPTNAIFKAFAMIIDKLEEDINSSMTNSTAASRPPVTLRLVVPATQCGSLIGKGGCKI
+KEIRESTGAQVQVAGDMLPNSTERAITIAGVPQSVTECVKQICLVMLETLSQSPQGRVMT
+IPYQPMPASSPVICAGGQDRCSDAAGYPHATHDLEGPPLDAYSIQGQHTISPLDLAKLNQ
+VARQQSHFAMMHGGTGFAGIDSSSPEVKGYWASLDASTQTTHELTIPNNLIGCIIGRQGA
+NINEIRQMSGAQIKIANPVEGSSGRQVTITGSAASISLAQYLINARLSSEKGMGCS
+>sp|P10568|MYO1A_BOVIN Unconventional myosin-Ia OS=Bos taurus OX=9913 GN=MYO1A PE=2 SV=1
+MTLLEGSVGVEDLVLLEPLEQESLIRNLQLRYEKKEIYTYIGNVLVSVNPYQQLPIYDLE
+FVAKYRDYTFYELKPHIYALANMAYQSLRDRDRDQCILITGESGAGKTEASKLVMSYVAA
+VCGKGEQVNSVKEQLLQSNPVLEAFGNAKTIRNNNSSRFGKYMDIEFDFKGFPLGGVITN
+YLLEKSRVVKQLEGERNFHIFYQLLAGADAQLLKALKLERDTGGYAYLNPDTSRVDGMDD
+DANFKVLQSAMTVIGFSDEEIRQVLEVAALVLKLGNVELINEFQANGVPASGIRDGRGVQ
+EIGELVGLNSVELERALCSRTMETAKEKVVTTLNVIQAQYARDALAKNIYSRLFNWLVNR
+INESIKVGTGEKRKVMGVLDIYGFEILEDNSFEQFVINYCNEKLQQVFIEMTLKEEQEEY
+KREGIPWVKVEYFDNGIICNLIEHNQRGILAMLDEECLRPGVVSDSTFLAKLNQLFSKHS
+HYESKVTQNAQRQYDHSMGLSCFRICHYAGKVTYNVNSFIDKNNDLLFRDLSQAMWKARH
+PLLRSLFPEGDPKQASLKRPPTAGAQFKSSVTTLMKNLYSKNPNYIRCIKPNEHQQRGHF
+SFELVSVQAQYLGLLENVRVRRAGYAYRQAYGSFLERYRLLSRSTWPRWNGGDQEGVEKV
+LGELSMSSEELAFGKTKIFIRSPKTLFYLEEQRRLRLQQLATLIQKTYRGWRCRTHYQLM
+RKSQIVISSWFRGNMQKKHYRKMKASALLIQAFVRGWKARKNYRKYFRSGAALILSNFIY
+KSMVQKFLLGLKNDLPSPSILDKKWPSAPYKYFNTANHELQRLFHQWKCKKFRDQLSPKQ
+VEVLREKLCASELFKGKKASYPQSVPIPFHGDYIGLQRNPKLQKLKGGEEGPILMAETVV
+KVNRGNAKTSSRILLLTKGHVIITDMKNPQAKTVIPLNSLAGVSVTSFKDGLFSLHLSEI
+SSVGSKGEFLLVSEHVIELLTKICRATLDATQMQLPVTVTEEFSVKFKEGSLTVKVIQGP
+GGGGTGKLSFKKKGSRCLEVTVQ
+>sp|Q9BE40|MYH1_BOVIN Myosin-1 OS=Bos taurus OX=9913 GN=MYH1 PE=2 SV=2
+MSSDQEMAVFGEAAPYLRKSEKERIEAQNKPFDAKTSVFVADPKESFVKATVQSREGGKV
+TAKTEAGATVTVKEDQVFPMNPPKFDKIEDMAMMTHLHEPAVLYNLKERYAAWMIYTYSG
+LFCVTVNPYKWLPVYNAEVVTAYRGKKRQEAPPHIFSISDNAYQFMLTDRENQSILITGE
+SGAGKTVNTKRVIQYFATIAVTGEKKKEEPTSGKMQGTLEDQIISANPLLEAFGNAKTVR
+NDNSSRFGKFIRIHFGTTGKLASADIETYLLEKSRVTFQLKAERSYHIFYQIMSNKKPEL
+IEMLLITTNPYDYAYVSQGEITVPSIDDQEELMATDSAIEILGFTSDERVSIYKLTGAVM
+HYGNLKFKQKQREEQAEPDGTEVADKAAYLQGLNSADLLKALCYPRVKVGNEFVTKGQTV
+EQVYNAVGALAKAVYDKMFLWMVARINQQLDTKQPRQYFIGVLDIAGFEIFDFNSLEQLC
+INFTNEKLQQFFNHHMFVLEQEEYKKEGIEWEFIDFGMDLAACIELIEKPMGIFSILEEE
+CMFPKATDMSFKNKLYEQHLGKSNNFQKPKPAKGKAEAHFSLIHYAGTVDYNITGWLDKN
+KDPLNETVVGLYQKSSVKTLALLFSGPASGEAEGGPKKGGKKKGSSFQTVSALFRENLNK
+LMTNLRSTHPHFVRCIIPNETKTPGAMEHELVLHQLRCNGVLEGIRICRKGFPSRILYAD
+FKQRYKVLNASAIPEGQFIDSKKASEKLLASIDVDHTQYKFGHTKVFFKAGLLGLLEEMR
+DEKLAQLITRTQARCRGFLARVEYQKMVERRESIFCIQYNVRAFMNVKHWPWMKLYFKIK
+PLLKSAETEKEMANMKEEFEKTKEELAKSEAKRKELEEKMVTLTQEKNDLQLQVQSEADA
+LADAEERCDQLIKTKIQLEAKIKEVTERAEDEEEINAELTAKKRKLEDECSELKKDIDDL
+ELTLAKVEKEKHATENKVKNLTEEMAGLDETIAKLTKEKKALQEAHQQTLDDLQAEEDKV
+NTLTKAKTKLEQQVDDLEGSLEQEKKLRMDLERAKRKLEGDLKLAQESTMDIENDKQQLD
+EKLKKKEFEMSNLQSKIEDEQALAMQLQKKIKELQARIEELEEEIEAERASRAKAEKQRS
+DLSRELEEISERLEEAGGATSAQIEMNKKREAEFQKMRRDLEEATLQHEATAAALRKKHA
+DSVAELGEQIDNLQRVKQKLEKEKSEMKMEIDDLASNMETVSKAKGNLEKMCRALEDQLS
+ELKTKEDEQQRLINDLTTQRARLQTESGEFSRQLDEKDALVSQLSRGKQAFTQQIEELKR
+QLEEEIKAKSALAHALQSARHDCDLLREQYEEEQEGKAELQRAMSKANSEVAQWRTKYET
+DAIQRTEELEEAKKKLAQRLQDAEEHVEAVNAKCASLEKTKQRLQNEVEDLMIDVERTNA
+ACAALDKKQRNFDKILSEWKQKYEETHAELEASQKESRSLSTELFKIKNAYEESLDQLET
+LKRENKNLQQEISDLTEQIAEGGKRIHELEKVKKQVEQEKSEIQAALEEAEASLEHEEGK
+ILRIQLELNQVKSEIDRKIAEKDEEIDQLKRNHIRIVESMQSTLDAEIRSRNDAIRLKKK
+MEGDLNEMEIQLNHANRMAAEALKNYRSTQAILKDTQIHLDDALRGQEDLKEQLAMVERR
+ANLLQAEIEELRATLEQTERSRKIAEQELLDASERVQLLHTQNTSLINTKKKLETDITQI
+QGEMEDIIQEARNAEEKAKKAITDAAMMAEELKKEQDTSAHLERMKKNLEQTVKDLQHRL
+DEAEQLALKGGKKQIQKLEARVRELEGEVESEQKRNVEAVKGLRKHERRVKELTYQTEED
+RKNILRLQDLVDKLQAKVKSYKRQAEEAEEQSNVNLSKFRKLQHELEEAEERADIAESQV
+NKLRVKSREVHTKIISEE
+>sp|P07224|PROS_BOVIN Vitamin K-dependent protein S OS=Bos taurus OX=9913 GN=PROS1 PE=1 SV=1
+MRVLGGRTGTLLACLALVLPVLEANFLSRQHASQVLIRRRRANTLLEETKKGNLERECIE
+ELCNKEEAREIFENNPETEYFYPKYLGCLGSFRAGLFTAARLSTNAYPDLRSCVNAISDQ
+CNPLPCNEDGFMTCKDGQATFTCICKSGWQGEKCESDINECKDPVNINGGCSQICENTPG
+SYHCSCKNGFVMLSNKKDCKDVDECVLKPSICGTAVCKNIPGDFECECAEGYKYNPVSKS
+CDDVDECAENLCAQLCVNYPGGYSCYCDGKKGFKLAQDQKSCEAVPVCLPLDLDKNYELL
+YLAEQFVGVVLYLKFRLPETTRFSAEFDFRTYDSEGVILYAESSDHSAWFLIALREGKIE
+IQFKNEKTTKMTTGGKVINDGLWHMVSVEELEQSISVKIAKEAVMNINKPGSLFKPTNGF
+LETKVYFAGVPRKMENALIRPINPRLDGCIRGWNLMNQGTSGVKEIIQEKQNKHCLVNVE
+KGSYYPGTGVAQFSINYKNESNPEAWQINVSLNIRPSAGTGVMLALVSDNTVPFALSLVD
+SATEKLQDILVSVESMVIGRIEAISLCSDQQTFLEIRVNRNNLELSTQLRKDSFHSEDFQ
+RQFAILDEAMKGTVVTYLGGLPDVPFSATPVNAFYQGCMEVNINGVQVDLDEAISKHNDI
+RAHSCPSVWQKTKHT
+>sp|F6RRD7|RPAP2_BOVIN Putative RNA polymerase II subunit B1 CTD phosphatase RPAP2 OS=Bos taurus OX=9913 GN=RPAP2 PE=2 SV=1
+MADWVGPCSAGRKARRSRASRDVAGTKQTSALNQEDASQRKAELEAAVRKKIEFERKALH
+IVEQLLEENISEEFLRECGKFITPAHYSDVVDERSIIKLCGYPLCQNKLGIVPKQKYKIS
+TKTNKVYDITERKCFCSNFCYKASKFFEAQIPKSPVWIREEERHPDFQLLQDGQSGPSGE
+EIQLCSKAIKTSDIDSPGHFEKHYESSSSSSHSDSSSDNEQDFVSSILPGNRPNATRPQL
+HEKSIMKKKAGQKVNSQHESKEQTVVDVIEQLGNCRLDNQEKATACELPLQNVNTQISSN
+SSLQKKLEASEISDIKYSSSKVTLVGISKKSAEHFKRKFAKSNQVSGSASSSLQVCPEIA
+KANLLKALKETLIEWKTEETLRFLYGQNYASVCLKSSSTPLVKEEELDEDDMNSDPDSHS
+PALQELNSLDESLPFRASDTAIKPLPSYENLKKETETLNLRIREFYRGRYVLNEETTKSQ
+DSEEHDPTFPLIDSSSQNQIRKRIVLEKLNKVLPGLLGPLQITLGDIYTQLKNLVHTFRL
+TNRNIIHKPAEWTLIALVLLSILTSTLGIQKLSQENVMFTQFMTTLLEELHLKNEDLESL
+TIIFRTSC
+>sp|Q9N0E7|MOCOS_BOVIN Molybdenum cofactor sulfurase OS=Bos taurus OX=9913 GN=MOCOS PE=2 SV=3
+MAGGAAHPGAELLPFARFLDSSLQPLVYGYGRGTLHELRAREFGRLAGTVYLDHAGTTLF
+PQSQITSFMKDLMENVYGNPHSQNISSKLTHDTVEQVRFRILAHFHTSPEDYTVIFTSGS
+TAALKLVAEAFPWVSPGPEGSGSCFCYLTDSHTSVVGMRKITAAMNVSSIPVRPEDMWSA
+ERQDAAAAGDPAGQPPHLFCYPAQSNFSGTRYPLSWIGEVKSGRRRPASRPGKWFVLLDA
+AAFVGTSPLDLSVHQADFVPISFYKIFGFPTGLGALLVNNRLAALLRKTYFGGGTAAAYL
+AGDDFYVPRESVAERFEDGTISFLDVIALKHGFDALERLTGGMESIRQHTFTLAQYTYTA
+LSSLRYPNGAPVVQIYSDSDFSSPEVQGPVISFNVLDDHGNVVGYSQVDKMASLHNIHVR
+TGCFCNTGACQRHLGISDEMVKKHLQAGHVCGDDVDLIDGQPTGSVRISFGYMSTLEDAQ
+AFLRFIIATRLHSSHGQPLPLATPGEAGAPPEDSEAQNAVPAARARGSSSPQEDTSPHSG
+VWNNSPTAVDAEGLCPPLLEATGTQQTTSEKAADVPDGDLRSHVITNLFLYPIKSCAAFE
+VIRWPLGSQGLLYDRSWMVVNHNGICLSQKQEPRLCLIQPFIDLQRRIMVIKAQGMEPIE
+VPLEENSEQVQICQSKVCADRVNTYDCGEKISNWLSKFFGRPYHLIKQSSDFQRNAKKKH
+GKDQSAHTTATLSLVNEAQYLLINRSSILELQQQLSTSCENGKEELFPMNNLISRFRANI
+ITNGTRAFEEEKWDEISIGSLRFQVLGPCHRCQMICIDQQTGQRNQDVFQKLSERRERKV
+KFGVYLMHTSLDLSSPCYLSVGSQVLPLLKENMEHHDIPATE
+>sp|Q2TBX2|PFD3_BOVIN Prefoldin subunit 3 OS=Bos taurus OX=9913 GN=VBP1 PE=2 SV=1
+MAASKDGCGVGEVAAGNGRRLHLGIPEAVFVEDVDSFMKQPGNETADIVLKKLDEQYQKY
+KFMELNLAQKKRRLKGQIPEIKQTLEILKYKQKKKESTSSLETRFLLADNLYCKASVPPT
+DKVCLWLGANVMLEYDIDEAQALLEKNLLTATKNLDSLEEDLDFLRDQFTTTEVNMARVY
+NWDVKRRNKDDSTKNKA
+>sp|A2VDP2|PCMD1_BOVIN Protein-L-isoaspartate O-methyltransferase domain-containing protein 1 OS=Bos taurus OX=9913 GN=PCMTD1 PE=2 SV=1
+MGGAVSAGEDNDDLIDNLKEAQYIRTERVEQAFRAIDRGDYYLEGYRDNAYKDLAWKHGN
+IHLSAPCIYSEVMEALKLQPGLSFLNLGSGTGYLSTMVGLILGPFGINHGIELHSDVVEY
+AKEKLESFIKNSDSFDKFEFCEPAFVVGNCLQIASDSHQYDRIYCGAGVQKDHENYMKIL
+LKVGGILVMPIEDQLTQIMRTGQNTWESKNILAVSFAPLVQPSKNDNGKPDSVGLPPCAV
+RNLQDLARIYIRRTLRNFINDEMQAKGIPQRAPPKRKRKRVKQRINTYVFVGNQLIPQPL
+DSEEDEKMEEDKEEEEKEPGEALKPEEPPQNLLREKIMKLPLPESLKAYLTYFREK
+>sp|A0JNC2|R3HD2_BOVIN R3H domain-containing protein 2 OS=Bos taurus OX=9913 GN=R3HDM2 PE=2 SV=1
+MSNSNTTQETLEIMKESEKKLVEESVNKNKFISKTPSKEEIEKESEDTSLRQETQRRTSN
+HGHARKRAKSNSKLKLVRSLAVCEESSAPFVDGPLETQDIIQLHISCPSDKEEEKSTKDV
+SEKEDKDKNKEKVPRRMLSRDSSQEYTDSTGIDLHEFLVNTLKKNPRDRMMLLKLEQEIL
+DFINDNNNQFKKFPQMTSYHRMLLHRVAAYFGMDHNVDQTGKAVIINKTSNTRIPEQRFS
+EHIKDEKNTEFQQRFILKRDDASMDRDDNQIRVPLQDGRRSKSIEEREEEYQRVRERIFA
+RETGQNGYLNDIRGNREGLSRTSSSRQSSTDSELKSLEPRPWSSTDSDGSVRSMRPPVTK
+ASSFSGISILTRGDSIGSSKGGSAGRISRPGMALGAPEVCNQVTSSQSVRGLLPCTAQQQ
+QQQQQQLPALPPTPQQQPPLNNHMISQADDLSNPFGQMSLSRQGSTEAADPSSALFQPPL
+ISQHPQQTSFIMASTGQPLPTSNYSTSSHAPPTQQVLPPQGYMQPPQQIQVSYYSPGQYP
+NSNQQYRPLSHPVAYSPQRGQQLPQPSQQPGLQPMMPNQQQAAYQGMIGVQQPQNQGLLS
+NQRSGMGGQMQGLVVQYTPLPSYQVPVGNDSQNVVQPPFQQPMLVPASQSVQGALPAGGV
+PVYYSMIPPAQQNGTSPSVGFLQPPGSEQYQMPQSPSPCSPPQMPQQYSGVSPSGPGVVV
+MQLNVPNGPQPPQNPSMVQWSHCKYYSMDQRGQKPGDLYSPESSPQANTQMSSSPVTSPT
+QSPAPSPVTSLSNVCTGLSPLPVLTQFPRPGGPAQGDGRYSLLGQPLQYNLSICPPLLHG
+QSAYSVHQGQSGLKHGNRSKRQALKSASTDLGTTDVVLGRVLEVTDLPEGITRTEADKLF
+TQLAMSGAKIQWLKDAQGLPGGGGGDNGGTAENGRHSDLAALYTIVAVFPSPLAAQNASL
+RLNNSVSRFKLRVAKKNYDLRILERASSQ
+>sp|Q3SZK8|NHRF1_BOVIN Na(+)/H(+) exchange regulatory cofactor NHE-RF1 OS=Bos taurus OX=9913 GN=SLC9A3R1 PE=2 SV=1
+MSADAGAGAPLPRLCCLEKGPNGYGFHLHGEKGKVGQYIRLVEPGSPAEKSGLLAGDRLV
+EVNGENVEKETHQQVVNRIRAALNSVRLLVVDPETDERLQKLGVQVREEMLRAQEGPGQA
+EPPAAAAEERGAGGENEPPAAAPEPREAEQSPQERRELRPRLCAMKKGPNGYGFNLHSDK
+SKPGQFIRAVDPDSPAEASGLRAQDRIVEVNGVCVEGKPHGEVVSAIKAGGDEAKLLVVD
+RETDEFFKKCKVIPSQEHLQGPLPEPITNGEIEKENSPEALAETASESPMPPLARTASSD
+TSEELNSQDSPKKQDSTAPSSTSSSSSDPVLDFSISLAVAKERAHQKRVSKRAPQMDWSK
+KNELFSNL
+>sp|Q32LB9|NOA1_BOVIN Nitric oxide-associated protein 1 OS=Bos taurus OX=9913 GN=NOA1 PE=2 SV=1
+MLPAPLARRLLCGFLRGPTPIVTRNGVRETLLERRCATDYSSRHSAGLGRGLPRGATATG
+GNPGGADLEESFLFPEYVLDSESEATLQDQLRELQQRQEEEERRIEQQREERRQQKLGAG
+RRVHQVVGHPDPTVPPSGQNCSGCGAELHCQDPGVPGYLPSEKFNSAVAEEGGLERTVCQ
+RCWLLVHHRRALRLQVSQGQFLELVSAALRRPEPALVLYMVDLLDLPDTLLPHLPALVGP
+KQLIVLGNKVDLLPQDTPNYRQRLRERLWDECIGAGLLPPRGYRRAQRSSGDRPRGGEDN
+ANLPSKSSTVLRDVRLISAKTGYGVEELISALQRSWRYRGDVYLVGSTNAGKSTLFNTLL
+ESDYCIAKGAEAIDRATISPWPGTTLNLLKFPICNPTPYRMFQRQKRLKRDATEAEEDLS
+QQEQNKLNLLKKHGYLVGRVGRTFSYSEAQKDKAAFEFDADSFAFDMGNEPVLAADKSTK
+RVELTPEDVKDAHWFYDTPGITKENCILSLLTEKEVNIVLPTHSIIPRTFMLKPGMVLFL
+GALGRIDFLKGNQSAWFTVVASNFLPVHITSLDKADTMYQKHAGHTLLKVPVGGEERMAG
+FPPLVAEDITLDEGLGESEAVADIKLSSAGWVAVTPQFKDTLTLRGYTPQGTVLTVRRPL
+LPHIVNIKGKRIKGSVAYKTKRPPALMYNLQKKR
+>sp|Q2HJ54|PIPNA_BOVIN Phosphatidylinositol transfer protein alpha isoform OS=Bos taurus OX=9913 GN=PITPNA PE=1 SV=3
+MVLLKEYRVILPVSVEEYQVGQLYSVAEASKNETGGGEGVEVLVNEPYEKDGEKGQYTHK
+IYHLQSKVPTFVRMLAPEGALNIHEKAWNAYPYCRTVITNEYMKEDFLIKIETWHKPDLG
+TLENVHKLEPEAWKHVEVIYIDIADRSQVLSKDYKAEEDPAKYKSIKTGRGPLGPNWKQE
+LVNQKDCPYMCAYKLVTVKFKWWGLQNKVENFIHKQERRLFTNFHRQLFCWLDKWVDLTM
+DDIRRMEDETKRQLDEMRQKDPVKGMTADD
+>sp|Q58CZ2|PCMD2_BOVIN Protein-L-isoaspartate O-methyltransferase domain-containing protein 2 OS=Bos taurus OX=9913 GN=PCMTD2 PE=2 SV=1
+MGGAVSAGEDNDELIDNLKEAQYIRSELVEQAFRAIDRADYYLEEFKENAYKDLAWKHGN
+IHLSAPCIYSEVMEALDLQPGLSFLNLGSGTGYLSSMVGLILGPFGVNHGVELHSDVTEY
+AKQKLDFFIRTSDSFDKFDFCEPSFVTGNCLEISPDCSQYDRVYCGAGVQKEHEEYMKSL
+LKVGGILVMPLEEKLTKITRTGPSAWETKKILAVSFAPLIQPCQSESGKSRLVQLPPLAV
+RSLQDLARIAIRGTIKKVIHQEAVNKNGNGLKNTPRFKRRRVRRRRLETIVFLDKEVFAS
+RISNPSDDNSSGDLEEERREEEATTPPDAKPEPPVNFLREKVLSLPLPDPLKYYLLYYRE
+K
+>sp|Q0VCR4|PPR3C_BOVIN Protein phosphatase 1 regulatory subunit 3C OS=Bos taurus OX=9913 GN=PPP1R3C PE=2 SV=1
+MSCTRMIQVLDPRPLTSSVMPVDVAVRLCLAHSPPLKSFLSPYDDFQRRNFVNKLKPLKS
+CLNIKQEARAQDDWKPSHNQAKKRVVFADSKGLSLTAIHVFSDLPEEPVWDLQFDLLDLN
+DISSGLKLHEEKNLILDFPQPSADYLSFRNHFQKNSVCLENCSLQERTVTGTVKVKNMSF
+EKKVQIRITFDSWQSYNDVDCAYMKNVYGGSESDTFSFAIDLPSVIPTKEKIEFCVAYHA
+NGQVFWDNNEGQNYRIVHVQWKPDGVQTQMAAQDCAFHQAPPPKAELESTVFGSPRLASG
+LFPEWQSWGRMENLASYR
+>sp|P19632|PHOS_BOVIN Phosducin OS=Bos taurus OX=9913 GN=PDC PE=1 SV=2
+MEKAKSQSLEEDFEGQASHTGPKGVINDWRKFKLESEDSDSVAHSKKEILRQMSSPQSRD
+DKDSKERFSRKMSVQEYELIHKDKEDENCLRKYRRQCMQDMHQKLSFGPRYGFVYELESG
+EQFLETIEKEQKITTIVVHIYEDGIKGCDALNSSLICLAAEYPMVKFCKIKASNTGAGDR
+FSSDVLPTLLVYKGGELLSNFISVTEQLAEEFFTGDVESFLNEYGLLPEKEMHVLEQTNM
+EEDME
+>sp|Q0II25|POP7_BOVIN Ribonuclease P protein subunit p20 OS=Bos taurus OX=9913 GN=POP7 PE=2 SV=1
+MAENRESRGTVEAELDPVEYTLRKRLPHRLPRRPNDIYVNMKTDFKAQLARCQKLLDGGA
+RGQNACSEIYIHGLGLAINRAINIALQLQAGSFGSLQVAANTSTVELVDELEPETDSREP
+LTRIRNNSAIHIRVFRVTPK
+>sp|Q5E996|NOP16_BOVIN Nucleolar protein 16 OS=Bos taurus OX=9913 GN=NOP16 PE=2 SV=1
+MPKAKGKTRRQKFGYNVNRKRLNRNARRKAAPRIECSHIRHAWDQTKSVRQNLAEMGLAM
+DPNRAVPLLKRKVKAMEVDVEERPKELVRKPYVLNDLEAEASLPEKKGNTLSRDLIDYVR
+YMVENHGENYKAMARDEKNYYQDTPKQIRNKINVYKRFYPAEWQAFTDSLQKNKMEVE
+>sp|Q58CW0|NUD11_BOVIN Diphosphoinositol polyphosphate phosphohydrolase 3-beta OS=Bos taurus OX=9913 GN=NUDT11 PE=2 SV=2
+MKCKPNQTRTYDPEGFKKRAACLCFRSEREDEVLLVSSSRYPDRWIVPGGGMEPEEEPGG
+AAVREVFEEAGVKGKLGRLLGNFEQNQDRKHRTYVYVLTVTEILEDWEDSVSIGRKREWF
+KVEDAIKVLQCHKPVHAEYLQKLKLGGSPTNGNSVAPSPPEGDP
+>sp|P80311|PPIB_BOVIN Peptidyl-prolyl cis-trans isomerase B OS=Bos taurus OX=9913 GN=PPIB PE=1 SV=4
+MLRLSERNMKILFVAALVVGSVFFLLLPGPSAADEKKKGPKVTVKVYFDLRIGDEDIGRV
+VIGLFGKTVPKTVDNFVALATGEKGFGYKDSKFHRVIKDFMIQGGDFTRGDGTGGKSIYG
+ERFPDENFKLKHYGPGWVSMANAGKDTNGSQFFITTVKTAWLDGKHVVFGKVLEGMDVVR
+KVESTKTDGRDKPLKDVTIADCGKIEVEKPFAIAKE
+>sp|Q9GLR1|NEC1_BOVIN Neuroendocrine convertase 1 OS=Bos taurus OX=9913 GN=PCSK1 PE=2 SV=1
+MGRRAWTLQCTAFSLFCAWCAMNSVKAKKQFVNEWAAEIPGGPEAASAIAQELGYDLLGQ
+IGSLENHYLFKHRNHPRRSRRSALHITKRLSDDDRVIWAEQQYEKERSKRSVLRDSALDL
+FNDPMWNQQWYLQDTRMTATLPKLDLHVIPVWQKGITGKGVVITVLDDGLEWNHTDIYAN
+YDPEASYDFNDNDHDPFPRYDLINENKHGTRCAGEIAMQANNHKCGVGVAYNSKVGGIRM
+LDGIVTDAIEASLIGFNPGHVDIYSASWGPNDDGKTVEGPGRLAQKAFEYGVKQGRQGKG
+SIFVWASGNGGRQGDNCDCDGYTDSIYTISINSASQQGLSPWYAEKCSSTLATSYSSGDY
+TDQRITSADLHNDCTETHTGTSASAPLAAGIFALALEANPNLTWRDMQHLVVWTSEYDPL
+ANNPGWKKNGAGLMVNSRFGFGLLNAKALVDLADPSTWSSVPEKKECVVKDNDFEPRALK
+ANGEVIIEIPTRACEGQENAIKSLEHVQFEATIEYSRRGDLHVTLTSAAGTSTVLLAERE
+RDTSPNGFKNWDFMSVHTWGENPIGTWTLRIADMSGRIQNEGRIVTWKLILHGTSSQPEH
+MKQPRVYTSYNTVQNDRRGVEKVVDSGEEQPTQEGLDENAQASQSPSGSGVGGRRDELAE
+GAPSEAMLRLLQSAFSKNSPSKQSPKKPPSAKPNIPYENFYEALERLNKPSQLKDSEDSL
+YNDYVDGFYNTKPYKHRDDRLLQALVDLLREEN
+>sp|Q3T0Y5|PSA2_BOVIN Proteasome subunit alpha type-2 OS=Bos taurus OX=9913 GN=PSMA2 PE=1 SV=3
+MAERGYSFSLTTFSPSGKLVQIEYALAAVAGGAPSVGIKAANGVVLATEKKQKSILYDER
+SVHKVEPITKHIGLVYSGMGPDYRVLVHRARKLAQQYYLVYQEPIPTAQLVQRVASVMQE
+YTQSGGVRPFGVSLLICGWNEGRPYLFQSDPSGAYFAWKATAMGKNYVNGKTFLEKRYNE
+DLELEDAIHTAILTLKESFEGQMTEDNIEVGICNEAGFRRLTPTEVKDYLAAIA
+>sp|Q5NUA6|NF2L2_BOVIN Nuclear factor erythroid 2-related factor 2 OS=Bos taurus OX=9913 GN=NFE2L2 PE=2 SV=2
+MMDLELPPPGLPSQQDMDLIDILWRQDIDLGVSREVFDFSQRQKEHELEKQKKLEKERQE
+QLQKEQEKAFFAQLQLDEETGEFLPIQPAQHIPSETSGSANYSQVAPIPKADDLYFDDCM
+QLLAETFPFVDDNEVSSATFQSLVPDIPSHIESPVFTAPPQAQSPETLIVQVATAVLDDM
+QDIEQVWEELLSIPELQCLNIQNDKLAETSTVPSPETKLTEIDNYHFYSSMPSLDKEVGN
+CSPHFLNAFEDSFNSILSTEDSSQLTVNSLNSSATVNTDFGDEFYSAFIAEPSTSNGMPS
+SATLSQSLSELLNGPIDLSDLSLCKAFNQNHPESTTAEFNDSDSGISLNTTSPSMASPDH
+SVESSIYGDTLLGFSDSEMEEIDSTPGNVKQKGPKTPSVWPPGDPVQPLSSSQGNSAAAR
+DSQCENAPKKEVPVSPGHRKTPFTKDKHSSRLEAHLTRDELRAKALHIPFPVEKIINLPV
+EDFNEMMSKEQFNEAQLALIRDIRRRGKNKVAAQNCRKRKLENIVELEQDLDHLKDEKEK
+LLKERGENDKSLHLLKKQLSTLYLEVFSMLRDENGKPYSPSEYSLQQTSDGNVFLVPKSK
+KPDTKKN
+>sp|Q3T033|MSPD3_BOVIN Motile sperm domain-containing protein 3 OS=Bos taurus OX=9913 GN=MOSPD3 PE=2 SV=1
+MRRGAPQDQELVGPGAPGRGSRGAPPPSGPVVPVLVFPPDLVFRADQRSGPRQLLTLYNP
+TGAVLRFRVLCTAPAKYTVFDAEGYVKPQSCIDIVIRHVAPHPRNYDVQDRFRIELSEEG
+TEGRVVGRKDITSVLRAPAYPLELQGQSDPTPHPEPHSWTASSTAQPFPENPHPQLATSS
+FLLFLLMGTVSVAFLLLPLQDELGSQLPQILHVSLGQKLVAAYVLGLLTMVFLRT
+>sp|Q5E9M6|NXPH1_BOVIN Neurexophilin-1 OS=Bos taurus OX=9913 GN=NXPH1 PE=2 SV=1
+MQAACWYVLLLLQPTVYLVTCANLTNGGKSELLKSGGSKSTLKHIWTESSKDLSISRLLS
+QTFRGKENDTDLDLRYDTPEPYSEQDLWDWLRNSTDLQEPRPRAKRRPIVKTGKFKKMFG
+WGDFHSNIKTVKLNLLITGKIVDHGNGTFSVYFRHNSTGQGNVSVSLVPPTKIVEFDLAQ
+QTVIDAKDSKSFNCRIEYEKVDKATKNTLCNYDPSKTCYQEQTQSHVSWLCSKPFKVICI
+YISFYSTDYKLVQKVCPDYNYHSDTPYFPSG
+>sp|P79106|PAFA2_BOVIN Platelet-activating factor acetylhydrolase 2, cytoplasmic OS=Bos taurus OX=9913 GN=PAFAH2 PE=1 SV=1
+MGVNQSVSFPPVTGPHLVGCGDVMEGQSLQGSFFRLFYPCQEAEETSEQPLWIPRYEYCA
+GLAEYLKFNKRWGGLLFNLGVGSCRLPVSWNGPFKTKDSGYPLIIFSHGMGAFRTVYSAF
+CMELASRGFVVAVPEHRDGSAAATCFCKQTPEENQPDNEALKEEWIPHRQIEEGEKEFYV
+RNYQVHQRVSECVRVLKILQEVTAGQAVLNILPGGLDLMTLKGGIDVSRVAVMGHSFGGA
+TAILALAKEMQFRCAVALDAWMFPLEHDFYPTARGPIFFINAEKFQTVETVNLMKKICDQ
+HHQSRIITVLGSVHRSLTDFVFVAGNWISKFFSSHTRGSLDPYEGQETVVRAMLAFLQKH
+LDLKEDYDQWNNFIEGIGPSLTPGAPHHLSSL
+>sp|Q32LI2|PRS58_BOVIN Probable inactive serine protease 58 OS=Bos taurus OX=9913 GN=PRSS58 PE=2 SV=1
+MNLILLWALLNLPVALTFDPNYKDDITPPYLIYLKSDYLPCVGVLIHPLWVITAANCNLP
+RLQLILGVTKPSNIDEEKYVQVVGYEKMIHHPQFSITSIEHNLMLIKLQTHIELNNYVKI
+VSLPKEPAAEDDTCIVSTWAYNLCDHYKDPDSLQNVNISVISKVECLKAYKYMHIRDSMM
+CVGIVPGRRQPCKEVTAAPAVCNGILQGILTFADGCVLRADVGIYTRIINYIPWIENTIQ
+NN
+>sp|Q3SX30|PSMF1_BOVIN Proteasome inhibitor PI31 subunit OS=Bos taurus OX=9913 GN=PSMF1 PE=2 SV=2
+MAGLEVLFASAAPAITCAQDALVCFLHWEVVTHGYYGLGAGDQPGPNDKKSELLPVEWNS
+NKDLYVLRYESKDGSRKLLVKAVTVENSMIINVLEHGSQQVSDLTLNLNDYIDSEHLVDF
+HRVYKNSEELRSRIVSGIITPIHEQWEKANLSPHREFPPATAREVDPLRIHPQHPHTSRQ
+PTWCDPLGPFAVGGEDLDPFGCRRGGMIVDPLRSGFPRALIDPSSGLPNRLPPGAVPPGA
+RFDPFGPIGTSPSGPNPDHLPPPGYDDMYL
+>sp|Q28017|PAFA_BOVIN Platelet-activating factor acetylhydrolase OS=Bos taurus OX=9913 GN=PLA2G7 PE=2 SV=1
+MLPSKLHALFCLCTCLALVYPFDWQDLNPVAYIESPAWVSKIQALMAAANIGQSKIPRGN
+GSYSVGCTDLMFDYTNKGTFLRLYYPSQDDDHSDTLWIPNKEYFLGLSKFLGTHWLVGKI
+MGLFFGSMTTPAAWNAHLRTGEKYPLIIFSHGLGAFRTIYSAIGIDLASHGFIVAAVEHR
+DGSASSTYYFKDQSAVEIGNKSWLYLRTLKRGEEEFPLRNEQLRQRAKECSQALSLILDI
+DHGRPVTNVLDLEFDVEQLKDSIDRDKIAIIGHSFGGATVIQTLSEDQRFRCGIALDAWM
+FPVGDEVYSRIPQPLFFINSERFQYPSNIIRMKKCFLPDRERKMITIRGSVHQNFVDFTF
+ATSKIIGYLFTLKGDIDSNVAISLSNKASLAFLQKHLGLQKDFDQWDSLVEGEDHNLIPG
+TNINTTNHQAILQNSTGIERPNLD
+>sp|P14100|PDE1A_BOVIN Calcium/calmodulin-dependent 3',5'-cyclic nucleotide phosphodiesterase 1A OS=Bos taurus OX=9913 GN=PDE1A PE=1 SV=3
+MGSTATETEELENTTFKYLIGEQTEKMWQRLKGILRCLVKQLEKGDVNVIDLKKNIEYAA
+SVLEAVYIDETRRLLDTDDELSDIQSDSVPSEVRDWLASTFTRKMGMMKKKSEEKPRFRS
+IVHVVQAGIFVERMYRKSYHMVGLAYPEAVIVTLKDVDKWSFDVFALNEASGEHSLKFMI
+YELFTRYDLINRFKIPVSCLIAFAEALEVGYSKYKNPYHNLIHAADVTQTVHYIMLHTGI
+MHWLTELEILAMVFAAAIHDYEHTGTTNNFHIQTRSDVAILYNDRSVLENHHVSAAYRLM
+QEEEMNVLINLSKDDWRDLRNLVIEMVLSTDMSGHFQQIKNIRNSLQQPEGLDKAKTMSL
+ILHAADISHPAKSWKLHHRWTMALMEEFFLQGDKEAELGLPFSPLCDRKSTMVAQSQIGF
+IDFIVEPTFSLLTDSTEKIIIPLIEEDSKTKTPSYGASRRSNMKGTTNDGTYSPDYSLAS
+VDLKSFKNSLVDIIQQNKERWKELAAQGEPDPHKNSDLVNAEEKHAETHS
+>sp|Q5E9B6|NR1H3_BOVIN Oxysterols receptor LXR-alpha OS=Bos taurus OX=9913 GN=NR1H3 PE=2 SV=1
+MSLWLEAPVPDVSPDSAVELWEPDAQDASSQPLGSSKCILREESSTPQSAGDTSRMGLEA
+PEPTALLPGVEAPPESTELRPQKRKKGPAPKMLGNELCSVCGDKASGFHYNVLSCEGCKG
+FFRRSVIKGARYVCHSGGHCPMDTYMRRKCQECRLRKCRQAGMREECVLSEEQIRLKKMK
+RQEEEQAQATSAPPRASSPPQVLPQLSPEQLGMIEKLVAAQQLCNRRSFSDQLRVTPWPM
+APDPQSREARQQRFAHFTELAIVSVQEIVDFAKQLPGFLQLSREDQIALLKTSAIEVMLL
+ETSRRYNPGSESITFLKDFSYNREDFAKAGLQVEFINPIFEFSRAMNELQLNDAEFALLI
+AISIFSADRPNVQDQLQVERLQHTYVEALHAYVSIHHPHDRLMFPRMLMKLVSLRTLSSV
+HSEQVFALRLQDKKLPPLLSEIWDVHE
+>sp|Q3ZC98|NUP85_BOVIN Nuclear pore complex protein Nup85 OS=Bos taurus OX=9913 GN=NUP85 PE=2 SV=1
+MEEFDGEPTVTWIPGVNSQKKQMCFDWGPGEMLVCETSFNKKEKSEMVAGCPFIHIIRKD
+IDVYSKILRKLFNESHGIFVGLQRIEEELTGKSRKAQLVRVSKNYRSVIRACMEEMHQFA
+VADKDSAIGRQFSSQVSILSAVELIWNLCEILFIEVAPAGPLLLYLLDWVRLHVCEVDSL
+SADVLGSENPSKHESFWNLVTTLVLQGRLDEARQMLSKEADSNPTSAGMCRVLGDLMRTM
+PILSPGNTQTLTELELRWQHWHEECERHLQDGTFASNPHLESLCKVLLGDDAALLEHKEL
+LSNWYHFLVTRLLYSQPTVKPMDLHLYAQSSLDLFLGGESSPEPLDNILMAAFEFDIHQV
+IKECSIALSNWWFVAHLTDLLDHCKLLQSHNLYFGSNMREFLLLEYASGLFAHHSLWQLG
+VDYCDHCPELGRVSLELHIERIPLTTEQKALKVLRVCEQRQMTEQVRSICKVLAMKAVRN
+NRLGSALSWSIRAKDAAFATLVSDRFLRDYCERGCFSDLDLIDNLGPAMMLSDRLTFLGK
+YREFHRLYGEKCFVDAASLLLSLMTSQIAPRSFWMTLLTDALPLLEQKQVIFSAEQTYEL
+LRCLEDLTSGRPLCGEPDAQQLQDDDIETTKVEILRLALARNLARSIIKEGSLEGS
+>sp|Q32KP9|NTF2_BOVIN Nuclear transport factor 2 OS=Bos taurus OX=9913 GN=NUTF2 PE=2 SV=1
+MGDKPIWEQIGSSFIQHYYQLFDNDRTQLGAIYIDASCLTWEGQQFQGKAAIVEKLSSLP
+FQKIQHSITAQDHQPTPDSCIISMVVGQLKADEDPIMGFHQMFLLKNINDAWVCTNDMFR
+LALHNFG
+>sp|Q3T0X5|PSA1_BOVIN Proteasome subunit alpha type-1 OS=Bos taurus OX=9913 GN=PSMA1 PE=1 SV=1
+MFRNQYDNDVTVWSPQGRIHQIEYAMEAVKQGSATVGLKSKTHAVLVALKRAQSELAAHQ
+KKILHVDNHIGISIAGLTADARLLCNFMRQECLDSRFVFDRPLPVSRLVSLIGSKTQIPT
+QRYGRRPYGVGLLIAGYDDMGPHIFQTCPSANYFDCRAMSIGARSQSARTYLERHMSEFM
+ECNLNELVKHGLRALRETLPAEQDLTTKNVSIGIVGKDLEFTIYDDDDVSPFLEGLEERP
+QRKAQPTQPADEPAEKADEPMEH
+>sp|Q2TBS1|MTX1_BOVIN Metaxin-1 OS=Bos taurus OX=9913 GN=MTX1 PE=2 SV=1
+MAAPMELFCWSGGWGLPSVDLDSLAVLTYARFTGAPLKVHKITNPWRSPSGTLPALRTSH
+GEVISVPHRIITHLRKEKYNADYDLSARQGADTLAFMSLLEEKLLPVLKHTFWIDAKNYV
+EVTRKWYAEAMPFPLNFFLPGRMQRQYMERLQLLCGEHRPEDEEELEKELYQEAQECLTL
+LSQRLGSQKFFFGDAPASLDAFVFSYLALLQQAKLPSGKLQAHLRGLHNLCAYCAHILSL
+YFPWEGAKAPPPRQTPANPETEEEPYRRRNQILTVLAGLAAMAGYALLSGIVSIQRAPSA
+RAPGTQALGMAEEDEEE
+>sp|Q3MHH7|PALMD_BOVIN Palmdelphin OS=Bos taurus OX=9913 GN=PALMD PE=2 SV=1
+MEEAELVKERLQAITDKRKIQEEISQKRLKIEEEKLKHQHLKKKALREKWLLDGISSGKE
+QEEMKKQNQQDQHQIQVLEQSILRLEKEIQDLEKAELQISTNEEAILKKLKSVERTTEDI
+IRSVKVEKEETSGESVEDIYANIPDLPKSYIPSRLRKERNEGIEDDEQNRKALYAMEIKV
+EKDLKTGESTVLSSIPLPSDDFKGTGIKVYDDGQKSVYAVSSNHSAAYNGTDGLAPVEVE
+ELLRQASERNSKSPTEYHEPVYANPFCRPTTPQREKVTPGPNFQERVKIKANGLGNDVNG
+SIHNIDNGLAEERGNNVNHISPARPIPHPRSMIQQAEEMPHIQQERLMTPWEEPNVMQDK
+YTPSPKSGLSPSRALVEKSERQSSSPPCQEDEKDIRYNIVHSLPSDLDEKEPVTMIFMGY
+QQAEDNEEEKKLLTGYDGIIHAELVVIDDEEEGEGEAEKPAYHPIAPHSQVFQPAKPTPL
+PRKRAEVNPHENTNHKSPHKNSISLKEQEESLGSPIHHSPLDVQIAGDGTEDPSLTALRM
+RMAKLGKKVI
+>sp|Q5E999|DUS11_BOVIN RNA/RNP complex-1-interacting phosphatase OS=Bos taurus OX=9913 GN=DUSP11 PE=2 SV=1
+MSQWHHVGGHWGQDRVFSGYSSAKKKGGNHIPERWKDYLPVGQRMPGTRFIAFKVPLKKS
+FEKHLAPEECFSPLDLFNKIQEQNEELGLIIDLTYTRRYYKPEELPENFPYLKIYTVGHQ
+VPDDDTIFKFKNAVNGFLRENKDNDRLIGVHCTHGVNRTGYLICRYLIDVEGMRPDDAIE
+LFSRCRGHCLERQNYIDDLRNGPIRKNWDSSVSRTRGFEDSTHMMEPVFTATKPVNRRPK
+HNIHQTQGYPEPRHFHTWTQDLQQSERKFSQNWNIYQRCHVPPPGPPGEDYFQRRYSWNV
+KPSAGQGGPNKRFSPGSYYRVPYSAYYRWTK
+>sp|Q58CX7|DIK2B_BOVIN Divergent protein kinase domain 2B OS=Bos taurus OX=9913 GN=DIPK2B PE=2 SV=1
+MEPRLGPKAAALHLGWPFLLLWVSGLSYSVSSPASPSPSPVSRVRTSYNLGKTFLGLDKC
+NACIGTSICKKFFKEEIRFDNGLALHLGPPPDDLPSYSANYSDDFKTWRPVEISRLVSKQ
+QNKISDGRICASAAAPKTCSIERVLRKTGRFQKWLQAKRLTPDLVRGLSSPLLRCPSQRL
+LDRVVRRYAEVADAGSIFMDHFTDRDKLRLLYTLAVNTHPVLLQIFPGAEGWPLPQYLGS
+CGRFLVSTSTSPLQEFYGAPPDQAADLAYQLLGVLESLRSNDLNYFFYFTHVDADMFGIF
+NNGHLFIRDASALGVIDRQEGSQAASGAGDNKDIFSCLVSGCQTKLPSCDTIPEKQNLVL
+VCSQVLPLLLQAKFPSPVQEEIDAELTRCADGTRPDPEVLGAASRLKDILRPLRTCDPRF
+AYRYPDCKYDDKF
+>sp|Q6YFP9|CX6B2_BOVIN Cytochrome c oxidase subunit 6B2 OS=Bos taurus OX=9913 GN=COX6B2 PE=2 SV=1
+MLGAECPKPCKGKWPTPPFDPRFPNQNQTRNCYQNFLDYHRCIKTMNRRGKSTQPCEYYF
+RVYHSLCPISWVQRWKEQIKDGTFAGKI
+>sp|Q3T0X9|DPOD4_BOVIN DNA polymerase delta subunit 4 OS=Bos taurus OX=9913 GN=POLD4 PE=1 SV=1
+MGRKRLITDSYPVVKRREGSAGHSKGELAPDLGEEPLPLSVDEEELELLRQFDLAWQYGP
+CTGITRLQRWHRAEQMGLKPPPEVHQVLQSHPGDPRFQCSLWHFYPL
+>sp|Q3ZBI6|FHL3_BOVIN Four and a half LIM domains protein 3 OS=Bos taurus OX=9913 GN=FHL3 PE=2 SV=1
+MSEAFDCAKCSESLYGRKYIQTDDGPYCVPCYDNTFANTCAECQQLIGHDSRELFYEDRH
+FHEGCFRCCRCQRSLADEPFTCQDSELLCNDCYCSAFSSQCSACGETVMPGSRKLEYGGQ
+TWHEHCFLCSGCEQPLGSRSFVPDKGAHYCVPCYENKFAPRCARCSKTLTQGGVTYRDQP
+WHRECLVCTGCQTPLAGQQFTSREDDPYCVTCFGELFAPKCSSCKRPITGLGGGKYVSFE
+DRHWHHSCFSCARCSTSLVGQGFVPDGDQVLCQGCSQAGP
+>sp|Q0VCR0|ETFR1_BOVIN Electron transfer flavoprotein regulatory factor 1 OS=Bos taurus OX=9913 GN=ETFRF1 PE=3 SV=1
+MANSLRGEVLNLYKNLLYLGRDYPKGADYFKRRLKNVFLKNKDVKDPEKIKELIERGKFV
+MKELEALYFLRKYRAMKQRYYSDTNKTK
+>sp|P01217|GLHA_BOVIN Glycoprotein hormones alpha chain OS=Bos taurus OX=9913 GN=CGA PE=1 SV=1
+MDYYRKYAAVILAILSLFLQILHSFPDGEFTMQGCPECKLKENKYFSKPDAPIYQCMGCC
+FSRAYPTPARSKKTMLVPKNITSEATCCVAKAFTKATVMGNVRVENHTECHCSTCYYHKS
+>sp|Q3ZCG8|EMC6_BOVIN ER membrane protein complex subunit 6 OS=Bos taurus OX=9913 GN=EMC6 PE=3 SV=1
+MAAVVAKREGPPFISEAAVRGNAAVLDYCRTSVSALSGATAGILGLTGLYGFIFYLLASI
+LLSLLLILKARRRWNKYFKSRRPLFTGGLIGGLFTYVLFWTFLYGMVHVY
+>sp|Q2HJA5|DTBP1_BOVIN Dysbindin OS=Bos taurus OX=9913 GN=DTNBP1 PE=2 SV=1
+MLETLRERLLSVQQDFTSGLKTLSDKSREAKAKNKPRTVPCLPKYSAGLELLSRYEDTWA
+ALHRRAKECASAGERVDSEVVMLSAHWEKKQASLRDLQQQLQQLPGLIADLESLTASLTH
+LEASFEEVENHLLNLEDLCGQCELERHKHMQSQQLENYKKNKRKELETFKAELDAEHSQK
+VLEMEQTQQLKLKERQKFFEEAFQQDMEQYLSTGYLQIAERREPMGSMSSMEVNVDMLEQ
+MDLMDISDQEALDVFLNSGGEENTLLSPISGPEASPGQDAVTLQVPTPSQAPATPPSSSS
+PGTDPASRDPSEGGESPVVQSDEEGVEVDTALATLHSDDSDS
+>sp|Q148C8|MIEN1_BOVIN Migration and invasion enhancer 1 OS=Bos taurus OX=9913 GN=MIEN1 PE=3 SV=1
+MSGDTGTTSVAPPPGETEPGHGVRIVVEYCEPCGFEATYLELASAVKEQYPGIEIESRLG
+GTGAFEIEINGQLVFSKLENGGFPYEKDLIEAIRRASNGEPLEKITNSRPPCVIL
+>sp|Q2KHU5|MACD1_BOVIN ADP-ribose glycohydrolase MACROD1 OS=Bos taurus OX=9913 GN=MACROD1 PE=2 SV=1
+MFLQSRVSRLLAQLRAAGQLLGAPRPWPGPSPGATRTRSSACGPPASLSAHHPRARTSAG
+VGAWGAAAVGRRAGVRTWAPLAMAAKVDLSTSTDWKEAKSFLKGLSDKQREEHYFCRDFV
+RLKKIPTWKETAKGVTVKVEEPKYKKDKQLNEKISLFRGDITKLEVDAIVNAANSSLLGG
+GGVDGCIHRAAGPLLTDECRTLQNCETGKAKITCGYRLPAKYVIHTVGPIAHGEPSASQA
+AELRSCYLSSLDLLLEHRLRSAAFPCISTGVFGYPNEAAAEVVLTALREWLEQHKDKVDR
+LIICVFLEKDENIYRERLPHYFPVA
+>sp|Q2YDM3|M4A13_BOVIN Membrane-spanning 4-domains subfamily A member 13 OS=Bos taurus OX=9913 GN=MS4A13 PE=2 SV=1
+MTGIFCIFMWYLLLILYMGQIKGVFGTYEPITYKTGCSLWGIFFIISGISIIRATWYPSQ
+RQLTCAMLENILCMILAIISMILTIVELSTFKSVSYRNYGQAKLGRQISRVLLSFYPLEV
+SMALTYSIFGCVGLCRKKEDARTADTEEVEDAF
+>sp|P29105|HPCL1_BOVIN Hippocalcin-like protein 1 OS=Bos taurus OX=9913 GN=HPCAL1 PE=1 SV=4
+MGKQNSKLRPEVLQDLRENTEFTDHELQEWYKGFLKDCPTGHLTVDEFKKIYANFFPYGD
+ASKFAEHVFRTFDTNGDGTIDFREFIIALSVTSRGKLEQKLKWAFSMYDLDGNGYISRSE
+MLEIVQAIYKMVSSVMKMPEDESTPEKRTDKIFRQMDTNNDGKLSLEEFIKGAKSDPSIV
+RLLQCDPSSASQF
+>sp|Q0II70|MCRI2_BOVIN MAPK regulated corepressor interacting protein 2 OS=Bos taurus OX=9913 GN=MCRIP2 PE=2 SV=1
+MYTITKGPSKLVAQRRTGPTQQQVESRLGELLKCRHSAPTPQHPRAQPPGPWPLSSPGPR
+LVFNRVNGRRPPATSPSLEGTQEPYTLAHEENVRFVSEAWQQVEQQLGGGPAGESGPRPV
+QYVERTPNPRLQNFVPIDLDEWWAQQFLARITSCS
+>sp|Q3SX13|IPKA_BOVIN cAMP-dependent protein kinase inhibitor alpha OS=Bos taurus OX=9913 GN=PKIA PE=1 SV=3
+MTDVETTYADFIASGRTGRRNAIHDILVSSASGNSNELALKLAGLDINKTEGEEDAQRNS
+TEQSGEAQGEAAKSES
+>sp|Q3MI05|PPGB_BOVIN Lysosomal protective protein OS=Bos taurus OX=9913 GN=CTSA PE=2 SV=1
+MFRAALWPPVLLLLQLLLLACAPGGEGAHDQDEIRFLPGLAKQPSFRQYSGYLKGSGSKR
+LHYWFVESQKDPKSSPVVLWLNGGPGCSSLDGLLTEHGPFLIQPDGVTLEYNPYSWNLIA
+NVLYLESPAGVGFSYSDDKSYATNDTEVAQSNFEALKDFFCLFPEYKGNELFLTGESYAG
+IYIPTLAVLVMQDPSMNLQGLAVGNGLSSYEQNDNSLVYFAYYHGLLGNRLWSSLQTHCC
+SQNQCNFHDNKEPECVANLQEVSHIVASSGLNIYNLYAPCAGGVPSHVRHEKDTVVVQDL
+GNIFTRLPLKRVWHQTLLRSGEKVHLDPPCTNTTAASNYLNDPHVRKALHIPEQLPRWDL
+CNFLVNIQYRRLYQSMCSQYLKLLSAQKYRILLYNGDVDMACNFMGDEWFVDSLNQKMEV
+QRRPWLVDYGESGEQIAGFVKEFSHIAFLTIKGAGHMVPTDKPQAALTMFSRFLNRQPY
+>sp|Q75UG4|P4HA3_BOVIN Prolyl 4-hydroxylase subunit alpha-3 OS=Bos taurus OX=9913 GN=P4HA3 PE=2 SV=1
+MGPAARLAALLAVLAFRAGDPAEVAARGDTFSALTSVARALAPERRLLGLLRRYLRGEEA
+RLRDLTRFYHKVLSLHEDSATPVSNPLLAFTLIKRLQSDWKNVVHSLEASENIRALKDGY
+ERVEQDLPAFEDLEGAARALMRLQDVYMLNVKGLARGVFQRVTGSAVTDLYSPRRLFSLT
+GDDCFQVGKVAYDMGDYYHAIPWLEEAVSLFRGSYGEWKTEDEASLEDALDHLAFAYFQA
+GNVLCALNLSREFLLYSPDNKRVARNVLKYEKLLAESPNQAVAETVMQRPNVPHLQTRDT
+YEGLCQTLGSQPTHYRIPSLYCSYETSSSPYLLLQPVRKEVIHLEPYVVLYHDFVSDAEA
+QTIRGLAEPWLQRSVVASGEKQLPVEYRISKSAWLKDTVDPVLVTLDHRIAALTGLDVQP
+PYAEYLQVVNYGIGGHYEPHFDHATSPSSPLYRMNSGNRVATFMIYLSSVEAGGATAFIY
+GNFSVPVVKNAALFWWNLHRSGEGDGDTLHAACPVLVGDKWVANKWIHEYGQEFRRPCSS
+RPED
+>sp|Q95N27|PP16B_BOVIN Protein phosphatase 1 regulatory inhibitor subunit 16B OS=Bos taurus OX=9913 GN=PPP1R16B PE=1 SV=1
+MASHVDLLTELQLLEKVPTLERLRAAQKRRAQQLKKWAQYEQDLQHRKRKHERKRSTGGR
+RKKVSFEASVALLEASLRNDAEEVRYFLKNKVSPDLCNEDGLTALHQCCIDNFEEIVKLL
+LSHGANVNAKDNELWTPLHAAATCGHINLVKILVQYGADLLAVNSDGNMPYDLCEDEPTL
+DVIETCMAYQGITQEKINEMRAAPEQQMISDIHCMIAAGQDLDWVDAQGATLLHIAGANG
+YLRAAELLLDHGVRVDVKDWDGWEPLHAAAFWGQMQMAELLVSHGASLSARTSMDEMPID
+LCEEEEFKVLLLELKHKHDVIMKSQLRHKSSLSRRTSSAGSRGKVVRRASLSDRTNLYRK
+EYEGEAILWQQRSASEDQRNSTYNGDIRETRTDQENKDPNPRLEKPVLLSEFPTKIPHSD
+MDMPVENGLRAPVSTYQYALCNGDVWKVHEVPDYSMAYGNPGVADATPSWSGYKEQSPQT
+LLELKRQRAAAKLLSHPFLSTHLGSGVSRTGEGSSEGKAPLIGGRTSPYSSNGTSVYYTV
+TSGDPPLLKFKAPIEEMEEKVHGCCRIS
+>sp|Q2HJ61|PLPP2_BOVIN Phospholipid phosphatase 2 OS=Bos taurus OX=9913 GN=PLPP2 PE=2 SV=1
+MERRWVFVLLDVLCVLVAALPCAILTFVNTPYKRGFYCGDDSIRYPYRPDTITHGLMAGV
+IITATVILVSAGEAYLVYTDRLYSRSDFNNYLAALYKVVGTFLFGAAVSQSLTDLAKYMT
+GRLRPNFLAVCDPDWSRVNCSAYVQVEVCRGSSANVTESRLSFYSGHSSFGMYCMVFLAL
+YVQARLCWKWARLLRPTVQFFLVAFALYVGYTRVSDHKHHWSDVLVGLLQGALVASLTVR
+YISDFFKARPPQHCPEEEDLERKPSLSLTLALGETDCNHYGYPVSSS
+>sp|Q9XT97|PSN1_BOVIN Presenilin-1 OS=Bos taurus OX=9913 GN=PSEN1 PE=2 SV=1
+MTELPAPLSYFQNAQMSEDNHLSNTVRSQNDSRERHEHGNERRRRGNTESVSNGRAPSSS
+QQVVEQEEEEDEELTLKYGAKHVIMLFVPVTLCMVVVVATIKSVSFYTRKDGQLIYTPFT
+EDTETVAQRALHSILNAVIMISVIVIMTILLVVLYKYRCYKVIHAWLIVSSLLLLFFFSF
+IYLGEVFKTYNVAMDYISVALLIWNFGVVGMIAIHWKGPLRLQQAYLIMISALMALVFIK
+YLPEWTAWLILAVISVYDLVAVLCPKGPLRMLVETAQERNETLFPALIYSSTMVWLVNMA
+EGDPEAQRKVSKNSNYNAQRPANSPVTTTGTESESQDPVTESDDGGFSEEWEAQRDSRLG
+PHHSTAESRSAVQDLSSSILASEDPEERGVKLGLGDFIFYSVLVGKASATASGDWNTTIA
+CFVAILIGLCLTLLLLAIFKKALPALPVSITFGLIFYFATDYLVQPFMDQLAFHQFYI
+>sp|A5PJZ5|NUP93_BOVIN Nuclear pore complex protein Nup93 OS=Bos taurus OX=9913 GN=NUP93 PE=2 SV=1
+MDTEGFGELLQQAEQLAAETEGISELPHVERNLQEIQQAGERLRSRTLTRTSQETADVKA
+SVLLGSRGLDISHISQRLESLSAATTFEPLEPVKDTDIQGFLKNEKDNALLSAIEESRKR
+TFGMAEEYHRESMLVEWEQVKQRILHTLLASGEDALDFTQESEPSYISDAGPPGRSSLDS
+IEMAYARQIYIYNEKIVNGHLQPNLLDLCASVTELDDKNISDMWAMVKQMTDVLLVPATD
+ALKSRNSVEVRMEFVRQALGYLEQSYKNYTLVTVFGNLHQAQLGGVPGTYQLVRSFLNIK
+LPAPLPGLQDGEVEGHPVWALIYYCMRCGDLLAASQVVNRAQHQLGEFKTWFQEYMNSKD
+RRLSPATENKLRLHYRRALRNNTDPYKRAVYCIIGRCDVTDNQSEVADKTEDYLWLKLNQ
+VCFDDDGTSSPQDRLTLSQFQKQLLEDYGESHFTVNQQPFLYFQVLFLTAQFEAAIAFLF
+RMERLRCHAVHVALVLFELKLLLKSSGQSAQLLSHEPGDPPCMRRLNFVRLLMLYTRKFE
+STDPREALQYFYFLRDEKDSQGENMFLRCVSELVIESREFDMILGKLENDGSRKPGVIDK
+FTSDTKPIINKVASVAENKGLFEEAAKLYDLAKNADKVLELMNKLLSPIVPQISAPQSNK
+ERLKNMALSIAERYRAQGISANKFVDSTFYLLLDLITFFDEYHSGHIDRAFDIIDRLKLV
+PLNQESVEERVAAFRNFSDEIRHNLSEVLLATMNILFTQFKRLKGTSPSSATRPQRVIED
+RDSQLRSQARALITFAGMIPYRTSGDTNARLVQMEVLMN
+>sp|Q0V8F0|NR4A1_BOVIN Nuclear receptor subfamily 4 group A member 1 OS=Bos taurus OX=9913 GN=NR4A1 PE=2 SV=1
+MPCIQAQYGTPAPSPGPRDHLAGDLLTPELSKPTMDLASPEAAPAVPTALPSFSTFMDGY
+TGEFDTFLYQLSGTAQPCSSASSSASSTSSSSATSPASASFKFEDFQVYGCYPGTLSGPL
+DETLSSSGSDYYGSPCSAPSPSTPSFQPPQLSPWDGSFGPFSPSQTYEGLRAWTEQLPKA
+SGPPQPPAFFSFSPPPGPSPSLAPSPLKLFPSQAAHQLGEGESYSMQTAFPGLVPTSPHL
+DGSGRLDAPVTSAKARSGAPGGSEGRCAVCGDNASCQHYGVRTCEGCKGFFKRTVQKNAK
+YICLANKDCPVDKRRRNRCQFCRFQKCLAVGMVKEVVRTDSLKGRRGRLPSKPKQPPETS
+PAHLLTSLVRAHLDSGPSTSKLDYSKFQELALPHFGKEDAGDVQQFYDLLSGSLEVIRKW
+AEKIPGFAELSPGDQDLLLESAFLELFILRLAYRSKPAEGKLIFCSGLVLHRLQCARGFG
+DWIDSILAFSRSLHSLVVDIPAFACLSALVLITDRHGLQEPRRVEELQNRIASCLKEHVS
+AEAGEPQPASCLSRLLGKLPELRTLCTQGLQRIFYLKLEDLVPPPPIVDKIFMDTLPF
+>sp|A6QLD2|OLM2B_BOVIN Olfactomedin-like protein 2B OS=Bos taurus OX=9913 GN=OLFML2B PE=2 SV=1
+MAKSLLLVLCFALVTTLGWGYSAPPTGTTEPPDVQTVAPTEDETLQNEADNQENVLSQLL
+GDYDKVKAVSEGSDCQCKCVVRPLGRDACQRVNAGTSRKEDFYTVETITSGPSCKCACVA
+PPSALNPCEGDFRLQKLREADSRDLKLSTIIDMLEGAFYGLDLLKLHSVTTKLVGRVDKL
+EEEISKNLTKENEQIREDVEEIRTEMNKRGKENCSNNILDSIPDIRSALQRDAAAAYTHP
+EYEERFLQEETVSQQIKSIKLLQTRPLAPPEVVKPQRPLQRQVHLRGRPASRPTVIRGIT
+YYKAKDPEEENDIEEHQDEFFSGEHGMDLLIEDQLLRHNQLLTSATRRPAATGRSAAVTA
+DAGTTSAGTPTTALPSARLPASTAAPSTPDPAVSASVEQFSTPLPTTSVSPDPTEEAVPT
+PFTQVPATTVAHTATQQPPASAPPSAAPEDAFVEATHTAPVPPPPVRTDSPGKDSTARQG
+TVPPGPTLSPEEEDDIRNVIGRCKDTLSTITGPITQNTYGRNEGAWMKDPLAKDERIYVT
+NYYYGNTLVEFRNLENFKQGRWSNSYKLPYSWIGTGHVVYNGAFYYNRAFTRNIIKYDLK
+QRYVAAWAMLHDVAYEEATPWRWQGHSDVDFAVDENGLWLIYPALDDEGFSQEVIVLSKL
+NAVDLSTQKETTWRTGLRRNLYGNCFVICGVLYAVDSHNQRNANISYAFDTHTNTQIVPR
+LLFENEYSYTTQIDYNPKDRLLYAWDNGHQVTYHVIFAY
+>sp|P12402|PLRP3_BOVIN Placental prolactin-related protein 3 OS=Bos taurus OX=9913 GN=PRP3 PE=2 SV=1
+MVMSNLLLCQVNSCPSCCPDVFDIPLESLTHLFLNASRLSHDIVNHTTIMFHEFDEKYAQ
+NQPYTINATKSCHTNSLHTPQEREKALRMNNEDLSKWILMLLYSWHRPLYLLVKDLQSMK
+EVSDTILSSAKENMRKIEELQAFIERQFSQVIYPVIRTIFKARIYWSGLASLVSNDEDVR
+HSAFYKLFMCLYRDSRKLDMYTEILACRITNTC
+>sp|E1BNQ4|NNRD_BOVIN ATP-dependent (S)-NAD(P)H-hydrate dehydratase OS=Bos taurus OX=9913 GN=NAXD PE=3 SV=1
+MALGPGCRAVRGCRPVLKRAFSLHKAHSVKDMESILQLVRSVVPALTTKKHKGQDGRIGV
+VGGCREYTGAPYFAAISALKVGADLSHVFCTQEAAPVIKAYSPELIVHPVLDSPEAVRDV
+EQWLPRLHALVVGPGLGRDDALLENVKGILEASKARGIPVVIDADGLWLIAQQPALIQGY
+RKAVLTPNHVEFGRLSEAVLGVPLDGGDRHGAVLRLSQALGNVTVVQKGEQDVISDGEQV
+LECSQEGSGRRCGGQGDLLSGSLGVLAHWALRAGPQKTGGPSPLLVAAFGACALTRQCSQ
+QAFQKYGRATTTSDMVAEVGPAFRRLFEA
+>sp|Q8HXG6|NDUAB_BOVIN NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 11 OS=Bos taurus OX=9913 GN=NDUFA11 PE=1 SV=3
+MAKTVLRQYWDIPEGTECHRKTYATTSIGGAAGLVVSAYSVALKTPTSFLEGVARTGRYT
+FTAAAIGAIFGLTSCISAQVREKPDDPLNYLIGGCAGGLILGARTRSYGIGAAACAYMGL
+TAALVKMGQLEGWQVFAEPKV
+>sp|Q2KIV0|NOL12_BOVIN Nucleolar protein 12 OS=Bos taurus OX=9913 GN=NOL12 PE=2 SV=1
+MGRNKKKRDGDDRRQRLILSFDEEKRREYLTGFHKRKVERKKAAIEEIKQRLKEEQKKLR
+EERHQEYLKMLAEREEALEEADELDRLVTAKTESVQYDHPNHTVTVTTISDLDLSGARLL
+GLPTPEQGAGSGPEEEVSSMEKPTRALPRKSRDPLLSQRISSLTASLHAHSRKKVKKKRL
+RRAQDTTKKPPSATRTSKTRRRRLTGKARHSGE
+>sp|Q5E9B2|PAF15_BOVIN PCNA-associated factor OS=Bos taurus OX=9913 GN=PCLAF PE=3 SV=1
+MVRTKANSVPGSYRKVVASRAPRKVLGSSTSAANSTPLSSRKAENKYAGGNPVCVRPTPK
+WQKGIGEFFSLSPKDSEKENRIPEEAGSSGLGKAKRKACPLPPDHTDDEKE
+>sp|Q5E9Q1|PGLT1_BOVIN Protein O-glucosyltransferase 1 OS=Bos taurus OX=9913 GN=POGLUT1 PE=2 SV=1
+MELGVSSQLWLWLLLLLLPPVPGREKESGSKWKVFIDQINRALENYEPCSSPNCSCYHGV
+IEEDLTPFRGGISRKMMAEVVRRKLGTHYQIIKNRLYRESDCMFPSRCSGVEHFILEVIG
+RLPDMEMVINVRDYPQVPKWMEPAIPIFSFSKTLEYHDIMYPAWTFWEGGPAVWPIYPMG
+LGRWDLFREDLVRSAAQWPWKKKNSTAYFRGSRTSPERDPLILLSRKNPKLVDAEYTKNQ
+AWKSMKDTLGKPAAKDVHLVDHCKYKYLFNFRGVAASFRFKHLFLCGSLVFHVGDEWLEF
+FYPQLKPWVHYIPVKTDLSNVQELLQFVKANDDVAQEIAERGSQFILNHLKMDDITCYWE
+NLLTEYSKFLSYNVTRRKGYDQIVPKILKIEL
+>sp|Q05B57|OTU1_BOVIN Ubiquitin thioesterase OTU1 OS=Bos taurus OX=9913 GN=YOD1 PE=2 SV=1
+MFGPAKGGHFGVHPAAGCPGGVSQPAAGTKAGPAGVCPVGGRTNAMWRLRCKAKEGTHVL
+QGLSSRTRVRELQGQIAAITGISPGCQRILVGYPPECLDLSNGDTILEDLPIQSGDMLIV
+EEDQNRPKTSPAFTKYGAPSYVRETLPVLARMAVPADNSCLFTSVYYVVEGGVLNPACAP
+EMRRFIAQIVASDPDFYSEAILGKTNEEYCDWIKRDDTWGGAIEISILSKFYQCEICVVD
+TQTVRIDRFGEDAGYTKRVLLIYDGIHYDPLHLVFPDPDTPPLTIFSSYDDIVLVQALEL
+ADEARKKRQFTDVNRFTLRCMVCQKGLTGQAEARDHAKETGHTNFGEV
+>sp|Q0VCQ8|P5I11_BOVIN Tumor protein p53-inducible protein 11 OS=Bos taurus OX=9913 GN=TP53I11 PE=2 SV=1
+MAAKQPPPLMKKHSQTDLVSRLKTRKILGVGGEDDDGEVHRSKISQVLGNEIKFAVREPL
+GLRVWQFVSAVLFSGIAIMALAFPDQLYDAVFDGAQVTSKTPIRLYGGALLSISLIMWNA
+LYTAEKVIIRWTLLTEACYFSVQFLVVTATLAETGLASQGILLLLASRLLFVAISVYYYY
+QVGRKPKKV
+>sp|Q08DF7|PDE12_BOVIN 2',5'-phosphodiesterase 12 OS=Bos taurus OX=9913 GN=PDE12 PE=1 SV=1
+MWRLPGVRAALRGVRTAVERRSRTEAASETSVGAMERAVVRCVPSEPKLSLSFALADGSH
+KNMQRDQSEPLGRALSRIATNALKGHAKVAAAKKSRKNRPNAGSGVACAGPGPEPAAACE
+PVVKLYYREEAVAEDVLNVDAWQDGAVLQIGDVKYKVERNPPAFTELQLPRYIMAGFPVC
+PKLGVEFGDPTGSLFRWYKETKPRAAEPEGGGPSSSSPSSPSPGWTETGVDERVYTPSNA
+DIGLRLKLHCTPGNGQRFGPSRELESVCPVEAGPGTCTFDHRHLYTKKVTDDALIRTVSY
+NILADTYAQTEFSRTVLYPYCAPYALELDYRQNLIQKELTGYNADLICLQEVDRCVFTDS
+LMPALEAFGLEGVFRIKQHEGLATFYRKSKFSLLSQHDIAFHEALQSDPLHKELLEKLAL
+YPSAQERVLQRSSVVQVSVLQSTKDSSKKICVANTHLYWHPKGGYIRLIQMAVALAHIRH
+VSCDLYPGIPVIFCGDFNSTPSTGMYHFVINGSIAEDHEDWTSNGEEERCNMSLSHFFKL
+KSACGEPAYTNYVGGFHGCLDYIFIDLHALEVEQVIPLPSHEEVTTHQALPSVSHPSDHI
+ALVCDLKWK
+>sp|P21809|PGS1_BOVIN Biglycan OS=Bos taurus OX=9913 GN=BGN PE=1 SV=3
+MWPLWPLAALLALSQALPFEQKAFWDFTLDDGLPMLNDEEASGAETTSGIPDLDSLPPTY
+SAMCPFGCHCHLRVVQCSDLGLKAVPKEISPDTTLLDLQNNDISELRKDDFKGLQHLYAL
+VLVNNKISKIHEKAFSPLRKLQKLYISKNHLVEIPPNLPSSLVELRIHDNRIRKVPKGVF
+SGLRNMNCIEMGGNPLENSGFEPGAFDGLKLNYLRISEAKLTGIPKDLPETLNELHLDHN
+KIQAIELEDLLRYSKLYRLGLGHNQIRMIENGSLSFLPTLRELHLDNNKLSRVPAGLPDL
+KLLQVVYLHTNNITKVGVNDFCPVGFGVKRAYYNGISLFNNPVPYWEVQPATFRCVTDRL
+AIQFGNYKK
+>sp|P67774|PP2AA_BOVIN Serine/threonine-protein phosphatase 2A catalytic subunit alpha isoform OS=Bos taurus OX=9913 GN=PPP2CA PE=1 SV=1
+MDEKVFTKELDQWIEQLNECKQLSESQVKSLCEKAKEILTKESNVQEVRCPVTVCGDVHG
+QFHDLMELFRIGGKSPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRERITILRGNHES
+RQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALVDGQIFCLHGGLSPSIDTLDHI
+RALDRLQEVPHEGPMCDLLWSDPDDRGGWGISPRGAGYTFGQDISETFNHANGLTLVSRA
+HQLVMEGYNWCHDRNVVTIFSAPNYCYRCGNQAAIMELDDTLKYSFLQFDPAPRRGEPHV
+TRRTPDYFL
+>sp|Q3ZCB6|PPDPF_BOVIN Pancreatic progenitor cell differentiation and proliferation factor OS=Bos taurus OX=9913 GN=PPDPF PE=3 SV=1
+MAAIPSSGSLVATHDYYRRRLGSTSSNSSCGSAEYPGEAIPHHPGLPKADPGHWWASFFF
+GKSTLPFMATVLESPEHSESAQASTSTITCDLAQKAVGKQQPSGQPGKTTAGPRPE
+>sp|P48452|PP2BA_BOVIN Serine/threonine-protein phosphatase 2B catalytic subunit alpha isoform OS=Bos taurus OX=9913 GN=PPP3CA PE=1 SV=1
+MSEPKAIDPKLSTTDRVVKAVPFPPSHRLTAKEVFDNDGKPRVDILKAHLMKEGRLEETV
+ALRIITEGASILRQEKNLLDIDAPVTVCGDIHGQFFDLMKLFEVGGSPANTRYLFLGDYV
+DRGYFSIECVLYLWALKILYPKTLFLLRGNHECRHLTEYFTFKQECKIKYSERVYDACMD
+AFDCLPLAALMNQQFLCVHGGLSPEINTLDDIRKLDRFKEPPAYGPMCDILWSDPLEDFG
+NEKTQEHFTHNTVRGCSYFYSYPAVCEFLQHNNLLSILRAHEAQDAGYRMYRKSQTTGFP
+SLITIFSAPNYLDVYNNKAAVLKYENNVMNIRQFNCSPHPYWLPNFMDVFTWSLPFVGEK
+VTEMLVNVLNICSDDELGSEEDGFDGATAAARKEVIRNKIRAIGKMARVFSVLREESESV
+LTLKGLTPTGMLPSGVLSGGKQTLQSATVEAIEADEAIKGFSPQHKITSFEEAKGLDRIN
+ERMPPRRDAMPSDANLNSINKALASETNGTDSNGSNSSNIQ
+>sp|P02584|PROF1_BOVIN Profilin-1 OS=Bos taurus OX=9913 GN=PFN1 PE=1 SV=2
+MAGWNAYIDNLMADGTCQDAAIVGYKDSPSVWAAVPGKTFVNITPAEVGILVGKDRSSFF
+VNGLTLGGQKCSVIRDSLLQDGEFTMDLRTKSTGGAPTFNITVTMTAKTLVLLMGKEGVH
+GGMINKKCYEMASHLRRSQY
+>sp|Q0P570|MVD1_BOVIN Diphosphomevalonate decarboxylase OS=Bos taurus OX=9913 GN=MVD PE=2 SV=1
+MASEKPIVVVTCTAPVNIAVVKYWGKRDEELILPINSSLSVTLHQDQLKTTTTAAISRDF
+TEDRIWLNGREEDMGHPRLQACLREIRRLARKRRSDGHEDPLPLSLSYKVHVASENNFPT
+AAGLASSAAGYACLAYTLARVYGVDSDLSEVARRGSGSACRSLYGGFVEWQMGERPDGKD
+SVACQVAPESHWPELRVLILVVSAERKPMGSTAGMQTSVETSALLKFRAEALVPPRMAEM
+TRCIRERNFQAFGQLTMKDSNQFHATCLDTFPPISYLSDTSRRIIQLVHRFNAHHGQTKV
+AYTFDAGPNAVVFTLDDTVAEFVAAVRHSFPPESNGDKFLKGLPVEPVLLSDELKAVLGM
+DPVPGSIRYIIATQVGPGPQVLDDPGAHLLGPDGLPKPAA
+>sp|Q0VCZ0|NSG2_BOVIN Neuronal vesicle trafficking-associated protein 2 OS=Bos taurus OX=9913 GN=NSG2 PE=2 SV=1
+MVKLNSNPSEKGAKPPSVEDGFQTVPLITPLEVNHLQLPAPEKVIVKTRTEYQPEQKNKG
+KFRVPKIAEFTVTILVSLALAFLACIVFLVVYKAFTYDHSCPEGFVYKHKRCIPASLDAY
+YSSQDPSSRSRFYTVISHYSVAKQSTARAIGPWLSAAAVIHEPKPPKTQGH
+>sp|Q95142|PDE6D_BOVIN Retinal rod rhodopsin-sensitive cGMP 3',5'-cyclic phosphodiesterase subunit delta OS=Bos taurus OX=9913 GN=PDE6D PE=1 SV=1
+MSAKDERAREILRGFKLNWMNLRDAETGKILWQGTEDLSVPGVEHEARVPKKILKCKAVS
+RELNFSSAEQMEKFRLEQKVYFKGQCLEEWFFEFGFVIPNSTNTWQSLIEAAPESQMMPA
+SVLTGNVIIETKFFDDDLLVSTSRVRLFYV
+>sp|P68301|MT2_BOVIN Metallothionein-2 OS=Bos taurus OX=9913 GN=MT2 PE=1 SV=1
+MDPNCSCTAGESCTCAGSCKCKDCKCASCKKSCCSCCPVGCAKCAQGCVCKGASDKCSCC
+A
+>sp|Q3MHL9|MSRB1_BOVIN Methionine-R-sulfoxide reductase B1 OS=Bos taurus OX=9913 GN=MSRB1 PE=3 SV=2
+MSFCSFFGGEIFQNHFEPGIYVCAKCGYELFSSRSKYAHSSPWPAFTETIHADSVAKRPE
+HNRPGAIKVSCGRCGNGLGHEFLNDGPKRGQSRFUIFSSSLKFIPKAEETSASQGQ
+>sp|O02776|PARG_BOVIN Poly(ADP-ribose) glycohydrolase OS=Bos taurus OX=9913 GN=PARG PE=1 SV=1
+MSAGPGCEPCTKRPRWDAAATSPPAASDARSFPGRQRRVLDSKDAPVQFRVPPSSSGCAL
+GRAGQHRGSATSLVFKQKTITSWMDTKGIKTVESESLHSKENNNTREESMMSSVQKDNFY
+QHNMEKLENVSQLGFDKSPVEKGTQYLKQHQTAAMCKWQNEGPHSERLLESEPPAVTLVP
+EQFSNANVDQSSPKDDHSDTNSEESRDNQQFLTHVKLANAKQTMEDEQGREARSHQKCGK
+ACHPAEACAGCQQEETDVVSESPLSDTGSEDVGTGLKNANRLNRQESSLGNSPPFEKESE
+PESPMDVDNSKNSCQDSEADEETSPGFDEQEDSSSAQTANKPSRFQPREADTELRKRSSA
+KGGEIRLHFQFEGGESRAGMNDVNAKRPGSTSSLNVECRNSKQHGRKDSKITDHFMRVPK
+AEDKRKEQCEMKHQRTERKIPKYIPPHLSPDKKWLGTPIEEMRRMPRCGIRLPPLRPSAN
+HTVTIRVDLLRIGEVPKPFPTHFKDLWDNKHVKMPCSEQNLYPVEDENGERAAGSRWELI
+QTALLNRLTRPQNLKDAILKYNVAYSKKWDFTALIDFWDKVLEEAEAQHLYQSILPDMVK
+IALCLPNICTQPIPLLKQKMNHSITMSQEQIASLLANAFFCTFPRRNAKMKSEYSSYPDI
+NFNRLFEGRSSRKPEKLKTLFCYFRRVTEKKPTGLVTFTRQSLEDFPEWERCEKLLTRLH
+VTYEGTIEGNGQGMLQVDFANRFVGGGVTSAGLVQEEIRFLINPELIVSRLFTEVLDHNE
+CLIITGTEQYSEYTGYAETYRWARSHEDRSERDDWQRRTTEIVAIDALHFRRYLDQFVPE
+KIRRELNKAYCGFLRPGVSSENLSAVATGNWGCGAFGGDARLKALIQILAAAVAERDVVY
+FTFGDSELMRDIYSMHTFLTERKLTVGEVYKLLLRYYNEECRNCSTPGPDIKLYPFIYHA
+VESCTQTTNQPGQRTGA
+>sp|O46404|P55G_BOVIN Phosphatidylinositol 3-kinase regulatory subunit gamma OS=Bos taurus OX=9913 GN=PIK3R3 PE=2 SV=1
+MYNTVWSIDRDDADWREVMMPYSTELIFYIEMDPPALPPKPPKPMTSAITNGIKDSSVSL
+QDAEWYWGDISREEVNDKLRDMPDGTFLVRDASTKMQGDYTLTLRKGGNNKLIKIYHRDG
+KYGFSDPLTFNSVVELISHYHHESLAQYNPKLDVKLMYPVSRYQQDQLVKEDNIDAVGKK
+LQEYHSQYQEKSKEYDRLYEEYTRTSQEIQMKRTAIEAFNETIKIFEEQCHTQEQHSKEY
+IERFRKEGNEKEIERIMMNYDKLKSRLGEIHDSKMRLEQDLKKQALDNREIDKKMNSIKP
+DLIRLRKIRDQHLVWLNHKGVRQKRLNAWLGIKNEDADETYFINEEDENLPHYDEKTWFV
+EDINRVQAEDLLYGKPDGAFLIRESSKKGCYACSVVADGEVKHCVIYSTARGYGFAEPYN
+LYGSLKELVLHYQRTSLVQHNDSLNVRLAYPVHAQMPTLCR
+>sp|Q3ZBG9|PLS2_BOVIN Phospholipid scramblase 2 OS=Bos taurus OX=9913 GN=PLSCR2 PE=2 SV=1
+MDKQNVQMNPPHPGTNLTGPPGHIGYPGPQAGYAVPPPGYASPGPVGFPVQHQPVTGHPG
+APTQVPWMPAPLPPLNCPPGLEYLTQIDQLLIHQQIELLEVLIGFETNNKYEIKNSLGQR
+IYFAAEDTDCCTRNCCGPSRPFTMRILDNMGREVITLERPLRCTSCCFPCCLQEIEIQAP
+PGVPVGYVTQTWHPCLPKFTIQNERREDVLRISGPCVICSCCADIDFEVKSLDDKYVVGK
+ISKHWTGLIKELFTDVDNFGIQFPLDLDVKMKAVMLGACFLIDFMFFEMTRGE
+>sp|Q58DG6|FXL20_BOVIN F-box/LRR-repeat protein 20 OS=Bos taurus OX=9913 GN=FBXL20 PE=2 SV=2
+MRRDVNGVTKSRFEMFSNSDEAVINKKLPKELLLRIFSFLDVVTLCRCAQVSRAWNVLAL
+DGSNWQRIDLFDFQRDIEGRVVENISKRCGGFLRKLSLRGCLGVGDNALRTFAQNCRNIE
+VLNLNGCTKTTDATCTSLSKFCSKLRHLDLASCTSITNMSLKALSEGCPLLEQLNISWCD
+QVTKDGIQALVRGCGGLKALFLKGCTQLEDEALKYIGAHCPELVTLNLQTCLQITDEGLI
+TICRGCHKLQSLCASGCSNITDAILNALGQNCPRLRILEVARCSQLTDVGFTTLARNCHE
+LEKMDLEECVQITDSTLIQLSIHCPRLQVLSLSHCELITDDGIRHLGNGACAHDQLEVIE
+LDNCPLITDASLEHLKSCHSLERIELYDCQQITRAGIKRLRTHLPNIKVHAYFAPVTPPP
+SVGGSRQRFCRCCIIL
+>sp|Q3ZBE0|DQX1_BOVIN ATP-dependent RNA helicase DQX1 OS=Bos taurus OX=9913 GN=DQX1 PE=2 SV=1
+MASQLLGLEEESGPNPEESELAVNPFDGLPFSSRYYKLLEQRRSLPVWAARFIFLEHLES
+SPSGVVLVSGEPGCGKSTQIPQWCAEFVLARGFQKGWVTVTQPYPLAALSLALRVADEMD
+LTLGHEVGYSIPQEDCTGPDTLLRFCWDRLLLQEVASTRGTGARGVLILDEAQERSVASD
+LLQGLLRDARLGSLPGDLRVVVVTDPSLEPKLQDFWGGPPTVCVPRKTGSCPTPVYRDSL
+PADRVDAACQAVLEWCQEEAPGDVLVYLPSEEEISLCCESLSRKVRSLENQGPPPRVLPL
+HPGCGQAVQAVYEDTESGARKVVVTHWLADFSFSLPSIRHVIDSGLELRSVYSPQIRAES
+QVLRPVSKCQAEARRLRAAGIPPGSCLCLYPKSFLELEAPYLPQPRVWAENLSSLMLLLK
+RRQIAEPGKCHFLDRPAPEALMQALEDLDYLAALDDDGDLSDLGVILSEFPLAPELAKAL
+LASCEFDCVDEMLTLAAMLTAAPGFTRPPVCAEEAALRRALEHVDGDHSSLIQVYEAFIQ
+SGADKAWCQARGLNWAALCQARKLRGELLELMQRIELPLSQPAFGSERNRRDLQKALVSG
+YFLKVARDTDGTGNYLLLTHKHVAQLSPNCCYRSRRAPARPPLWVLYHSFSISKDNCLSI
+VSEIQPQMLVELAPPYFLSNLPPSESRDFLNQLREERTDSSIRSESSSTQELENACALQ
+>sp|C0LZJ1|DMRT1_BOVIN Doublesex and mab-3 related transcription factor 1 OS=Bos taurus OX=9913 GN=DMRT1 PE=2 SV=2
+MPNDDAYSKPSAPSEAPQTPGAPPQGKAGGGGGGSGSDSGASGTGAVSGKKSPRLPKCAR
+CRNHGYASPLKGHKRFCMWRDCQCKKCNLIAERQRVMAAQVALRRQQAQEEELGISHPIP
+LPSTAELMVKRENSSGNPCLMIESSSSSQPPPASTPSTAAPGPEGRMVIQDIPAVTSRGH
+VENTPDLVSDSTYYSSFYQPSLFPYYNNLYNYPQYPMALAADSSSGDVGNPLGGSPVKNS
+LRSLPAPYVPGQTGNQWQMKNSENRHAVSSQYRMHSYYPPPSYLGQSMSQIFTFEDSASY
+SEAKASAFSPPSSQDSGLVSLPSSSPIGNESTKAVLDCESASEPSNFAVAPIIEEDE
+>sp|P79255|IL8_BOVIN Interleukin-8 OS=Bos taurus OX=9913 GN=CXCL8 PE=3 SV=1
+MTSKLAVALLAAFLLSAALCEAAVLSRMSTELRCQCIKTHSTPFHPKFIKELRVIESGPH
+CENSEIIVKLTNGNEVCLNPKEKWVQKVVQVFVKRAEKQDP
+>sp|Q0V8S0|HGS_BOVIN Hepatocyte growth factor-regulated tyrosine kinase substrate OS=Bos taurus OX=9913 GN=HGS PE=2 SV=1
+MGRGSGTFERLLDKATSQLLLETDWESILQICDLIRQGDTQAKYAVSSIKKKVNDKNPHV
+ALYALEVMESVVKNCGQTVHDEVANKQTMEELKDLLKRQVEVNVRNKILYLIQAWAHAFR
+NEPKYKVVQDTYQIMKVEGHVFPEFKESDAMFAAERAPDWVDAEECHRCRVQFGVMTRKH
+HCRACGQIFCGKCSSKYSTIPKFGIEKEVRVCEPCFEQLNKKAEGKAASTTELPPEYLTS
+PLSQQSQLPPKRDETALQEEEELQLALALSQSEAEEKERMRQKSAYTAYPKAEPTPVASS
+APPASSLYSSPVNSSAPLAEDIDPELARYLNRNYWEKKQEEARKSPTPSAPVPLTEPTAQ
+PGEGHAIPANVETSLPETDPQAVTAAGAAFSEQYQNGESEESHAQFLKALQNAVTTFVNR
+MKSNHVRGRSITNDSAVLSLFQSINTMHPQLLELLNQLDERRLYYEGLQDKLAQIRDARG
+ALSALREEHREKLRRAAEEAERQRQIQLAQKLEIMRQKKQEYLEVQRQLAIQRLQEQEKE
+RQMRLEQQKQTIQMRAQMPAFSLPYAQLQAMPAAGGVLYQPSGPASFAGTFSPAGSVEGS
+PMHTMYMSQPAPAASGPYPSMPAAAADPSMVSAYMYPAGAAGAQAAAQGPAGPTTSPAYS
+SYQPTPTQGYQTVASQAPQSLPAISQPPQSGTMGYMGSQSVSMGYQPYSMQNLMPTLPGQ
+DAPLPPPQQPYISGQQPVYQQMAPSSGPPQQQPPVAQQPPAQGPPAQGSEAQLISFD
+>sp|A5PJU8|LBH_BOVIN Protein LBH OS=Bos taurus OX=9913 GN=LBH PE=3 SV=1
+MSVYFPIHCPDYLRSAEMTEVMMNTPSMEEIGLNPRKDGLSYQIFPDPSDFDRCCKLKDR
+LPSIVVEPTEGEVESGELRWPPEEFLVQEDAQDNCEETASENKEQ
+>sp|Q9N2I2|IPSP_BOVIN Plasma serine protease inhibitor OS=Bos taurus OX=9913 GN=SERPINA5 PE=1 SV=1
+MRLCLFLCLVLLGPRMATLRRSQKKKIQEVPPAVTTAPPGSRDFVFDLYRALAAAAPAQN
+IFFSPLSITVSLAMLSLGAQSNTKAQILEGLGIGPGEGSEEELHSASQRLLRELQQPQDS
+LQLSLGNALFTKPRLPIQEAFLGAMRTLYLADTFPTNFEDPEGAKKKINDYVAKQTKGKI
+VDLIKSLDGTQVMVMVNYIFFKAKWETSFNLKSTHEQDFYVTPETVVRVPMMKQQDQFYY
+LLDRNLSCKVVGVPYQGNATAFFILPREGEMEQVENGLKEKTLKKWLRMPMKRRLELYLP
+KFSIEGSYQLEEVLPKLGIRDIFTSDADLTGISNHSSIRVSEMVHKAVVEVDESGTQAAA
+ATGMVITFKSARLGSQRIVFNRPFLVLIVKNSKHILFLGKVTRP
+>sp|Q29448|HMGCL_BOVIN Hydroxymethylglutaryl-CoA lyase, mitochondrial OS=Bos taurus OX=9913 GN=HMGCL PE=2 SV=2
+MATVKKVLPRRLVGLATLRAVSTSSVGTFPKQVKIVEVGPRDGLQNEKNIVPTPVKIKLI
+DMLSEAGLPVVEATSFVSPKWVPQMADHAEVLKGIQKFPGVNYPVLTPNFKGFQAAVAAG
+AKEVAIFGAASELFTKKNINCSIDESLQRFDEILKAARAAGISVRGYVSCVLGCPYEGKI
+SPAKVAEVTKKLYSMGCYEISLGDTIGVGTPGAMKDMLSAVLQEVPVTALAVHCHDTYGQ
+ALANTLTALQMGVSVMDSSVAGLGGCPYAQGASGNLATEDLVYMLAGLGIHTGVNLQKLL
+EAGAFICQALNRRTNSKVAQATCKL
+>sp|P61625|ITAL_BOVIN Integrin alpha-L OS=Bos taurus OX=9913 GN=ITGAL PE=1 SV=1
+MNSCIIVLRLLLSGPFVFAPAWSYNLDVRHVQNFSFPLAGRHFGYRVLQVGNGVVVGAPS
+EGNSMGNLYQCQPETGDCLPVTLSSNYTSKYLGMTLATDPTSDNLLACDPGLSRTCDQNI
+YLSGLCYLIHENLRGPVLQGHPGYQECIKGNVDLVFLFDGSMSLQQDEFEKIVDFMKDVM
+KKLSNSSYQFAAVQFSTYFRTEFTFLDYIRQKDPDALLAGVKHMRLLTNTFGAINYVAKE
+VFRPDLGARPDATKVLIIITDGEATDEHNIDAAKDIIRYIIGIGKNFKTKESQEALHQFA
+SKPVEEFVKILDTFEKLKDLFTELQKKIYVIEGTSKQDLTSFNMELSSSGISADLSEGHG
+VVGAVGAKDWAGGFLDLKADLKSSTFVGNEPLTVESRAGYLGYTVTWLPSRGTMSLLATG
+APRYQHVGRVLLFQQPKRGGPWSQIQEIDGIQIGSYFGGELCGVDVDRDGETELLLIAAP
+LYYGEQRGGRVFIYQKIQLEFQMVSELQGETGYPLGRFGAAIAALTDINGDELTDVAVGA
+PLEEQGAVYIFNGQQGGLSPRPSQRIEGTQMFSGIQWFGRSIHGVKDLGGDGLADVAVGA
+EGQVIVLSSRPVVDIITSVSFSPAEIPVHEVECSYSTSNQKKEGVNLTVCFQVKSLISTF
+QGHLVANLTYTLQLDGHRTRSRGLFPGGKHKLIGNTAVTPVKSCFVFWFHFPICIQDLIS
+PINVSLSYSLWEEEGTPRDPRALDRDIPPILKPSPHLETKEIPFEKNCGEDKNCEADLKL
+AFSDMRSKILRLTPSASLSVRLTLRNTAEDAYWVQVTLSFPQGLSFRKVEILKPHSHVPV
+GCEELPEEAVVHSRALSCNVSSPIFGEDSMVDIQVMFNTLQKGSWGDFIELQANVSCNNE
+DSSLLEDNSATTSIPVMYPINVLTKDQENSTLYISFTPKSPKIHHVKHIYQVRIQPSNYD
+NMPPLEALVRVPRVHSEGLITHKWSIQMEPPVNCSPRNLESPSDEAESCSFGTEFRCPID
+FRQEILVQVNGMVELRGTIKASSMLSLCSSLAISFNSSKHFHLYGRNASMAQVVMKVDLV
+YEKEMLYLYVLSGIGGLLLLFLIFIALYKVGFFKRNLKEKMEANVDASSEIPGEDAGQPE
+LEKECKDPGCLEPLQKTDEDGSGGD
+>sp|Q0P5G4|KAT3_BOVIN Kynurenine--oxoglutarate transaminase 3 OS=Bos taurus OX=9913 GN=KYAT3 PE=2 SV=1
+MFLAWERLCTLSCRPKFLKTVWASKILGLSTSAKMSLRFKNAKRIEGLDSNIWIEFTKLA
+ADPSVVNLGQGLPDISPPVYVKEELSKIAAIDNLNQYTRGFGHPSLVKALSCLYEKFYHN
+KINPNEEILVTVGAYGSLFNAIQGLIDEGDEVIVIVPFFDCYESMVRMAGATPVFVPLRC
+KPVDGKKCSSSDWTLDPQELASKFNSKTKAIILNTPHNPLGKVYTKEELQVIADLCIKYD
+TLCISDEVYEWLVYTGNKHFKIATFPGMWERTITIGSAGKTFSVTGWKLGWSIGPKHLIK
+HLQTVQQNTVYTCATPLQEALAQAFWIDIKRMDDPECYFNSLPKELEVKRDRMVHLLESV
+GLKSIVPDGGYFIIADVSLLDVDLLDMKDSNEPYDYKFVKWMIKNKKLSAIPVSAFCNAE
+TKSQFEKFVRFCFIKKDSTLDAAEEIIKAWSRQNS
+>sp|A6QR54|JKIP1_BOVIN Janus kinase and microtubule-interacting protein 1 OS=Bos taurus OX=9913 GN=JAKMIP1 PE=2 SV=1
+MSKKGRSKGEKPEMEMDPVQMANEELRAKLTSIQIEFQQEKSKVGKLRERLQEAKLEREQ
+EQRRHTAYISELRAKLHEEKTKELQALREVLIRQHEQEAARTAKIKEGELQRLQATLNVL
+RDGAADKVKTALLADARDEARRAFDGERLRLQQEILELKAARKQAEEALSNCMQADKTKA
+ADLRAAYQAHQDEVHRIKRECERDIRRLMDEIKGKDRVILALEKELGVQTGQTQKLLLQK
+EALDEQLVQVREAERYHGSPKRELPPGIGDMAELMGVQDQHMDERDVRRFQLKIAELNSV
+IRKLEDRNTLLADERNELLKRSRETEVQLKPLVEKNKRMNKKNEDLLQSIQRMEEKIKNL
+TRENVEMKEKLSAQASLKRHTSLNDLSLTRDEQEIEFLRLQVLEQQHVIDDLSLERERLL
+RSRRHRGKGLKPPKKHVVETFFGFDEESVDSETLSETSCNTDRTDRAPATPEEDLDDTTT
+REEADLRFCQLTREYQALQRAYALLQEQVGGTLDAEREARTREQLQADLLRCQAKIEDLE
+KLLVEKGQDSKWVEEKQLLIRTNQDLLEKIYRLEMEENQLKNEMQDAKDQNELLEFRVLE
+LEVRDSICCKLSNGADILFEPKLKFM
+>sp|P07995|INHBA_BOVIN Inhibin beta A chain OS=Bos taurus OX=9913 GN=INHBA PE=1 SV=2
+MPLLWLRGFLLASCWIIVRSSPTPGSEGHSAAPDCPSCALATLPKDVPNSQPEMVEAVKK
+HILNMLHLKKRPDVTQPVPKAALLNAIRKLHVGKVGENGYVEIEDDIGRRAEMNELMEQT
+SEIITFAESGTARKTLHFEISKEGSDLSVVERAEIWLFLKVPKANRTRSKVTIRLFQQQK
+HLQGSLDAGEEAEEVGLKGEKSEMLISEKVVDARKSTWHIFPVSSCIQRLLDQGKSSLDI
+RIACEQCQETGASLVLLGKKKKKEEEGEGKKRDGEGGAGGDEEKEQSHRPFLMLQARQSE
+DHPHRRRRRGLECDGKVNICCKKQFFVSFKDIGWNDWIIAPSGYHANYCEGECPSHIAGT
+SGSSLSFHSTVINHYRMRGHSPFANLKSCCVPTKLRPMSMLYYDDGQNIIKKDIQNMIVE
+ECGCS
+>sp|O18965|KCNH1_BOVIN Potassium voltage-gated channel subfamily H member 1 OS=Bos taurus OX=9913 GN=KCNH1 PE=2 SV=2
+MTMAGGRKGLVAPQNTFLENIVRRSNDTNFVLGNAQIVDWPIVYSNDGFCKLSGYHRAEV
+MQKSSTCSFMYGELTDKDTIEKVRQTFENYEMNSFEILMYKKNRTPVWFFVKIAPIRNEQ
+DKVVLFLCTFSDITAFKQPIEDDSCKGWGKFARLTRALTSSRGVLQQLAPSVQKGENVHK
+HSRLAEVLQLGSDILPQYKQEAPKTPPHIILHYCVFKTTWDWIILILTFYTAILVPYNVS
+FKTRQNNVAWLVVDSIVDVIFLVDIVLNFHTTFVGPAGEVISDPKLIRMNYLKTWFVIDL
+LSCLPYDVINAFENVDEVSAFMGDPGKIGFADQIPPPLEGRESQGISSLFSSLKVVRLLR
+LGRVARKLDHYIEYGAAVLVLLVCVFGLAAHWMACIWYSIGDYEIFDEDTKTIRNNSWLY
+QLAMDIGTPYQFNGSGSGKWEGGPSKNSVYISSLYFTMTSLTSVGFGNIAPSTDIEKIFA
+VAIMMIGSLLYATIFGNVTTIFQQMYANTNRYHEMLNSVRDFLKLYQVPKGLSERVMDYI
+VSTWSMSRGIDTEKVLQICPKDMRADICVHLNRKVFKEHPAFRLASDGCLRALAMEFQTV
+HCAPGDLIYHAGESVDSLCFVVSGSLEVIQDDEVVAILGKGDVFGDVFWKEATLAQSCAN
+VRALTYCDLHVIKRDALQKVLEFYTAFSHSFSRNLILTYNLRKRIVFRKISDVKREEEER
+MKRKNEAPLILPPDHPVRRLFQRFRQQKEARLAAERGGRDLDDLDVEKGSVLTEHSHHGL
+AKASVVTVRESPATPVAFPAAAAPAGLDHARLQAPGAEGLGPKAGGADCAKRKGWARFKD
+ACGQAEDWSKVSKAESMETLPERTKAAGEATLKKTDSCDSGITKSDLRLDNVGEARSPQD
+RSPILAEVKHSFYPIPEQTLQAAVLEVKHELKEDIKALSTKMTSIEKQLSEILRILTSRR
+SSQSPQELFEISRPQSPESERDIFGAS
+>sp|A6QPM3|PRDM6_BOVIN Putative histone-lysine N-methyltransferase PRDM6 OS=Bos taurus OX=9913 GN=PRDM6 PE=2 SV=1
+MLKPGDPGGSAFLKVDPAYLQHWQQLFPHGGGGPLKGGGAAGPLGAPQPLQPPPPPERAE
+PQPDSLRPRPASLSSASSTPASSSTSASSASSCAAAAAAAAAAALAGLSALPVAQLPVFA
+PLATVAAEPLPPKDLCLGATSGPGPSKCGGSGGDGRGVPRFRCSAEELDYYLYGQQRMEI
+IPLNQHTSDPNNRCDMCADNRNGECPMHGPLHSLRRLVGTSSAAAAAPPPELPEWLRDLP
+REVCLCTSTVPGLAYGICAAQRIQQGTWIGPFQGVLLPPEKVQAGAVRNTQHLWEIYDQD
+GTLQHFIDGGEPSKSSWMRYIRCARHCGEQNLTVVQYRSNIFYRACIDIPRGTELLVWYN
+DSYTSFFGIPLQCIAQDENLNVPSTVMEAMCRQDALQPFNKSSKLSQAPQQRSVVFPQTP
+CGRNFSLLDKSGPLESGFNQISVKNQRVLASPTSTSQLHSEFSDWHLWKCGQCFKTFTQR
+ILLQMHVCTQNPDRPYQCGHCSQSFSQPSELRNHVVTHSSDRPFKCGYCGRAFAGATTLN
+NHIRTHTGEKPFKCERCERSFTQATQLSRHQRMPNECKPITESPESIEVD
+>sp|P14099|PDE2A_BOVIN cGMP-dependent 3',5'-cyclic phosphodiesterase OS=Bos taurus OX=9913 GN=PDE2A PE=1 SV=2
+MRRQPAASRDLFAQEPVPPGSGDGALQDALLSLGSVIDVAGLQQAVKEALSAVLPKVETV
+YTYLLDGESRLVCEEPPHELPQEGKVREAVISRKRLGCNGLGPSDLPGKPLARLVAPLAP
+DTQVLVIPLVDKEAGAVAAVILVHCGQLSDNEEWSLQAVEKHTLVALKRVQALQQRESSV
+APEATQNPPEEAAGDQKGGVAYTNQDRKILQLCGELYDLDASSLQLKVLQYLQQETQASR
+CCLLLVSEDNLQLSCKVIGDKVLEEEISFPLTTGRLGQVVEDKKSIQLKDLTSEDMQQLQ
+SMLGCEVQAMLCVPVISRATDQVVALACAFNKLGGDLFTDQDEHVIQHCFHYTSTVLTST
+LAFQKEQKLKCECQALLQVAKNLFTHLDDVSVLLQEIITEARNLSNAEICSVFLLDQNEL
+VAKVFDGGVVEDESYEIRIPADQGIAGHVATTGQILNIPDAYAHPLFYRGVDDSTGFRTR
+NILCFPIKNENQEVIGVAELVNKINGPWFSKFDEDLATAFSIYCGISIAHSLLYKKVNEA
+QYRSHLANEMMMYHMKVSDDEYTKLLHDGIQPVAAIDSNFASFTYTPRSLPEDDTSMAIL
+SMLQDMNFINNYKIDCPTLARFCLMVKKGYRDPPYHNWMHAFSVSHFCYLLYKNLELTNY
+LEDMEIFALFISCMCHDLDHRGTNNSFQVASKSVLAALYSSEGSVMERHHFAQAIAILNT
+HGCNIFDHFSRKDYQRMLDLMRDIILATDLAHHLRIFKDLQKMAEVGYDRTNKQHHSLLL
+CLLMTSCDLSDQTKGWKTTRKIAELIYKEFFSQGDLEKAMGNRPMEMMDREKAYIPELQI
+SFMEHIAMPIYKLLQDLFPKAAELYERVASNREHWTKVSHKFTIRGLPSNNSLDFLDEEY
+EVPDLDGARAPINGCCSLDAE
+>sp|Q0VCP3|OLFL3_BOVIN Olfactomedin-like protein 3 OS=Bos taurus OX=9913 GN=OLFML3 PE=2 SV=1
+MGPHTQLLILLLLSWLGPLQGQQHHLVEYMERRLAALEERLAQCQDQSSRHAAELRDFKN
+KMLPLLEVAEKEREALRTEADTISGRVDRLEREVDYLETQNPALPCVEVDEKVTGGPGTK
+GKGRRNEKYDMITDCGYTISQVRSMKILKRFGGPAGLWTKDPLGPAEKIYVLDGTQNDTA
+FVFPRLRDFTLAMAARKASRVRVPFPWVGTGQLVYGGFLYYARRPPGGPGGGGELQNTLQ
+LIKFHLANRTVVDSSVFPAEGLIPPYGLTADTYIDLAADEEGLWAVYATREDDRHLCLAK
+LDPQTLDTEQQWDTPCPRENAEAAFVICGTLYVVYNTRPASRARIQCSFDASGTLTPERA
+ALPYFPRRYGAHASLRYNPRERQLYAWDDGYQIVYKLEMRKKEEEV
+>sp|P58874|OPT_BOVIN Opticin OS=Bos taurus OX=9913 GN=OPTC PE=1 SV=2
+MKLLALLSLLILMLQEARTASLSEEREGDPYAILHLGDYVLSLDNYDEVIDPSNYDELID
+YGDQLPQVKGTSLASLTRTRFTQSTEAARTLPSNPTTARPPTLGLLAAPANHGLPTCLIC
+VCLGSSVYCDDADLENIPPLPQTTAYLYARFNRISHIRAGDFKGLTKLKRIDLSGNSISS
+IDDKALRLLPALRDLILPENKLVALPTLPTSIEVLDVRMNRLQSSGIQPEAFRALEKLQF
+LYLADNLLDAIPPSLPLSLRSLHLQNNMIETMQRDAFCDAEEHRHTRRPLEDIRLDGNPI
+NLSLFPSAYFCLPRLPTGRFV
+>sp|A6QLE5|NLRP3_BOVIN NACHT, LRR and PYD domains-containing protein 3 OS=Bos taurus OX=9913 GN=NLRP3 PE=2 SV=1
+MRMVSVRCKLARYLEDLEDIDFKKFKMHLEDYPSQKGCTSIPRGQTEKADHVDLATLMID
+FNGEEKAWAMAKWIFAAINRRDLYEKAKREEPEWENANISVLSQEESLEEEWMGLLGYLS
+RISICRKKKDYCKKYRKYVRSKFQCIKDRNARLGESVNLNKRFTRLRLIKEHRSQQEREH
+ELLAIGRTWAKIQDSPVSSVNLELLFDPEDQHSEPVHTVVFQGAAGIGKTILARKIMLDW
+ASEKLYQDRFDYLFYIHCREVSLGTQRSLGDLIASCCPGPNPPIGKIVSKPSRILFLMDG
+FDELQGAFDEHTEALCTNWRKVERGDILLSSLIRKRLLPEASLLITTRPVALEKLQHLLG
+QARHVEILGFSEARRKEYFLKYFSDEQQAREAFRLIQENEILFTMCFIPLVCWIVCTGLK
+QQMDSGKSLARTSKTTTAVYIFFLSSLLQSQGGSQENHNSATLWGLCSLAADGIWNQKIL
+FQECDLRNHGLQKADVSAFLRMNLFQKEVDCEKFYSFIHMTFQEFFAAMYYLLEEDNHGE
+MRNTPQACSKLPNRDVKVLLENYGKFEKGYLIFVVRFLFGLINQERTSYLEKKLSCKISQ
+KIRLELLKWIEAKANAKTLQIEPSQLELFYCLYEMQEEDFVQRAMSHFPKIEIKLSTRMD
+HVVSSFCIENCRHVESLSLRLLHNSPKEEEEEEEVRHSHMDRSVLSDFEVAYSQGLVNYL
+TSSICRGIFSVLSNNWNLTELNLSGNTLGDPGMNVLCETLQQPGCNIRRLWLGQCCLSHQ
+CCFNISSVLSNNQKLVELDLSHNALGDFGIRLLCVGLRHLFCNLKKLWLVSCCLTSASCE
+DLASVLSTNHSLTRLYLGENALGDSGVGILCEKVKNPHCNLQKLGLVNSGLTSGCCPALS
+SVLSTNQNLTHLYLQGNALGDMGVKLLCEGLLHRNCKLQVLELDNCSLTSHCCWDLSTLL
+TSNQSLRKLCLGNNDLGDLGVMLLCEVLKQQGCLLKSLRLCEMYFNYDTKRALETLQEEK
+PELTIVFEPSR
+>sp|Q02377|NDUA1_BOVIN NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 1 OS=Bos taurus OX=9913 GN=NDUFA1 PE=1 SV=1
+MWFEVLPGIAVMGVCLFIPGMATARIHRFSNGGKEKRVAHYPYQWYLMERDRRVSGVNRS
+YVSKGLENID
+>sp|P02548|NFL_BOVIN Neurofilament light polypeptide OS=Bos taurus OX=9913 GN=NEFL PE=1 SV=3
+MSSFSYEPYYSTSYKRRYVETPRVHISSVRSGYSTARSAYSSYSAPVSSSLSVRRSYSSS
+SGSLMPSLESLDLSQVAAISNDLKSIRTQEKAQLQDLNDRFASFIERVHELEQQNKVLEA
+ELLVLRQKHSEPSRFRALYEQEIRDLRLAAEDATNEKQALQGEREGLEETLRNLQARYEE
+EVLSREDAEGRLMEARKGADEAALARAELEKRIDSLMDEIAFLKKVHEEEIAELQAQIQY
+AQISVEMDVSSKPDLSAALKDIRAQYEKLAAKNMQNAEEWFKSRFTVLTESAAKNTDAVR
+AAKDEVSESRRLLKAKTLEIEACRGMNEALEKQLQELEDKQNADISAMQDTINKLENELR
+TTKSEMARYLKEYQDLLNVKMALDIEIAAYRKLLEGEETRLSFTSVGSLTTGYTQSSQVF
+GRSAYGGLQTSSYLMSARSFPSYYTSHVQEEQIEVEETIEAAKAEEAKDEPPSEGEAEEE
+EKEKEEAEAEAEAEAEAEAEEEEGAQEEEAAKEDAEEAKEEEGGEGEEAEETKEAEEEEK
+KDEGAGEEQATKKKD
+>sp|Q3SX11|NSA2_BOVIN Ribosome biogenesis protein NSA2 homolog OS=Bos taurus OX=9913 GN=NSA2 PE=2 SV=1
+MPQNEYIELHRKRYGYRLDYHEKKRKKEGREAHERSKKAKKMIGLKAKLYHKQRHAEKIQ
+MKKTIKMHEKRNTKQKNDEKTPQGAVPAYLLDREGQSRAKVLSNMIKQKRKEKAGKWEVP
+LPKVRAQGETEVLKVIRTGKRKKKAWKRMVTKVCFVGDGFTRKPPKYERFIRPMGLRFKK
+AHVTHPELKATFCLPILGVKKNPSSPLYTTLGVITKGTVIEVNVSELGLVTQGGKVIWGK
+YAQVTNNPENDGCINAVLLV
+>sp|Q3MHN7|NEIL3_BOVIN Endonuclease 8-like 3 OS=Bos taurus OX=9913 GN=NEIL3 PE=2 SV=2
+MVEGPGCTLNGEKIRARVRPGQAVTDVRGRALQGLGGPGSPPAAPGPMGTSQAAALNNNK
+NSSQDFLRLFNGHGYSGVETLGKELFMYFGPKALRIHFGMKGSLVINPLESKNKNGVSPV
+FEVQLTKDLICFFDSSVEIRNSTESQQRIRVMEELDVCSPRFSFSRAESEVKKQKGRMLC
+DVLMDQKVLPGVGNIIKNEALFDSGFHPSVKVCQLTDEQIHHLVKMIRNFSILFYRCCKV
+GSALSKHYKVYKRPNCGQCCCKITVCRLGENNRMTYFCPHCQKENPQHVDIRMLPVRNTT
+VNWPSSRERHLMDCVAQKSEEQWTCEVCTLINKLSSKTCDACLTSRPADSVLRNEGNPIV
+FNNLMKYPCNSFGKSKAKVKINRKTAFGTTTLVLTDFSNKHSALEREESHSHIPDGEFPS
+PPPNVCGSDTLNTSKERTNCRSQPSDKVNISPVVCSQYKLFSPAHKKLKTTHYSSPDLKS
+CNPGFSNSELQSSMTDGPCLLNAGSPRCSKHGRPCALRVVRKSGENKGRHFYACPLAREA
+QCGFFEWADLSFPFCNHGKRSIMRTVLKIGPNNGKNFFVCPLGKEKQCNFFQWAQNGPGI
+NIIPGC
+>sp|Q32PB1|PROF3_BOVIN Profilin-3 OS=Bos taurus OX=9913 GN=PFN3 PE=2 SV=1
+MGDWKGYISAVLRDQRIDDVAIVGHSDNRCVWASRPGGLLAAISPQEVGVLTGPDRSTFL
+QAGLCVAGRRCCVIRDHLLAEGDGVLDARTKGLDGRAICVGHTPRALLVLMGRRGVHGGI
+LNKTMHELIHGLRSQGT
+>sp|Q05B60|NUD14_BOVIN Uridine diphosphate glucose pyrophosphatase NUDT14 OS=Bos taurus OX=9913 GN=NUDT14 PE=2 SV=1
+MERIEGVAVGRCAASPYLVPLTLHYRQNGAQKSWDFMKTHDSVTILMFNSSRRSLVLVKQ
+FRPAVYAGEVERLFPGSLAAAEQDRPQALQAALPGSAGVTYELCAGLLDQPGLSLEEVAC
+KEAWEECGYRLAPSDLRRVTSYKSGVGLTGSSQTMFYAEVTDAQRGSPGGGLAEEGELIE
+VVHLPLDGARTFADDPDVPKTLGVIFGISWFFSCVAPGLGLQ
+>sp|O97725|NDUAC_BOVIN NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 12 OS=Bos taurus OX=9913 GN=NDUFA12 PE=1 SV=1
+MELLQVLKRGLQQVSGHGGLRGYLRVLFRANDVRVGTLVGEDKYGNKYYEDNKQFFGRHR
+WVIYTTEMNGKNTFWDVDGSMVPPEWHRWLHCMTDDPPTVKPPTARKFIWTNHKFNLSGT
+PQQYVPYSTTRKKIQEWVPPSTPYK
+>sp|P32871|PK3CA_BOVIN Phosphatidylinositol 4,5-bisphosphate 3-kinase catalytic subunit alpha isoform OS=Bos taurus OX=9913 GN=PIK3CA PE=1 SV=1
+MPPRPSSGELWGIHLMPPRILVECLLPNGMIVTLECLREATLITIKHELFKEARKYPLHQ
+LLQDESSYIFVSVTQEAEREEFFDETRRLCDLRLFQPFLKVIEPVGNREEKILNREIGFA
+IGMPVCEFDMVKDPEVQDFRRNILNVCKEAVDLRDLNSPHSRAMYVYPPNVESSPELPKH
+IYNKLDKGQIIVVIWVIVSPNNDKQKYTLKINHDCVPEQVIAEAIRKKTRSMLLSSEQLK
+LCVLEYQGKYILKVCGCDEYFLEKYPLSQYKYIRSCIMLGRMPNLMLMAKESLYSQLPMD
+CFTMPSYSRRISTATPYMNGETSTKSLWVINSALRIKILCATYVNVNIRDIDKIYVRTGI
+YHGGEPLCDNVNTQRVPCSNPRWNEWLNYDIYIPDLPRAARLCLSICSVKGRKGAKEEHC
+PLAWGNINLFDYTDTLVSGKMALNLWPVPHGLEDLLNPIGVTGSNPNKETPCLELEFDWF
+SSVVKFPDMSVIEEHANWSVSREAGFSYSHAGLSNRLARDNELRENDKEQLRAICTRDPL
+SEITEQEKDFLWSHRHYCVTIPEILPKLLLSVKWNSRDEVAQMYCLVKDWPPIKPEQAME
+LLDCNYPDPMVRGFAVRCLEKYLTDDKLSQYLIQLVQVLKYEQYLDNLLVRFLLKKALTN
+QRIGHFFFWHLKSEMHNKTVSQRFGLLLESYCRACGMYLKHLNRQVEAMEKLINLTDILK
+QEKKDETQKVQMKFLVEQMRRPDFMDALQGFLSPLNPAHQLGNLRLEECRIMSSAKRPLW
+LNWENPDIMSELLFQNNEIIFKNGDDLRQDMLTLQIIRIMENIWQNQGLDLRMLPYGCLS
+IGDCVGLIEVVRNSHTIMQIQCKGGLKGALQFNSHTLHQWLKDKNKGEIYDAAIDLFTRS
+CAGYCVATFILGIGDRHNSNIMVKDDGQLFHIDFGHFLDHKKKKFGYKRERVPFVLTQDF
+LIVISKGAQECTKTREFERFQEMCYKAYLAIRQHANLFINLFSMMLGSGMPELQSFDDIA
+YIRKTLALDKTEQEALEYFMKQMNDAHHGGWTTKMDWIFHTIKQHALN
+>sp|Q5E9Y9|NDK7_BOVIN Nucleoside diphosphate kinase 7 OS=Bos taurus OX=9913 GN=NME7 PE=2 SV=1
+MNHSERFVFIAEWFDPNASLFRRYELLFYPGDGSVEMHDVKNHRTFLKRTKYEDLHLEDL
+FIGNKVNIFSRQLVLLDYGDQYTARQLGSKKEKTLALIKPDAVSKAGEIIEIINKAGFTL
+TKLKMMTLSRKEATDFHIDHQSRPFLNELIQFITSGPIIAMEILRDDAVCEWKRLLGPAN
+SGLARTDAPESIRALFGTDGIKNAAHGPDSFACAAREMELFFPSSGVCGPANTAKFTNCT
+TCCIVKPHAVSEGLLGKILMTIRDAGFEISAMQMFNMDRINVEEFYEVYKGVVSEYNEMV
+TEMYSGPCVAMEIQQTNPTMTFREFCGPADPEIARHLRPGTLRAIFGKTKIQNAVHCTDL
+PEDGLLEVQYFFKILDN
+>sp|Q3T183|PR15L_BOVIN Proline-rich protein 15-like protein OS=Bos taurus OX=9913 GN=PRR15L PE=3 SV=1
+MTEVGWWKLTFLRKKKSTPKVLYEIPDTYTQSEGGAEPPRPDSGNPNSNFNTRLEKIVDK
+NTKGKHVKVSNSGRFKEKKKVRASLAENPNLFDDREGKGQ
+>sp|Q5E987|PSA5_BOVIN Proteasome subunit alpha type-5 OS=Bos taurus OX=9913 GN=PSMA5 PE=1 SV=1
+MFLTRSEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGIQTSEGVCLAVEKRITSPLME
+PSSIEKIVEIDAHIGCAMSGLIADAKTLIDKARVETQNHWFTYNETMTVESVTQAVSNLA
+LQFGEEDADPGAMSRPFGVALLFGGVDEKGPQLFHMDPSGTFVQCDARAIGSASEGAQSS
+LQEVYHKSMTLKEAIKSSLIILKQVMEEKLNATNIELATVQPGQNFHMFTKEELEEVIKD
+I
+>sp|Q2YDF2|MED30_BOVIN Mediator of RNA polymerase II transcription subunit 30 OS=Bos taurus OX=9913 GN=MED30 PE=2 SV=1
+MSTPPLAASGMAPGPFAGPQAQQAAREVNTASLCRIGQETVQDIVYRTMEIFQLLRNMQL
+PNGVTYHTGTYQDRLAKLQDHLRQLSILFRKLRLVYDKCNENCGGMDPIPVEQLIPYVEE
+DGSKDDRAGPPRFASEERREIAEVNKFQVNSKGTQPYLHMYPFSSKLPSHSGCRIPLSRI
+PCAVQNSNRRINS
+>sp|E1BJW1|HTRA4_BOVIN Serine protease HTR4 OS=Bos taurus OX=9913 GN=HTRA4 PE=3 SV=1
+MARPLQRPAGLGPFVLLWLLLPAPSGRGVQAGRAWPVPRCPAACEPTRCPPLPRCSVGTA
+PVLDRCGCCRVCAAAEGEACGGPLGRPCAPGLQCLAPRAPRLLGGRPLGTCGCPAAGATV
+CGSDGRTYRSLCALRAENRAARLRGALPAVPVQKGDCGDPGTGSAGWLRNKFNFIASVVE
+KVAPSVVHLQLFRRDRSPLGSEDVPVSSASGFIVSEDGLIVTNAHVLTNQQRIQVELQSG
+VQYEATVKDVDHKLDLALIKIEPNADLPVLLLGKSSDLRAGEFVVALGSPFSLQNTVTAG
+IVSTTQRGGKELGLKDSDMDYIQTDAIINHGNSGGPLVNLDGDVIGINTLKVTAGISFAI
+PSDRIRQFLAEFHERQLKGKALSQKKYLGLRMLPLTMNLLQEMKRQDPEFPDVASGVFVH
+EVIQGTAAESSGLKDHDVIVSINGLPVTTTTDVIEAVKANDSLSLLVRRKSQTLILTVTP
+EIIN
+>sp|Q58DS7|PON2_BOVIN Serum paraoxonase/arylesterase 2 OS=Bos taurus OX=9913 GN=PON2 PE=2 SV=1
+MGRLLALSLLGIALALLGERLLALRNRLKASREVESVDLPNCHLIKGIEAGAEDIDILPN
+GLAFFSVGLKCPGLHSFAPDKPGGILMMDLNEENPRALELRVSRGFNLASFNPHGISTFI
+DSDDTVYLFVVNHPEFKNTVEIFKFEEEENSLLHLKTIKHELLPSVNDIIAVGPEHFYAT
+NDHYFSDPFLKYLETYLNLHWTNVVYYSPNEVKVVAEGFDSANGINISPDKKYIYVADIL
+AHEIHVLEKHPNMNLTQLKVLKLDTLVDNLSIDPSSGDVLVGCHPNGQKLFVYDPKNPPS
+SEVLRIQNILSEKPTVTTVYANNGSVLQGSSVASVYDKKLLIGTLYHRALYCEL
+>sp|Q58DH9|NAGAB_BOVIN Alpha-N-acetylgalactosaminidase OS=Bos taurus OX=9913 GN=NAGA PE=2 SV=1
+MLLKTVLLLALASQVLVLENGLLRKPPMGWLAWERFRCNIDCSEDPKNCISEQLFMEMAD
+RLAQDGWRDLGYVYLNIDDCWIGGRDAKGNLVPDRKRFPHGIAFLADYAHSLGLKLGIYE
+DLGNFTCMGYPGTTLDKVVQDAQTFAEWKVDMLKLDGCYSTPQERAEGYPKMAAALNATG
+RPIAFSCSWPAYEGGLPPKVNYTLLADICNLWRNFDDIQDSWRSVLSVLDWFVTHQDVLQ
+PIAGPGHWNDPDMLLIGNFGLSFEQAQAQMALWTVLAAPLFMSTDLRTISAQNMDILQNP
+LMIKINQDPLGIQGRRILKEKSHIEVYLRPLASEASAIVFFSRRMDMPYHYHSSLARLNF
+SSSVVYEAQDVYTGDIISGLQDKTNFTVIINPSGVVMWYLYPIRKLEIPQQ
+>sp|P62935|PPIA_BOVIN Peptidyl-prolyl cis-trans isomerase A OS=Bos taurus OX=9913 GN=PPIA PE=1 SV=2
+MVNPTVFFDIAVDGEPLGRVSFELFADKVPKTAENFRALSTGEKGFGYKGSCFHRIIPGF
+MCQGGDFTRHNGTGGKSIYGEKFDDENFILKHTGPGILSMANAGPNTNGSQFFICTAKTE
+WLDGKHVVFGKVKEGMNIVEAMERFGSRNGKTSKKITIADCGQI
+>sp|P29473|NOS3_BOVIN Nitric oxide synthase, endothelial OS=Bos taurus OX=9913 GN=NOS3 PE=1 SV=3
+MGNLKSVGQEPGPPCGLGLGLGLGLCGKQGPASPAPEPSRAPAPATPHAPDHSPAPNSPT
+LTRPPEGPKFPRVKNWELGSITYDTLCAQSQQDGPCTPRCCLGSLVLPRKLQTRPSPGPP
+PAEQLLSQARDFINQYYSSIKRSGSQAHEERLQEVEAEVASTGTYHLRESELVFGAKQAW
+RNAPRCVGRIQWGKLQVFDARDCSSAQEMFTYICNHIKYATNRGNLRSAITVFPQRAPGR
+GDFRIWNSQLVRYAGYRQQDGSVRGDPANVEITELCIQHGWTPGNGRFDVLPLLLQAPDE
+APELFVLPPELVLEVPLEHPTLEWFAALGLRWYALPAVSNMLLEIGGLEFSAAPFSGWYM
+STEIGTRNLCDPHRYNILEDVAVCMDLDTRTTSSLWKDKAAVEINLAVLHSFQLAKVTIV
+DHHAATVSFMKHLDNEQKARGGCPADWAWIVPPISGSLTPVFHQEMVNYILSPAFRYQPD
+PWKGSATKGAGITRKKTFKEVANAVKISASLMGTLMAKRVKATILYASETGRAQSYAQQL
+GRLFRKAFDPRVLCMDEYDVVSLEHEALVLVVTSTFGNGDPPENGESFAAALMEMSGPYN
+SSPRPEQHKSYKIRFNSVSCSDPLVSSWRRKRKESSNTDSAGALGTLRFCVFGLGSRAYP
+HFCAFARAVDTRLEELGGERLLQLGQGDELCGQEEAFRGWAKAAFQASCETFCVGEEAKA
+AAQDIFSPKRSWKRQRYRLSTQAEGLQLLPGLIHVHRRKMFQATVLSVENLQSSKSTRAT
+ILVRLDTAGQEGLQYQPGDHIGICPPNRPGLVEALLSRVEDPPPPTESVAVEQLEKGSPG
+GPPPSWVRDPRLPPCTLRQALTFFLDITSPPSPRLLRLLSTLAEEPSEQQELETLSQDPR
+RYEEWKWFRCPTLLEVLEQFPSVALPAPLLLTQLPLLQPRYYSVSSAPNAHPGEVHLTVA
+VLAYRTQDGLGPLHYGVCSTWLSQLKTGDPVPCFIRGAPSFRLPPDPYVPCILVGPGTGI
+APFRGFWQERLHDIESKGLQPAPMTLVFGCRCSQLDHLYRDEVQDAQERGVFGRVLTAFS
+REPDSPKTYVQDILRTELAAEVHRVLCLERGHMFVCGDVTMATSVLQTVQRILATEGDME
+LDEAGDVIGVLRDQQRYHEDIFGLTLRTQEVTSRIRTQSFSLQERHLRGAVPWAFDPPGP
+DTPGP
+>sp|A4IFJ5|PA24A_BOVIN Cytosolic phospholipase A2 OS=Bos taurus OX=9913 GN=PLA2G4A PE=1 SV=1
+MSFIDPYQHIIVEHHYSHKFTVVVLRATKVTKGTFGDMLDTPDPYVELFISSTPDSRKRT
+RHFNNDINPVWNETFEFILDPNQENILEITLMDANYVMDETLGTTTFPISSMKVGEKKQV
+PFIFNQVTEMILEMSLEVCSSPDLRFSMALCDQEKAFRQQRKENIKENMKKLLGPKNSEG
+LHSTRDVPVVAILGSGGGFRAMVGFSGVMKALYESGILDCATYIAGLSGSTWYMSTLYSH
+PDFPEKGPEEINKELMKNVSHNPLLLLTPQKIKRYVESLWRKKSSGQPVTFTDIFGMLIG
+ETLIHNRMNTTLSSLKEKVNTGQCPLPLFTCLHVKPDVSELMFADWVEFSPFEIGMAKYG
+TFMAPDLFGSKFFMGTVVKKYEENPLHFLMGVWGSAFSILFNRVLGVSGSQSKGSTMEEE
+LENITAKHIVSNDSSDSDDESQGPKGTEHEEAEREYQNDNQASWVQRMLMALVSDSALFN
+TREGRAGKVHNFMLGLNLNTSYPMSPLRDFTMQESLDEDELDAAVADPDEFEQIYEPLDV
+KSKKIHVVDSGLTFNLPYPLILRPQRGVDLIISFDFSARPSDSSPPFKELLLAEKWAKMN
+KLPFPKIDPYVFDREGLKECYVFKPKNPDVEKDCPTIIHFVLANINFRKYKAPGVPRETN
+EEKEIADFDIFDDPESPFSTFNFQYPNQAFKRLHDLMYFNTLNNIDVIKNAIVESIEYRR
+QNPSRCSVSLSSVEARRFFNKEFLSKPTA
+>sp|Q32LE7|PDZ11_BOVIN PDZ domain-containing protein 11 OS=Bos taurus OX=9913 GN=PDZD11 PE=2 SV=1
+MDSRIPYDDYPVVFLPAYENPPAWIPPHERVYHPDYNNELTQFLPRIVTLKKPPGAQLGF
+NIRGGKASQLGIFISKVIPDSDAHRAGLQEGDQVLAVNDVDFQDIEHSKAVEILKTAREI
+SMRVRFFPYNYHRQKERTVH
+>sp|Q8SPP7|PGRP1_BOVIN Peptidoglycan recognition protein 1 OS=Bos taurus OX=9913 GN=PGLYRP1 PE=1 SV=1
+MSRRYTPLAWVLLALLGLGAAQDCGSIVSRGKWGALASKCSQRLRQPVRYVVVSHTAGSV
+CNTPASCQRQAQNVQYYHVRERGWCDVGYNFLIGEDGLVYEGRGWNTLGAHSGPTWNPIA
+IGISFMGNYMHRVPPASALRAAQSLLACGAARGYLTPNYEVKGHRDVQQTLSPGDELYKI
+IQQWPHYRRV
+>sp|Q5E9Z9|NECT4_BOVIN Nectin-4 OS=Bos taurus OX=9913 GN=NECTIN4 PE=2 SV=1
+MPLSLGAEMWGPAAWLLLLLLLASFTGQRLAGELETSDLVTVVLGQDAKLPCFYRGDPGE
+QVEHVAWARVDAGEGGRELALLNSKYGLHVSSAYEGRVEQPPPPRNPLDGAVLLRNAVHA
+DEGEYECRVSTFPAGSFQARLRLRVLVPPLPSLNPGPPLEEGQGLTLAASCTAEGSPAPS
+VTWDTEVKGTASHRSFTHSRSAAVTSEFHLVPSRSMNGQPLTCVVSHPGLLQDQRITHIL
+QVAFLAEASVRGLEDRKLWQVGREGAMLKCLSEGQPPPSYNWTRLDGPLPSGVRAEGDTL
+GFPTLTPEHSGTYVCRVSNALSSRDSQVVVDVLDPEDAPGKQVDLVSASVVVVGVIAALL
+FCLLVVVVVLMSRYHRRKAQQMTQKYEEELTLTRENSIRRLHSHHSDPRNQPEESVGLRA
+EGHPDSLKDNSSCSVMSEEPEGRSYSTLTTVREIETQTELPSPGPGRAEEEEDRDEGIKQ
+AMNHFVQENGTLRAKPTGNGIYINGRGHLV
+>sp|Q3MHN0|PSB6_BOVIN Proteasome subunit beta type-6 OS=Bos taurus OX=9913 GN=PSMB6 PE=1 SV=1
+MAATLVAARGTRPAPAWGPEAIAPDWENREVSTGTTIMAVQFDGGVVLGADSRTTTGSYI
+ANRVTDKLTPIHDRIFCCRSGSAADTQAVADAVTYQLGFHSIELNEPPLVHTAASLFKEM
+CYRYREDLMAGIIIAGWDPQEGGQVYSVPMGGMMVRQPFAIGGSGSSYIYGYVDATYREG
+MTKEECLQFTANALALAMERDGSSGGVIRLAAIAEPGVERQVLLGDQIPKFTIATLPPL
+>sp|Q0P5F2|PSMG1_BOVIN Proteasome assembly chaperone 1 OS=Bos taurus OX=9913 GN=PSMG1 PE=2 SV=1
+MAATFFGEVVRTPCRAGTEEEEEEEDGNRETPEDREVRRQLARKREVRLFRRQTKTTLEV
+SLLEKHPCSKFIIAIGNNAVAFLSSFVMNSGAWEEVGCAKLWNEWCRTTDTAHLSPTEAF
+CVFYHLKSNPSVMLCQCSCYVAEDQQYQWLEKVFGSCPRKNMQVTILTCRHVTDYKTSES
+TSSLHTPFLKALKTQNFKEPPFCSLLEQPNIVHDLPAAVLSYCQVWRIPAVLYLCYTDVM
+KLDLITIEAFKPVLSSKSLKCLVKNIPQSTEILKKLMTTNEIQSNIYT
+>sp|P79113|NPY2R_BOVIN Neuropeptide Y receptor type 2 OS=Bos taurus OX=9913 GN=NPY2R PE=2 SV=1
+MKMGPLGAEADENQTVEEMKVDQFGPGHTTLPGELAPDSEPELIDSTKLIEVQVVLILAY
+CSIILLGVIGNSLVIHVVIKFKSMRTVTNFFIANLAVADLLVNTLCLPFTLTYTLMGEWK
+MGPVLCHLVPYAQGLAVQVSTITLTVIALDRHRCIVYHLESKISKQISFLIIGLAWGVSA
+LLASPLAIFREYSLIEIIPDFEIVACTEKWPGEEKGIYGTIYSLSSLLILYVLPLGIISF
+SYTRIWSKLKNHVSPGAAHDHYHQRRQKTTKMLVCVVVVFAVSWLPLHAFQLAVDIDSHV
+LDLKEYKLIFTVFHIIAMCSTFANPLLYGWMNSNYRKAFLSAFRCEQRLDAIHSEVSVTF
+KAKKHLQVTKNNGPNDSFTETTNV
+>sp|F1MUS9|PSD1_BOVIN PH and SEC7 domain-containing protein 1 OS=Bos taurus OX=9913 GN=PSD PE=2 SV=1
+MAQGAMRFCSEGDCAISPPRCPRRWLPEGPVPQSPPASMYGSTGSLLRRVAGPGPRSREL
+GRVTAPCTPLRGPPSPRIAPSPWAPSSPTGQPPPGARSSVVIFRFVEKASVRPLNGLPAP
+GGLSRSWDLGGVSPPRPTPALGPGSHQKLRLEASTSDPLPAGGGSARPGTQGLLQGPPTQ
+PQVGADGLYSSLPNGLGGPSEHLATLFRGPADTGLLNQGDIWSSPREVSSHAQRIARAKW
+EFFYGSLDPPSSGAKPPEQAPPSPPGVGSGQGSGVAVGRAAKYSETDLDTVPLRCYRETD
+IDEVLTEREEADSAIESQPSSEGLPGTARPPAPRPGPCLGPHPSLGSGNEDEDEAGGEED
+VDDEVFEASEGARPGTRMPHSGPLKSPLPFLPGTSPSADGPDSFSCVFEAILESHRAKGT
+SYTSLASLEALASPGPTQSPFFTFELPPQPPAPRPDPPAPAPLAPLEPDSGTSSAADGPW
+TQRGEEEEAEAGAKQTPGRDPPSPCHSEDSFGLGAAPLGSEPPLNQLVSDSDSELDSTER
+LALGSTDTLSNGQKADLEAAQRLAKRLYRLDGFRKADVARHLGKNNDFSKLVAGEYLKFF
+VFTGMTLDQALRVFLKELALMGETQERERVLAHFSQRYFQCNPGALSSEDGAHTLTCALM
+LLNTDLHGHNIAETHDLRRLHRYLEGLNEGGDFPRELLKALYSSIKNEKLQWAIDEEELR
+RSLSELADPNPKVIKRVSGGSGSGSSPFLDLTPEPGAAVYKHGALVRKVHADPDCRKTPR
+GKRGWKNFHGILKGMILYLQKEEYQPGKALSEAELKNAISIHHALATRASDYSKRPHVFY
+LRTADWRVFLFQAPSLEQMQSWITRINVVAAMFSAPPFPAAVSSQKKFSRPLLPSAATRL
+SQEEQVRTHEAKLKAMASELREHRATQLTKKARGKEAEEQRQKEAYLEFEKSRYGTYAAL
+LRVKLKAGSEELDAVEAAVAQAGGTEDGLPPPHSSPSLPANTSSQPRAQCSDSEARAGAG
+SGRWKP
+>sp|Q288C4|NLRP9_BOVIN NACHT, LRR and PYD domains-containing protein 9 OS=Bos taurus OX=9913 GN=NLRP9 PE=2 SV=1
+MAESFFSDFGLLWYLEELKKEEFWKFKELLKQEPLKLKLKPIPWTELKKASRENVSKLLS
+KHYPGKLAWDVTLNLFLQISRDDLWRKARNEIRQKINPYRSHMKQKFQVLWEKEPCLLVP
+EDFYEETTKIEYELLSTVYLDAFKPGESSPTVVLHGPEGIGKTTFLRKVMLEWAKGNLWR
+DRFSFVFFLTGREMNGVTDMSLVELLSRDWPESSEPIEDIFSQPERILFILDGMEELKFD
+LDCNADLCEDWEQPQSMQVVLQSLLQKQMLPECSLLLALSKMGMRKNYSLLKHMKCIFLL
+GFSEHQRKLYFSHYFQEKDASSRAFSFVREKSSLFVLCQSPFLCWLVCTSLKCQLEKGED
+LELDSETITGLYVSFFTKVFRSGSETCPLKQRRARLKSLCTLAAEGMWTCTFLFCPEDLR
+RNGVSESDTSMWLDMKLLHRSGDCLAFIHTCIQEFCAAMFYMFTRPKDPPHSVIGNVTQL
+ITRAVSGHYSRLSWTAVFLFVFSTERMTHRLETSFGFPLSKEIKQEITQSLDTLSQCDPN
+NVMMSFQALFNCLFETQDPEFVAQVVNFFKDIDIYIGTKEELIICAACLRHCHSLQKFHL
+CMEHVFPDESGCISNTIEKLTLWRDVCSAFAASEDFEILNLDNCRFDEPSLAVLCRTLSQ
+PVCKLRKFVCNFASNLANSLELFKVILHNPHLKHLNFYGSSLSHMDARQLCEALKHPMCN
+IEELMLGKCDITGEACEDIASVLVHNKKLNLLSLCENALKDDGVLVLCEALKNPDCALEA
+LLLSHCCFSSAACDHLSQVLLYNRSLTFLDLGSNVLKDEGVTTLCESLKHPSCNLQELWL
+MNCYFTSVCCVDIATVLIHSEKLKTLKLGNNKIYDAGAKQLCKALKHPKCKLENLGLEAC
+ELSPASCEDLASALTTCKSLTCVNLEWITLDYDGAAVLCEALVSLECSLQLLGLNKSSYD
+EEIKMMLTQVEEMNPNLIISHHLWTDDEGRRRGILV
+>sp|P11541|PDE6A_BOVIN Rod cGMP-specific 3',5'-cyclic phosphodiesterase subunit alpha OS=Bos taurus OX=9913 GN=PDE6A PE=1 SV=3
+MGEVTAEEVEKFLDSNVSFAKQYYNLRYRAKVISDLLGPREAAVDFSNYHALNSVEESEI
+IFDLLRDFQDNLQAEKCVFNVMKKLCFLLQADRMSLFMYRARNGIAELATRLFNVHKDAV
+LEECLVAPDSEIVFPLDMGVVGHVALSKKIVNVPNTEEDEHFCDFVDTLTEYQTKNILAS
+PIMNGKDVVAIIMVVNKVDGPHFTENDEEILLKYLNFANLIMKVFHLSYLHNCETRRGQI
+LLWSGSKVFEELTDIERQFHKALYTVRAFLNCDRYSVGLLDMTKQKEFFDVWPVLMGEAP
+PYAGPRTPDGREINFYKVIDYILHGKEDIKVIPNPPPDHWALVSGLPTYVAQNGLICNIM
+NAPSEDFFAFQKEPLDESGWMIKNVLSMPIVNKKEEIVGVATFYNRKDGKPFDEMDETLM
+ESLTQFLGWSVLNPDTYELMNKLENRKDIFQDMVKYHVKCDNEEIQTILKTREVYGKEPW
+ECEEEELAEILQGELPDADKYEINKFHFSDLPLTELELVKCGIQMYYELKVVDKFHIPQE
+ALVRFMYSLSKGYRRITYHNWRHGFNVGQTMFSLLVTGKLKRYFTDLEALAMVTAAFCHD
+IDHRGTNNLYQMKSQNPLAKLHGSSILERHHLEFGKTLLRDESLNIFQNLNRRQHEHAIH
+MMDIAIIATDLALYFKKRTMFQKIVDQSKTYETQQEWTQYMMLDQTRKEIVMAMMMTACD
+LSAITKPWEVQSKVALLVAAEFWEQGDLERTVLQQNPIPMMDRNKADELPKLQVGFIDFV
+CTFVYKEFSRFHEEITPMLDGITNNRKEWKALADEYETKMKGLEEEKQKQQAANQAAAGS
+QHGGKQPGGGPASKSCCVQ
+>sp|Q2KIC6|NRN1_BOVIN Neuritin OS=Bos taurus OX=9913 GN=NRN1 PE=2 SV=1
+MGLKLNGRYISLILAVQIAYLVQAVRAAGKCDAVFKGFSDCLLKLGDSMANYPQGLDDKT
+NIKTVCTYWEDFHSCTVTALTDCQEGAKDMWDKLRKESKNLNIQGSLFELCGGGNGAAGP
+LLPALPVLLVSLSAALATWLSF
+>sp|Q6IE77|NEIL2_BOVIN Endonuclease 8-like 2 OS=Bos taurus OX=9913 GN=NEIL2 PE=2 SV=3
+MPEGPSVRKFHHLVSPFVGQQVVKTGGSSKKLNPTSFQSLWLQDSQVHGKKLFLRFDPDE
+EAVSLGNSLLSEPLREGEQKDKARHHQEASDPSSWSPGGDSAVPSGDDGLQCLGGDTPAG
+GAERWLQVSFGLFGSIRVNEFSRAKKANKRGDWRDPVPRLVLHFSGSGFLAFYNCQMTWR
+FSSPVVSPASDILSEKFHRGQALEALGREQPICYTLLDQRYFSGLGNIIKNEALFRAGIH
+PLSPGSLLGLPRLEALVDHVVAFSADWLQGKFQGTRQHTQIYQKEQCPAGHQVVRESLGP
+PGGFQRLTWWCPQCQPRLSADEPKQLQPS
+>sp|P23935|NDUA5_BOVIN NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 5 OS=Bos taurus OX=9913 GN=NDUFA5 PE=1 SV=3
+MAGLLKKTTGLVGLAVCETPHERLKILYTKILDVLGHIPKNAAYRKYTEQITNEKLSMVK
+AEPDVKKLEERLQGGQIEEVILQAENELSLARKMIQWKPWEPLVEEPPASQWKWPI
+>sp|Q8MJV2|NPBW2_BOVIN Neuropeptides B/W receptor type 2 OS=Bos taurus OX=9913 GN=NPBWR2 PE=2 SV=1
+MMEATGLEGLESTSSPCPGSTGTGLSWDNGTRHNATFPEPLPALYVLLPVVYSVICAVGL
+VGNAAVICVILRAPKMKTVTHVFILNLAIADGLFTLVLPTNIAEHLLQRWPFGEVLCKLV
+LAIDHCNIFSSVYFLAAMSIDRYLVVLATARSRRMPRRTVHRAKVASLCVWLGVTVAVLP
+FLTFAGVYNNELQVTSCGLSFPRPERAWFQASRIYTLVLGFVVPMCTLCVLYADLLRRLR
+ALRLHSGAKALGKAKRKVSLLVLAVLAVGLLCWTPFHLASIVALTTDLPQTPLVIIVSYV
+VTSLSYTSSCLNPFLYAFLDHSFRKSLRTACRCQGA
+>sp|P79122|PININ_BOVIN Pinin OS=Bos taurus OX=9913 GN=PNN PE=2 SV=3
+MAVAVRTLQEQLEKAKESLKNVDENIRKLTGRDPNDVRPIQARLLALSGPGGGRGRGSLL
+LRRGFSDSGGGPPAKQRDLEGAVSRLGGERRTRRESRQESDPEDDDVKKPALQSSVVATS
+KERSTERPLFQDQNTDEKETPERPGPIFGLLMGTLQKFKQESTVATERQKRRQEIEQKLE
+VQAEEERKQVENERRELFEERRAKQTELRLLEQKVELAQLQEEWNEHNAKIIKYIRTKTK
+PHLFYIPGRMCPAPKLIEESQRKTNALFEGRRIEFAEQINKMEARPRRQSMKEKEHQVVV
+RNEEQKSEQEEGKVAPRTRVMLRALDDLVARVGTPSPRRGSGEEEEKEIPIVHSDAEKEQ
+EEEEQKQEMEVKMEEETEVRESEKQQDSQPEEVMDVLEMVESVKNVIAEQEVMETNQVER
+VEPSENEASKELEPEMEFEIEPDKECKSLSPGKENASTLEMENEPEEKEEKESEPQPEPM
+AQPQAQSLPQPQPQRHRQSQSQPQQYSSPPPLSQPETLPLAVSQPPPQLIQRQGHLPPER
+KEFLVESVKLTEVPTEPVLTVHSESKYETKTRSRSRGRARNRTSKSRSRSSSSSSSSSSS
+TSSSSGSSSSSGSSSSRTSSSSSSTSGSSSRDSSSSTTSSSESRSRSRGRGHNRDRKHRR
+SVDRKRRDASGLERSHKSAKGGSSRDAKAVSSSGMPRFKPGQL
+>sp|A1A4Q9|NUD16_BOVIN U8 snoRNA-decapping enzyme OS=Bos taurus OX=9913 GN=NUDT16 PE=2 SV=1
+MAGMRRLELSEALHLGPGWRHACHALLYAPDPGLLFGRIPLRYAVLMQMRFDGRLGFPGG
+FVDLRDGSLEDGLNRELGEELGEAAGAFRVERADYRSSHAGSRPRVVAHFYTKLLTLEQL
+TAVEMGAPRARDHGLEVLGLVRVPLYTLRDGVGGLPAFLENTFIGNAREQLLEAVQNLGL
+LEPGSFARLKISTPP
+>sp|Q95KV7|NDUAD_BOVIN NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 13 OS=Bos taurus OX=9913 GN=NDUFA13 PE=1 SV=3
+MAASKVKQDMPPVGGYGPIDYKRNLPRRGLSGYSMFAVGIGALLFGYWSMMKWNRERRRL
+QIEDFEARIALMPLLQAEKDRRVLQMLRENLEEEATVMKDVPGWKVGESVFHTTRWVTPM
+MGELYGLRASEEVLSATYGFIWYT
+>sp|Q2KJ25|PSD12_BOVIN 26S proteasome non-ATPase regulatory subunit 12 OS=Bos taurus OX=9913 GN=PSMD12 PE=2 SV=3
+MADGGSERADGRIVKMEVDYSATVDQRLPECEKLAKEGRLQEVIETLLSLEKQTRTASDM
+VSTSRILVAIVKMCYEAKEWDLLNENIMLLSKRRSQLKQAVAKMVQQCCTYVEEITDLPI
+KLRLIDTLRMVTEGKIYVEIERARLTKTLATIKEQNGDVKEAASILQELQVETYGSMEKK
+ERVEFILEQMRLCLAVKDYIRTQIISKKINTKFFQEENTEKLKLKYYNLMIQLDQHEGSY
+LSICKHYRAIYDTPCIQAESEKWQQALKSVVLYVILAPFDNEQSDLVHRISGDKKLEEIP
+KYKDLLKLFTTMELMRWSTLVEDYGMELRKGSLESPATDVFGYTEEGEKRWKDLKNRVVE
+HNIRIMAKYYTRITMKRMAQLLDLSVDESEAFLSNLVVNKTIFAKVDRLAGIINFQRPKD
+PNNLLNDWSQKLNSLMSLVNKTTHLIAKEEMIHNLQ
+>sp|A6QNX3|NXT2_BOVIN NTF2-related export protein 2 OS=Bos taurus OX=9913 GN=NXT2 PE=2 SV=1
+MAMAVEFKTYVDQACRAAEEFVNIYYETMDKRRRALTRLYLDKATLIWNGNVVTGLEALA
+NFFDMLPSSEFQVNMLDCQPVHEQATQSQTTVLVVTSGTVKFDGNKQHYFNQNFLLTAQT
+TANNTVWKIASDCFRFQDWATI
+>sp|Q1LZ74|OARD1_BOVIN ADP-ribose glycohydrolase OARD1 OS=Bos taurus OX=9913 GN=OARD1 PE=2 SV=1
+MAGSPNEDSEGSRITYVKGDLFACPQTDSLVHCISEDCRMGAGIAVLFKKKFGGVQELLN
+QQKKSGEVAVLKRDGRYIYYLITKKRASHKPTYENLRKSLEAMKSHCLKNGVTDLSMPRI
+GCGLDRLQWENVSAIIEEVFEATDIRITVYTL
+>sp|A7YWL5|INSY1_BOVIN Inhibitory synaptic factor 1 OS=Bos taurus OX=9913 GN=INSYN1 PE=2 SV=1
+MNIRGTPDLGQPSDDPSSGGERERIRQRMKMVIGQLEDILRELKEVAKELREVVSQIDKL
+TSDFDFELEPDDWTTATVSSTSSSDKAGVGGPFDLGHLDFMTADILSDSWEFCSFLDIST
+PSDSVDCPESTRPGAGPDYQLMNGGLPVPNGPRVETPDSSSEEAFSAGPVKGQLPQRTPG
+TRERVRFSDKVLYHALCCDDEEGDGEEEAAEEEGGLSPEPAHTEAPAGPLKPSPAPYKPR
+RSPLTGRRSGPTSVPEQTRRVTRNSSTQTVSDKSTQTVLPYTATRQKAKGKN
+>sp|P35508|KC1D_BOVIN Casein kinase I isoform delta OS=Bos taurus OX=9913 GN=CSNK1D PE=2 SV=2
+MELRVGNRYRLGRKIGSGSFGDIYLGTDIAAGEEVAIKLECVKTKHPQLHIESKIYKMMQ
+GGVGIPTIRWCGAEGDYNVMVMELLGPSLEDLFNFCSRKFSLKTVLLLADQMISRIEYIH
+SKNFIHRDVKPDNFLMGLGKKGNLVYIIDFGLAKKYRDARTHQHIPYRENKNLTGTARYA
+SINTHLGIEQSRRDDLESLGYVLMYFNLGSLPWQGLKAATKRQKYERISEKKMSTPIEVL
+CKGYPSEFATYLNFCRSLRFDDKPDYSYLRQLFRNLFHRQGFSYDYVFDWNMLKFGASRA
+ADDAERERRDREERLRHSRNPATRGLPSTASGRLRGTQEVAPPTPLTPTSHTANTSPRPV
+SGMERERKVSMRLHRGAPVNISSSDLTGRQDTSRMSTSQIPGRVASSGLQSVVHR
+>sp|P51490|OPSB_BOVIN Short-wave-sensitive opsin 1 OS=Bos taurus OX=9913 GN=OPN1SW PE=1 SV=1
+MSKMSEEEEFLLFKNISLVGPWDGPQYHLAPVWAFHLQAVFMGFVFFVGTPLNATVLVAT
+LRYRKLRQPLNYILVNVSLGGFIYCIFSVFIVFITSCYGYFVFGRHVCALEAFLGCTAGL
+VTGWSLAFLAFERYIIICKPFGNFRFSSKHALMVVVATWTIGIGVSIPPFFGWSRFVPEG
+LQCSCGPDWYTVGTKYYSEYYTWFLFIFCYIVPLSLICFSYSQLLGALRAVAAQQQESAS
+TQKAEREVSHMVVVMVGSFCLCYTPYAALAMYIVNNRNHGVDLRLVTIPAFFSKSACVYN
+PIIYCFMNKQFRACIMEMVCGKPMTDESELSSSQKTEVSTVSSSQVGPN
+>sp|P31717|NMT1_BOVIN Glycylpeptide N-tetradecanoyltransferase 1 OS=Bos taurus OX=9913 GN=NMT1 PE=1 SV=2
+MGDESETAVKPPAPPLPQMMEGNGNGHEHCSDCENEEDNSYNRGGLSPANDTGAKKKKKK
+QKKKKEKGSETDSAQDQPVKMNSLPAERIQEIQKAIELFSVGQGPAKTMEEASKRSYQFW
+DTQPVPKLGEVVNTHGPVEPDKDNIRQEPYTLPQGFTWDALDLGDRGVLKELYTLLNENY
+VEDDDNMFRFDYSPEFLLWALRPPGWLPQWHCGVRVVSSRKLVGFISAIPANIHIYDTEK
+KMVEINFLCVHKKLRSKRVAPVLIREITRRVHLEGIFQAVYTAGVVLPKPVGTCRYWHRS
+LNPRKLIEVKFSHLSRNMTMQRTMKLYRLPETPKTAGLRPMEKKDIPVVHQLLSRYLKQF
+HLTPVMSQEEVEHWFYPQENIIDTFVVENANGEVTDFLSFYTLPSTIMNHPTHKSLKAAY
+SFYNVHTQTPLLDLMSDALVLAKMKGFDVFNALDLMENKTFLEKLKFGIGDGNNLQYYLY
+NWKCPSMGAEKVGLVLQ
+>sp|Q32KX8|MTL26_BOVIN Methyltransferase-like 26 OS=Bos taurus OX=9913 GN=METTL26 PE=2 SV=1
+MLVAEAAERNKEPILQVLRQYLDSAQRGVRVLEVASGSGQHIAHFARAFPHAEWQPSDVD
+QRCLDSILATTQAHGLPNVKAPMYLDVTWDWKQWGGILPQSLDLLVCINMSHISPLSCTE
+GLFRAAGHLLKPKAVLITYGAYAINGKISPQSNVDFDLTLRCRNPEWGLRDTSLLKDLGQ
+ASGLLLERMVDMPANNKCLIFRKQ
+>sp|P56602|PPOX_BOVIN Protoporphyrinogen oxidase OS=Bos taurus OX=9913 GN=PPOX PE=1 SV=2
+MGRTVVVLGGGISGLAASYYLSRAPCPPKVVLVEGSERLGGWIRSVRGPDGAIFELGPRG
+IRPAGALGARTLLLVSELGLDSEVLPVRGDHPAAQNRFLYVGGALHALPSGIRGLLRPSP
+PFSKPLFWAGLRDLTTPRGKDPDETVHSFAQRRLGPEVASLAMDSLCRGVFAGNSRELSI
+RSCFPSLFQAEQTHRSILLGLLLGAGRGPQLDSALIRQAQAERWSQWSLRGGLETLPQAL
+HAHLTSRGVSVLQGQPVCGLSLQAEGRWKVSLEDSSLEADHIISAIPASVLSKLLPAEAT
+PLARALSTITAVSVAVVNLQYRGARLPVQGFGHLVPSSEDPVILGIVYDSVAFPEQDGSL
+PGLRLTVMLGGSWLQTLEARGCVLSQELLQQEAEKAAATQLGLNEPPSHCLVHLHKNSIP
+QYTLGHWQKLESAAQFLAAQKLPLTLAGASYEGVAVNDCIESGRQAAARVLGTEPNS
+>sp|P07516|PPR1B_BOVIN Protein phosphatase 1 regulatory subunit 1B OS=Bos taurus OX=9913 GN=PPP1R1B PE=1 SV=1
+MDPKDRKKIQFSVPAPPSQLDPRQVEMIRRRRPTPAMLFRLSEHSSPEEEASPHQRASGE
+GHHLKSKRSNPCAYTPPSLKAVQRIAESHLQSISNLGENQASEEEDELGELRELGYPREE
+EEEEEEEDEEEEEDSQAEVLKGSRGSAGQKTTYGQGLEGPWERPPPLDGPQRDGSSEDQV
+EDPALNEPGEEPQRPAHPEPGT
+>sp|Q3T165|PHB_BOVIN Prohibitin OS=Bos taurus OX=9913 GN=PHB PE=2 SV=1
+MAAKVFESIGKFGLALAVAGGVVNSALYNVDAGHRAVIFDRFRGVQDIVVGEGTHFLIPW
+VQKPIIFDCRSRPRNVPVITGSKDLQNVNITLRILFRPVASQLPRIFTSIGEDYDERVLP
+SITTEILKSVVARFDAGELITQRELVSRQVSDDLTERAATFGLILDDVSLTHLTFGKEFT
+EAVEAKQVAQQEAERARFVVEKAEQQKKAAIISAEGDSKAAELIANSLATAGDGLIELRK
+LEAAEDIAYQLSRSRNITYLPAGQSVLLQLPQ
+>sp|Q3T108|PSB4_BOVIN Proteasome subunit beta type-4 OS=Bos taurus OX=9913 GN=PSMB4 PE=1 SV=1
+MEALLESRSGLWAGGPAPGQFYRIPPTPGSSVDPVSALYGSPITRTQNPMVTGTSVLGLK
+FEGGVVIAADMLGSYGSLARFRNISRIMRVNNSTMLGASGDYADFQYLKQVLGQMVIDEE
+LLGDGHSYSPKAIHSWLTRAMYSRRSKMNPLWNTMVIGGYADGESFLGYVDMLGVAYEAP
+SLATGYGAYLAQPLLREVLEKQPVLSQTEARELVERCMRVLYYRDARSYNRFQIATVTEK
+GVEIEGPLSAETNWDIAHMISGFE
+>sp|Q5E9G3|PSME2_BOVIN Proteasome activator complex subunit 2 OS=Bos taurus OX=9913 GN=PSME2 PE=1 SV=3
+MAKPCGVRLSGEALKQVDVFRQNLFQEAEEFLYRFLPQKIIYLNQLLQEDSFNVTDLNSL
+RAPLDIPIPDPPPKDDEMETDKQEKKEVPKCGFLPGNEKVLALLALVKPEVWTLKEKCIL
+VITWIQHLIPKIEDGNDFGVAIQEKVLERVNAVKTKVEAFQTTISKYFSERGDAVAKASK
+ETHVMDYRALVHERDEAVYGDLRAMVLDLRAFYAELYHIISSNLEKIVNPKGEEKPSMY
+>sp|P80109|PHLD_BOVIN Phosphatidylinositol-glycan-specific phospholipase D OS=Bos taurus OX=9913 GN=GPLD1 PE=1 SV=1
+MSAFRFWSGLLMLLGFLCPRSSPCGISTHIEIGHRALEFLHLQDGSINYKELLLRHQDAY
+QAGSVFPDSFYPSICERGQFHDVSESTHWTPFLNASVHYIRKNYPLPWDEDTEKLVAFLF
+GITSHMVADVNWHSLGIEQGFLRTMAAIDFHNSYPEAHPAGDFGGDVLSQFEFKFNYLSR
+HWYVPAEDLLGIYRELYGRIVITKKAIVDCSYLQFLEMYAEMLAISKLYPTYSVKSPFLV
+EQFQEYFLGGLEDMAFWSTNIYHLTSYMLKNGTSNCNLPENPLFITCGGQQNNTHGSKVQ
+KNGFHKNVTAALTKNIGKHINYTKRGVFFSVDSWTMDSLSFMYKSLERSIREMFIGSSQP
+LTHVSSPAASYYLSFPYTRLGWAMTSADLNQDGYGDLVVGAPGYSHPGRIHVGRVYLIYG
+NDLGLPRIDLDLDKEAHGILEGFQPSGRFGSAVAVLDFNVDGVPDLAVGAPSVGSEKLTY
+TGAVYVYFGSKQGQLSSSPNVTISCQDTYCNLGWTLLAADVNGDSEPDLVIGSPFAPGGG
+KQKGIVAAFYSGSSYSSREKLNVEAANWMVKGEEDFAWLGYSLHGVNVNNRTLLLAGSPT
+WKDTSSQGHLFRTRDEKQSPGRVYGYFPPICQSWFTISGDKAMGKLGTSLSSGHVMVNGT
+RTQVLLVGAPTQDVVSKVSFLTMTLHQGGSTRMYELTPDSQPSLLSTFSGNRRFSRFGGV
+LHLSDLDNDGLDEIIVAAPLRITDATAGLMGEEDGRVYVFNGKQITVGDVTGKCKSWVTP
+CPEEKAQYVLISPEAGSRFGSSVITVRSKEKNQVIIAAGRSSLGARLSGVLHIYRLGQD
+>sp|P02720|PPCT_BOVIN Phosphatidylcholine transfer protein OS=Bos taurus OX=9913 GN=PCTP PE=1 SV=1
+MDPGAGAFSEEQFREACAELQRPALSGAAWELLVETQGISVYRLLDQQTGLYAYKVFGVL
+EDCLPDLLADVYMDLAYRKQWDQYVKELYEKECSGETVVYWQVKYPFPMSNRDYVYVRQR
+QELDFEGQKVHVILAQSTSEPQFPEKSGVIRVKHYKQRLAIQSDGKRGSKVFMYYFDNPG
+GQIPSWVINWAAKNGVPNFLKDMVKACQNYKKT
+>sp|O02786|MSX1_BOVIN Homeobox protein MSX-1 OS=Bos taurus OX=9913 GN=MSX1 PE=2 SV=2
+MAPAADMTSLPLGVKVEDPPFGKPAGGGGGQTPSTTAATAAAMGADAEGAKPKVSPSLLP
+FSVEALMADHRKPGAKKSVLAASEGAQAAGGSAKPLGARPGSLAAPDAPSSPRPLGHFSV
+GGLLKLPEDALVKAESPEKPERTPWMQNPRFSPPPSRRLSPPACTLRKHKTNRKPRTPFT
+TAQLLALERKFRQKQYLSIAERAEFSSSLSLTETQVKIWFQNRRAKAKRLQEAELEKLKM
+AAKPMLPPATFGLSFPLGGPAAVAAPAGASLYGASGPFQRAALPVAPVGLYTAHVGYSMY
+HLT
+>sp|Q4PS77|MTG1_BOVIN Mitochondrial ribosome-associated GTPase 1 OS=Bos taurus OX=9913 GN=MTG1 PE=2 SV=2
+MRLSPRSLCAAVRAAWRESFPLEGRDVARWFPGHMAKGLKKMQSSLRLVDCIIEVHDAQI
+PLSGRNPLFQETLGLKPHLLVLNKMDLADLKEQQKIIQHLEREGIKHVVFTNCVKDENVK
+QVIPTVTELVGSSYRYHRGEHVEYCIMVIGVPNVGKSSLINSLRRQHLRKGKATRVGGEP
+GITRAVMSRIQVCERPLMFLLDTPGVLAPRIPSVETGLKLALCGTVLDHLVGEETLADFL
+LYTLNRHQLSGYVQHYGLGEACDDIASVLKRVAVKLRKTQKVKVLTGTGNVNVIQPDYPA
+AARDFLRAFRSGLLGPVMLDRDLLQGRSAEES
+>sp|P16586|PDE6C_BOVIN Cone cGMP-specific 3',5'-cyclic phosphodiesterase subunit alpha' OS=Bos taurus OX=9913 GN=PDE6C PE=1 SV=1
+MGEISQETVEKYLEANPQFAKEYFNRKLQVEVPSGGAQAPASASFPGRTLAEEAALYLEL
+LEVLLEEAGSVELAAHRALQRLAQLLQADRCSMFLCRARNGTPEVASKLLDVTPTSKFED
+NLVVPDREAVFPLDVGIVGWVAHTKKTFNVPDVKKNSHFSDFMDKQTGYVTRNLLATPIV
+MGKEVLAVFMAVNKVDASEFSKQDEEVFSKYLSFVSIILKLHHTNYLYNIESRRSQILMW
+SANKVFEELTDVERQFHKALYTVRTYLNCERYSIGLLDMTKEKEFYDEWPVKLGEVEPYK
+GPKTPDGREVIFYKIIDYILHGKEEIKVIPTPPMDHWTLISGLPTYVAENGFICNMLNAP
+ADEYFTFQKGPVDETGWVIKNVLSLPIVNKKEDIVGVATFYNRKDGKPFDEYDEHIAETL
+TQFLGWSLLNTDTYEKMNKLENRKDIAQEMLMNHTKATPDEIKSILKFKEKLNIDVIEDC
+EEKQLVTILKEDLPDPRTADLYEFRFRHLPITEHELIKCGLRLFFEINVVEKFKVPVEVL
+TRWMYTVRKGYRAVTYHNWRHGFNVGQTMFTLLMTGRLKKYYTDLEAFAMLAAAFCHDID
+HRGTNNLYQMKSTSPLARLHGSSILERHHLEYSKTLLQDESLNIFQNLNKRQYETVIHLF
+EVAIIATDLALYFKKRTMFQKIVDACEKMETEEEAIKYVTIDPTKKEIIMAMMMTACDLS
+AITKPWEVQSQVALLVANEFWEQGDLERTVLQQQPIPMMDRNKKDELPKLQVGFIDFVCT
+FVYKEFSRFHKEITPMLNGLQNNRVEWKSLADEYDEKMKVIEEMKKQEEGNTTEKAVEDS
+GGGGDDKKSKTCLML
+>sp|P01180|NEU2_BOVIN Vasopressin-neurophysin 2-copeptin OS=Bos taurus OX=9913 GN=AVP PE=1 SV=1
+MPDATLPACFLSLLAFTSACYFQNCPRGGKRAMSDLELRQCLPCGPGGKGRCFGPSICCG
+DELGCFVGTAEALRCQEENYLPSPCQSGQKPCGSGGRCAAAGICCNDESCVTEPECREGV
+GFPRRVRANDRSNATLLDGPSGALLLRLVQLAGAPEPAEPAQPGVY
+>sp|Q29RM6|NRAC_BOVIN Nutritionally-regulated adipose and cardiac-enriched protein homolog OS=Bos taurus OX=9913 GN=NRAC PE=2 SV=2
+MKTAVHALSPDSRPETQHQTRKNEEAAPGSPTPRAGREGRKGPASILRRSPQERCGRGDE
+PRRTTRHVRFREPLEVAVHYIACREPTTAVQAPSRPRPRGGSLLLRLTACILLALALGMC
+CGQAGPMARALEDFRARLLAALLRLPLAALDCWRCLLQL
+>sp|Q09430|PROF2_BOVIN Profilin-2 OS=Bos taurus OX=9913 GN=PFN2 PE=1 SV=2
+MAGWQSYVDNLMCDGCCQEAAIVGYCDAKYVWAATAGGVFQSITPVEIDMIVGKDREGFF
+TNGLTLGAKKCSVIRDSLYVDGDCTMDIRTKSQGGEPTYNVAVGRAGRVLVFVMGKEGVH
+GGGLNKKAYSMAKYLRDSGF
+>sp|P01211|PENK_BOVIN Proenkephalin-A OS=Bos taurus OX=9913 GN=PENK PE=1 SV=1
+MARFLGLCTWLLALGPGLLATVRAECSQDCATCSYRLARPTDLNPLACTLECEGKLPSLK
+TWETCKELLQLTKLELPPDATSALSKQEESHLLAKKYGGFMKRYGGFMKKMDELYPLEVE
+EEANGGEVLGKRYGGFMKKDAEEDDGLGNSSNLLKELLGAGDQREGSLHQEGSDAEDVSK
+RYGGFMRGLKRSPHLEDETKELQKRYGGFMRRVGRPEWWMDYQKRYGGFLKRFAEPLPSE
+EEGESYSKEVPEMEKRYGGFMRF
+>sp|Q3SZN3|OMA1_BOVIN Metalloendopeptidase OMA1, mitochondrial OS=Bos taurus OX=9913 GN=OMA1 PE=2 SV=2
+MSFIYGLQSAARNCFFFRFNLLTNWRKCNTQAVTSRDFHQVKINHIVNKSLGLGVNHGDR
+WTPLPENFLFYRTFNTKRKGCLLSSRSKEIWMISRKCTAWTDSFSRQLPMKNVPVVPAHS
+MSHPLNCLPTRDIRSFHTSPRCQAAPAPLLLMILKPAQKLLAIIVGRGIRKWWQALPPNK
+KELFKESLRKNKWKLFLGLSSFGLLFVVFYFTHLEVSPVTGRSKLLILGKEHFRLLSELE
+YEAWMEEFKNDMLTEKDARYVAVKAVVHHLIECNQDIPGISEINWIIHVVDSPDINAFVL
+PNGQVFVFTGLLNSVTDIHQLSFLLGHEIAHAVLEHAAEKASLVHLLDFLGLIFLTTIWA
+ICPRDSLALLGQWIQSKLQEFLFDRPYSRTLEAEADRIGLQLAAKACVDVRASSVFWQQM
+EFAESLHGHPKLPEWLSTHPSHGNRAEHLDRLIPQALKIRETCNCPPLSGPDPRLLFKLS
+MKNFLEAEKEDLNITVKQKMDALPIQNQKQIPLTCIVDKRTGS
+>sp|Q2NKT1|PROF4_BOVIN Profilin-4 OS=Bos taurus OX=9913 GN=PFN4 PE=2 SV=1
+MSHLQNLLLDTLLGTKHVDSAALIKLQERSLCVASPGFSVMPSDVRTLVNGFAKNPLKTR
+REGLYFKEKDYKCVRADDYSLYAKNENTGVIVVKTHLYLLVATYSEGMYPSVCVEATEKL
+GDYLRRKGN
+>sp|P18493|PARP1_BOVIN Poly [ADP-ribose] polymerase 1 OS=Bos taurus OX=9913 GN=PARP1 PE=2 SV=2
+MAESSDKLYRVEYAKSGRASCKKCKESIPKDSIRMAFMVESPMFDGKIPHWYHLSCFWKV
+GFSIWHPDVEVEGFSELRWDDQQTIKKMAETGGRTDVSGKGQDGVGSKTEKTLIDFGAGY
+AKSNRSTCKSCMEKIDKGQVRLSKKVVYPDKPQLGMVDCWYHPKCFVQKREELGFRPEFS
+ATHLMGFSVLTAEDQETLKKQLPAIKGERKRKGDEVDGIDEVTKKKSKKEKDKEIKLEKA
+LKAQNDLIWNVKDELKKACSTNDLKELLIFNKQEVPSGESAILDRVADGMVFGALLPCEE
+CSGQLVFKGDAYYCTGDVTAWTKCMVKTQTPNRKEWVTPKEFREISYFKKLKIKKQDRIF
+PPESSTPVGAAAPPSAASAPAAVHSGPPDKPLSNMKILTLGKLSQNKDEVKATIEKLGGK
+LTGTANKASLCISTKKEVDKLNKKMEEVKEANIRVVSEDFLQDISASTKSLQELLSTHLL
+SPWGAEVKVEPVEAVGPKGKSGAAPSKKSKGPVKEEGTNKSEKRMKLTLKGGAAVDPDSG
+LEHNAHVLEKGGKVFSATLGLVDIVKGTNSYYKLQLLEDDKESRYWIFRSWGRVGTVIGS
+NKLEQMPSKEDAIEHFMKLYEEKTGNAWHSKNFTKHPKKFYPLEIDYGQDEEAVKKLTVN
+PGTKSKLPKPVQNLIKMIFDVESMKKAMVEYEIDLQKMPLGKLSKRQIQAAYSILSEVQQ
+ALSQGSSDSHILDLSNRFYTLIPHDFGMKKPPLLNNANSVQAKVEMLDNLLDIEVAYSLL
+RGGSDDSSKDPIDVNYEKLKTDIKVVDKDSEEAEIIRKYVKNTHATTHNAYDLEVVDIFK
+IEREGESQRYKPFKQLHNRRLLWHGSRTTNFAGILSQGLRIAPPEAPVTGYMFGKGIYFA
+DMVSKSANYCHTSQGDPIGLILLGEAALGNMYELKHARHISKLPKGKHSVKGLGKTTPDP
+SASITVDGVEVPLGTGISSGVNDTCLLYNEYIVYDIAQVHLKYLLKLKFNFKTSLW
+>sp|Q2HJA9|PHLP_BOVIN Phosducin-like protein OS=Bos taurus OX=9913 GN=PDCL PE=2 SV=1
+MTTLDDKLLGEKLQYYYSSSEEEDSDHEDKDRGRGALAGSSMPADADLAGEGISVNTGPK
+GVINDWRRFKQLETEQREEQCREMERLIKKLSLSCRSHLDEEEEQRKQKDLQEKISGKMT
+LKDLAVMNEDQDDEEFLQQYRKQRMEEMRQQLYQGPQFKQVFEIPSGEGFLDMIDKEQRS
+TLIMVHIYEDGIPGTEAMNGCMLCLAAEYPAVKFCRVRSSVIGASSRFTRNALPALLIYK
+GGELIGNFVRVTDQLGEDFFAVDLEAFLQEFGLLPEKEVLLRTSVRNSATCHSEDSDLEI
+D
+>sp|Q95L14|PTGES_BOVIN Prostaglandin E synthase OS=Bos taurus OX=9913 GN=PTGES PE=2 SV=1
+MPPSGLELMNGQVLPAFLLCSALLVIKMYVVAVITGQVRLRKKAFANPEDAQRHGGLQYC
+RNDPDVERCLRAHRNDMETIYPFLFLGFVYSFLGPNPFVARMHFLVFFLGRMVHTVAYLG
+KLRAPTRSLAYTLAQLPCASMALQIVWEAARHL
+>sp|Q0II65|CCD70_BOVIN Coiled-coil domain-containing protein 70 OS=Bos taurus OX=9913 GN=CCDC70 PE=2 SV=1
+MFPFKVRKWMGLACFRSLVVSSSSIRQKKLIHKLQEEKAFREEMRHFREKIEDFREEMWN
+FRSKMRAFRGEILGFWEEDRLFWEEEKTFWKEEKAFWEMEKSFREEEKAFWKKYRIFWKE
+DRAFWKEDNALWERDRNLLQEDKALWEEEKALWVEERALLEEEKVLWEDKKTLWEEENAL
+WEEEKAAWVEGVVPVVEQQVLEGGHHHVSGRPRSPASSRGRA
+>sp|O02775|EAP_BOVIN Enteric beta-defensin OS=Bos taurus OX=9913 GN=EBD PE=2 SV=1
+MRLHHLLLTLLFLVLSAGSGFTQGISNPLSCRLNRGICVPIRCPGNLRQIGTCFTPSVKC
+CRWR
+>sp|Q32PA9|FKBP2_BOVIN Peptidyl-prolyl cis-trans isomerase FKBP2 OS=Bos taurus OX=9913 GN=FKBP2 PE=2 SV=1
+MRLSWVLTVLSICLSALVTATGTEGKRKLQIGVKKRVDHCPIKSRKGDVLHMHYTGKLED
+GTEFDSSLPQNQPFVFSLGTGQVIKGWDQGLLGMCEGEKRKLVIPSELGYGERGAPPKIP
+GGATLVFEVELLKIERRSEL
+>sp|A4IFN5|FITM2_BOVIN Fat storage-inducing transmembrane protein 2 OS=Bos taurus OX=9913 GN=FITM2 PE=2 SV=1
+MEHLERCAWVLRGTLVRSAVRKYLPWALAASMLAGSLLKELSPLPESYLSNKRNVLNVYF
+VKVAWAWTFCLLLPFIALTNYHLTGKAGLVLRRLSTLLVGTAIWYVCTAIFSNIEHYTGS
+CYQSPALEGERKEHQSKQQCHGEGGFWHGFDISGHSFLLTFCALMIVEEMAVLHEVKTDR
+NHCLHAAITTLVVALGFLTFIWVWMFLCTAVYFHNLSQKVFGTLFGLLGWYGTYGCWYLK
+SFSPGLPPQSSSLNLKQDTYKK
+>sp|Q2KIP3|F71F1_BOVIN Protein FAM71F1 OS=Bos taurus OX=9913 GN=FAM71F1 PE=2 SV=1
+MLSSVPHRKTWNKSKKTVKVTRSYPTFPSLNAWEEFRGLFPVDGEPNPGVGLGVEEGLLG
+QMVHSPEFNLFPESVVFESNFVQVRKGRDWIDIYKTYNTMALGVTSSVPCLPLPNILLMA
+SVKWHHGQNQTWNKPSTAPKIILKRILPLKFVELQVSDHLQRVLRLRTVTEKIYYLKLHP
+DHPKTVFHFWIRLIQILQKGLSITTKDPRILVTHCLVPKNSCSPSGDSQLVQKKPQASQP
+SESLMQLMAKGESEALCQIFVDLHQHNLFSSRSSKKTENKKDSSGKKTSPSEDSIPCTRD
+LSWRDSFTYGEWERENPSGPQSLSLLSTLAASTGPQLAPLIGSSI
+>sp|O46675|GROG_BOVIN Growth-regulated protein homolog gamma OS=Bos taurus OX=9913 PE=3 SV=1
+MAPAASSAPRLLRAAMLLLLLVAAGRRAAGAPVVNELRCQCLQTLQGIHLKNIQSVKVTT
+PGPHCDQTEVIATLKTGQEVCLNPAAPMVKKIIDKMLN
+>sp|Q2KIA9|ENOF1_BOVIN Mitochondrial enolase superfamily member 1 OS=Bos taurus OX=9913 GN=ENOSF1 PE=2 SV=1
+MVHGRVSRLSVHDVRFPTSLGGHGSDAMHTDPDYSAAYVVLETDAEDGLKGYGITFTLGR
+GTEVVVCAVNALAPHVLNKDLGEIVGDFRGFYRQLTSDGQLRWIGPEKGVVHLATAAVLN
+AVWDLWAKQEGKPLWKLLVDMDPRTLVSCIDFRYITDVLTEEEACEILRQSQVGKKEREE
+QMLAHGYPAYTTSCAWLGYPDATLKQLCSEALKDGWTRFKVKVGADLQDDIRRCRLVRNM
+IGPEKTLMMDANQRWDVPEAVEWMTKLAEFKPLWIEEPTSPDDILGHAAISKALAPLGIG
+VATGEQCHNRVIFKQLLQAKALKFLQIDSCRLGSVNENLSVLLMAKKFEIPVCPHAGGVG
+LCELVQHLIIFDFISVSASLQDRMCEYVDHLHEHFKYPVLIREAAYMPPKDAGYSTEMKE
+DSVKRHRYPDGEVWKKLLSAQGN
+>sp|Q2HJ98|FAHD1_BOVIN Acylpyruvase FAHD1, mitochondrial OS=Bos taurus OX=9913 GN=FAHD1 PE=2 SV=1
+MAASRPLSRFWEWGKNIVCVGRNYADHVREMQSAAPSEPVLFLKPSTAYAPEGSPVLVPA
+YTRNLHHELELAVVMGKRCRAVSEAAAMDYVAGYALCLDMTARDVQDECKKKGLPWTLAK
+SFTASCPVSAFVPKEKIPDPHNLKLWLKVNGELRQEGETSSMIFSIPYIISYVSKIMTLE
+EGDIILTGTPKGVGPVKENDEIQAGIHGVLSMKFKVERPEY
+>sp|Q2T9Y6|GSH0_BOVIN Glutamate--cysteine ligase regulatory subunit OS=Bos taurus OX=9913 GN=GCLM PE=2 SV=1
+MGTDSRAAGALLARASTLHLQTGNLLNWGRLRKKCPSTHSEELRDCIQKTLNEWSSQISP
+DLIREFPDVLECTVSHAVEKINPDEREEMKVSAKLFIVGSNSSSSTRNAVDMACSVLGVA
+QLDSVIIASPPVEDGVNLSLEHLQPYWEELQNLVQSKKIVAIGTSDLDKTQLEQLYQWAQ
+VKPNSNQVNLASCCVMPPDLTAFAKQFDIQLLTHNDPKELLSEASFQEALQESIPDIRAH
+EWVPLWLLRYSVIVKSRGIIKSKGYILQAKRKGS
+>sp|Q1JQB3|GPR4_BOVIN G-protein coupled receptor 4 OS=Bos taurus OX=9913 GN=GPR4 PE=2 SV=1
+MGNRTLEGCHVDSRMDHLFPPSLYIFVIGVGLPTNCLALWAAYRQVRQRNELGVYLMNLS
+IADLLYICTLPLWVDYFLHHDNWIHGPGSCKLFGFIFYTNIYISIAFLCCISVDRYLAVA
+HPLRFARLRRVKTAVAVSSVVWATELGANSAPLFHDELFRDRYNHTFCFEKFPMEGWVAW
+MNLYRVFVGFLFPWALMLLSYRGILRAVRGSVSTERQEKVKIKRLALSLIAIVLVCFAPY
+HVLLLSRSAVYLRRPRDCGFEERVFSAYHSSLAFTSLNCVADPILYCLVNEGARSDVAKA
+LHHLLRFLASDKPQEMANASLTLETPLTSKRNSMAKAMAAGWVAAPLAQGDQVQLKMLPP
+AQ
+>sp|O77628|FOS_BOVIN Proto-oncogene c-Fos OS=Bos taurus OX=9913 GN=FOS PE=2 SV=2
+MMFSGFNADYEASSSRCSSASPAGDSLSYYHSPADSFSSMGSPVNAQDYCTDLAVSSANF
+IPTVTAISTSPDLQWLVQPTLVSSVAPSQTRAPHPYGVPTPSAGAYSRAGVMKTMTGGRA
+QSIGRRGKVEQLSPEEEEKRRIRRERNKMAAAKCRNRRRELTDTLQAETDQLEDEKSALQ
+TEIANLLKEKEKLEFILAAHRPACKIPDDLGFPEEMSVASLDLSGGLPEAATPESEEAFT
+LPLLNDPEPKPSVEPVKSVGSMELKAEPFDDYMFPASSRPSGSETARSVPDMDLSGSFYA
+ADWEPLHGGSLGMGPMATELEPLCTPVVTCTPSCTTYTSSFVFTYPEADSFPSCAAAHRK
+GSSSNEPSSDSLSSPTLLAL
+>sp|O46414|FRIH_BOVIN Ferritin heavy chain OS=Bos taurus OX=9913 GN=FTH1 PE=2 SV=3
+MTTASPSQVRQNYHQDSEAAINRQINLELYASYVYLSMSYYFDRDDVALKNFAKYFLHQS
+HEEREHAERLMKLQNQRGGRIFLQDIKKPDRDDWENGLTAMECALCLERSVNQSLLELHK
+LATEKNDPHLCDFIETHYLNEQVEAIKELGDHITNLRKMGAPGSGMAEYLFDKHTLGHSE
+S
+>sp|P49004|DPOD2_BOVIN DNA polymerase delta subunit 2 OS=Bos taurus OX=9913 GN=POLD2 PE=1 SV=2
+MFSEQAAQRAHTLLSPPSASNATFARVPVVTYTNSSQPFRLGERSFSRQYAHIYATRLIQ
+MRPFLVSRAQQRWGSGVLVKKLCELQPGEKCCVVGTLFKAMPLQPSILREVSEEHNLLPQ
+PPRSKYIHPDDELILEDELQRIKLEGTIDVSKLVTGTVLAVLGSAGDDGKFLVEDHCFAG
+LAPQKPACPLDTDRFVLLVSGLGLGGGGGESLLGTQLLVDVVTGQLGDEGEQCSAAHVSR
+VILAGNLLSHNTQSRDSINKAKYLTKKTQAASVEAVKMLDEILLQLSASVPVDVMPGEFD
+PTNYTLPQQPLHPCMFPLATAYSTLQLVTNPYQATIDGVRFLGTSGQNVSDIFRYSSMED
+HLEILEWTLQVRHISPTAPDTLGCYPFYKTDPFIFPECPHVYFCGNTPSFGSKIIQGPED
+QTVLLVAVPDFSTTQTACLVNLRSLACQPISFSGFGAEDEDLGGLGLGP
+>sp|A6QR44|DGCR8_BOVIN Microprocessor complex subunit DGCR8 OS=Bos taurus OX=9913 GN=DGCR8 PE=2 SV=1
+METCGSPSPLPREPAGGVAMEDRARPLRALPRGQSPPPPLQTSSDAEVMDVGSGGDGQAE
+PPAEDPLNFYGASLLSKGSSSKARLLVDPNCSGHSPRTARHAPAVRKFSPDLKLLKDVKI
+SVSFTESCRSEDRKVLYTGAERDVRAECGLALSPVIGDVHAGPFGGSVGNGVGAGGESAG
+KRDEEHELDQEKRVEYAVLDELEDFTDNLELDEEGAGGFTAKAIVQRDRVDEEALNFSYE
+DDFDNDVDALLEEGLCAPKKRRMEEKYGGDSDHPSDGETSVQPMMTKIKTVLKSRGRPPT
+EPLPDGWIMTFHNSGVPVYLHRESRVVTWSRPYFLGTGSIRKHGPPLTSIPCLHYRKMKD
+SEERERAAGIAPPEPELPPDEPDPLGTDAGPPDEKDPLGAEAAPGALGQVKAKVEVCKDE
+SVDLEEFRNYLEKRFDFEQVTVKKFRTWAERRQFNREMKRKQAESERPILPANQKLITLS
+VQDAPTKKEFVINPNGKSEVCILHEYMQRVLKVRPVYSFFECENPSEPFGASVTIDGVTY
+GSGTASSKKLAKNKAARATLEILIPDFVKQTSEEKPRDSEELEYFNHISIEDSRVYELTS
+KAGLLSPYQILHECLKRNHGMGDTSIKFEVVPGKNQKSEYVMACGKHTVRGWCKNKRVGK
+QLASQKILQLLHPHVKNWGSLLRMYGRESSKMVKQETSDKSVIELQQFARKNKPNLHILS
+KLQEEMRRLAEEREETRKKPKMSIVASAQPGGEPLCTVDV
+>sp|Q3SZC0|ERH_BOVIN Enhancer of rudimentary homolog OS=Bos taurus OX=9913 GN=ERH PE=3 SV=1
+MSHTILLVQPTKRPEGRTYADYESVNECMEGVCKMYEEHLKRMNPNSPSITYDISQLFDF
+IDDLADLSCLVYRADTQTYQPYNKDWIKEKIYVLLRRQAQQAGK
+>sp|P12260|F13A_BOVIN Coagulation factor XIII A chain (Fragment) OS=Bos taurus OX=9913 GN=F13A1 PE=1 SV=2
+MSESSGTAFGGRRAIPPNTSNAAENDPPTVELQGLVPRGFNPQDYLNVTNVHLFKERWDS
+NKVDHHTDKYSNDKLIVRRGQSFYIQIDFNRPYDPTRDLFRVEYVIGLYPQENKGTYIPV
+PLVSELQSGKWGAKVVMREDRSVRLSVQSSADCIVGKFRMYVAVWTPYGVIRTSRNPETD
+TYILFNPWCEEDAVYLEN
+>sp|A3KN33|EGFLA_BOVIN Pikachurin OS=Bos taurus OX=9913 GN=EGFLAM PE=2 SV=1
+MDLIRGVLLRLLLLASSLGPGAAPLRSALRKQGKVGPPLDIILDALNCSAFSIQWKMPRH
+PPSPIMGYTVFYSEVGIDKSLQERSYGVPPGLDTPTSGRLDHQMIFEEVIGDLKPGTEYR
+VSMAAYSQTGKGRLSSPQHVTTLPQDSCLPPTAPQQPHVIVVSDSEVALSWKPGESEGSS
+PIQYYSVEFTRPDFDKSWTSIREQIQMDSMVIKGLDPDTNYQFAVRAVNPHGSSPRSQPS
+STIRTARPEESGSGRYGPHYATDTEAGEDDDTFEDDLDLDISFEEVKPLPAIKEGNKKFF
+VESKMAPRPNPMTVSRLVPPTPASLPTTAVAPQPTPVDRKGKHGVAVMPRLFDTSCDETV
+CSADSFCVSDYTWGGSRCHCNLGKGGESCSEDIVIQYPQFFGHSYVTFEPLKNSYQAFQI
+TLEFRAEAEDGLLLYCGENEHGRGDFMSLAVIRRSLQFRFNCGTGVAIIVSETKIKLGGW
+HTVTLYRDGLNGLLQLNNGTPVTGQSQGQYSKITFRTPLYLGGAPSAYWLVRATGTNRGF
+QGCVQALTVNGKRLDLRPWPLGKALSGADVGECSSGICDEASCINGGTCMASKADSYICL
+CPLGFRGRHCEDAFTLTIPQFKESLRSYAATPWPLEPRHYLSFMEFEVTFRPDSEDGVLL
+YSYDTGSKDFLSINMAGGHVEFRFDCGSGTGVLRSEEPLTLGHWHELCVSRTAKNGILQV
+DKQKAVEGMAEGGFTQIKCNSDIFIGGVPNYDDVKKNSGILKPFSGSIQKIILNDRTIHV
+KHDFTWGVNVENAAHPCVGSPCAHGGSCRPRKEGYECDCPLGFEGLHCQKAITEAIEIPQ
+FIGRSYLTYDNPDILKRVSGSRSNAFMRFKTTAKDGLLLWRGDSPMRPNSDFISLGLRDG
+ALVFSYNLGSGVASIMVNGSFNDGRWHRVKAVRDGQSGKITVDDYGARTGKSPGMMRQLN
+INGALYVGGMKEIALHTNRQYMRGLVGCISHFTLSTDYHISLVEDAVDGKNINTCGAK
+>sp|Q3T0D8|LTOR1_BOVIN Ragulator complex protein LAMTOR1 OS=Bos taurus OX=9913 GN=LAMTOR1 PE=2 SV=1
+MGCCYSSENEDSDQDREERKLLLDPSSPPTKALNGAEPNYHSLPSARTDEQALLSSILAK
+TASNIIDVSAADSQGMEQHEYMDRARQYSTRLAVLSSSLTHWKKLPPLPSLTSQPHQVLA
+SEPVPFSDLQQVSRIAAYAYSALSQIRVDAKEELVVQFGIP
+>sp|A7MBB4|M3K13_BOVIN Mitogen-activated protein kinase kinase kinase 13 OS=Bos taurus OX=9913 GN=MAP3K13 PE=2 SV=1
+MANPQEHLSCSSSPRLPLSENKTFNGLQDDLAPMGSHASPKLLKDQQEKGMVQTELAEGT
+NSPITTTVLTSISEDSRDQFENSVLQLREQDESEMAMSHGNSNTVDGEGTSGTEDIKIQF
+SRSGSGSGGFLEGLFGCLRPVWNIIGKAYSTDYKLQQQDTWEVPFEEISELQWLGSGAQG
+AVFLGKFRAEEVAIKKVREQNETDIKHLRKLKHPNIIAFKGVCTQAPCYCIIMEYCAHGQ
+LYEVLRAGRKITPRLLVDWSTGIASGMNYLHLHKIIHRDLKSPNVLVTHTDAVKISDFGT
+SKELSDKSTKMSFAGTVAWMAPEVIRNEPVSEKVDIWSFGVVLWELLTGEIPYKDVDSSA
+IIWGVGSNSLHLPVPSTCPDGFKILMKQTWQSKTRNRPSFRQTLMHLDIASADVLATPQE
+TYFKSQAEWREEVKKHFEKIKSEGTCIHRLDEELIRRRREELRHALDIREHYERKLERAN
+NLYMELSAIMLQLEMREKELIKREQAVEKKYPGTYKRHPVRPIIHPNAMEKLMKRKGMPH
+RPGMQAKRPDLLRSEGIPSVEVAPTASPLSGSPKLSSSSSKSRYRSKPRHRRGNSRGSHG
+DFAAILKNQPAQEDSPHPTSLHQAEPQYPSSQHHNLLQQQYQQPPPAMSQSHHPRLNMHG
+QDIATCPNNLRYFGPAAALRSPLSNHSQRQMPGSSPDLISTAMAADCWRSSEPDKGQAGP
+WGCCQADPYDPCLQCRPEQHGSLDVPSAKPVGRSPSLFKPPAHNPLLENAQGSEKMEENE
+FSGYRSASSLGASHHITPPVLPRKTRPLQKSGDDSSEEEEGEVDSEVEFPRRQRPHRCIS
+SCQSYSTFSSENFSVSDGEEGNTSDHSNSPDELADKLEDHLAEKLDDLLSQTPEIPIEIS
+SHSDGLSDKECAVRRVKTQMSLGKLCAEERGYENPMQFEESDCDSSDGECSDATVRTNKH
+YSSATW
+>sp|Q2KI24|MTHSD_BOVIN Methenyltetrahydrofolate synthase domain-containing protein OS=Bos taurus OX=9913 GN=MTHFSD PE=2 SV=1
+MEPRAGVSKQDIREQIWDYMESQNLADFPRPVHHRIPNFKGASRAAEHFPRLQAFKMART
+IKVNPDAPQKNARFFVLESKKTLLVPTPRLRTGLFNKITPPPGATKDILRKCATSQGVRN
+YSTPVGLDSKVLVDLVVVGSVAVSEKGWRIGKGEGYADLEYAMMVSMGAVSQGTPVVTIV
+HDCQVVDIPEALLEDHDLTVDYILTPTRVITTGCERPKPAGIAWSKISCEMLGKMPILRS
+LRHQEEQAGKDVTLRDGPRSPPGATRSPRDLAPPELGSVPLSSVQIGNLPRDARVSELKR
+ALSALGVAPSRLTWQGPQHGAFLHYRDPAEAQQAIACLQGFRLGANTVRVVLARQQRASD
+LVGSHTAEPLPDHQPAIAGP
+>sp|Q2Q0J1|OOSP1_BOVIN Oocyte-secreted protein 1 OS=Bos taurus OX=9913 GN=OOSP1 PE=2 SV=1
+MKPSSGLRGLLVLFSLTWTCAGDWSAVKVRCSYFWFYAKIKPTLFHNLYMNPDEAFLGND
+CPVTYVSPDAHYEFFYYSNKCGIITKTFQETLLLQTKIKYMSSNSGDTAEMPVSCVVTQQ
+ACMYHLSNETESGDDETSSEDMEVSYIMQSQNDLNTTFSLCAK
+>sp|P69341|PARN_BOVIN Poly(A)-specific ribonuclease PARN OS=Bos taurus OX=9913 GN=PARN PE=1 SV=2
+MEIIRSNFKSNLHKVYQAIEEADFFAIDGEFSGISDGPSVTALTNGFDTPEERYQKLKKH
+SMDFLLFQFGLCTFKYDYTDSKYITKSFNFYVFPKPFNRSSPDVKFVCQSSSIDFLASQG
+FDFNKVFRNGIPYLNQEEERQLREQYDEKRSQSNGAGALSYTSPNTSKCPVTIPDDQKKF
+IDQVVEKIEDLLQSEENKNLDLEPCTGFQRKLIYQTLSWKYPKGIHVETLETEKKERYIV
+ISKVDEEERKRREQQKHAKEQEELNDAVGFSRVIHAIANSGKLVIGHNMLLDVMHTVHQF
+YCPLPADLNEFKEMTTCVFPRLLDTKLMASTQPFKDIINNTSLAELEKRLKETPFNPPKV
+ESAEGFPSYDTASEQLHEAGYDAYITGLCFISMANYLGSFLSPPKSHVSARSKLIEPFFN
+KLFLMRVMDIPYLNLEGPDLQPKRDHVLHVTFPKEWKTSDLYQLFSAFGNIQISWIDDTS
+AFVSLSQPEQVPIAVNTSKYAESYRIQTYADYVGKKREEKQMKRKWTEDSWKEVEPKRLN
+TQCGSYSLQNHHYHANSLTATSTVGKRNLSPSRAEAGLEARASGEISDTELEQTDPCAEP
+LSEGRKKAKKLKRMKKDLSPTGSISDSSAKLFEVPDTW
+>sp|P19782|PRM2_BOVIN Protamine-2 OS=Bos taurus OX=9913 GN=PRM2 PE=2 SV=2
+MVRCHVKSPTESPPGQQGSGQQGETEHPDQARELRPEDIPVYGRTHRGRYHYRHRSHTRR
+RPYRRRRRRACRHRRRRRGAAGPPCAPIPGTPQASRQGSGCRRMRRRRRRCGRQL
+>sp|Q58D55|BGAL_BOVIN Beta-galactosidase OS=Bos taurus OX=9913 GN=GLB1 PE=2 SV=1
+MPGVVRLLALLLVPLLLGSARGLHNATQRTFQIDYRRNRFLKDGQPFRYISGSIHYFRVP
+RFYWKDRLLKMKMAGLNAIQTYVAWNFHELQPGRYNFSGDHDVEHFIQLAHELGLLVILR
+PGPYICAEWDMGGLPAWLLEKKSIVLRSSDPDYLAAVDKWLGVLLPKMRPLLYKNGGPII
+TVQVENEYGSYLSCDYDYLRFLQKRFHDHLGEDVLLFTTDGVNERLLQCGALQGLYATVD
+FSPGTNLTAAFMLQRKFEPTGPLVNSEFYTGWLDHWGQRHSTVSSKAVAFTLHDMLALGA
+NVNMYMFIGGTNFAYWNGANIPYQPQPTSYDYDAPLSEAGDLTEKYFALRDIIQKFAKVP
+EGPIPPSTPKFAYGKVALNKLKTVEDALNILCPSGPIKSVYPLTFIDVKQYFGFVLYRTM
+LPEDCSDPTPLSSPLSGVHDRAYVSVNGVAQGILERESVITLNITGKAGATLDLLVENMG
+RVNYGSSINDFKGLVSNLTLGSKILTNWEIFPLDMEDAVRSHLGTWGGRDRGYHNKARAH
+SPPTYALPTFYVGNFTIPSGIADLPQDTFIQFPGWTKGQVWINGFNLGRYWPVRGPQMTL
+FVPQHILVTSTPNTIVVLELEHAPCQDGGPELCTVEFVDKPVFRTVQTHRHAN
+>sp|Q1LZA1|CAH1_BOVIN Carbonic anhydrase 1 OS=Bos taurus OX=9913 GN=CA1 PE=2 SV=3
+MASPDWGYDGENGPEHWGKLYPIANGNNQSPIDIKTSETKRDPSLKPLSVSYNPATAKEI
+VNVGHSFHVNFEDSDNRSVLKGGPLSESYRLRQFHFHWGITDDCGSEHLVDGAKFSAELH
+LVHWNSAKYPSFADAASQADGLALIGVLVKVGQANPNLQKVLDALKAVKNKNKKAPFTNF
+DPSVLLPPSLDYWAYSGSLTHPPLHESVTWIIFKETISVSSEQLAQFRSLLANAEGDREV
+HIKQNNRPPQPLNGRTVKASF
+>sp|P00732|CBPB1_BOVIN Carboxypeptidase B OS=Bos taurus OX=9913 GN=CPB1 PE=1 SV=2
+MLAFLILVTVTLASAHHSGEHFEGDKVFRVHVEDENHISLLHELASTRQMDFWKPDSVTQ
+VKPHSTVDFRVKAEDTVAVEDFLGQNGLRYEVLISNLRSMLEAQFDSRVRTTGHSYEKYN
+NWETIEAWTEQVASENPDLISRSAIGTTFLGNTIYLLKVGKPGSNKPAVFMDCGFHAREW
+ISPAFCQWFVREAVRTYGREIHMTEFLDKLDFYVLPVVNIDGYIYTWTTNRMWRKTRSTR
+AGSSCTGTDLNRNFDAGWCSIGASNNPCSETYCGSAAESEKESKAVADFIRNHLSSIKAY
+LTIHSYSQMMLYPYSYDYKLPKNNVELNTLAKGAVKKLASLHGTTYTYGPGASTIYPASG
+GSDDWAYDQGIKYSFTFELRDKGRYGFVLPESQIQPTCEETMLAIKYVTSYVLEHLY
+>sp|Q0P5B7|AAAD_BOVIN Arylacetamide deacetylase OS=Bos taurus OX=9913 GN=AADAC PE=2 SV=1
+MRKKYFGFLILGVLLAGYIYVPLPDNVEEPWKIMLLNTFLKTSSYLALFGEILGLNHFMK
+SMALFSRIQGFPPTSDENIIVKDTTFNDIPVRIYVPQQKTKSLRRGLFYIHGGGWCFGSN
+DYYSYDLLSRWTAERLDAVVISTNYRLAPKYHFPVQFEDVYTALKWFLDPQNLESYGVDP
+GRIGISGDSAGGNLAAAVAQQLLEDPDVKIKLKVQTLIYPALQNFDFDLPSYRENAHYPV
+LSKSLMVRFWSEYFTTDRSLKKAMLSNQHIPLESSNLFKFVNWSSLLPEKFKKGHIYKTP
+THGSSELAKKYPGILDVKASPLLADDSKLRGLPLTYVITCQYDVLRDDGLMYVTRLQKSG
+VQVIHNHVEGAFHGTLAFLFTKVGYRAANQYINWLHENL
+>sp|Q5E9B5|ACTH_BOVIN Actin, gamma-enteric smooth muscle OS=Bos taurus OX=9913 GN=ACTG2 PE=2 SV=1
+MCEEETTALVCDNGSGLCKAGFAGDDAPRAVFPSIVGRPRHQGVMVGMGQKDSYVGDEAQ
+SKRGILTLKYPIEHGIITNWDDMEKIWHHSFYNELRVAPEEHPTLLTEAPLNPKANREKM
+TQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVTHNVPIYEGYALPHAIMRLD
+LAGRDLTDYLMKILTERGYSFVTTAEREIVRDIKEKLCYVALDFENEMATAASSSSLEKS
+YELPDGQVITIGNERFRCPETLFQPSFIGMESAGIHETTYNSIMKCDIDIRKDLYANNVL
+SGGTTMYPGIADRMQKEITALAPSTMKIKIIAPPERKYSVWIGGSILASLSTFQQMWISK
+PEYDEAGPSIVHRKCF
+>sp|Q2YDM8|GL6D1_BOVIN Glycosyltransferase 6 domain-containing protein 1 OS=Bos taurus OX=9913 GN=GLT6D1 PE=2 SV=1
+MNCKRKMLLLIQGLSLLLLFDHYSRKNQEEELELSDWFKPRGRPDVITTTSWLAPVVWEG
+TFSRKMLEKHYRRQNFTTGLAVFATGRLADPYLELFLNSANKYFLTGYRVIFYIMMDTFI
+KLPQIKWEPLRQFKVFIVTESRWWDNDVVRMKSLAKHIIWDIQGEVDFLFSMTVTQIFQN
+NVGVEVLGELVAQLHGWWYFKDRASFPYERRPKSAAYIPFGQGDFYYDGAFVGGTPQEVL
+NLAEEYLNGVIHDLGLRLNSSYEKHLNKYFFLRKPTKLLSPEYNWDADFYPPPQVQYVKV
+AQQSKRRH
+>sp|P38409|GNA11_BOVIN Guanine nucleotide-binding protein subunit alpha-11 OS=Bos taurus OX=9913 GN=GNA11 PE=2 SV=2
+MTLESMMACCLSDEVKESKRINAEIEKQLRRDKRDARRELKLLLLGTGESGKSTFIKQMR
+IIHGAGYSEEDKRGFTKLVYQNIFTAMQAMIRAMETLKILYKYEQNKANALLIREVDVEK
+VTTFEHRYVSAIKTLWNDPGIQECYDRRREYQLSDSAKYYLTDVDRIATSGYLPTQQDVL
+RVRVPTTGIIEYPFDLENIIFRMVDVGGQRSERRKWIHCFENVTSIMFLVALSEYDQVLV
+ESDNENRMEESKALFRTIVTYPWFQNSSVILFLNKKDLLEDKILHSHLVDYFPEFDGPQR
+DAQAAREFILKMFVDLNPDSDKIIYSHFTCATDTENIRFVFAAVKDTILQLNLKEYNLV
+>sp|A4FUD1|GPN1_BOVIN GPN-loop GTPase 1 OS=Bos taurus OX=9913 GN=GPN1 PE=2 SV=1
+MAAPASATESQASGGPRPPACLLVLGMAGSGKTTFVQRLTGYLHSQGCPPYVINLDPAVH
+EVPFPANIDIRDTVKYKEVMKQYGLGPNGGIVTSLNLFATRFDQVMKFIEKAQNMSKYVL
+IDTPGQIEVFTWSASGTIITEALASSFPTIVIYVMDTSRSTNPVTFMSNMLYACSILYKT
+KLPFIVVMNKTDIIDHSFAVEWMQDFEAFQDALNQETTYVSNLTRSMSLVLDEFYSSLRV
+VGVSAVLGTGLDELFVQVASATEEYEREYRPEYERLKKSLASAQSQQQKEQLERLQKDMG
+SVALDTGTATGSSSPVLDPSDLILTRGTLDEEDEEADSDTDDIDHRVTEESREEPAFQNF
+MQESMAQYWKKNK
+>sp|P00376|DYR_BOVIN Dihydrofolate reductase OS=Bos taurus OX=9913 GN=DHFR PE=1 SV=3
+MVRPLNCIVAVSQNMGIGKNGDLPWPPLRNEFQYFQRMTTVSSVEGKQNLVIMGRKTWFS
+IPEKNRPLKDRINIVLSRELKEPPKGAHFLAKSLDDALELIEDPELTNKVDVVWIVGGSS
+VYKEAMNKPGHVRLFVTRIMQEFESDAFFPEIDFEKYKLLPEYPGVPLDVQEEKGIKYKF
+EVYEKNN
+>sp|P11017|GBB2_BOVIN Guanine nucleotide-binding protein G(I)/G(S)/G(T) subunit beta-2 OS=Bos taurus OX=9913 GN=GNB2 PE=2 SV=3
+MSELEQLRQEAEQLRNQIRDARKACGDSTLTQITAGLDPVGRIQMRTRRTLRGHLAKIYA
+MHWGTDSRLLVSASQDGKLIIWDSYTTNKVHAIPLRSSWVMTCAYAPSGNFVACGGLDNI
+CSIYSLKTREGNVRVSRELPGHTGYLSCCRFLDDNQIITSSGDTTCALWDIETGQQTVGF
+AGHSGDVMSLSLAPDGRTFVSGACDASIKLWDVRDSMCRQTFIGHESDINAVAFFPNGYA
+FTTGSDDATCRLFDLRADQELLMYSHDNIICGITSVAFSRSGRLLLAGYDDFNCNIWDAM
+KGDRAGVLAGHDNRVSCLGVTDDGMAVATGSWDSFLKIWN
+>sp|A7E346|MASTR_BOVIN MEF2-activating motif and SAP domain-containing transcriptional regulator OS=Bos taurus OX=9913 GN=MAMSTR PE=2 SV=2
+MTLAASSQRSQIIRSKFRSVLQLRIHRRYQDPTLSGSFTASPVLDPDPWISAADPALALA
+PASPLGPAPFLFNPEVLLPEPKPCWSLKKESPKTSQHWREPKPKGNLTYHQYIPPEPRQG
+YRADPQVEGSPLDPPGPPLWEGTTSQQPPPRMKPTPLTPSPPGVPSPSPLPHKLELQTLK
+LEELTVSELRQQLRLRGLPVSGTKSMLLERMRGGAPPRERPKARREDSAAGAPWPRFRPK
+ALGAARSACSFKLSPTSHSPPPPRAVETLVTASASAPVPVATTAQAPTPAPVPVPSSAPA
+STALTLEEELQEAIRRAQLLPNRGIDDILEDQVEPEDPLPAIPLDFPGSFDMLSPSPDSE
+GLSSVFSSSLPSPTNSPSPSPRGPTDFLDWLEALSGGPSLGCGPPAPSIFSADLSDSSGT
+RLWDLLEDPW
+>sp|Q3ZBD9|MARE1_BOVIN Microtubule-associated protein RP/EB family member 1 OS=Bos taurus OX=9913 GN=MAPRE1 PE=2 SV=3
+MAVNVYSTSVTSDNLSRHDMLAWINESLQLNLTKIEQLCSGAAYCQFMDMLFPGSIALKK
+VKFQAKLEHEYIQNFKILQAGFKRMGVDKIIPVDKLVKGKFQDNFEFVQWFKKFFDANYD
+GKEYDPVAARQGQETAMAPSLVAPALNKPKKPLSSSSAAPQRPITTHRTTATPKAGPGVV
+RKNPGVGNGDDEAAELMQQVNVLKLTVEDLEKERDFYFGKLRNIELICQENEGENNPVLQ
+RIVDILYATDEGFVIPDEGGPQEEQEEY
+>sp|Q3SZ27|MIC27_BOVIN MICOS complex subunit MIC27 OS=Bos taurus OX=9913 GN=APOL PE=2 SV=1
+MAALRMGKLTTMPTGLIYASISVHVAKEEESKKQLVKPEQLPIYTAPPLQSKYVEEQPGH
+LQMGFASIRTTTSRYIGWCKGVYVFVKNGIMDTVQFGKDAYVYLKNPPRDFLPKIGVITV
+SGLAGFISARKGSRFKRIAYPLGLATLGATVCYPVQSVIIAKVAGKKAYATSQQMYEAVK
+SLWTKNNKKLPEHKEKTKLGSADETETPAETTHNLKHSVPLPAELSSETKTKSTSGATQF
+MPDPKLMDHGQSHPEDIDMYSTRS
+>sp|O18767|MMP20_BOVIN Matrix metalloproteinase-20 OS=Bos taurus OX=9913 GN=MMP20 PE=1 SV=1
+MLPASGLAVLLVTALKFSTAAPSLPAASPRTSRNNYRLAQAYLDKYYTKKGGPQIGEMVA
+RGGNSTVKKIKELQEFFGLRVTGKLDRATMDVIKRPRCGVPDVANYRLFPGEPKWKKNTL
+TYRISKYTPSMTPAEVDRAMEMALRAWSSAVPLNFVRINAGEADIMISFETGDHGDSYPF
+DGPRGTLAHAFAPGEGLGGDTHFDNAEKWTMGTNGFNLFTVAAHEFGHALGLAHSTDPSA
+LMFPTYKYQNPYGFRLPKDDVKGIQALYGPRRAFSGKPTAPHGPPHNPSIPDLCDSNLSF
+DAVTMLGKELLLFRDRIFWRRQVHLMSGIRPSTITSSFPQLMSNVDAAYEVAERGTAYFF
+KGPHYWITRGFQMQGPPRTIYDFGFPRYVQRIDAAVYLKDAQKTLFFVGDEYYSYDERKR
+KMEKDYPKSTEEEFSGVNGQIDAAVELNGYIYFFSGPKAYKSDTEKEDVVSELKSSSWIG
+C
+>sp|P42201|LYAM3_BOVIN P-selectin OS=Bos taurus OX=9913 GN=SELP PE=2 SV=1
+MASCPKAIWNWRFQRAVFRTVQLLCFSVLIFEVINQKEVSAWTYHYSNKTYSWNYSRAFC
+QKYYTDLVAIQNKNEIAYLNETIPYYNSYYWIGIRKINNKWTWVGTKKTLTEEAENWADN
+EPNNKRNNQDCVEIYIKSLSAPGKWNDEPCWKRKRALCYRASCQDMSCSKQGECIETIGN
+YTCSCYPGFYGPECEYVRECGEFDLPQHVHMNCSHPLGNFSFNSHCSFHCAEGYALNGPS
+ELECLASGIWTNSPPQCVAVQCPALKSPEQGSMSCVQSAEAFQHQSSCSFSCEEGFALVG
+PEVVHCTALGVWTAPTPVCKALQCQDLPTSTKARVNCSHPFGDFRYQSTCSFTCDEGSFL
+VGASVLQCLDTGNWDAPFPECQAVTCAALPNPQNGEKTCVQPLGGSSYESTCWFTCHEGF
+SLSGPERLDCTPSGHWTGSPPTCEEVDTVSAPAPGVQCPTLIAPKQGTMSCQHHVRNFGL
+NTTCHFGCKAGFTLLGDSALQCRPSRQWTAAAPTCRAVKCAKLPVTEPIVMNCSNPWGNF
+SYGSTCSFHCPEGQLLNGSERTVCQENGQWSTTMPTCQAGPLTIQETLTYVGGAAAGTTG
+LVTGSILLALLRRRCRQKDDGKSPLNPQSHLGTYGVFTNAAFDPSP
+>sp|Q32L19|MND1_BOVIN Meiotic nuclear division protein 1 homolog OS=Bos taurus OX=9913 GN=MND1 PE=2 SV=1
+MSKKKGLSAEEKRTRMMEIFYETKDVFQLKDMEKIAPKEKGITTMSVKEVLQSLVDDGMV
+DCERIGTSNYYWAFPSKALHARKRKLEVLDSQLSEGNQKYANLQKSIEKAKVGRHETEER
+TMLAKELSSLRDQREQLKAEVEKYRECDPQVVEEIRQANQVAKEAANRWTDNIFAIKSWA
+KRKFGFEENKIDKNFGIPEDFDYID
+>sp|Q5E9A7|KLDC2_BOVIN Kelch domain-containing protein 2 OS=Bos taurus OX=9913 GN=KLHDC2 PE=2 SV=1
+MADGYEDLREDELPGPAYEGYESAELACPAERSGHVAVSDGRHMFVWGGYKSNQVRGLYD
+FYLPREELWIYNMETGRWKKINTEGDVPPSMSGSCAVCVDRVLYLFGGHHSRGNTNKFYM
+LDSRSTDRVLHWERIDCQGVPPSSKDKLGVWVYKNKLIFFGGYGYLPEDKVLGTFEFDET
+SFWNSSHPRGWNDHVHILDTETFIWSQPITTGKPPSPRAAHACATVGNKGFVFGGRYRDA
+RMNDLHYLNLDTWEWNELIPQGICPVGRSWHSLTPVSSDHLFLFGGFTTDKQPLSDAWTY
+CISKNEWIKFNHPHTEKPRLWHTACASDEGEVIVFGGCANNLLVHHRAAHSNEILIFSVQ
+PKSLVRLSLEAVICFKEMLANSWNCLPKHLLHSVNQRFGSNNTSGS
+>sp|Q2NL05|KIF2A_BOVIN Kinesin-like protein KIF2A OS=Bos taurus OX=9913 GN=KIF2A PE=2 SV=1
+MVTSLNEDNESVTVEWIENGDTKGKEIDLESIFSLNPDLVPDEDIEPSPETPPPPTSSAK
+VNKIVKNRRTVASIKNEPPPRDNRVVGSARARPSQLPEQSSSAQQNARRKSNCVKEVEKL
+QEKREKRRLQQQELREKRAQDVDATNPNYEIMCMIRDFRGSLDYRPLTTADPIDEHRICV
+CVRKRPLNKKETQMKDLDVITIPSKDVVMVHEPKQKVDLTRYLENQTFRFDYAFDDSAPN
+EMVYRFTARPLVETIFERGMATCFAYGQTGSGKTHTMGGDFSGKNQDCSKGIYALAARDV
+FLMLKKPNYKKLELQVNATFFEIYSGKVFDLLNRKTKLRVLEDGKQQVQVVGLQEREVKC
+VEDVLKLIDIGNSCRTSGQTSANAHSSRSHAVFQIILRRKGKLHGKFSLIDLAGNERGAD
+TSSADRQTRLEGAEINKSLLAHKECIRALGRNKPHTPFRASKLTQVLRDSFIGENSRTCM
+IATISPGMASCENTLNTLRYANRVKELTVDPTAAGDVRPIMHHPPNQIDDLEAQWGVGSS
+PQRDDLKLLCEQNEEEVSPQLFTFHEAVSQMVEMEEQVVEDHRAVFQESIRWLEDEKALL
+EMTEEVDYDVDSYATQLEAILEQKIDILTELRDKVKSFRAALQEEEQASKQINPKRPRAL
+>sp|P67827|KC1A_BOVIN Casein kinase I isoform alpha OS=Bos taurus OX=9913 GN=CSNK1A1 PE=1 SV=1
+MASSSGSKAEFIVGGKYKLVRKIGSGSFGDIYLAINITNGEEVAVKLESQKARHPQLLYE
+SKLYKILQGGVGIPHIRWYGQEKDYNVLVMDLLGPSLEDLFNFCSRRFTMKTVLMLADQM
+ISRIEYVHTKNFIHRDIKPDNFLMGIGRHCNKLFLIDFGLAKKYRDNRTRQHIPYREDKN
+LTGTARYASINAHLGIEQSRRDDMESLGYVLMYFNRTSLPWQGLKAATKKQKYEKISEKK
+MSTPVEVLCKGFPAEFAMYLNYCRGLRFEEAPDYMYLRQLFRILFRTLNHQYDYTFDWTM
+LKQKAAQQAASSSGQGQQAQTPTGF
+>sp|Q9BGI1|PRDX5_BOVIN Peroxiredoxin-5, mitochondrial OS=Bos taurus OX=9913 GN=PRDX5 PE=2 SV=2
+MRLGWLRVLGCRPGSVVSRATIVEGASTTAAGTRGCLEGILEWTFGGVRGFRSAAVAMAP
+IKVGDAIPSVEVFEKEPGNKVNLAELFKGKKGVLFGLPGAFTPGCSKTHLPGFVEQADAL
+KAKGIQVVACLTVNDVFVTEEWARAHKAEGKVRLLADPSGTFGKETDLLLDDSLLFLFGN
+HRLKRFSMVIEDGIVKSLNVEPDGTGLTCSLAPNILSQL
+>sp|A6QL79|NATD1_BOVIN Protein NATD1 OS=Bos taurus OX=9913 GN=NATD1 PE=3 SV=1
+MAQSPAAASPGAPEQGCPIRVEHDRRRRQFTVRLNGCHDRAVLLYEYVGKRIVDLQHTEV
+PDAYRGRGIAKHLAKAALDFVVEEDLRAHVTCWYIQKFVKENPLPQYLERLQP
+>sp|Q1JPB9|PA2G3_BOVIN Group 3 secretory phospholipase A2 OS=Bos taurus OX=9913 GN=PLA2G3 PE=2 SV=1
+MGVLVVLLGVLSFLGRTLGGSPALHWDSTSCHLARPIPGRPLRSLSFLGKDAQGLALFHA
+HWDGHGRLQVCSRQDEPELTAAYGALCAGEITRGSFIHTPGPELQRALATLQSQWEACRG
+PAESPAGTREKRAAGQNGVPGIGRQWVKRGWTVPGTLWCGVGDSAGNSSELGVFQGPDLC
+CREHDRCPHNVSPFQYNYGIRNYRFHTISHCNCDARFQQCLQDQRDSVSDIMGVAFFNVL
+AIPCFVLEEQEACVEWYWWGGCRRYGSVPFARLQPRTFYNASWSSPATSLTPSPQNPALS
+RPQPMQHPQQWPSEWKESKSPSKTNATALQAPVASPGSDRASTVQLEVTHPGFQGTTGGR
+KPPGAHRACRSFRHLDQCEHQIGPQETKFQLFNSAHEPLFHCNCTRRLARFLRLHGPPVG
+ASMLWELPGMTCFKLAPPLDCAEGKGCPRDPRAFKVSARHLLRLQQRRLQLQGTGTDNGQ
+VWPSEDQGAPISFYNRCLQLT
+>sp|A6QLT2|MTMR2_BOVIN Myotubularin-related protein 2 OS=Bos taurus OX=9913 GN=MTMR2 PE=2 SV=1
+MEKSSSCESLGSQPAVARPPSVDSLSSASTSHSENSVHTKSASVVSSDSISTSAENFSPD
+LRVLRESNKLAEMEEPPLLPGENIKDMAKDVTYICPFTGAVRGTLTVTNYRLYFKSMERD
+PPFVLDASLGVISRVEKIGGASSRGENSYGLETVCKDIRNLRFAHKPEGRTRRSIFENLM
+KYAFPVSNNLSLFAFEYKEVFPENGWKLYDSLSEYRRQGIPNESWRITKVNERYELCDTY
+PALLVVPANIPDEELKRVASFRSRGRIPVLSWIHPESQATITRCSQPMVGVSGKRSKEDE
+KYLQAIMDSNAQSHKIFIFDARPSVNAVANKAKGGGYESEDAYQNAELVFLDIHNIHVMR
+ESLRKLKEIVYPNIEETHWLSNLESTHWLEHIKLILAGALRIADRVESGKTSVVVHCSDG
+WDRTAQLTSLAMLMLDGYYRTIRGFEVLVEKEWLSFGHRFQLRVGHGDKNHADADRSPVF
+LQFIDCVWQMTRQFPTAFEFNEYFLITILDHLYSCLFGTFLCNSEQQRGKENLPRRTVSL
+WSYINSQLEDFTNPLYGSYSNHVLYPVASMRHLELWVGYYVRWNPRMKPQEPIHNRYKEL
+LAKRAELQKKVEELQREISNRSTSSSERAGSPAQCVTPVQTVV
+>sp|Q58DB0|PLD3B_BOVIN PRELI domain containing protein 3B OS=Bos taurus OX=9913 GN=PRELID3B PE=2 SV=1
+MKIWTSEHVFDHPWETVTTAAMQKYPNPMNPSVVGVDVLDRHIDPSGKLHSHRLLSTEWG
+LPSIVKSIIGAARTKTYVQEHSVVDPVEKTMELKSTNISFTNMVSVDERLIYKPHPQDPE
+KTILTQEAIITVKGVSLGSYLEGLMASTISSNANKGREAMEWVIHKLNAEIEELTASARG
+SIRTPMAAAAFVEK
+>sp|Q32KN9|PRLD1_BOVIN PRELI domain-containing protein 1, mitochondrial OS=Bos taurus OX=9913 GN=PRELID1 PE=2 SV=1
+MVKYFLGQSVLRSSWDQVFAAFWQRYPNPYSKHVLTEDIVHREVTSDQKLLSRRLLTKTN
+RMPRWAERLFPANVAHSVYILEDSIVDPQNQTMTTFTWNINHARLMVVEERCVYRVNSDN
+SGWTEIRREAWVSSSLFGVSRAVQEFGLARFKSNVTKTMKGFEYILAKLQGEAPPKTLVE
+TAKEAKEKAKETALAATEKAKDLASKAATKKQQQQQQFV
+>sp|Q5E9U1|P2RX4_BOVIN P2X purinoceptor 4 OS=Bos taurus OX=9913 GN=P2RX4 PE=2 SV=1
+MTGCCTVLGAFLFEYDTPRIVLIRSRKVGLMNRTVQLLILAYVIGWVFVWEKGYQETDSV
+VSSVTVKAKGVTMTNTSKLGFRIWDVADYVIPAQEENSVFIMTNMVITMNQTQGLCPEIP
+GKTTVCETDANCTAGSAGTHSSGVATGRCVSFNGTLKTCEVAAWCPVEDDTEVPKPAFLK
+AAENFTLLVKNNIWYPKFNFSKRNILPNITTAYLKTCIYDAKTDPFCPIFRLGKIVESAG
+HSFQDIAIEGGIMGIQIKWNCNLDRAASFCLPRYSFRRLDTRDLAHNVSPGYNFRFAKYY
+SDLKGAEHRTLIKAYGIRFDIIVFGKAGKFDIIPTMINIGSGLALLGVATVLCDVIVLYC
+MKKRYYYREKKYKYVEDYEQGLGNQMEQ
+>sp|O62647|PNOC_BOVIN Prepronociceptin OS=Bos taurus OX=9913 GN=PNOC PE=2 SV=1
+MKILFCDLLLLSLFSSVSSSCQKDCLVCREKLRPTLDSFSLEMCILECEEKAFTSPLWTP
+CTKVMARGSWQLSPADPDHVAAALDQPRASEMQHLKRMPRVRSLFQRQKRTEPGLEEVGE
+IEQKQLQKRFGGFTGARKSARKLANQKRFSEFMRQYLVLSMQSSQRRRTLHQNGNA
+>sp|A5D9D4|MSTO1_BOVIN Protein misato homolog 1 OS=Bos taurus OX=9913 GN=MSTO1 PE=2 SV=2
+MAGGAREVLTLQLGHFAGFVGAHWWNQQDAALCRPTDAKEPPGELCPDVLYRTGRTLHGQ
+ETYTPRLILMDLKGSLSSLKQEGGLYRDKQLDAAIAWQGKLTTHKEELYPKNPYLQDLLS
+AEGVLSSDGTWRVKSIPNGKGLPPFTNAITPKPVMPTEGSIRVWSDFLRVHLHPRSICMI
+HKYNHDGEAGRLEAFGQGESILKEPKYLEELEDRLHFYVEECDYLQGFQILCDLHDGFSG
+LGAKAAELLQDEYSGRGIITWGLLPGPYRLGELQKNIYRLLNTAFGLVHLSAHSSLVCPL
+SLGGSLGLRPEPPVSFPLLQYDATLPFHCGAILATALDTVTVPYRLRSSPVSMVHLADML
+NFSGKKVVTAGATIPFPSVPSQSLPDTLMQLGEATPWTPLSACGDPSGTCCFAQSVVLRG
+LDRAHHTSQLAPGTPLPSPLHACATGEEVLAQYLQQQQPRVRSSSHLLLTPCKVVPPYPS
+LFSSSLSQHGSVLDGLPTGTAVESIPVLGALCSSSSLNRALGDLAKDLSKLDLRRWASFM
+DAGVEQDDLEETLQDLRSLAQCYEGGDDRLVD
+>sp|Q2T9V9|PHF10_BOVIN PHD finger protein 10 OS=Bos taurus OX=9913 GN=PHF10 PE=2 SV=1
+MLQEQVSEYLGVTSFKRKYPDLERRDLSHKEKLYLRELNVITETQCTLGLTALRSDEVID
+LMIKEYPAKHAEYSVILQEKERQRITDHYKEYSQMQQQNTQKVEASKVPEYIKKAAKKAA
+EFNSNLNRERMEERRAYFDLQTHVIQVPQGKYKVLPTERTKVSSYPVALIPGQFQEYYKR
+YSPDELRYLPLNTALYEPPLDPELPALESDGDSDDAEDGRGDEKGKSKGTSDSSSGNVSE
+GEGLPEGQEEPLPGRQRPRDKAAAPRKDAPKRSALSKAVPGHKPKVIPNALCGICLKGKE
+SSRRGKAEPLVHCSQCDNSGHPSCLDMTMELVSMIKTYPWQCMECKTCIICGQPHHEEEM
+MFCDVCDRGYHTFCVGLGAIPSGRWICDCCQRAPPTPRKVGRRGKNSKEG
+>sp|P05689|CATZ_BOVIN Cathepsin Z OS=Bos taurus OX=9913 GN=CTSZ PE=2 SV=2
+MASSGPLVPPLLLLLVLLAGAARAGLHFRPGRGCYRPLRGDRLTQLGRRTYPRPHEYLSP
+SDLPKSWDWRNVNGVNYASVTRNQHIPQYCGSCWAHGSTSAMADRINIKRKGAWPSTLLS
+VQHVIDCGDAGSCEGGNDLPVWEYAHRHGIPDETCNNYQAKDQECDKFNQCGTCTEFKEC
+HVIKNYTLWKVGDYGSLSGREKMMAEIYTNGPISCGIMATEKMSNYTGGIYSEYNDQAFI
+NHIVSVAGWGVSDGMEYWIVRNSWGEPWGEHGWMRIVTSTYKGGEGARYNLAIEESCTFG
+DPIV
+>sp|O02718|BCL2_BOVIN Apoptosis regulator Bcl-2 OS=Bos taurus OX=9913 GN=BCL2 PE=2 SV=1
+MAHAGGTGYDNREIVMKYIHYKLSQRGYEWDAGDAGAAPPGAAPAPGILSSQPGRTPAPS
+RTSPPPPPAAAAGPAPSPVPPVVHLTLRQAGDDFSRRYRRDFAEMSSQLHLTPFTARERF
+ATVVEELFRDGVNWGRIVAFFEFGGVMCVESVNREMSPLVDSIALWMTEYLNRHLHTWIQ
+DNGGWDAFVELYGPSMRPLFDFSWLSLKALLSLALVGACITLGAYLGHK
+>sp|Q3ZBG5|BAG2_BOVIN BAG family molecular chaperone regulator 2 OS=Bos taurus OX=9913 PE=2 SV=1
+MAQARISAKANEGRFCRSSSMADRSSRLLESLDQLELRVEALREAATAVEQEKEVLLEMI
+HSIQNSQDMRQISDGEREELNLTANRLMGRTLTVEVSVETIRSPQQQESLKHATRIIDEV
+VSKFLDDLGNARSHLMSLYSACSSEVPAGPVDQKFQSIVIGCALEDQKKIKRRLETLLRN
+IENADKAIKLLEHSKGAASKTLQQNAEARFN
+>sp|Q32S26|BRD2_BOVIN Bromodomain-containing protein 2 OS=Bos taurus OX=9913 GN=BRD2 PE=3 SV=1
+MLQNVTPHNKLPGEGNAGLLGLGPEAAAPGKRIRKPSLLYEGFESPTMASVPALQLTPAN
+PPPPEVSNPKKPGRVTNQLQYLHKVVMKALWKHQFAWPFRQPVDAVKLGLPDYHKIIKQP
+MDMGTIKRRLENNYYWAASECMQDFNTMFTNCYIYNKPTDDIVLMAQTLEKIFLQKVASM
+PQEEQELVVTIPKNSHKKGAKLAALQGSITSAHQVPAVSSVSHTALYTPPPEIPTTVLNI
+PHPSVISSPLLKSLHSAGPPLLAVSAAPPAQPLAKKKGVKRKADTTTPTPTAILAPGSPA
+SPPGGLEPKAARLPPVRRESGRPIKPPRKDLPDSQQQHQSSKKGKLSEQLKHCNGILKEL
+LSKKHAAYAWPFYKPVDASALGLHDYHDIIKHPMDLSTVKRKMENRDYRDAQEFAADVRL
+MFSNCYKYNPPDHDVVAMARKLQDVFEFRYAKMPDEPLEPGPLPVSTALPPGLAKSSSES
+SSEESSSESSSEEEEEEDEDEEEEEEESESSDSEEERAHRLAELQEQLRAVHEQLAALSQ
+GPISKPKRKREKKEKKKKRKAEKHRGRAGADEDDKGPRAPRPSQPKKSKKAGGGGSSGAA
+TLGPPGFGPSGGGATKLPKKATKTAPPALPAGYDSEEEEESRPMSYDEKRQLSLDINKLP
+GEKLGRVVHIIQAREPSLRDSNPEEIEIDFETLKPSTLRELERYVLSCLRKKPRKPYTIK
+KPVGKTKEELALEKKRELEKRLQDVSGQLNSTKKPPKKASEKTETSSAQQVAVSRLSASS
+SSSDSSSSSSSSSSSDTSDSDSG
+>sp|Q32LM7|CC152_BOVIN Coiled-coil domain-containing protein 152 OS=Bos taurus OX=9913 GN=CCDC152 PE=2 SV=2
+MNQNSEGCMKKISSVNLDKLINDFSQIEKKMIESSGKNNILDMQLEKANCLLRVMQTKEV
+AMKQGENEQLKRNADLMKEKFKMHEQEHRNNIAKLMSEMKIKEEGHKIEKTKLYQDMQKK
+VKLSEEKNKELIEKKELEISELNAKLRTQEREKQNEMIKLQLEFDAKLARVQIKTKSYPD
+STVSPHSIYKRKLQHLQEEKDKEIAVLRNTVRDLEQRLSVSKDSQVKLVGKDPRFKRRRF
+>sp|Q2T9U9|CC160_BOVIN Coiled-coil domain-containing protein 160 OS=Bos taurus OX=9913 GN=CCDC160 PE=2 SV=2
+MDARRKHWKENKFAPLFSAQDIPNEAAQLESSSEQMPLDKVKRMEIIFNLSSRKFREENK
+FKRKEFISQPNENEQESNLIERKINISKTEADTNSVSCESSNLDIATEESFNSTEELPTW
+VIKELSTPPQKDKKKKFTEGMSSKLRLNLLNEELEVLDMKCKKIEEEFESAEKELLNSKK
+EVSTKPLNFQEAEVETSKTDWELQALRNDLSEKATNVKNLTEELQQAKEVIHKLSLENKD
+LKETVRKLKRQTEVGNAFLKEEMKLYYELEMEKIRGELTAIKNELRTEKSLQARNNRALE
+LLRKHFASVMPSGTSDNFMGDFF
+>sp|Q00361|ATP5I_BOVIN ATP synthase subunit e, mitochondrial OS=Bos taurus OX=9913 GN=ATP5ME PE=1 SV=2
+MVPPVQVSPLIKLGRYSALFLGMAYGAKRYNYLKPRAEEERRLAAEEKKKRDEQKRIERE
+LAEAQEDTILK
+>sp|Q0VCT4|CLTRN_BOVIN Collectrin OS=Bos taurus OX=9913 GN=CLTRN PE=2 SV=1
+MLWLLFFLVTAIHADLCRPDAENAFKVRLSIRTALGDKAYAWDANEEYLFKAMVAFSMRK
+VPNRETTEISHVLLCNVTQRVSFWFVVTDPSRNHTLPAVEVQSAIRMNRNRINNAFFLND
+QTLEFLRIPSTLAPPTDPSVPIWIIIFGVIFCIVLVATMLLIISGIRQHRRKNKGPSEME
+DSEDKCENVITIENGIPCDPLDMKGGHINDAFVTEDERLTPL
+>sp|Q2M2T2|CS071_BOVIN Uncharacterized protein C19orf71 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MQTLRRQAAWPCVPRGTLEVDFPPPLYSDDYLSQEGPRWTPAIKQATRWKYTPMGRDAAG
+QLWYTGLTNSDSREAWYTLPRAPDSPYREAYARWHGCYGHRERSLPSAYTQRLRETAWYD
+PIIPAQYTDPSTRWGSVLWKDRPIRGKEFAINRHRFGVEALWRASDYVRYLSAPQRPRYT
+AQNYRQWGLEPYCPATNQRPPPVYTPSH
+>sp|P02470|CRYAA_BOVIN Alpha-crystallin A chain OS=Bos taurus OX=9913 GN=CRYAA PE=1 SV=1
+MDIAIQHPWFKRTLGPFYPSRLFDQFFGEGLFEYDLLPFLSSTISPYYRQSLFRTVLDSG
+ISEVRSDRDKFVIFLDVKHFSPEDLTVKVQEDFVEIHGKHNERQDDHGYISREFHRRYRL
+PSNVDQSALSCSLSADGMLTFSGPKIPSGVDAGHSERAIPVSREEKPSSAPSS
+>sp|P04758|ACHB_BOVIN Acetylcholine receptor subunit beta OS=Bos taurus OX=9913 GN=CHRNB1 PE=2 SV=1
+MTPGALLLLLLGVLGAHLAPGARGSEAEGRLREKLFSGYDSTVRPAREVGDRVWVSIGLT
+LAQLISLNEKDEEMSTKVYLDLEWTDYRLSWDPEEHEGIDSLRISAESVWLPDVVLLNNN
+DGNFDVALDINVVVSSDGSMRWQPPGIYRSSCSIQVTYFPFDWQNCTMVFSSYSYDSSEV
+SLQTGLSPEGQERQEVYIHEGTFIENGQWEIIHKPSRLIQPSVDPRGGGEGRREEVTFYL
+IIRRKPLFYLVNVIAPCILITLLAIFVFYLPPDAGEKMGLSIFALLTLTVFLLLLADKVP
+ETSLSVPIIIKYLMFTMVLVTFSVILSVVVLNLHHRSPHTHQMPLWVRQIFIHKLPLYLG
+LKRPKPERDQMQEPPSIAPRDSPGSGWGRGTDEYFIRKPPNDFLFPKPNRFQPELSAPDL
+RRFIDGPNRAVGLPPELREVVSSISYIARQLQEQEDHDVLKEDWQFVAMVVDRLFLWTFI
+IFTSVGTLVIFLDATYHLPPADPFP
+>sp|P46159|DEFB1_BOVIN Beta-defensin 1 OS=Bos taurus OX=9913 GN=DEFB1 PE=1 SV=1
+DFASCHTNGGICLPNRCPGHMIQIGICFRPRVKCCRSW
+>sp|A7YWN2|FITM1_BOVIN Fat storage-inducing transmembrane protein 1 OS=Bos taurus OX=9913 GN=FITM1 PE=2 SV=1
+MERGPVVGAGRGAGARIRALLGGLVRVLLWVASALLYFGSEQAARLLGSPCLRRLYHAWL
+AAVVIFGPLLQFHVNPRTIFASHGNFFNIKFVNSAWGWTCTFLGGFVLLVVFLATRRVAV
+TARHLSRLVVGAAVWRGAGRAFLLIEDLTGSCFEPLPQGLLLHELPDRRSCLAAGHQWRG
+YTVSSHTFLLTFCCLLMAEEAAVFAKYLAHGLPAGAPLRLVFLLNVLLLGLWNFLLLCTV
+IYFHQYTHKVVGAAVGTFAWYLTYGSWYHQPWSPGSPGHGLFPRPHSIHKHN
+>sp|A5D794|GAPD1_BOVIN GTPase-activating protein and VPS9 domain-containing protein 1 OS=Bos taurus OX=9913 GN=GAPVD1 PE=2 SV=1
+MVKLDIHTLAHHLKQERLYVNSEKQLIQRLNADVLKTAEKLYRTAWIAKQQRINLDRLII
+TSAEASPAECCQHAKILEDTQFVDGYKQLGFQETAYGEFLSRLRENPRLIASSLVAGEKL
+NQENTQSVIYTVFTSLYGNCIMQEDESYLLQVLRYLIEFELKESDNPRRLLRRGTCAFSI
+LFKLFSEGLFSAKLFLTATLHEPIMQLLVEDEDHLETDPNKLIERFSPAQQEKLFGEKGS
+DRFRQKVQEMVDSNEAKLVALVNKFIGYLKQNTYCFPHSLRWIVSQMYKTLSCVDRLEVG
+EVRAMCTDLLLACFICPAVVNPEQYGIISDAPINEVARFNLMQVGRLLQQLAMTGSEEGD
+PRTKSSLGKFDKSCVAAFLDVVIGGRAVETPPMSSVNLLEGLSRTVVYITYSQLITLVNF
+MKSVMSGDQLREDRMALDNLLANLPQAKPGKSSSLEMTPYSTPQLSPAATPANKKNRLPI
+ATRSRSRTNVLMDLHMDHEGSAQETIQEVQPEEVLVISLGTGPQLTPGMMSENEVLNMQL
+SDGGQGDVPVDENKLHGPSNRSNSVSSLDLEGESVSELGAGPSGSNGVEALQLLEHEQAT
+TQDNLDDKLRKFEIRDMMGLTDDRDISETVSETWSTDVLGSDFDPNIDEDRLQEIAGAAA
+ENMLGSLLCLPGSGSVLLDPCTGSTISETTSEAWSVEVLPSDSEAPDLKQEERLQELESC
+SGLGSTSDDTDVREVSSRPSTPGLSVVSGISATSEDIPNKIEDLRSECSSDFGGKDSVTS
+PDMDEVTHGAHQLTSPPSQSESLLAMFDPLSSHEGGASAVVRPKVHYARPSHPPPDPPIL
+EGAVGGNEARLPTFGSHILTPAEMEAFKQRHSYPERLVRSRSSDVVSSVRRPMSDPSWNR
+RPGNEERELPPAAAIGATSLVAAPHSSSSSPSKDSSRGETEERKDSDDEKSDRNRPWWRK
+RFVSAMPKDDPSPRLSAQAQVAEDILDKYRNAIKRTSPSEGALANYESAEVMGDGESAHD
+SPRDETLQNISADDLPDSASQAAHPQDSAFSYRDAKKKLRLALCSADSVAFPVLTHSTRN
+GLPDHTDPEDNEIVCFLKVQIAEAINLQDKNLMAQLQETMRCVCRFDNRTCRKLLASIAE
+DYRKRAPYIAYLTRCRQGLQTTQAHLERLLQRVLRDKEVANRYFTTVCVRLLLESKEKKI
+REFIQDFQKLTAADDKTAQVEDFLQFLYGAMAQDVIWQNASEEQLQDAQLAIERSVMNRI
+FKLAFYPNQDGDILRDQVLHEHIQRLSKVVTANHRALQIPEVYLKEAPWPSAQSEIRTIS
+AYKTPRDKVQCILRMCSTIMNLLSLANEDSVPGADDFVPVLVFVLIKANPPCLLSTVQYI
+SSFYASCLSGEESYWWMQFTAAVEFIKTIDDRK
+>sp|A1A4M2|EMC10_BOVIN ER membrane protein complex subunit 10 OS=Bos taurus OX=9913 GN=EMC10 PE=2 SV=1
+MSVPAAGATRLLLLLLVAAATPSRARGSGCRSGAAVRGVGVEGREGEGCGTVGLLLEHSF
+EIDDSAHFRKRGSLLWNQQDGTLSLSQRQLNEEERGRLRDVAALNGLYRVRVPRRPGAPD
+GPEAGGYVSSFVPACSLVESHLSDQLTLHVDVAGNVVGVSVVTYPGGCRGHEVEDVDLEL
+FNTSVQLQPPVTAPGPETAAFIERLEMEQAQKAKNPQEQKSFFAKYWMYIVPVVLFLMMS
+GAPDAGGQGGGGGGGGGGGSGR
+>sp|Q32L35|MIC25_BOVIN MICOS complex subunit MIC25 OS=Bos taurus OX=9913 GN=CHCHD6 PE=2 SV=1
+MGSAESREGRRASFGMDEEERVRVLQGIRLSENVVNRMKEPGQPSRVGLLAPPAAALGPS
+GGREKDSKPPRPDCGSGRGPPRVQVDPLERCDWEQAVLQDELVRVATTEREAAASPRSVT
+LRRGEGGVDQEKQRLAQRARELESQEEELRCRDAFYKEQLGRLERQNLEAYRLSSQQFHE
+AATKIEGAIKPRRVEPVCSGLQAQILRCYRDRLQEVLLCADLVRAYQHCVSSAHKG
+>sp|Q0V8B7|MCM5_BOVIN DNA replication licensing factor MCM5 OS=Bos taurus OX=9913 GN=MCM5 PE=2 SV=1
+MSGFDDPGIFYSDSFGGDNAADEGQARKSQLQRRFKEFLRQYRVGTDRTGFTFKYRDELK
+RHYNLGEYWIEVEMEDLASFDEELADYLYKQPAEHLQLLEEAAKEVADEVTRPRPAGDEV
+LQDIQVMLKSDASPSSIRSLKSDTMSHLVKIPGIVIAASGVRAKATRISIQCRSCHSTLT
+NIAMRPGLDGYALPRKCNTDQAGRPKCPLDPYFIMPDKCKCVDFQTLKLQELPDAVPHGE
+MPRHMQLYCDRYLCDKVVPGNRVTIMGIYSIKKFGLTSNRGRDRVGVGIRSAYIRVLGIQ
+VDTDGSGRTFAGAMTPQEEEEFRRLAALPNIYELISKSIAPSIFGGTDMKKAIACLLFGG
+SRKRLPDGLTRRGDINLLMLGDPGTAKSQLLKFVEKCSPIGVYTSGKGSSAAGLTASVMR
+DPSSRNFIMEGGAMVLADGGVVCIDEFDKMREDDRVAIHEAMEQQTISIAKAGITTTLNS
+RCSVLAAANSVFGRWDETKGEDNIDFMPTILSRFDMIFIVKDEHNEERDVMLAKHVITLH
+VSALTQAQAVEGEIDLAKLKKFIAYCRAKCGPRLSAEAAEKLKNRYIIMRSGARQHERDS
+DRRSSIPITVRQLEAIVRIAEALSKMKLQPFATEADVEEALRLFQVSTLDAALSGTLSGV
+EGFTSQEDQELLSRIEKQLKRRFAIGSQVSEHSIIQDFTKQKYPEHAIHKVLQLMLRRGE
+IQHRMQRKVLYRLK
+>sp|Q2TBU8|MED21_BOVIN Mediator of RNA polymerase II transcription subunit 21 OS=Bos taurus OX=9913 GN=MED21 PE=2 SV=1
+MADRLTQLQDAVNSLADQFCNAIGVLQQCGPPASFSNIQTAINKDQPANPTEEYAQLFAA
+LIARTAKDIDVLIDSLPSEESTAALQAASLYKLEEENHEAATSLEDVVYRGDMLLEKIQS
+ALADIAQSQLKTRSGTHSQSLPDS
+>sp|Q32PD6|LYZL5_BOVIN Sperm acrosome-associated protein 5 OS=Bos taurus OX=9913 GN=SPACA5 PE=2 SV=1
+MQVSGTIVVILMAANVEAKIYERCDLAKKLEAAGLNGFKGYTIGDWLCMAHYESGFDTSF
+VNHNPDGSSEYGIFQLNSAWWCYNGVTPSENLCHMDCHELLNRHILDDIMCAKKVVSSES
+GMSAWDSWNQHCYGYDLSEWLRGCHMNAKPNKKIIS
+>sp|Q7YQJ3|IPKG_BOVIN cAMP-dependent protein kinase inhibitor gamma OS=Bos taurus OX=9913 GN=PKIG PE=3 SV=1
+MMEVESSYSDFISCDRSGRRNAVPDIQGDSEAVSVRKLAGDMGELALEGAEGQAEVGTSD
+KEASSQPESSDGTTSS
+>sp|Q2KIP5|PDZ1I_BOVIN PDZK1-interacting protein 1 OS=Bos taurus OX=9913 GN=PDZK1IP1 PE=3 SV=1
+MSVLSLVVLSLLMALPPASCQQGRGNLQPWMQGLIAVAVFLVLVAIAFAVNHFWCQEKPA
+PINMVMTIGNKADGILVGTDGKYSSMAASFRSSEHENAYENIPEEEGKVCSTPM
+>sp|Q3ZBG8|MRNIP_BOVIN MRN complex-interacting protein OS=Bos taurus OX=9913 GN=MRNIP PE=2 SV=1
+MAPPQQARVLRCCCCSLFQAHQVKKSLKWTCKACGKKQSFLQAYGEGSGADCRRHVQKLN
+LLQGQISEMSLRSLGESISANEEENVGPWQAARASPQETLQPSKNRWLKYLERDSKELGL
+KGGGVCFNSQPSSETEKPDPPFSTGLPRKRKWNQSTVQPLCGPHVQDSRNCEVTLKSLKA
+RPLHPTWGARSSPDCSTWDLPCISEELPPSFTQDRAGLAGKGRESSREDLDTMELVPRGE
+PPCPAQQVRTMSKWEQCLGNSSHLDTEPLTPLQRGLRPIQAAQAEQGAPRAQTPREGGLC
+RLPGARQSPQTTHTPMPGPKWLCGRIPEQSQGTGPWAEGVPLVKGMQARSSLMRLCDLFK
+TGEDFDDDL
+>sp|Q148C4|PCP4_BOVIN Calmodulin regulator protein PCP4 OS=Bos taurus OX=9913 GN=PCP4 PE=3 SV=1
+MSERQGAGTTNGKDKPSGENDGQKKVQEEFDIDMDAPETERAAVAIQSQFRKFQKKKAGS
+QS
+>sp|Q02369|NDUB9_BOVIN NADH dehydrogenase [ubiquinone] 1 beta subcomplex subunit 9 OS=Bos taurus OX=9913 GN=NDUFB9 PE=1 SV=2
+MAFLSSGAYLTHQQKVLRLYKRALRHLESWCIHRDKYRYFACLLRARFDEHKNEKDMVKA
+TQLLREAEEEFWHGQHPQPYIFPESPGGTSYERYECYKVPEWCLDDWHPSEKAMYPDYFA
+KREQWKKLRRESWEREVKQLQEETPVGGPRTEALPPARKQGDLPPLWWHIVTRPRERPM
+>sp|Q28824|MYLK_BOVIN Myosin light chain kinase, smooth muscle OS=Bos taurus OX=9913 GN=MYLK PE=1 SV=1
+MDFRANLQRQVKPKTLSEEERKVHGPQQVDFRSVLAKKGTPKTPVPEKVPPPKPATPDFR
+SVLGSKKKLPTENGSNNTEALNAKAAEGLKPVGNAQPSGFLKPVGNAKLADTPKPLSSTK
+PAETPKPLGNVKPAETPKPLGSTKPAETPKPLGSTKPAETPKPLGNVKPAETPKPLGNIK
+PTETPKPLGSTKPAETPKPLGSTKPAETPKPLGNVKPAETPKPLGNVKPAETPKPLGNVK
+PAETPKPVSNAKPAETLKPVGNAKPAETPKPLSNVKPAETPKLVGNAKPAETSKPLDNAK
+PAEAPKPLGNAKPAEIPKPTGKEELKKEIKNDVNCKKGHAGATDSEKRPESRGTAPTFEE
+KLQDLHVAEGQKLLLQCRVSSDPPATITWTLNGKTLKTTKFIVLSQEGSLCSVSIEKALP
+EDRGLYKCVAKNSAGQAESSCQVTVDVPDAPTSENAKAPEMKARRPKSSLPPVLGTESDA
+TVKKKPAPKTPPKAAMPPQIIQFPEDQKVRAGESVELFGKVAGTQPITCTWMKFRKQIQD
+SEHIKVENSEQGSKLTIRAARQEHCGCYTLLVENKLGSRQAQVNLTVVDKPDPPAGTPCA
+SDIRSSSLTLSWYGSSYDGGSAVQSYSVEIWDSVDKTWKELATCRSTSFNVQDLLPDREY
+KFRVRAINVYGTSEPSQESELTALGEKPEEEPKDEVEVSDDDEKEPEVDYRTVTVNTEQK
+VSDFYDIEERLGSGKFGQVFRLVEKKTGKIWAGKFFKAYSAKEKENIRQEISIMNCLHHP
+KLVQCVDAFEEKANIVMVLEIVSGGELFERIIDEDFELTERECIKYMKQISEGVEYIHKQ
+GIVHLDLKPENIMCVNKTGTRIKLIDFGLARRLENAGSLKVLFGTPEFVAPEVINYEPIG
+YATDMWSIGVICYILVSGLSPFMGDNDNETLANVTSATWDFDDEAFDEISDDAKDFISNL
+LKKDMKNRLNCTQCLQHPWLMKDTKNMEAKKLSKDRMKKYMARRKWQKTGNAVRAIGRLS
+SMAMISGLSGRKSSTGSPTSPLNAEKLESEDVSQAFLEAVAEEKPHVKPYFSKTIRDLEV
+VEGSAARFDCKIEGYPDPEVVWFKDDQSIRESRHFQIDYDEDGNCSLIISDVCGDDDAKY
+TCKAVNSLGEATCTAELIVETMEEGEGEGGEEEEEE
+>sp|Q9GKI7|C43BP_BOVIN Collagen type IV alpha-3-binding protein OS=Bos taurus OX=9913 GN=COL4A3BP PE=2 SV=1
+MSDNQSWNSSGSEEDPETESGPPVERCGVLSKWTNYIHGWQDRWVVLKNNTLSYYKSEDE
+TEYGCRGSICLSKAVITPHDFDECRFDISVNDSVWYLRAQDPDHRQQWIDAIEQHKTESG
+YGSESSLRRHGSMVSLVSGASGYSATSTSSFKKGHSLREKLAEMETFRDILCRQVDTLQK
+FFDACADAVSKDEFQRDKVVEDDEDDFPTTRSDGDFLHNTNGNKEKVFPHVTPKGINGID
+FKGEAITFKATTAGILATLSHCIELMVKREDSWQKRMDKETEKRRRVEEAYKNAMTELKK
+KSHFGGPDYEEGPNSLINEEEFFDAVEAALDRQDKIEEQSQSEKVRLHWSTSMPSGDAFS
+SVGTHRFVQKPYSRSSSMSSIDLVSASDGVHRFSSQVEEMVQNHMTYSLQDVGGDANWQL
+VVEEGEMKVYRREVEENGIVLDPLKATHAVKGVTGHEVCNYFWNVDVRNDWETTIENFHV
+VETLADNAIIIYQTHKRVWPASQRDVLYLSAIRKIPALNENDPETWIVCNFSVDHSSAPL
+NNRCVRAKINVAMICQTLVSPPEGNQEISRDNILCKITYVANVNPGGWAPASVLRAVAKR
+EYPKFLKRFTSYVQEKTAGKPILF
+>sp|Q3SX45|ASB2_BOVIN Ankyrin repeat and SOCS box protein 2 OS=Bos taurus OX=9913 GN=ASB2 PE=2 SV=1
+MATEISARGRPRAIGQEEYNLYSSLSEDELVQMAIEQSLADKTRGPTTTETTVPTRVNRE
+PAHFYPWTRSSVSPESALTSAPKGLFQEVMQKYNRSKSSQLAPVDPVLKAIKEDDEEALT
+AMIKAGKNLSEPNKEGWLPLHEAAYYGQLNCLKALHRAYPAVIDQRTLQEETALYLATCR
+GHVDCLQFLLQAGAEPDISNKSRETPLYKACERKNVEAVRILVQYKADTNHRCNRGWTAL
+HESVARNDLEVMEILVSGGAKVEAKNAYGITPLFVAAQSGQLEALRFLAKYGADINTQAS
+DSASALYEACKNGHEEVVEFLLSQGADANKTNKDGMLPLHIASKKGNYRIVQMLLPVTSR
+TRVRRSGISPLHLAAERNNDEVLEALLGARFDVNAPLAPERARLYEDRRSSALYFAVVNN
+NVYATELLLLAGADPNRDVINPLLVAIRHGCLRTMQLLLDHGANIDAYIATHPTAFPATI
+MFAMKCLSLLKFLMDLGCNGEPCFSCLYGNGPHPPAPPPSNRFNDAPASDKAPSAVQFCE
+FLSAPEVSRWAGPIIDVLLDYVGNVQLCSRLKEHIDSFEDWAVIKEKAEPPRPLAHLCRL
+RVRKAIGKYRIKLLDTLPLPGRLIRYLKYENTQ
+>sp|A6QQ07|BTD_BOVIN Biotinidase OS=Bos taurus OX=9913 GN=BTD PE=2 SV=1
+MSRAGRQLALLLCSCCVAVAIPGGLAEEGCPAEPHQASRYVAAVYEHQSFLSPDPLALTS
+REQALELMHRNLDVYEQQVTTAARKGAQIIVFPEDGIHGFNFTRTSIYPFLDFMPSPRSV
+RWNPCLEPHRFNDTEVLQRLSCMAMKGEMFLVANLGTKQPCHSSDPGCPSDGRYQFNTNV
+VFSSNGTLVDRYRKHNLYFEAAFDTPLEVDHTVFDTPFAGKFGVFTCFDILFFDPAVRLL
+QDSEVKHVVYPTAWMNQLPLLAAIQIQRGFAIAFVINLLAANIHHPSLGMTGSGIHTPLK
+SFWHHSMDSPEGHLIIAEVARNPPGLISAGNATGKTDPFHRKFLQLLAGDPYSEKDAQDV
+HCDAAPKSTTNASPTFNSEMMYDNFTLVPVWGRDGHVHVCAHGLCCHLLYQRPTVSQELY
+ALGVFDGLHTVHGTYYVQVCALVKCGGLGFDTCGQEITEAMGMFEFHLWGNFSTSYIFPM
+LLTSGMMLETPDQLGWESDQYFLKKRGLSSGLVTAALYGRLYERD
+>sp|Q8SQA4|CD97_BOVIN CD97 antigen OS=Bos taurus OX=9913 GN=CD97 PE=2 SV=1
+MGGPHGGPFLLFHVLCFLLTLSEVGSQNSKACALPCPPNSSCVNGTACRCAPGFISFSGE
+IFTDPLESCDDINECGPPSPVDCGSSADCQNTEGGYYCTCSPGYEPVSGAMIFRNESENT
+CRDVDECSSGQHQCHNSTVCFNTVGSYTCHCREGWEPKHGLKNKQKDTICKEISFPAWTA
+PPGIKSRSLSAFFERVQKMSRDFKPAMAKKSMQDLVGSVDDLLKNSGDLESLDQSSKHVT
+VTHLLSGLEQILRTLAKAMPKGSFTYRSLDNTELSLVVQEQGKGNVTVGQSHARMLLDWA
+VAAAAEESGPTVVGILSSQNMKKLLANASLKLDSEKLKETYKSPVRGAKVTLLSAVSSVF
+LSNTNTEKLDSNVSFAFALHEQPELKPRQELICAFWKKDSNGNGSWATTGCWKMGRGNGS
+ITCQCSHLSSFAILMAHYDVEDPKLALITKVGLALSLACLLLCILTFLLVRPIQGSRTTV
+HLHLCICLFVGSAIFLAGIENEGGEVGTRCRLVAVLLHYCFLAAFCWMSLEGVELYFLVV
+RVFQGQGMRKLWLCLIGYGVPLIIVGISAGAYSKGYGREKFCWLNFEGGFLWSFVGPVTF
+IVLGNAIIFVITVWKLTQKFSEINPDIKKLKKARVLTITAIAQLFVLGCTWVFGLLLFNP
+ESWVLSYIFSILNCLQGFFLFVLYCLLNKKVREEYRKWACMVAGNKYSEFATTTSGSGSS
+HNQTQALRPSESGM
+>sp|Q2EGB9|ASCL2_BOVIN Achaete-scute homolog 2 OS=Bos taurus OX=9913 GN=ASCL2 PE=2 SV=1
+MDSRALPRPAPPAPGVPGCCAARRRPESPELLRCSRRRRPGAVDPGSGAAAVARRNERER
+NRVKLVNLGFQALRQHVPHGGASKKLSKVETLRSAVEYIRALQRLLAEHDAVRAALAGGL
+LAPAVRHPLPRAPSGTPATAASPSCASSSPGRGHSSEPGSPRSAYSSDDSGCEGALSPAE
+RELLDFSSWLGGY
+>sp|Q148F2|AL5AP_BOVIN Arachidonate 5-lipoxygenase-activating protein OS=Bos taurus OX=9913 GN=ALOX5AP PE=2 SV=1
+MDQEAVGNIVLLAIVTLISVVQNGFFAHKVEHESKTHNGRSFQRTGTLAFERVYTANQNC
+VDAYPTFLVMLWSAGLLCSQVPAAFAGLMYLFVRQKYFVGYLGERTQSTPGYIFGKRIIL
+FLFAMSLAGILNYFFIALFGSDFENYIKTVTTTISPLLLIP
+>sp|Q32KM2|C10_BOVIN Protein C10 OS=Bos taurus OX=9913 PE=2 SV=1
+MASASAQSAALSAEQAKVVLAEVIQAFSAPENAVRMDEARDNACNDMGKMLQFVLPVATQ
+IQQEVIKAYGFSCDGEGVLKFARLVKSYEAQDPEIASLSGKLKALFLPPMTLPPHGPASG
+GSVAASLGLALP
+>sp|Q32PC9|AR2BP_BOVIN ADP-ribosylation factor-like protein 2-binding protein OS=Bos taurus OX=9913 GN=ARL2BP PE=1 SV=2
+MDALEEESFALSFSSASDAEFDAVVGYLEDIIMDDEFQLLQRNFMDKYYQEFEDTEENKL
+TYTPIFNEYISLVEKYIEEQLLERIPGFNMAAFTTTLQHHKDEVAGDIFDMLLTFTDFLA
+FKEMFLDYRAEKEGRGLDLSSGLVVTSLCKSSSVPASQNNLRP
+>sp|Q17Q91|CHCH7_BOVIN Coiled-coil-helix-coiled-coil-helix domain-containing protein 7 OS=Bos taurus OX=9913 GN=CHCHD7 PE=3 SV=1
+MPMVTRRLRDPDVNPCLSESDASTRCMAENNYDKESCSSHFLKYKNCRKFWNSVMIQRRQ
+NGVKPPMPTAAERDEILGGLGKMPY
+>sp|Q2KIB7|BORC7_BOVIN BLOC-1-related complex subunit 7 OS=Bos taurus OX=9913 GN=BORCS7 PE=3 SV=2
+MATGTPDSQARFGQSVKGLLTEKVNTCGTDVIALTKQVLKGSRSSELLGQAARNMVLQED
+AILHSEDSLRKMAIITTHLQYQQEAIQKNVEQSSNLQDQLNHLLK
+>sp|Q3SZF2|ARF4_BOVIN ADP-ribosylation factor 4 OS=Bos taurus OX=9913 GN=ARF4 PE=2 SV=3
+MGLTISSLFSRLFGKKQMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKN
+ICFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERIQEGAEELQKMLQEDELRDAV
+LLLFANKQDLPNAMAISEMTDKLGLQSLRNRTWYVQATCATQGTGLYEGLDWLSNELSKR
+>sp|Q17QH6|COL11_BOVIN Collectin-11 OS=Bos taurus OX=9913 GN=COLEC11 PE=2 SV=1
+MKRALALMGLAFLCVLRAGAAQQTVDDACSVQILVPGLKGDAGEKGDKGAPGRPGRVGPT
+GEKGDVGDKGQKGGVGRHGKIGPIGSKGEKGDSGDIGPPGPNGEPGIPCECSQLRKAIGE
+MDNQVTQLTAELKFIKNAVAGVRETEQKMYLLVKEEKRYLDAQLACQGRGGTLSMPKDEA
+ANALLAAYITQAGLARVFIGINDLEREGAFVYADRSPMQTFSKWRSGEPNNAYDEEDCVE
+LVASGGWNDVACHLTMHFLCEFDKEHV
+>sp|Q0P5F9|AL8A1_BOVIN 2-aminomuconic semialdehyde dehydrogenase OS=Bos taurus OX=9913 GN=ALDH8A1 PE=2 SV=1
+MAGRGGLLMLENFIGGKFLPCSSYLDSYDPSTGEVYCHVPNSGKEEIEAAVEAARAAFPG
+WSSRSPQERSQVLQRLADLLEQSLEELAQAESKDQGKTITLARTMDIPRAVHNFRFFASS
+ILHHTSECTQMDHLGCLHYTVRAPVGIAALISPWNLPLYLLTWKIAPAIAAGNTVIAKPS
+ELTSVTAWMMCRLLEKAGVPPGVVNIVFGTGPRVGEALVSHPEVPLISFTGSQPTAERIM
+QLSAPHCKKLSLELGGKNPAVIFEDANLAECIPTTVRSSFANQGEICLCTSRIFVQRSIY
+SEFLKRFVEAARMWKVGIPSDPSADMGALISKAHLEKVRSYIKKARMEGAQILCGEGVDK
+LNLPPRNQAGYFMLPTVITDVKDESCCMKEEIFGPVTCVVPFDSEEEVIQRANNVKYGLA
+ATVWSGNVGRVHRVAKKLQSGLVWTNCWLIRELNLPFGGMKSSGVGREGAKDSYEFFTEV
+KTITVKH
+>sp|Q32S24|COBA2_BOVIN Collagen alpha-2(XI) chain OS=Bos taurus OX=9913 GN=COL11A2 PE=3 SV=1
+MERCSRCHHLLLLVLLLLWLSAAPAWAGTAPVDVLRALRFPALPDGVRRARGICPADVAY
+RVSRPAQLSAPTRQLFPGGFPKDFSLLTAVRARPGLQAPLLTLYSAQGVRQLGLELGRPV
+RFLYEDQTGRPQPPAQPVFRGLSLADGKWHRVAVAVKGQSVTLIIDCKKRVTRPLPRSAR
+PVLDTRGVIIFGARILDEEVFEGDIQELSIIPGVQAAYESCDQKELECEGGWRERPQRQP
+SHRTQRSPKQQPPRLHRPQNQEPQAQSTESLYYDYEPPYYDVMTTGTTPDYQDPTPGEEE
+GILESSPLPPPEEEQTDLQVPPTADRFLTEEYGEGGTEPPAGPYDYTYAYGDDYHEETEL
+GPALSAETARSEAAARGPRGLKGEKGEPAVLEPGMLVEGPPGPEGPAGFPGPPGIQGNPG
+PVGDPGERGPPGRAGLPGSDGAPGPPGTSLMLPFRFGSGGGDKGPVVAAQEAQAQAILQQ
+ARVALRGPPGPMGYTGRPGPLGQPGSPGMKGESGDLGPQGPRGPQGLMGPPGKAGRRGRA
+GADGARGMPGEPGVKGDRGFDGLPGLPGEKGHRGDTGAQGLPGPPGEDGERGDDGEIGPR
+GLPGESGPRGLLGPKGPPGIPGPPGVRGMDGPHGPKGSLGPQGEPGPPGQQGTPGTQGLP
+GPQGAIGPHGEKGPRGKPGLPGMPGSDGPPGHPGKEGPPGTKGNQGPSGPQGPLGYPGPR
+GIKGVDGIRGLKGHKGEKGEDGFPGFKGDMGVKGDRGEVGVPGSRGEDGPEGPKGRTGPT
+GDPGPPGLMGEKGKLGVPGLPGYPGRQGPKGSLGFPGFPGASGEKGARGLSGKSGPRGER
+GPTGPRGQRGPRGATGKSGAKGTSGGDGPHGPPGERGLPGPQGPNGFPGPKGPPGPPGKD
+GLPGHPGQRGEVGFQGKTGPPGPPGVVGPQGAAGETGPMGERGHPGPPGPPGEQGLTGTA
+GKEGTKGDPGPPGAPGKDGPAGLRGFPGERGLPGTAGGPGLKGNEGPAGPPGPAGSPGER
+GSAGSGGPIGPPGRPGPQGPPGAAGEKGVPGEKGPIGPTGRDGVQGPVGLPGPAGPPGVA
+GEDGDKGEVGDPGQKGAKGNKGEHGPPGPPGPIGPVGQPGAAGADGEPGARGPQGHFGAK
+GDEGTRGFNGPPGPIGLQGLPGPSGEKGETGDVGPMGPPGPPGPRGPAGPNGADGPQGPP
+GGVGNLGPPGEKGEPGESGSPGVQGEPGVKGPRGERGEKGETGQAGEAGPPGPKGPTGDD
+GPKGNPGPVGFPGDPGPPGEVGPRGQDGAKGDRGEDGEPGQPGSPGPTGENGPPGPLGKR
+GPAGTPGPEGRQGEKGAKGDPGAVGAPGKTGPVGPAGPAGKPGPDGLRGLPGSVGQQGRP
+GATGQAGPPGPVGPPGLPGLRGDTGAKGEKGHPGLIGLIGPPGEQGEKGDRGLPGPQGST
+GQKGETGIPGASGPIGPGGPPGLPGPAGPKGAKGATGPAGPKGEKGVQGPPGHPGPPGEV
+IQPLPIQMPKKTRRSVDGSRLMQEDEAVPTGGAPGSPGGLEEIFGSLDSLREEIEQMRRP
+MGTQDSPARTCQDLKLCHPELPDGEYWVDPNQGCARDAFRVFCNFTAGGETCVTPRDDVT
+QFSYVDSEGAPVGVVQLTFLRLLSVSARQNISYPCSGEAQDSPLKLRGANEDELSPETSP
+YIKEIRDGCQTQQGRTVLEVRTPVLEQLPVLDASFSELGAPPRRGGVLLGPVCFMG
+>sp|A3KMY4|CTL4_BOVIN Choline transporter-like protein 4 OS=Bos taurus OX=9913 GN=SLC44A4 PE=2 SV=1
+MGGKQDQDKEAYGKPAKYDPSFRGPIRNRSCTDIICCVLFFLFILGYIAVGILAWVYGDP
+KQVLYPRNSTGAYCGIGENKEKPYLLYFNIFSCVLNTNIIAIAQNGLKCPTPQVCVSSCP
+EASWTVEPRQFSQTVEQVFYAANRNFCLPGVPGNMQVLQSLQQELCPSFLLPSTPALGRC
+FPWTNSTVPELPGISNTSISQSISGLLDSLNARDISVKIFEDFAQSWYWILIALGLALVL
+SLLFILLLRLVAGPLVFVLIIGVLGVLAYGIYHCWEEYRVLRDKGASISQLGFTTNLSAY
+RNVQETWLAALIILAVLEGVLLLMLIFLRQRICIAIALLKEASRAVGYIMSTMFYPLVTF
+ALLLVCIAYWAIIALFLATSGQPQYVFWAPNSSLPGCEKVPMNTSCDPMEQVNSSCPGLM
+CVFQGYQSTGLAQRSLFNLQIYAVLGLFWTINWVLALGQCVLAGAFASFYWAFHKPRDIP
+TFPLGSAFLRTLRYHTGSLAFGALILTLVQIARVILEYIDHKLRGAQNPLTRCILCCFKC
+CLWCLEKFIKFLNRNAYIMIAIYGKNFCVSAKNAFMLLMRNIVRVVVLDKVTDLLLFFGK
+LLVVGGVGVLSFFFFTGRIPSLGKTFENPQLNYYWLPIMVSILGAYLIASGFFSVFGMCV
+DTLFLCFLEDLERNDGSADRPYYMSKSLLKILGKKNKGTPGDKKRKK
+>sp|P06504|CRYGS_BOVIN Gamma-crystallin S OS=Bos taurus OX=9913 GN=CRYGS PE=1 SV=2
+MSKAGTKITFFEDKNFQGRHYDSDCDCADFHMYLSRCNSIRVEGGTWAVYERPNFAGYMY
+ILPRGEYPEYQHWMGLNDRLSSCRAVHLSSGGQYKLQIFEKGDFNGQMHETTEDCPSIME
+QFHMREVHSCKVLEGAWIFYELPNYRGRQYLLDKKEYRKPVDWGAASPAVQSFRRIVE
+>sp|Q29RL2|CHRD1_BOVIN Cysteine and histidine-rich domain-containing protein 1 OS=Bos taurus OX=9913 GN=CHORDC1 PE=2 SV=1
+MALLCYNRGCGQRFDPETNSDDACTYHPGVPVFHDALKGWSCCKRRTTDFSDFLSIVGCT
+KGRHNSEKPPEPVKPEVKTTEKKELSELKPKFQEHIIQAPKPVEAIKRPSPDEPMTNLEL
+KISASLKQALDKLKLSSGNEENKKEEDSDEIKIGTSCKNGGCSKTYQGPQSLEEVCVYHS
+GVPIFHEGMKYWSCCRRKTSDFNTFLAQEGCTTGKHMWTKKDAGKKVVPCRHDWHQTGGE
+VTISVYAKNSLPELSQVVANSTLLNVHIVFEGEKEFHQNVKLWGVIDVKRSYVTMTATKI
+EITMRKAEPMQWASLELPAAKTEEKQKEETTE
+>sp|P00847|ATP6_BOVIN ATP synthase subunit a OS=Bos taurus OX=9913 GN=MT-ATP6 PE=1 SV=1
+MNENLFTSFITPVILGLPLVTLIVLFPSLLFPTSNRLVSNRFVTLQQWMLQLVSKQMMSI
+HNSKGQTWTLMLMSLILFIGSTNLLGLLPHSFTPTTQLSMNLGMAIPLWAGAVITGFRNK
+TKASLAHFLPQGTPTPLIPMLVIIETISLFIQPMALAVRLTANITAGHLLIHLIGGATLA
+LMSISTTTALITFTILILLTILEFAVAMIQAYVFTLLVSLYLHDNT
+>sp|Q2HJH8|CF300_BOVIN Cilia- and flagella-associated protein 300 OS=Bos taurus OX=9913 GN=CFAP300 PE=2 SV=1
+MAAGEPGDLGVYSFRFLPQKTFQSLSTPQTTSRLRQWSMLGRIEAQAFGFDQTFQAYRKD
+DFVTAFFKDPNVIPNLKLLSESSGEWLTLGTEVKKIEAINVPCTQLSMSFFNRLYDEAIV
+RDNGYIVKCLDSFCDPFLISDELRKVLLVEDSEKYEVFSQPEREEFLFCLFKHLCLGGAL
+CQYEDVINPYLETTKLIYKDLVSVRKNPQTKKIQITSSIFKVTAYDSVGVCYPSTKSHEQ
+TFSYFIVDPIKRHVHVLYHCYGMGEVS
+>sp|O62703|CTBL1_BOVIN Beta-catenin-like protein 1 OS=Bos taurus OX=9913 GN=CTNNBL1 PE=2 SV=3
+MDVGELLSYQPNRGTKRPRDDEEEELKMRRRQAGTRERGRYREEEMTVVEEADDDKKRLL
+QIIDREGEEEEEEEEPLDESSVKKMILTFEKRSYKNQELRIKFPDNPEKFMESELDLNDI
+IQEMHVVATMPDLYHLLVELNAVQSLLGLLGHDNTDVSIAVVDLLQELTDIDTLHESEEG
+AEVLTDALVDGQVVALLVQDLERLDESVKEEADGVHNTLAIVENMAEFRPEMCTEAAQQG
+LLQWLLKRLKAKMPFDANKLYCSEVLAILLQDNDENRELLGELDGIDVLLQQLSVFKRHN
+PSTAEEQEMMENLFDSLCSCLMLSSNRERFLKGEGLQLMNLMLREKKISRSSALKVLDHA
+MIGPEGTDNCHKFVDILGLRTIFPLFMKSPRKIKKVGTTEKEHEEHVCSILASLLRNLRG
+QQRTRLLNKFTENDSEKVDRLMELHFKYLDAVQVADKKIEGEKHDMVRRGEIIDNDIEDE
+FYLRRLDAGLFVLQHICYIMAEICNANVPQIRQRVHQILNMRGSSIKIVRHIIKEYAENI
+GDGRSPEFRESEQKRILALLENF
+>sp|A6QQV9|AF1L1_BOVIN Actin filament-associated protein 1-like 1 OS=Bos taurus OX=9913 GN=AFAP1L1 PE=2 SV=1
+MDRGRVLEQLLPELTGLLSLLDHEYLSDTTLEKKMAVASILQSLQPLPAKEVSYLYVNTA
+DLHSGPSFVESLFEEFDCDLSGLQDMPEDEAESCKAASPEPAKSPSLRHTADLPPPLPNR
+PPPEDYYEEALPLGPGKSPEYISSHNGCSPAHSLMDGYYEDADSSYPATRMNGELKNSYN
+DSDAMSSSYESYDEEEEEGKGPQPTHQWPSEEASMHLVRDCRICAFLLRKKRFGQWAKQL
+TVIKEDQLLCYKSSKDRQPHLRLALDVCSVIYVPKDSRHKRHELRFAQGATEVLVLALQS
+REQAEEWLKVIREVSKPVGGTEGADVPRSPVLLCKADLDKRLSQEKQTSDSDSLGMGDSC
+STLGREHGKGKKSSLSELKGSMSRAAGRKITRIISFSKKKALADDLQASSTEEVPCCGYL
+NVLVNHGWKERWCRLKCNTLYFHKDRTDLRTHVNAIALRGCEVAPGFGPRHPFAFRILHN
+RQEVAILEASCSEDMGRWLGLLLVEMGSKVTPEALHYDYVDVETLTSIVSAGRNSFLYAR
+SCQDQWPEPRVYDDVPYEKMQDEEPERPPGAQVKRHASTCSEKSHRVDPQVKVKRHASSA
+HQYKYGKNRAEEDARRYLVEKEKLEKEKETIRTELMALRQEKRELKEAIRNNPGAKLKAL
+EEALATLEAQCRAKEEHRIDLELRLVTVKERLQQSLAGGPALGLSVNSKIKSGETANKPQ
+NNVPEQPLPVNCVSELRKRSPSIINSNQGRVLQKAKEWEMKKT
+>sp|A4FV93|DLK2_BOVIN Protein delta homolog 2 OS=Bos taurus OX=9913 GN=DLK2 PE=2 SV=1
+MPSGCRCLHLVCLLCILGAPVKPARGNDCSSLCDLAHGCCAPDGSCRCDPGWEGLHCERC
+VRMPGCQHGTCHQPWQCICHTGWAGKFCDKDEHICTTQSPCRNGGQCVYDGGGDYHCVCP
+PGFHGRDCERKAGPCEQAGSPCRNGGQCQDDQGFALNFTCRCLAGFMGARCEVNVDDCLM
+RPCANGATCLDGINRFSCLCPEGFTGRFCTINLDDCASRPCQRGARCRDRVHDFDCLCPS
+GYGGKTCELVLPVPGPAATADSPPGPTLAVLVPATGPIPHSAGAGLLRISVKEVVRRQEA
+GLGEPSLVAVVVFGAVTAALVLSTVLLTLRAWRRGFCPPGPCCYPAPHYAPARQDQECQV
+SMLPTGLPLPPDLPPEPGKTTAL
+>sp|Q2HJF2|FXL22_BOVIN F-box and leucine-rich protein 22 OS=Bos taurus OX=9913 GN=FBXL22 PE=2 SV=1
+MHITQLNRECLLHLFSFLDKDSRKNLARTCPQLQDVFEDPALWPLLHFRSLTELKKDNFL
+LSPALRSLSICWHSSRVQVCSIEDWLKSALQRNICSRHESLVNDFLLQVCDRCPNLASVT
+LSGCGHVTDDCLARLLRCCPRLRALHLENCARVTNRTLTAVAAHGRALQTLHVDFCRNVS
+AAGLRRLRAACPRLTLRAEHSAAMIPDQLPRGPHAPGAALRKLLLR
+>sp|Q1RMW5|GRB7_BOVIN Growth factor receptor-bound protein 7 OS=Bos taurus OX=9913 GN=GRB7 PE=2 SV=1
+MELGLSPLHLSSSPEDLYLASGTPPGTPPPLDAPLSGEVKRSQPLPIPTSRKLLREEELQ
+STSLPSIPNPFPELCSPSSQSPILGGSSSARGLLPRDTSCPHVIKVYSEDGTCRSVEVAT
+GATARYVCEMLVQRSHALSDENWGLVECHPYLALERALEDHESVAEVQAAWPIGGDSRIV
+FRKNFAKYELFKSTPHSLFPEKMVSSCLDAHTGMSHEDVIQNFLNAGSFPEIQGFLQLRG
+SGRKLWKRFFCFLRRSGLYYSTKGTSKDPRHLQYVADVNESNVYVVTQGRKLYGMPTDFG
+FCIKPNKLRNGHKGLRLFCTEDERSRSCWLAAFRLFKFGVQLYKNYQQTLCRHMCPPCGG
+SPPSRSVSDDTLVAMDFSGHAGRVIENPREALSAALEEAQAWRKKTNHRLSLPTPSSGTS
+LSAAIHRTQPWFHGRISREESQRLIRQQGLVDGLFLVRESQRNPQGFVLSLCHVQKVKHY
+LILPSEEEGRLYFSMDDGLTRFTDLLQLVEFHQLNRGILPCLLRYCCTRVAL
+>sp|Q0III2|MBTP2_BOVIN Membrane-bound transcription factor site-2 protease OS=Bos taurus OX=9913 GN=MBTPS2 PE=2 SV=1
+MIPVSLVVVVVGGWTAVYLTDLVLKSSVYFKHSYEDWLENNGLSISPFHIRWQTAVFNRA
+FYSWGRRKARMLYQWFNFGMVFGVIAMFSSFFLLGKTLIQTLGQMMADSSYSSSSSSSSH
+SSSSSSSSSSSSSLYNEQVLQVVVPGINLPVNQLTYFFAAVLISGVVHEIGHGIAAIREQ
+VRFNGFGIFLFIIYPGAFVDLFTTHLQLISPVQQLRIFCAGIWHNFILALLGILALILLP
+VILLPFYYTGVGVLITEVAEDSPAIGPRGLFVGDLVTHLQDCPVTNVQDWNECLDTITYE
+PQIGYCISASTLQQLSFPVRAYKRLDGSTECCNNHSLTDVCFSYRNNFNKRLHTCLPARK
+AVEATQVCRTNKDCKKSSSSSFCIIPSLETHTRLIKVKHPPQIDMLYVGHPLHLHYTVSI
+TSFIPRFKFLSIDLPVVVETFVKYLISLSGALAIVNAVPCFALDGQWILNSFLDATLTSV
+IGDNDVKDLIGFFILLGGSILLAANVALGLWMVTAR
+>sp|P02313|HMGN2_BOVIN Non-histone chromosomal protein HMG-17 OS=Bos taurus OX=9913 GN=HMGN2 PE=1 SV=2
+MPKRKAEGDAEGDKAKVKDEPQRRSARLSAKPAPPKPEPKPKKAPAKKGEKVPKGKKGKA
+DAGKDGNNPAENGDAKTDQAQKAEGAGDAK
+>sp|P58352|GTR3_BOVIN Solute carrier family 2, facilitated glucose transporter member 3 OS=Bos taurus OX=9913 GN=SLC2A3 PE=2 SV=1
+MGTTKVTAPLIFAISVATIGSFQFGYNTGVINAPEAIIKDFLNYTLEERSEPPPSSVLLT
+SLWSLSVAIFSVGGMIGSFSVGLFVNRFGRGNSMLIVNLLAIAGGCLMGFCKIAESVEML
+ILGRLIIGLFCGLCTGFVPMYIGEISPTALRGAFGTLNQLGIVIGILVAQIFGLKVILGT
+EDLWPLLLGFTILPAIIQCAALPFCPESPRFLLINRKEEEKAKEILQRLWGTEDVAQDIQ
+EMKDESMRMSQEKQVTVLELFRAPNYRQPIIISIMLQLSQQLSGINAVFYYSTGIFKDAG
+VQEPVYATIGAGVVNTIFTVVSVFLVERAGRRTLHLIGLGGMAFCSILMTISLLLKDNYS
+WMSFICIGAILVFVAFFEIGPGPIPWFIVAELFGQGPRPAAMAVAGCSNWTSNFLVGLLF
+PSAAFYLGAYVFIVFTVFLVIFWVFTFFKVPETRGRTFEEITRAFEGQTQTGTRGEKGPI
+MEMNSIQPTKDTNA
+>sp|A6H789|LRC3B_BOVIN Leucine-rich repeat-containing protein 3B OS=Bos taurus OX=9913 GN=LRRC3B PE=2 SV=1
+MNLVDLWLTRSLSMCLLLQSFVLMILCFHSASMCPKGCLCSSSGGLNVTCSNANLKEIPR
+DLPPETVLLYLDSNQITSIPNEIFKDLHQLRVLNLSKNGIEFIDEHAFKGVAETLQTLDL
+SDNRIQSVHKNAFNNLKARARIANNPWHCDCTLQQVLRSMVSNHETAHNVICKTSVLDEH
+AGRPFLNAANDADLCNLPKKTTDYAMLVTMFGWFTMVISYVVYYVRQNQEDARRHLEYLK
+SLPSRQKKADEPDDISTVV
+>sp|P59761|LGEN_BOVIN Lactogenin (Fragments) OS=Bos taurus OX=9913 PE=1 SV=1
+QGRMYQRFLRQHVDPDETGGNDHYLNLSRRNIQCPNRHEGVRFNTDIHEDLTNRRPIDEH
+EGVVRVTDKTEEG
+>sp|Q28035|GSTA1_BOVIN Glutathione S-transferase A1 OS=Bos taurus OX=9913 GN=GSTA1 PE=2 SV=3
+MAGKPTLHYFNGRGRMECIRWLLAAAGVEFEEKFIEKPEDLDKLKNDGSLMFQQVPMVEI
+DGMKLVQTRAILNYIATKYNLYGKDMKERALIDMYSEGVADLGEMIMHFPLCPPAEKDAK
+LTLIREKTTNRYLPAFENVLKSHGQDYLVGNKLSRADIHLVELLYYVEELDPSLLANFPL
+LKALKARVSNIPAVKKFLQPGSQRKPPTDEKKIEEARKVFKF
+>sp|Q3SZU0|GTSF1_BOVIN Gametocyte-specific factor 1 OS=Bos taurus OX=9913 GN=GTSF1 PE=2 SV=1
+MEETYIDTLDPEKLLQCPYDKNHQIRACRFPYHLIKCRKNHPDVANKLATCPFNARHQVP
+RAEISHHISSCDDKSCIEQDVVNQTRSLGQETMAESTWRCPPCDEDWDKDLWEQTSTPFV
+WGTANYCGNNSPASNIVMEHKSNLASGMRVPKSLPYVLPWKNNGNAQ
+>sp|P30546|HRH1_BOVIN Histamine H1 receptor OS=Bos taurus OX=9913 GN=HRH1 PE=2 SV=1
+MTCPNSSCVFEDKMCQGNKTAPANDAQLTPLVVVLSTISLVTVGLNLLVLYAVRSERKLH
+TVGNLYIVSLSVADLIVGVVVMPMNILYLLMSRWSLGRPLCLFWLSMDYVASTASIFSVF
+ILCIDRYRSVQQPLKYLRYRTKTRASITILAAWFLSFLWIIPILGWRHFQPKTPEPREDK
+CETDFYNVTWFKVMTAIINFYLPTLLMLWFYAKIYKAVRQHCQHRELINGSFPSFSDMKM
+KPENLQVGAKKPGKESPWEVLKRKPKDTGGGPVLKPPSQEPKEVTSPGVFSQEKEEKDGE
+LGKFYCFPLDTVQAQPEAEGSGRGYATINQSQNQLEMGEQGLSMPGAKEALEDQILGDSQ
+SFSRTDSDTPAEPAPAKGKSRSESSTGLEYIKFTWKRLRSHSRQYVSGLHMNRERKAAKQ
+LGFIMAAFIICWIPYFIFFMVIAFCESCCNQHVHMFTIWLGYINSTLNPLIYPLCNENFK
+KTFKKILHIRS
+>sp|Q3SZ65|IF4A2_BOVIN Eukaryotic initiation factor 4A-II OS=Bos taurus OX=9913 GN=EIF4A2 PE=2 SV=1
+MSGGSADYNREHGGPEGMDPDGVIESNWNEIVDNFDDMNLKESLLRGIYAYGFEKPSAIQ
+QRAIIPCIKGYDVIAQAQSGTGKTATFAISILQQLEIEFKETQALVLAPTRELAQQIQKV
+ILALGDYMGATCHACIGGTNVRNEMQKLQAEAPHIVVGTPGRVFDMLNRRYLSPKWIKMF
+VLDEADEMLSRGFKDQIYEIFQKLNTSIQVVLLSATMPTDVLEVTKKFMRDPIRILVKKE
+ELTLEGIKQFYINVEREEWKLDTLCDLYETLTITQAVIFLNTRRKVDWLTEKMHARDFTV
+SALHGDMDQKERDVIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTNRENYIHR
+IGRGGRFGRKGVAINFVTEEDKRILRDIETFYNTTVEEMPMNVADLI
+>sp|Q2T9T5|LRC61_BOVIN Leucine-rich repeat-containing protein 61 OS=Bos taurus OX=9913 GN=LRRC61 PE=2 SV=1
+MEPRGEKSGEADGVRVTPQLLKARSGEFALESILLLKLRGLGLVGLGCLGDCLGLEWLDL
+SGNALTQLGPLASLRQLAVLNVADNRLTGLEPLAACENLQCLNAAGNLLAGPAQLQCLAG
+LRGLERLRLRDPLARLSNPLCASPCYWASVRELLPGLKVLDGERVSGRGSDFYQLCRDLD
+SSLSPDPGAPGPRPMEAQPWVEPGYWEALPPRSSSILEEACRQFQDTLQECHDLDRQAWD
+SLAQAMRALSPAGATAASFVF
+>sp|Q3SZX9|CC113_BOVIN Coiled-coil domain-containing protein 113 OS=Bos taurus OX=9913 GN=CCDC113 PE=1 SV=1
+MTDDDSETSASETQAQEESDLPVFQLCGLVEELSYGNSALKTETEMFEKYYNKLELKDQR
+PPRLSEIKITGAEIAQLRSRRKSKSRMGMERVMGLSVDQKLELVQKELEDTKDEIRHMRA
+NAERDLQHHEAIIEEAEIRWTEVQKEVHDFEKDILKTISKKKGSILATQKVMKYIEDMNR
+RRDNMKDKLRLKNVSLKVQRKKLLLQLRQKEEVGEALHDVDFQQLKIENAQFLETIEARN
+QELIQMKLASGNTLQILNAYKRSSLLKTSRVVILQSKLHHAMQMSLNLTKEFLLRKELLE
+KIEKETLQAEEDRAKALALNKQLRKQLSEFRAPQVMIYIWEKIRNGDLEKTIRMWERKVE
+IAEMSLKGYRKAWNKMKTTNEQLQAISGPGK
+>sp|Q3ZBN5|ASPN_BOVIN Asporin OS=Bos taurus OX=9913 GN=ASPN PE=2 SV=1
+MKVYVLLVFLTLCSAKPLFHPSYLTLKNLMLKDMEDEGDSDADNSLFPTREPINPFFPFD
+LFSTCPFGCQCYSRVVHCSDLGLSSVPSNIPFDTRMVDLQNNKIKEIKENDFKGLTSLYA
+LILNNNKLTKIHPKAFLTTKKLRRLYLSHNQLSEIPLNLPKSLAELRIHDNKVKKIQKAT
+FKGMNALHVLEMSANPLDNNGIEPGAFEGVTVFHIRIAEAKLTSIPKELPSTLLELHLDY
+NKISVVELEDFKRYKDLQRLGLGNNRITDIENGSLANIPRVREIHLENNKLKKVPSGLQE
+LKYLQIIFLHSNSITKVGVNDFCPTVPKMKKSLYSAISLSNNPVKYWEVQPATFRCVLSR
+MSVQLGNFRK
+>sp|P63103|1433Z_BOVIN 14-3-3 protein zeta/delta OS=Bos taurus OX=9913 GN=YWHAZ PE=1 SV=1
+MDKNELVQKAKLAEQAERYDDMAACMKSVTEQGAELSNEERNLLSVAYKNVVGARRSSWR
+VVSSIEQKTEGAEKKQQMAREYREKIETELRDICNDVLSLLEKFLIPNASQAESKVFYLK
+MKGDYYRYLAEVAAGDDKKGIVDQSQQAYQEAFEISKKEMQPTHPIRLGLALNFSVFYYE
+ILNSPEKACSLAKTAFDEAIAELDTLSEESYKDSTLIMQLLRDNLTLWTSDTQGDEAEAG
+EGGEN
+>sp|Q3T035|ARPC3_BOVIN Actin-related protein 2/3 complex subunit 3 OS=Bos taurus OX=9913 GN=ARPC3 PE=1 SV=3
+MPAYHSSLMDPDTKLIGNMALLPIRSQFKGPAPRETKDTDIVDEAIYYFKANVFFKNYEI
+KNEADRTLIYITLYISECLKKLQKCNSKSQGEKEMYTLGITNFPIPGEPGFPLNAIYAKP
+ANKQEDEVMRAYLQQLRQETGLRLCEKVFDPQNDKPSKWWTCFVKRQFMNKSLSGPGQ
+>sp|P81282|CSPG2_BOVIN Versican core protein OS=Bos taurus OX=9913 GN=VCAN PE=1 SV=2
+MLINIKSILWMCSTLIAAHALQKVNMEKSPPVKGSLSGKVNLPCHFSTMPTLPPSYNTTS
+EFLRIKWSKIELDKTGKDLKETTVLVAQNGNIKIGQDYKGRVSVPTHPEDVGDASLTMVK
+LLASDAGRYRCDVMYGIEDTQDTVSLTVEGVVFHYRAATSRYTLNFEMAQKACVDIGAVI
+ATPEQLHAAYEDGFEQCDAGWLSDQTVRYPIRVPREGCYGDMMGKEGVRTYGFRAPHETY
+DVYCYVDHLDGDVFHITAPNKFTFEEAGEECKTQDARLATVGELQAAWRNGFDRCDYGWL
+LDASVRHPVTVARAQCGGGLLGVRTLYRFENQTGFPTPDSRFDAYCFKPKQNISEATTIE
+LNMLAETVSPTLLEELQVGLDRMTPIVPLITELPVITTKVPPIGNIVNFEQKSTVQPLTS
+THRSATESLPPDGSMKKPWDMDYYSPSASGPLGEPDVSEIKEEVPQSTTVVSHHAPDSWD
+GVKEDLQIKDSVTQIEQIEVGPLVTSMEISKHIPSKEFTVTVTPFVSTTMTLESKTEKKA
+ISTVSESVTTSHYGFTLREGDGEDRISTVRSGQSTSIFSQIPEVITVSKTSEDTTRGQLE
+DVESVSASTIVSPDSDGSPMDHRQEKQTHGRITEGFLGQYVSTTPFPSQHHTEVELFPYS
+GDKRLVEGTSTVISPTPRTGRERTETLRPAMRTVTYTNDEIQEKITKDSSIEKIEEEGFS
+GMKFPTASPEQIHHTKYSVGMTKSFESPALMTTTKPGVTPTEATDVEEDFTTPSGLETDG
+YQDTTEYEEGITTVHLIQSTLNVEVVTVSKWSLDEDNTTSKPLGSTEHVGSPKLPPALIT
+TTGVSGKDKEMPSLTEDGRDEFTRIPGSTQRPLEEFTEEDTTDHEKFTVRFQPTTSIATT
+EKSTLRDSITEERVPPFTSTEVRVTHATIEGSALDEGEDVDVSKPLSTVPQFAHPSDVEG
+STFVNYSSTQEPTTYVDTSHTIPLPVIPKTEWGVLVPSIPSEGEVLGEPSQDIRVINQTH
+FEASMYPETVRTTTEITQEATREDFLWKEQTPEKPVSPPSSTTDTAKETTPPLDEQESDG
+SAYTVFEDRSVMGSDRVSVLVTTPIGKFEQHTSFPPGAVTKAKTDEVVTLTPTTGSKVTF
+SPWPKQKYETEGTSPRGFVSPFSIGVTQLIEETTTEKREKTSLDYIDLGSGLFEKPKATE
+LPEFSTVKATVPSDTAAFSSADRLHTPSASTEKPPLIDREPDEETTSDMVIIGESTSRVP
+PTTLEDIVAKKTETDIDREYFTTSSTSTTQPTRPPTVEGKEAFGPQAFSTPEPPAGTKFH
+PDINVYIIEVRENKTGRMSDFSVSGHPIDSESKEDEPCSEETDPEHDLIAEILPELLGML
+HSEEDEEDEECANATDVTTTPSVQYINGKHVVTTVPKDPEAAEARRGQFESVAPSQNFSD
+SSESDSHQFIITHAGLPTAMQPNESKETTESLEITWRPEIYPETAEPFSSGEPDIFPTAS
+IHEGEATEGPDSITEKNPELDHLVHEHAESVPLFPEESSGDAAIDQESQKIIFSGATEGT
+FGEEAEKSSTTHTPSMVASSVSAPVSEDASFILTGTPQSDEPLSTVESWVEITPRHTVEF
+SGSPSIPIPEGSGEAEEDKDKIFAMITDLSQRNTTDPRVTSDTSKIMITESLVDVPTTTI
+YSISEQVSAVVPTKFVRETDTYEWVFSPPLEETTRKEEEKGTTGTASTVEVHSPTQRLDQ
+FVSPSELESSSETPPDDSAAATRKSFTSQMTPTQSERETTSSTVVFKETEVLDNLAAQTT
+DPSLSSQPGVLEVSPTVPGSPVSLFMEQGSGEAAVDPETTTVSSLSLNIEPEILAKEEAA
+GAWSPNVETVFPFEPTEQVLSTAVDREVAETISQTSKENLVSEISGEPTHRAEIKGFSTD
+FPLEEDFSGDFREYSTVSYPITKEEIVMMEGSGDAAFKDTQMLPSVTPTSDLSNHTADSE
+EPGSTLVSTSAFPWEEFTASAEGSGEPLLSVSSSVDQVFPSAAGKASGTDSPFIDQRLGE
+EGAINETDQRSTILPTAEAESTKASTEEGEVKENHTVSMDFPPTVEPDELWPRQEVNPVR
+QGNGSEIVSEEKTQEQESFEPLQSSVAPEQTTFDSQTFPEPGLQTTGYFTLTTKKTYSTD
+ERMEEEVISLADVSTPTLDSKGLVLYTTLPEVTEKSHFFLATASVTESVPAESVIAGSTI
+KEEESIKPFPKVTSPIIKESDTDLIFSGLGSGEEVLPTLGSVNFTEIEQVLSTLYPLTSQ
+VQSLEASILNDTSGDYEGMENVANEMRPLISKTDSIFEDGETASSTTLPEILSDARTEGP
+FTAPLTFSTGPGQPQNQTHRRAEEIQTSRPQPLTDQVSSENSVTAETKETATPATDFLAR
+TYDLEMAKGFVTPTPKPSDLFYEHSGEGSGELDAVGAEVHASGMTQATRQGSTTFVSDRS
+LEKHPKVPSVEAVTVNGFPTVSMVLPLHPEQREGSPEATGTPASTASYEKATEGAADSFQ
+DHFWGFKDSTLKPDKRKATESIIIDLDKEDKDLILTMTESTILEIIPELTSDKNTVIDID
+HTKPIYEDILGMQTDLDPEVPSGPPDSSEESTQVQEKYEAAVNLSSTEENFEASGDILLA
+NYTQATPESKAPEDRNPLDHTDFIFTTGIPILSSETELDVLLPTATSLPIPSKSATVNPE
+SKTEAKTLEDIFESSTLSDGQAIADQSEVISTLGYLERTQNEDEAKKYVSPSFQPEFSSG
+AEEALTDPTPYVSIGTTYLTAQSLTEAPDVMEGARLPDSIDTSTVSAFSELLSQTPSFPP
+LSIHLGSGDSEHSEDLQPSALPSTDASTPPVSSGELANIEATFKPSSEEDFYITEPPSLP
+PDTEPSEDESKPKLLEPTEASATELIAQEEIEIFQNSDNTTSVQVSGEAVKVFPSIETPE
+AEAIVTAASETKLEGATLRPHSTSASVIHGVEAGVVPQPSPQTSERPTILSPLEISPETQ
+AALIRGEDSTVAAPKQQVPTRMLDSNKQATLSTTELNTELATPSFPLLETSNETSFLIGI
+NEESVEGTAVYLPGPDRCKMNPCLNGGTCYPTETSYVCTCVPGYSGDRCELDFDECHSNP
+CRNGATCIDGFNTFRCLCLPSYVGALCEQDTETCDYGWHKFQGQCYKYFAHRRTWDAAER
+ECRLQGAHLTSILSHEEQMFVNRVGHDYQWIGLNDKMFEHDFRWTDGSTLQYENWRPNQP
+DSFFSTGEDCVVIIWHENGQWNDVPCNYHLTYTCKKGTVACGQPPVVENAKTFGKMKPRY
+EINSLIRYHCKDGFIQRHLPTIRCLGNGRWAMPKITCLNPSAYQRTYSKKYFKNSSSAKD
+NSINTSKHDHRWSRRWQESRR
+>sp|Q28838|ADA2A_BOVIN Alpha-2A adrenergic receptor OS=Bos taurus OX=9913 GN=ADRA2A PE=2 SV=2
+MGSLQPDAGNASWNGTEAPGGGARATPYSLQVTLTLVCLAGLLMLFTVFGNVLVIIAVFT
+SRALKAPQNLFLVSLASADILVATLVIPFSLANEVMGYWYFGKAWCEIYLALDVLFCTSS
+IVHLCAISLDRYWSITQAIEYNLKRTPRRIKAIIVTVWVISAVISFPPLISFEKKRGRSG
+QPSAEPRCEINDQKWYVISSSIGSFFAPCLIMILVYVRIYQIAKRRTRVPPSRRGPDATA
+AELPGSAERRPNGLGPERGGVGPVGAEVESLQVQLNGAPGEPAPAGAGADALDLEESSSS
+EHAERPPGSRRSERGPRAKGKARASQVKPGDSLPRRGPGATGLGAPTAGPAEERSGGGAK
+ASRWRGRQNREKRFTFVLAVVIGVFVVCWFPFFFTYTLTAIGCPVPPTLFKFFFWFGYCN
+SSLNPVIYTIFNHDFRRAFKKILCRGDRKRIV
+>sp|F1N476|ECE2_BOVIN Endothelin-converting enzyme 2 OS=Bos taurus OX=9913 GN=ECE2 PE=2 SV=1
+MSVALQELGGGGNMVEYKRATLRDEDAPETPVEGGASPDAVEAGFRKRTSRLLGLHTQLE
+LVLAGVSLLLAALLLGCLVALGVQYHRDPSHSTCLTEACIRVAGKILESLDRGVSPCEDF
+YQFSCGGWIRRNPLPDGRSRWNTFNSLWDQNQAILKHLLENTTFNSSSEAERKTQRFYLS
+CLQVERIEELGAQPLRDLIDKIGGWNVTGPWDQDNFMEVLKAVAGTYRATPFFTVYVSAD
+SKSSNSNIIQVDQSGLFLPSRDYYLNRTANEKVLTAYLDYMEELGMLLGGQPTSTREQMR
+QVLELEIQLANITVPQDQRRDEEKIYHKMSIAELQALAPSMDWLEFLSFLLSPLELGDSE
+PVVVYGTDYLQQVSELINRTEPSVLNNYLIWNLVQKTTSSLDHRFESAQEKLLETLYGTK
+KSCTPRWQTCISNTDDALGFALGSLFVKATFDRQSKEIAEGMISEIRAAFEEALGHLVWM
+DEKTRQAAKEKADAIYDMIGFPDFILEPKELDDVYDGYEVSEDSFFQNMLNLYNFSAKVM
+ADQLRKPPSRDQWSMTPQTVNAYYLPTKNEIVFPAGILQAPFYTCNHPKALNFGGIGVVM
+GHELTHAFDDQGREYDKEGNLRPWWQNESLAAFRNHTACIEEQYSQYQVNGEKLNGRQTL
+GENIADNGGLKAAYNAYKAWLRKHGEEQQLPAVGLTNHQLFFVGFAQVWCSVRTPESSHE
+GLVTDPHSPARFRVLGTLSNSRDFLRHFGCPVGSPMNSGQLCEVW
+>sp|Q3SX14|GELS_BOVIN Gelsolin OS=Bos taurus OX=9913 GN=GSN PE=2 SV=1
+MVVEHPEFLKAGKEPGLQIWRVEKFDLVPVPPNLYGDFFTGDAYVILKTVQLRNGNLQYD
+LHYWLGNECSQDESGAAAIFTVQLDDYLNGRAVQHREVQGFESATFLGYFKSGLKYKKGG
+VASGFKHVVPNEVVVQRLFQVKGRRVVRATEVPVSWESFNNGDCFILDLGNDIYQWCGSS
+SNRFERLKATQVSKGIRDNERSGRARVHVSEEGAEPEAMLEVLGPKPALPAGTEDTAKED
+AANRKLAKLYKVSNGAGTMSVSLVADENPFAQGALRSEDCFILDHGKDGKIFVWKGRQAN
+TEERKAALKTASDFISKMDYPRQTQVSVLPEGGETPLFKQFFKNWRDPDQTDGPGLSYLS
+SHIANVERVPFDAATLHTSTAMAAQHGMDDDGRGQKQIWRIEGSDKVPVDPATYGQFYGG
+DSYIILYNYRHGGRQGQIIYNWQGAQSTQDEVAASAILTAQLDEELGGTPVRSRVVQGKE
+PAHLMSLFGGKPMIIYRGGTSREGGQTAPASTRLFQVRASSSGATRAVEVMPKAGALNSN
+DAFVLKTPSAAYLWVGAGASEAEKTGALELLRVLRAQPVQVAEGSEPDSFWEALGGKAAY
+RTSPRLKDKKMDAHPPRLFACSNKIGRFVIEEVPGELMQEDLATDDVMLLDTWDQVFVWV
+GKDSQEEEKTEALTSAKRYIETDPANRDRRTPITVVKQGFEPPSFVGWFLGWDDNYWSVD
+PLDRALAELAA
+>sp|Q5E9Z8|LSM1_BOVIN U6 snRNA-associated Sm-like protein LSm1 OS=Bos taurus OX=9913 GN=LSM1 PE=2 SV=1
+MNYMPGTASLIEDIDKKHLVLLRDGRTLIGFLRSIDQFANLVLHQTVERIHVGKKYGDIP
+RGIFVVRGENVVLLGEIDLEKESDTPLQQVSIEEILEEQRVEQQTKLEAEKLKVQALKDR
+GLSIPRADTLEEY
+>sp|Q3T148|EIF3M_BOVIN Eukaryotic translation initiation factor 3 subunit M OS=Bos taurus OX=9913 GN=EIF3M PE=2 SV=2
+MSVPAFIDISEEDQAAELRAYLKSKGAEISEENSEGGLHVDLAQIIEACDVCLKEDDKDV
+ESVMNSVVSLLLILEPDKQEALIESLCEKLVKFREGERPSLRLQLLSNLFHGMDKNTPVR
+YTVYCSLIKVAASCGAIQYIPTELDQVRKWISDWNLTTEKKHTLLRLLYEALVDCKKSDA
+ASKVMVELLGSYTEDNASQARVDAHRCIVRALKDPNAFLFDHLLTLKPVKFLEGELIHDL
+LTIFVSAKLAYVKFYQNNKDFIDSLGLLHEQNMAKMRLLTFMGMAVENKEISFDTMQQEL
+QIGADDVEAFVIDAVRTKMVYCKIDQTQRKVVVSHSTHRTFGKQQWQQLYDTLNAWKQNL
+NKVKNSLLSLSDT
+>sp|Q0IIH8|MINY3_BOVIN Ubiquitin carboxyl-terminal hydrolase MINDY-3 OS=Bos taurus OX=9913 GN=MINDY3 PE=2 SV=1
+MSELTKELMELVWGTNSSPGLSDTIFCRWTQGFVFSESEGSALEQFEGGPCAVIAPVQAF
+LLKKLLFSSEKSSWRDCPEEERKELLCHTLCDILESAGCDNSGSYCLVSWLRGKTTEETA
+SLSGSPAQSSCQVEHSSALAVEELGFERFHALIQKRSFRSLAELRDAVLDQYSMWGNKFG
+VLLFLYSVLLTKGIENIKNEIEDSSEPLIDPVYGHGSQSLINLLLTGHAVSNVWDGDREC
+SGMKLLGIHEQAAVGFLTLMEALRYCKVGSYLKSPKFPIWIVGSETHLTVFFAKDMALVA
+PEAPSEQARRVFQTYDPEDNGFIPDSLLEDVMKALDLVSDPEYINLMKNKLDPEGLGIIL
+LGPFLQEFFPDQGSSGPESFTVYHYNGLKQSNYNEKVMYVEGTAVVMGFEDPLLQTDDTP
+IKRCLQTKWPYIELLWTTDRSPSLN
+>sp|P49878|IFNAH_BOVIN Interferon alpha-H OS=Bos taurus OX=9913 GN=IFNAH PE=2 SV=1
+MAPAWSFLLALLLLSCNAICSLGCHLPHTHSLPNRRVLTLLRQLRRVSPSSCLQDRNDFA
+FPQEALGGSQLQKAQAISVLHEVTQHTFQLFSTEGSAAAWDESLLDKLRAALDQQLTDLQ
+ACLRQEEGLRGAPLLKEDASLAVRKYFHRLTLYLREKRHNPCAWEVVRAEVMRAFSSSTN
+LQERFRRKD
+>sp|A0JNM6|LYZL1_BOVIN Lysozyme-like protein 1 OS=Bos taurus OX=9913 GN=LYZL1 PE=2 SV=1
+MKAAGILALMGCLVTVVEPKVYTRCKLAKIFSRASLDNYRGFSLGNWICMAYYESHYNTT
+AQTQLEDGSTDYGIFQINSDTWCRSTKLQEKNRCHVACSALMTDDLTDAIICAKKIVKET
+DGMNYWQGWKKNCEGRDLSEWKKGCEVS
+>sp|Q3SZM1|MK67I_BOVIN MKI67 FHA domain-interacting nucleolar phosphoprotein OS=Bos taurus OX=9913 GN=NIFK PE=2 SV=1
+MAAFGGPAKPLLSLNPQEDAKFKKEVAQVRRRATKQQEKQKPTPGVIYVGHLPPTLYETQ
+IRAYFSQFGTVTRFRLSRSKKTGNSKGYGFVEFESEDVAKIAAETMNNYLFGERLLKCHF
+IPPEKVHEELFREWHMPFKRPSYPAVKRYNQNRSLVQKLRMEERFKKKEKLLRKRLAKKG
+IDYNFPSLVKVLHKNEENASNTGPQNSRKHQALRKKKKAASVTPETPEKAVDSQGPTPVC
+TPAFLEKRKSEVAKMNDDDKDNEIVFKQPVSGVKEETQETQSPASSKKKRRRKHNQ
+>sp|Q2KI92|IMP2L_BOVIN Mitochondrial inner membrane protease subunit 2 OS=Bos taurus OX=9913 GN=IMMP2L PE=2 SV=1
+MVQSQGWVRRYFKAFCKGFFVAVPVAVTFLDRVACVARVEGASMQPSLNPGGSQSSDVVL
+LNHWKVRNFEVQRGDIVSLVSPKNPEQKIIKRVIALEGDIVKTMGHKNRYVKVPRGHIWV
+EGDHHGHSFDSNSFGPVSLGLLHAHATHILWPPKRWQKLESVLPPERLLVQSEEDCL
+>sp|P33433|HRG_BOVIN Histidine-rich glycoprotein (Fragments) OS=Bos taurus OX=9913 GN=HRG PE=1 SV=1
+AVNPTGCDAVEPVAVRALDLINKGRDGYLFQLLRVADAHLDKVESIAVYYLVESDCPVLS
+RKHWDDCELNVTVIGQCKLAGPEDLSVNDFNCTTSSVSSALTNMRARGGEGTSYFLDFSV
+RNCSSHHFPRHHIFGFCRADLFYDVEASDLETPKDIVTNCEVFHRRFSAVQHHLGRPFHS
+GEHEHSPAGRPPFKPSGSKDHGHPHESYNFRCPPPLEHKNHSDSPPFQARAPLPFPPPGL
+RCPHPPFGTKGNHRPPHDHSSDEHHPHGHHPHGHHPHGHHPHGHHPPDNDFYDHGPCDPP
+PHRPPPRHSKERGPGKGHFRFHWRPTGYIHRLPSLKKGEVLPLPEANFPSFSLPNHNNPL
+QPEIQAFPQSASESCPGTFNIKFLHISKFFAYTLPK
+>sp|A4IFA3|GT2D2_BOVIN General transcription factor II-I repeat domain-containing protein 2 OS=Bos taurus OX=9913 GN=GTF2IRD2 PE=2 SV=1
+MAQVAVSTPPIAHEESSESRMVVTFLVSALESMCKELAKSKAEVACIAMYEADVFVIGTE
+KGRAFVNARTDLQKDFAKYCVAEGLQEVKPPGPANASRMQVDSGETEILRKAVEDYFCFC
+YGKALGTAAMVPVPYEKMLTDQEAIVVQGLPEGVPFQHPENYDLATLKWILENKAGISFL
+INRPFPGPANQPGGPGVVTDTDKSVTSPSESCTPIRVKTEPMEDSGISLKAEVVSVKKES
+EDPNYYEYSMQESRHSSAGTEVIETELPMEDSIQLVPSETSEDPEAEVKIEGNTSSPNIT
+NSAAGVEDLNIVQVTVPDNEKERLSSLEKIKQLREQVNDLFSRKFGEAIGVDFPVKVPYR
+KITFNPGCVVIDGMPPGVVFKAPGYLEISSMRRILDAADLIKFTVIRPLPGLELSNVGKR
+KIDQEGRVFQEKWERAYFFVEVQNIPTCLICKQSMSVSKEYNLRRHYQTNHSKHYDQYTE
+KMRDEKLQELKEGLRKYLLGSSDTVCPEQKQVFAKVNPRENAAVQPVEDVAGNLWEKLRE
+KIRSFVAYSIAIDEITDINNTTQLAIFIRGVDENFDVSEELLDTVPMTGTKSGNEIFLRV
+EKSLKKFNIDWSKLVSVASTGTPAMVDANDGLVTKLKSKVAMVCKGSDLKSVCCIIHPES
+LCAQKLKMDHIMSVVVNAVNWICSRGLNHSEFTTLLYELDCQYGSLLYYTEIKWLSRGLV
+LKRFFESLEEIDSFMSSRGKPLPQLSSQDWIKDLAFLVDMTMHLNTLNISLQGHSQIVTQ
+MYDLIRAFLAKLCLWETHLARNNLAHFPTLKSVSRNESDGLNYIPKIVELKTEFQKRLSD
+FKLYESELTLFSSPFSMKIESVQEALQMEVIDLQCNTVLKTKYDKVGIPEFYKHLWGSYP
+KYKIHCAKILSMFGSTYICEQLFSIMKLSKTEYCSQLKDSQWDSVLHIST
+>sp|Q0P5A3|GSKIP_BOVIN GSK3B-interacting protein OS=Bos taurus OX=9913 GN=GSKIP PE=2 SV=1
+METDCNPMELSGVSGFEEEAELNGFEGTDMKDMRLEAEAVVNDVLFAVNTMFVSKTLRCA
+DDVAYINVETRERNRYCLELTEAGLRVVGYAFDQVDDHLQTPYHETVYSLLDTLSPAYRE
+AFGNALLQRLEALKREGQS
+>sp|Q9TU47|IF6_BOVIN Eukaryotic translation initiation factor 6 OS=Bos taurus OX=9913 GN=EIF6 PE=2 SV=2
+MAVRASFENNCEIGCFAKLTNSYCLVAIGGSENFYSVFEGELAGTIPVVHASIAGCRIIG
+RMCVGNRHGLLVPNNTTDQELQHIRNCLPDSVQIRRVEERLSALGNVTTCNDYVALVHPD
+LDRETEEILADVLKVEVFRQTVADQVLVGSYCVFSNQGGLVHPKTSIEDQDELSSLLQVP
+LVAGTVNRGSEVIAAGMVVNDWCAFCGLDTTSTELSVVESVFKLNEAQPSTIATSMRDSL
+IDSLT
+>sp|Q28204|KCMA1_BOVIN Calcium-activated potassium channel subunit alpha-1 OS=Bos taurus OX=9913 GN=KCNMA1 PE=1 SV=2
+MANGGGGGGGGGGGSSLRMSSNIHANHLSLDASSSSSSSSSSSSSSSSVHEPKMDALIIP
+VTMEVPCDSRGQRMWWAFLASSMVTFFGGLFIILLWRTLKYLWTVCCHCGGKTKEAQKIN
+NGSSQADGTLKPVDEKEETVAAEVGWMTSVKDWAGVMISAQTLTGRVLVVLVFALSIGAL
+VIYFIDSSNPIESCQNFYKDFTLQIDMAFNVFFLLYFGLRFIAANDKLWFWLEVNSVVDF
+FTVPPVFVSVYLNRSWLGLRFLRALRLIQFSEILQFLNILKTSNSIKLVNLLSIFISTWL
+TAAGFIHLVENSGDPWENFQNNQALTYWECVYLLMVTMSTVGYGDVYAKTTLGRLFMVFF
+ILGGLAMFASYVPEIIELIGNRKKYGGSYSAVSGRKHIVVCGHITLESVSNFLKDFLHKD
+RDDVNVEIVFLHNISPNLELEALFKRHFTQVEFYQGSVLNPHDLARVKIESADACLILAN
+KYCADPDAEDASNIMRVISIKNYHPKIRIITQMLQYHNKAHLLNIPSWNWKEGDDAICLA
+ELKLGFIAQSCLAQGLSTMLANLFSMRSFIKIEEDTWQKYYLEGVSNEMYTEYLSSAFVG
+LSFPTVCELCFVKLKLLMIAIEYKSANRESRILINPGNHLKIQEGTLGFFIASDAKEVKR
+AFFYCKACHDDITDPKRIKKCGCKRLEDEQPSTLSPKKKQRNGGMRNSPSSSPKLMRHDP
+LLIPGNDQIDNMDSNVKKYDSTGMFHWCAPKEIEKVILTRSEAAMTVLSGHVVVCIFGDV
+SSALIGLRNLVMPLRASNFHYHELKHIVFVGSIEYLKREWETLHNFPKVSILPGTPLSRA
+DLRAVNINLCDMCVILSANQNNIDDTSLQDKECILASLNIKSMQFDDSIGVLQANSQGFT
+PPGMDRSSPDNSPVHGMLRQPSITTGVNIPIITELVNDTNVQFLDQDDDDDPDTELYLTQ
+PFACGTAFAVSVLDSLMSATYFNDNILTLIRTLVTGGATPELEALIAEENALRGGYSTPQ
+TLANRDRCRVAQLALLDGPFADLGDGGCYGDLFCKALKTYNMLCFGIYRLRDAHLSTPSQ
+CTKRYVITNPPYEFELVPTDLIFCLMQFDHNAGQSRASLSHSSHSSQSSSKKSSSVHSIP
+STANRQNRPKSRESRDKQKYVQEERL
+>sp|Q3T026|GTSFL_BOVIN Gametocyte-specific factor 1-like OS=Bos taurus OX=9913 GN=GTSF1L PE=2 SV=1
+MEPEALETCPYNPHHRIPLSRFQYHLASCRRKNPKKAKKMASCKYNACHVVPIKKLEEHE
+AACVNKSTMEEEDSLSPLKVSLPNAGQKGNRNASPVSPRLPNPDLWNVDSTNCHPMFVLK
+SFIPQKLVCESDTRESETDDHNPIPDCPRRRSSDRESEPPAEDTSLLKA
+>sp|A5PK14|LSME1_BOVIN Leucine-rich single-pass membrane protein 1 OS=Bos taurus OX=9913 GN=LSMEM1 PE=2 SV=1
+MKRSSQDSGSRSIPEDRKLYVVDSINDLNKLNLCPAGSQQLFPLEEKLQDISTDSGNGSR
+SLFLVGLIIVLIISLALVSFVIFLIVQTENKMEDVSRRLAAEGKDIDDLKKINSIIVKRL
+NQLDSEQS
+>sp|A6H793|LRRC3_BOVIN Leucine-rich repeat-containing protein 3 OS=Bos taurus OX=9913 GN=LRRC3 PE=2 SV=1
+MGPTGRQSPSSLPVPAGGPCLLLLFCLRLGASCPQNCQCPDHAGAVAVHCSARGLQEVPR
+DIPADTVLLKLDANKIARIPNGAFQHLHQLRELDLSQNAIETIGPAAFSGLAGGLRLLDL
+SHNRLRRIPKDALGKLSAKIRLAHNPLHCECALQEALWELKLDPDSVDEIACHTSVQEEY
+VGKPLIQALDSGVSFCSVHHKTTDVAMLVTMFGWFAMVITYVVYYVRQNQEDARRHLEYL
+KSLPSTPMSKDPTSSAP
+>sp|Q08DU9|HP1B3_BOVIN Heterochromatin protein 1-binding protein 3 OS=Bos taurus OX=9913 GN=HP1BP3 PE=2 SV=1
+MATDTSQGELVHPKALPLIVGAQLIHADKLGEKVEDNTMPIRRAVNSTRETPPKSKLAEG
+VEEKPEPDVSSEESISTVEEQENETPPATSSETEQPKGQPENEEKEENKPSEETKKDEKD
+QSKEKEKKVKKTIPSWATLSASQLARAQKQTPMASSPRPKMDAILTEAIKACFQKSGASV
+VAIRKYIIHKYPSLELERRGYLLKQALKRELNRGVIKQVKGKGASGSFVVVQKSRKPPQK
+SRNRKNRSSAVDPEPQVKLEDILPLAFTRLCEPKEASYSLIRKYVSQYYPKLRVDIRPQL
+LKNALQRAVERGQLEQITGKGASGTFQLKKSGEKPLLGGSLMEYAILSAIAAMNEPKTCS
+TTALKKYVLENHPGTNSNYQMHLLKKTLQRCEKNGWMEQISGKGFSGTFQLCFPYYPSPG
+VLFPKKEPDDSKDEDEDEDEDDSSEEDSEDEEPPPKRRLQKKTPVKSPGKAAAMKQRGSK
+LAPKVPAAQRGKTRPLPKKAPPKAKSPAKKARPSPSVIKKPSGSSSKKPAASVRKEVKLP
+GKGKSTMKKSFKAKK
+>sp|Q0VCN3|IFT27_BOVIN Intraflagellar transport protein 27 homolog OS=Bos taurus OX=9913 GN=IFT27 PE=2 SV=1
+MVKLAAKCILAGDPTVGKTALAQLFRSDGAHFQKNYTLTTGVDLVVKTVPVPDTGDSVEL
+FIFDSAGKELFSEMLDKLWESPNVLCLVYDVTNEQSFTNCSKWLEKARSQIPGTTLPGVL
+VGNKTDLAGRRVVDVAQAQAWALGQGLECFETSVKEMENYEAPFHYLAKQFHHLYREKLE
+VFQALV
+>sp|Q95M12|LGMN_BOVIN Legumain OS=Bos taurus OX=9913 GN=LGMN PE=1 SV=1
+MIWEFTVLLSLVLGTGAVPLEDPEDGGKHWVVIVAGSNGWYNYRHQADACHAYQIVHRNG
+IPDEQIIVMMYDDIANSEDNPTPGIVINRPNGSDVYQGVLKDYTGEDVTPKNFLAVLRGD
+AEAVKGVGSGKVLKSGPRDHVFVYFTDHGATGILVFPNEDLHVKDLNETIRYMYEHKMYQ
+KMVFYIEACESGSMMNHLPPDINVYATTAANPRESSYACYYDEQRSTFLGDWYSVNWMED
+SDVEDLTKETLHKQYQLVKSHTNTSHVMQYGNKSISAMKLMQFQGLKHQASSPISLPAVS
+RLDLTPSPEVPLSIMKRKLMSTNDLQESRRLVQKIDRHLEARNIIEKSVRKIVTLVSGSA
+AEVDRLLSQRAPLTEHACYQTAVSHFRSHCFNWHNPTYEYALRHLYVLVNLCENPYPIDR
+IKLSMNKVCHGYY
+>sp|Q2NL22|IF4A3_BOVIN Eukaryotic initiation factor 4A-III OS=Bos taurus OX=9913 GN=EIF4A3 PE=2 SV=3
+MAATATMATSGSARKRLLKEEDMTKVEFETSEEVDVTPTFDTMGLREDLLRGIYAYGFEK
+PSAIQQRAIKQIIKGRDVIAQSQSGTGKTATFSISVLQCLDIQVRETQALILAPTRELAV
+QIQKGLLALGDYMNVQCHACIGGTNVGEDIRKLDYGQHVVAGTPGRVFDMIRRRSLRTRA
+IKMLVLDEADEMLNKGFKEQIYDVYRYLPPATQVVLISATLPHEILEMTNKFMTDPIRIL
+VKRDELTLEGIKQFFVAVEREEWKFDTLCDLYDTLTITQAVIFCNTKRKVDWLTEKMREA
+NFTVSSMHGDMPQKERESIMKEFRSGASRVLISTDVWARGLDVPQVSLIINYDLPNNREL
+YIHRIGRSGRYGRKGVAINFVKNDDIRILRDIEQYYSTQIDEMPMNVADLI
+>sp|Q2KIU3|HP252_BOVIN Protein HP-25 homolog 2 OS=Bos taurus OX=9913 PE=2 SV=1
+MPGRGGQSLSMVCVDVWILALSVLSVMADATSVPVTESCDSQGPPGLPGPPGLPGPPGPP
+GPPGPPGLRGPTGIPGDIESCLSPPKSAFAVKMNDPLPAPSQPIVFKEALHNDQDHFNLT
+TGVFTCTIPGVYRFGFDIELFQHAVKLGLMKNDTQILEKESKAKDNYRHLSGNVVLQLTL
+GDRVWLESKLDTTETEKGPIQSMFFGYLLYGNYPG
+>sp|Q2KIB6|LIN7B_BOVIN Protein lin-7 homolog B OS=Bos taurus OX=9913 GN=LIN7B PE=2 SV=1
+MAALVEPLGLEREVSRAVELLERLQRSGELPPQKLQALQRVLQSRFCSAIREVYEQLYDT
+LDITGSAEIRAHATAKATVAAFTASEGHAHPRVVELPKTDEGLGFNIMGGKEQNSPIYIS
+RVIPGGVADRHGGLKRGDQLLSVNGVSVEGEQHEKAVELLKAAQGSVKLVVRYTPRVLEE
+MEARFEKMRSARRRQQHQSYS
+>sp|Q2KJ53|IMPA3_BOVIN Inositol monophosphatase 3 OS=Bos taurus OX=9913 GN=IMPAD1 PE=2 SV=1
+MAPMGIRLSPLGVAVFCLLGLGVLYHLYSGFLAGRFSLFGLGGEPGGGAAGPAGPAASAD
+GGTVDLREMLAVSVLAAVRGGEEVRRVRESNVLHEKSKGKTREGADDKMTSGDVLSNRKM
+FYLLKTAFPSVQINTEEHVDASDQEVILWDRKIPEDILKEIATPQEVPAESVTVWIDPLD
+ATQEYTEDLRKYVTTMVCVAVNGKPVLGVIHKPFSEYTAWAMVDGGSNVKARTSYNEKTP
+RIVVSRSHSGMVKQVALQTFGNQTTIIPAGGAGYKVLALLDVPDKSQEKADLYIHVTYIK
+KWDICAGNAILKALGGHMTTLSGEEISYTGSDGIEGGLLASIRMNHQALVRKLPDLEKTG
+HK
+>sp|Q3ZBV9|DHR11_BOVIN Dehydrogenase/reductase SDR family member 11 OS=Bos taurus OX=9913 GN=DHRS11 PE=2 SV=1
+MERWRDRLALVTGASGGIGAAVARALVQQGLKVVGCARTVGNIEELAAECKSAGYPGTLI
+PYRCDLSNEEDILSMFSAVRSQHSGVDICINNAGLARPDTLLSGSTSGWKEMFNVNVLAL
+SICTREACQSMRERKVDDGHIININSMCGHRVPPPAETHFYSATKYAVTALTEGLRQELR
+EARSHIRATCISPGVVETQFAFKLHDKDPEKAAATYEHMKCLKPEDVAEAVIYVLSTPPH
+VQIGDIQMRPTEQVT
+>sp|Q1RMI3|GABP1_BOVIN GA-binding protein subunit beta-1 OS=Bos taurus OX=9913 GN=GABPB1 PE=2 SV=1
+MSLVDLGKKLLEAARAGQDDEVRILMANGAPFTTDWLGTSPLHLAAQYGHYSTTEVLLRA
+GVSRDARTKVDRTPLHMAASEGHASIVEVLLKHGADVNAKDMLKMTALHWATEHNHQEVV
+ELLIKYGADVHTQSKFCKTAFDISIDNGNEDLAEILQIAMQNQINTNPESPDTVTIHAAT
+PQFIIGPGGVVNLTDETGVSAVQFGNSSTSVLATLAALAEASAPLSNSSETPVVATEEVV
+TAESVDGAIQQVVSSGGQQVITIVTDGIQLGNLHSIPTSGIGQPIIVTMPDGQQVLTVPA
+TDIAEETVISEEPPAKRQCIEIIENRVESAEIEEREALQKQLDEANREAQKYRQQLLKKE
+QEAEAYRQKLEAMTRLQTNKEAV
+>sp|Q862A9|GPBAR_BOVIN G-protein coupled bile acid receptor 1 OS=Bos taurus OX=9913 GN=GPBAR1 PE=2 SV=1
+MTSNSTREVPSPVPAGALGLSLALASLIVAANLLLAVGIAGDRRLRSPPAGCFFLSLLLA
+GLLTGLALPALPVLWSQSRRGYWSCLFLYLAPNFCFLSLLANLLLVHGERYMAVLRPLRP
+RGSMRLALLLTWAAPLLFASLPALGWNHWAPGGNCSSQAVFPAPYLYLEIYGLLLPAVGA
+AALLSVRVLVTAHRQLQDIRRLERAVCRGAPSALARALTWRQARAQAGATLLFGLCWGPY
+VATLLLSVLAFEQRPPLGPGTLLSLISLGSASAAAVPVAMGLGDQRYTGPWRVAAQKWLR
+MLRGRPQSSPGPSTAYHTSSQSSVDLDLN
+>sp|Q58CZ0|DFFB_BOVIN DNA fragmentation factor subunit beta OS=Bos taurus OX=9913 GN=DFFB PE=2 SV=1
+MSAVLRKPKTFKLRSLHSEKKFGVAGRSCEEVLRKGCQRLQLPIPGSRLCLYEDGTELTG
+DYFWSAPDNSELVLLTAGQTWQGFVSDISRFLSVFQEPHAGVIQAARQLLWDERAPLRQK
+LLADLLGTVSENIAAETRAEDPPWFEGLESRFRSKSGYLRYSCESRIRSYLREVTSGASL
+VGAEAREEYLRLVGSMQQKLQAAQYNSSYFDRGAKAGRRLCTPEGWFSCQGPFDVDDCTS
+RHSINPYSNRESRVLFSTWNLDHVIEKKRVVVPALAAAVHDAEGREVDWEYFYRLLFTLE
+NLKLVHIACHKKTTHKLHCDPSRVYCTPAAPRRKRHARHRL
+>sp|Q9BGI0|GABT_BOVIN 4-aminobutyrate aminotransferase, mitochondrial OS=Bos taurus OX=9913 GN=ABAT PE=2 SV=1
+MASMLVAQRLACSFQHSYRLLVPGSRHISQAAAKVDVEFDYDGRLMKTEVPGLRCQELMK
+QLNIIQNAEAVHFFCNYEESRGNYLVDVDGNRMLDLYSQISSVPIGYSHPGLLKLIQQPQ
+NASMFVNRPALGILLPENFVEKLRQSLLSVAPKGMSQLITMACGSCSNENGLKTIFMWYR
+SKERGQRGFPQEELETCMINQAPWCPDYSILSFMGAFHGRTMGCLATTHSKAIHKIDIPS
+FDWPIAPFPRLKYPLEEFVKENQQEEARCLEEVEDLIVKYRKKKKTVAGIIVEPIQSEGG
+DNHASDDFFRKLRDIPRKQCCAFLVDVVQTGGGCTGKFWAHEHWARDDPEDVMTSSKKMM
+TGGFFHKEEFRPNAPYRIFNTWLGDPSKNLLLAEVINIIKREDLLNNAAHAGKALLTGLL
+DLQARYPQFISRVRGRGTFCSFDTPDDSIRNKLILIARNKGVVLGGCGDKSIRFRPTLVF
+RDHHAHLFLNIFSDILADFK
+>sp|P56541|DNS2A_BOVIN Deoxyribonuclease-2-alpha OS=Bos taurus OX=9913 GN=DNASE2 PE=2 SV=2
+MATLSSLLLTALLWVPVGTLTCYGDSGQPVDWFVVYKLPAHTGSGDATQNGLRYKYFDEH
+SEDWSDGVGFINSTTGAVGRSLLPLYRNNNSQLAFVLYNDQPPKSSESKDSSSRGHTKGV
+LLLDQEGGFWLIHSVPNFPPRASSAVYSWPPGAQKYGQTLICVSFPLTQFLDISKQLTYT
+YPLVYDHRLEGDFAQKFPYLEEVVKGHHVRQGPWNSSVTLTSKKGATFQSFAKFGNFGDD
+LYSGWLSEALGSTLQVQFWQRSSGILPSNCSGAQHVFDVTQTAFPGPAGPAFNATEDHSK
+WCVTPKGPWACVGDMNRNQREEHRGGGTLCAQMLWKAFKPLVKAWEPCEKKSRAYSLGSP
+AGLWT
+>sp|Q2NKY8|DHX30_BOVIN ATP-dependent RNA helicase DHX30 OS=Bos taurus OX=9913 GN=DHX30 PE=2 SV=1
+MATARRLMALAAGVSPRLRPLGPRAIGRQGGPRGLSTGCSRPDRTQEAAEAEAAPGEPGE
+GDGSVVNASRDLLKEFPQPKNLLNSVIGRALGISHAKDKLVYVHTNGPKKKKVTLHIKWP
+KSVEVEGYGSKKIDAERQAAAAACQLFKGWGLLGPRNELFDAAKYRVLADRFGSPADSWW
+RPEPTMPPTSWRQLNPESIRPGGPGGLSRSLGREEEEDEEEELEEGTIDVTEFLSMTQQD
+SHAPLRDSRGGSFEMTDDDSAIRALTQFPLPKNLLAKVIQIATSSSTAKNLMQFHTVGTK
+TKLSTLTLLWPCPMTFVAKGRRKAEAENKAAALACNKLKSLGLVDRNNEPLTHAMYNLAS
+LRELGETQRRPCTIQVPEPILRKIETFLNHYPVESSWISSELRLQGEDILPLGKDSGPLS
+DPITGKPYVPLSEAEELRLSQSLLELWRRRGPVWQEAPQLPVDPHRDTILNAIEQHPVVV
+IAGDTGCGKTTRIPQLLLERYVTEGRGARCNVIITQPRRISAVSVAQRVSHELGPTLRRN
+VGFQVRLESKPPARGGALLFCTVGILLRKLQSNPSLEGVSHVVVDEEHERDVNTDFLLIL
+LKGLQRLNPALRLVLMSATGDNERFSRYFGGCPVIKVPGFMYPVKEHYLEDILAKLGKHQ
+YPHRHRHHESEDECALDLDLVTDLVLHIDARGEPGGILCFLPGWQEIKGVQQRLQEALGM
+HESKYLILPVHSNIPMMDQKAIFQQPPIGVRKIVLATNIAETSITINDIVHVVDSGLHKE
+ERYDLKTKVSCLETVWVSRANVIQRRGRAGRCQSGFAYHLFPRSRLEKMAPFQVPEILRT
+PLENLVLQAKIHMPEKTAVEFLSKAVDSPNIKAVDEAVILLQEIGVLDQREYLTTLGQRL
+AHISTDPRLAKAIVLAAIFRCLHPLLVVVSCLTRDPFSSSLQNRAEVDKVKALLSHDSGS
+DHLAFVRAVSGWEEVLRWQDRSSRENYLEENLLYAPSLRFIHGLIKQFSENIYEAFLVGK
+PSDCTLASAQCNEYSEEEELVKGVLMAGLYPNLIQVRQGKVTRQGKFKPNSVTYRTKSGN
+ILLHKSTINREATRLRSRWLTYFMAVKSNGSVFVRDSSQVHPLAVLLLTDGDVHIRDDGR
+RATISLSDSDLLRLEGDSRTVRLLRELRRALGRMVERSLRSELAALPPCVQEEHGQLLAL
+LAELLRGPCGSFDVRKTADD
+>sp|P48803|FGF4_BOVIN Fibroblast growth factor 4 OS=Bos taurus OX=9913 GN=FGF4 PE=3 SV=1
+MAGPGTAAAALLPAVLLAVLAPWAGRGGAAPTAPNGTLEAELERRWESLVARSLLAGLPV
+AAQPKEAAVQSGAGDYLLGIKRLRRLYCNVGIGFHLQVLPDGRIGGVHADTSDSLLELSP
+VERGVVSIFGVASRFFVAMSSRGRLYGSPFFTDECRFREILLPNNYNAYECDRHPGMFIA
+LSKNGKAKKGNRVSPTMKVTHFLPRL
+>sp|Q2YDG0|GPC5C_BOVIN G-protein coupled receptor family C group 5 member C OS=Bos taurus OX=9913 GN=GPRC5C PE=2 SV=2
+MAIHRTVLMCLGLPLFLLPGARAQEQAPPGCSPDLNPLYYNLCDRSEAWGIILEAVAGAG
+VVTTFVLTIILVASLPFVQDTKKRSLLGTQVFFLLGTLGLFCLVFACVVKPSFSTCASRR
+FLFGVLFAICFSCLVAHVLALHFLVRKNHGPRGWVIFLVALLLSLVEVIINTEWLIITLV
+RGAGTEGDALGNGSAGWVAVSPCAIANADFVMALIYVMLLLLCAFSGAWSALCGRFKRWR
+KHGVFILLTTTASIAVWVVWIVMYTYGNRQHNSPTWDDPTLAIALATNAWAFVLFYVIPE
+VSQVTRSSPEQSYQGDLYPTRGVGYETILKEQKGQSMFVENKAFSMDEPASAKRPVSPYS
+GYNGQLLTSMYQPTEMTLMHKAPSDGAYDVILPRATANSQVTGSANSTLRAEDIYAAQGR
+QEATLPKEGKNSQVFRNPYVWD
+>sp|Q5E9V1|DCNL3_BOVIN DCN1-like protein 3 OS=Bos taurus OX=9913 GN=DCUN1D3 PE=2 SV=1
+MGQCVTKCKNPSSTLGSKNGDRDPSSKSHGRRSASHREEQLPTCGKPGGDILVNGTKKAE
+AAPEACQLPTSSGDAGREPKSNAEESSLQRLEELFRRYKDEREDAILEEGMERFCNDLCV
+DPTEFRVLLLAWKFQAATMCKFTRKEFFDGCKAISADSIDGICARFPSLLTEAKQEDKFK
+DLYRFTFQFGLDSEEGQRSLHREIAIALWKLVFTQNNPPVLDQWLNFLTENPSGIKGISR
+DTWNMFLNFTQVIGPDLSNYSEDEAWPSLFDTFVEWEMERRKREGEGRGALSSGPEGLCP
+EEQT
+>sp|P68311|ERTS_BOVIN Erythrodihydroneopterin triphosphate synthetase OS=Bos taurus OX=9913 PE=1 SV=1
+ISHGFRYDAIAKLFRPFFCGDGYGHRIGETVYYAGSLKYCARSFDVGAEIICKGFYYFGI
+YKRRVSEV
+>sp|Q3T0V3|EIF3K_BOVIN Eukaryotic translation initiation factor 3 subunit K OS=Bos taurus OX=9913 GN=EIF3K PE=2 SV=1
+MAMFEQMRANVGKLLKGIDRYNPENLATLERYVETQAKENAYDLEANLAVLKLYQFNPAF
+FQTTVTAQILLKALTNLPHTDFTLCKCMIDQAHQEERPIRQILYLGDLLETCHFQAFWQA
+LDENMDLLEGITGFEDSVRKFICHVVGITYQHIDRWLLAEMLGDLTDSQLKVWMSKYGWS
+ADESGQIFICSQEESIKPKNIVEKIDFDSVSSIMASSQ
+>sp|Q2KJF7|GLCTK_BOVIN Glycerate kinase OS=Bos taurus OX=9913 GN=GLYCTK PE=2 SV=1
+MAAALQVLRHLARAPSGPLLWGGPLARMASSMALAEQARQLFESTVGAVLPGPLLQRALS
+LDPDSGELKVRDRSFQLRQNLYLVGFGKAVLGMAAAAEELLGQHLVQGVISVPKGIRAAM
+EHAGKQEMLLKPHSRIQVFEGAEDNLPDRDALRAALAIRQLAEGLTADDLLLVLISGGGS
+ALLPAPIPPVTLEEKQTLTKLLAARGATIQELNTIRKALSQLKGGGLAQAAYPAQVVSLI
+LSDVVGDPVEVIASGPTVASIHSVQDCLYILNRYGLRTALPRSVKTVLARADSDPHGPHT
+CGHVLNVILGSNALALAEAQKQAEALGYRAVVLSTAIQGDVKSVAQFYGLLARVAGAHLA
+LPGAGASVQEDERLYELAADLQLPDLQLKEALEAVVGAPGPVCLLAGGEPTVRLQGSGKG
+GRNQELALRVGVELGQWPLGTVDVLFLSGGTDGQDGPTEAAGAWVRPELTSQAAAEGLDV
+ATFLAHNDSHTFFCRFQGGAHLLHTGLTGTNVTDAHFLFLHPQ
+>sp|Q58D00|FBXW2_BOVIN F-box/WD repeat-containing protein 2 OS=Bos taurus OX=9913 GN=FBXW2 PE=2 SV=1
+MERKDFETWLDNISVTFLSLTDLQKNETLDHLISLSGAVQLRHLSNNLETLLKRDFLKLL
+PLELSFYLLKWLDPQTLLTCCLVSKQWNKVISACTEVWQTACKSLGWQIDDSVQDALHWK
+KVYLKAILRMKQLEDHEAFETSSLIGHSARVYALYYKDGLLCTGSDDLSAKLWDVSTGQC
+VYGIQTHTCAAVKFDEQKLVTGSFDNTVACWEWSSGARTQHFRGHTGAVFSVDYNDELDI
+LVSGSADFTVKVWALSAGTCLNTLTGHTEWVTKVVLQKCKVKSLLHSPGDYILLSADKYE
+IKIWPIGREINCKCLKTLSVSEDRSICLQPRLHFDGKYIVCSSALGLYQWDFASYDILRV
+IKTPEIANLALLGFGDIFALLFDNRYLYILDLRTESLISRWPLPEYRKSKRGSSFLAGEA
+SWLNGLDGHNDTGLVFATSMPDHSIHLVLWKEHG
+>sp|A6QPF4|M4A18_BOVIN Membrane-spanning 4-domains subfamily A member 18 OS=Bos taurus OX=9913 GN=MS4A18 PE=2 SV=1
+MMEQGVGANTVPGVTAPGNVYMIQARNPVAPGSKGQPVGMATYLTSRVTESDAGRANLQT
+PRVVIQNPAEVNATQGPPTALQHPTAVASLQTPPGEIQYSLGTTDLQTQPGGPQNPPTCA
+PGPMYTSNQFQWNMPFGSSFTFDPKKFIKDEVRTLGAIQILIGLTHIFTAINPSLYRQYS
+YSAISGYLVWGGIFFIISGSLSVEAEKDRSSCMVHGSVGMNVVSAIVSLAGVLLLLVDLI
+RNPVIDVKTVSGGLLPFVLLEFCLTCVVSHFGCQATCWNQFVNRTEVPTIVIANPANTPT
+GPFNATYSTTGHVNVITSSANPTSPTNAAAMVPPVPS
+>sp|Q3SZ18|HPRT_BOVIN Hypoxanthine-guanine phosphoribosyltransferase OS=Bos taurus OX=9913 GN=HPRT1 PE=2 SV=3
+MAARSPSVVISDDEPGYDLNLFCIPNHYAEDLEKVFIPHGLIMDRTERLARDVMKEMGGH
+HIVALCVLKGGYKFFADLLDYIKALNRNSDKSIPMTVDFIRLKSYCNDQSTGDIKVIGGD
+DLSTLTGKNVLIVEDIIDTGKTMQTLLALVKKHKPKMVKVASLLMKRTPRSVGYKPDFVG
+FEIPDKFVVGYALDYNEYFRDLNHVCVISETGKAKYKA
+>sp|Q3T132|LTOR2_BOVIN Ragulator complex protein LAMTOR2 OS=Bos taurus OX=9913 GN=LAMTOR2 PE=2 SV=1
+MLRPKALTQVLSQANTGGVQSTLLLNNEGSLLAYSGYGDTDARVTAAIASNIWAAYDRNG
+NQAFNEDNLKFILMDCMEGRVAITRVANLLLCMYAKETVGFGMLKAKAQALVQYLEEPLT
+QVAAS
+>sp|Q2HJ23|MLP3A_BOVIN Microtubule-associated proteins 1A/1B light chain 3A OS=Bos taurus OX=9913 GN=MAP1LC3A PE=2 SV=1
+MPSDRPFKQRRSFADRCKEVQQIREQHPSKIPVIIERYKGEKQLPVLDKTKFLVPDHVNM
+SELVKIIRRRLQLNPTQAFFLLVNQHSMVSVSTPIADIYEQEKDEDGFLYMVYASQETFG
+F
+>sp|Q76LV2|HS90A_BOVIN Heat shock protein HSP 90-alpha OS=Bos taurus OX=9913 GN=HSP90AA1 PE=1 SV=3
+MPEETQAQDQPMEEEEVETFAFQAEIAQLMSLIINTFYSNKEIFLRELISNSSDALDKIR
+YESLTDPSKLDSGKELHINLIPNKQDRTLTIVDTGIGMTKADLINNLGTIAKSGTKAFME
+ALQAGADISMIGQFGVGFYSAYLVAEKVTVITKHNDDEQYAWESSAGGSFTVRTDTGEPM
+GRGTKVILHLKEDQTEYLEERRIKEIVKKHSQFIGYPITLFVEKERDKEVSDDEAEEKED
+KEEEKEKEEKESDDKPEIEDVGSDEEEEEKKDGDKKKKKKIKEKYIDQEELNKTKPIWTR
+NPDDITNEEYGEFYKSLTNDWEDHLAVKHFSVEGQLEFRALLFVPRRAPFDLFENRKKKN
+NIKLYVRRVFIMDNCEELIPEYLNFIRGVVDSEDLPLNISREMLQQSKILKVIRKNLVKK
+CLELFTELAEDKENYKKFYEQFSKNIKLGIHEDSQNRKKLSELLRYYTSASGDEMVSLKD
+YCTRMKENQKHIYYITGETKDQVANSAFVERLRKHGLEVIYMIEPIDEYCVQQLKEFEGK
+TLVSVTKEGLELPEDEEEKKKQEEKKTKFENLCKIMKDILEKKVEKVVVSNRLVTSPCCI
+VTSTYGWTANMERIMKAQALRDNSTMGYMAAKKHLEINPDHSIIETLRQKAEADKNDKSV
+KDLVILLYETALLSSGFSLEDPQTHANRIYRMIKLGLGIDEDDPTADDSSAAVTEEMPPL
+EGDDDTSRMEEVD
+>sp|P0C0S9|H2A1_BOVIN Histone H2A type 1 OS=Bos taurus OX=9913 PE=1 SV=2
+MSGRGKQGGKARAKAKTRSSRAGLQFPVGRVHRLLRKGNYAERVGAGAPVYLAAVLEYLT
+AEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGKVTIAQGGVLPNIQAVLLPKK
+TESHHKAKGK
+>sp|Q24K06|LRC10_BOVIN Leucine-rich repeat-containing protein 10 OS=Bos taurus OX=9913 GN=LRRC10 PE=2 SV=1
+MGNTIRAFVAFIPADRCQNYVARDLREMPLDRMVDLSGSQLRRFPVHVCSFQELVKLYLS
+DNRLNSLPPELGQLQNLQILALDFNNFKALPQVVCTLKQLCILYLGNNKLCDLPRELSLL
+QNLRTLWVEANYLTKLPEVVCELSLLKTLHAGSNALRLLPGQLQRLRELRTIWLSGNLLT
+DFPPVLLHMPFLEIIDVDRNSIRYFPSLAHLSSLKLVIYDHNPCRNAPKVAKGVRRVGRW
+AEETPEPDPRKARRYALAREESQEAQLPALPPLPPTNS
+>sp|Q27975|HS71A_BOVIN Heat shock 70 kDa protein 1A OS=Bos taurus OX=9913 GN=HSPA1A PE=1 SV=2
+MAKNMAIGIDLGTTYSCVGVFQHGKVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVA
+LNPQNTVFDAKRLIGRKFGDPVVQSDMKHWPFRVINDGDKPKVQVSYKGETKAFYPEEIS
+SMVLTKMKEIAEAYLGHPVTNAVITVPAYFNDSQRQATKDAGVIAGLNVLRIINEPTAAA
+IAYGLDRTGKGERNVLIFDLGGGTFDVSILTIDDGIFEVKATAGDTHLGGEDFDNRLVNH
+FVEEFKRKHKKDISQNKRAVRRLRTACERAKRTLSSSTQASLEIDSLFEGIDFYTSITRA
+RFEELCSDLFRSTLEPVEKALRDAKLDKAQIHDLVLVGGSTRIPKVQKLLQDFFNGRDLN
+KSINPDEAVAYGAAVQAAILMGDKSENVQDLLLLDVAPLSLGLETAGGVMTALIKRNSTI
+PTKQTQIFTTYSDNQPGVLIQVYEGERAMTRDNNLLGRFELSGIPPAPRGVPQIEVTFDI
+DANGILNVTATDKSTGKANKITITNDKGRLSKEEIERMVQEAEKYKAEDEVQRERVSAKN
+ALESYAFNMKSAVEDEGLKGKISEADKKKVLDKCQEVISWLDANTLAEKDEFEHKRKELE
+QVCNPIISRLYQGAGGPGAGGFGAQGPKGGSGSGPTIEEVD
+>sp|Q2KIN4|HEY1_BOVIN Hairy/enhancer-of-split related with YRPW motif protein 1 OS=Bos taurus OX=9913 GN=HEY1 PE=2 SV=1
+MKRAHPEYSSSESELDETIEVEKESADENGNLSSALGSMSPTTSSQILARKRRRGIIEKR
+RRDRINNSLSELRRLVPSAFEKQGSAKLEKAEILQMTVDHLKMLHTAGGKGYFDAHALAM
+DYRSLGFRECLAEVARYLSIIEGLDASDPLRVRLVSHLNNYASQREAASGAHAGLGHIPW
+GSAFGHHPHVAHPLLLSQSTHGNTGTSASPTESHHQGRLATAHPEASALRAPPSGGLGPV
+LPVVTSASKLSPPLLSSVASLSAFPFSFGSFHLLSPNALSPSAPTQAANLGKPYRPWGTE
+IGAF
+>sp|A5PJA1|KAD6_BOVIN Adenylate kinase isoenzyme 6 OS=Bos taurus OX=9913 GN=AK6 PE=2 SV=1
+MLLPNILLTGTPGVGKTTLGKELASRSGLKYINVGDLAREGQLYDGYDEEYDCPILDEDR
+VVDELENQMSEGGVIVDYHGCDFFPERWFHIVFVLKTDNSILYKRLENRGYNEKKLKDNI
+QCEIFQVLHEEALASYKEEIVHQLPSNKPEDLEDNINQILKWIEHWVKDHSS
+>sp|Q2KIP2|HASP_BOVIN Serine/threonine-protein kinase haspin OS=Bos taurus OX=9913 GN=HASPIN PE=2 SV=1
+MAASLPLPASGLFRTYGAAGGGRPRRRQGLAAVQWFPPQDRKRFFSSSSSDTSSGGPSQS
+VVSDDPDDPDFPGSPVGQRRRRAGARLAKGRPSQIATPRRLRLRSRCPQKCSTPCGALEP
+PSFPNGNPGSLSPDLRVCGQPRDGGELGTSASLFSSLASPGPGFPAPEDSICTDPSTFLD
+AASEAPSNFHLPEASLDQAPLPCSQDAATGGGRFTRLAHQAQASLRSALFSFLDPGNPED
+SELGTDGKNLQEFCHDRELVGRRLESPGLSGRGKKRTTDQDSCREIESQESVQIEYEEAR
+GCKGGIASGKSNGPERTRLSRKRKHQGTAETSLLHHCQVTKGQKMEDGSCVTQDLTRLQN
+ACSWTKTRASFSFHKKKIVTAVSEVCSCDTLAGSLSESLMSEYSHHPVQNRTSCALSPWH
+SSSMYLLTPLKTQQVTDKRTSDAEKLYGECNQVGPIPFSDYLSEEKLECCEKIGEGVFGE
+VFQTVTNHTPVALKIIAIEGQNLVNGAHQKTFEEILPEIIISKELSLLSDEACNRTEGFI
+GLNSVHCVQGSYPPLLLQAWDHYHSTKGSANDRPDFFREDQLFIVLEFEFGGIDLEQMRK
+KLSSIATAKSILHQITASLAVAEASLHFEHRDLHWGNVLLKKTSLKELHYTLNGKKSSIP
+TRGLQVNIIDYTLSRLERDGIVVFCDISRDEDLFMGQGDYQFEIYRLMRKENNNCWGEYH
+PYNNVLWLHYLTDKILNQMTFKSKHNTPALKRMKKQIQHFYQTMLNFSSATDLLCQHSLF
+K
+>sp|P54349|IL12A_BOVIN Interleukin-12 subunit alpha OS=Bos taurus OX=9913 GN=IL12A PE=2 SV=1
+MCPLRSLLLISTLVLLHHLPHLSLGRSLPTTTASPGRSCLDYSQNLLRAVSNTLQKARQT
+LEFYSCTSEEIDHEDITKDKTSTVEACLPLELATNESCLASRETSFITNGHCLASGKTSF
+MTTLCLRSIYEDLKMYHVEFQAMNAKLLMDPKRQIFLDQNMLAAIAELMQALNFDSETVP
+QKPSLKELDFYKTKVKLCILLHAFRIRAVTIDRMMSYLSSS
+>sp|P01966|HBA_BOVIN Hemoglobin subunit alpha OS=Bos taurus OX=9913 GN=HBA PE=1 SV=2
+MVLSAADKGNVKAAWGKVGGHAAEYGAEALERMFLSFPTTKTYFPHFDLSHGSAQVKGHG
+AKVAAALTKAVEHLDDLPGALSELSDLHAHKLRVDPVNFKLLSHSLLVTLASHLPSDFTP
+AVHASLDKFLANVSTVLTSKYR
+>sp|Q148I5|KTI12_BOVIN Protein KTI12 homolog OS=Bos taurus OX=9913 GN=KTI12 PE=2 SV=1
+MPLVVFCGLPYSGKSRRVEELRAALEAEGRAVQVVDDAAVLGAEDATVYGDSAREKALRG
+ALRAAVERLLSRQDVVILDSLNYIKGFRYELYCLARAARTPICLVYCVRPGSLSGGLRVA
+GAVDNPNRNVSVSWRPRAEEGGRPLAVGTDVLGEPQAVASLVNRRAQAEVPTESEPKEIR
+AADLPALVASESEKSAEHASGAFYPPELLEALALRFEAPDSRNRWDRPLFTLVGLEEPLP
+LAEIRAALFENRAPPPHQSTQSQPLASGSFLHQLDQVTSQVLAGLMEAQKSAVPGDLLKL
+PGTTEHLRFTRPLTMAELSRLRRQFISYTKMHTNNENLPQLANMFLQYLSQSLH
+>sp|Q2KJH9|AL9A1_BOVIN 4-trimethylaminobutyraldehyde dehydrogenase OS=Bos taurus OX=9913 GN=ALDH9A1 PE=2 SV=1
+MSTGTFVVSQPLNYRGGARVEPVDASGTEKAFEPASGRVIATFTCSGEKEVNLAVQDAKA
+AFKIWSQKSGMERCRILLEAARIIRERRDEIATMETINNGKSIFEARWDIDTSWQCLEYY
+AGLAGSMAGEHIQLPGGSFGYTRREPLGVCVGIGAWNYPFQIACWKSAPALACGNAMVFK
+PSPFTPVSVLLLAEIYTEAGVPPGLFNVVQGGAATGQFLCQHRDVAKVSFTGSVPTGSKI
+MEMSAKGIKPVTLELGGKSPLIIFSDCDMKNAVKGALMANFLTQGEVCCNGTRVFVQKEI
+LDQFTEEVVKQTQRIKIGDPLLEDTRMGPLINRPHLERVLGFVKVAKEQGAKVLCGGDVF
+VPEDPKLKDGYYMRPCVLTNCRDDMTCVKEEIFGPVMSILSFDTEAEVLERANDTTFGLA
+AGVFTRDIQRAHRVVAELQAGMCFINNYNVSPVELPFGGYKKSGFGRENGRVTIEYYSQL
+KTVCVEMGDVESAF
+>sp|Q32KX1|ARRD5_BOVIN Arrestin domain-containing protein 5 OS=Bos taurus OX=9913 GN=ARRDC5 PE=2 SV=2
+MSVVKSIELVLPKDAVYLAGCIIEGQVVLTLNSTLVDPIVKVELVGRGYVEWNEETGASR
+DYSREVICNNKADYVHKTKTFPVEDNWLSAGSHTFDFHFNLPPRLPSTFTSKIGNIFYFV
+QASCMGREHILAKKKIYLMVQGTSSIFHSEKSLQNPLFVEAEKKVSYNCCSQGTICLQIQ
+MEKNTFTPGERDIFTTEINNQTSKCIKTVIFALYAHVHYEGFTPNAERRSRVDSSELLRQ
+EANTHITAFNTTKIVSTFHLPPVLSVSGSGSQDSEIMNTQYELVSTVHLPWTLTSVKAKV
+PIIITSNPVDSNQTAAGCRTRAPLPVSPDQQN
+>sp|Q17QZ8|B3GN9_BOVIN UDP-GlcNAc:betaGal beta-1,3-N-acetylglucosaminyltransferase 9 OS=Bos taurus OX=9913 GN=B3GNT9 PE=2 SV=1
+MRRRLRLRREALLTLLLGATLGLLLYAQQEGAAPTTSAPRAQGRAAPGPTPGLRVFQAPD
+TGAAPPAYEGDTPEPPTPTGPFDFGRYLRAKDQRRFPLLINQPHKCQGNGAFPRGPDLLI
+AVKSVAADFERRQAVRQTWGAEGRVQGALVRRVFLLGVPRGTGTVAGEAEAGTQTHWSAL
+LRAESRAYADILLWAFDDTFFNLTLKEIHFLAWASDYCPDVRFVFKGDADVFVHVGNLLE
+FLAPRDPAQDLLAGDVIVQARPIRVRASKYYIPEAVYGLPAYPAYAGGGGFVLSGATLRR
+LAGACAQVELFPIDDVFLGMCLQRLRLTPEPHPAFRTFGIPRPSAAPHLHTFDPCFYREL
+VVVHGLSAADIWLMWHLLHGPNGPACARPWPVPAGPFQWGP
+>sp|Q3ZC50|CCD47_BOVIN Coiled-coil domain-containing protein 47 OS=Bos taurus OX=9913 GN=CCDC47 PE=2 SV=1
+MKGFHAFCVILLIFGSVSEAKFDDFEDEEDIVEYDDNDFAEFEDVAEDSVTESPQRVIIT
+EDDEDETTVELEGQDESQEGDFEDADTQEGDTESEPYDDEEFEGYEDKPDTSSSKSKDPI
+TIVDVPAHLQNSWESYYLEILMVTGLLAYIMNYIIGKNKNSRLAQAWFNTHRELLESNFT
+LVGDDGTNKEATSTGKLNQENEHIYNLWCSGRVCCEGMLIQLRFLKRQDLLNVLARMMRP
+VSDQVQIKVTMNDEDMDTYVFAVGARKALVRLQKEMQDLSEFCSDKPKSGAKYGLPDSLA
+ILSEMGEVTDGMMDTKMLHFLTHYADKIESIHFSDQFSGPKIMQEEGQPLKLPDTKRTLL
+FTFNVPGSGNTYPKDMEALLPLMNMVIYSIDKAKKFRLNREGKQKADKNRARVEENFLKL
+THVQRQEAAQSRREEKKRAEKERIMNEEDPEKQRRLEEAALRREQKKLEKKQMKMKQIKV
+KAM
+>sp|P83437|COG5_BOVIN Conserved oligomeric Golgi complex subunit 5 (Fragments) OS=Bos taurus OX=9913 GN=COG5 PE=1 SV=1
+IGALQGAVDRVLTQPTQSIVRNVAVVNSLYK
+>sp|P52193|CALR_BOVIN Calreticulin OS=Bos taurus OX=9913 GN=CALR PE=1 SV=2
+MLLPVPLLLGLLGLAAADPTVYFKEQFLDGDGWTERWIESKHKPDFGKFVLSSGKFYGDQ
+EKDKGLQTSQDARFYALSARFEPFSNKGQTLVVQFTVKHEQNIDCGGGYVKLFPAGLDQT
+DMHGDSEYNIMFGPDICGPGTKKVHVIFNYKGKNVLINKDIRCKDDEFTHLYTLIVRPNN
+TYEVKIDNSQVESGSLEDDWDFLPPKKIKDPDAAKPEDWDDRAKIDDPTDSKPEDWDKPE
+HIPDPDAKKPEDWDEEMDGEWEPPVIQNPEYKGEWKPRQIDNPEYKGIWIHPEIDNPEYS
+PDSNIYAYENFAVLGLDLWQVKSGTIFDNFLITNDEAYAEEFGNETWGVTKAAEKQMKDK
+QDEEQRLHEEEEEKKGKEEEEADKDDDEDKDEDEEDEDEKEEEEEEDAAAGQAKDEL
+>sp|Q5BIP9|DUS18_BOVIN Dual specificity protein phosphatase 18 OS=Bos taurus OX=9913 GN=DUSP18 PE=2 SV=1
+MTASPCAFPVQFRQPSVSGLSQITSSLYISSGVAANNRLMLSSNRISTVINVSVEVVNAL
+YEDIHYVQVPVADTPTSRLCDFFDPIADHIHSVEMKQGRTLLHCAAGVSRSAALCLAYLM
+KYHAMSLLDAHTWTKSCRPIIRPNNGFWEQLIHYEFQLFGRNTVHMVSSPVGMIPDIYEK
+EVRQMIPL
+>sp|Q645M6|FADD_BOVIN FAS-associated death domain protein OS=Bos taurus OX=9913 GN=FADD PE=2 SV=1
+MDPFLVLLHSVSAGLSSSDLTQLKFLCQNHISKRKLELAQSGLDLFTVLLQQNELNAEHT
+ALLRELLCSLRRKDLLLRLDDFERGAAGGAAPEDRDLRAAMEIICDNVGKDWRRLARHLG
+VSDVKIEAIEEKYPRNLAEQVRELLRVWKNSTRENAAVSCLVGALRGCQLNVVADLIEED
+QRARALQSGSANPGSFTAWDSGSAAPGAS
+>sp|Q3ZBJ3|FXYD7_BOVIN FXYD domain-containing ion transport regulator 7 OS=Bos taurus OX=9913 GN=FXYD7 PE=3 SV=1
+MATQVPTKVPQDPDPFYYDYDTVQTVGMTLATILFLLGILIILSKKVKCRKADSRSESPT
+CKSCKSELPSSAPGGGGV
+>sp|Q3SZM7|DPEP1_BOVIN Dipeptidase 1 OS=Bos taurus OX=9913 GN=DPEP1 PE=2 SV=1
+MWTGWWLWPLVAVCTADQFRDNAVRLMQSTPVIDGHNDLPWQLLKRFNNQLQDPRANLTS
+LNGTHTNIPKLKAGFVGAQFWSAYTPCDTQNKDSVKRTLEQIDVIQRMCQLYPETFLCVT
+DSAGIQQAFQEGKVASLVGVEGGHSIDSSLGVLRALYHLGMRYLTLTHSCNTPWADNWLV
+DTGEDEAQSQGLSSFGQSVVKEMNRLGVIIDLAHVSVATMEAALQLSKAPVIFSHSSAYS
+VCRHRRNVPDHVLQLVKQTGSLVMVNFYNDYVSCKAEANLSQVADHLDYIKKVAGAGAVG
+FGGDYDGVSRLPSGLEDVSKYPDLVAELLRRQWTEEEVRGALAENLLRVFKAVEQASDHK
+QAPGEEPIPLGQLEASCRTNYGYSGAPSLHLQPGTLLASLVTLLLSLCLL
+>sp|Q24K09|DNMT1_BOVIN DNA (cytosine-5)-methyltransferase 1 OS=Bos taurus OX=9913 GN=DNMT1 PE=2 SV=1
+MPARTAPARVPALASRAFSLPDDVRRRLKDLERDSLTEKECVKEKLNLLHEFLRTEIKNQ
+LCDLETKLHKEELSEEGYLAKVKSLLNKDLSLENGAHAFSREANGCLENGSQTSGEDCRV
+VMAEKGKPPKPVSRLYTPRRSKSDGETKSEVSSSPRITRKTTRQTTITSHFPRGPAKRKP
+EEEPEKVKSDDSVDEEKDQEEKRRRVTSRERVAGLLPAEEPGRVRPGTHMEEEGRDDKEE
+KRLRSQTKEPTPKHKAKEEPDRDVRPGGAQAEMNEGEDKDEKRHRSQPKDLASKRRPEEK
+EPERVKPQVSDEKDEDEKEEKRRRTTYRELTEKKMTRTKIAVVSKTNPPKCTECLQYLDD
+PELRYEQHPPDAVEEIQILTNERLSIFDANESGFESYEDLPQHKLTCFSVYCKRGHLCPI
+DTGLIEKDVELLFSGSAKPIYEDDPSPEGGINGKNFGPINEWWIAGFDGGEKALLGFSTS
+FAEYILMDPSPEYAPLFSVMQEKIYISKIVVEFLQSNPDSTYEDLINKIETTVPPCMLNL
+NRFTEDSLLRHAQFVVEQVESYDRAGDSDEQPIFLSPCMRDLIKLAGVTLGKRRAERRQT
+IRQPAKEKDKGPTKATTTKLVYQIFDTFFAEQIEKDDKEDKENAFKRRRCGVCEICQQPE
+CGKCKACKDMVKFGGSGRSKQACQKRRCPNMAMKEADDDEEVDDNIPEMPSPKKMHQGKK
+KKQNKNRISWVGDAVKTDGKKSYYKKVCIDSETLEVGDCVSVIPDDSSKPLYLARVTALW
+EDSSNGQMFHAHWFCAGTDTVLGATSDPLELFLVDECEDMQLSYIHSKVQVIYKAPSENW
+AMEGGVDPEALMSEDDGKTYFYQLWYDQDYARFESPPKTQPTEDNKYKFCASCARLAEMR
+QKEIPRVVEQLQDLEGRVLYSLATKNGVQYRVGDGVYLPPEAFTFNIKLSSPVKRPRKEP
+VDEALYPEHYRKYSDYIKGSNLDAPEPYRIGRIKEIFCSKKSNGRPNETDIKIRVNKFYR
+PENTHKSTPASYHADINLLYWSDEEAVVDFKAVQGRCTVEYGEDLPQCLQDFSAGGPDRF
+YFLEAYNAKSKSFEDPPNHARSTGNKGKGKGKGKNRTKSQTCEPSELETEIKLPKLRTLD
+VFSGCGGLSEGFHQAGISETLWAIEMWDPAAQAFRLNNPGSTVFTEDCNVLLKLVMAGEV
+TNSRGQKLPQKGDVEMLCGGPPCQGFSGMNRFNSRTYSKFKNSLVVSFLSYCDYYRPRYF
+LLENVRNFVSFKRSMVLKLTLRCLVRMGYQCTFGVLQAGQYGVAQTRRRAIILAAAPGEP
+LPLFPEPLHVFAPRACQLSVVVDDKKFVSNITRLSSGPFRTITVRDTMSDLPEIRNGASA
+LEISYNGEPQSWFQRQLRGSQYQPILRDHICKDMSALVAARMRHIPLAPGSDWRDLPNIE
+VRLSDGTLARKLRYNYHDKKNGCSSSGALRGVCSCVEGKPCEPAARQFNTLIPWCLPHTG
+NRHNHWAGLYGRLEWDGFFSTTVTNPEPMGKQGRVLHPEQHRVVSVRECARSQGFPDTYR
+LFGNILDKHRQVGNAVPPPLAKAIGLEIKRCMLAKARESASAKIKEEAAKD
+>sp|Q08DI1|ETAA1_BOVIN Ewing's tumor-associated antigen 1 homolog OS=Bos taurus OX=9913 GN=ETAA1 PE=2 SV=1
+MSRRRKHGDSSGLKQTPRKAMATEEGSLVFESGKRRLRAARGSGPQGPGERPPRPLPQQE
+QPPVAASCRKSNTEERYETPKRMLQMDLLSSTFSSPNDPDGQNDIFWDQNSPMTKQLDKG
+RKKQLYTTDSDEISHIVNRIAPQDEKPTTDSMLGVWIGDTAIPCTPSVAKGKSRTKLSCT
+KLKSQNQEEELMKLAKQFDKNMEELDVIQEQDKRNHDLIQMISEAETSLNCRDNVQMQLL
+CDTVPEIDKALLKKPVKENTKISVVNDQTSSQKPFDQNAEAAFIAMFDGSTQKCSGQLSQ
+DLSDSFLKTSNTTFGKKNTLKEEKIITNESVVTENLPSKTLGSLSHQVDNPGMTKSYVTF
+CTKEPGTFNKHIDTFTTSDFEDDWENLLSNEPFVMQNIETSELFPAPKTIQIADQKEMCS
+FNSKEAKSKSGMNKSVDVKLRDSKILQGLPSNTWNNELTDAEKYRFSPKPNDKPNKLSTT
+GNKMKLEKSFNIVVNQDKIQDCAVASNLTKVNEDTHTKFNCKVNASEKKSALKPGCSNKS
+IFNQSLKVPANVKPFGSATLGSTTSVCNPDQTNGSKLGSFFDDWNDPSFTNEIVKACHQL
+ENSWEADDVDDDILYQACDDIERLTQEQNIRVDSKTSESVLEINNSSKHGAKNVFTTPKQ
+GSQFMQSKHLNLNSISAQTSSLANSLQINKSVKMERGEMYGNSPGFLGATTNLSIYSKNS
+DCQISNLHVSCNNPDVPKQVNSSKSVLTGSSSLNVGSHHMSTEIAASKNRLSTLHLSKST
+TRGKAQSDLNRAVRLSEYVFTKMKNCPMLSPFNNSSVTGSISDTKIAQGLEKNKTVNPLP
+GKAVQQQPLVKFSEPLKQPSKEEEEKNRKCSPEEIQRKRQAALIRRMAKAQASSVKKGR
+>sp|Q32LM4|FBX39_BOVIN F-box only protein 39 OS=Bos taurus OX=9913 GN=FBXO39 PE=2 SV=1
+MDEEDQLIQPQDQSCWATLPDVCLRRVFWWLGDRDRSRAALVCRKWNQMMYSADLWRYRT
+ITFSGRPSRVHASEFESALWYVKKFGRYLEHLEIKFLNPYNAVLTKKFQVTMRGLLSCLG
+KSNNRLKSLSIQHLELDRLVWRNSIRSSFMKSLSFFLKKMGKHLNYLSLKGARLTTEQGC
+HILNALSYLRNESTVTELNIEDCFSHHLAVYSSPQFNKTMATFRNLVSLTLNYNCISDEL
+LENLCDNNTGTLRTMNIKCHIHDPHGQVIWGMSWAKLARHATSLKVNFFFERVMKYERLA
+RILLQEIPIRSISLRSCYFSDPDWSMRPTLTDLLPTFRHTLQKLTFEFNNNHESLDEELH
+LLILSCRKLFYFKIWAFLDVKFVERILKSREEGQCALRTLKVRIYTNRYETNEEDRTLRE
+IYRKYRKLIDSELNYFVIAYPMM
+>sp|Q58CR3|EIF2D_BOVIN Eukaryotic translation initiation factor 2D OS=Bos taurus OX=9913 GN=EIF2D PE=2 SV=1
+MFAKAFRVKSNTAIKGSDRRKLRADVAAVFPTLGTDQVSELVPGKEELNIVKLYAHRGDA
+VTVYVSGGNPILFELEKNLYPTVYTLWSYPDLLPTFTTWPLVLEKLVGGADLMLPGLVVP
+PAGLPQVQKGDLCAVALVGNRAPVAVGVAAMSTAEMLASGLKGRGFCVLHSYQDHLWRSG
+DKSSPPSIAPLALNPPDLSEGKGCVKADTALQGAMRQLTLEEEVQQRCEEKSPSEATEDP
+GPGGLHVDPMDSKTLQEQMDELLQTCFLHALKCSVRKADLPLLTSTLLGSHMFSCCPEGR
+QLDIKKSSYKKLSKFLQHMQQEQIIQVQELSKGVESIVAVDWKHPRITSFVIPEPSPTSQ
+TIQEGSREQPYHPPDIKPLYCVPASMTLLFQESGHKKGSVLEGSEVRTFVINYAKKNDLV
+DADNKNLVKLDPILCDCILEKDEQHTVTKLPWDSLLGRCLEKLQPAYQVTFPGQEPIVKK
+GRICPIDITLAQKASNKKVTVVRNLEAYGLDPRSVAATLQQRCQASTTVTSAPGLKDSVQ
+VQIQGNQIHHLGRLLLEEYRLPRKHIQGLEKAPKPGKKK
+>sp|P07507|MGP_BOVIN Matrix Gla protein OS=Bos taurus OX=9913 GN=MGP PE=1 SV=1
+MKSLLLLSILAALAVAALCYESHESLESYEINPFINRRNANSFISPQQRWRAKAQERIRE
+LNKPQYELNREACDDFKLCERYAMVYGYNAAYDRYFRQRRGAK
+>sp|A6QLI5|MAGD4_BOVIN Melanoma-associated antigen D4 OS=Bos taurus OX=9913 GN=MAGED4 PE=2 SV=1
+MAEGSYRKESEGYNVEDMDEGSDEVGEEDMVEGNDYEEFGAFGGYGALTSFDIRILRAFG
+SLGPGFRILANEPWELENPVLARTLLEAFRMDPETLANETAARAANVARAAASNQAARAA
+ATAARATYNQVVTNHHPVATHQASGGDTQPMTSAAQAPAATPETSVASPHSSRMLVNSEM
+AAPGAPARSPQPQTSSQAQEAAAEGPSTACAFPQASRASEMDATRPKTAFLGQNDAFDFS
+QPAGVSGMAFPRPKRPAPAQEAATEGPSVASRGTQAASAGEGAATRPKTTKSGKALAKTR
+WVEPQNVVAAAAAKAKMATSIPEPESAAATSQQSAEPWARMGGKRTKKSKHLDDEYESGE
+EEREPPAVPPTWRASQPLLTTARPQVAPRPSMALRSQVPSRHVLCLPPRNVTLLQERANK
+LVKYLMIKDYKKIPIKRSDMLKDVIREYDEHFPEIIERATYTLEKKFGIHLKEIDKEEHL
+YILVCTRDSSARLLGKTKDTPRLSLLLVILGVIFMNGNRASEAVLWEALRKMGLRPGVRH
+PFLGDLRKLITEDFVKQKYLEYKKVPNSSPPEYEFLWGLRACHETSKMRVLRFIAQYQNR
+DPREWRAHFLEAVDDAFKTMDVDMAEEHARAQMRAQMNIGEEALIGRWSWDDIQVELLTW
+DEDGDFGDAWSRIPFAFWARYHQYILNSNRPNRRGTWRAGVSSGTNGAASASMLDGPSTS
+STIRTRNAARTSASFFSWIQ
+>sp|A6H712|K1C26_BOVIN Keratin, type I cytoskeletal 26 OS=Bos taurus OX=9913 GN=KRT26 PE=2 SV=1
+MSFRLSSGSRRLCSPAGSGQLTGGRTGFRAGNACGGLGAGSSFSGPLGSVSSRGSFSHGG
+GGLGSGVCTGFLENEHGLLPGNEKVTLQNLNDRLASYLDHVCTLEEANADLEQKIKGWYE
+KYGPGSGRQLAYDCSKYFSVTEDLKRQIISVTTCNASIALQNENARLTADDFRLKYENEL
+ALNQSVEADINGLHRVMEELTLCTSDLEIQCEALSEELTCLKKNHQEEMKVMQGAAGGNV
+NVEINAAPGVDLTVLLNNMRAEYEDLAEQNREDAEAWFNEKSTSLHQQISDDAGAATAAR
+NELMELKRNLQTLEIELQSLMAMKHSYECSLAETESNYCHQLQQIQEQIGATEDQLQQIR
+METEGQKLEHERLLDVKIFLEKEIEMYCKLIDGEGRKSKSTYCKSEGRGPKNSENQVKDS
+KEEAVVKTVVGELDQLGSVLSLRVHSVEEKSSKISNITMEQRLPSKVPQ
+>sp|Q76LU5|IL21_BOVIN Interleukin-21 OS=Bos taurus OX=9913 GN=IL21 PE=1 SV=3
+MRWPGNMERIVICLMVIFSGTVAHKSSSQGQDRLFIRLRQLIDIVDQLKNYVNDLDPEFL
+PAPEDVKRHCERSAFSCFQKVQLKSANNGDNEKIINILTKQLKRKLPATNTGRRQKHEVT
+CPSCDSYEKKPPKEYLERLKSLIQKMIHQHLS
+>sp|Q0VD18|LHPP_BOVIN Phospholysine phosphohistidine inorganic pyrophosphate phosphatase OS=Bos taurus OX=9913 GN=LHPP PE=1 SV=1
+MAAWGERLAGVRGVLLDISGVLYDGGEGGGAAIAGSVEAVARLKRSRLKVRFCTNESQKS
+RADLVGLLRRLGFDVSEGEVTAPAPAACLILKQRGLRPHLLVHDGVRSEFDQIDTSNPNC
+VVIADAGEGFSYQNMNKAFQVLMELENPVLFSLGKGRYYKETSGLMLDVGPYMKALEYAC
+GIEAEVVGKPSPEFFKSALQEMGVEAHEAIMIGDDIVGDVGGAQRYGMRALQVRTGKFRP
+SDEHHPEVKADGYVDNLAEAVDLLLQHADK
+>sp|P27674|GTR1_BOVIN Solute carrier family 2, facilitated glucose transporter member 1 OS=Bos taurus OX=9913 GN=SLC2A1 PE=1 SV=1
+MEPTSKKLTGRLMLAVGGAVLGSLQFGYNTGVINAPQKVIEEFYNQTWVQRYGEPIPPAT
+LTTLWSLSVAIFSVGGMIGSFSVGLFVNRFGRRNSMLMMNLLAFVSAVLMGFSKLGKSFE
+MLILGRFIIGVYCGLTTGFVPMYVGEVSPTELRGALGTLHQLGIVVGILIAQVFGLDSIM
+GNQELWPLLLSVIFIPALLQCILLPFCPESPRFLLINRNEENRAKSVLKKLRGTADVTRD
+LQEMKEESRQMMREKKVTILELFRSAAYRQPILIAVVLQLSQQLSGINAVFYYSTSIFEK
+AGVQQPVYATIGSGIVNTAFTVVSLFVVERAGRRTLHLIGLAGMAGCAVLMTIALALLER
+LPWMSYLSIVAIFGFVAFFEVGPGPIPWFIVAELFSQGPRPAAIAVAGFSNWTSNFIVGM
+CFQYVEQLCGPYVFIIFTVLLVLFFIFTYFKVPETKGRTFDEIASGFRQGGASQSDKTPE
+ELFHPLGADSQV
+>sp|Q28065|C4BPA_BOVIN C4b-binding protein alpha chain OS=Bos taurus OX=9913 GN=C4BPA PE=2 SV=1
+MKHQRVPVMILHSKGTMASWPFSRLWSISDPILFQVTLVATLLATVLGSCGIPPYLDFAF
+PINELNETRFETGTTLRYTCRPGYRISSRKNFLICDGTDNWKYKEFCVKKRCENPGELLN
+GQVIVKTDYSFGSEIEFSCSEGYVLIGSANSYCQLQDKGVVWSDPLPQCIIAKCEPPPTI
+SNGRHNGGDEDFYTYGSSVTYSCDRDFSMLGKASISCRVENKTIGVWSPSPPSCKKVICV
+QPVVKDGKITSGFGPIYTYQQSIVYACNKGFRLEGDSLIHCEADNSWNPPPPTCELNGCL
+GLPHIPHALWERYDHQTQTEQQVYDIGFVLSYKCHFGYKPETDGPTTVTCQSNLEWSPYI
+ECKEVCCPEPNLNNYGSITLHRRPSTSTHCTYISGDKISYECHSKYMFDALCTKHGTWSP
+RTPECRPDCKSPPVIAHGQHKVVSKFFTFDHQAVYECDKGYILVGAKELSCTSSGWSPAV
+PQCKALCLKPEIEYGRLSVEKVRYVEPEIITIQCESGYSVVGSENITCSEDRTWYPEVPK
+CEWEYPEGCEQVVTGRKLLQCLSRPEEVKLALEVYKLSLEIEILQTNKLKKEAFLLRERE
+KNVTCDFNPE
+>sp|P68138|ACTS_BOVIN Actin, alpha skeletal muscle OS=Bos taurus OX=9913 GN=ACTA1 PE=1 SV=1
+MCDEDETTALVCDNGSGLVKAGFAGDDAPRAVFPSIVGRPRHQGVMVGMGQKDSYVGDEA
+QSKRGILTLKYPIEHGIITNWDDMEKIWHHTFYNELRVAPEEHPTLLTEAPLNPKANREK
+MTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVTHNVPIYEGYALPHAIMRL
+DLAGRDLTDYLMKILTERGYSFVTTAEREIVRDIKEKLCYVALDFENEMATAASSSSLEK
+SYELPDGQVITIGNERFRCPETLFQPSFIGMESAGIHETTYNSIMKCDIDIRKDLYANNV
+MSGGTTMYPGIADRMQKEITALAPSTMKIKIIAPPERKYSVWIGGSILASLSTFQQMWIT
+KQEYDEAGPSIVHRKCF
+>sp|Q29RI6|CAB39_BOVIN Calcium-binding protein 39 OS=Bos taurus OX=9913 GN=CAB39 PE=2 SV=1
+MPFPFGKSHKSPADIVKNLKESMAVLEKQDISDKKAEKATEEVSKNLVAMKEILYGTNEK
+EPQTEAVAQLAQELYNSGLLSTLVADLQLIDFEGKKDVAQIFNNILRRQIGTRTPTVEYI
+CTQQNILFMLLKGYESPEIALNCGIMLRECIRHEPLAKIILWSEQFYDFFRYVEMSTFDI
+ASDAFATFKDLLTRHKLLSAEFLEQHYDRFFSEYEKLLHSENYVTKRQSLKLLGELLLDR
+HNFTIMTKYISKPENLKLMMNLLRDKSRNIQFEAFHVFKVFVANPNKTQPILDILLKNQA
+KLIEFLSKFQNDRTEDEQFNDEKTYLVKQIRDLKRPAQQEA
+>sp|P79136|CAPZB_BOVIN F-actin-capping protein subunit beta OS=Bos taurus OX=9913 GN=CAPZB PE=1 SV=1
+MHPCRRSLPFPLNCQLVKVGTADYGGASDQSDQQLDCALDLMRRLPPQQIEKNLSDLIDL
+VPSLCEDLLSSVDQPLKIARDKVVGKDYLLCDYNRDGDSYRSPWSNKYDPPLEDGAMPSA
+RLRKLEVEANNAFDQYRDLYFEGGVSSVYLWDLDHGFAGVILIKKAGDGSKKIKGCWDSI
+HVVEVQEKSSGRTAHYKLTSTVMLWLQTNKSGSGTMNLGGSLTRQMEKDETVSDCSPHIA
+NIGRLVEDMENKIRSTLNEIYFGKTKDIVNGLRSVQTFADKSKQEALKNDLVEALKRKQQ
+C
+>sp|Q0VBZ0|CSK_BOVIN Tyrosine-protein kinase CSK OS=Bos taurus OX=9913 GN=CSK PE=2 SV=1
+MSAIQAAWPSGTECIAKYNFHGTAEQDLPFCKGDVLTIVAVTKDPNWYKAKNKVGREGII
+PANYVQKREGVKAGTKLSLMPWFHGKITREQAERLLCPPETGLFLVRESTNYPGDYTLCV
+SCDGKVEHYRIMYHASKLSIDEEVYFENLMQLVEHYTSDADGLCTRLIKPKVMEGTVAAQ
+DEFFRSGWALNMKDLKLLQTIGKGEFGDVMLGDYRGNKVAVKCIKNDATAQAFLAEASVM
+TQLRHSNLVQLLGVIVEEKSGLYIVTEYMAKGSLVDYLRSRGRSVLGGDCLLKFSLDVCE
+AMEYLEGNNFVHRDLAARNVLVSEDNVAKVSDFGLTKEASSTQDTGKLPVKWTAPEALRE
+KKFSTKSDVWSFGILLWEIYSFGRVPYPRIPLKDVVPRVEKGYKMDAPDGCPPAVYEVMK
+NCWHLDAATRPSFLQLREQLERIKTHELHL
+>sp|A8NIX5|CB081_BOVIN Uncharacterized protein C2orf81 homolog OS=Bos taurus OX=9913 PE=2 SV=2
+MAHEGPRQVRDRGMTRSKAEKVRPPTVPVPQVDIVPGRLTEAEWIAFTALEEGEDVVGDI
+LADLVARVIDSAFKVYLTQQCIPFTISQAREAMLQITEWRFLARDEGESAVAEDPTWGED
+EEPLACTTDAWAQGSVPVLHARASMGLEETFQGEDQDSVDQIPLGGSWKDSGSQEPMESW
+ELTVTPDSPPTPELLQETGPRSPLEKLGDQSRSDQTDLFAVGSSNSSSQLSMEMVPAGST
+HASLELSLVASPQASVERAQPISSQFSLEDLYNCTPQPHAAGDRLELREEKVPLIPSRVL
+VSDPSAGGPTTLNPSAGFQPQPPWLAEERPSALHSRIGRMGTTARLDPARLPRPDMARSP
+SPKLWPGAKWPSGWEGEAELLGELWAGRTRVPPQGLDLGDRESQDPHQYRHPVPQVLEAT
+SQVTWKPVLLPGALKLAPGVSMWNPSTQVLLSSSEPQRNDREGSASPPIHTGAPKPQVTV
+AQLMNSALKMWSLPSKRLPNSKP
+>sp|P02666|CASB_BOVIN Beta-casein OS=Bos taurus OX=9913 GN=CSN2 PE=1 SV=2
+MKVLILACLVALALARELEELNVPGEIVESLSSSEESITRINKKIEKFQSEEQQQTEDEL
+QDKIHPFAQTQSLVYPFPGPIPNSLPQNIPPLTQTPVVVPPFLQPEVMGVSKVKEAMAPK
+HKEMPFPKYPVEPFTESQSLTLTDVENLHLPLPLLQSWMHQPHQPLPPTVMFPPQSVLSL
+SQSKVLPVPQKAVPYPQRDMPIQAFLLYQEPVLGPVRGPFPIIV
+>sp|Q3SWY1|ARI5A_BOVIN AT-rich interactive domain-containing protein 5A OS=Bos taurus OX=9913 GN=ARID5A PE=2 SV=1
+MAPPVKGKRKQSEEGEPLDPPVSPQPDGEPRSRSPVRLEEPPEAGREREEEQEEEQAFLV
+SLYKFMKERHTPIERVPHLGFKQINLWKIYKAVEKLGAYELVTGRRLWKNVYDELGGSPG
+STSAATCTRRHYERLVLPYVRHLKGEDDKPLPPSKPRKQYKMAKEPRGDDGATERPKKVK
+EEKRVDQLMPAKTKTDAPDPARLPSQETPRDGMEQRGPAAGPSLPFLGASGCPEAYKRLL
+SSFYCRGTHGIMSPLAKKKLLAQVSKAEALQCQEEGCRHGAGGEPQAPPAAPPLESPQSP
+GGPAEDSRHRLTPLEGRQAPGGGLWGETQAGPRPSAPVVTGCFHAYPSEVLKPISQRPRD
+LFPSLKDRVLLGPPAKEEGLPAKEPPLVWGGDAGRPSAFHKGSSRKGSLYPKPKACWVSP
+MTKVPAESPVPLPTFPSSPGLGHKRSLAEDSSVHGSKKLRAVSPFLKEANAQECGTKPRG
+PDLAVSCLLGPALPEAYRGTLLRCPLNFAGTLGPLKGQATLPFSPLVIPAFPAHLLATTA
+PSPMTAGLMHLPPASFDSALCHRLCPASSPWHVPPATAYTAPHFSFHLNTKL
+>sp|Q24K15|ANGP4_BOVIN Angiopoietin-4 OS=Bos taurus OX=9913 GN=ANGPT4 PE=2 SV=1
+MPSPPAMLLGGLLLIVASTTVAQRRGQEAAGRRRAHRVQHGQCSYTFVLPEPEPCPPEPE
+AFGGSNSLQRDSPAATLNLGDWPSQRMRQLEKMLENNTQWLQKLERYIQVNLRLELAQAQ
+QHMVQNQTATMLELGTSLLTQTTAQTRKLTDVEAQVLNQTSRMEIQLLETSLSTNKLEKQ
+LLLQGHELHRLQGHNSALETRVQALETQQQAELASLSGEKERLRRLLGRQSGALAGLERT
+LRAASSNSSLLQRQQHQLLESVQRLVRVMAQGPASMRAADQLFQDCAEIQRFGANASGIY
+TIHVANVTEPRKVFCDMEASGGGWTLIQRRENGSVNFQRNWKDYKQGFGNPAGEHWLGNE
+VVHQLTSRATYSLRVELQDWEGNEAYAQYEHFQLGSEAQLYRLSLSGYSGSAGRQSSLVL
+QGTNFSTRDADNDNCLCKCAQMLSGGWWFDACGLSNLNGIYYPARHHVRKLNGIRWHYFQ
+GPSYSLRTTRMMVRPSGI
+>sp|A9QWQ1|CXL11_BOVIN C-X-C motif chemokine 11 OS=Bos taurus OX=9913 GN=CXCL11 PE=3 SV=1
+MSVKGMAIVLTVILCAAIVQGFPMFKGGRCLCIGPGVKAVKVADIEKVSIIYPTNNCDKT
+EVIITLKTHKGQRCLNPKAKQAKAIIKKVQRKNSEKYKNI
+>sp|Q2KHZ9|GCDH_BOVIN Glutaryl-CoA dehydrogenase, mitochondrial OS=Bos taurus OX=9913 GN=GCDH PE=2 SV=1
+MALRGVYAQLLNRGPGLRVFRSWSSATAQTEKGEKTQSRSAKPSRPEFDWRDPLLLEEQL
+TADEILIRDTFRTYCQERLMPRILLANRNEVFHREIISEMGELGMLGPTIQGYSCAGVSS
+VAYGLLARELERVDSGYRSAMSVQSSLVMYPIYAYGSEEQKQKYLPRLAKGELLGCFGLT
+EPNHGSDPSGMETRARHNPSSRSYILSGSKTWITNSPVADLLIVWARCEDSCIRGFLLEK
+GMRGLSTPRIEGKFSLRASSTGMIIMDDVEVPEENVLPGVSGLAGPFGCLNNARYGITWG
+VLGAAEFCLHTARQYTLDRIQFGVPLAKNQLIQKKLADMLTEITLGLHACLQLGRLKDQD
+KAAPEMVSLLKRNNCGKALDIARQARDMLGGNGISDEYHVIRHVMNLESVNTYEGTHDIH
+ALILGRAITGIQAFVAGK
+>sp|Q32KY1|DRC1_BOVIN Dynein regulatory complex protein 1 OS=Bos taurus OX=9913 GN=DRC1 PE=2 SV=1
+MNPPGSLGVLEEKEEEHLAPPILGPSIHSDNPQERIQARRLRIAARLEARRREALGEYLD
+GKKESEEDQSKSYKQKEESRLKLAKLLLCGTELVTNIQVAADIREIHRRVEEEEIKRQRL
+EKLENEVKTSQDKFDEITVKWEEGKQRRIPQELWEMLNAQQVHCAGLIEDKNKLISELQQ
+ELKMKDDQYVKDLKKQSDDICLLLERMEEQVKNVMKTFRQELQNIEKAFEVERQELLTSN
+KKKWERALQAHNAKELEYLMNRIKKVEDYEKQLNKQRIWDCEEYNTIKIKLEQDVQILEQ
+QLQQMKATYQLNQEKLEYNFQVLKKRDEESTVIKSQQKRKINRLHDVLNNLRSKYNKQVK
+QFQEENQSLTSDYKRLVLQFKELQKAMRHFALIDDKRFREIWLMNEEEAKDLINRAFDVD
+RIISTHHLGLPWMAPDFWFLKNVGPISQQQQKSATQILEEVLMEAEKEGADEDSSESETY
+LDLPKQVSARTTRKILMLLCDESGFLIESKLLSLLLPLEKNECYLLRLDAVFSALGIENE
+DDLYKLVNFFLKYQTHHSPSSQEPLDLRAEKERSLVDGKSQEKEPPPSPKLIHPNDVLKI
+LEAFVMSLRKPRDFWVPVKLLKAVRDDSKDSEYWEALTTVIPATTLNLWDALYTALEKYH
+LVLTQRAELLIENSSLERQNTELQQLLQQYLDTKINSELQVPPTQVFRVPTK
+>sp|Q2HJ89|FKB10_BOVIN Peptidyl-prolyl cis-trans isomerase FKBP10 OS=Bos taurus OX=9913 GN=FKBP10 PE=2 SV=1
+MLRAGPPSHTLLRLPLLQLLLLLLVQAVGRGLGRASPAGGPLEDVVIERYHIPRVCPREV
+QMGDFVRYHYNGTFEDGKKFDSSYDRHTLVAIVVGVGRLITGMDRGLMGMCVNERRRLIV
+PPHLGYGSIGVAGLIPPDATLYFDVVLLDVWNKEDTVQVSTLLRPPHCPRMVQDSDFVRY
+HYNGTLLDGTAFDTSYSKGGTYDTYVGSGWLIKGMDQGLLGMCPGERRKIVIPPFLAYGE
+KGYGTVIPSQASLVFHVLLIDVHNPKDTVQLETLELPPGCVRRAVAGDFMRYHYNGSLMD
+GTLFDSSYSRNHTYNTYVGQGYIIPGMDQGLQGSCMGERRRITIPPHLAYGENGTGDKIP
+GSAVLIFDVHVIDFHNPADPVEIKTLSRPLETCNETAKLGDFVHYHYNCSLLDGTRLFSS
+HDYGAPQEATLGAHKVIEGLDTGLQGMCVGERRQLVVPPHLAHGESGARGVPGSAVLLFE
+VELVSREDGLPTGYLFVWHEDPPAHLFEHMDLNKDGEVPVEEFSTFIKAQVSEGKGRLLP
+GQDPEKTIGDMFQNQDRNQDGKITAEELKLKSDEDQDRVHEEL
+>sp|A6H7I7|F110D_BOVIN Protein FAM110D OS=Bos taurus OX=9913 GN=FAM110D PE=2 SV=1
+MLLASPSTPSRGRTPSAVERLEADKAKYVKTHQVIARRQEPALRGGPGPLTPHTCNELGP
+PPSPRTPRPARRGSGRRLPRPDSLIFYRQKRDCKASVNKENAKGQGLVRRLFLGSPRDVA
+SSSAGSSERPAAPGGWTAPQDAPEAAGKRALCPTCSLPLSEKERFFNYCGLERALVEVLG
+AERFSPQSWGADASPQPGTSPPPGSGDASDWTSSEGGADRRDGAEGGGSAAAGSERDGRP
+QVSVVERNARVIQWLYGCQRARGPPRESEV
+>sp|E1BMP7|DNA2_BOVIN DNA replication ATP-dependent helicase/nuclease DNA2 OS=Bos taurus OX=9913 GN=DNA2 PE=3 SV=3
+MERVDELELLMEKSFWQEAEPSAELFQKKKVEASFSKIVLSRGMDNRYLVLAVDIVQSEE
+GNHEKHLIITASQSLEYKELCILRNDWCSVPVEPGDIIHLEGDCISNTWIIDEDFGYLIL
+YPDMLISGTSIASSIRCMRRAVLSETFRSSDPATRQMLIGTVLHEVFQKAVSDSFAPEKL
+QELASQTIQEIRHLKEMYRLKLNQDEIKQEVEEYLPSFSKWAGDFMHKHTSTDFPQMQLS
+LPSDGSNSNSTCNIEVTNSLDIEESIWSPRFGLKGKIDVTVGVKIHRGCKTKYKIMPLEL
+KTGKESNSIEHRSQLVLYTLLSQERRADPEAGLLLYLKTGQMYPVPAKHLDKRELLRLRN
+QMAFSLFHRINKSTGEKTELAPLPQIIEEQQTCKYCSQMGNCALYSRAVEQQMEDSSVPT
+SMWPKIKEETQHLKPIHLEYFSLWCLMLTLESQSKDNKRNYQHIWLMPASEMEESGSCIG
+SLIRIEHVKTVCDGQYLHNFQRKNGAIPITNLMAGDRIILSGEERTLFALSRGYVKEINS
+TTVTCSLDRNLSGLPESTLFRLDQEEKNCDIDTPLGNLSKLMENTRASQKLRDLIIDFRE
+PQFISYLSSVLPHEAKDTVACILKGLNKPQRQAMKKVLLSKDYTLIVGMPGTGKTTTICT
+LVRILYACGFSVLLTSYTHSAVDNILLKLAKFKIGFLRLGQIQKVHPDIQKFTEEEICRS
+KSIKSLALLEELYNSQLIVATTCMGINHPIFSRKTFDFCIVDEASQISQPVCLGPLFFSR
+RFVLVGDHQQLPPLVLNREARALGMSESLFKRLEQNKNAVVQLTVQYRMNSKIMSLSNKL
+TYEGKLECGSDKVANAVINLPNFKDVKLELEFYADYSENPWLIAAFEPNNPVCFLNTHKV
+PAPEQVEKGGVSNIMEAKLVVFLTSVFIKAGCKPSDIGIIAPYRQQLKVISDLLAQSSVG
+MVEVNTVDRYQGRDKSIVVVSFVRSNEDGTLGELLKDWRRLNVAITRAKHKLILLGCVPS
+LSRYPPLRKLLNHLNSEKLIIDLPSGEHESLFHLLGDFQRK
+>sp|Q1LZB9|FSTL3_BOVIN Follistatin-related protein 3 OS=Bos taurus OX=9913 GN=FSTL3 PE=2 SV=1
+MRPRAPGPLWPLPWGALAWAVGFVGSLGSGDPAPGGVCWLQQGREATCSLVLKTDVSQAE
+CCASGNIDTAWSNFTHPGNKISLLGFLGLVHCLPCKDSCEGVECGPGKACRMLGGRPRCE
+CAPDCTGLPARLQVCGSDGATYRDECELRAARCRGHPDLRVMYRGRCRKSCAHVVCLRPQ
+SCVVDQTGSAHCVVCRAAPCPAPSSPGQELCGNNNVTYLSSCHLRQATCFLGRSIGVRHP
+GSCAGTPEPLDPESEEEENFV
+>sp|Q28034|GLU2B_BOVIN Glucosidase 2 subunit beta OS=Bos taurus OX=9913 GN=PRKCSH PE=2 SV=1
+MLLLLLLLPMCWAVEVRRPRGVSLTNHHFYDESKPFTCLDGSASIPFDQVNDDYCDCKDG
+SDEPGTAACPNGSFHCTNTGYKALYISSRWVNDGVCDCCDGTDEYNSGIVCENTCKEKGR
+KERETLQQMAEVTREGFRLKKILIEDWKKAREEKQKKLIELQAGKKSLEDQVEVLRTLKE
+EAEKPEEAAKDQHRRLWEEQQAISKEQRERELAASAFQELDDDMDGAVSVAELQTHPELD
+TDGDGALSEGEAQTLLGGDAQMDAAFFYDRVWAAIRDKYRSEVLPTEYPPSPPAPDVMEP
+KEEQPPMPSPPTEEEDEDEEDEETEEDEDEEDEDSQGEQPKDAPPPAPAPQTASPTEEDR
+MPPYDEQTQAFINAAQEARNKFEEAERSLKDMEESIRNLEQEISFDFGPNGEFAYLYSQC
+YELTTNEYVYRLCPFKLVSQKPKLGGSPTSLGTWGSWAGPDHDKFSAMKYEQGTGCWQGP
+NRSTTVRLLCGKETVVTSTTEPSRCEYLMELMTPAACPEPPPEYPVEGDHDEL
+>sp|Q0VBY8|DDB2_BOVIN DNA damage-binding protein 2 OS=Bos taurus OX=9913 GN=DDB2 PE=2 SV=1
+MAPRKRPENQKTPEVVVRPKSKRNRSPRELEPEAKKLCVKGPGSSRRFDSGLWAGLASLR
+VPPLCSSIVRALHQHKLGTAAWPSLQQGLQQSFLNSLASYRIFQKAAPFDRRATSLAWHP
+THPSTLAVGSKGGDILLWNFGIKDKPTFIKGIGAGGSITGMKFNPLNTNQFFTSSMEGTT
+RLQDFKGNTLRVFASSDTCNVWFCSLDVSVKSRVVVTGDNVGHVILLNMDGRELWNLRMH
+KKKVTHVALNPCCDWLLATASVDQTVKIWDLRQVRGKSSFLHSLPHRHPVNAAHFSPDGA
+QLLTTDQKSEIRVYSACQWDCPPSLIPHPHRHFQHLTPIKASWHPRYNLIVVGRYPDPNF
+KSCSPHELRTIDVFDGSSGKIMYQLYDPESSGIMSLNEFNPMGDTLASVMGYHILVWSPE
+DAGTQK
+>sp|Q2KJ22|MINY1_BOVIN Ubiquitin carboxyl-terminal hydrolase MINDY-1 OS=Bos taurus OX=9913 GN=MINDY1 PE=2 SV=1
+MEHHQPEHPAPGETRTAEAVSPENHKVLSEPKEHPQDKDAKEADGAAGEQEPVDQASLPA
+QGQDNFESPPPDASSSQPGPARETRPETETAGACSRLQELPQSPRARQPELDFYCVKWIP
+WKGEQTPIITQSANGPCPLIAIANILFLQWKVKLPPQKEVITSDELMAHLGDCLLSIKPQ
+EKSEGLQLNFQQNVDDAMTVLPKLATGLDVNVRFTGVSDFEYTPECSVFDLLGIPLYHGW
+LVDPQSPEAVSAVGKLSYNQLVEKIITCKHSSDTNLVTEGLIAEQFLETTAAQLTYHGLC
+ELTAAAKEGELSVFFRNNHFSTMTKHKGHLYLLVTDQGFLQEEQVVWESLHNVDGDSCFC
+DSDFHLSHSPGKGPGTGGGSGSPEKQRQVDQDYLIALSLQQQQPPPQGTSGLSDLELAQQ
+LQQEEYQQHQAAQAAPARAPSPQGRGAASGRPAAERRQRPKQESDCVLL
+>sp|P80513|MANF_BOVIN Mesencephalic astrocyte-derived neurotrophic factor OS=Bos taurus OX=9913 GN=MANF PE=1 SV=2
+MWATHGLAVALALSVLPASRALRQGDCEVCISYLGRFYQDLKDRDVTFSPASIEKELIKF
+CREARGKENRLCYYIGATEDAATKIINEVSKPLSHHIPVEKICEKLKKKDSQICELKYDK
+QIDLSTVDLKKLRVKELKKILDDWGETCKGCAEKSDYIRKINELMPKYAPKAASSRTDL
+>sp|Q2TBP8|MET17_BOVIN Methyltransferase-like protein 17, mitochondrial OS=Bos taurus OX=9913 GN=METTL17 PE=2 SV=1
+MATGRGSRYLLTIGRWRRSFGIAPQFRALAALVPGVSHVDNKSDFLGKRPHRRHPGILQL
+SCVRLPQALAEAGQLLLLGSAMPNMEKQVQALTNYLWSRHLPVEPEELQRRAVLLEKKIL
+ENADSCQTEKHHEEILHALRRTTYHWQELSYSEGLSLVYMAARLDGGFAAVSRAFHEIQA
+RLPEFQPQTLMDFGSGTGSVTWAAHSTWGQSLREYMCVDSSAAMLELAEKLLKGGSGSGM
+PCVPGVFFRQFLPVSPKVQFDVVVAAFSLSELPSKADRTDVVQTLWRKTGHFLVLIENGT
+KAGHSLLMDARDLVLNGKEKSPLDPRPGFVFAPCPHELPCPQLTASKPLACSFSQAYYPI
+PFSWNKKPKEEKFSLVILARGSPEKANRWPRITQPVLKRPRHVHCHLCCPDGHMQHAVIT
+ARRHGRDLYRCARVSSWGDLLPVITPLELPPSAQDAQDAPES
+>sp|Q5E9I7|MEP50_BOVIN Methylosome protein 50 OS=Bos taurus OX=9913 GN=WDR77 PE=2 SV=1
+MRKETPPPLVPPAAREWNLPPNAPACMERQLEAARYRSDGALLLGASSLSGRCWAGSLWL
+FKDPCAAPNEGFCSAGVQTEAGVADLTWVGDRGILVASDSGAVELWELDENETLIVSKFC
+KYEHDDIVSTVSVLSSGTHAVSGSKDFCIKVWDLAQQMVLNSYRAHSGQVTCVAASPHKD
+SVFLSCGEDNRILLWDTRCPKPASQMGCSASGYLPTSLTWHPQQSEVFVFGDENGTVSLV
+DTKSAGCAVSSVVHSQCVTGLVFSPHSAPFLASVSEDCSLAVLDSGLSEVFRSRAHRDFV
+RDATWSPLNHSLLTTVGWDHQVIHHILPTEPLPEPGPKSAAE
+>sp|P55252|HPLN1_BOVIN Hyaluronan and proteoglycan link protein 1 OS=Bos taurus OX=9913 GN=HAPLN1 PE=2 SV=1
+MKSLLLLVLISFCWADHHSDNYTVDHDRVIHIQAENGPRLLVEAEQAKVFSRRGGNVTLP
+CKFYRDPTAFGSGTHKIRIKWTKLTSDYLKEVDVFVSMGYHKKTYGGYHGRVFLKGGSDN
+DASLVITDLTLEDYGRYKCEVIEGLEDDTAVVALDLQGVVFPYFPRLGRYNLNFHEAQQA
+CLDQDAVIASFDQLYDAWRSGLDWCNAGWLSDGSVQYPITKPREPCGGQNTVPGVRNYGF
+WDKDKSRYDVFCFTSNFNGRFYYLIHPTKLTYDEAVQACLNDGAQIAKVGQIFAAWKLLG
+YDRCDAGWLADGSVRYPISRPRRRCSPSEAAVRFVGFPDKKHKLYGVYCFRAYN
+>sp|Q95118|IL2RG_BOVIN Cytokine receptor common subunit gamma OS=Bos taurus OX=9913 GN=IL2RG PE=2 SV=1
+MLKPPLPLRSLLFLQLPLLGVGLNPKFLTPSGNEDIGGKPGTGGDFFLTSTPAGTLDVST
+LPLPKVQCFVFNVEYMNCTWNSSSEPQPNNLTLHYGYRNFNGDDKLQECGHYLFSEGITS
+GCWFGKKEIRLYETFVVQLQDPREHRKQPKQMLKLQDLVIPWAPENLTLRNLSEFQLELS
+WSNRYLDHCLEHLVQYRSDRDRSWTEQSVDHRHSFSLPSVDAQKLYTFRVRSRYNPLCGS
+AQHWSDWSYPIHWGSNTSKENIENPENPSLFALEAVLIPLGSMGLIVSLICVYCWLERTM
+PRIPTLKNLEDLVTEYQGNFSAWSGVSKGLAESLQPDYSERLCHVSEIPPKGGEGPGGSP
+CSQHSPYWAPPCYTLKPEP
+>sp|Q5E9Z2|HABP2_BOVIN Hyaluronan-binding protein 2 OS=Bos taurus OX=9913 GN=HABP2 PE=2 SV=1
+MFARMSDLHVLLLMVLAGKTAFGLSLLSFLTEPDPDWTPDQYEYSQEYNNQEENASSTTA
+YSDNPDWYYEEDDPCLSNPCTHGGDCLVSGATFTCRCPDPFSGNRCQNVQNKCKNNPCGR
+GDCLITQSPPYHRCACKHPYRGSDCSRVVPVCRPNPCQNGGTCSRQRRRSKFTCACPDQF
+KGKLCEIGPDDCYVDDGYSYRGRVSKTIHQHTCLYWNSHLLLQEKYNMFMEDAEAHGIGE
+HNFCRNPDGDKKPWCFIKVNNVKVKWEYCDVPACSALDVANPEGRPTEPLTKFPEFGSCG
+RTEIAEKKVKRIFGGFKSTAGKHPWQASLQTSLRLTVSTPQGHYCGGALIHPCWVLTAAH
+CTEIKTKYLKVVLGDQDLTKTEFHEQSFGVQKIFKYSHYIEIDDIPYNDIALLKLKPVDG
+HCALESKYVKTVCLPDGPFLSGTECYISGWGVTETGEGSRHLLDAKVKLISNTICNSRQL
+YDHSIDDNMICAGNLQKPGQDSCQGDSGGPLTCEKDGTSYIYGIVSWGLECGKRPGVYTQ
+VTKFLTWIKATMEKEASF
+>sp|Q3SZX8|CCD25_BOVIN Coiled-coil domain-containing protein 25 OS=Bos taurus OX=9913 GN=CCDC25 PE=2 SV=1
+MVFYFTSSSVNSSAYTIYMGKDKYENEDLIKYGWPEDIWFHVDKLSSAHVYLRLHKGEKI
+EDIPKEVLMDCAHLVKANSIQGCKMNNVNVVYTPWSNLKKTADMDVGQIGFHRQKDVKIV
+TVEKKVNEILNRLEKTKMERFPDLEAEKECRDHEERNEKKAQIQEMKRREKEEMKKKREM
+DELRSYSSLMKVENMSSNQDGNDSDEFM
+>sp|Q5EA15|BHE40_BOVIN Class E basic helix-loop-helix protein 40 OS=Bos taurus OX=9913 GN=BHLHE40 PE=2 SV=1
+MERIPSAQPPPTCLPKAPGLEPGDLSGMDFAHMYQVYKSRRGIKRSEDSKETYKLPHRLI
+EKKRRDRINECIAQLKDLLPEHLKLTTLGHLEKAVVLELTLKHVKALTNLIDQQQQKIIA
+LQSGLQAGDLSGRNVEAGQEMFCSGFQTCAREVLQYLAKHENTRDLKSSQLVTHLHRVVS
+ELLQGGTSRKPSDPAPKAMDFKEKPSSLAKGSEGPGKNCVPVIQRTFAHSSGEQSGSDTD
+TDSGYGGESEKSELRVEQPYFKSDHGRRFTMGERISAIKQESEEPPMKKSRMQLSDDEGP
+FTSTDLISSPFLGPHPHQPPFCLPFYLIPPSATAYLPMLEKCWYPTSVPVLYQGLNASAA
+ALSSFMNPDKISAPLLMPQRLPSPLPTHPAIDSSALLQALKQIPPLNLETKD
+>sp|Q5I597|BHMT1_BOVIN Betaine--homocysteine S-methyltransferase 1 OS=Bos taurus OX=9913 GN=BHMT PE=2 SV=1
+MAPAGGKNVKKGILERLNSGEVIIGDGGFVFALEKRGYVKAGPWTPEAAVEHPEAVRQLH
+REFLRAGSNVMQTFTFYASEDKLENRGNYVAEKISGQKVNEAACDIARQVADEGDALVAG
+GVSQTPSYLSCKSETEVKKVFQQQLEVFVKKNVDFLIAEYFEHVEEAVWAVEALKASGKP
+VAATMCIGPEGDLHSVTPGECAVRLVKAGASIVGVNCHFDPTISLQTVKLMKEGLEAAGL
+KAHLMSQPLAYHTPDCGKQGFIDLPEFPFGLEPRVATRWDIQKYAREAYNLGVRYIGGCC
+GFEPYHIRAIAEELAPERGFLPLASEKHGSWGSGLDMHTKPWIRARARKEYWENLQIASG
+RPYNPSMSKPDAWGVTKGTAELMQQKEATTEQQLRELFEKQKFKSAQ
+>sp|A4FV37|CAVN3_BOVIN Caveolae-associated protein 3 OS=Bos taurus OX=9913 GN=CAVIN3 PE=2 SV=1
+MGESALESGPVPGAPAGGPVHAVTVVTLLEKLATMLETLRERQGGLAQRQGGLAGSVRRI
+QSNLGALSRSHDTTSNTLAQLLAKAERVGSHADAAQERAVRRAAQVQRLEANHGLLVARG
+KLHVLLFKEEAEIPAKAFQKAPEPLGPVELGPQLPEAEAEESSDEEEPVESRARRLRRTG
+LEKVQSLRRALSGRKGHAAPTPTPVKPPRLGPGRSAEGQWEAQPALESKLEPEPPQDTEE
+DPGRPGAAEAAAVLQVESAA
+>sp|E1B9D8|CBPC3_BOVIN Cytosolic carboxypeptidase 3 OS=Bos taurus OX=9913 GN=AGBL3 PE=3 SV=1
+MSEDSEKEDYSDRTISDEDESDEDNFMKFVNEDIHQCALLTADSISDPFFPRTTQILLEY
+QLGRWVPRLRKPRDLYGVSSSGPLSPTRWPYHCEVIDEKIEHIDWTPSNPEPMYIPTGLE
+VEPLYANSKEETVVYLAEDAYKEPCFVYSRVGGNRTPLKQPVDNCDDTLMFEARFESGNL
+QKVVKVGEYEYQLTVRPDLFTNKHTQWYYFQVTNTQAGIVYRFTITNFTKPASLYNRGMR
+PLFYSEKEASAHNIGWQRIGDQIKYYRNNQGQDRHHHFSLTWTFQFPHSKDTCYFAHCYP
+YTYTNLQEYLSGINNDPVRSKFCKIRVLCHTIARNMVYILTITTPLKNSESRKRKAVILT
+ARVHPGETNSSWIMKGFLDYILGNSSDAKLLRDTFVFKVVPMLNPDGVIVGNYRCSLAGR
+DLNRNYTSLLKESFPSVWYTRNMIRRLMEKREVILYCDLHGHSKKENIFMYGCDGSDRCK
+ALYLQQRIFPLMLSKNCPDKFSFSSCKFNIQKSKEGTGRVVMWKMGIRNSFTMEATFCGS
+TLGNKRGTHFNTKDLESMGYHFCDSLLDYCDPDRTKYYQCLKELDEMEKHINLEKVIDDS
+DTSLKEITLDLETSSHASDSSESNDSQTDLLKLNSQIKTKKKQLKTKKERNSTIERHQNI
+REEEQEVCDKGHLVQRHKESDSDVTDTRPSISDDCIFDYFRRQLPNQGFFKILGLKFYCG
+YTHMISQTVIQKLSRDQQRCVLGTDKKNQETVQPRNNDLYGNCIKVTSLKCPLNKQTPIW
+TEKTRIPTEDLHHNLKSNMKECPSFQSKKADINWTDDEKRIYRDKNIAQTQEILQYLLPI
+MRSTKNVQTTQIKEVFNPRTSFQIQHQQKPSSNINIRKCSTSWTPPRNLPLISQRTLIVS
+TSKWLQPLDWKSSESSLPLGGPKKRRKYSRVKATKTKDMKAASSKWEMTPSSSEKDADKS
+LQAEGSSQQGTMQTAPHPTKTKGEQPKKKHGQPAFHLKLQRDT
+>sp|Q3T0S5|ALDOB_BOVIN Fructose-bisphosphate aldolase B OS=Bos taurus OX=9913 GN=ALDOB PE=2 SV=1
+MAHQFPALTSEQKKALSETARRIVANGKGILAADESVGTMGNRLQRIKVENTEENRRQFR
+ELLFTVDSSVSQSIGGVILFHETLYQKDGQGKLFRDILKEKGIVVGIKLDQGVAPLAGTN
+KETTVQGLDGLSERCAQYKKDGADFGKWRAVLKIDNQCPSHLAIQENANTLARYASICQQ
+NGLVPIVEPEVIPDGSHDMEHCQYVTEKVLAAVYKALNDHHVYLEGTLLKPNMVTAGHAC
+TKKYTPEQVAMATVTALHRTVPAAVPGICFLSGGMSEEDATLNLNAINLCPLPKPWKLSF
+SYGRALQASALAAWGGKAENKKTTQEAFMKRALANSQAAKGQYVHMGSSGSASTQSLFTA
+SYTY
+>sp|A1A4R8|CDC23_BOVIN Cell division cycle protein 23 homolog OS=Bos taurus OX=9913 GN=CDC23 PE=2 SV=1
+MAASSSIVSVAPTASSVPVLPSSCDFSNLREIKKQLLLIAGLTRERGLLHSSKWSAELAF
+SLPALPLSELQPPPPITEEDAQDMDAYTLAKAYFDVKEYDRAAHFLHGCNSKKAYFLYMY
+SRYLSGEKKKDDETVDSLGPLEKGQVKNEALRELRVELSKKHQARELDGFGLYLYGVVLR
+KLDLVKEAIDVFVEATHVLPLHWGAWLELCNLITDKEMLKFLSLPDTWMKEFFLAHIYTE
+LQLIEEALQKYQNLIDVGFSKSSYIVSQIAVAYHNIRDIDKALSIFNELRKQDPYRIENM
+DTFSNLLYVRSMKSELSYLAHNLCEIDKYRVETCCVIGNYYSLRSQHEKAALYFQRALKL
+NPRYLGAWTLMGHEYMEMKNTSAAIQAYRHAIEVNKRDYRAWYGLGQTYEILKMPFYCLY
+YYRRAHQLRPNDSRMLVALGECYEKLNQLVEAKKCYWRAYAVGDVEKMALVKLAKLHEQL
+TESEQAAQCYIKYIQDIYSCGEIVEHLEESTAFRYLAQYYFKCKLWDEASACAQKCCAFN
+DTREEGKALLRQILQLRNQGETPSTEIPAPFFLPASLSANNTPTRRVSPLNLSSVTP
+>sp|A8QW39|F183A_BOVIN Protein FAM183A OS=Bos taurus OX=9913 GN=FAM183A PE=2 SV=1
+MAGHQKEKAIADEVHQNQILRELYLKELRTQKLYTQYHVNPLRKVHTIARKPMSWHDNLE
+EPADARFLNLIHHAAQGPRKKYPETQTEGQEIGWDSEPLVNPQRDDRRLNHFRVYKDITL
+YKAKMWSLGEDDRHK
+>sp|P55106|GDF6_BOVIN Growth/differentiation factor 6 OS=Bos taurus OX=9913 GN=GDF6 PE=2 SV=2
+MDTSRVLLSAVFLISFLWDLPGFQQASISSSSSSAELGSAKGMRSRKEGRMPRAPRENAT
+AREPLDRQEPPPRPQEEPQRRPPQQPEAREPPGRGPRVVPHEYMLSIYRTYSIAEKLGIN
+ASFFQSSKSANTITSFVDRGLDDLSHTPLRRQKYLFDVSTLSDKEELVGAELRLFRQAPA
+APWGPPAGPLRLQLFACQSPLLLEARSLDPQGAPRPGWEVFDVWRGLRPQPWKQLCLELR
+AAWGGEPGAAEDEARAPGPQQPPPPDLRSLGFGRRVRTPQERALLVVFSRSQRKTLFAEM
+REQLGSATEVVGPGGGAEGSGPPPPPPPPPPSGTPDAGLWSPSPGRRRRRTAFASRHGKR
+HGKKSRLRCSKKPLHVNFKELGWDDWIIAPLEYEAYHCEGVCDFPLRSHLEPTNHAIIQT
+LMNSMDPGSTPPSCCVPTKLTPISILYIDAGNNVVYKQYEEMVVESCGCR
+>sp|A6QR40|ELMO3_BOVIN Engulfment and cell motility protein 3 OS=Bos taurus OX=9913 GN=ELMO3 PE=2 SV=1
+MAPPRNVVKIAVQMRDAIPQLIQLDQAKPLAAVLKEVCDAWSLPHSERYALQFADGHRRY
+ITENNRMEIKNGSILCLSTAPDREAERLLRGLQSESREGRREALRHLLLLAPDLTFAREV
+ISRDGLQRLGTIIEDGDDLGEVLALALRAFLELMEHGVVSWETLSIPFVRKVVCYVNMNL
+MDASVQPLALGLLENVTLSSPTLGQLVKSEVPLDRLLVHLQVMNQQLQTKAMALLTALLQ
+GATPAERKHMLDYLWQRNLRQFIYKNIIHSAAPLGDEMAHHLYVLQALMLGLLEPRMRTP
+LDPYSQEQREQLQALRQAAFEPEGESVGAGLSADRRRSLCAREFRKLGFSNSNPAQDLER
+VPPGLLALDNMLYFSRQAPSAYSRFVLENSSREDKHECPFARSSIQLTVLLCDLLHVGEP
+CSETAQDFSPMFFGQDQSFHELFCVSIQLLNKTWKEMRATQEDFDKVMQVVREQLARTLA
+LKPSSLELFRTKVNALTYGEVLRLRQTERLHQEGTLAPPILELREKLKPELMGLIRQQRL
+LRLCEGTLFHKISSRRRQDKLWFCCLSPNHKVLQYGDVEEGVGPPTPESLPEQLPVADIR
+ALLTGKDCPHVREKGSGKQNKDVCELAFSVSYDHGEEEAYLNFIAPSKREMG
+>sp|Q3T0K8|EMC4_BOVIN ER membrane protein complex subunit 4 OS=Bos taurus OX=9913 GN=EMC4 PE=2 SV=1
+MTAQGSLVANRGRRFKWAIELSGPGGGSRGRSDRGGGQGDSLYPVGYLDKQVPDTSVQET
+DRILVEKRCWDIALGPLKQIPMNLFIMYMAGNTISIFPTMMVCMMAWRPIQALMAISATF
+KMLESSSQKFLQGLVYLIGNLMGLALAVYKCQSMGLLPTHASDWLAFIEPPERMEFSGGG
+LLL
+>sp|A5PJA8|EMC7_BOVIN ER membrane protein complex subunit 7 OS=Bos taurus OX=9913 GN=EMC7 PE=2 SV=1
+MAATLWAFFSVLLLLLSRDAQSSEVSGSTSDGSGGSGVGTGDRFKIEGRAVVPGVKPQDW
+ISAARVLVDGEEHVGFLKTDGSFVVHDIPSGSYVVEVISPAYRFDPVRVDITSKGKMRAR
+YVNYIKTSEVVRLPYPLQMKSSGPPSYFIKRESWGWTDFLMNPMVMMMVLPLLIFVLLPK
+VVNTSDPDMRREMEQSMNMLNSNHELPDVSEFMTRLFSSKSSDKSSSGSSKTGKSGAGKR
+R
+>sp|Q17QW4|EID2_BOVIN EP300-interacting inhibitor of differentiation 2 OS=Bos taurus OX=9913 GN=EID2 PE=2 SV=1
+MSELPADQGVPPAGAANDNGDVRQAEVGGRRREPAPAQPVAARDRPMAAAVEGSMASPVE
+GPVPEAREGPMAASREGLGAAAREARMAEVARLLAEPAEEEGPEGRPRSRPGNGPGLAAL
+PYLRLRHPLGVLGINYQQFLRHYLEHYPIAPGRIQELEGRRRRFVEACRAREAAFDAEYQ
+RNPQRMDFDILTFSITLTASEIINPLIEELGCDKFISRE
+>sp|A0JNA3|IMDH1_BOVIN Inosine-5'-monophosphate dehydrogenase 1 OS=Bos taurus OX=9913 GN=IMPDH1 PE=2 SV=2
+MADYLISGGTGYVPEDGLTAQQLFANADGLTYNDFLILPGFIDFTADEVDLTSALTRKIT
+LKTPLISSPMDTVTEADMAIAMALMGGIGFIHHNCTPEFQANEVRKVKKFEQGFITDPVV
+LSPSHTVGDVLEAKIRHGFSGIPITETGTMGSKLVGIVTSRDIDFLAEKDHTTLLSEVMT
+PRNELVVAPAGVTLKEANEILQRSKKGKLPIVNDRDELVAIIARTDLKKNRDYPLASKDS
+HKQLLCGAAVGTREDDKYRLDLLTQAGADVIVLDSSQGNSVYQIAMVHYIKQKYPHLQVI
+GGNVVTAAQAKNLIDAGVDGLRVGMGCGSICITQEVMACGRPQGTAVYKVAEYARRFGVP
+VIADGGIQTVGHVVKALALGASTVMMGSLLAATTEAPGEYFFSDGVRLKKYRGMGSLDAM
+EKSSSSQKRYFSEGDKVKIAQGVSGSIQDKGSIQKFVPYLIAGIQHGCQDIGARSLSVLR
+SMMYSGELKFEKRTMSAQIEGGVHGLHSYEKRLY
+>sp|P29104|HPCL4_BOVIN Hippocalcin-like protein 4 OS=Bos taurus OX=9913 GN=HPCAL4 PE=1 SV=3
+MGKTNSKLAPEVLEDLVQNTEFSEQELKQWYKGFLKDCPSGILNLEEFQQLYIKFFPYGD
+ASKFAQHAFRTFDKNGDGTIDFREFICALSVTSRGSFEQKLNWAFEMYDLDGDGRITRLE
+MLEIIEAIYKMVGTVIMMRMNQDGLTPQQRVDKIFKKMDQDKDDQITLEEFKEAAKSDPS
+IVLLLQCDMQK
+>sp|A0JNL5|LY66C_BOVIN Lymphocyte antigen 6 complex locus protein G6c OS=Bos taurus OX=9913 GN=LY6G6C PE=2 SV=1
+MRALLLLSLSALLCWVSADIRCHSCYKLPVLGCVDRKSCRLEPGQQCLTTHAYIGKMWVF
+SRLDCGTPGEPCKPAVNQTNQKGGLTYNTTCCSQDNCNSPAPRPTPALTLVFLTSLAGLG
+LWLLH
+>sp|P80177|MIF_BOVIN Macrophage migration inhibitory factor OS=Bos taurus OX=9913 GN=MIF PE=1 SV=6
+MPMFVVNTNVPRASVPDGLLSELTQQLAQATGKPAQYIAVHVVPDQLMTFGGSSEPCALC
+SLHSIGKIGGAQNRSYSKLLCGLLTERLRISPDRIYINFCDMNAANVGWNGSTFA
+>sp|Q0VC06|LTV1_BOVIN Protein LTV1 homolog OS=Bos taurus OX=9913 GN=LTV1 PE=2 SV=1
+MPHRKKKPFIEKKKAVSFHLVHRSQRDPLAADETAPQRVLLPTQKIKDEERRAEQRKYGV
+FFDDDYDYLQHLKEPSGPSELIPTSPFGAPYRGDGREEPLATSTSGIKLPSSVFASEFEE
+DVGLLNKAAPVSGPRLDFDPDIVAALDDDFDFDNPDNLLEDDFILQANKPTEEEEGMEIQ
+KSEAEDDSEWEDVDDEKEGGSDDDRYDRAGSSDEDMSAPGKPLGAIENHFFWEEETKSRF
+TEYSLTSSVMRRNEQLTLHDERFEKFYEQYDDDEIGALDNAELEGSIQVDSNRLEEVLND
+YYKEKAENCVKLNTLEPFEDQDLPVNELDGSEEEETVTVVLEEAKEKWDCESICSTYSNV
+YNHPQLIKYQPKPKQIRLSSKTGIPLNVLPKKGLTAKQVERMQMINNSDLPKTSTQPRLK
+RESKEDKRARKRAIKEERKERRVEKKANKLAFKLEKRRQEKELLNLKKNVEGLKL
+>sp|Q2KIQ2|MNS1_BOVIN Meiosis-specific nuclear structural protein 1 OS=Bos taurus OX=9913 GN=MNS1 PE=2 SV=2
+MASIRRTLSFSERHQKLVDINYCKKLHVEALQRLQNQTRDQMVQNENDDRAERKRFLRLL
+QDEQFELDMEEAIQKAEENKRLRELQLAQEEKLATELAKLKRESLKDEKLRQQVRENSAE
+LRELEKKLKAAYMNKERAAQIAEKDAIKYGQMKRDAEIARTMMEEHERLIKEESAAEDKR
+NQAKAQYSHDLEKQLEEQGKKKQEAYEQLLKEKLMIDEIVRKIYEEDQLERQQRLEKMNT
+TRRYIEEFQKEQALWRKKKREEMEEENRKIIEFAKLQQQREEDRMAKVQEKVKKKRLQLK
+NMLTQRLEEMLRQREDLEQVRQELYQEEQAEIYKKKLEEEAEEKLRKQKELKQDFMDQMA
+LKELILQAAKEEEETFRKAMLAKFAEDDRIELMNAQKPRMKQLEHKRAVEKLIEERRNQF
+LADKQRELEEWQWQQRRQGCINAIVEEERLKLLKEHATKLLGYLPKGVFKNEDDIDMLGE
+EFRKAYQKRSEICEK
+>sp|Q2HJ21|MDM4_BOVIN Protein Mdm4 OS=Bos taurus OX=9913 GN=MDM4 PE=2 SV=1
+MTSFSTSAPCSAPDSARRISPEQTNQVRPKLPLLKILQAAGAQGEMFTVKEVMHYLGQYI
+MVKQLYDQQEQHMVYCGGDLLGELLGRQSFSVKDPSPLYDMLRKNLVTLATAATDAAQTL
+AIAQEHSMDIPSQDHLKQSVEESSNSRKRTEEGNIPTLPTSQYKCKNSREDEDLVANLTQ
+EETSRLDLGFEEWDVAGLPWWFLGNLRNNYTPRSNGSTDLQTNQDIGTAIVSDTTDDLWF
+LNESVSEQFGVGKKVEAADPEQTSEEVGKLIDKKVTEVGKNDDLEDPKSISDDTDIEVTS
+EDEWQCTECKKFNSPSKRYCFRCWALRKDWYSDCSKLTHSLSTSDITAIPEKQESEGVDV
+PDCRRTVSAPVVRPKDTYVKEESSKHFDPCNSVEFLDLAHSSESQETISSMGEQSDNLFE
+QRKDTENMEDCQNLLKPCSLCEKRPRNGNIIHGRTGHLVTCFHCARRLKKAGASCPICKK
+EIQLVIKVFVA
+>sp|A5PK13|LRC8C_BOVIN Volume-regulated anion channel subunit LRRC8C OS=Bos taurus OX=9913 GN=LRRC8C PE=2 SV=1
+MIPVTEFRQFSEQQPAFRVLKPWWDVFTDYLSVAMLMIGVFGCTLQVMQDKIICLPKRVQ
+PSQNQSSVSNVSQAVASTTPLPPPKPSPSNPVTVEMKGLKTDLDLQQYSFINQMCYERAL
+HWYAKYFPYLVLIHTLVFMLCSNFWFKFPGSSSKIEHFISILGKCFDSPWTTRALSEVSG
+EDSEEKDNRKNNMSRSNTTQSGPEGSLVNSQSLKSIPEKFVVDKSTAGALDKKEGEQAKA
+LFEKVKKFRLHVEEGDILYAMYVRQTVLKVIKFLIIIAYNSALVSKVQFTVDCNVDIQDM
+TGYKNFSCNHTMAHLFSKLSFCYLCFVSIYGLTCLYTLYWLFYRSLKEYSFEYVRQETGI
+DDIPDVKNDFAFMLHMIDQYDPLYSKRFAVFLSEVSENKLKQLNLNNEWTPDKLRQKLQT
+NAHNRLELPLIMLSGLPDTVFEITELQSLKLEIIKNVMIPATIAQLDNLQELSLHQCSVK
+IHSAALSFLKENLKVLSVKFDDMRELPPWMYGLRNLEELYLVGSLSHDISRNVTLESLRD
+LKSLKILSIKSNVSKIPQAVVDVSSHLQKMCIHNDGTKLVMLNNLKKMTNLTELELVHCD
+LERIPHAVFSLLSLQELDLKENNLKSIEEIVSFQHLRKLTVLKLWHNSITYIPEHIKKLT
+SLERLSFSHNKIEVLPSHLFLCNKIRYLDLSYNDIRFIPPEIGVLQSLQYFSITCNKVES
+LPDELYFCKKLKTLKIGKNSLSVLSPKIGNLLFLSYLDVKGNHFEILPPELGDCRALKRA
+GLVVEDALFETLPSDVREQMKTE
+>sp|Q3ZBJ9|BEX5_BOVIN Protein BEX5 OS=Bos taurus OX=9913 GN=BEX5 PE=3 SV=1
+MEKDPKERREEEQAPVQNEEACPMGGGEGPKPRENVRGDWDPPAQDFREDMPNGLVNNID
+IIDGDADDMERFMEEMRELRRKIRELQLRYSLRILIGDPPHHDHHDEFCLMP
+>sp|Q95126|AVR2B_BOVIN Activin receptor type-2B OS=Bos taurus OX=9913 GN=ACVR2B PE=2 SV=1
+MTAPWAALALLWGSLCAGSGRGEAETRECIYYNANWELERTNQSGLERCEGERDKRLHCY
+ASWRNSSGTIELVKKGCWLDDFNCYDRQECVATEENPQVYFCCCEGNFCNERFTHLPEAG
+GPEVTYEPPPTAPTLLTVLAYSLLPVGGLSLIALLAFWMYRHRKPPYGHADIHEDPGPPP
+PSPLVGLKPLQLLEIKARGRFGCVWKAQLMNDFVAVKIFPLQDKQSWQSEREIFSTPGMK
+HENLLQFIAAEKRGSSLEAELWLITAFHDKGSLTDYLKGNIITWNELCHVAETMSRGLSY
+LHEDVPWCRGEGHKPSIAHRDFKSKNVLLKSDLTAVLADFGLAVRFEPGKPPGDTHGQVG
+TRRYMAPEVLEGAINFQRDAFLRIDMYAMGLVLWELVSRCKAADGPVDEYMLPFEEEIGQ
+HPSLEELQEVVVHKKMRPAIKDHWLKHPGLAQLCVTIEECWDHDAEARLSAGCVEERVSL
+IRRSVNGTTSDCLVSLVTSVTNVDLPPKESSI
+>sp|Q2TA42|ARGL1_BOVIN Arginine and glutamate-rich protein 1 OS=Bos taurus OX=9913 GN=ARGLU1 PE=2 SV=1
+MGRSRSRSSSRSKHTKSSKHNKKRSRSRSRSRDKERVRKRSKSRESKRNRRRESRSRSRS
+TNTAVSRRERDRERASSPPDRIDIFGRTVSKRSSLDEKQKREEEEKKAEFERQRKIRQQE
+IEEKLIEEETARRVEELVAKRVEEELEKRKDEIEREVLRRVEEAKRIMEKQLLEELERQR
+QAELAAQKAREEEERAKREELERILEENNRKIAEAQAKLAEEQLRIVEEQRKIHEERMKL
+EQERQRQQKEEQKIILGKGKSRPKLSFSLKTQD
+>sp|P35384|CASR_BOVIN Extracellular calcium-sensing receptor OS=Bos taurus OX=9913 GN=CASR PE=2 SV=1
+MALYSCCWILLAFSTWCTSAYGPDQRAQKKGDIILGGLFPIHFGVAVKDQDLKSRPESVE
+CIRYNFRGFRWLQAMIFAIEEINSSPALLPNMTLGYRIFDTCNTVSKALEATLSFVAQNK
+IDSLNLDEFCNCSEHIPSTIAVVGATGSGISTAVANLLGLFYIPQVSYASSSRLLSNKNQ
+FKSFLRTIPNDEHQATAMADIIEYFRWNWVGTIAADDDYGRPGIEKFREEAEERDICIDF
+SELISQYSDEEKIQQVVEVIQNSTAKVIVVFSSGPDLEPLIKEIVRRNITGRIWLASEAW
+ASSSLIAMPEYFHVVGGTIGFGLKAGQIPGFREFLQKVHPRKSVHNGFAKEFWEETFNCH
+LQEGAKGPLPVDTFLRGHEEGGARLSNSPTAFRPLCTGEENISSVETPYMDYTHLRISYN
+VYLAVYSIAHALQDIYTCIPGRGLFTNGSCADIKKVEAWQVLKHLRHLNFTSNMGEQVTF
+DECGDLAGNYSIINWHLSPEDGSIVFKEVGYYNVYAKKGERLFINDEKILWSGFSREVPF
+SNCSRDCLAGTRKGIIEGEPTCCFECVECPDGEYSDETDASACDKCPDDFWSNENHTSCI
+AKEIEFLSWTEPFGIALTLFAVLGIFLTAFVLGVFIKFRNTPIVKATNRELSYLLLFSLL
+CCFSSSLFFIGEPQDWTCRLRQPAFGISFVLCISCILVKTNRVLLVFEAKIPTSFHRKWW
+GLNLQFLLVFLCTFMQIVICAIWLNTAPPSSYRNHELEDEIIFITCHEGSLMALGFLIGY
+TCLLAAICFFFAFKSRKLPENFNEAKFITFSMLIFFIVWISFIPAYASTYGKFVSAVEVI
+AILAASFGLLACIFFNKVYIILFKPSRNTIEEVRCSTAAHAFKVAARATLRRSNVSRQRS
+SSLGGSTGSTPSSSISSKSNSEDPFPQQQPKRQKQPQPLALSPHNAQQPQPRPPSTPQPQ
+PQSQQPPRCKQKVIFGSGTVTFSLSFDEPQKTAVAHRNSTHQTSLEAQKNNDALTKHQAL
+LPLQCGETDSELTSQETGLQGPVGEDHQLEMEDPEEMSPALVVSNSRSFVISGGGSTVTE
+NMLRS
+>sp|Q2T9Y1|CC026_BOVIN Uncharacterized protein C3orf26 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MADDLGDEWWENQPAGAASSPGASGGEGGGDTEMTQQETAPGPALSKKVKPPKECFLIQP
+KETNEDATKTRKRKKKKITDILAKSEPKPGTPEDLQKLMKDYYSSSRSVIELEELTLPDS
+CFLKANDLTHSLSSYLKEVCPKWVKLRKSHSEKKSVLMLIICGSAIRAVELIRSMTAFKG
+DSKVMKLFAKHIKVQEQVKLLEKRVVHLGVGTPGRIKELIKQGGLHLNPLKFLIFDWNWR
+DQKLRRMMDIPEIRKEVFELLEMGVLNLCKTESLKLGLF
+>sp|Q0VCL9|CC038_BOVIN Uncharacterized protein C3orf38 homolog OS=Bos taurus OX=9913 PE=2 SV=2
+MTGLSYTEMEGCRNLLSLLDNDEIMALCDTITNRLVQPEDRQDAIRAILVYSQSVEELLR
+RRKVHREIIFKYLATQGVIIPPATEKHNLIQHAKDYWKKQLQPKLKETPEPVKTEDIRLS
+EQQEKEEKNAEKVDFRRLGEEFCQWFFELLNSQNPLLGPPQDEWGPQHFWHDVKLRFYYN
+TSEQNVIDYHGAEIVSLRLLSLVKEEYLFLSPNLDSHGQKYAASPHGLVMVGVAGTVHRG
+NTCLGIFEQIFGLIRCPFVENTWKIKFINLRIIGESSLAPGRLMKPAITFEPSDLEAFYN
+VNTLCGPVKYNSM
+>sp|Q17QB3|ASAH1_BOVIN Acid ceramidase OS=Bos taurus OX=9913 GN=ASAH1 PE=2 SV=3
+MLGWSRLTFILLSGIVTCLVAQQVPPWTEDCRKSTYPPSGPTYRGPVPWYTINLDLPPYK
+RWHELMVVKAPALKVIVNSMKNIVNAFVPSGKIIHLVDQKLPGLLGNFPGPFEEEMKGIA
+AVTEIPLGEIILFNIFYEFFTICTSIITEDKEGHLLHGRNLDFGVFLGWNINNDTWVITE
+ELKPLTVNLDFQRNNKTLFKATTFAGYVGMLTGFKPGLFSVTLNERFSIDGGFMGVMEWI
+LGKKDAQWVGFIIRSVLENSTSYEETKNILTKTKILAPAYFILGGNQSGEGCVITRDRKQ
+SLDIYELDPKHGRWYVVQTNYDRWKNPFFLDDRRTPAKMCLNQTTQENISFATIYDVLST
+KPILNKLTVYTVLIDVTKGQFETYLRDCPDPCIGW
+>sp|Q0VCK5|AP2A2_BOVIN AP-2 complex subunit alpha-2 OS=Bos taurus OX=9913 GN=AP2A2 PE=1 SV=1
+MPAVSKGDGMRGLAVFISDIRNCKSKEAEIKRINKELANIRSKFKGDKALDGYSKKKYVC
+KLLFIFLLGHDIDFGHMEAVNLLSSNRYTEKQIGYLFISVLVNSNSELIRLINNAIKNDL
+ASRNPTFMGLALHCIANVGSREMAEAFAGEIPKILVAGDTMDSVKQSAALCLLRLHRASP
+DLVPVGDWTSRVVHLLNDQHLGVVTAATSLITTLAQKNPEEFKTSVSLAVSRLSRIVTSA
+STDLQDYTYYFVPAPWLSVKLLRLLQCYPPPEDPAVRGRLTECLEAILNKAQEPPKSKKV
+QHSNAKNAVLFEAISLVTHHDSEPNLLVRACNQLGQFLQHRETNLRYLALESMCTLASSE
+FSHEAVKTHIETVINALKTERDVSVRQRAVDLLYAMCDRSNAQQIVAEMLSYLETADYSI
+REEIVLKVAILAEKYAVDYTWYVDTILNLIRIAGDYVSEEVWYRVIQIVINRDDVQGYAA
+KTVFEALQAPACHENLVKVGGYILGEFGNLIAGDPRSSPLTQFHLLHSKFHLCSVPTRAL
+LLSTYIKFVNLFPEVKGTIQDVLRSDSQLKNADVELQQRAVEYLRLSTVASTDILATVLE
+EMPPFPERESSILAKLKKKKGPSTVTDLEEAKRERSADVNGGPEPALASTSAVSTPSPSA
+DLLGLGAAPPVPAGPPPSSGGLLVDVFSDSPSAAAPLAPGSEDNFARFVCKNNGVLFENQ
+LLQIGLKSEFRQNLGRMFIFYGNKTSTQFLNFTPTLICSDDLQANLSLQTKPVDPTVDGG
+AQVQQAVNIECVSDFTEAPVLNIQFRYGGTFQNVSVKLPITLNKFFQPTEMASQDFFQRW
+KQLSNPQQEVQSIFKAKHPMDTEVTKAKIIGFGSALLEEVDPNPANFVGAGIIHTRTAQI
+GCLLRLEPNLQAQMYRLTLRTSRETVSQRLCELLSEQF
+>sp|F1MUG2|CEP41_BOVIN Centrosomal protein of 41 kDa OS=Bos taurus OX=9913 GN=CEP41 PE=3 SV=2
+MSVRRHIGNPEYLTRRIPQNPRYQHVKSRLDTGNSMTKYIEKLEEIKKNYRYKKDELFKR
+LKVTTFAQLVIQVASLSDQTLEVTAEEIQRLEDNDSATSEPDAEITAKTNGNGSPGEQSP
+SPVQFINSEGAGDFSRSTLQSVISGVGELDLDKGLVKKTEPNTKDKPYPDCPFLLLDVRD
+RDSYQQCHIVGAYSYPIATLSRTMNPYSNDILEYKNAHGKIIILYDDDERLASQAATTMC
+ERGFENLFMLSGGLKVLAQKFPEGLITGSLPASCQQALPPGSARKRSSPKVPPLPAENKW
+RFTPEDLKKIEYYLEEDQGPADNPSRLSQANASGRDAKVPGTRSGQNLPAGGPASHQNPR
+SLGSGHLQGKPWK
+>sp|Q28072|CD3D_BOVIN T-cell surface glycoprotein CD3 delta chain OS=Bos taurus OX=9913 GN=CD3D PE=2 SV=2
+MEHSRFLSCLILAALLSQVNPRILKVLEPEDKVMLECNSSITLLQGTEGQEVSGNNKTRD
+LGKRIQDPRGMYRCGENTQQLILQVYYRMCQNCVELDTATLAGMIITDIIATVLLALGVY
+CFAGHETGRFSRAADTQALMGNDQLYQPLRERNDAQYSRLGDKWARNK
+>sp|Q8SPF8|BPIB1_BOVIN BPI fold-containing family B member 1 OS=Bos taurus OX=9913 GN=BPIFB1 PE=2 SV=1
+MAYPWTFTFLCGLLAANLVGATLSPPVVLSLSTEVIKQMLAQKLKNHDVTNTLQQLPLLT
+AMEEESSRGIFGNLVKSILKHILWMKVTSASIGQLQVQPLANGRQLMVKAPLDVVAGFNV
+PLFKTVVELHVEVEAQAIIHVETREKDHARLVLSECSNTGGSLRVSLLHKLSFLLKCLAD
+KVISLLTPAPPKLVKSELCPVLKAGFEDMRGELLNLTKVPMSLNSEHLKLDFISPVIDHS
+VVHLILGARLFNSEGKVTKLFNVAGDSLNLPTLNQTPFRLTVRKDVVVAIIAALIHSGKL
+TVLLDYVLPEVARQLRSSIKVIDETAAAQLGPTQIVKIMSQTTPMLILDQGNAKVAQLIV
+LEIFATDKDSRPLFTLGIEASSDIQFYVEDGLLVFSFNEIRADRIHLMNSDIGVFNPKLL
+NNITTKILTSILLPNENGKLRSGIPVSMVKNLGFKSISLSLTKEALVVTQASS
+>sp|Q17QP7|CLBA1_BOVIN Uncharacterized protein CLBA1 OS=Bos taurus OX=9913 GN=CLBA1 PE=2 SV=2
+MQDQRELGGAAGQGLPLCAFLSDLPEQGGGVSLRQVSKDSGAPGRQSGDAVEWARLRCAL
+PPPDGEARTSGGSCEGLSTSTARGPDPGEHSGAWGEFEVFQESSASSEQFCQSFELQERP
+AASQPWRTASAQKQHGSSQPHQGGVTGTGAIAASELVVSCEDVFRSAFQEVPVPQATEGI
+STLDHFLEARNEESSGLESAQKLCSESRKLWRALHNTGTMTISRCTWSESRSRENFLPVL
+GVDAAQKSLSGSPGRTLGEPGLHEPEELGFHLQRCRALIQTKLSGTPTGGQGSLITYSLF
+LKTPIHGNGQYITTPRKKKTFGPRNLKLTLFNSDIY
+>sp|Q0VCY0|AT2A1_BOVIN Sarcoplasmic/endoplasmic reticulum calcium ATPase 1 OS=Bos taurus OX=9913 GN=ATP2A1 PE=1 SV=1
+MEAAHSKTTEECLAYFGVSETTGLTPDQVKRHLEKYGHNELPAEEGKSLWELVLEQFEDL
+LVRILLLAACISFVLAWFEEGEETVTAFVEPFVILLILIANAIVGVWQERNAENAIEALK
+EYEPEMGKVYRADRKSVQRIKARDIVPGDIVEVAVGDKVPADIRILTIKSTTLRVDQSIL
+TGESVSVIKHTEPVPDPRAVNQDKKNMLFSGTNIAAGKAIGIVATTGVGTEIGKIRDQMA
+ATEQDKTPLQQKLDEFGEQLSKVISLICVAVWLINIGHFNDPVHGGSWIRGAIYYFKIAV
+ALAVAAIPEGLPAVITTCLALGTRRMAKKNAIVRSLPSVETLGCTSVICSDKTGTLTTNQ
+MSVCKMFIIDRIDGDLCLLNEFSVTGSTYAPEGEVLKNDKPVRSGQYDGLVELATICALC
+NDSSLDFNETKGIYEKVGEATETALTTLVEKMNVFNTEVRNLSKVERANACNSVIRQLMK
+KEFTLEFSRDRKSMSVYCSPAKSRAAVGNKMFVKGAPEGVIDRCNYVRVGTTRVPMTGPV
+KEKILSVIKEWGTGRDTLRCLALATRDTPPKREEMVLDDSTKFMEYETDLTFVGVVGMLD
+PPRKEVMGSIQLCRDAGIRVIMITGDNKGTAIAICRRIGIFGENEDVADRAYTGREFDDL
+PLAEQREACRRACCFARVEPTHKSKIVEYLQSFDEITAMTGDGVNDAPALKKAEIGIAMG
+SGTAVAKTASEMVLADDNFSTIVAAVEEGRAIYNNMKQFIRYLISSNVGEVVCIFLTAAL
+GLPEALIPVQLLWVNLVTDGLPATALGFNPPDLDIMDRPPRTPKEPLISGWLFFRYMAIG
+GYVGAATVGAAAWWFLYAEDGPHVTYSQLTHFMKCSEHSPDFEGVDCEVFEAPQPMTMAL
+SVLVTIEMCNALNSLSENQSLVRMPPWVNIWLVGSIGLSMSLHFLILYVDPLPMIFKLQA
+LDLYHWLMVLKISLPVIGLDEILKFVARNYLEG
+>sp|P48034|AOXA_BOVIN Aldehyde oxidase 1 OS=Bos taurus OX=9913 GN=AOX1 PE=1 SV=2
+MEGGSELLFYVNGRKVTEKNVDPETMLLPYLRKKLRLTGTKYGCGGGGCGACTVMISRYN
+PITKKIRHYPANACLTPICSLYGAAVTTVEGIGSTKTRIHPVQERIAKCHGTQCGFCTPG
+MVMSLYTLLRNHPEPTLTQLNDALGGNLCRCTGYRPIINACKTFCKTSGCCQSKENGVCC
+LDQGMNGLPEFEEGNETSLKLFSEEEFLPLDPTQELIFPPELMTMAEKKTQKTRIFGSDR
+MTWISPVTLKELLEAKVKYPQAPVVMGNTSVGPDMKFKGIFHPVIISPDRIEELSVVNYT
+DNGLTLGAAVSLAEVKDILANVTRKLPEEKTQMYHALLKHLETLAGPQIRNMASLGGHIV
+SRHPDSDLNPLLAVGNCTLNLLSKEGRRQIPLNEQFLRKCPSADLKPEEILISVNIPYSR
+KWEFVSAFRQAQRQQNALAIVNSGMRVCFGKGDGIIRELSIAYGGVGPTTILANNSCQKL
+IGRPWNEEMLDAACRLILDEVSLPGSAPGGRVEFKRTLIVSFLFKFYLEVSQILKGMDLV
+HYPSLASKYESALEDLHSRHYWSTLKYQNADLKQLSQDPIGHPIMHLSGIKHATGEAIYC
+DDMPVVDRELFLTFVTSSRAHAKIVSIDVSAALSLPGVVDILTGEHLPGINTTFGFLTDA
+DQLLSTDEVSCVGQLVCAVIADSEVQARRAAQQVKIVYQDLEPVILTIEEAIQNKSFFEP
+ERKLEYGNVDEAFKMVDQILEGEIHMGGQEHFYMETQSMLVVPKGEDREIDVYVSAQFPK
+YIQDITASVLKVSANKVMCHVKRVGGAFGGKVTKTGVLAAITAFAANKHGRPVRCILERG
+EDILITGGRHPYLGKYKAGFMNDGRILALDMEHYNNAGAFLDESLFVIEMGLLKLENAYK
+FPNLRCRGWACRTNLPSNTALRGFGFPQAGLITEACITEVAAKCGLPPEKVRMINMYKEI
+DQTPYKQEINTKNLTQCWKECMATSSYTLRKAAVEKFNSENYWKKKGLAMVPLKYPIGLG
+SVAAGQAAALVHIYLDGSVLVTHGGIEMGQGVHTKMIQVVSRELRMPLSSIHLRGTSTET
+IPNTNPSGGSVVADLNGLAVKDACQTLLKRLKPIISKNPKGTWKDWAQAAFNESISLSAT
+GYFRGYESNINWETGEGHPFEYFVYGAACSEVEIDCLTGAHKNIRTDIVMDVGYSINPAL
+DVGQIEGAFIQGMGLYTIEELNYSPQGVLYTRGPNQYKIPAICDIPMELHISFLPPSENS
+NTLYSSKGLGESGIFLGCSVFFAIHDAIRAARQERGLPGPLRLNSPLTPEKIRMACEDKF
+TKMIPRDEPGSYVPWSVPI
+>sp|Q9TU03|GDIR2_BOVIN Rho GDP-dissociation inhibitor 2 OS=Bos taurus OX=9913 GN=ARHGDIB PE=2 SV=3
+MTEKAPEPHVEEDDDELDGKLNYKPPPQKSLKELQEMDKDDESLTKYKKTLLGDGPVVAD
+PTAPNVTVTRLTLVCESAPGPITMDLTGDLEALKKETFVLKEGVEYRVKINFKVNKDIVS
+GLKYVQHTYRTGVKVDKATFMVGSYGPRPEEYEFLTPIEEAPKGMLARGTYHNKSFFTDD
+DKHDHLTWEWNLSIKKDWTE
+>sp|Q0VC65|ETV6_BOVIN Transcription factor ETV6 OS=Bos taurus OX=9913 GN=ETV6 PE=2 SV=1
+MSETPAQCSIKQERISYTPPESPVPSYASSTPLHVPVPRALRMEEDSIRLPAHLRLQPMF
+WSRDDVAQWLKWAENEFSLRPIDSNTFEMNGKALLLLTKEDFRYRSPHSGDVLYELLQHI
+LKQRKPRILFSPFFHPGNSIHTQQEVILHQNHEEDNGVQRTSRPSAENVHQNPPTIELLH
+RSRSPITTNHRPSPDPEQRPLRSPLDNMIRRLSPAERAQGPRLHQENNHQEPYPLSVSPM
+ENNHCPPSSEPHPRPSSPRQEGTRVIQLMPSPIMHPLILNPRHSVDFKQPRLSEDGLHRE
+GKPINLSHREDLAYMNHIMVSVSPPEEHAVPIGRIADCRLLWDYVYQLLSDSRYENFIRW
+EDKESKIFRIVDPNGLARLWGNHKNRTNMTYEKMSRALRHYYKLNIIRKEPGQRLLFRFM
+KTPDEIMSGRTDRLEHLESQELDEQIYQEDEC
+>sp|Q2T9Z1|DDI1_BOVIN Protein DDI1 homolog 1 OS=Bos taurus OX=9913 GN=DDI1 PE=2 SV=1
+MLLTVYCVRRDLSEATFSLQVRPDFELHNFLVLCELESGIPAEETQIVYMERLLVNDHCS
+LGSYGLKDGDMVILLQKEAMRPRSPERAAGLCSMEPAGPALPGTSGSRPHQRAQSAQHSS
+RRGSGEKAGPGQGLDSPALVRSMLLSSPHDLSLLKERNPSLAEALLSGNLESFSQVLMEQ
+QRERALREQERLRLFSADPFDLEAQAKIEEEIRQQNIEENMSIAMEEAPESFGQVAMLYI
+NCRVNGHPLKAFVDSGAQMTIMNQVCAERCNIIRLVDRRWAGVAKGVGTQRILGRVHLAQ
+IQIEGDFLQCSFSILEEQPMDMLLGLDMLRRHQCSIDLKRNVLVIGTTGTQTSFLPEGEL
+PPCAKLVSGMGPEESSDKETANAIKHSVMDSGRKKH
+>sp|Q1LZ75|ERCC1_BOVIN DNA excision repair protein ERCC-1 OS=Bos taurus OX=9913 GN=ERCC1 PE=2 SV=1
+MDEEGVHKPAGPPTRKKFLIPTDEDVVPPPGAKPLFRSTRSLPTVETSPPPGPQTYAEYA
+LSGPPGGAEATRPVGPEPLAEETPNQAPKPGAKSNSIIVSPRQRGNPVLRFVRNVPWEFG
+DVLPDYVLGQSTCALFLSLRYHNLHPDYIHQRLQSLGKSYALRVLLVQVDVKDPQQALKE
+LAKMCILADCTLILAWSPEEAGRYLETYKAYEQKPADLLMEKLEQDFVSRVTECLTTVKS
+VNKTDSQTLLTTFGSLEQLIAASREDLSLCPGLGPQKARRLFDVFHEPFLKVPH
+>sp|Q5E9H1|FIBIN_BOVIN Fin bud initiation factor homolog OS=Bos taurus OX=9913 GN=FIBIN PE=2 SV=1
+MVFLKFLWMGFLCHLCQGYFDGPLYPEMSNGTLHHYFVPDGDYEENDDPEKCQLLFRVSD
+HRRCSQGEGSSASTLLSLTLREEFTVLGRQVEDAGRVLEGISKSISYDLDGEESYGKYLR
+RESHQIGDAYSNSDKSLTELESKFKQGQEQDSRQESRLNEDFLGMLVHTRSLLKETLDIS
+VGLRDKYELLALTIRSHGTRLGRLKNDYLKV
+>sp|A6QQ94|DMTA2_BOVIN Doublesex- and mab-3-related transcription factor A2 OS=Bos taurus OX=9913 GN=DMRTA2 PE=2 SV=1
+MELRSELPSVPGAATAAATATGPPVASVASVAAAAAAAASLPVSVAGGLLRAPPLLLRAA
+EKYPRTPKCARCRNHGVVSALKGHKRYCRWKDCLCAKCTLIAERQRVMAAQVALRRQQAQ
+EENEARELQLLYGTAEGLALAAANGIIPPRPAYEVFGSVCAADGGGPGAGAPAGTGGGAA
+GAGSSEAKLQKFDLFPKTLLQAGRPGSPQPQPGKPLSPDGADSGPGTSSPEVRPGSGSEN
+GDGESFSGSPLARASKEAGGSCPGSAGPGGGGEEDSPGSASPLGSESGSEADKEEAEASP
+APGLGGGPGPRQRTPLDILTRVFPGHRRGVLELVLQGCGGDVVQAIEQVLNHHRGGLAAG
+LGPTVPPDKAAVGAVGAADDAWPGRVDAAAAGGPGLPAPLQAGPAAPPHHRPLLAGAMAP
+GALGSLSSRSAFSPLQPNASHFGADAGAYPLGAPLGLSPLRLAYSAAAAHSRGLAFMAPY
+STAGLVPTLGFRPPMDYAFSDLMRDRSAAAAAVHKEPTYGGGLYGPMVNGAPEKQ
+>sp|A7E3W2|LG3BP_BOVIN Galectin-3-binding protein OS=Bos taurus OX=9913 GN=LGALS3BP PE=1 SV=1
+MAPLRLFWIWLLVVGTRGVKDGDMRLADGGSANQGRVEIYYNGQWGTVCENMWDLTDASV
+VCRALGFQNATEALGGAAFGPGYGPIMLDEVRCTGTEPSLANCSSLGWMRSNCRHDKDAS
+VICTNETRGVYTLDLSGELPAALEQIFESQKGCDLFITVKVREEDEIAMCAHKLILSTNP
+EAHGLWKEPGSRVTMEVDAECVPVVKDFIRYLYSRRIDVSLSSVKCLHKLASAYQAKQLQ
+SYCGHLFAILIPQDPSFWTPLELYAYALATRDPVLEEICVQFLAWNFGALTQAEAWPSVP
+PALLQGLLSRTELVVPSELVLLLAVDKWSQERRTSHKEVEALVGQVRFPMMPPQDLFSLQ
+FNLSLYWSHEALFQKKILQALEFHTVPFELLAQYWGLNLTEGTYQPRLYTSPTWSQSVMS
+SSYNPSRSFQTPQHPSFLFHDSSVSWSFVYLPTLQSCWNYGFSCSSDDPPLLALSKSSYS
+KSNPTIGYENRALLHCEGSFVVDVIDFKGWKALVPSALATNSSRSTSLFPCPSGVFSRFQ
+VVIRPFYLTNSTDMD
+>sp|A7E3D8|LCA5L_BOVIN Lebercilin-like protein OS=Bos taurus OX=9913 GN=LCA5L PE=2 SV=2
+MSLAGPTETNLGGHFPGTAVENGGSSSKRESSSPGFPRNSNASDKSVDYSRSRCSCRSPS
+SHYDYSEDFLSACSETTARNNYLEKPTVKEKKEKKKYNVSKISQLKGQKEISVRKKHSWN
+VPFLNSQISVAAQRRDAMIHRILSARLHKIKELKNELADLHHKAEATVIENQFLKQVQLK
+HLKAIGKYENSQNNLPQIMAKHQNEVKNLRQLLRKSQGKERATSRKLREMDGELLRTKDA
+LQALQKISEENNLPEREELTQRLSILTAKMEANDKKIQDLEKQLRLNNKAFTRQLTFENR
+KTLAAQAATKTLQMEIKHLQQKLKEKDRELEIRNIYSNRIVGNLHDKEDYPKVSSTKSVQ
+ADRRSFPFISMRHQETQKSEDVASWTTKSKKTTGNNGHKEKSIEIIHAMPPCITKLPNQE
+DSKRKYEDLSKEEQHLEAQPSLKNTGQQRYRTENQEKKITLVKEEQELPPKRIEVIHPEG
+EGGQEDDTEKYKFKRSPEISDMGDMPDKNAAPHIKIPFRQRKHYSFTEAIENLHHGLPAS
+GGLANAGSTRYPHSTGKHHSHSEEMKLEHPAIAYEPSFAKSYRTKAKDTTFREKKSNLME
+ELFGSDYVLKNNQTSTTVMKEPEETLQSTKIHHLPPSQASASNAFGDSGVTVVNSIKSSS
+PTEGNRKIII
+>sp|P13753|HA1B_BOVIN BOLA class I histocompatibility antigen, alpha chain BL3-7 OS=Bos taurus OX=9913 PE=2 SV=1
+MRVMRVMRPRTLLLLLSGVLVLTETLAGSHSLRYFYTGVSRPGLGEPRFIAVGYVDDTQF
+VRFDSDAPNPREEPRVPWMEQEGPEYWDRNTRIYKDTAQIFRVDLNTLRGYYNQSETGSH
+NIQAMYGCDVGPDGRLLRGFWQFGYDGRDYIALNEELRSWTAADTAAQITKRKWEAAGAA
+ETWRNYLEGECVEWLRRYLENGKDTLLRADPPKAHVTHHSISDREVTLRCWALGFYPEEI
+SLTWQREGEDQTQDMELVETRPSGDGTFQKWAALVVPSGEEQRYTCRVQHEGLQEPLTLR
+WEPPQTSFLIMGIIVGLVLLVVALVAGAVIWRKKRSGEKGRIYTQAASSDSAQGSDVSLT
+VPKV
+>sp|O02691|HCD2_BOVIN 3-hydroxyacyl-CoA dehydrogenase type-2 OS=Bos taurus OX=9913 GN=HSD17B10 PE=1 SV=3
+MAAACRSVKGLVALITGGASGLGLATAERLVGQGATAVLLDLPNSDGETQAKKLGKSCAF
+APADVTSEKDVQAALTLAREKFGRVDVAVNCAGIAVASKTYNLKKSQAHTLEDFQRVINV
+NLIGTFNVIRLVAGEMGQNEPDQGGQRGVIINTASVAAFEGQVGQAAYSASKGGIVGMTL
+PIARDLAPMGIRVMTIAPGLFGTPLLTTLPDKVRNFLASQVPFPSRLGDPAEYAHLVQAI
+IENSFLNGEVIRLDGAIRMQP
+>sp|Q32LL5|INT12_BOVIN Integrator complex subunit 12 OS=Bos taurus OX=9913 GN=INTS12 PE=2 SV=1
+MAAAVNLELDPIFLKALGFLHSKSKDSAEKLKTLLDESLARGIDSSYRPSQKDVEPPKIS
+STKTVSVKQEPKTSSSLPSGNNNGKVLTTEKVKKEGEKRPADKMKSDITEGADVPKKPRL
+EKPETRSSPITVQTSKDLAMADLSSFEETSADDFAMEMGLACVVCRQMTVASGNQLVECQ
+ECHNLYHQDCHKPQVTDKEVTDPRLVWYCARCTRQMKRMAQKTQKPPQKPAPTVVSVAPA
+VKDPLVKKPETKLKPETTFLAFKRSEVKASTVVSGNSSSTNVSSSATSGLIGWAAFAAKT
+TSAGPSTAKLSSAAQNNSGKPATSSANQKPVGLTGLATTSKGGIGSKIGSSNSTSPTVPL
+KPPPPLTLGKTGLSRSVSCDNVSKVGLPSPSSLVPGSSSQLSGNGNSGTSGPSGSTTNKT
+ASEPSTSPSASLKGPTSQESQLNAMKRLQMVKKKAAQKKLKK
+>sp|P46195|KGUA_BOVIN Guanylate kinase OS=Bos taurus OX=9913 GN=GUK1 PE=1 SV=2
+MSGPRPVVLSGPSGAGKSTLLKKLLQEHGSIFGFSVSHTTRDPRPGEENGKDYYFVTREV
+MQRDIAAGDFIEHAEFSGNLYGTSKAAVRAVQAMNRICVLDVDLQGVRNIKKTDLRPIYI
+FVQPPSLDVLEQRLRQRNTETEESLAKRLAAARADMESSKEPGLFDLIIVNDSLDKAYWA
+LKEALSEEIKKAQGTGQS
+>sp|P40293|CD79A_BOVIN B-cell antigen receptor complex-associated protein alpha chain OS=Bos taurus OX=9913 GN=CD79A PE=2 SV=1
+MPEGPQALQSPPATIFLLLISAAGLGPGCQALWVEWGPPSVTVSVGEEVRLQCTHNGSNT
+NVTWWHVLQSNSSWPPVMYRGDVGAGGELIIKPVNKTHRGMYRCQVSDGKKIQRSCGTYL
+RVRDPLPRPFLDMGEGTKNNIITAEGIILLICAVVPGTLLLFRKRWQNMKFGADIQDDYE
+DENLYEGLNLDDCSMYEDISRGLQGTYQDVGSLHIGDAQLEKP
+>sp|P86437|CBLN1_BOVIN Cerebellin-1 OS=Bos taurus OX=9913 GN=CBLN1 PE=1 SV=1
+MLGVVELLLLGAAWLAGPARGQNETEPIVLEGKCLVVCDSNPTSDPTGTALGISVRSGSA
+KVAFSAIRSTNHEPSEMSNRTMIIYFDQVLVNIGNNFDSERSTFIAPRKGIYSFNFHVVK
+VYNRQTIQVSLMLNGWPVISAFAGDQDVTREAASNGVLIQMEKGDRAYLKLERGNLMGGW
+KYSTFSGFLVFPL
+>sp|Q2KI43|CAV3_BOVIN Caveolin-3 OS=Bos taurus OX=9913 GN=CAV3 PE=2 SV=1
+MMAEEHTDLEAQIVKDIHFKEIDLVNRDPKNINEDIVKVDFEDVIAEPVGTYSFDGVWKV
+SYTTFTVSKYWCYRLLSTLLGVPLALLWGFLFACISFCHIWAVVPCIKSYLIEIQCISHI
+YSLCIRTFCNPLFAALGQVCSNIKVMLRKEV
+>sp|Q2NL11|CH074_BOVIN Uncharacterized protein C8orf74 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MALLTAQGVKKVFQFQKPEGREHLRRLLNWEEFDELRDSRRSILLDTLYESIIFAVGKGF
+PWREVAQVVKFTEELLKETKGCSITEAVTILGNKLRDYQGQFNTTHLLALCDYFHNTFIR
+HYKLYQYVLGQDQDVNLTVTNLEVCTPPQPLPLAEGKDREVWKHEQQLEELSTAEVQKRT
+NMLLLKGALRLEQQHLLQETFSQLPGRRHQTLNREELEKLITEAIHIQIECLKELLQYEI
+QITFDILDLKLQKKTLNLNAPIPPLVPIAGQPGQDEALKLSKTHKGKKAKAKK
+>sp|P08037|B4GT1_BOVIN Beta-1,4-galactosyltransferase 1 OS=Bos taurus OX=9913 GN=B4GALT1 PE=1 SV=3
+MKFREPLLGGSAAMPGASLQRACRLLVAVCALHLGVTLVYYLAGRDLRRLPQLVGVHPPL
+QGSSHGAAAIGQPSGELRLRGVAPPPPLQNSSKPRSRAPSNLDAYSHPGPGPGPGSNLTS
+APVPSTTTRSLTACPEESPLLVGPMLIEFNIPVDLKLVEQQNPKVKLGGRYTPMDCISPH
+KVAIIIPFRNRQEHLKYWLYYLHPILQRQQLDYGIYVINQAGESMFNRAKLLNVGFKEAL
+KDYDYNCFVFSDVDLIPMNDHNTYRCFSQPRHISVAMDKFGFSLPYVQYFGGVSALSKQQ
+FLSINGFPNNYWGWGGEDDDIYNRLAFRGMSVSRPNAVIGKCRMIRHSRDKKNEPNPQRF
+DRIAHTKETMLSDGLNSLTYMVLEVQRYPLYTKITVDIGTPS
+>sp|Q2TBH9|COG8_BOVIN Conserved oligomeric Golgi complex subunit 8 OS=Bos taurus OX=9913 GN=COG8 PE=2 SV=1
+MAATATIPSSTTASATTTATAAALGEVEDEGLLASLFRDRFPEAQWRERPDVGRYLRELS
+GSGLERLRREPERLAEERAQLLQQTRDLAFANYKTFIRGAECTERIHRLFGDVEESLGRL
+LDRLPSLQQSCRNFVKEAEEISSNRRMNTLTLNRHTEILEILEIPQLMDTCVRNSYYEEA
+LELAAYVRRLERKYSSIPVIQGIVNEVRQSMQLMLSQLIQQLRTNIQLPACLRVIGFLRQ
+MDVFTEAELRVKFLQARDAWLRSILTAIPNDDPYFHITKTIEACRVHLFDIITQYRAIFS
+DEDPLLPPAMGEHMVNESAIFHGWVLQKVSQFLQVLETDLNRGIGSRLDSLLGQCMYFGL
+SFSRVGADFRGQLVPVFQQVAISTFQKAIQEAVEKFQDEMNSYTLISTPAVLGSSALPAA
+APVTQPGTLQPPMVLLDFPPLACFLNSILVAFNDLRLCCPVALAQEVTRALEDALDKVTK
+VILAFHRAEEAAFSSGEQELFVQFCTVFLEDLVPYLNRCLQVLFPPAQIAQTLGIPPTQL
+SKYGNLGHVNVSVVQEPLAFILPKREPVFCLDKELVPELPAPAPALPPNAPSPEPVTPVT
+PAVPDAGQEEVESAGPPQPDEPSAGI
+>sp|Q2TA37|ARL2_BOVIN ADP-ribosylation factor-like protein 2 OS=Bos taurus OX=9913 GN=ARL2 PE=1 SV=1
+MGLLTILKKMKQKERELRLLMLGLDNAGKTTILKKFNGEDIDTISPTLGFNIKTLEHRGF
+KLNIWDVGGQKSLRSYWRNYFESTDGLIWVVDSADRQRMQDCQRELQNLLVEERLAGATL
+LIFANKQDLPGALSSNAIREALELDSIRSHHWCIQGCSAVTGENLLPGIDWLLDDISSRI
+FMAD
+>sp|A6QPC0|CJ082_BOVIN Uncharacterized protein C10orf82 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MESSKTFMRQMPITPGYSGFVPYLSCQGTSSEDNMANCLKIFQENTRRCKDQLEEFHCSV
+ATAPKLKPVRSEGTVLRTLHQYYRQYHPLSLECKNIKKPLHEPPIPGWAGYLPRARVTEL
+GCSTRYTVMARNCYRDFLDLMERAKQAHLKPYEKIYGVKSTPPPPTPPPKVLLHEGLLPK
+YPDFSIPGARCPTLSRALMEDPRPLMTCGCPQRPSVWCSGKIYLEPLSGGKDMEG
+>sp|Q7YR89|CYHR1_BOVIN Cysteine and histidine-rich protein 1 OS=Bos taurus OX=9913 GN=CYHR1 PE=2 SV=2
+MTPRPGGEWSSALSHLALGAVSLHAALSTAQCTNGHLMCAGCFIHLLADARLKEEQATCP
+NCRCEISKSLCCRNLAVEKAVSELPSECGFCLCQFPRSILERHQKEECQDRVTQCKYKRI
+GCPWHGPFHELTVHEAACAHPTKTGNELMEILDEMDQSHRKEMQLYNSIFSLLSFEKIGY
+TEVQFRPYRTDDFITRLYYETPRFTVLNQTWVLKARVNDSERNPNLSCKRTLSFQLLLKS
+KVTAPLECSFLLLKGPYDDVKISPVIYHFVFTNESNETDYVPLPIVDSVECNKLLAAKNI
+NLRLFLFQIQK
+>sp|Q08D86|GLPK5_BOVIN Putative glycerol kinase 5 OS=Bos taurus OX=9913 GN=GK5 PE=2 SV=1
+MSEQSTVPEQSASDSRRAGFVLGVDVGSSMIRCHVYDRAARICGSSAQKVESLYPQPGWV
+EIDPDVLWLQFVAVIKESVKAAGIETNQIVGLGISTQRATFITWDKKTGKHFHNFISWQD
+LRATELVKSWNGSLLMKLIHSSCRVLHFFTRSKQFLAASLFTFTTQHVSLRLAWILQNLT
+EVQKAVEEENCCFGTVDTWLLHKLTKGSEFATDFSNASTTGLFDPYEMCWSKIVTSLLSI
+PLSLLPPVKDTSHNFGSVDEEIFGVPIPIVALVADQQAAMFGECCFQTGDVKLTMGTGTF
+LDINTGNNPQQSVGGFYPLIGWKIGQEVVCLAESNAGDTGTAIEWAQQLDLFTDAAETEK
+MAQSLEDSEGVYFVPSFSGLQAPLNDPCACASFMGLKPSTNKYHLVRAILESIAFRNKQL
+YETMQKEIHIPVRKIRADGGVCKNSFVMQMTSDLINETIDRPVHIDMSCSGAASLAGLAV
+GFWSDKEELKKLRQSEMVFKPQKKWQEYEVNMGNWVKAVKRSMNWYKT
+>sp|Q0II71|DEGS2_BOVIN Sphingolipid delta(4)-desaturase/C4-monooxygenase DES2 OS=Bos taurus OX=9913 GN=DEGS2 PE=2 SV=1
+MGNRAGRSDFEWVYTDQPHTQRRKEMLAKYPAIKALMRPDPYLKWTVTAMVLAQLLACWL
+AQGLAWRWLFFWAYAFGGCVNHSLTLAIHDISHNTAFGTGRPSRNRWFAIFANLPVGLPY
+AASFKKYHVDHHRYLGGDGLDVDVPTYFEGRLFCTPARKLLWLALQPFFYTLRPLCVHPK
+AMTRMELCNTLVQLAADATIYALWGLKPMVYLLASSLLGLGLHPISGHFVAEHYMFLKGH
+ETYSYYGPLNWITFNVGYHMEHHDFPSIPSCNLPLVRKIAPEYYDHLPQHHSWVKVLWDF
+VFDDSLGPFARVKRVCKLAENRL
+>sp|Q3MHP5|DRG1_BOVIN Developmentally-regulated GTP-binding protein 1 OS=Bos taurus OX=9913 GN=DRG1 PE=2 SV=1
+MSSTLAKIAEIEAEMARTQKNKATAHHLGLLKARLAKLRRELITPKGGGGGGPGEGFDVA
+KTGDARIGFVGFPSVGKSTLLSNLAGVYSEVAAYEFTTLTTVPGVIRYKGAKIQLLDLPG
+IIEGAKDGKGRGRQVIAVARTCNLILIVLDVLKPLGHKKIIENELEGFGIRLNSKPPNIG
+FKKKDKGGINLTATCPQSELDAETVKSILAEYKIHNADVTLRSDATADDLIDVVEGNRVY
+IPCIYVLNKIDQISIEELDIIYKVPHCVPISAHHRWNFDDLLEKIWDYLKLVRIYTKPKG
+QLPDYTSPVVLPYSRTTVEDFCMKIHKNLIKEFKYALVWGLSVKHNPQKVGKDHTLEDED
+VIQIVKK
+>sp|A6H7F2|GPN2_BOVIN GPN-loop GTPase 2 OS=Bos taurus OX=9913 GN=GPN2 PE=2 SV=1
+MAGAAPTTAFGQAVIGPPGSGKTTYCLGMSEFLRALGRRVAVVNLDPANEGLPYECAVDV
+GELVGLGDVMDALQLGPNGGLLYCMEYLEANLDWLRAKLDPLRGHYFLFDCPGQVELCTH
+HGALRSIFSQMTQWDLRLTAVHLVDSHYCTDPAKFISVLCTSLATMLHVELPHVNLLSKM
+DLIEHYGKLAFNLDYYTEVLDLSYLLDHLASDPFFRHYRQLNEKLVQLIEDYSLVSFIPL
+NIQDKESIQQVLQAVDKANGYCFGVQEQRSLEAMMSAAMGADFHFSSTLGLQEKYLAPSE
+QSVEQEAMQL
+>sp|O77480|FMT_BOVIN Methionyl-tRNA formyltransferase, mitochondrial OS=Bos taurus OX=9913 GN=MTFMT PE=1 SV=2
+MRVLLRCCCGHLPVGGGAGRRSNPRWRALARLSASPGWEDGQGARVREKPPWRVLFFGND
+QFARETLRALHAARENKEEELIEKLEVVTVPSPSPKGLPVKQYAVQSQLPVYEWPDVGSG
+EYDVGVVASFGRLLSEAFILKFPYGILNVHPSCLPRWRGPAPIIHTILHGDTIAGVTIMQ
+IKPRRFDVGPILKQETVPVPPKSTSKELEAVLSRLGANMLISVLKNLPESLNNGRQQPAE
+GVTHAPKISAATSCIKWEEQTSEQIFRLYRAVGNIIPLQTLWMDNTIKLLDLVEVDNSIL
+SDSKLTGQAVIPGSVIYHKQSQILLVCCKDDWIGVRSVMLKKTLTATDFYNGYLHPWYQK
+NSQAQPSQCRFQTLRLPPKKKQKKKIVAMQ
+>sp|Q2KI23|MINY2_BOVIN Ubiquitin carboxyl-terminal hydrolase MINDY-2 OS=Bos taurus OX=9913 GN=MINDY2 PE=2 SV=1
+MESGPESLQPLEHGVAAGPAPGTGSPQEGQQETRLAAGDGPGVWAAESSGGKGQGAAAGG
+RSLSDSASPAGSPQVLVPCSSLPRLDLKESDLESPAAQKEPVRGQHKVTASPETAEAGAD
+HGLGPEGDGGARPDPAGTCQEESAAAGSSEPSSGGGLSSSCSDPSPPGESPSLDSLESFS
+NLHSFPSSSEFNSEEGAENRVPEEEEGAAVLPGAVPLCGEEEVEEEEAQVLAASKERFPG
+QSVYHIKWIQWKEENTPIITQNENGPCPLLAILNVLLLAWKVKLPPMMEIITAEQLMEYL
+GDYMLDTKPKEISEIQRLNYEQNMSDAMAVLHKLQTGLDVNVKFTGVRVFEYTPECIVFD
+LLDIPLYHGWLVDPQIDDIVKAVGNCSYNQLVEKIISCKQSENSELVSEGFVAEQFLNNT
+ATQLTYHGLCELTSTVQEGELCVFFRNNHFSTMTKYKGLLYLLVTDQGFLTEEKVVWESL
+HNVDGDGNFCDSEFHLRPPSDPETVYRGQQDQIDQDYLMALSLQQEQQSQEINWEQIPEG
+ISDLELAKKLQEEEDRRASQYYQEQEQAAAAAASASASASASASTQAPQSQPVQASPSSG
+RQSGNSERKRKEPREKDKEKEKEKNSCVIL
+>sp|Q3SZL6|DCP1B_BOVIN mRNA-decapping enzyme 1B OS=Bos taurus OX=9913 GN=DCP1B PE=2 SV=1
+MAVAGGPAGKGRDISLAALRRHDPYISRIVDVASQVALYTFGHRANEWEKTDVEGTLFVY
+SRSASPKHGFTIMNRLSMENRTEPITKDLDFLLQDPFLLYRNARLSIYGIWFYDKEECQR
+IAELMKNLTQYEQLKAHHGTGAGASPVSLGSGEGKEADILRMLTKAKDEYTKCKTCSEPK
+QISSSSAIHDNPNLIKPIPVKPSGSRQQRGPRPGQTSDPEPQHLSLTALFGKQDKAPCQE
+ATGPPQTLPLQQQQPEKFPMRQGVVRSLSYEEPRRPSPPVDKQLCPAIQKLMVRSMDLQP
+LAELPESRPCTDALRAACAGPAQTGSPRSHALAAPGTQKLLQVQSIPGAENRCEPGAPAP
+ASSATTPVSLAQPTRLSSALPPQTPGPRALPRPAPPGPGPGHQPVTGPGEVSPRELLRRL
+QAVQQEQQLPAPGRPALAAKFPTATLSTRARNPLEPWRDPPPSTEQPAPLLQVLSPQRIP
+AAATPPPLMSPLVFAQPSWAPPQERSRAPLPPGNQDPAATPTGLLLPLRTPEPPGTPGSA
+LSKLQLQEALLHLIQNDDNFLNIIYEAYLFSLTQAAVKKTL
+>sp|P11242|GALA_BOVIN Galanin peptides OS=Bos taurus OX=9913 GN=GAL PE=1 SV=1
+MPRGSVLLLASLLLAAALSATLGLGSPVKEKRGWTLNSAGYLLGPHALDSHRSFQDKHGL
+AGKRELEPEDEARPGSFDRPLAENNVVRTIIEFLTFLHLKDAGALERLPSLPTAESAEDA
+ERS
+>sp|A6QPI4|F162B_BOVIN Protein FAM162B OS=Bos taurus OX=9913 GN=FAM162B PE=2 SV=1
+MLATVGSLLRLRLGRIPCCAPGAPPEVERRPVASLWPRGHPQYSCGGSPGSSEPPGSAEK
+VHRVPAEHKPSQFDKRILLWTGRFKAMEDIPPRIPPEMIDAARNKARVKACYIMIGLTII
+ACFAVIASAKRAAERHESLTSWNLAKKAKWREEAALAAQAKAK
+>sp|Q2TBH6|ELP4_BOVIN Elongator complex protein 4 OS=Bos taurus OX=9913 GN=ELP4 PE=2 SV=1
+MAAAGTCGTGTRSTGSMVAAASTNNVTSFQRRGPRASGADSGCSRLVSIAGTRPSVRNGQ
+LLVSTGLPALDQLLGGGLAVGTVLLIEEDKYNIYSPLLFKYFLAEGIVSGHALLVASAKE
+DPADILQKLPAPLIDDSYKKECDEDVCSHKMEPDIKMKIAWRYQLLPKMEAGPVSSSRFG
+HYYDISKRIPQELIETSKWHGFFLPEKISSAFNVESCSLTPGYMKLLQFIQNIIYKEGFD
+GSNPQKKQKNILRIGIQNLGSPLWGDDICCTENCDTSHSLTKFLYILRGLLRTSLSACII
+TMPTHLIQNKAVIARVTNLSDTVVGLESFIGSEKETNPLYKDYHGLIHIRQIPRLNNLIY
+DISDVKDLAFKLKKKLFTIERLHLPPDLSDTVSRSSKQDLAESTKLLGPGCGMTAGGKKH
+LDF
+>sp|Q2YDD0|HSP7E_BOVIN Heat shock 70 kDa protein 14 OS=Bos taurus OX=9913 GN=HSPA14 PE=2 SV=1
+MAAIGVHLGCTSACVAVYKDGRADVVANDAGDRVTPAIVAYSKNEEVVGLAAKQSRIRNI
+SNTVMKVKQILGRSSDDPQSRKYITESKCLVIEKNGKLLYEIDTGEEKKFVSPEDVARLI
+FSKMKETAHSVLGSDANDVVITVPFDFGEKQKSALGEAARAAGFNVLRLIHEPSAALLAY
+GIGQDSPTGKSNILVFKLGGTSLSISVMEVNSGIYRVLSTNTDNNIGGTHFTETLAQYLA
+SEFQRSFRHDVRGNARAMMKLMNGADTAKHSLSTLGSANCFLDSLYEGQDFDCNVSRARF
+ELLCSPLFNKCIEAIREVLEQSGFTADDINKVVLCGGSSRIPRLQQMIRDLFPAVELLNS
+IPPDEVIPIGAAIEAGILIGKESLSVEDALQIECSAKDILVKGVDESGANSFKVLFPSGT
+PLPARRQHTLQAPGSISSVCLELYESEGKNSAKVENKFAQVVLQDLDKKENGLRDILAVL
+TMKRDGSLHVTCTDQETGKCEAITIEVAS
+>sp|Q2HJB0|MBLC1_BOVIN Metallo-beta-lactamase domain-containing protein 1 OS=Bos taurus OX=9913 GN=MBLAC1 PE=2 SV=1
+MSGLVRTEPLPGETPLLVPGDPYSVVVLLQGYAEPEGVGDAVRADGSVTLVLPQAWGPAS
+SHREPQPYEAKTALEEAARGPILVDTAGPWAREALLGALAGQGVAPGDVTLVVGTHGHSD
+HIGNLGLFPGAALLVSHDFCLPGGRYLPHGLGEERPLRLGPGLEVWATPGHGGQRDVSVL
+VAGTALGTVMVVGDVFERDGDEGSWQALSEDPVAQKHSRKRILGTADVVVPGHGAPFRVI
+REASAPETEPARSRDGEEPTVHG
+>sp|C1JZ66|IMA8_BOVIN Importin subunit alpha-8 OS=Bos taurus OX=9913 GN=KPNA7 PE=1 SV=2
+MPTLDAPEERLRKFKYRGKDASARRQQRIAVSLELRKAKKDEQALKRRNITDVSLDPSDQ
+QTKGVSLTLQEIISGVNASDPELCFQATQAARKMLSREKNPPLKLIVDAGLIPRLVELLK
+SSLHPRLQFEAAWALTNIASGASELTRAVVVGGAIQPLVELLSSPHMTVCEQAVWALGNI
+AGDGPEFRDNVIASDAIPHLLTLVSSSIPVPFLRNIAWTLSNLCRNKNPYPSDHAVKQML
+PALFYLLGHPDREVLSDTCWALSYLTDGCDARIGQVVDTGVLPRLVELMSSSELNILTPS
+LRTVGNIVTGTDHQTQLALDAGILGVLPQLLTHPRPSIQKEAAWALSNVAAGPRQHIQRL
+IACGALPPLVAVLKNGEFKVQKEAVWTVANFTTGGSVEQLIQLVQAGVLEPLINLLTIPD
+NKMVIIILDVLFFILQAAEKISQKENMCLLIEGLGGLDRIEALQLHENHQVALTALNIIE
+RHFSEEEETVTAFRARDQDHKFLKDLTNTYHCCTTPKPGPRP
+>sp|Q32KX0|ISOC2_BOVIN Isochorismatase domain-containing protein 2 OS=Bos taurus OX=9913 GN=ISOC2 PE=2 SV=1
+MAAARPALGRVLPGSSMLFLCDMQEKFRHVVYFRQIVSMAARMLKVARLLSVPTVLTEQY
+PQGLGPTVPELGAQGLQPYSKTCFSMVPAVQQELDARPQLRSVLLCGVETQACILQTALD
+LLDRGLQVHVVVDACTSRSQVDRLVALSRLRQSGAFLSTSEGLIFQLVGDATHPQFKEIQ
+KLVKEPSPDSGLLGLFQDQNPLFR
+>sp|Q8SQH5|ADT2_BOVIN ADP/ATP translocase 2 OS=Bos taurus OX=9913 GN=SLC25A5 PE=2 SV=3
+MTDAAVSFAKDFLAGGVAAAISKTAVAPIERVKLLLQVQHASKQITADKQYKGIIDCVVR
+IPKEQGVLSFWRGNLANVIRYFPTQALNFAFKDKYKQIFLGGVDKRTQFWRYFAGNLASG
+GAAGATSLCFVYPLDFARTRLAADVGKAGAEREFRGLGDCLVKIYKSDGIRGLYQGFNVS
+VQGIIIYRAAYFGIYDTAKGMLPDPKNTHIFISWMIAQSVTAVAGLTSYPFDTVRRRMMM
+QSGRKGTDIMYTGTLDCWRKIARDEGAKAFFKGAWSNVLRGMGGAFVLVLYDEIKKFT
+>sp|Q8MJN0|FUND2_BOVIN FUN14 domain-containing protein 2 OS=Bos taurus OX=9913 GN=FUNDC2 PE=2 SV=1
+METSTQRTGSHLAQTAAARHSASSRGEAARVSSRDELAEMAAASQGNFEGKFESLDLAEL
+AKKQPWWRTLFGQESGPSAEKYSVATQLLIGGVTGWCTGFIFQKVGKLAATAVGGGFFLL
+QLANHTGYIKVDWQRVEKDMKKAKEQLKIRKSNQIPTEVKSKAEEVVSFVKKNVLVTGGF
+FGGFLLGMAS
+>sp|P22457|FA7_BOVIN Coagulation factor VII OS=Bos taurus OX=9913 GN=F7 PE=1 SV=2
+MLSQAWALALLCFLLSLWGSLPAVFLPQEQALSILHRPRRANGFLEELLPGSLERECREE
+LCSFEEAHEIFRNEERTRQFWVSYNDGDQCASSPCQNGGSCEDQLRSYICFCPDGFEGRN
+CETDKQSQLICANDNGGCEQYCGADPGAGRFCWCHEGYALQADGVSCAPTVEYPCGKIPV
+LEKRNGSKPQGRIVGGHVCPKGECPWQAMLKLNGALLCGGTLVGPAWVVSAAHCFERLRS
+RGNLTAVLGEHDLSRVEGPEQERRVAQIIVPKQYVPGQTDHDVALLQLAQPVALGDHVAP
+LCLPDPDFADQTLAFVRFSAVSGWGQLLERGVTARKLMVVLVPRLLTQDCLQQSRQRPGG
+PVVTDNMFCAGYSDGSKDACKGDSGGPHATRFRGTWFLTGVVSWGEGCAAAGHFGIYTRV
+SRYTAWLRQLMGHPPSRQGFFQVPLLP
+>sp|P00743|FA10_BOVIN Coagulation factor X OS=Bos taurus OX=9913 GN=F10 PE=1 SV=1
+MAGLLHLVLLSTALGGLLRPAGSVFLPRDQAHRVLQRARRANSFLEEVKQGNLERECLEE
+ACSLEEAREVFEDAEQTDEFWSKYKDGDQCEGHPCLNQGHCKDGIGDYTCTCAEGFEGKN
+CEFSTREICSLDNGGCDQFCREERSEVRCSCAHGYVLGDDSKSCVSTERFPCGKFTQGRS
+RRWAIHTSEDALDASELEHYDPADLSPTESSLDLLGLNRTEPSAGEDGSQVVRIVGGRDC
+AEGECPWQALLVNEENEGFCGGTILNEFYVLTAAHCLHQAKRFTVRVGDRNTEQEEGNEM
+AHEVEMTVKHSRFVKETYDFDIAVLRLKTPIRFRRNVAPACLPEKDWAEATLMTQKTGIV
+SGFGRTHEKGRLSSTLKMLEVPYVDRSTCKLSSSFTITPNMFCAGYDTQPEDACQGDSGG
+PHVTRFKDTYFVTGIVSWGEGCARKGKFGVYTKVSNFLKWIDKIMKARAGAAGSRGHSEA
+PATWTVPPPLPL
+>sp|Q4TVR5|DUSTY_BOVIN Dual serine/threonine and tyrosine protein kinase OS=Bos taurus OX=9913 GN=DSTYK PE=2 SV=1
+MEGDGVPWGSEPESGPGPGGGGVIRELCRGFGRYRRYLGRLRQNLRDTQKFFRDLRGSQP
+RGCPSSPAEGGEAGRGPAGDVAATGLPAGQLSCISFPPKEEKRLQQMVDCLPCILILGQD
+CSVKCQLLNLLLGVQVLPTNKLGGENCKLRRLRFTYGTQTRVSLALPDRYELVHTLAAHQ
+GTWETVPEEDLEAREDSEDAARVLAELEVTMRHALLQEVDIVVAPCQGLRPTVDVLSDLV
+NDFLPVITYALHKDELSERDEQELQEIRKYFSFPIFFFKVPKLGSEIIDSSTERMESERS
+PLHRQLTDLGYLSSSLCNCGAPGQDTKAQSMLVEQSEKLRHLNTFSHQVLQTRLVDAAKA
+LNLVHCRCLDIFINQAFDMQRDLQITPKRLEYTRKKENELYESLMNIANRKQEEMKDMIV
+ETLNTMKEELLDDAANMEFKDVIVPENGEPVGTREIKCCIRQIQELIISRLNQAVANKLI
+SSVDYLRESFVGTLERCLQSLEKSQDVSVHITSNYLKQILNAAYHVEVTFHSGSSVTRML
+WEQIKQIIQRITWVSPPAITLEWKRKVAQEAIESLSASKLAKSICSQFRTRLNSSHEAFA
+ASLRQLEAGHSGRLEKTEDLWLKVRKDHAPRLARLSLESRSLQDVLLHRKPKLGQELGRG
+QYGVVYLCDSWGGHFPCALKSVVPPDEKHWNDLALEFHYMRSLPKHERLVDLHGSVIDYS
+YGGGSSIAVLLIMERLHRDLYTGLKAGLALETRLQIALDVVEGIRFLHSQGLVHRDVKLK
+NVLLDKQNRAKITDLGFCKPEAMMSGSIVGTPIHMAPELFTGKYDNSVDVYAFGILFWYI
+CSGSVKLPEAFERCASKDHLWNNVRRGARPERLPVFDEECWQLMEACWDGDPSQRPLLGI
+VQPMLQGIMDRLCKSHSERPNRGLDDST
+>sp|Q5E9P9|GLYC_BOVIN Serine hydroxymethyltransferase, cytosolic OS=Bos taurus OX=9913 GN=SHMT1 PE=2 SV=3
+MAAPVNKAPRDANLWSLHEKMLAQPLKDNDVEVYNIIKKESNRQRVGLELIASENFASRA
+VLEALGSCLNNKYSEGYPGQRYYGGTEFIDELEVLCQKRALQVYGLDSQCWGVNVQPYSG
+SPANFAVYTALVEPHGRIMGLDLPDGGHLTHGFMTDKKKISATSIFFESMPYKVNPDTGY
+INYDQLEENARLFHPRLIIAGTSCYSRNLDYARLRKIADDNGAYLMADMAHVSGLVAAGV
+VPSPFEHCHVVSTTTHKTLRGCRAGMIFYRKGVRSVDPKTGRETRYNLESLINSAVFPGL
+QGGPHNHAIAGVAVALKQAMTPEFRAYQRQVVANCRALAEALMGLGYRVVTGGSDNHLIL
+VDLRSKGTDGGRAEKVLEACSIACNKNTCPGDKSALRPSGLRLGTPALTSRGLLEEDFQK
+VAHFIHRGIELTLQIQDAVGVKATLKEFMEKLAGAEEHHRAVAALRAEVESFATLFPLPG
+LPGF
+>sp|Q58CV5|G6PT3_BOVIN Glucose-6-phosphate exchanger SLC37A2 OS=Bos taurus OX=9913 GN=SLC37A2 PE=2 SV=1
+MRSSLAPGIWYRAFILLITFLIYTCYHMSRKPISVVKSRLHHNCSEVIQPVNSTHSLNDT
+TWCNWAPFDKSNYKELLGAVDNAFLVAYAIGMFISGIFGERLPLRYYLTAGMLLSGLFTS
+LFGLGYFWNIHVLWYFVLVQIFNGLVQTTGWPAVVSCVGNWFGKGKRGLIMGIWNSHTSV
+GNILGSLLAGVWVDQQWGLSFVVPGVITAIMGIITFFFLIEYPEDVDCSPPQHHGNPEES
+QDQPEDPANGPSCNKESSLESAVTCSKEASAQPSAISFFGALRIPGVVEFSLCLLFAKLV
+SYTFLYWLPLYISNVVHFTAKEAGDLSTLFDVGGIIGGILAGLVSDYINGRATTCCVMLI
+LAAPMMFLYNHVGQRGIGISIVMLLICGALVNGPYALITTAVSADLGTHKSLKGNAKALS
+TVTAIIDGTGSIGAALGPLLAGLISPTGWNNVFYMLIAADVLACLLLCRLVYKEILAWKS
+SLSKDRGYREM
+>sp|P81623|ERP29_BOVIN Endoplasmic reticulum resident protein 29 OS=Bos taurus OX=9913 GN=ERP29 PE=1 SV=2
+MAAAIPRAASLSPLFPLLFLLSAPQDSSGLHTKGALPLDTITFYKVIPKSKFVLVKFDTQ
+YPYGEKQDEFKRLAENSASSDDLLVAEVGISDYGDKLNMELSEKYKLDKENYPIFYLFQD
+GDFENPVLYSGAVKVGAIQRWLKGHGIYLGMPGCLPAYDTLAGEFIRASGVEARQSLLKQ
+GQDNLASVKETDKKWAEQYLKIMGKILDQGEDFPASEMTRITKLIEKNKMSDGKKEELQK
+SLNILTAFQKKGGEKEEL
+>sp|Q05B79|DHX36_BOVIN ATP-dependent DNA/RNA helicase DHX36 OS=Bos taurus OX=9913 GN=DHX36 PE=1 SV=1
+MSYDYHQNWGRDGGPRSSGGGYGGSYGGSHGGGHGGNRGSGGGGGGGGGRGGRGRHPGHL
+KGREIGLWYAKKQGQKNKEAERQERAVVHMDERREEQIVQLLHSVQTKNDKDEEAQISWF
+APEDHGYGTEAPAENKPNSVKNVEHQEKKMINQEKRPFRIRDKYIDRDSEYLLQENEPDA
+TLDQQLLEDLQKKKTDLRYIEMQRFREKLPSYGMQKELVNMIDNHQVTVISGETGCGKTT
+QVTQFILDNYIERGKGSACRIVCTQPRRISAISVAERVAAERAESCGNGNSTGYQIRLQS
+RLPRKQGSILYCTTGIILQWLQSDPHLSSVSHIVLDEIHERNLQSDVLMTVVKDLLSYRP
+DLKVVLMSATLNAEKFSEYFGNCPMIHIPGFTFPVVEYLLEDIIEKIRYVPEQKEHRSQF
+KKGFMQGHVNRQEKEEKEAIYKERWPGYLRELRQRYSASTVDVVEMMDDEKVDLNLIAAL
+IRYIVLEEEDGAILVFLPGWDNISTLHDLLMSQVMFKSDKFIIIPLHSLMPTVNQTQVFK
+RTPPGVRKIVIATNIAETSITIDDVVYVIDGGKIKETHFDTQNNISTMSAEWVSKANAKQ
+RKGRAGRVQPGHCYHLYNSLRASLLDDYQLPEILRTPLEELCLQIKILRLGGIAHFLSRL
+MDPPSNEAVLLSIKHLMELNALDKQEELTPLGVHLARLPVEPHIGKMILFGALFCCLDPV
+LTIAASLSFKDPFVIPLGKEKVADARRKELAKDTKSDHLTVVNAFKGWEKAKQRGFRYEK
+DYCWEYFLSSNTLQMLHNMKGQFAEHLLGAGFVSSRNPQDPESNINSDNEKIIKAVICAG
+LYPKVAKIRLNLGKKRKMVKVYTKTDGVVAIHPKSVNVEQTEFNYNWLIYHLKMRTSSIY
+LYDCTEVSPYCLLFFGGDISIQKDNDQETIAVDEWIIFQSPARIAHLVKELRKELDILLQ
+EKIESPHPVDWKDTKSRDCAVLSAIIDLIKTQEKATPRNLPPRFQDGYYS
+>sp|A1A4K5|ENPP2_BOVIN Ectonucleotide pyrophosphatase/phosphodiesterase family member 2 OS=Bos taurus OX=9913 GN=ENPP2 PE=1 SV=1
+MARRRSCQLHQVISLFTFAVGVNICLGVTANRIKRAEGWGEGPPTVLSDSPSINISGSCK
+GRCFELQEAGPPDCRCDNLCKSYSSCCLDFDELCLKTAGGWECTKDRCGEVRNEDHACHC
+SEDCLARGDCCTNYQVVCKGESHWVDDDCEEIKTPECPAGFVRPPLIIFSVDGFRASYMK
+KGSKVMPNIEKLRSCGTHSPYMRPVYPTKTFPNLYTLATGLYPESHGIVGNSMYDPVFDA
+HFNLRGREKFNHRWWGGQPLWITATKQGVIAGTFFWPVVIPHERRILTILQWLTLPDHER
+PSVYAFYSEQPDFSGHKYGPFGPEMTNPLRDIDKTVGQLMDGLKQLKLHRCVNVIFVGDH
+GMEDVTCDRTEFLSNYLTNVDDIILVPGTLGRIRPKFNNHAKYDPKVIIANLTCKKPDQH
+FKPYLKQHLPKRLHYANNRRIEDVHLLVERRWHVARKPLEVYKKPSGKCFFQGDHGFDNK
+VNSMQTVFVGYGPTFKYKTKVPPFENIELYNVMCDLLGLKPAPNNGTHGSLNHLLRTNTF
+RPTVPEEVTRPNYPGVMYLQSDFDLGCTCDDKAEPKNKLDELNKHLHIKESTEAETRKFR
+GSKNEIKENVNGNFEPRKERHLLYGRPAVLYRTRYDILYHTDFESGYSEIFLMPLWTSYT
+VSKQADVSDIPAHLTNCVRPDVRVSPSFSQSCLAYKNDKQMSYGFLFPPYLSSSPEAKYD
+AFLVTNMVPMYPAFKRIWNYFQRVLVKKYASERNGVNVISGPIFDYDYDGLHDTQDKIKQ
+YVEGSSVPVPTHYYSILTSCLDFTQPADRCDGPLSVSAFVLPHRPDNDESCNSSEDESKW
+VEELLKMHTARVRDIEHLTSLDFFRKTSRSYPEILTLKTYLQTYESEI
+>sp|A4IFR3|ELOF1_BOVIN Transcription elongation factor 1 homolog OS=Bos taurus OX=9913 GN=ELOF1 PE=3 SV=1
+MGRRKSKRKPPPKKKMTGTLETQFTCPFCNHEKSCDVKMDRARNTGVISCTVCLEEFQTP
+ITYLSEPVDVYSDWIDACEAANQ
+>sp|Q2NL16|FBX28_BOVIN F-box only protein 28 OS=Bos taurus OX=9913 GN=FBXO28 PE=2 SV=1
+MAAASEERMAEEGGGGHGDGGSPSAIASTQRLPPPPPPQPPQPGSQAPPAPALAPDQLPQ
+NNTLVALPIVAIENILSFMSYDEISQLRLVCKRMDLVCQRMLNQGFLKVERYHNLCQKQV
+KAQLPRRESERRNHSLARHADILAAVETRLSLLNMTFMKYVDSNLCCFIPGKVIDEIYRV
+LRYVNSTRAPQRAHEVLQELRDISSMAMEYFDEKIVPILKRKLPGSDVSGRLMGSPPVPG
+PSAALTTMQLFSKQNPSRQEVTKLQQQVKTNGAGVTVLRREISELRTKVQEQQKQLQDQD
+QKLLEQTQIIGEQNARLAELERKLREVMESAVGNSSGSGQSEESPRKRKKAAEAIDSLRK
+SKRLRNRK
+>sp|F1MLB4|DAAF3_BOVIN Dynein assembly factor 3, axonemal OS=Bos taurus OX=9913 GN=DNAAF3 PE=3 SV=2
+MTTPAGSGTGFGSVSWWGLSPAVDLQAESPPVDPDSQAETEHETPELNVLLLGSVDGRHL
+LRTLARAALWPRRRFHFYVLENNLEAVARHILIFSLALEDPEKMGLQERSETFLEVWGNA
+LLRPPVAAFVRAQAGRLAHLVPEPDRLAEQLPWLSLGALKFRERDALEAVFRFWAGGEKG
+PEAFPMSRLWDSRLRQYLGSRYDARHGVSDWDLHMKLHDRGARVIHTREFRRWRDTGVAF
+ELRDSSAYHVPNRTLASGRLLSHRGERVAARGYWGDIATGPFVAFGIEADDETLLRTSNG
+QPVKTACEITEHNVAELFREMAAWGHPRAAEGDPEQVQGGAEGSSEPAAPAPEPFTVHFL
+SLDSAHTLHHKSCYMGQFQLLYVACGMVHLLSAELGACVAPGGRLIVELAQFLVDLRQEQ
+LQAFSSRVGELAQAAGFAPQLGGKPSDTFARFYKAGDSAPGHEDPAVESGTPPPEVLAPP
+LEATDPPSEDRTQPLESGNPPSEPLKLTSESQASLLEASVPPTGSQAPKSENQTVPPETK
+YPIP
+>sp|A5D9A7|CYAC3_BOVIN Cytochrome b ascorbate-dependent protein 3 OS=Bos taurus OX=9913 GN=CYB561A3 PE=2 SV=1
+MAVGWFYLSVLALCSLGSMCILFTIYWMRYWHGGFAWDGSMLMFNWHPVLMVTGMVVLYS
+AASLVYRLPQSWVGPRLPWKSGHAAMHLLAFLLTVLGLHAVFEFHNHAKIPHLYSLHSWL
+GITTVFLFACQWFLGFSVFLLPWASMWLRSLLKPIHVFFGASILSLAIASVVSGINEKLF
+FSLKNGTKTYSNLPSEAVFANCAGMLVVVFGLLVLYILLASSWKRPEPGMQAEREPTRTR
+GRAGTPEVMLEGERGLAEPLLQKRS
+>sp|Q5EA41|GALT6_BOVIN Polypeptide N-acetylgalactosaminyltransferase 6 OS=Bos taurus OX=9913 GN=GALNT6 PE=2 SV=1
+MRLLRRRHMAVRLVMVGSAFVLFLFILQRDVSGREQATEKPWLRSLVSQKDHVLDLMLGA
+VHNLRDSMPKFQIRAPEPQQTLASTNQSCLPGFYTPAELKPFWERPPQDPNGPGADGKAF
+QKKEWTPQETQEKEEGYKKHCFNAFASDRISLQRALGPDTRPPECVDQKFRRCPPLPATS
+VIIVFHNEAWSTLLRTVYSVLHTTPAILLKEIILVDDASTDEYLKEPLERYVKQLQVVQV
+VRQQERKGLITARLLGASVAQAEVLTFLDAHCECFHGWLEPLLARIAEDETVVVSPNIVT
+IDLNTFEFSKPVQRGRVQSRGNFDWSLTFGWEVLPAREKQRRKDETYPIKSPTFAGGLFS
+ISKSYFEHIGTYDNQMEIWGGENVEMSFRVWQCGGQLEIIPCSVVGHVFRTKSPHTFPKG
+INVIARNQVRLAEVWMDGYKEIFYRRNLQAAQMAREKSFGDISERLQLRERLNCHNFSWF
+LDNVYPEMFVPDLKPTFFGALKNLGVDHCLDVGENNNGGKPLILYTCHGLGGNQYFEYTT
+QRDLRHNIAKQLCLHASAGTLGLRSCHFTGKNSQVPKDEEWEFTQDQLIRNSGSGTCLTS
+KDKKPVMATCNPSDPHQHWLFI
+>sp|O18836|GDF8_BOVIN Growth/differentiation factor 8 OS=Bos taurus OX=9913 GN=MSTN PE=1 SV=2
+MQKLQISVYIYLFMLIVAGPVDLNENSEQKENVEKEGLCNACLWRENTTSSRLEAIKIQI
+LSKLRLETAPNISKDAIRQLLPKAPPLLELIDQFDVQRDASSDGSLEDDDYHARTETVIT
+MPTESDLLTQVEGKPKCCFFKFSSKIQYNKLVKAQLWIYLRPVKTPATVFVQILRLIKPM
+KDGTRYTGIRSLKLDMNPGTGIWQSIDVKTVLQNWLKQPESNLGIEIKALDENGHDLAVT
+FPEPGEDGLTPFLEVKVTDTPKRSRRDFGLDCDEHSTESRCCRYPLTVDFEAFGWDWIIA
+PKRYKANYCSGECEFVFLQKYPHTHLVHQANPRGSAGPCCTPTKMSPINMLYFNGEGQII
+YGKIPAMVVDRCGCS
+>sp|A0JNB3|LY6H_BOVIN Lymphocyte antigen 6H OS=Bos taurus OX=9913 GN=LY6H PE=2 SV=1
+MLPAAMKGLGLVLLAALLCSSPAHGLWCQDCTLTTNSSHCTPKQCHPSDTVCATVWITDP
+SSSRKDHSVNKMCASSCDFVKRHFFSDYLMGFINSGILKVDVDCCEKDLCNGVAQAGLSP
+WALAGGLLLSLGPALLWAGP
+>sp|P29177|MGMT_BOVIN Methylated-DNA--protein-cysteine methyltransferase (Fragment) OS=Bos taurus OX=9913 GN=MGMT PE=1 SV=1
+NPIPILTPC
+>sp|Q9TT89|HXB7_BOVIN Homeobox protein Hox-B7 OS=Bos taurus OX=9913 GN=HOXB7 PE=2 SV=1
+MSSLYYANALFSKYPAASSVFATGAFPEQTSCAFASNPQRPGYGAGSGASFAASMQGLYP
+GGGGMAGQSAAGVYAAGYGLEPSSFNMHCAPFEQNLSGVCPGDSAKAAGAKEQRDSDLAA
+ESNFRIYPWMRSSGTDRKRGRQTYTRYQTLELEKEFHYNRYLTRRRRIEIAHALCLTERQ
+IKIWFQNRRMKWKKENKTSGPGTTGQDRAEAEEDEEE
+>sp|A6QQ74|HYPDH_BOVIN Hydroxyproline dehydrogenase OS=Bos taurus OX=9913 GN=PRODH2 PE=2 SV=1
+MLQACRVLRSRAGPSPGSWQPLSFDGGAFHLKSIGELTRALLVLRLCAWPPLVTHGLALQ
+AWSQRLLGSRLSGALLRASIYGQFVAGETAEEVRSCVLQLQNLGLRPLLAVPTEEEPDSA
+VKTGEAWYEGNLSAMLRCVDLSRGLLETPDPTGNALMQLKMTALMSTRLCKQLTSWVRRP
+GDSLELSPERLAEAMDSGQDLQVSCLNTEQTRHLQASLSRLHRVVQHARAQRVRLLVDAE
+YTSLNPALSLLVAALATRWNSSGEGGPWVWNTYQAYLKDTYERLRWDAEAADRAGLAFGV
+KLVRGAYLDKERETARLQGTEDPTQPDYEATSQSYSRCLELMLTQVSHRGPMCHLMVASH
+NEDSVRQATKRMWELGIPPDGPVCFGQLLGMCDHVSLALGQAGYAVYKSIPYGSLEEVIP
+YLIRRAQENRSVLRGARREQELLSQELRRRLLGRGLRVSPH
+>sp|Q2TBQ9|KLC3_BOVIN Kinesin light chain 3 OS=Bos taurus OX=9913 GN=KLC3 PE=2 SV=1
+MSVQVAAPGGLGLGLERPSPEELVRQTRQVVKGLEALRAEHRGLAGHLAEALAAQGPAAG
+LELLEEKQQVVSHSLEAIELGLGEAQVLLALSAHVGALEAEKQRLRAQARRLAQENAWLR
+EELEETQRRLRASEEAVAQLEEEKSHLEFLGQLRQYDPPAESQQPESPPRRDSLASLFPS
+EEEERRGPEAVGAAAAQQGGYEIPARLRTLHNLVIQYAGQGRYEVAVPLCRQALEDLERS
+SGHCHPDVATMLNILALVYRDQNKYKEATDLLHDALQIREQTLGPEHPAVAATLNNLAVL
+YGKRGRYREAEPLCQRALEIREKVLGADHPDVAKQLNNLALLCQNQGKFEEVERHYARAL
+SIYEALGGPHDPNVAKTKNNLASAYLKQNKYQQAEELYKEILHREALPAPLGAPNTGTTS
+DTQQQTLSRSSSFSKLRESIRRGSEKLVSRLRGEGAAGAAGMKRAMSLSMLNTDGSRAPE
+NQFPRQHLSEASRTLSTSTQDLGPR
+>sp|Q9BEA2|ACSM1_BOVIN Acyl-coenzyme A synthetase ACSM1, mitochondrial OS=Bos taurus OX=9913 GN=ACSM1 PE=1 SV=2
+MQRLMKFRVLWGIHMSCPGFHHAPQHLRCRSLSGAGTLRWNDYDRPEEFNFASDVLDHWT
+QMEKEGKRSPNPALWWVNDQGDEVKWSFREMTDLTCRTANVLTQTCGLQTGDRLALILPR
+VPEWWLVCVGCIRTGIIFMPGTTQMKAKDILYRLQVSGAKAIVTTDTLAPEVESVAPECP
+SLKTKLLVSDHSREGWLDFRSLVKSASPDHICIKSKTLDPMAIFFTSGTTGFPKMAKHSH
+GFALRSYFPACRKLLQLKMSDVFWCLSDTGWILAALGSLLEPWTAGSTVFAHHLPQFDPK
+VIIETFFKYPITQCLAAPSVYRMILQQNYTSLRFPTLEHCCTGGEALLPEEQEQWKRQTG
+VLLYQAYGQSETGISCGTLRGMKIKPGSMGKAIPPFDIQIIDDKGNIQPPNTEGNIGIRI
+KPTRPIGLFMYYENNPEKTAEVECGDFYNTGDRATIDEEGYFWFLGRSDDVINASGYRVG
+PAEVENALAEHPAVAESAVVSSPDPVRGEVVKAFIVLNPEFSSRDPGELTKELQQHVKSV
+TAPYKYPRKVEFVSELPKTITGKIKRSELRKKEFGQK
+>sp|Q2HJ94|DNJA2_BOVIN DnaJ homolog subfamily A member 2 OS=Bos taurus OX=9913 GN=DNAJA2 PE=2 SV=1
+MANVADTKLYDILGVPPGASENELKKAYRKLAKEYHPDKNPNAGDKFKEISFAYEVLSNP
+EKRELYDRYGEQGLREGSGGGGGMDDIFSHIFGGGLFSFMGNQSRSRNGRRRGEDMMHPL
+KVSLEDLYNGKTTKLQLSKNVLCSACSGQGGKSGAVQKCSACRGRGVRIMIRQLAPGMVQ
+QMQSVCSDCNGEGEVINEKDRCKKCEGKKVIKEVKILEVHVDKGMKHGQRITFTGEADQA
+PGVEPGDIVLLLQEKEHEVFQRDGNDLHMTYKIGLVEALCGFQFTFKHLDGRQIVVKYPP
+GKVIEPGCVRVVRGEGMPQYRNPFEKGDLYIKFDVQFPENNWINPDKLSELEDLLPSRPE
+VPNIIGDTEEVELQEFDSTRGSGGGQRREAYNDSSDEESSSHHGPGVQCAHQ
+>sp|Q58D49|MMAB_BOVIN Corrinoid adenosyltransferase OS=Bos taurus OX=9913 GN=MMAB PE=2 SV=1
+MAVWGPGGRLGLRGCLGARKLLCPRFQSRGPQGVEDGDRPQPSSKTPKVPKIYTKTGDKG
+FSSTFTGERRSKDDQVFEAVGTTDELSSAIGFAMELIAEKGHPFVEELQKIQCSLQDVGS
+ALATPRSSAREAHLKHATFEAGPILELEQWIDKYSRQLPPLTAFILPSGGKSSSALHFCR
+AVCRRAERRVVPLVQTGETDANVVKFLNRLSDYLFTLARYTAMKEGNPEKIYKKNDLSDR
+T
+>sp|A6H737|LOXL2_BOVIN Lysyl oxidase homolog 2 OS=Bos taurus OX=9913 GN=LOXL2 PE=2 SV=1
+MERRGSSCLCRCLALLALLPTLSLAQYESWRHYPEYFQEPAPEYHRPEVPSDVAKIQLRL
+AGQKRKHSEGRVEVYYDGQWGTVCDDDFTIHAAHVVCRELGYVEAKSWTASSSYGKGEGP
+IWLDNVYCTGSEATLAACSSNGWGVTDCKHTEDVGVVCSEKRIPGFKFDNSLINSIENMN
+IQVEDIRIRAILSAFRKRTPVTEGYVEVKEGKTWKQICDKHWTAKNSRVVCGMFGFPGEK
+TYNTKVYKMFAARKKQRYWPYSMDCTGTEAHISSCKLGPQVSLDPVKNVTCENGLPAVVS
+CVPGQVFSPDGPSRFRKAYKPEQPLVRLRGGANVGEGRVEVLKNGEWGTVCDDKWDLVSA
+SVVCRELGFGSAKEAITGSRLGQGIGPIHLNEIECTGNEKSIIDCKFNAESQGCNHEEDA
+AVRCNIPAMGFQKKLRLNGGRNPYEGRVEVLVERNGSLVWGMVCGENWGIVEAMVVCRQL
+GLGFASNAFQETWYWHGNINANKVVMSGVKCSGTELSLAHCRHDGEDVACPEGGVRYGAG
+VACSETAPDLVLNAEIVQQSTYLEDRPMFMLQCAMEENCLSASAAQTNPTTGYRRLLRFS
+SQIHNNGQSDFRPKNGRHAWIWHDCHRHYHSMEVFTHYDLLNLNGTKVAEGHKASFCLED
+TECEGDIQKSYECANFGEQGITMGCWDMYRHDIDCQWVDITDVPPGDYLFQVVINPNYEV
+AESDYTNNIMKCRTRYDGHRIWMYNCHIGGSFSEETEKKFEHFSGLINNQVSKR
+>sp|P33072|LYOX_BOVIN Protein-lysine 6-oxidase OS=Bos taurus OX=9913 GN=LOX PE=1 SV=3
+MRFAWTALLGSLQLCALVRCAPPAASHRQPPREQAAAPGAWRQKIQWENNGQVFSLLSLG
+SQYQPQRRRDPGATAPGAANATAPQMRTPILLLRNNRTAAARVRTAGPSAAAAGRPRPAA
+RHWFQAGYSTSGAHDAGTSRADNQTAPGEVPTLSNLRPPNRVEVDGMVGDDPYNPYKYTD
+DNPYYNYYDTYERPRPGSRYRPGYGTGYFQYGLPDLVPDPYYIQASTYVQKMAMYNLRCA
+AEENCLASSAYRXDVRDYDHRVLLRFPQRVKNQGTSDFLPSRPRYSWEWHSCHQHYHSMD
+EFSHYDLLDASTQRRVAEGHKASFCLEDTSCDYGYHRRFACTAHTQGLSPGCYDTYNADI
+DCQWIDITDVKPGNYILKVSVNPSYLVPESDYSNNVVRCEIRYTGHHAYASGCTISPY
+>sp|Q32L68|HM20B_BOVIN SWI/SNF-related matrix-associated actin-dependent regulator of chromatin subfamily E member 1-related OS=Bos taurus OX=9913 GN=HMG20B PE=2 SV=1
+MSHGPKQPGAASAPASGKAPGQHGSFVVAVKQERGEGPRAGEKGSHEEEPVKKRGWPKGK
+KRKKILPNGPKAPVTGYVRFLNERREQIRTRHPDLPFPEITKMLGAEWSKLQPAEKQRYL
+DEAEREKQQYMKELRAYQQSEAYKMCAEKIQEKKIKKEDSSSGLMNTLLNGHKGGDCDGF
+STFDVPIFTEEFLDQNKAREAELRRLRKMNVAFEEQNAVLQRHTQSMSSARERLEQELAL
+EERRTLALQQQLQAVRQALTASFASLPVPGTGETPTLSTLDFYMARLHGAIERDPAQHEK
+LIVRIKEILAQVASEHL
+>sp|Q2NL18|HEYL_BOVIN Hairy/enhancer-of-split related with YRPW motif-like protein OS=Bos taurus OX=9913 GN=HEYL PE=2 SV=3
+MKRPREPSGSDSESDGPIDVGREGELSQMARPLSTPSPSQMQARKKRRGIIEKRRRDRIN
+SSLSELRRLVPTAFEKQGSSKLEKAEVLQMTVDHLKMLHATGGTGFFDARALAVDFRSIG
+FRECLTEVIRYLGVLEGPSSRADPVRIRLLSHLNSYAAEMEPSPTPPGPLAFPAWPWSFF
+HSCPGLSAPSNQLAILGRVPGPMLPNASSLAYPIPGLRAAPLRRAAGTILPARRNLLPSR
+GASSTRRARPLERPAAPLPAAPSGRATRGSHMAPLLRSPSPVSPGMVGSPAYMAVPAPRP
+SSPGLAGRPAGAMLCRSWVSEITEVGAF
+>sp|P10103|HMGB1_BOVIN High mobility group protein B1 OS=Bos taurus OX=9913 GN=HMGB1 PE=1 SV=3
+MGKGDPKKPRGKMSSYAFFVQTCREEHKKKHPDASVNFSEFSKKCSERWKTMSAKEKGKF
+EDMAKADKARYEREMKTYIPPKGETKKKFKDPNAPKRPPSAFFLFCSEYRPKIKGEHPGL
+SIGDVAKKLGEMWNNTAADDKQPYEKKAAKLKEKYEKDIAAYRAKGKPDAAKKGVVKAEK
+SKKKKEEEEDEEDEEDEEEEEDEEDEEEEEDDDDE
+>sp|Q0P5C0|LMF1_BOVIN Lipase maturation factor 1 OS=Bos taurus OX=9913 GN=LMF1 PE=2 SV=1
+MAAPRESLRRRKAGAGDPEPEAPPGQGRDLKGRPARLRAGTFWLTRIVLLRALAFVYFVA
+FLVAFHQNKQLIGDRGLLPCRAYLQSVQRHFGGRVSWDALSYAPTILWLLDWSHMDANLD
+ALALLGLGISSFILVSGCANMVLMAALWVLYMSLVNVGQIWYSFGWESQLLETGFLGIFL
+CPLWTLSALPRGTPTSWVVMWGFRWLIFRIMLGAGLIKIRGDRCWRDLTCMDFHYETQPV
+PNPVAYFLHRSPWWFHRFETLSNHFLELVVPFFIFLGRRMCIVHGALQVLFQVVLIISGN
+LSFLNWLTIVPSLACFDDATLGGLFPSGPGRLKDQVLKIQEEETRGARAPRTRGSVARGT
+VNLALGILVAWLSIPVVLNLLSPRQVMNSSFNPLRIVNTYGAFGSITRERTEVILQGTAS
+ANASAPDSAWEDYEFKCKPGDPRRRPCLISPYHHRLDWLMWFAAFQTYEHNEWIIHLAGK
+LLANDAQALSLLARNPFEGRDPPRWVRGEHYRYKFSRPGGRHAAEGKWWIRRRLGPYFPP
+LSRQDLRGYFTSRQWPYPEPE
+>sp|Q3T052|ITIH4_BOVIN Inter-alpha-trypsin inhibitor heavy chain H4 OS=Bos taurus OX=9913 GN=ITIH4 PE=1 SV=1
+MKTPAPGRIHSIVLVLLSLAVLQTSKAQKVQNDIDIYSLTVDSKVSSRFAHTVITSRVVN
+KADAVREATFQMELPKKAFITNFSMVIDGVTYPGNIKEKAAAQEQYSAAVARGESAGLVR
+ATGRKTEQFQVSVSVAPAAKVTFELVYEELLARHLGAYELLLKVRPQQLVKHLQMDIHIF
+EPQGISFLETESTFMTNKLAEALTTSQNKTKAHVRFKPTLSQQQKYPEKQDTVIDGSFIV
+RYDVDRPLSGGSIQIENGYFVHYFAPDSLSTIPKNVIFVIDKSGSMMGRKIKQTREALIK
+ILDDLSPHDQFDLISFSSEATTWKPLLVPASTENVNEAKSYATGIQAQGGTNINDAMLMA
+VQLLEKANQEELLPEGSITLIILLTDGDPTVGETNPLNIQKNVRKAINGQHSLFCLGFGF
+DVSYAFLEKMALENGGLARRIYEDSDSALQLQDFYQEVANPLMTSVAFEYPSNAVESVTQ
+DTFRVFFKGSELVVAGKLREQSPDVLLAQIRGQLHRENITYMMMSHVAEQEEMFRSPKYI
+FHSFIERLWAYLTIQQLLEQMVSALDAEKQALEARALSLSLSYSFVTPLTSMVITKPEGQ
+EQSQVAEKPVEDESRGSRVYLGPMRFGHSVGDRTSRKPGGGLKLLNGTPLFGPPGPPAAA
+SPFHRMTSRLVLPELMSPLAPASAPSPTSGPGGASHDTDFRIKGTTPTALPFAPVQAPSV
+ILPLPGQSVDRLCVDLRRPQELVNLLSDPDQGVEVTGHFETAKARFSWIEVTFENPQVQI
+HASPEHVVMTRNRRNSAYKWKETLYSVMPGLKVTMDKEGLLLLSRPDRVTIGLLFWDGPG
+KGLRLLLQNTDRFSSHVSGTLGQFYQDVLWGPLDTADDSKRTLKVQGRDYSATRELKLDY
+QESPPGKEISCWSVEL
+>sp|P25708|NDUV1_BOVIN NADH dehydrogenase [ubiquinone] flavoprotein 1, mitochondrial OS=Bos taurus OX=9913 GN=NDUFV1 PE=1 SV=2
+MLAARRLLGGSLPARVSVRFSGDTTAPKKTSFGSLKDEDRIFTNLYGRHDWRLKGAQSRG
+DWYKTKEILLKGPDWILGEVKTSGLRGRGGAGFPTGLKWSFMNKPSDGRPKYLVVNADEG
+EPGTCKDREIIRHDPHKLVEGCLVGGRAMGARAAYIYIRGEFYNEASNLQVAIREAYEAG
+LIGKNACGSGYDFDVFVVRGAGAYICGEETALIESIEGKQGKPRLKPPFPADVGVFGCPT
+TVANVETVAVSPTICRRGGAWFASFGRERNSGTKLFNISGHVNNPCTVEEEMSVPLKELI
+EKHAGGVTGGWDNLLAVIPGGSSTPLIPKSVCETVLMDFDALIQAQTGLGTAAVIVMDRS
+TDIVKAIARLIEFYKHESCGQCTPCREGVDWMNKVMARFVRGDARPAEIDSLWEISKQIE
+GHTICALGDGAAWPVQGLIRHFRPELEERMQQFAQQHQARQAAF
+>sp|Q3T044|P33MX_BOVIN Putative monooxygenase p33MONOX OS=Bos taurus OX=9913 GN=P33MONOX PE=2 SV=1
+MASRQPEVPALEPSGPLGKMSLPIGMYRRAFSYDDALEDPTPMTPPPSDMGSIPWKPVIP
+ERKYQDLAKVEEGEPSVSPPAPAPPPATDSAEKAPVVKAKATHVIMSSLITKQTQESIQR
+FEQQAGLRDAGYTPHKGLTTEETKYLRVAEALHKLKLQSGETAREERQPASTQSTPSSSP
+QASPKQKSRGWFTSGSATALPGPSLSTMDSGSGDKDRSSADKWSLFGPRSLQKSESGGFA
+IQAYKGAQKPSPMEVMRAQATRRAEEPATFKPPKMDIPVMEGTKQLPRAHSLKPRDLNVL
+TPTGF
+>sp|O18971|PPARG_BOVIN Peroxisome proliferator-activated receptor gamma OS=Bos taurus OX=9913 GN=PPARG PE=2 SV=1
+MGETLGDALIDPESEPFAVTVSARTSQEITMVDTEMPFWPTNFGISSVDLSMMDDHSHAF
+DIKPFTTVDFSSISTPHYEDIPFPRADPMVADYKYDLKLQEYQSAIKVEPVSPPYYSEKT
+QLYSKPHEEPSNSLMAIECRVCGDKASGFHYGVHACEGCKGFFRRTIRLKLIYDRCDLNC
+RIHKKSRNKCQYCRFQKCLAVGMSHNAIRFGRMPQAEKEKLLAEISSDIDQLNPESADLR
+ALAKHLYDSYIKSFPLTKAKARAILTGKTTDKSPFVIYDMNSLMMGEDKIKFKHISPLQE
+PSKEVAIRIFQGCQFRSVEAVQEITEYAKNIPGFVNLDLNDQVTLLKYGVHEIIYTMLAS
+LMNKDGVLISEGQGFMTREFLKSLRKPFGDFMEPKFEFAVKFNALELDDSDLAIFIAVII
+LSGDRPGLLNVKPIEDIQDNLLQALELQLKLNHPESSQLFAKLLQKMTDLRQIVTEHVQL
+LQVIKKTETDMSLHPLLQEIYKDLY
+>sp|Q2KHV5|NDUF7_BOVIN Protein arginine methyltransferase NDUFAF7, mitochondrial OS=Bos taurus OX=9913 GN=NDUFAF7 PE=2 SV=2
+MNFLAAAGVRRLCAMRAVLPCLWRGKYFSSGNEPAENNTVTPMLRHLIYKIKSTGPITVA
+EYMKEVLTNPAKGYYMNRDMLGEEGDFITSPEISQMFGELLGIWFISEWIAAGKNAAFQL
+VELGPGKGTLLGDILRVFSQLGSLLKNCDISLHLVEVSQKLSEIQALTLTEEKVPLERNA
+ESPVYMKGVTKSGIPVSWYRDLQDVPKEYSFYLAHEFFDVLPVHKFQKTPHGWREVLVDI
+DPQVSDKLRFVLAPCATPAGAFIQNDETRDHVEVCPEAGVVIQELSQRISLTGGAALIAD
+YGHDGTKTDTFRGFCGYRLHDVLTAPGTADLTADVDFSYLRRMSQGKVASLGPVEQQTFL
+RNMGIDVRLKILLDKTDDPSLRQQLLQGYNMLMNPMKMGERFNFLALVPHQRLHGRNHQT
+NARQSKPSPSPVAGFGELAWQ
+>sp|P34943|NDUA9_BOVIN NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 9, mitochondrial OS=Bos taurus OX=9913 GN=NDUFA9 PE=1 SV=1
+MAAAVHPRVVRVLPMSRSSVPALAASVFHSPPQRQLHHAVIPHGKGGRSSVSGIVATVFG
+ATGFLGRYVVNHLGRMGSQVIVPHRCEPYDTMHLRPMGDLGQIIFMDWNGRDKDSIRRAV
+EHSSVVINLVGREWETQNFDFEDVFVKIPQAIAQVSKEAGVEKFIHISHLNADIKSSSKY
+LRSKAVGEKEVRETFPEATIIKPAEIFGREDRFLNYFANIRWFGGVPLISLGKKTVKQPV
+YIVDVTKGIINAIKDPDARGKTFAFVGPSRYLLFDLVQYVFAVAHRPFLPYPLPHFAYRW
+IGRLFEISPFEPWTTRDKVERIHTTDKILPHLPGLEDLGVEATPLELKAIEVLRRHRTYR
+WLSSEIEDVQPAKTIPTSGP
+>sp|Q5E9A0|OSER1_BOVIN Oxidative stress-responsive serine-rich protein 1 OS=Bos taurus OX=9913 GN=OSER1 PE=2 SV=1
+MKSEAKDGEEESLQTAFKKLRVDASGSIASLSVGEGASVRASVRAAVEDAKPKSACASKD
+SWHGSTRKSSRGAVRTQRRRRSKSPVLHPPKFIHCSTIASSSSQLKHKSQTDSPDGSSGL
+GISTPKEFNAGECSSSLDTNHTGAVAEPLRTSVPRLPSESKEEDSSDAPQVSQASLQAND
+LSDFQSVSKLNLGKPCVCIGKECQCKRWHDMEVYSFSGLQNVPPLAPERRSTLEDYSQSL
+HTRTLSGSPRSCSEQARVYVDDVTIEDLSGYMEYYLYIPKKMSHMAEMMYT
+>sp|Q1RMP7|PPIL6_BOVIN Probable inactive peptidyl-prolyl cis-trans isomerase-like 6 OS=Bos taurus OX=9913 GN=PPIL6 PE=2 SV=1
+MASQQHSVPCISRSPPEQPLQVKVVGLFKSSSFQIAKSAAESLKSNYPSNFEDPIIIPVQ
+EFAWHQYLQEKKRELKNEVWEYSSYVMCFINDKLLGDALDLQKWAHKVWDIVDFKPPALY
+EALTVDYSAKFLRDTKHNFVFLDISIDLYPIGRLIFELYSDTCPKTCKNFQILCTGKAGF
+SQSGIKLHYTGSIFHRVVRNGWVQGGDIVAGKGDNGESIYGPTFEDENFSVPHNKRGVLG
+MVNKGRHSNGSQFYITLQAAPYLDKKYVAFGQLIEGTDVLHHLESVPTENERPIQNCVIT
+ASGQLYA
+>sp|P15507|NPMB_BOVIN Morphine-modulating neuropeptide B OS=Bos taurus OX=9913 PE=1 SV=1
+FLFQPQRF
+>sp|Q2T9U8|NMB_BOVIN Neuromedin-B OS=Bos taurus OX=9913 GN=NMB PE=2 SV=1
+MTLRAVGVRLLGGLLLFALLAAGAAPLGWDLPESRSRASKIRVHPRGNLWATGHFMGKKS
+LEPPSPSLLGTAPHTSLRDQTPQLSHHLLRVLLQKQALGMSLSVPAPNTQHRRLLVQTLQ
+K
+>sp|O97552|PO5F1_BOVIN POU domain, class 5, transcription factor 1 OS=Bos taurus OX=9913 GN=POU5F1 PE=2 SV=1
+MAGHLASDFAFSPPPGGGGDGPGGPEPGWVDPRTWMSFQGPPGGSGIGPGVVPGAEVWGL
+PPCPPPYDLCGGMAYCAPQVGVGPVPPGGLETPQPEGEAGAGVESNSEGASPDPCAAPAG
+APKLDKEKLEPNPEESQDIKALQKDLEQFAKLLKQKRITLGYTQADVGLTLGVLFGKVFS
+QTTICRFEALQLSFKNMCKLRPLLQKWVEEADNNENLQEICKAETLVQARKRKRTSIENR
+VRGNLESMFLQCPKPTLQQISHIAQQLGLEKDVVRVWFCNRRQKGKRSSSDYSQREDFEA
+AGSPFTGGPVSSPLAPGPHFGTPGYGGPHFTTLYSSVPFPEGEVFPSVSVTALGSPMHAN
+>sp|O62829|PPM1A_BOVIN Protein phosphatase 1A OS=Bos taurus OX=9913 GN=PPM1A PE=2 SV=1
+MGAFLDKPKMEKHNAQGQGNGLRYGLSSMQGWRVEMEDAHTAVIGLPSGLETWSFFAVYD
+GHAGSQVAKYCCEHLLDHITNNQDFKGSAGAPSVENVKNGIRTGFLEIDEHMRVMSEKKH
+GADRSGSTAVGVLISPQHTYFINCGDSRGLLCRNRKVYFFTQDHKPSNPLEKERIQNAGG
+SVMIQRVNGSLAVSRALGDFDYKCVHGKGPTEQLVSPEPEVHDIERSEEDDQFIILACDG
+IWDVMGNEELCDFVRSRLEVTDDLEKVCNEVVDTCLYKGSRDNMSVILICFPNAPKVSPE
+AVKKEEELDKYLESRVEEIIKKQGEGVPDLVHVMRTLASENIPSLPPGGELASKRNVIEA
+VYNRLNPYKNDDTDSTSTDDMW
+>sp|A2VE52|ORN_BOVIN Oligoribonuclease, mitochondrial OS=Bos taurus OX=9913 GN=REXO2 PE=2 SV=1
+MLGGSLGSRLLRGVGGTRGQFRARGVREGGAAMAAGESMAQRMVWVDLEMTGLDIEKDQI
+IEMACLITDSDLNILAEGPNLIIKQPDELLDSMSDWCKEHHGKSGLTKAVKESTMTLQQA
+EYEFLSFVRQQTPPGLCPLAGNSVHADKKFLDKYMPQFMKHLHYRIIDVSTVKELCRRWY
+PEEYEFAPKKAASHRALDDISESIKELQFYRNNIFKKKTDEKKRKIIENGENEKTVS
+>sp|A2VDP6|NXPE3_BOVIN NXPE family member 3 OS=Bos taurus OX=9913 GN=NXPE3 PE=2 SV=1
+MWINFVKLRLFCCLLAVLMVVVLVVNVTQVEYLDRETASATFIDSGGQFVSSQVIRISRN
+PYCGYERQILSSRERLEEDSLLAALQWQEPDVGPVPFLKSTDPSSSYFVILNSAAFFRVG
+SQLEVLVHVQDFQRKPKKYGGDYLQARIHSPKLQAGAVGRVVDYQNGFYKVFFTLLWPGQ
+VKVSISLVHPSEGIRVLQYLQEKKPDRVYFKSLFRSGRISETTECNVCLPGSLPLCNFTD
+LYTGEPWFCFKPKKLPCSSRINHFKGGYLKGLLTATENAFFQSGVNIKMPINSSGPDWVT
+VIPRNIKETNSLELSQGLGTFPSGYYYKDQWRPRRFKMRQFNDPDNITECLQRKVVYLFG
+DSTIRQWFEYLTTFVPDLVEFNLGSPKNVGPFLAVDQKHNILLKYRCHGPPIRFTTVFSS
+DLRYVANELNGIVGGKNTVVAIAVWSHFSTFPLEVYIRRLRNIRRAVVQLLDRSPKTVVV
+IRTANVQELGPEISLFNSDWYNFQLDTILRKMFSGVGVYLVDAWEMTLAHYLPHKLHPDE
+VIVKNQVDMFLSFVCPLET
+>sp|O19094|OCTC_BOVIN Peroxisomal carnitine O-octanoyltransferase OS=Bos taurus OX=9913 GN=CROT PE=1 SV=1
+MENQLAKSTEERTFQYQDSLPSLPVPSLEESLKKYLESVKPFANEEEYKNTEAIVWKFQN
+GIGEKLQQKLLQRAKGRRNWLEEWWLNVAYLDVRIPSQLNVNFGGPASHIEHYWPPKEGT
+QLERGSISLWHNLNYWQLLRKEKLAVEKVGNTPLDMNQFRMLFSTCKIPGITRDSIINYF
+RTESEGHSPSHLAVLCRGRVFVFDVMHEGYLMTAPEIQRQLTYIQKKCHSEPDGPGVAAL
+TTEERTRWAKAREYLISLNPENLTILEKIQSSLLVFCLDDDSPHVTPEDYSQVSAKILNG
+DPTVRWGDKSYNLIAFSNGVFGSNCDHAPFDAMVLVKVCYYVDENILENEGRWKGSEKVR
+DIPVPEELVFTVDEKVLNDINQAKAQYFKQVSDLQLVVYAFTSFGKKLTKEKQLHPDTFI
+QLALQLAYYRLHGRPGCCYETAMTRLFYHGRTETVRPCTVEAVNWCQSMQNPSTSLLERK
+HMMLEAFAKHNKMMKDCSTGKGFDRHLLGLSLIAKEEGLPVPELFTDPLFSRSGGGGNFV
+LSTSLVGYLRVQGVMVPMVHNGYGFFYHIRDDRFVVSCSAWKSCPETDAEKLVQQVFHAF
+CDMMQLMEMPHL
+>sp|Q58D08|P5CR3_BOVIN Pyrroline-5-carboxylate reductase 3 OS=Bos taurus OX=9913 GN=PYCR3 PE=2 SV=1
+MAAAAEESGWRRVGFVGAGRMAEAIAQGLIQAGKVEAEHVLASAPSDRNLCRFRAMGCQT
+THSNLEVLHSCSLVFFATKPHILPAVLVEVAPAVTAEHILVSVAAGVSLSTLEKLLPPMA
+RVLRVSPNLPCIVQEGAMVMARGCCAGSYEAQLLRSLLEACGQCEEVPEAQVDVHTGLSG
+SGVAFVCAFSEALAEGAIKMGMPSGLAHRIAAQTLLGTAKVLLQKGQHPAQLRTDVCTPG
+GTTIYGLHVLEQGGLRATAMSAVEAATCRARELSRNPSPVVAQVPSVLQALQGEGALAHR
+EHSSVARS
+>sp|P79126|PPM1G_BOVIN Protein phosphatase 1G OS=Bos taurus OX=9913 GN=PPM1G PE=2 SV=2
+MGAYLSQPNTVKCSGDGVGASRLPLPYGFSAMQGWRVSMEDAHNCIPELDSETAMFSVYD
+GHGGEEVALYCAKYLPDIIKDQKAYKEGKLQKALEDAFLAIDAKLTTEEVIKELAQIAGR
+PTEDEDEKEKVADEDDVDNEEAALLHEEATMTIEELLTRYGQNCHKGAPHSKSGAGTGEE
+PGSQGLNGEAGPEDPSRETSAEENGPTAKAHTGLSSNSECGTEAGQGGEPGTPTGEAGPS
+CSSASDKLPRVAKSKFFEDSEDESDEAEEEEEDSEECSEEEDGYSSEEAENEEDEDDTEE
+AEEDDEEEEMMVPGMEGKEEPGSDSGTTAVVALIRGKQLIVANAGDSRCVVSEAGKALDM
+SYDHKPEDEVELARIKNAGGKVTMDGRVNGGLNLSRAIGDHFYKRNKNLPPEEQMISALP
+DIKVLTLTDDHEFMVIACDGIWNVMSSQEVIDFIQSKISQRDENGELRLLSSIVEELLDQ
+CLAPDTSGDGTGCDNMTCIIICFKPRNTAAPQPESGKRKLEEVLSTEGAEENGNSDKKKA
+KRD
+>sp|P98091|MUCS_BOVIN Submaxillary mucin-like protein OS=Bos taurus OX=9913 PE=2 SV=1
+MKVLQENSPRHAISGSSHTEATTLIVSNSTSGTGLRPEDNTAVAGGQATGRVTGTTKVIP
+GTTVAPGSSNTESTTSLGESRTRIGRITGATTGTSKRSSPGSKTGNTGAISGTTVAPGSS
+NTGATTSLGSGETTQGGIKIVTMGVTTGTTIAPGSSNTKATTPTEVRTTTEVRTATETTT
+SRHSSDATGSGIQTGITGTGSGTTSSPGGFNAEATTFKEHVRTTETRILSGTTRGRSGTT
+VIPESSNTGTSTGVGRQTSTAVVSGRVTGVSESSSPGTSKEASETTTGPGISTTGSTSKS
+NRITTSSRIPYPETTVVATGEQETETKTGCTTSLPPPPACYGPLGEKKSPGDIWTANCHK
+CTCTDAETVDCKLKECPSPPTCKPEERLVKFKDNDTCCEIAYCEPRTCLFNNNDYEVGAS
+FADPKNPCISYSCHNTGFVAVVQDCPKQTWCAEEDRVYDSTKCCYTCKPYCRSSSVNVTV
+NYNGCKKKVEMARCAGECKKTIKYDYDIFQLKNSCLCCQEENYEYREIDLDCPDGGTIPY
+RYRHIITCSCLDICQQSMTSTVS
+>sp|Q08E11|PPIC_BOVIN Peptidyl-prolyl cis-trans isomerase C OS=Bos taurus OX=9913 GN=PPIC PE=2 SV=1
+MGPGLRPLLPLVLCVGLSALVPSAGASGFRKRGPSVTAKVFFDVRIGDKDVGRIVIGLFG
+KVVPKTVENFVALATGEKGYGYKGSKFHRVIKDFMIQGGDFTRGDGTGGISIYGETFPDE
+NFKLKHYGIGWVSMANAGPDTNGSQFFITLTKPTWLDGKHVVFGKVLDGMTVVHSIELQA
+TDGHDRPFTDCSIVNSGKIDVKTPFVVEVSDW
+>sp|P68827|NAGPA_BOVIN N-acetylglucosamine-1-phosphodiester alpha-N-acetylglucosaminidase OS=Bos taurus OX=9913 GN=NAGPA PE=1 SV=2
+MASSMGRFLLFFIALRGFLLEASGDFGSGASRDDDVLLPYSRARARLARDCTRVHAGRLE
+HHESWPPAAQTAGAHRPSVRTFVSYFADRAVPGHLTRAPEPLRTFSVLEPGGPGGCASKR
+RATVEETARPSGCTVAQNGGFFRMETGECLGNVVSGGRRVSSAGGLQNAQFGIRRDGTLV
+TGYLSEEEVLDTENPFVQLLSGVVWLIRNGSIYINESQAAECEETQETGSFNRFVNVISA
+RTAVGHDRKGQLVLLHVDGQTEQRGINLWEMAEFLLKQDVVNAINLDGGGSATFVLNGTL
+ASYPSDHCQDNMWRCPRRVSTVVCVHEPRCQPPDCSGHGTCMEGRCQCTGHFWRGAACDK
+LDCGPANCSQHGLCTETGCRCEAGWTGSNCSEECPLGWYGPGCQSPCKCEHQCPCDPQTG
+NCSVNWSPTLSSLFSRVKECFPPPEVTVQAEELSLLTRTTWLAITLALAFLLLISTAANV
+SLFLGSRAARRRHLDGAYVYHPLQEVNGEHPAAEKEQLGDSSNPFKD
+>sp|A2VE56|P20L1_BOVIN PHD finger protein 20-like protein 1 OS=Bos taurus OX=9913 GN=PHF20L1 PE=2 SV=1
+MSKKPPNRPGITFEIGARLEALDYLQKWYPSRIEKIDYEEGKMLVHFERWSHRYDEWIYW
+DSNRLRPLERPALRKEGLKDEEDFFDFKAGEEVLARWTDCRYYPAKIEAINKEGTFTVQF
+YDGVIRCLKRMHIKAMPEDAKGQVKAQHPLSWCCPSDPAGSCNQSMGSEDWIALVKAAAA
+AAAKNKTGNKPRTSANSNKDKEKDERKWFKVPSKKEETSTSITTPEVEKKEDLPTSSETF
+VGLHVENVPKMVFPQPESTLTNKRKNNQGNSFQAKRARLNKITGLLASKAVGVDGAEKKE
+DYNETAPMLEQVLHSL
+>sp|Q2KHV2|PACC1_BOVIN Proton-activated chloride channel OS=Bos taurus OX=9913 GN=PACC1 PE=2 SV=1
+MIRQELSTSYQELSEELDQVVENSEQADERDKETVKVHGPGILPALDSESASSSIRFSKA
+CLKNVFSVLLIFIYLLLMAVAVFLVYQTIMDFREKLKHPVMSVSYKEVDRYDAPGIALYP
+GQAQLLSCKHYYEVIPPLRSPGQPGDVNCTTQRVNYTDPFSNQTLKSALIVRGPREVQKR
+ELVFLQFRLNQSSEDFSAIDYLLFSSFQEFLQSPDRAGFMQACESAYSSWKFSGGFRTWV
+KMSLVETKEEDGREAVEFRQETSVVNYIDQRPAAEKSAQLFFVVFEWKDPFIQKVQDIIT
+ANPWNTIALLCGAFLALFKAAEFAKLSVKWMIKIRRRYLKKRGQATNHIS
+>sp|Q32PA4|PHP14_BOVIN 14 kDa phosphohistidine phosphatase OS=Bos taurus OX=9913 GN=PHPT1 PE=2 SV=1
+MAAAGLAQIPDVDIDSDGVFKYVLIRVYAAPPSGDPAVETKEIVRGYKWAEYHADIYDKV
+SGEIQKKGYDCECLGGGRISHQSQDRKIHVYGYSMGYGRAQHSVSTEKIKAKYPDYEVTW
+ADDGY
+>sp|A0JNI1|LYSM1_BOVIN LysM and putative peptidoglycan-binding domain-containing protein 1 OS=Bos taurus OX=9913 GN=LYSMD1 PE=2 SV=1
+MASPSRQAPLGGSGLLHGSRARSYGSLVQSACSPVRERRLEHQLAPGDTLAGLALKYGVT
+MEQIKRANRLYTNDSIFLKKTLHIPILTEPRDLFNGLDSEEEKDGEEAVQPSKDEVRPHS
+AERKKRERGLGHANGEPLPTAGQEPARHDLSASDFLKKLDSQISLSKKAAAQKLKKGESG
+IPGEDSSLHLSSPRMQQRAVLGPVPLTQTSRTRTLRDQEDEIFKL
+>sp|Q2KHU8|IF2G_BOVIN Eukaryotic translation initiation factor 2 subunit 3 OS=Bos taurus OX=9913 GN=EIF2S3 PE=2 SV=1
+MAGGEAGVTLGQPHLSRQDLATLDVSKLTPLSHEVISRQATINIGTIGHVAHGKSTVVKA
+ISGVHTVRFKNELERNITIKLGYANAKIYKLDDPSCPRPECYRSCGSSTPDEFPTDIPGT
+KGNFKLVRHVSFVDCPGHDILMATMLNGAAVMDAALLLIAGNESCPQPQTSEHLAAIEIM
+KLKHILILQNKIDLVKESQAKEQYEQILAFVQGTVAEGAPIIPISAQLKYNIEVVCEYIV
+KKIPVPPRDFTSEPRLIVIRSFDVNKPGCEVDDLKGGVAGGSILKGVLKVGQEIEVRPGI
+VSKDSEGKLMCKPIFSKIVSLFAEHNDLQYAAPGGLIGVGTKIDPTLCRADRMVGQVLGA
+VGALPEIFTELEISYFLLRRLLGVRTEGDKKAAKVQKLSKNEVLMVNIGSLSTGGRVSAV
+KADLGKIVLTNPVCTEVGEKIALSRRVEKHWRLIGWGQIRRGVTIKPTVDDD
+>sp|Q3ZC89|MAP2_BOVIN Methionine aminopeptidase 2 OS=Bos taurus OX=9913 GN=METAP2 PE=2 SV=1
+MAGVEEAASCGSHLNGDLDPDEREEGAASTAEEAAKKKKRKKKKSKGAATGQQEPDKEAG
+ASVDEVTRQLERQALEEKEKDDDDEDGDGDGDGATGKKKKKKKKKRGPKVQTDPPSVPIC
+DLYPNGVFPKGQECEYPPTQDGRTAAWRTTSEEKKALDQASEEIWNDFREAAEAHRQVRK
+YVMSWIKPGMTMIEICEKMEDCSRKLIKENGLNAGLAFPTGCSLNNCAAHYTPNAGDTTV
+LQYDDICKIDFGTHISGRIIDCAFTVTFNPKYDTLLKAVKDATNTGIKCAGIDVRLCDVG
+EAIQEVMESYEVEIDGKTYQVKPIRNLNGHSIGPYRIHAGKTVPIVKGGEATRMEEGEVY
+AIETFGSTGKGVVHDDMECSHYMKNFDVGHVPIRLPRTKHLLNVINENFGTLAFCRRWLD
+RLGESKYLMALKNLCDLGIVDPYPPLCDIKGSYTAQFEHTILLRPTCKEVVSRGDDY
+>sp|Q2M2T1|H2B1K_BOVIN Histone H2B type 1-K OS=Bos taurus OX=9913 GN=HIST1H2BK PE=1 SV=3
+MPEPAKSAPAPKKGSKKAVTKAQKIDGKKRKRSRKESYSVYVYKVLKQVHPDTGISSKAM
+GIMNSFVNDIFERIAGEASRLAHYNKRSTITSREIQTAVRLLLPGELAKHAVSEGTKAVT
+KYTSAK
+>sp|C1ITJ8|HMR1_BOVIN Major histocompatibility complex class I-related gene protein OS=Bos taurus OX=9913 GN=MR1 PE=1 SV=1
+MMLLLPLIIVLMMKLSDARTHSLRYFRLGISEPGYGIPEFISAGYVDSHPITMYNSVSQL
+KEPRALWMEENLAPDHWERYTQLLRGWQQAFKVELKQLQHHYNHSGFHTYQRMIGCELLE
+DGSITGFLQYAYDGQDFLIFNKDTLSWMAMDNVADIIRRVWEANRHELQYQKNWLEEECI
+AWLKRFLEYGKDALQRTEPPKVRVNHKETFPGITTLYCRAYGFYPPEISINWMKNGEEIF
+QDTDYGGILPSGDGTYQTWVSVELDPQNGDIYSCHVEHGGVHMVLQGFQESETILLVVKA
+VGFIVLAIALAGVGILAWRKRPRGKNKVICLSTPEH
+>sp|A5PJJ5|LRC14_BOVIN Leucine-rich repeat-containing protein 14 OS=Bos taurus OX=9913 GN=LRRC14 PE=2 SV=1
+MHTLVFLSTRQVLQCQSAACQALPLLPRELFPLLFKVAFMDKKTVVLRELVHTWPFPLLS
+FQQLLQECAHCSRALLQERPSTESMQAVILGLTARLHTPETEPGTQPLCRKHTLRVLDMT
+GLLDDGVEQDPGTMSMWDCTAAVARTCIEQQQGRTAEPGQAPVPVEVRVDLRVNRASYAF
+LREALRSSVGSPLRLCCRDLRAEDLPMRNTVALLQLLDAGCLRRVDLRFNNLGLRGLSVI
+IPHVARFQHLASLRLHYVHGDSRQPSVDGEDNFRYFLAQMGRFTCLRELSMGSSLLSGRL
+DQLLSTLQSPLESLELAFCALLPEDLRFLARSSHAVHLKKLDLSGNDLSGSQLEPFQGLL
+QAAAATLLHLELTECQLADTQLLATLPVLTRCASLRYLGLYGNPLSVAGLRELLRDSVVQ
+AELRTVVHPFPVDCYEGLPWPPPASVLLEASINEEKFARVEAELHQLLLASGRAHVLWTT
+DIYGRLAADYFSL
+>sp|P51177|GUC1B_BOVIN Guanylyl cyclase-activating protein 2 OS=Bos taurus OX=9913 GN=GUCA1B PE=1 SV=2
+MGQQFSWEEAEENGAVGAADAAQLQEWYKKFLEECPSGTLFMHEFKRFFKVPDNEEATQY
+VEAMFRAFDTNGDNTIDFLEYVAALNLVLRGTLEHKLKWTFKIYDKDRNGCIDRQELLDI
+VESIYKLKKACSVEVEAEQQGKLLTPEEVVDRIFLLVDENGDGQLSLNEFVEGARRDKWV
+MKMLQMDLNPSSWISQQRRKSAMF
+>sp|Q2HJH0|LSM5_BOVIN U6 snRNA-associated Sm-like protein LSm5 OS=Bos taurus OX=9913 GN=LSM5 PE=3 SV=3
+MAANATTNPSQLLPLELVDKCIGSRIHIVMKSDKEIVGTLLGFDDFVNMVLEDVTEFEIT
+PEGRRITKLDQILLNGNNITMLVPGGEGPEV
+>sp|Q1RMS4|LRFN3_BOVIN Leucine-rich repeat and fibronectin type-III domain-containing protein 3 OS=Bos taurus OX=9913 GN=LRFN3 PE=2 SV=1
+MAVLPLLLCLLPLAPASSPPQPASPSPCPRRCRCQTQSLPLSVLCPGAGLLFVPPSLDRR
+AAELRLADNFIATVRRRDLANMTGLLHLSLSRNTIRHVAAGAFSDLRALRALHLDGNRLT
+SLGEGQLRGLVNLRHLILSNNQLAALAAGALDDCAETLEDLDLSYNNLEQLPWEALGRLG
+NVNTLGLDHNLLASVPAGAFSRLHKLARLDMTSNRLTTIPPDPLFSRLPLLARPRGSPAS
+ALVLAFGGNPLHCNCELVWLRRLAREDDLEACASPPALGGRYFWAVGEEEFVCEPPVVTH
+RSPPLAVPAGRPAALRCRAVGDPEPRVRWVSPQGRLLGNSSRARAFPNGTLELLVTEPGD
+GGIFTCIAANAAGEATAAVELTVGPPPPPQLANSTSCDPPRDGEPDALTPPSAASASASA
+KAAEAGPPTDRGVQVTEHGATAALIQWPDQRPIPGIRMYQIQYNSSADDILVYRMIPAES
+SSFLLTDLASGRTYDLCVLAVYEDSATGLTATRPVGCNRFSTEPALRPCGAPHAPFLGGT
+MIIALGGVIVASVLVFIFVLLMRYKVHGGQPPGKTKASAPVSSVCSQTNGALGPMPAPPA
+PEPSAPRAHTVVQLDCEPWGPSHEPMGP
+>sp|Q3SX23|LONP2_BOVIN Lon protease homolog 2, peroxisomal OS=Bos taurus OX=9913 GN=LONP2 PE=2 SV=1
+MSSVSPIQIPSRLPLLLTHEGVLLPGSTMRTSVDSARNLQLVRSRLLKGTSLQSTILGVI
+PNTPDPASDAQDLPPLHRIGTAALAVQVVGSNWPKPHYTLLITGLCRFQITQVVREKPYP
+VAEVEQLDRLEEFPNTCKTREELGELSEQFYKYAVQLVEMLDMSVPAVAKLRRLLDSLPR
+EALPDILTSIIRTSNKEKLQILDAVSLEERFKMTIPLLVRQIEGLKLLQKTRKHKQDDDK
+RVIAIRPMRRITHVPGALADEDEDEDNDDIVMLEKKIRTSSMPEQAHKVCVKEIKRLKKM
+PQSMPEYALTRNYLELMVELPWNKSTTDRLDIRAARVLLDNDHYAMEKLKKRVLEYLAVR
+QLKNNLKGPILCFVGPPGVGKTSVGRSVAKTLGREFHRIALGGVCDQSDIRGHRRTYVGS
+MPGRIINGLKTVGVNNPVFLLDEVDKLGKSLQGDPAAALLEVLDPEQNHNFTDHYLNVAF
+DLSQVLFIATANTTASIPPALLDRMEIIQVPGYTQEEKIEIAHRHLIPKQLEQHGLTPQQ
+IQIPQVTTLDIITRYTREAGVRSLDRKLGAICRAVAVKVAEGQHREAKLDRPDVAEGEGC
+KEHLLEDGKSDPVSDTTDLALPPEMPILIDFHALKDILGPPMYEMEVSERLSQPGVAIGL
+AWTPLGGEIMFVEASRMDGEGQLTLTGQLGNVMKESAHLAISWLRSNAKKYHLTNASGSF
+DLLENTDIHLHFPAGAVTKDGPSAGVTIATCLASLFSGRLVRSDVAMTGEITLRGLVLPV
+GGIKDKALAAHRAGLKRVIIPQRNEKDLEEIPANVRQDLSFITASCLDEVLNAAFDGGFT
+VKARPGLLNSKL
+>sp|Q0P5C7|HACD4_BOVIN Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase 4 OS=Bos taurus OX=9913 GN=HACD4 PE=2 SV=1
+MGPVALPTWLQPRYRKNAYLFIYYLIQFCGHSWIFTNMTVRFFSFGKDSMVDTFYAIGLV
+MQLCQSISLLELLHIYVGIESNHLLPRILQLTERIIVLFMVITSQEEVQEKYVVCVLFIF
+RNLLDMVRYTYSMLSVIGISYAVLTWFSQTLWMPIYPLCVLAEAFTIYQSLPYFESFGTY
+STKLPFDLSFYFPYVLKIYLMMLFVGMYFTYNHLYSERRDILRVFPNKKKM
+>sp|P80189|LYSCN_BOVIN Lysozyme C, non-stomach isozyme OS=Bos taurus OX=9913 GN=LYS PE=2 SV=4
+MKALLILGLLLFSVAVQGKVFERCELARSLKRFGMDNFRGISLANWMCLARWESNYNTQA
+TNYNAGDQSTDYGIFQINSHWWCNDGKTPGAVNACHLPCGALLQDDITQAVACAKRVVSD
+PQGIRAWVAWRSHCQNQDLTSYIQGCGV
+>sp|Q5EAE5|JOS1_BOVIN Josephin-1 OS=Bos taurus OX=9913 GN=JOSD1 PE=2 SV=1
+MSCVPWKGDKVKSESLELPQAAPPQIYHEKQRRELCALHALNNVFQDSNAFTRETLQEIF
+QRLSPNTMVTPHKKSMLGNGNYDVNVIMAALQTKGYEAVWWDKRRDVGAIALTNVMGFIM
+NLPSSLCWGPLKLPLKRQHWICVREVGGAYYNLDSKLKMPEWIGGKSELRKFLKHHLRGK
+NCELLLVVPEEVEAHQSWRADV
+>sp|P55203|GUC2D_BOVIN Retinal guanylyl cyclase 1 OS=Bos taurus OX=9913 GN=GUCY2D PE=1 SV=1
+MTACTFLAGGLRDPGLCGPTRWAPSPPGLPPIPPRPRLRLRPPLLLLLLLPRSVLSAVFT
+VGVLGPWACDPIFARARPDLAARLAASRLNHAAALEGGPRFEVALLPEPCRTPGSLGAVS
+SALTRVSGLVGPVNPAACRPAELLAQEAGVALVPWGCPGTRAAGTTAPVVTPAADALYAL
+LRAFRWAHVALVTAPQDLWVEAGHALSTALRARGLPVALVTSMEPSDLSGAREALRRVQD
+GPRVRAVIMVMHSVLLGGEEQRCLLEAAEELGLADGSLVFLPFDTLHYALSPGPDALAVL
+ANSSQLRKAHDAVLTLTRHCPLGGSVRDSLRRAQEHRELPLDLNLQQVSPLFGTIYDSVF
+LLAGGVARARVAAGGGWVSGAAVARHIRDARVPGFCGALGGAEEPSFVLLDTDATGDQLF
+ATYVLDPTQGFFHSAGTPVHFPKGGRGPGPDPSCWFDPDTICNGGVEPSVVFIGFLLVVG
+MGLAGAFLAHYCRHRLLHIQMVSGPNKIILTLDDITFLHPHGGNSRKVAQGSRTSLAARS
+ISDVRSIHSQLPDYTNIGLYEGDWVWLKKFPGDRHIAIRPATKMAFSKIRELRHENVALY
+LGLFLAGGAGGPAAPGEGVLAVVSEHCARGSLQDLLAQRDIKLDWMFKSSLLLDLIKGIR
+YLHHRGVAHGRLKSRNCVVDGRFVLKVTDHGHGRLLEAQRVLPEPPSAEDQLWTAPELLR
+DPVLERRGTLAGDVFSLGIIMQEVVCRSAPYAMLELTPEEVVKRVQSPPPLCRPSVSIDQ
+APMECIQLMKQCWAEQPELRPSMDRTFELFKSINKGRKMNIIDSMLRMLEQYSSNLEDLI
+RERTEELELEKQKTDRLLTQMLPPSVAEALKMGTPVEPEYFEEVTLYFSDIVGFTTISAM
+SEPIEVVDLLNDLYTLFDAIIGSHDVYKVETIGDAYMVASGLPQRNGHRHAAEIANMALD
+ILSAVGTFRMRHMPEVPVRIRIGLHSGPCVAGVVGLTMPRYCLFGDTVNTASAMESTGLP
+YRIHVNRSTVQILSALNEGFLTEVRGRTELKGKGAEETYWLVGRRGFNKPIPKPPDLQPG
+ASNHGISLHEIPPDRRQKLEKARPGQFSGK
+>sp|Q3SYY9|LMBD1_BOVIN Probable lysosomal cobalamin transporter OS=Bos taurus OX=9913 GN=LMBRD1 PE=2 SV=1
+MAAAAAGAASAELVIGWCIFGLLLLAILAFCWIYVRKYQSQRESEVVSTITAIFSLAIAL
+ITSALLPVDIFLVSYMKNQNGTFKDWANGNVSRQIEDTVLYGYYTLYSVILFCVFFWIPF
+VYFYYEEKDDDDTGKCTQVKMALKYTLGFVVICALLLLVGAFVPLNLPDNKNSTEWEKVK
+FLFEELGSSHGLAALSFSISSLTLVGMLAAIIYTAYGMSALPLNLIKGTRNAAYERLENT
+EDIEEVEQHIQTIKSKSKDGRPLPARDRRALKQFEERLRTLRKRERRLEFIENSWWTKFC
+GALRPLKIIWGIFFIFVALLFVISLFLSNLDKALHSAGIDSGFIIFGANLSNPLNMLLPL
+LQTVFPLDYILITIIIMYFIFTSMAGIRNIGIWFFWIRLYKIRRGRTRPQALLFLCMILL
+LIVLHTSYMIYSLAPQYVMYGSQNYLIESNMTYNDHRGNSSLSVPKRCDADAPEDQCTVT
+RTYLFLHKFWFFSAAYYFGNWAFLGVFIVGFIVSCCKGKKSVLERVDEDDSDLSDDEPSL
+YSV
+>sp|Q3SX41|LC7L3_BOVIN Luc7-like protein 3 OS=Bos taurus OX=9913 GN=LUC7L3 PE=2 SV=1
+MISAAQLLDELMGRDRNLAPDEKRSNVRWDHESVCKYYLCGFCPAELFTNTRSDLGPCEK
+IHDENLRKQYEKSSRFMKVGYERDFLRYLQSLLAEVERRIRRGHARLALSQNQQSSGAAG
+PTGKNEEKIQVLTDKIDVLLQQIEELGSEGKVEEAQGMMKLVEQLKEERELLRSTTSTIE
+SFAAQEKQMEVCEVCGAFLIVGDAQSRVDDHLMGKQHMGYAKIKATVEELKEKLRKRTEE
+PDRDERLKKEKQEREEREKEREREREERERKRRREEEEREKERARDRERRKRSRSRSRHS
+SRTSDRRCSRSRDHKRSRSRERRRSRSRDRRRSRSHDRSERKHRSRSRDRRRSKSRDRKS
+YKHRSKSRDREQDRKSKEKEKRGSDDKKSSVKSSSREKQSEDTNTESKESDTKNEVNGTS
+EDIKSEGDTQSN
+>sp|Q3B7M5|LASP1_BOVIN LIM and SH3 domain protein 1 OS=Bos taurus OX=9913 GN=LASP1 PE=2 SV=1
+MNPNCARCCKIVYPTEKVNCLDKFWHKACFHCETCKMTLNMKNYKGYEKKPYCNAHYPKQ
+SFTMVADTPENLRLKQQSELQSQVRYKEEFEKNKGKGFSVVADTPELQRIKKTQDQISNI
+KYHEEFEKSRMGPSGGEGLECERRDPQESSYRRPQEQQQPHHIPASTPVYQQPQQQPAAQ
+SYGGYKEPAAPASIQRSAPGGGGKRYRAVYDYSAADEDEVSFQDGDTIVNVQQIDDGWMY
+GTVERTGDTGMLPANYVEAI
+>sp|P52173|IL5_BOVIN Interleukin-5 OS=Bos taurus OX=9913 GN=IL5 PE=2 SV=1
+MRMHLHLTLVALGAAYVCANAVESTMNRLVAETLTLLSSHRTLLIGDGNLMIPTPQHTNH
+QLCIEEVFQGIDTLKNQTAQGDAVKKIFQNLSLIKEYIDLQKRKCGGERWRVKQFLDYLQ
+VFLGVINTEWTMES
+>sp|P53712|ITB1_BOVIN Integrin beta-1 OS=Bos taurus OX=9913 GN=ITGB1 PE=1 SV=3
+MNLQLIFWIGLISSVCCVFGQADENRCLKANAKSCGECIQAGPNCGWCTNSTFLQEGMPT
+SARCDDLEALKKKGCHPNDIENPRGSKDIKKNKNVTNRSKGTAEKLQPEDITQIQPQQLV
+LQLRSGEPQTFTLKFKRAEDYPIDLYYLMDLSYSMKDDLENVKSLGTDLMNEMRRITSDF
+RIGFGSFVEKTVMPYISTTPAKLRNPCTNEQNCTSPFSYKNVLSLTDKGEVFNELVGKQR
+ISGNLDSPEGGFDAIMQVAVCGSLIGWRNVTRLLVFSTDAGFHFAGDGKLGGIVLPNDGQ
+CHLENDVYTMSHYYDYPSIAHLVQKLSENNIQTIFAVTEEFQPVYKELKNLIPKSAVGTL
+SANSSNVIQLIIDAYNSLSSEVILENSKLPEGVTINYKSYCKNGVNGTGENGRKCSNISI
+GDEVQFEISITANKCPNKNSETIKIKPLGFTEEVEIILQFICECECQGEGIPGSPKCHDG
+NGTFECGACRCNEGRVGRHCECSTDEVNSEDMDAYCRKENSSEICSNNGECVCGQCVCRK
+RDNTNEIYSGKFCECDNFNCDRSNGLICGGNGVCKCRVCECNPNYTGSACDCSLDTTSCM
+AVNGQICNGRGVCECGACKCTDPKFQGPTCEMCQTCLGVCAEHKECVQCRAFNKGEKKDT
+CAQECSHFNITKVENRDKLPQPGQVDPLSHCKEKDVDDCWFYFTYSVNGNNEATVHVVET
+PECPTGPDIIPIVAGVVAGIVLIGLALLLIWKLLMIIHDRREFAKFEKEKMNAKWDTGEN
+PIYKSAVTTVVNPKYEGK
+>sp|Q9BE45|IKBZ_BOVIN NF-kappa-B inhibitor zeta OS=Bos taurus OX=9913 GN=NFKBIZ PE=2 SV=2
+MIVDKLLDDSRGGEGLLDAAGDCGLMTSPLNLAYFYGASPPAAAPGACDGGCSASGPSAP
+GSPGSDSSDFSSASSVSSCGAVESRPRGGARAERLQVEPHMGVVRQQRGPFQGVRVKNSV
+KELLLHIRSHKQKASGQAVDDFKTQSVNREQFTELKNTVSYSGKRKGSDSLSDGPACKRS
+ALLHTQFLTPPQTPTPAESMEDVHHNESKQDSCADLLQNIINIKNECSPVSLNTVQVSWM
+SPGEVPQGSPHEQCQDLHRGQVFSPPQKYQPFQVSSSPHMMDQASMYQYSPQNQSVQPPP
+QHYTHNPALEYSPYSRTSQSPSYEPHLFGREPQFCPDQSFAPLLSDPRQSENIAVPPQTA
+PSVQQQIDTHLQNFSLMPPDTCEALARPDASSTPLSTPLPFPNLGGNPMSTTQLGKSFFQ
+WQVEQEENKLANISQDQFLSKDADGDTFLHIAVAQGRRALSYVLARKMNALHMLDIKEHN
+GQSAFQVAVAANQHLIVQDLVTLGAQVNTTDCWGRTPLHVCAEKGHSQVLQAIQKGAAGS
+NQFVDLEATNYDGLTPLHCAVLAHNAVVHELQRNQQPHSPEVQELLLKNKSLVDTIKCLI
+QMGAAVEAKDRKSGRTALHLAAEEANLELIRLFLELPSCLSFVNAKAYNGNTALHVAASL
+QYRVTQLDAVRLLMRKGADPSTRNLENEQPVHLVPDGPVGEQIRRILKGKSIQQRAPPY
+>sp|Q1LZE1|KCMF1_BOVIN E3 ubiquitin-protein ligase KCMF1 OS=Bos taurus OX=9913 GN=KCMF1 PE=2 SV=1
+MSRHEGVSCDACLKGNFRGRRYKCLICYDYDLCASCYESGATTTRHTTDHPMQCILTRVD
+FDLYYGGEAFSVEQPQSFTCPYCGKMGYTETSLQEHVTSEHAETSTEVICPICAALPGGD
+PNHVTDDFAAHLTLEHRAPRDLDESSGVRHVRRMFHPGRGLGGPRARRSNMHFTSSSTGG
+LSSSQSSYSPSNREAMDPIAELLSQLSGVRRSAGGQLNSSGPSASQLQQLQMQLQLERQH
+AQAARQQLETARNATRRTNTSSVTTTITQSTATTNTANTESSQQTIQNSQFLLTRLNDPK
+MSETERQSMESERADRSLFVQELLLSTLVREESSSSDEDERGEMADFGAMGCVDIMPLDV
+ALENLNLKESNKGNEPPPPPL
+>sp|Q8SQB8|ITB6_BOVIN Integrin beta-6 OS=Bos taurus OX=9913 GN=ITGB6 PE=1 SV=1
+MGIELLCLFFLCLGRNDHVQGGCAVGGAETCEDCLLIGPQCAWCSQENFTHLSGVGERCD
+TPANLLAKGCQLTFIENPVSQVEILTNKPLSIGRQKNSSDIVQISPQSLALKLRPGLEQT
+LQVQVRQTEDYPVDLYYLMDLSASMDDDLNTIKELGSLLSKEMSKLTSNFRLGFGSFVEK
+PISPFMKTTPEEIANPCSSIPYFCLPTFGFKHILPLTNDAERFNEIVKNQKISANIDTPE
+GGFDAIMQAAVCKEKIGWRNDSLHLLVFVSDADSHFGMDSKLAGIVIPNDGLCHLDSKNE
+YSMSTILEYPTIGQLIDKLVQNNVLLIFAVTQEQVHLYENYAKLIPGATVGVLQKDSGNI
+LQLIISAYEELRSEVELEVLGDTEGLNLSFTAICNTGIPVPHQKKCSHMKVGDTASFNVT
+VSLPNCERRSRHIILKPVGLGDALEILVSPECSCDCQKEVEVNSSKCNNGNGSFQCGVCA
+CHPGHMGHHCECGEDTLSTESCKEAPGRPSCSGRGDCYCGQCVCHLSPYGNIYGPYCQCD
+NFSCVRHKGLLCGDNGDCDCGECVCRSGWTGEYCNCTTSTDPCVSEDGILCSGRGDCVCG
+KCICTNPGASGPTCERCPTCGDPCNSKRSCIECYLSADGQAQEECVDKCKLAGATINEEE
+DFSKDSFVSCSLQGENECLITFLLTTDNEGKTVIHSINEKDCPKPPNIPMIMLGVSLAIL
+LIGVVLLCIWKLLVSFHDRKEVAKFEAERSKAKWQTGTNPLYRGSTSTFKNVTYKHKEKQ
+KVDLSTDG
+>sp|Q58DF9|ICOS_BOVIN Inducible T-cell costimulator OS=Bos taurus OX=9913 GN=ICOS PE=2 SV=1
+MKSDLRYFFLFCIQVEILAGEFNDSAASEMFIFHNGGVQILCKYPDTVRQFKMQLLKGDN
+VLCDLTKTKENEDTVSIRNLNVCKFQLSNNSVSFFLYNLDSSYASYYICKLSIFDPPPFQ
+VDILSREYLNIYESELCCQLKFWLPIGCAAFVTVCVFGCVLMYWLTKKKYPTSVHDPNSE
+YMFMAAVNTAKKPAPTDVTRNLELPGTQA
+>sp|Q1RMT8|IRAK4_BOVIN Interleukin-1 receptor-associated kinase 4 OS=Bos taurus OX=9913 GN=IRAK4 PE=2 SV=1
+MNKPITASTYVRCLSLGLIRKLSDFIDPQEGWKKLAVAIKKPSGDDRYNQFHIRRFEALL
+QIGKSPTCELLFDWGTTNCTVGDLVDILVQNEFFAPASLLLPDAVPKNVNTLPSKVTVVA
+VQQKPKPLCGKDRTSVISDENPEQNYVLPDSSSPENTSLEFSDTRFHSFSFFELKDVTNN
+FDERPISVGGNKMGEGGFGVVYKGYVNNRTVAVKKLAAMVDISTEELKQQFDQEIKVMAK
+CQHENLVELLGFSSDGDDLCLVYVYMPNGSLLDRLSCLDGTPPLSWNMRCKIAQGAANGL
+SYLHENHHIHRDIKSANILLDEDFTAKISDFGLARASEKFAQTVMTSRIVGTTAYMAPEA
+LRGEITPKSDIYSFGVVLLEIITGLPAVDEHREPQLLLDIKEEIEDEEKTIEDYVDRKMN
+DIDSTSIETMYSVASQCLHEKKNKRPDIKKVQQLLEEMTGS
+>sp|Q32L62|HEMGN_BOVIN Hemogen OS=Bos taurus OX=9913 GN=HEMGN PE=2 SV=1
+MDLGKDQSLSKLHQTPDHHQEESHVPEVIGTWSLRNREQLRKRKAEAQEKQTSQWQYREK
+KHKRQRTGKRSERGRKRQQNTEMKVELPSQLEKEMMEKAPAPGEKEIEPPGSVTEVLLPA
+VSPQRVAPAKHLSEVGQESVTLQENSSEYQATAVQNQPSEICQDMAESEDLSPKMCQEIA
+IFQDQPFKMFRNMAQPEDVSPKTCQEDIAAKVLSSKTSEDTTDLEECSLEAHPKPDMPKA
+STLETYQKRPEPEEPDSETGQGIVETESFVSNTQQEMAVPKELATEIHQETVEPEHFSHK
+IYREIAMSKDPSHKTTQETSVPDKYPPEMYQETPGSEEYSLEIYQETTGPEAYAPEIYQE
+TPGPEDLSTKTYKDKDVPKECFPKLYQEIGGPQDQDSKAHQEDAKDVYTFPQEMKENPKA
+EEPEIIEIPNVPQESNPENDIYSYVLF
+>sp|A4IFR0|CXL17_BOVIN C-X-C motif chemokine 17 OS=Bos taurus OX=9913 GN=CXCL17 PE=3 SV=1
+MKVLISSLLLLLPLMLMSVVSSSSHTGVARGQRDQRQASGRWLREGGQECECQDWFLRAP
+RRTLMAAPRLTKPCPCDHFKGRMKKTRHQRHHRKSNKPSRACQQFLTRCLLESFALPL
+>sp|A4IFM3|DR9C7_BOVIN Short-chain dehydrogenase/reductase family 9C member 7 OS=Bos taurus OX=9913 GN=SDR9C7 PE=2 SV=1
+MAAISDLSFMYRWFKNCNLVRNLSDKYVFITGCDSGFGNLLARQLVDRGMRVLAACFTKE
+GAQKLQQDTSYQLQTILLDVTKTESIKAATQWVRDQVGEQGLWALVNNAGVGLPSGPNEW
+LTKEDFVKVINVNLVGLIEVTLHMLPMVKKARGRVVNMSSSGGRVAVIGGGYCISKFGVE
+AFSDSIRRELHYFGVKVSIIEPGNFRTAILGKDGLEKHMRKLWERLPPETRESYGEEYFR
+IYTDNLKSTMQLADPRISEVTNSMEHAIVSRSPRIRYNPGLDAKLLYLPLAKFPTPVTDF
+ILSRYLPRPADSV
+>sp|Q2KI19|GPT11_BOVIN G patch domain-containing protein 11 OS=Bos taurus OX=9913 GN=GPATCH11 PE=2 SV=2
+MAEEEDYMSDSFINVQEDIRPGLPMLRQIREARRKEEKRQEANLKNRQKSIKEEEQERRD
+MGLKNALGCENKGFALLQKMGYKSGQALGKSGDGIVEPIPLNVKTGKSGIGHETLLKRKA
+EEKLESYRRKIHMKSQAEERAAEQFRIRLKNKQDEMKLEGDLRRSQRACQQLDTQKNIQV
+PREAWYWLRPEEETEEETEEEKEQDEDEYKSEDLSVLEKLQILTSYLREEHLYCIWCGTA
+YEDKEDLSSNCPGPTSADHD
+>sp|Q3MI00|DNJB1_BOVIN DnaJ homolog subfamily B member 1 OS=Bos taurus OX=9913 GN=DNAJB1 PE=2 SV=3
+MGKDYYQTLGLARGASDEEIKRAYRRQALRYHPDKNKEPGAEEKFKEIAEAYDVLSDPRK
+REIFDRYGEEGLKGSGPSGGSSGGTNGTSFSYTFHGDPHAMFAEFFGGRNPFDNFFGQRN
+GEEGMDIDDPFSGFPMGMGGFTNMNFGRSRPAQEPTRKKQDPPVTHDLRVSLEEIYSGCT
+KKMKISHKRLNPDGKSIRNEDKILTIEVKRGWKEGTKITFPKEGDQTSNNIPADIVFVLK
+DKPHNIFKRDGSDVIYPARISLREALCGCTVNVPTLDGRTIPVVFKDVIRPGMRRKVPGE
+GLPLPKTPEKRGDLIIEFEVIFPERIPQTSRTVLEQVLPI
+>sp|Q0P5K1|GPBP1_BOVIN Vasculin OS=Bos taurus OX=9913 GN=GPBP1 PE=2 SV=1
+MAQHDFAPAWLNFPTPPSSTKSSLNFEKHSENFSWTENRYDVNRRRHNSSDGFDSGIGRP
+NGGNFGRKEKNGWRTHGRNGTENINHRGGYHGGSSRSRSSIFHSGKSQGLHENNIPDNET
+GRKDDKRERKQFEAEDFPSLNPEYEREPNQNKSLAAGVWEYPPNPKSRTQRMLVIKKGNT
+KDLQLSGFPVVGNLQSQPVKNGTGPSVYKGLVPKPAAPPTKPTQWKSQTKENKVGTSFPH
+ESTYGVGNFNAFKSTAKNFSPSTTSVKECNRSNSSSPVDKLNQQPRLTKLTRMRTDKKSE
+FLKALKRDRVEEEHEDESHVGSEKDDDSFNLHNSNSTHQERDINRNFDENEIPQENGNAS
+VISQQIIRSSAFPQTDVLSSSLEAEHRLLKEMGWQEDSENDETCAPLTEDEMREFQVISE
+QLQKNGLRKNGILKNGLICDFKFGPWKNSTFKPTIENDDTETSSSDTSDDDDV
+>sp|Q5BIP8|DNJB5_BOVIN DnaJ homolog subfamily B member 5 OS=Bos taurus OX=9913 GN=DNAJB5 PE=2 SV=1
+MGKDYYKILGIPSGANEDEIKKAYRKMALKYHPDKNKEPNAEEKFKEIAEAYDVLSDPKK
+RGLYDQYGEEGLKTGGGSSGGSSGSFHYTFHGDPHATFASFFGGSNPFDIFFASSRSARP
+FSGFDPDDMDVDEDDDPFGAFGRFGFNGLSRGPRRAPEPLYPRRKVQDPPVVHELRVSLE
+EIYHGSTKRMKITRRRLNPDGRTVRTEDKILHIVIKRGWKEGTKITFPKEGDATPDNIPA
+DIVFVLKDKPHAHFRRDGTNVLYSALISLKEALCGCTVNIPTIDGRVIPLPCNDVIKPGT
+VKRLRGEGLPFPKVPTQRGDLIVEFKVRFPDRLTPQTRQILKQHLPCS
+>sp|O18728|FSCN2_BOVIN Fascin-2 OS=Bos taurus OX=9913 GN=FSCN2 PE=2 SV=1
+MPTNGLHQVLKIQFGLVNDTDRYLTAESFGFKVNASAPSLKRKQMWVLEPDPGEGTAVLF
+RSSHLGRYLSAEEDGRVACEAERPGRDCRFLVLPQPDGRWVLQSEPHGRFFGGTEDQLSC
+FATAITPAELWTVHLAIHPQAHLLSVSRRRYAHLCPQEDEIAADSNTPWGVDALVTLIFQ
+NRQYCLKSCDSRYLRSDGRLVWEPEARARYTLEFKAGKLAFKDCDGHYLAPVGPAGTLRA
+GRNTRPGKDELFDLEESHPQVVLVAANHRYVSVRQGVNVSANQDEELDHETFLMQIDQET
+KKCTFYSSTGGYWTLVTHGGIQATATQVSENTMFEMEWRGRRVALKASNGRYVCMKKNGQ
+LAAISDFVGEDEEFTLKLINRPILVLRGLDGFVCHRRGSNQLDTNRSVYDVFHLSFSDGA
+YQIRGRGGGFWHTGSHGSVCSDGERAEDFLFEFRERGRLAIRARSGKYLRGGASGLLRAD
+ADAPAGVALWEY
+>sp|Q0III6|DNJB6_BOVIN DnaJ homolog subfamily B member 6 OS=Bos taurus OX=9913 GN=DNAJB6 PE=2 SV=1
+MVDYYEVLGVQRHASAEDIKKAYRKLALKWHPDKNPENKEEAERKFKQVAEAYEVLSDAK
+KRDIYDRYGKEGLNGGGGGGSHFDSPFEFGFTFRNPEDVFREFFGGRDPFSFDFFEDPFE
+DFFGHRRGPRGSRSRGTGSFFSTFSGFPSFGGAFPSFDAGFSSFGSLGHGGLTAFSSSSA
+FGGSGMGNYKSISTSTKVVNGRKITTKRIVENGQERVEVEEDGQLKSLTINGKEQLLRLD
+NK
+>sp|Q27968|DNJC3_BOVIN DnaJ homolog subfamily C member 3 OS=Bos taurus OX=9913 GN=DNAJC3 PE=1 SV=1
+MVAPGSVTSRLGSVFPFLLVLVDLQYEGAECGVNADVEKHLELGKKLLAAGQLADALSQF
+HAAVDGDPDNYIAYYRRATVFLAMGKSKAALPDLTKVIELKMDFTAARLQRGHLLLKQGK
+LDEAEDDFKKVLKSNPSENEEKEAQSQLVKSDEMQRLRSQALDAFESSDFTAAITFLDKI
+LEVCVWDAELRELRAECFIKEGEPRKAISDLKASSKLKNDNTEAFYKISTLYYELGDHEL
+SLSEVRECLKLDQDHKRCFAHYKQVKKLNKLIESAEELIKEGRYTDAISKYESVMKTEPG
+VHEYTIRSKERICHCFSKDEKPVEAIRVCSEVLQVEPDNVNALKDRAEAYLIEEMYDEAI
+QDYETAQEHNENDQQIREGLEKAQRLLKQSQRRDYYKILGVKRNAKKQEIIKAYRKLALQ
+WHPDNFQNEEEKKKAEKKFIDIAAAKEVLSDPEMRKKFDDGEDPLDAESQQGGGGNPFHR
+SWNSWQGFSPFSSGGPFRFKFHFN
+>sp|Q3SX44|DDAH2_BOVIN N(G),N(G)-dimethylarginine dimethylaminohydrolase 2 OS=Bos taurus OX=9913 GN=DDAH2 PE=2 SV=1
+MGTPGEGLGRCSHALIRGVPESLASGEGAAAGLPALDLAKAQREHGVLGGKLRQRLGLQL
+VELPPEESLPLGPLLGDTAVIQGDTALITRPWSPARRPEVDGVRKALQDLGLRIVEMGDE
+NATLDGTDVLFTGREFFVGLSKWTNHRGAEIVADTFRDFAVSTVPVTSTSHLRGLCGMGG
+PRTVVAGSSEAAQKAVRAMAVLTDHPYASLTLPDDAAADCLFLRPGQPGLPPFLLHRGGG
+DLPNSQEALQKLSDVTLVPVSCSELEKAGAGLSSLCLVLSTRPHN
+>sp|Q0VC30|DPOG2_BOVIN DNA polymerase subunit gamma-2, mitochondrial OS=Bos taurus OX=9913 GN=POLG2 PE=2 SV=1
+MRSAPAIRACQRICRCLLSGFGGQVDGRQPEQLSKGTGSFVGPVRSQAELPRNEPREAPE
+SGGEGSEPLVEICRKRRFLSGTKQQLSRDSLLNGCHPGLGPLGIELRKNLAAEWWSSVVV
+FREQVFPVDALHREPGPSLPVDNGFRLVSAETLREILQDKELSKEQLVAFLENLLNTSGK
+LRENLLHGALEHYVSYLDLVNKRLPFGLAQIGACFHPVSDTKQTPDGVKRIGEKTEASLV
+WFTSARTASQWLDFWLRHRLLWWRKFAMSPSNFSSGDCQDEAGRKGNRLYYNFPWGKEPI
+ETLWNLGDHELLHMYPGSVAQVHGRDGRKNVVPSVLSINGDLDRGMLAYLYDSFQLTENS
+FTRKKDLHRKVLKLHPCLAPIKAALDVGRGPTVELRQVCQGLFNELLENGISVWPGYLET
+VQSSLEQLYSKYDEMSILFTVLITEATLENGLIQLRSRDTTMKEMMHISKVKDFLTKYIS
+SAKNV
+>sp|Q58CY2|EIF1A_BOVIN Probable RNA-binding protein EIF1AD OS=Bos taurus OX=9913 GN=EIF1AD PE=2 SV=1
+MSQATKRKHVVKEVLGEHMVPSDQQQIVRVLRTPGNNLHEVETAQGQRFLVSMPSKYRKN
+IWIKRGDFLIVDPIEEGEKVKAEISFVLCKDHVRSLQKDGHWPEAFSQVTEKDNNDRNRQ
+TQPELPAEPQSSGEESSSEDDSDLFVNTNRRQYHESEEESEEEEAA
+>sp|A6QP72|DG2L6_BOVIN Diacylglycerol O-acyltransferase 2-like protein 6 OS=Bos taurus OX=9913 GN=DGAT2L6 PE=2 SV=1
+MAFLSQLNLQEILQTLSVLQWMPVYVFLGAIPIIVIPYFLVFTKFWMVSVLALAWLAYDW
+NTHSQGGRRSAWVRNWTIWKYFQNYFPIKLVKTHDLSPRHNYIIASHPHGVLPYGTFINF
+ATETTGFARIFPGITPYVATLEGIFWIPIVREYVMSMGVCPVSELALKYLLTQKGSGNAV
+VIMVGGGAEALLCHPGATTVLLKQRKGFVKVALETGAYLVPSYSFGQNEVHNQETFPEGT
+WKRFFQKALQDTLKKLLRLSVCTFHGRGLTRGSWGFLPFNHPITTVVGEPLPIPRIKKPN
+EETVDKYHALYINALQKLFDEHKVQYGLSETQELTII
+>sp|P10897|CY561_BOVIN Cytochrome b561 OS=Bos taurus OX=9913 GN=CYB561 PE=1 SV=2
+MEGPASPARAPGALPYYVAFSQLLGLIVVAMTGAWLGMYRGGIAWESALQFNVHPLCMII
+GLVFLQGDALLVYRVFRNEAKRTTKVLHGLLHVFAFVIALVGLVAVFEHHRKKGYADLYS
+LHSWCGILVFALFFAQWLVGFSFFLFPGASFSLRSRYRPQHVFFGAAIFLLSVATALLGL
+KEALLFELGTKYSMFEPEGVLANVLGLLLATFATVILYILTRADWKRPLQAEEQALSMDF
+KTLTEGDSPSSQ
+>sp|A6H779|FBXL2_BOVIN F-box/LRR-repeat protein 2 OS=Bos taurus OX=9913 GN=FBXL2 PE=2 SV=1
+MVFSNNDEGLINKKLPKELLLRIFSFLDIVTLCRCAQISKAWNILALDGSNWQRIDLFNF
+QTDVEGRVVENISKRCGGFLRKLSLRGCIGVGDSSLKTFAQNCRNIEHLNLNGCTKITDS
+TCYSLSRFCSKLKHLDLTSCVSITNSSLKGISEGCRHLEYLNLSWCDQITKDGVEALVRG
+CRGLRALLLRGCTQLEDEALKHIQNYCHELVSLNLQSCSRVTDDGVVQLCRGCPRLQALC
+LSGCGSLTDASLTALALNCPRLQILEAARCSHLTDAGFTLLARNCHDLEKMDLEECILIT
+DRTLTQLSIHCPKLQALSLSHCELITDDGILHLSNSPCGHERLRVLELDNCLLITDVALE
+HLEHCRGLERLELYDCQQVTRAGIKRMRAQLPHVRVHAYFAPVTPPTAAGGGGPRLCRCC
+VIL
+>sp|A6H7B4|DBND1_BOVIN Dysbindin domain-containing protein 1 OS=Bos taurus OX=9913 GN=DBNDD1 PE=2 SV=1
+MEPSEGASPGGLVKEVDMPQAALSAPVPVTGTSGQSPMAEEELGIPIPAPGLLQVTERRQ
+PLSSVSSLEVHFDLLDLTELTDMSDQELAEVFADSDDENVASDSHAGLHPLPRAGCLRSP
+SWTRTRAEQNREKQPFGDPERQPAIVDTILTVERPKED
+>sp|Q8HYB6|GBRL1_BOVIN Gamma-aminobutyric acid receptor-associated protein-like 1 OS=Bos taurus OX=9913 GN=GABARAPL1 PE=3 SV=2
+MKFQYKEDHPFEYRKKEGEKIRKKYPDRVPVIVEKAPKARVPDLDKRKYLVPSDLTVGQF
+YFLIRKRIHLRPEDALFFFVNNTIPPTSATMGQLYEDNHEEDYFLYVAYSDESVYGK
+>sp|Q32LD7|CX021_BOVIN Uncharacterized protein CXorf21 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MLSEGYLSGLAYRNDIQWSYPSSNEQVAEEKEEEMEATAAASLSYSSVDETQVQNLYVSC
+KSSGKVISSVYSRESQHSRNPRITVLQTNPNPVYESPNLAAVELYRDTSRETYLVPPSCK
+SICKNYNDLQIAGGQVMAINSATTDFPSEGSFQYGPLLKSSEIPLSMEDSMFTQPSDLPP
+TPIQRYSSYWRITSIKEKNSLQMQKPISNAVLNEYLEQKLVELYKQYFMDTGFHDSSPTQ
+ILASELIMTNVDQISIQVSIEKNLEISKARDIVINRLLQYGSTEISTQSLHISQYSNVNP
+>sp|F1MH07|MICA1_BOVIN [F-actin]-monooxygenase MICAL1 OS=Bos taurus OX=9913 GN=MICAL1 PE=2 SV=1
+MASTISTNPAHAHFESFLQAQLCQDVLSSFQGLCGALGVEPGGGLSQYHKVKAQLNYWNA
+KSLWAKLDKRASQPVYQQGRACTGTKCLVVGAGPCGLRAAVELAMLGARVVLVEKRTKFS
+RHNVLHLWPFTIHDLRALGAKKFYGRFCTGSLDHISIRQLQLLLLKVALLLGVEIHWGIT
+FTGLQPPPKKGSGWRAQLQPSPPAQLAKYEFDVLISAAGGKFVPEGFTVREMRGKLAIGI
+TANFVNGRTVEETQVPEISGVARIYNQSFFQSLLKATGIDLENIVYYKDDTHYFVMTAKK
+QCLLRLGVLHKDWPDTERLLGSANVVPEALQRFARAAADFATHGKLGKLEFARDAHGRPD
+VSAFDFTSMMRAESSARVQERHGTRLLLGLVGDCLVEPFWPLGTGVARGFLAAFDAAWMV
+KRWAEGAGPLEVLAERESLYQLLSQTSPENMHRNVAQYGLDPATRYPNLNLRAVTPSQVR
+DLYDMEAKEPVQRMSDETDSGKAATGAVGSQEELLRWCQEQTAGYPGVHVTDLSSSWADG
+LALCALVHRLRPALLEPSELQGMGALEATSWALKMAEHELGITPVLSAQAMVAGSDPLGL
+IAYLSHFHSAFKSVPHNPGSVSQGSPGTASAVLFLGKLQRTLQRTRTQENGEDAGGKKPR
+LEVKAETPSTEEPPVPKPDEPMTPPSQQQDASAEDLCALCGQHLYILERLCADGRFFHRS
+CFRCHICEATLWPGGYRQHPGDGYLYCLQHLPQTGHEEDSSDRGPESQDLPMSSENNTPS
+GPATPVDLHQGTSPVPNPIQPTRRLIRLSSPERQRLSSLHLTPDPEMEPPPKPPRSCSTL
+AHQALEASFKGWGMPVQSPQVLEAMEMGEEERSSSSEEETEEEEDVPLDSDMEHFLRNLA
+ENSGTMNNYPTWRRTLLRRAKEEEMKRFCKAQAIQRRLNEIEAALRELEARGTELELALR
+SQSSSPEKQKALWVEQLLQLVQKKNSLVAEEAELMITVQELNLEEKQWQLDQELRTYMNR
+EETLKTAADRQAEDQVLRKLLDVVNQRDALIRLQEERRLSELASEPGVQG
+>sp|Q3T145|MDHC_BOVIN Malate dehydrogenase, cytoplasmic OS=Bos taurus OX=9913 GN=MDH1 PE=2 SV=3
+MSEPIRVLVTGAAGQIAYSLLYSIGNGSVFGKDQPIILVLLDITPMMGVLDGVLMELQDC
+ALPLLKDVIATDKEEIAFKDLDVAILVGSMPRRDGMERKDLLKANVKIFKCQGAALDKYA
+KKSVKVIVVGNPANTNCLTASKSAPSIPKENFSCLTRLDHNRAKAQIALKLGVTSDDVKN
+VIIWGNHSSTQYPDVNHAKVKLQGKEVGVYEALKDDSWLKGEFITTVQQRGAAVIKARKL
+SSAMSAAKAICDHVRDIWFGTPEGEFVSMGIISDGNSYGIPDDLLYSFPVTIKDKTWKVV
+EGLPINDFSREKMDLTAKELAEEKETAFEFLASA
+>sp|P56830|IFNT2_BOVIN Interferon tau-2 OS=Bos taurus OX=9913 GN=IFNT2 PE=2 SV=1
+CYLSEDHMLGARENLRLLARMNRLSPHPCLQDRKDFGLPQEMVEGNQLQKDQAISVLHEM
+LQQCFNLFYTEHSSAAWNTTLLEQLCTGLQQQLEDLDACLGQVMEEKDSDMGRMGPILTV
+KKYFQGIHVYLKEKEYSDCAWEIIRMEMMRALSSSTTLQKRLRKMGGDLNSL
+>sp|P19858|LDHA_BOVIN L-lactate dehydrogenase A chain OS=Bos taurus OX=9913 GN=LDHA PE=2 SV=2
+MATLKDQLIQNLLKEEHVPQNKITIVGVGAVGMACAISILMKDLADEVALVDVMEDKLKG
+EMMDLQHGSLFLRTPKIVSGKDYNVTANSRLVIITAGARQQEGESRLNLVQRNVNIFKFI
+IPNIVKYSPNCKLLVVSNPVDILTYVAWKISGFPKNRVIGSGCNLDSARFRYLMGERLGV
+HPLSCHGWILGEHGDSSVPVWSGVNVAGVSLKNLHPELGTDADKEQWKAVHKQVVDSAYE
+VIKLKGYTSWAIGLSVADLAESIMKNLRRVHPISTMIKGLYGIKEDVFLSVPCILGQNGI
+SDVVKVTLTHEEEACLKKSADTLWGIQKELQF
+>sp|Q3T149|HSPB1_BOVIN Heat shock protein beta-1 OS=Bos taurus OX=9913 GN=HSPB1 PE=2 SV=1
+MAERRVPFSLLRGPSWDPFRDWYPAHSRLFDQAFGLPRLPEEWSQWLSHSGWPGYVRALP
+AAAIEGPAYNRALSRQLSSGVSEIQQTADRWRVSLDVNHFAPEELTVKTKDGVVEITGKH
+EERQDEHGYISRCFTRKYTLPPGVDPTLVSSSLSPEGTLTVEAPLPKSATQSAEITIPVT
+FQARAQLGGPEAGKSEQPENK
+>sp|Q3SZH7|LKHA4_BOVIN Leukotriene A-4 hydrolase OS=Bos taurus OX=9913 GN=LTA4H PE=2 SV=3
+MPEVVDTCSLASPASVCQTKHLHLRCSIDFTRRVLSGTAALTIQSQEDNLRSLILDTKDL
+TIEKVVINGQEVKYTLGERQSYKGSPIEISLPIALCKNQEIVIEISFETSPKSSALQWLT
+PEQTSGKEHPYLFSQCQAIHCRAILPCQDTPSVKLTYSAEVSVPKELVALMSAIRDGEAP
+DPEDPNRKIYRFSQKVPIPCYLIALVVGALESRQIGPRTLVWSEKEQVEKSAYEFSETES
+MLKIAEDLGGPYIWGQYDLLVLPPSFPYGGMENPCLTFVTPTLLAGDKSLSNVIAHEISH
+SWTGNLVTNKTWDHFWLNEGHTVYLERHICGRLFGEKFRHFHALGGWGELQNSIKTFGET
+HPFTKLVVDLTNTDPDVAYSSVPYEKGFALLFYLEQLLGGPEVFLGFLKAYVEKFSYKSI
+TTDNWKDFLYSHFKDKVDILNQVDWNTWLYSPGLPPVKPNYDMTLTNACISLSQRWITAK
+DDDLNSFSSADLKDFSSHQVNEFLAQMLQNAPLPLGHIKRMQEVYNFNAINNSEIRFRWL
+RLCIQSKWEEAIPLALKMATEQGRMKFTRPLFKDLAAFDKSHDQAIRTYKEHKASMHPVT
+AMLVGKDLKVD
+>sp|Q27977|ITA5_BOVIN Integrin alpha-5 (Fragment) OS=Bos taurus OX=9913 GN=ITGA5 PE=2 SV=1
+CGEENICVPDLQLEVFGEQNHVYLGDKNSLNLTFHAQNVGEGGAYEAELRVTAPPEAEYS
+GLVRHPGNFSSLSCDYFAVNQSRLLVCDLGNPMKAGASLWGGLRFTVPHLRDIKKTIQFD
+FQILSKNLNNVQSDVVSFRLSVEAQAQVSLNGVSKPEAVLFPMSDWHPQDQPQEEGDVGP
+AVHHVYELINLGPSSISQGVLELSCPHALDGQQLLYVTRVTGLSNCTTSHPPNPEGLELD
+PEGSQHHRLQRRMFLGRSPASSGPQILKCPEAECFKLRCELGPLHRQESRSLQLHFRVWA
+KAFLQREHQPFSLQCEAVYEALKMPYKILPRQLPQKALQVATAVQWIKAEGSHGVPLWII
+ILAILIGLLLLGLLIYILYNLFFFK
+>sp|P37980|IPYR_BOVIN Inorganic pyrophosphatase OS=Bos taurus OX=9913 GN=PPA1 PE=1 SV=2
+MSGFSSEERAAPFTLEYRVFLKNEKGQYISPFHDIPIYADKEVFHMVVEVPRWSNAKMEI
+ATKDPLNPIKQDVKKGKLRYVANLFPYKGYIWNYGAIPQTWEDPGHNDKHTGCCGDNDPI
+DVCEIGSKVCARGEIIRVKVLGILAMIDEGETDWKVIAINVEDPDAANYNDINDVKRLKP
+GYLEATVDWFRRYKVPDGKPENEFAFNAEFKDKNFAIDIIESTHDYWRALVTKKTDGKGI
+SCMNTTVSESPFQCDPDAAKAIVDALPPPCESACTIPTDVDKWFHHQKN
+>sp|Q8WN95|ITPR3_BOVIN Inositol 1,4,5-trisphosphate receptor type 3 OS=Bos taurus OX=9913 GN=ITPR3 PE=2 SV=1
+MSEMSSFLHIGDIVSLYAEGSVNGFISTLGLVDDRCVVEPAAGDLDNPPKKFRDCLFKVC
+PMNRYSAQKQYWKAKQTKQDKEKIADVVLLQKLQHAAQMEQKQNDTENKKVHGDVVKYGS
+VIQLLHMKSNKYLTVNKRLPALLEKNAMRVTLDATGNEGSWLFIQPFWKLRSNGDNVVVG
+DKVILNPVNAGQPLHASNYELSDNAGCKEVNSVNCNTSWKINLFMQFRDHLEEVLKGGDV
+VRLFHAEQEKFLTCDEYRGKLQVFLRTTLRQSATSATSSNALWEVEVVHHDPCRGGAGHW
+NGLYRFKHLATGNYLAAEENPSYKGDASDPKAAGTGAQGRTGRRNAGEKIKYRLVAVPHG
+NDIASLFELDPTTLQKTDSFVPRNSYVRLRHLCTNTWIQSTNVPIDVEEERPIRLMLGTC
+PTKEDKEAFAIVSVPVSEIRDLDFANDASSMLASAVEKLHEGFISQNDRRFVIQLLEDLV
+FFVSDVPNNGQNVLDIMVTKPNRERQKLMREQNILKQIFGILKAPFRDKGGEGPLVRLEE
+LSDQKNAPYQHMFRLCYRVLRHSQEDYRKNQEHIAKQFGMMQSQIGYDILAEDTITALLH
+NNRKLLEKHITKTEVETFVSLVRKNREPRFLDYLSDLCVSNHIAIPVTQELICKCVLDPK
+NSDILIQTELRPVKEMAQSHEYLSIEYSEEEVWLTWTDKNNEHHEKSVRQLAQEARAGNA
+HDENVLSYYRYQLKLFARMCLDRQYLAIDEISQQLGVDLIFLCMADEMLPFDLRASFCHL
+MLHVHVDRDPQELVTPVKFARLWTEIPTAITIKDYDSNLNASRDDKKNKFASTMEFVEDY
+LNNVVSEAVPFANEEKNKLTFEVVSLAHNLIYFGFYSFSELLRLTRTLLGIIDCVQAYED
+PGGKNVRRSTQGVGHMMSTMVLNRKQSVFGGPSLPAGAGAPEPLDGSKFEENEDIVVMET
+KLKILEILQFILNVRLDYRISYLLSVFKKEFVEVFPMQDSGADGTAPAFDSTTANMNLDR
+IGEQAEAMFGVGKTSSMLEVDDEGGRMLLRVLIHLTMHDYAPLVSGALQLLFKHFSQRQE
+VMHTFKQVQLLISAQDVENYKVIKSELDRLRTMVEKSELWVDKKGASKGEEGEAGPAKDK
+KERPTDEEGFLHPPGEKSSENYQIVKGILERLNKMCGVGEQMRKKQQRLLKNMDAHKVML
+DLLQIPYDKGDAKMMEILRYTHQFLQKFCAGNPGNQALLHKHLHLFLTPGLLEAETMQHI
+FLNNYQLCSEIGEPVLQHFVHLLATHGHHVQYLDFLHTVIKAEGKYVKKCQDMIMTEPAN
+AGDDVVVFYNDKASLAHLLDMMKAARDGVEDHSPLMYHISLVDLLAACAEGKNVYTEIKC
+TSLLPLEDVVSVVTHEDCITEVKMAYVNFVNHCYVDTEVEMKEIYTSNHIWTLFENFTLD
+MARVCSKREKRLADPALEKYVLTVVLDTISAFFSSPFSENSTSLQTHQTIVVQLLQSTMR
+LLECPWLQQQHKGSVEACIRTLAMVAKGRAISLPMDLDAHISSLLSSGASCVAAAQRNAS
+NYKTATRAFPRVMPTANQWDYKNIIEKLQDIITALEERLRPLVQAELSVLVDVLHWPELL
+FLEGSDAYQRCESGGFLSKLIQHTKDLMESEEKLCVKVLRTLQQMLLKKTKYGDRGNQLR
+KMLLQNYLQNRKSSSRGDLPDPMGTGLDQDWSAIAATQCRLDKEGATKLVCDLITSTKNE
+KIFQESIGLAIRLLDGGNTEIQKSFYNLMTSDKKSERFFKVLHDRMKRAQQETKSTVAVN
+MSDLGSQPREDREQADPTSKGRVASFSMPSSSSRYALGPSLRRGHEVGERVQSNEMGTSV
+LIMQPILRFLQLLCENHNRDLQNFLRCQNNKTNYNLVCETLQFLDIMCGSTTGGLGLLGL
+YINEDNVGLVIQTLETLTEYCQGPCHENQTCIVTHESNGIDIITALILNDISPLCKYRMD
+LVLQLKDNASKLLLALMESRHDSENAERILISLRPQELVDVIKKAYLQEEERENSDVSPR
+EVGHNIYILALQLSRHNKQLQHLLKPVKRIQEEEAEGISSMLSLNNKQLTQMLKSSAPVQ
+EQEEDPLAYYENHTSQIEIVRQDRSMEQIVFPVPGICQFLTEETKHRLFTTTEQDEQGSK
+VSDLFDQPSFLHNEMEWQRKLRSMPLIYWFSRRMTLWGSISFNLAVFINIIIAFFYPYVE
+GASTGVLGSPLISLLFWILICFSIAALFTKRYSVRPLIVALILRSIYYLGIGPTLNILGA
+LNLTNKIVFVVSFVGNRGTFIRGYKAMVMDMEFLYHVGYILTSVLGLFAHELFYSILLFD
+LIYREETLFNVIKSVTRNGRSILLTALLALILVYLFSIVGFLFLKDDFILEVDRLPGNHS
+RANPLGMPHGAATFVNTCSGDNVDCVSGVSVPEVLAEDEEPDSTERACDTLLMCIVTVMN
+HGLRNGGGVGDILRKPSKDESLFPARVVYDLLFFFIVIIIVLNLIFGVIIDTFADLRSEK
+QKKEEILKTTCFICGLERDKFDNKTVSFEEHIKFEHNMWNYLYFIVLVRVKNKTDYTGPE
+SYVAQMIKNKNLDWFPRMRAMSLVSSEGEGEQNEIRILQDKLSATMKLVSHLTAQLSELK
+EQMTEQRKRRQRLGFVDVQNCMSR
+>sp|Q0P5L8|KBL_BOVIN 2-amino-3-ketobutyrate coenzyme A ligase, mitochondrial OS=Bos taurus OX=9913 GN=GCAT PE=1 SV=1
+MWAGRVLHAALSRAPRESRAQSALAQLRGILEEELESIRGAGTWKSERVITSRQGPHIHV
+DGAPGGIINFCANNYLGLSSHPEVIQAGLRTLKEFGAGLSSVRFICGTQSIHKDLEAKIA
+RFHQREDAILYPSCFDANTGLFEALLTSEDAVLSDELNHASIIDGIRLCKAHKYRYRHLD
+MADLEAKLQEAQKHRLRLVATDGAFSMDGDIAPLQEICRLASQYGALVFVDESHATGFLG
+ATGRGTDELLGVMDQVTIINSTLGKALGGASGGYTTGPGALVSLLRQRARPYLFSNSLPP
+AAVGCASKALDLLMESNAIVQSMAAKTLRFRSQMEAAGFTISGANHPICPVMLGDARLAL
+NIADDMLKRGIFVIGFSYPVVPKGKARIRVQISAVHSEEDIDRCVEAFVEVGRLHGALP
+>sp|Q1RMU2|CYLD_BOVIN Ubiquitin carboxyl-terminal hydrolase CYLD OS=Bos taurus OX=9913 GN=CYLD PE=2 SV=1
+MSSGLWSQEKVTSPYWEERIFYLLLQECSVTDKQTQKLLKVPKGSIGQNIQDRSVGLSRI
+PSAKGKKNQIGLKILEQPHAVLFVDEKDVVEINEKFTELLLAITNCEERFSLFKNRNRLS
+KGLQIDVGCPVKVQLRSGEEKFPGVVRFRGPLLAERTVSGIFFGVELLEEGRGQGFTDGV
+YQGKQLFQCDEDCGVFVALDKLELIEDDDTGLESDYAGPVDTMQVELPPLEINSRVSLKL
+GETIESGTVIFCDVLPGKESLGYFVGVDMDNPIGNWDGRFDGVQLCSFASVESTILLHIN
+DIIPESVTQERRPPKLAFMSRGVGDKGSFSHNKPKATGSTSDPGTRNRSELFYTLNGSSV
+DSQPQSKSKNSWYIDEVAEDPAKSLTEIPPDFGHASPPLQPPSMNSLSSENRFHSLPFSL
+TKMPNTNGSISHSPLSLSVQSVMGELNNAPVQESPPLAVSSGNSHGLEVGSLAEVKENPP
+FYGVIRWIGQPPGLNEVLAGLELEDECAGCTDGTFRGTRYFTCALKKALFVKLKSCRPDS
+RFASLQPVSNQIERCNSLAFGGYLSEVVEENTPPKMEKEGFEIMIGKKKGIQGHYNSCYL
+DSTLFCLFAFSSVLDTVLLRPKEKNDVEYYSETQELLRTEIVNPLRIYGYVCATKIMKLR
+KILEKVEAASGFTSEEKDPEEFLNILFHHILRVEPLLKIRSAGQKVQDCYFYQIFMEKNE
+KVGVPTIQQLLECSFINSNLKFAEAPSCLIIQMPRFGKDFKLFKKIFPSLELNITDLLED
+TPRQCRICGGLAMYECRECYDDPDISAGKIKQFCKTCNAQVHLHPKRLNHKYNPVSLPKD
+LPDWDWRHGCIPCQKMELFAVLCIETSHYVAFVKYGKDDSAWLFFDSMADRDGGQNGFNI
+PQVTPCPEVGEYLKMSLDDLHSLDSRRIQGCARRLLCDAYMCMYQSPTMSLYK
+>sp|Q2KIB0|FAHD2_BOVIN Fumarylacetoacetate hydrolase domain-containing protein 2 OS=Bos taurus OX=9913 GN=FAHD2 PE=2 SV=1
+MLGSSGRRLLTTVLQAQRWPFQPSRDMRLVQFQAPHLAGPHLGLESGNGGGVIDLNAFEP
+TLPKTMVEFLEQGEATLSVVRRALATQLPVLPRSEVTFLAPVTRPDKVVCVGMNYADHCR
+EQNVPVPKEPIIFSKFASAIVGPYDNIILPPESQEVDWEVELAVVIGKRGKYIKATDAMA
+HVAGFTVAHDVSARDWQMGRNGKQWLLGKTFDTFCPLGPALVTKDSVADPHNLKICCRVN
+GELMQSSNTNQMVFKTEELITWVSQFVTLYPGDIILTGTPPGVGVFRKPPVFLKKGDEVQ
+CEIEELGVIINKVV
+>sp|Q8MJJ7|DCPS_BOVIN m7GpppX diphosphatase OS=Bos taurus OX=9913 GN=DCPS PE=2 SV=1
+MAELAHQQSKRKRELDAEEAEASSTEGEEAGVGNGTSAPVRLPFSGFRVKKVLRESARDK
+IIFLHGKVNEASGDGDGEDAVVILEKTPFQVDQVAQLLKGSPELQLQFSNDVYSTYHLFP
+PRQLSDVKTTVVYPATEKHLQKYLRQDLHLVRETGSDYRNVTLPHLESQSLSIQWVYNIL
+DKKAEADRIVFENPDPSDGFVLIPDLKWNQQQLDDLYLIAICHRRGIKSLRDLTAEHLPL
+LRNILREGQEAILRRYQVAADRLRVYLHYLPSYYHLHVHFTALGFEAPGAGVERAHLLAE
+VIDNLEQDPEHYQRRTLTFALRADDPLLALLQEAQRS
+>sp|Q08E62|DDIT4_BOVIN DNA damage-inducible transcript 4 protein OS=Bos taurus OX=9913 GN=DDIT4 PE=2 SV=1
+MPSLWDRFSSSSSSSSLSRTPTPNQPPRSAWGSAAREEGLGRCGSLESSDCESLDSSNSG
+FGPEEDSAYLDGVSLPDFELLSDPEDEHLCASLMQLLQESLAQARLGSRRPARLLMPGQL
+VSQVGKELLRLAYSEPCGLRGALLDVCVEQGKSCHSVGQLALDPSLVPTFQLTLVLRLDS
+RLWPKIQGLFSSANSPFVPGFSQSLTLSTGFRVIKKKLYSSEQLLIEEC
+>sp|Q29RP9|GATA_BOVIN Glutamyl-tRNA(Gln) amidotransferase subunit A, mitochondrial OS=Bos taurus OX=9913 GN=QRSL1 PE=2 SV=1
+MLGRTLREVSVELKQGQITPTELCQRCLSLIKKTKFLNAYITVSEEVALKQAEESEKRYK
+KGHSLGDLDGIPIAVKDNFSTSGIETTCASNMLKGYVPPYNATVVQKLLDQGALLMGKTN
+LDEFAMGSGSTDGIFGPVKNPWSYSKQYREKRKQNSHSENEDSNWLITGGSSGGSAAAVS
+AFTCFAALGSDTGGSTRNPAAHCGVVGLKPSYGLVSRHGLIPLVNSMDVPGILTRCVDDA
+ATVLGVLAGHDPKDSTTIQDPVKPFTLPSLTDVSKLCIGIPKEYLTPELSSEVQSLWSKA
+ANLFESEGAKVTEVSLPHTSYSIVCYHVLCTSEVASNMARFDGLEYGHRCDSDVSTEAMY
+AATRREGFNDVVRGRILSGNFFLLKENYENYFVKAQKVRRLIANDFVNVFNSGVDVLLTP
+TTLSEAVPYTEFIKEDNRTRSAQDDIFTQAVNMAGLPAVSVPVALSSQGLPIGLQFIGRA
+FCDQQLLIVAKWFEKQVQFPVIQLQELMDDCSSVFENEKLASVSLK
+>sp|A6QQ70|MAP1S_BOVIN Microtubule-associated protein 1S OS=Bos taurus OX=9913 GN=MAP1S PE=2 SV=1
+MAATVAGSGAAEVPSSLLLVVGGECGCRGLLAYVLEELERGIRSWDIDPGVCSLDEQLKV
+FVSRHSATFSSIVKGQRSLHHRGDTLETLVLLNPSDKSLCDELRNLLLDTASHKLLVLAG
+PCLEETGELLLQTGGFSPRHFLQVLGDKEIRDLLASTPPPAAPPKLTITCPTFGDWARLA
+PEVPGLQGVLHLRLNPPVQLPASEGLREFLEYVAESLEPPSPFELLEPPASVGLLRLARP
+CCYIFPGGLGDAAFFAVNGFTVLVNGGSNPKSSFWKLVRHLDRVDAVLVTHAGADSLPGL
+NSLLRRKLAERDEAAAGGGSGDDRLRRLISPNLGVVFLNARAAASRLVRGEDEAELALSL
+LSQLGITPVPLNRGPLPAEPTVLFQKMGVGRLDMYVLHPPSAATTDHTLASVCALLVWHP
+AGPSEKVVRVLFPGCTPPARLLDGLVHLQHLGFLREPVVTPQDMAGPRRAESKESVASRD
+SLRREGRTTVPSRPTQERPGVARKDSPRTEAPRRAEKEARPSREVKKDPRPSAPRTQPRE
+VRRAASAVVSGKNVGAQVAPKTRRAPNTPRPGVPPAENGPRSPPSFRSGEASPPTEACSS
+PAPQLVATPSQESSLDLGLSPAGEEGGSLEEKTLELLLAASTPEPCTPSPAGAQQGPTES
+SGPLSLSPLRGGEPGPDASPTVTTPSLPAEVGSPHSTEVDESLSVSFEQVLPPPAAAASE
+AGLSLPLCGPRVRRSASPHDVDLCLVSPCEFEHRKAVPMAPAPVSPGSSNDSSARSQERA
+GAPGGAEETPPTSVSESLPTLSDSDPLPAAPGTADSDEDTEGFGVPRRDPLPDPLKIPPP
+LPTPPSICMVDPEMLPPEQARLKGGGSRTRKPLTRPSSGTTPPKATPVTAAKIKGLASGD
+RASRPLSARSEPSDKGNRASLSRKPSVPKTTTRGPSGSAGSRSGGSAAPPGSPVYLDLAY
+LPSGGSARLVDEEFFRRVRALCYVISGQDQHKEEGMRAVLDALLAGKQQWDRQLQVTLIP
+TFDSVAMHEWYEETHTRHQALGITVLGSNSTVSMQDEAFPACKVEF
+>sp|Q32L48|H2B1N_BOVIN Histone H2B type 1-N OS=Bos taurus OX=9913 GN=HIST1H2BN PE=1 SV=3
+MPEPSKSAPAPKKGSKKAVTKAQKKDGKKRKRSRKESYSVYVYKVLKQVHPDTGISSKAM
+GNMNSFVNDIFERIAGEASRLAHYNKRSTITSREIQTAVRLLLPGELAKHAVSEGTKAVT
+KYTSSK
+>sp|F1MT22|LGR5_BOVIN Leucine-rich repeat-containing G-protein coupled receptor 5 OS=Bos taurus OX=9913 GN=LGR5 PE=3 SV=2
+MDTSSVGVLLSLPVLLQLAAGGGSPRPGTLLRGCPAHCQCEPDGRMLLRVDCSDLGLSEL
+PSNLSVFTSYLDLSMNNISQLPPSPLHSLRFLEELRLAGNALTYIPKGAFAGLYSLKVLM
+LQNNHLRQVPTEALQNLRSLQSLRLDANRISSVPPSCFSGLHSLRHLWLDDNALTEIPVQ
+AFRSLSALQAMTLALNKIHHIPDYAFGNLSSLVVLHLHNNRIHSLGKKCFDGLHSLETLD
+LNYNNLDEFPTAVRTLSNLKELGFHSNNIKSIPEKAFVGNPSLITIHFYDNPIQLVGRSA
+FQHLPELRTLTLNGASQITEFPDLTGTASLESLTLTGAQISSLPQTVCDQLPNLQVLDLS
+YNLLEDLPSFSVCQKLQKIDLRHNEIYEIQADTFQQLFSLRSLNLAWNKIAIIDPNAFST
+LPSLRKLDLSSNRLSSIPVTGLHGLTHLKLTGNHALQSLISSENFPELKVIEMPYAYQCC
+AFGVCENVYKISNPWSKGDNSTAEDLHKKDAGVFQVQDERDLEDFLLDFEEDLRALHPVR
+CSPSPGPFKLCEYLFGSWLIRIGVWTIAVLALTCNALVTSTVFRAAVYISSIKLLIGLIA
+AVNMLMGVSSAVLAGVDAFTFGSFAQHGAWWEQAVGCQVVGFLSIFASESSVFLLTLAAL
+ERGWSVKCSAKFETQTPFPSLRATLALCALLAGTVAAVPLLGGSEYSASPLCLPLPFGEP
+RATGYMVALVLLNSLCFLVMTVAYTRLYCHLEKGDLESMWDCSMVKHVALLLFTNCILHC
+PVAFLSFSSLLNLTFISPEVIKFILLVIVPLPACLNPLLYILFNPHFKEDLGSLGKQTHF
+WTRSKHTSLMSINSDDVEKQSCDSTQALVTFTSASIAYDLPSSSGSPPAYPMTESCHLSS
+VAFVPCL
+>sp|Q4JF28|IRF3_BOVIN Interferon regulatory factor 3 OS=Bos taurus OX=9913 GN=IRF3 PE=2 SV=1
+MGTQKPRILPWLISQLDRGELEGVAWLGESRTRFRIPWKHGLRQDAQQEDFGIFQAWAVA
+SGAYTPGKDKPDLPTWKRNFRSALNRKEVLRLAEDHSKDSQDPHKIYEFVNSGVRDIPEP
+DTSQDNGRHNTSDTQEDTLEKLLSDMDLSPGGPSNLTMASEKPPQFLQSPDSDIPALCPN
+SGLSENPLKQLLANEEDWEFEVTAFYRGCQVFQQTVFCPGGLRLVGSEAGDRMLPGQPIR
+LPDPATSLTDKSVTDYVQRVLSCLGGGLALWRAGQWLCAQRLGHCHVYWAIGEELLPSCG
+HKPDGEVPKDREGGVFNLGPFITDLITFIEGSRRSPLYTLWFCVGQSWPQDQPWIKRLVM
+VKVVPMCLRVLVDIARQGGASSLENTVDLHISNSDPLSLTPDQYMACLQDLAEDMDF
+>sp|Q8SQG8|HYAL2_BOVIN Hyaluronidase-2 OS=Bos taurus OX=9913 GN=HYAL2 PE=2 SV=1
+MWTGLGPAVTLALVLVVAWATELKPTAPPIFTGRPFVVAWDVPTQDCGPRHKMPLDPKDM
+KAFDVQASPNEGFVNQNITIFYRDRLGMYPHFNSVGRSVHGGVPQNGSLWVHLEMLKGHV
+EHYIRTQEPAGLAVIDWEDWRPVWVRNWQDKDVYRRLSRHLVAIRHPDWPPERVAKEAQY
+EFEFAARQFMLETLRFVKAFRPRHLWGFYLFPDCYNHDYVQNWETYTGRCPDVEVSRNDQ
+LAWLWAESTALFPSVYLEETLASSTHGRNFVSFRVQEALRVADVHHANHALPVYVFTRPT
+YSRGLTGLSEMDLISTIGESAALGAAGVILWGDAGFTTSNETCRRLKDYLTRSLVPYVVN
+VSWAAQYCSWAQCHGHGRCVRRDPNAHTFLHLSASSFRLVPSHAPDEPRLRPEGELSWAD
+RNHLQMHFRCQCYLGWGGEQCQWDRRRAAGGASGAWAGSHLTGLLAVAVLAFT
+>sp|Q9TVC8|HB21_BOVIN BoLa class II histocompatibility antigen, DQB*0101 beta chain OS=Bos taurus OX=9913 GN=BoLA-DQB PE=2 SV=1
+MSGMVALWIPRGLWTAVVMVTLVMLSTPGAEGRDSPKDFVYQFKGLCYFTNGTERVRYVT
+RYIYNQEENVRFDSDWDEYRAVTPLGRPDAEYFNSQKDFLEQTRAEADTVCRHNYQVEAP
+FTWQRQVEPTVTIFLSRTEALNHHNLLVCSVTDFYPGQIKVRWFRNDQEETAGVVATPLI
+RNGDWTFQLFMMLEMTPQRGDVYTCRVEHPSLQSPIMVEWRAQSESAQSKMLSGIGGFVL
+GLIFLGLGLIVHHRSQKGLMR
+>sp|Q3SZX2|IPP2_BOVIN Protein phosphatase inhibitor 2 OS=Bos taurus OX=9913 GN=PPP1R2 PE=2 SV=1
+MAASTASHRPIKGILKNKSSTTSSVVSTAEQPGKSVDEELSKKSQKWDEMSILATYHPAD
+KDYGLMKIDEPSTPYHSMVADDEDALSDSETTEALTPDILARKLTAAAAESLEPKYRVRE
+QESSGDEDSDLSPEEREKKRQFEMKRKLHYNEGLNIKLARQLISKDLNDEEEDEEMSETA
+AGESMNMEESSQGSATSDQLQNKSQSS
+>sp|Q2NL00|GSTT1_BOVIN Glutathione S-transferase theta-1 OS=Bos taurus OX=9913 GN=GSTT1 PE=2 SV=3
+MGLELYLDLLSQPCRAIYIFAKKNRIPFELRTVDLRKGQHLSDAFAQVNPLQKVPILKDG
+DFILTESVAILLYLARKYKVPDHWYPQDLQACARVDEYLAWQHTALRRNCLRALWHKVML
+PVFLGEPVSPEMLATTLAELDMALQVLEGKFLQDKAFLTGSHISLADLVAITELMHPVGA
+GCQVFKGRPKLAAWRQRVEAAVGEVLFQEAHEVILKAKDSQPADPTLKQKMLPKVLAMIQ
+>sp|Q32PD8|LERL1_BOVIN Leptin receptor overlapping transcript-like 1 OS=Bos taurus OX=9913 GN=LEPROTL1 PE=2 SV=1
+MAGIKALISLSFGGAIGLMFLMLGCALPIYNQYWPLFVLFFYILSPIPYCIARRLVDDTD
+AMSNACKELAIFLTTGIVVSAFGLPIVFARANLIEWGACALVLTGNTVIFATILGFFLVF
+GSNDDFSWQQW
+>sp|Q3T0Z5|LENG1_BOVIN Leukocyte receptor cluster member 1 homolog OS=Bos taurus OX=9913 GN=LENG1 PE=2 SV=1
+MNILPKKSWHVRNKDNVARVRRDEARAREEEKERERRVLLAQQEARTEFLRKKARHQNWL
+PALEAAEAGAPGSSGPVDLFRELLEEGKAVTKGNKEYEEEKRQEKERQEKALGILTYLGQ
+SAAEAQTQPPWYQLPPRQGGPASGPGPDERIKSRLDPLREMQKHLGKKRKHSGDSGGHST
+KEKAAPEKQRPKGPPSLEQLRADRLRREAAERARAEALLARVGGTAAPEEQPEEVDERRR
+RYNSQFNPQLARRPRRQDPPVAP
+>sp|Q08DG5|HXB4_BOVIN Homeobox protein Hox-B4 OS=Bos taurus OX=9913 GN=HOXB4 PE=2 SV=1
+MAMSSFLINSNYVDPKFPPCEEYSQSDYLPSDHSPGYYAGGQRRESSFQPEAGFGRRSAC
+TVQRYAACRDPGPPPPQPPPPPPPPPPGLSPRAPAQPPSGALLPEPGQRCEAISSSPPPP
+PCAQNPLHPSPSHSACKEPVVYPWMRKVHVSTVNPNYAGGEPKRSRTAYTRQQVLELEKE
+FHYNRYLTRRRRVEIAHALCLSERQIKIWFQNRRMKWKKDHKLPNTKIRSGGTAGSAGGP
+PGRPNGGPPAL
+>sp|Q2KJ63|KLKB1_BOVIN Plasma kallikrein OS=Bos taurus OX=9913 GN=KLKB1 PE=2 SV=1
+MIALRQAAYFICLFATVSCGCLTQLYHNIFFRGGDVSAMYTPDAQYCQLMCTFHPRCLLF
+SFLPENSTSDADKRFGCFLKDSVTGTLPRVSRTGAISGHSLKRCGHQISACHRSIYKGID
+MRGVNFNASKVRSAKECQERCTNNIHCQFFTYATKTFFSAEYRNTCLLKRSPQGTPTRIK
+VLSDVESGFSLKACGNSKIGCRVDIFQHSAFSDVDVAGIIAPDAFVCRTICTYHPSCLFF
+TFYTNAWKTDSQRNVCFLKTSQSGSPSSPTPQENAISGYSLLTCKQTLPGTEPCHSKIYP
+QVAFEGEELHVTFVKGVDGCQETCTKMIRCQFFTYSLFPEDCRGEKCKCSLRLSLDGSPT
+NITYGTQASSGYSLRLCKRGDSRVCTTKRTRIVGGTNASWGEWPWQVSLQVKQRAQSHLC
+GGSIIGRQWVLTAAHCFDGLLLSNIWRIYGGILNLSEITTETSFSQIKEIIVHPNYKISE
+GSHDIALIKLEAPLNFTDLQKAICLPSKDDTKPVYTDCWITGWGFTEEKGKIQNTLQKAN
+IPLISNEECQKSYRDYKITKQMICAGYKEGGKDACKGDSGGPLVCQHEETWHLVGITSWG
+EGCARREQPGVYTKVAEYVDWILEKTQDSHGQPLRK
+>sp|Q0V8M0|KRI1_BOVIN Protein KRI1 homolog OS=Bos taurus OX=9913 GN=KRI1 PE=2 SV=3
+MPEPRGSSPLRVNAAFAARYGRYREREELQRLKDRYGDRHSSSDSSSESDSSDEHVEFDP
+QQERDFYRTLSLLKKKDPRIYQKDATFYQRTASSSDSEEEPAAEKRKKVQPMYLKDYERK
+VILEKGGKYVDEENSDGETSNQRLQQSSSKSYVEEQKQLKESFRAFVEDSEDEDSAEEGG
+SGLLQKRAKSKEEKAQEDADYIEWLKGQKEIQNPDTLKELTHLREFWNNPELDEGERFLR
+DYILNKRYEEEGEEEDEEEEDEEEERVPGPPVQLAVDDSSDEGELFLKKQEDFELKYNFR
+FEEPDSASVKTYPRSIASSVRRKDERRKEKREETRERKKREKARKQEELKQLKNLKRKEI
+LAKLERLRQVTGNETLGFEEQDLEGDFDPARHDQLMQKCFGDEYYGAMEEEKPQFEEEEG
+LEDDWNWDTWAGPEQGGAWSQQEPHCEDPDFNMDADYDPSQPRKKQREAPSLGKKKRKSP
+FATAVGQEKPVFDPGDKTFEEYLDEYYRLDYEDIIDDLPCRFKYRTVVPCDFGLSTEEIL
+AADDKELNRWCSLKKTCMYRSEQEELQDKRVYSQKARNVWKKQQIFKSLCPEEAEMPTEA
+TGKPQRDRAGSSGQLVAPDGACGKRSQPESTPAEEEADPVTPTEKLAPQRRKRGKKARLL
+GPTVTLGGREFSRQRLQAFGLNPKRLHFRQLGRQRRKQQGPKSSH
+>sp|Q2HJJ0|KLC4_BOVIN Kinesin light chain 4 OS=Bos taurus OX=9913 GN=KLC4 PE=2 SV=2
+MSGLVLGQRDEPAGHRLSQEEILGSTRLVSQGLEALHSEHQAVLQSLSQTIECLQQGGHE
+EGLVHEKARQLRRSMENIELGLSEAQVMLALANHLSTVESEKQKLRAQVRRLCQENQWLR
+DELAGTQQRLQRSEQAVAQLEEEKKHLEFLGQLRQYDEDGHAAEEKEGDASKDSLDDLFP
+NEEEEDPSNGLSRGQGAQHSGYEIPARLRTLHNLVIQYAAQGRYEVAVPLCKQALEDLER
+TSGRGHPVVATMLNILALVYRGQNKYKEAALLLNDALSIRESTLGRDHPAVAATLNNLAV
+LYGKRGKYKEAEPLCQRALEIREKVLGTNHPDVAKQLNNLALLCQNQGKYEAVERYYRRA
+LAIYEGQLGPDNPNVARTKNNLASCYLKQGKYAEAETLYKEILTRAHVQEFGSVDDDHKP
+IWMHAEEREEMSKIRHREGSTPYAEYGGWYKACKVSSPTVNTTLRNLGALYRRQGKLEAA
+ETLEECALRSRKQGTDPISQTKVAELLGEGDSGRTSQEGLGGSVKFEGGEDASVAVEWSG
+DGSGALQRSGSLGKIRDVLRRSSELLVRKLQGSEPRPSSSNMKRAASLNYLNQPSAAPLQ
+VSRGLSASSMDLSSSS
+>sp|A2VDS0|KPRB_BOVIN Phosphoribosyl pyrophosphate synthase-associated protein 2 OS=Bos taurus OX=9913 GN=PRPSAP2 PE=2 SV=1
+MFCVAPPESEAKMNITKGGLVLFSANSNSSCMELSKKIAERLGVEMGKVQVYQEPDRETR
+VQIQESVRGKDVFIIQTVSKDVNTTIMELLIMVYACKTSCAKSIIGVVPYFPYSKQCKMR
+KRGSIVSKLLASMMCKAGLTHLITMDLHQKEIQGFFNIPVDNLRASPFLLQYIQEEIPDY
+RNAVIVAKSPASAKRAQSFAERLRLGIAVIHGEAQDAESDLVDGRHSPPMVRSAAAIHPS
+LEIPMLIPKEKPPITVVGDVGGRIAIIVDDIIDDVDSFLAAAETLKERGAYKIFVMATHG
+LLSSDAPLLIEESAIDEVVVTNTIPHEIQKLQCPKIKTVDISMTLSEAIRRIHNGESMSY
+LFRNIGLDD
+>sp|Q0P5I5|HOGA1_BOVIN 4-hydroxy-2-oxoglutarate aldolase, mitochondrial OS=Bos taurus OX=9913 GN=HOGA1 PE=1 SV=1
+MLVPRVWSSVRLGLSRVLSRTLRGWPSGEGRGMDLSGIYPPVTTPFTATAEVDYGKLEEN
+LHKLGTLPFRGFVVQGSNGEFPFLTSSERLEVVSRARQALPKDKLLLAGSGCESTQATVE
+MTVSMAQVGADAAMVVTPCYYRGRMSSAALIHHYTKVADLSPVPVVLYSVPANTGLDLPV
+DAVVTLSQHPNIVGIKDSGGDVTRIGLIVHKTRSQDFQVLAGSAGFLLASYAIGAVGGVC
+ALANVLGSQVCQLERLCLTGQWEDAQKLQHRLIEPNTAVTRRFGIPGLKKTMDWFGYYGG
+PCRSPLQELSPAQEEALRLDFASNGWL
+>sp|P26895|IL7_BOVIN Interleukin-7 OS=Bos taurus OX=9913 GN=IL7 PE=2 SV=1
+MFHVSFRYIFGIPPLILVLLPVASSDCDISGKDGGAYQNVLMVNIDDLDNMINFDSNCLN
+NEPNFFKKHSCDDNKEASFLNRASRKLRQFLKMNISDDFKLHLSTVSQGTLTLLNCTSKG
+KGRKPPSLSEAQPTKNLEENKSSKEQKKQNDLCFLKILLQKIKTCWNKILRGIKEH
+>sp|A0N0X6|LRRN1_BOVIN Leucine-rich repeat neuronal protein 1 OS=Bos taurus OX=9913 GN=LRRN1 PE=3 SV=1
+MARMSFVLAAYQMVLSLLMTSLTGSSLQSSECPQLCVCEIRPWFTPQSTYREATTVDCND
+LRLTRIPSNLSSDTQVLLLQSNNIAKTVDELQQLFNLTELDFSQNNFTNIKEVGLANLTQ
+LTTLHLEENQITEMNDYCLQDLSNLQELYINHNQISTISANAFSGLKNLLRLHLNSNKLK
+VIDSRWFDSTPNLEILMIGENPVIGILDMNFKPLSNLRSLVLAGMYLTDIPGNALVGLDS
+LESLSFYDNKLVKVPQLALQKVPNLKFLDLNKNPIHKIQEGDFKNMLRLKELGINNMGEL
+VSVDRYALDNLPELTKLEATNNPKLSYIHRLAFRSVPALESLMLNNNALNAVYQKTVESL
+PNLREISIHSNPLRCDCVIHWINSNKTNIRFMEPLSMFCAMPPEYRGQQVKEVLIQDSSE
+QCLPMISHDTFPNHLNMDIGTTVFLDCRAMAEPEPEIYWVTPLGNKITVETLSEKYKLSS
+EGTLEISKIQIEDSGRYTCVAQNVEGADTRVVMIKVNGTLLDGAQVLKIYVKQTESHSIL
+VSWKVNSNVMTSNLKWSSATMKIDNPHITYTARVPVDVHEYNLTHLQPSTDYEVCLTVSN
+IHQQTQKSCVNVTTKNAAFALDISDQETSTALAAVMGSMFAVISLASIAVYIAKRFKRKN
+YHHSLKKYMQKTSSIPLNELYPPLINLWEGDSEKDKDGTADTKPTQVDTSRSYYMW
+>sp|Q9BGL2|LRAT_BOVIN Lecithin retinol acyltransferase OS=Bos taurus OX=9913 GN=LRAT PE=1 SV=1
+MKNPMLEAVSLVLEKLLFISYFKFFSSGAPGQDKAGNTLYEISSFLRGDVLEVPRTHLTH
+YGIYLGDNRVAHMMPDILLALTDDKGRTQKVVSNKRLILGVIGRVASIRVDTVEDFAYGA
+EILVNHLDRSLKKKALLNEEVAQRAEKLLGITPYSLLWNNCEHFVTYCRYGTPISPQADK
+FCENVKIIIRDQRSVLASAVLGLASIFCLGLTSYTTLPAIFIPFLLWMAG
+>sp|A2VE53|IKIP_BOVIN Inhibitor of nuclear factor kappa-B kinase-interacting protein OS=Bos taurus OX=9913 GN=IKBIP PE=2 SV=1
+MSEVKSRKKSGTKGAPAEPGKRNEGGKSPEARGGGGRGWADPRTGVSLLSLGTCLGLAWF
+VFQQSEKFAKVENQYQLLKMETSEFQGLQSKISLISEKCQKSEAIIEQLKAFQIITHLKH
+LQEEIYEVKTWSSRISEKQDILNNNLTTVSQDVAKADQSTTSMAKDIGLKITTIKTDIRR
+MSGLVTDVTSLTDSVQELENKIEKVEKNTVKNIGDLLSSSIDRTAMLRKTASENSQRINS
+VKKILSELQGDFNKHTDRLLSLESDRAKVLKTVTFANDLKPKVYNLKKDFSRLEPLVNDL
+TLRIGRLVTDLQQREKEIAFLKEKISNLTTVRAEIKDMKDEIKHISDMD
+>sp|Q2HJ67|HXA5_BOVIN Homeobox protein Hox-A5 OS=Bos taurus OX=9913 GN=HOXA5 PE=2 SV=1
+MSSYFVNSFCGRYPNGPDYQLHNYGDHSSVSEQFRDSASMHSGRYGYGYNGMDLSVGRSG
+SGHFGSGERARSYAAGASAAPAEPRYSQPATSTHSPPPDPLPCSAVAPSPGSDSHHGGKN
+SLGNSSGASANAGSTHISSREGVGTASGAEEDAPASSEQASAQSEPSPAPPAQPQIYPWM
+RKLHISHDNIGGPEGKRARTAYTRYQTLELEKEFHFNRYLTRRRRIEIAHALCLSERQIK
+IWFQNRRMKWKKDNKLKSMSMAAAGGAFRP
+>sp|Q148H8|K2C72_BOVIN Keratin, type II cytoskeletal 72 OS=Bos taurus OX=9913 GN=KRT72 PE=2 SV=1
+MSRQLNLYPGGERLAFSGCSAIISSRVSSSTASFRASGIKGTATFGSRSLFNCGGGRRPA
+LSSAAGRGGSALGSCAATGGGRRGGFVGTVFGSAGLGPACPSVCPPGGIPQVTVNKSLLS
+PLNVELDPEIQKVRAQEREQIKALNNKFASFIDKVRFLEQQNQVLGTKWELLQQLDLNNC
+KNNLEPILEGYTSNLRKQLEMLSGDRVRLDSELRSMRDVVEEYKKRYEVEINRRTAAENE
+FVMLKKDVDAAYMNKVELQAKVDSLTDEIKFLKCLYEGEIAQLQSHISDTSVILSMDNNR
+DLDLDSIIAQVRAQYEEIALKSKAEAEALYQTKIQELQATAGQHGDDLKLTKAEISDLNR
+MIQRIRSEIGNVKKQCSNLEMAIADAEQRGDCALKDARAKLDELDAALLQAKEELARMMR
+EYQELMSTKLALDMEIATYRKLLEGEECRMSGEYPNSVSISVISNTSTGAGGTGFSMGFG
+ALSSYSYKSSAVDVKTKGSCGGSELKDAPAKTSGSSCATKKASR
+>sp|A6QLJ3|GUF1_BOVIN Translation factor GUF1, mitochondrial OS=Bos taurus OX=9913 GN=GUF1 PE=2 SV=1
+MWTLAGQGWWRGRALAAWVTEAARKGLLWPHLAPARGTAAESRAPDRCYSSADRKEKIDM
+SCFPVENTRNFSIIAHVDHGKSTLADRLLELTGAIDKTKNNKQVLDKLQVERERGITVKA
+QTASLFYNYEGKQYLLNLIDTPGHVDFSYEVSRSLSACQGVLLVVDANEGIQAQTVANFF
+LAFEAQLSIIPVINKIDLKNADPERVEKQIEKVFDIPGDECIKISAKLGTNVESVLDAVI
+KRIPFPKAHCRNPLRALVFDSTFDQYRGVIANVALFDGVVSKGDKIVSAHTQKTYEVNEV
+GVLNPNEQPTHKLYAGQVGYLIAGMKDVTEAQIGDTLYLHKQPVEPLPGFKSAKPMVFAG
+MYPVDQSEYNNLKSAIEKLTLNDSSVVVHRDSSLALGAGWRLGFLGLLHMEVFNQRLEQE
+YNASVILTTPTVPYKAVLSSAKLIKEYREKEITIINPAQFPDKSKVTEYLEPVVLGTIIT
+PDEYTGKIMMLCQARRAVQKNMMYIDQNRVMLKYLFPLNEIVVDFYDSLKSLSSGYASFD
+YEDAGYQTAELVKMDILLNGSIVEELVTVVHKDKAYSVGKAICERLKDSLPRQLFEIAIQ
+AALGSKIIARETVKAYRKNVLAKCYGGDITRKMKLLKRQAEGKKKLRKVGNVEVPKDAFI
+KVLKTQSDK
+>sp|Q9XSV9|IL13_BOVIN Interleukin-13 OS=Bos taurus OX=9913 GN=IL13 PE=2 SV=2
+MALLLTAVIVLICFGGLTSPSPVPSATALKELIEELVNITQNQKVPLCNGSMVWSLNLTS
+SMYCAALDSLISISNCSVIQRTKRMLNALCPHKPSAKQVSSEYVRDTKIEVAQFLKDLLR
+HSRIVFRNERFN
+>sp|E1BCH6|ISPD_BOVIN D-ribitol-5-phosphate cytidylyltransferase OS=Bos taurus OX=9913 GN=CRPPA PE=3 SV=2
+MELGPPGGSGPAEPGPRLRGLRGADAAASASSLSRFGAEAGCRASAVAAVLPAGGSGERM
+GVPTPKQFCPILERPLISYTLQALERVSWIKDIIVAVTRENMETMKGIIQRYQHKRISLV
+EAGVTRHRSIFNGLKALAEDQPNCRLSKPEVVIIHDAVRPFVEEDVLLKVVTAAQEHGAS
+GAIRPLVSTVISPSADSCLDHSLERARYRASEMPQAFLFDVIYDAYQQCSDYDLEFGTEC
+LHLALKYSHIKAKLVEGSPDLWKVTYKRDLYAAESIIKERISQKVCVVMDTKEDEEHVGH
+CLEEMLKTELNHVKVTSGQDLQQIILEQCYNFVCVNVMTSDFEETQRLLNMVEESNLSIL
+YPVVVISVHFLDYESVPLGQKMEKVMQIREFAKEAKKRNILLSGLLIYFPQEEQKLRESL
+RQGTTIISALIKERNSGLIGQLLVA
+>sp|A0JN40|KIF3C_BOVIN Kinesin-like protein KIF3C OS=Bos taurus OX=9913 GN=KIF3C PE=2 SV=1
+MASKTKASEALKVVARCRPLSRKEEAAGHEQILTMDVKLGQVTLRNPRAALGELPKTFTF
+DAVYDASSKQADLYDETVRPLVDSVLQGFNGTVFAYGQTGTGKTYTMQGTWVEPEQRGVI
+PNAFEHIFTHISRSQNQQYLVRASYLEIYQEEIRDLVSKEPGKRLELKENPETGVYIKDL
+SSFVTKNVKEIEHVMNLGNQTRAVGSTHMNEVSSRSHAIFVITVECSERGSDGQDHIRVG
+KLNLVDLAGSERQNKAGPNTTGGTATQPTGGGGGGGGGGGGGERPKEASKINLSLSALGN
+VIAALSGNRSTHIPYRDSKLTRLLQDSLGGNAKTIMVATLGPASHSYDESLSTLRFANRA
+KNIKNKPRVNEDPKDTLLREFQEEIARLKAQLEKKGMLGKRLRRKSSRRKKAVSAPAGYP
+EGPVIEAWVAEEEDDNNNNHRPPQPILETALDKNMENYLQEQKERLEEEKAAIQDDRSLV
+SEEKKKLLEEKEKMLEDLRREQEATELLAAKYKAMESKLLIGGRNIMDHTNEQQKMLELK
+RQEIAEQKRREREMQQEMMLRDEETMELRGTYTSLQQEVEVKTKKLKKLYAKLQAVKAEI
+QDQHDEYIRVRQDLEEAQNEQTRELKLKYLIIENFIPPEEKNKIMNRLFLDCEEEQWKFQ
+PLVPSGANSSQMKKRPTSAVGYKRPISQYARVAMAMGSHPRYRAENIMFLELDVSPPAVF
+EMEFSHDQDQDPRALHMERLMRLDSFLERPSTSKVRKSRSWCQSPQRPPPPTAHASLAAS
+AALRPTTVLDHE
+>sp|Q3SZ16|IAH1_BOVIN Isoamyl acetate-hydrolyzing esterase 1 homolog OS=Bos taurus OX=9913 GN=IAH1 PE=2 SV=1
+MALCEAVASGSPLVWPRVLLFGDSITQFSFQQGGWGASLADMLVRKCDVLNRGFSGYNTR
+WAKIILPRLVRKGSGLDSPVAVTIFFGANDSALKDENPKQHVPLEEFVANLRSMVRYLRS
+VDVPEGRLILITPPPLCEAAWAQECLQQGCKLNRLNSVVGEYARACLQVAQDCGADALDL
+WSLMQKDGQDFSSYLSDGLHLSPKGNEFVFSHLWPLIEKKVSSLPFLLPYWRDIAEARPE
+LSLLGDGDH
+>sp|Q2NL14|KANL2_BOVIN KAT8 regulatory NSL complex subunit 2 OS=Bos taurus OX=9913 GN=KANSL2 PE=2 SV=1
+MNRIRIHVLPTNRGRITPVPRSQEPLSCSFTHRPCSQPRLEGQEFCIKHILEDKNAPFKQ
+CSYVSTKNGKRCPSAAPKPEKKDGASFCAEHARRNALALHAQMKKTSPGPVGETLLCQLS
+SYAKTELGSQTPESSRSEASRILDEDSWSDGDQEPITVDQTWRGDPDSEADSIDSDQEDP
+LKHAGVYTAEEVALIMREKLIRLQSLYIDQFKRLQHLLKEKKRRYLHNRKVEHEALGSSL
+LTGPEGLLAKERENLKRLKCLRRYRQRYGVEALLHRQLKERRMLATDGAAQQAHTTRSSQ
+RCLAFVDDVRCSNQSLPMTRHCLTHICQDTNQVLFKCCQGSEEVPCNKPVPVSLSEDPCC
+PLHFQLPPQMYKPEQDLDVVGDGMQCPPSPLLFDPSLTLEDHPVKEIAEGPVDILGQMQM
+AGDGCRSQGPRNSEKAPAPLPQSGIATANGKPEPTSVS
+>sp|P26892|IL6_BOVIN Interleukin-6 OS=Bos taurus OX=9913 GN=IL6 PE=2 SV=1
+MNSRFTSAFTPFAVSLGLLLVMTSAFPTPGPLGEDFKNDTTPGRLLLTTPEKTEALIKRM
+VDKISAMRKEICEKNDECESSKETLAENKLNLPKMEEKDGCFQSGFNQAICLIRTTAGLL
+EYQIYLDYLQNEYEGNQENVRDLRKNIRTLIQILKQKIADLITTPATNTDLLEKMQSSNE
+WVKNAKIILILRNLENFLQFSLRAIRMK
+>sp|P80747|ITB5_BOVIN Integrin beta-5 OS=Bos taurus OX=9913 GN=ITGB5 PE=1 SV=2
+MPRAPALLFSCLLGLCALVPRLPGLNICTSGSATSCEECLLIHPKCAWCFKEDFGSLRSV
+TSRCDLKANLIRNGCGVEFESPASSTQVLRSLPLSSKGSSPAGSDVIQLTPQEVTVTLRP
+GDRTAFQLQVRQVEDYPVDLYYLMDLSLSMKDDLENIRSLGTKLAEEMRKLTSNFRLGFG
+SFVDKNISPFSYTAPRYQTNPCIGYKLFPNCVPSFGFRHLLPLTDRVDSFNEEVRKQRVS
+RNRDAPEGGFDAVLQAAVCKEKIGWRKDALHLLVFTTDDVPHIALDGKLGGLVQPHDGQC
+HLNEANEYTASNQMDYPSLALLGEKLAENNINLIFAVTKNHYMLYKNFTALIPGTTVEIL
+HGDSKNILQLIINAYNSIRSKVELSVWDQPEDLNLFFTATCQDGVSYPGQRKCEGLKIGD
+TASFEVSVEARSCPSKHVQHTFTLRPVGFRDSLEVGVTYNCRCGCSAGLEPDSARCSSNG
+TYVCGLCECNPGYLGTRCECQEGESQSGYQNLCREAEGKPLCSGRGQCSCNQCSCFESEF
+GKIYGSFCECDNFSCARNKGVLCSGHGECHCGECKCHAGYIGDNCNCSTDISTCQARDGH
+ICSDRGHCVCGQCQCTEPGAFGETCEKCPTCPDACSTKRDCVECLLLHSGSSADNQTCQN
+LCKDEVITRVDTIVKDDQEAVLCFYKTAKDCVMMFTYSELPSGKSNLTVLREPECGTAPS
+AMTILLAVVGSILLTGFALLVIWKLLVTIHDRREFAKFQSERSRARYEMASNPLYRKPIS
+THTVDFTFNKFNKSYNGTVD
+>sp|A6QNX5|K2C78_BOVIN Keratin, type II cytoskeletal 78 OS=Bos taurus OX=9913 GN=KRT78 PE=2 SV=1
+MSLSPCRARRGFSARSACSAQSVGRGRTGFSSRSLSSFGGCRGGSRGRTWGSWGRLGVRL
+GEGSGGPGLSLCPPGGIQQVTINQSLLIPPKIEIDPQFQVVRTQETQQIRVLNNQFASFI
+DKVRFLEQQNKVLETKWHLLQQQGLSDRPQGLESFFEAYLVRLRTQLEELQKKRGSLDAE
+LKSCQGQEEEYKAKYEHEANRRATLENDFVVLKKDADGVLLSKMEMESKVEDLKEYICFL
+KHLYEEELGQLQTQASDMSVVLSMDNNRCLDFRDLIAEVRARYEEIARTSKAEAEMLYQT
+KYRELQACAQLHGNSMKETKVQITQLQQTIKKLQSQIETVKSQNASLQVAIADAEQRGEL
+ALKDAQTKLAELEAALRTAKQDIARLLHDYQELMSVKLSLDIEIATYRRLLEGEECRMSG
+ECASQVTISVGGGSTVVSGGADGGLAGTCGLGGVKGSFGSRCSSVVKGGSSIVKGGSSVV
+IGGSSIILGSEQGPAVGSGSVSGSSSSSTSHTILKKTVESSLKTSVTY
+>sp|A6H6X4|KCTD4_BOVIN BTB/POZ domain-containing protein KCTD4 OS=Bos taurus OX=9913 GN=KCTD4 PE=2 SV=1
+MEHKINRREKEKDYEGKHNSLEDADQGKNCKSTLMTLNVGGYLYITQKQTLTKYPDTFLE
+GIVNGKILCPFDADGHYFIDRDGLLFRHVLNFLRNGELLLPEGFRENQLLAQEAEFFQLK
+GLAEEVKSRWEKEQLTPRETTFLEITDNHDRSQGLRIFCNAPDFISKIKSRIVLVSKSRL
+DGFPEEFSISSNTIQFKYFIKSENGTRLVLKEDNTFVCTLETLKFEAIMMALKCGFRLLT
+SLDCSKGSIVHSDALHFIK
+>sp|P61287|PP1G_BOVIN Serine/threonine-protein phosphatase PP1-gamma catalytic subunit OS=Bos taurus OX=9913 GN=PPP1CC PE=2 SV=1
+MADIDKLNIDSIIQRLLEVRGSKPGKNVQLQENEIRGLCLKSREIFLSQPILLELEAPLK
+ICGDIHGQYYDLLRLFEYGGFPPESNYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFL
+LRGNHECASINRIYGFYDECKRRYNIKLWKTFTDCFNCLPIAAIVDEKIFCCHGGLSPDL
+QSMEQIRRIMRPTDVPDQGLLCDLLWSDPDKDVLGWGENDRGVSFTFGAEVVAKFLHKHD
+LDLICRAHQVVEDGYEFFAKRQLVTLFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPAE
+KKKPNATRPVTPPRGMITKQAKK
+>sp|A6QL72|MTA3_BOVIN Metastasis-associated protein MTA3 OS=Bos taurus OX=9913 GN=MTA3 PE=2 SV=1
+MAANMYRVGDYVYFENSSSNPYLIRRIEELNKTATGNVEAKVLCFYRRRDISNTLIMLAD
+KHAKEIEEESETTVEADLTDKQKHQLKHRELFLSRQYESLPATHIRGKCSVALLNETESV
+LSYLDKEDTFFYSLVYDPSVKTLLADKGEIRVGPRYQADIPEMLLEGESDEREQSKLEVK
+VWDPNSPLTDRQIDQFLVVARAVGTFARALDCSSSVRQPSLHMSAAAASRDITLFHSMDT
+LYRHGYDLSSAISVLVPLGGPVLCRDEMEEWSASEASLFEEALEKYGKDFNDIRQDFLPW
+KSLTSIIEYYYMWKTTDRYVQQKRLKAAEAESKLKQVYIPTYKPNPNQISTSNGKPGAVN
+GAVGTTFQPQNPLLGRACESCYATQSHQWYSWGPPNMQCRLCATCWLYWKKYGGLKMPTQ
+SEEEKLPPSPATEDPRVRSHMSRQAMQGMPVRNTGSPKSAVNTRQAFFLHTTYFTKFARQ
+VCKNTLRLRQAARRPFVPINYAAIRAEYADRHADLSGSPLKSKSTRKPLACIIGYLEIHP
+SKKPNVIRSTPSLQTPTTKRMLATPNHTSLSILGKRNYSHHNGLDERLMK
+>sp|Q17QC0|PGRC1_BOVIN Membrane-associated progesterone receptor component 1 OS=Bos taurus OX=9913 GN=PGRMC1 PE=2 SV=3
+MAAEDVAATGADTSELESGGLLQEIFTSPLNLLLLGLCIFLLYKIVRGDQPAASDSDDDE
+PPPLPRLKRRDFTPAELRRFDGVQDPRILMAINGKVFDVTKGRKFYGPEGPYGVFAGRDA
+SRGLATFCLDKEALKDEYDDLSDLTPAQQETLSDWDSQFTFKYHHVGKLLKDGEEPTVYS
+DKEEPKDESTRKND
+>sp|O62820|MOTI_BOVIN Promotilin OS=Bos taurus OX=9913 GN=MLN PE=3 SV=1
+MLSRKATAVLLAVHAAAMLASQTEAFVPIFTYGEVRRMQEKERYKGQKKSLSVQQRSEEV
+GPVDPTEPWEEKQEVIKLTAPVEIGMRMNSRQLEKYQATLEGLLREVLPPSRNAQ
+>sp|A5D7N9|MS18A_BOVIN Protein Mis18-alpha OS=Bos taurus OX=9913 GN=MIS18A PE=2 SV=1
+MAGAWSSDCCEGCSSTNCTCGHKSKWGDSSLLGRRLSEDSSRHQLLQKWASMWSSVSGDA
+SAACPERKRREEAAEPAEEDRPLVFLCSSCRRPLGDSLSWVASQEDTNCILLRCVTCNVS
+VNEEQILSKRKNENGCILETLYCTGCSLNLGYLYRCTPKDLDYKRDLFCLSVGAIESYVL
+GSSERQIVSEDKELFNLESRVEIEKSLKQMEDVLKALQTKLWEVESKLSFTSCKS
+>sp|Q4U5R3|PSME1_BOVIN Proteasome activator complex subunit 1 OS=Bos taurus OX=9913 GN=PSME1 PE=1 SV=1
+MATLRVLPEAQAKVDVFREDLCTKTENLLGSYFPKKISELDAFLKEPDLNEANLSNLKAP
+LDIPVPDPVKEKEKEERRKQQEKEDKDEKKKGEDEDKGPPCGPVGCNEKIVVLLQRVKPE
+IKDVIEKLNLVTTWLQLQIPRIEDGNNFGVAVQEKVFELMTALHTKLEGFHTQISKYFSE
+RGDAVTKAAKQPHVGDYRQLVHELDEAEYRDIRLMVMEIRNAYAVLYDIILKNFEKLKKP
+RGETKGMIY
+>sp|Q865R1|PAOX_BOVIN Peroxisomal N(1)-acetyl-spermine/spermidine oxidase OS=Bos taurus OX=9913 GN=PAOX PE=1 SV=3
+MQSGGRQAEAPGRGPRVLVVGGGIAGLGAAQRLCRHPAFSHLRVLEATARAGGRIRSEHS
+FGGVVEVGAHWIHGPSQGNPVFQLAAKYGLLGEKALSEENQLIETGGHVGLPSVSYASSG
+VSVSLELVAEMASLFYSLIDQTREFLQAAETTPPSVGEYLKEKIRQHMAGWTEDEETKKL
+KLAILKNLFNVECCVSGTHSMDLVALAPFGEYTVLPGLDCTFPEGYQGLTDCIMASLPKD
+VMVFDKPVKTIHWNGSFREASAPGETFPVLVECEDGDCFPAHHVVVTVPLGFFKKHLDTF
+FEPPLPTEKVEAIRKIGFGTNNKIFLEFEEPFWEPDCQHIQVVWEDMSPLEDTAPELQDA
+WFKKLIGFWVLPPFQASHVLCGFIAGLESEFMETLSDEDVLRSLTQVLRRVTGNPQLPAP
+RSMLRSCWHSAPYTRGSYSYVAVGSSGDDMDRLAQPLPSDGKGAQLQVLFAGEATHRTFY
+STTHGALLSGWREADRLMTLWDPQAQWPEPRL
+>sp|F1N3B8|OAS2_BOVIN 2'-5'-oligoadenylate synthase 2 OS=Bos taurus OX=9913 GN=OAS2 PE=2 SV=1
+MGSRESHLYEKPSEKLEEFIQNHLRPSEDCQKDIDQSVDTICEVLQEPCPSLTVTGVAKG
+GSYGRRTVLRGNSDGILVVFFGDLEQFQDQEKRQYELLSKIWAQMKHCESTWKLAAKMEL
+QNTNRSSRVTIQLSTKQQSITFNVLPAFNALGLSEKSSLWSYRELKRSLDMVKARPGEFS
+VCFTELQEKFFSNYPSKLKDLILLVKHWFQKCQEKLINSSLLPPYALELLTVYAWEQGCG
+AEDFDMAEGVRTVLRLIEKQEQLCVYWTVNYNFGDEIVRNILLSQLQAPRPVILDPTDPT
+NNVSMDNTCWLQLKHEAQNWLRSLRQNESPGPSWNVLPASLYITPGHLLDKFVKDFLQPN
+QTFQDQIKKALKIICSFLEENCFRHSTTKIQVIQGGSTVKGTALKTGSDASLVVFANSLK
+SYTSPKNERYNIIKEIHEQLEACRQEKDFEVKFEISKWKPPWVLSFTLKSKVLNESVDFD
+VLPAFNALGELKSGSTPSPRTYTELIHLYKPSDVFLEGEFSACFTKLQRNFVRSLPLKLK
+DLIRLLKHWYCGCEKKLKQKGSLPPKYALELLSIYAWEKGSGAQDFDMAEGFRTVLELVI
+QYQHLCVFWTVNYSFDDEILRNFLLGQIRRTRPVILDPADPTGDVGGGHRWCWHLLAKEA
+TEWLSSLCFKDKSGCPIQPWNVPKKRVQTPGSCGAGIYSMVNEMHLLRSHRFLD
+>sp|O77836|MGT4A_BOVIN Alpha-1,3-mannosyl-glycoprotein 4-beta-N-acetylglucosaminyltransferase A OS=Bos taurus OX=9913 GN=MGAT4A PE=1 SV=1
+MRLRNGTVATVLAFITSFLTLSWYTTWQNGKEKVIAYQREFLALKERLRIAEHRISQRSS
+ELSAIVQQFKRVEAETNRSKDPVNKFSDDTLKILKELTSKKSLQVPSIYYHLPHLLQNEG
+SLQPAVQIGNGRTGVSIVMGIPTVKREVKSYLIETLHSLIDNLYPEEKLDCVIVVFIGET
+DTDYVNGVVANLEKEFSKEISSGLVEIISPPESYYPDLTNLKETFGDSKERVRWRTKQNL
+DYCFLMMYAQEKGTYYIQLEDDIIVKQNYFNTIKNFALQLSSEEWMILEFSQLGFIGKMF
+QAPDLTLIVEFIFMFYKEKPIDWLLDHILWVKVCNPEKDAKHCDRQKANLRIRFRPSLFQ
+HVGLHSSLTGKIQKLTDKDYMKPLLLKIHVNPPAEVSTSLKVYQGHTLEKTYMGEDFFWA
+ITPVAGDYILFKFDKPVNVESYLFHSGNQDHPGDILLNTTVEVLPLKSEGLDISKETKDK
+RLEDGYFRIGKFENGVAEGMVDPSLNPISAFRLSVIQNSAVWAILNEIHIKKVTN
+>sp|Q3ZBV3|MGN_BOVIN Protein mago nashi homolog OS=Bos taurus OX=9913 GN=MAGOH PE=2 SV=1
+MESDFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDVMIRKEAYVHKSVMEEL
+KRIIDDSEITKEDDALWPPPDRVGRQELEIVIGDEHISFTTSKIGSLIDVNQSKDPEGLR
+VFYYLVQDLKCLVFSLIGLHFKIKPI
+>sp|A1A4Q8|MED29_BOVIN Mediator of RNA polymerase II transcription subunit 29 OS=Bos taurus OX=9913 GN=MED29 PE=2 SV=1
+MAASQQQASATTSTASVSGPGSAGGSGPQQQPQPPAQLVGPAQSGLLQQQQQDFDPVQRY
+KMLIPQLKESLQTLMKVAAQNLIQNTNIDNGQKSSDGPIQRFDKCLEEFYALCDQLELCL
+RLAHECLSQSCDSAKHSPTLVPTATKPDAVQPDSLPYPQYLAVIKAQIACAKDIHTALLD
+CANKVTGKTPAPPTGPGGTL
+>sp|O41515|MLP3B_BOVIN Microtubule-associated proteins 1A/1B light chain 3B OS=Bos taurus OX=9913 GN=MAP1LC3B PE=1 SV=4
+MPSEKTFKQRRTFEQRVEDVRLIREQHPTKIPVIIERYKGEKQLPVLDKTKFLVPDHVNM
+SELIKIIRRRLQLNANQAFFLLVNGHSMVSVSTPICEVYESEKDEDGFLYMVYASQETFG
+MKLSV
+>sp|Q1RMQ5|LIN37_BOVIN Protein lin-37 homolog OS=Bos taurus OX=9913 GN=LIN37 PE=2 SV=1
+MFPVKVKVEKSELEMAKARNQLDAVLQCLLEKSHMDRERLDEEPGKTSLDTHNKDCSITA
+TGKRPSARFPHQRRKKRREMDEGLAEGGPQRSNTYVIKLFDRSVDLAQFSENTPLYPICR
+AWMRNSPTVRERERSPSSPLPPLPEDEEGSEVTNSKSRDVYKLPPPTAPGPPGDACRSRI
+PSPLQPETQGTPDDEPSEPEPSPSTLIYRNMQRWKRIRQRWKEASHRNQLRYSESMKILR
+EMYERQ
+>sp|Q3SWY2|ILK_BOVIN Integrin-linked protein kinase OS=Bos taurus OX=9913 GN=ILK PE=2 SV=1
+MDDIFTQCREGNAVAVRLWLDNTENDLNQGDDHGFSPLHWACREGRSAVVEMLIMRGARI
+NVMNRGDDTPLHLAASHGHRDIVQKLLQYKADINAVNEHGNVPLHYACFWGQDQVAEDLV
+ANGALVSICNKYGEMPVDKAKPPLRELLRERAEKMGQNLNRIPYKDTFWKGTTRTRPRNG
+TLNKHSGIDFKQLNFLAKLNENHSGELWKGRWQGNDIVVKMLKVRDWSTRKSRDFNEECP
+RLRIFSHPNVLPVLGACQSPPAPHPTLITHWMPYGSLYNVLHEGTNFVVDQSQAVKFALD
+MARGMAFLHTLEPLIPRHALNSRSVMIDEDMTARISMADVKFSFQCPGRMYAPAWVAPEA
+LQKKPEDTNRRSADMWSFAVLLWELVTREVPFADLSNMEIGMKVALEGLRPTIPPGISPH
+VCKLMKICMNEDPAKRPKFDMIVPILEKMQDK
+>sp|Q3SWZ8|LMO4_BOVIN LIM domain transcription factor LMO4 OS=Bos taurus OX=9913 GN=LMO4 PE=2 SV=1
+MVNPGSSSQPPPVTAGSLSWKRCAGCGGKIADRFLLYAMDSYWHSRCLKCSCCQAQLGDI
+GTSCYTKSGMILCRNDYIRLFGNSGACSACGQSIPASELVMRAQGNVYHLKCFTCSTCRN
+RLVPGDRFHYINGSLFCEHDRPTALINGHLNSLQSNPLLPDQKVC
+>sp|Q5E9T7|JADE1_BOVIN Protein Jade-1 OS=Bos taurus OX=9913 GN=JADE1 PE=2 SV=1
+MKRGRLPSSSEDSDDNGSLSTTWSQNSRSQHRRSSCSRPEDRKPSEVFRTDLITAMKLHD
+SYQLNPDEYYVLADPWRQEWEKGVQVPVSPGTIPQPVARVVSEEKSLMFIRPKKYIVSSG
+SEPPELGYVDIRTLADSVCRYDLNDMDAAWLELTNEEFKEMGMPELDEYTMERVLEEFEQ
+RCYDNMNHAIETEEGLGIEYDEYVVCDVCQSPDGEDGNEMVFCDKCNICVHQACYGILKV
+PEGSWLCRTCALGVQPKCLLCPKKGGAMKPTRSGTKWVHVSCALWIPEVSIGSPEKMEPI
+TKVSHIPSSRWALVCSLCNEKFGASIQCSVKNCRTAFHVTCAFDRGLEMKTILAENDEVK
+FKSYCPKHSSHRKAEEGLGEGTAQENGAPECSPRDPLEPFAGLEQNREEAHRVSVRKQKL
+QQLEDEFYTFVNLLDVARALRLPEEVVDFLYQYWKLKRKVNFNKPLITPKKDEEDNLAKR
+EQDVLFRRLQLFTHLRQDLERVMTDTDTL
+>sp|Q76BS1|HGF_BOVIN Hepatocyte growth factor OS=Bos taurus OX=9913 GN=HGF PE=2 SV=1
+MWVTRLLPVLLLQHVLLHLLLLPIAIPYAEGQKKRRNTLHEFKRSAKTTLIKEDPLLKIK
+TKKMNTADQCANRCIRNKGLPFTCKAFVFDKARKRCLWFPFNSMSSGVKKEFGHEFDLYE
+NKDYIRNCIIGKGGSYKGTVSITKSGIKCQPWNSMIPHEHSFLPSSYRGKDLQENYCRNP
+RGEEGGPWCFTSNPEVRYEVCDIPQCSEVECMTCNGESYRGPMDHTETGKICQRWDHQTP
+HRHKFLPERYPDKGFDDNYCRNPDGKPRPWCYTLDPDTPWEYCAIKMCAHSTMNDTDLPM
+QTTECIQGQGEGYRGTINTIWNGIPCQRWDSQYPHQHDITPENFKCKDLRENYCRNPDGA
+ESPWCFTTDPNIRVGYCSQIPKCDVSSGQDCYRGNGKNYMGSLSKTRSGLTCSMWDKNME
+DLHRHIFWEPDATKLNKNYCRNPDDDAHGPWCYTGNPLIPWDYCPISRCEGDTTPTIVNL
+DHPVISCAKTKQLRVVNGIPTRTNVGWMVSLKYRNKHICGGSLIKESWILTARQCFPSRN
+KDLKDYEAWLGIHDVHGRGDEKRKQVLNVTQLVYGPEGSDLVLLKLARPAILDDFVSTID
+LPNYGCTIPEKTTCSVYGWGYTGLINSDGLLRVAHLYIMGNEKCSQYHQGKVTLNESEIC
+AGAENIVSGPCEGDYGGPLVCEQHKMRMVLGVIVPGRGCAIPNRPGIFVRVAYYAKWIHK
+IILTYKAPQL
+>sp|Q2KIW9|KCY_BOVIN UMP-CMP kinase OS=Bos taurus OX=9913 GN=CMPK1 PE=2 SV=2
+MKPQVVFVLGGPGAGKGTQCARIVEKYGYTHLSAGELLRDERKNPDSQYGELIEKYIKDG
+KIVPVEITISLLRREMDQTMAANAQKNKFLIDGFPRNQDNLQGWNKTMDGKADVSFVLFF
+DCNNEICIERCLERGKSSGRSDDNRESLEKRIQTYLQSTKPIIDLYEEMGKVRKIDASKS
+VDEVFDEVVKIFDKEG
+>sp|Q9TU34|ITPR1_BOVIN Inositol 1,4,5-trisphosphate receptor type 1 OS=Bos taurus OX=9913 GN=ITPR1 PE=1 SV=1
+MSDKMSSFLHIGDICSLYAEGSTNGFISTLGLVDDRCVVQPETGDLNNPPKKFRDCLFKL
+CPMNRYSAQKQFWKAAKPGANSTTDAVLLNKLHHAADLEKKQNETENRKLLGTVIQYGNV
+IQLLHLKSNKYLTVNKRLPALLEKNAMRVTLDEAGNEGSWFYIQPFYKLRSIGDSVVIGD
+KVVLNPVNAGQPLHASSHQLVDNPGCNEVNSVNCNTSWKIVLFMKWSDNKDDILKGGDVV
+RLFHAEQEKFLTCDEHRKKQHVFLRTTGRQSATSATSSKALWEVEVVQHDPCRGGAGYWN
+SLFRFKHLATGHYLAAEVDPDFEEECLEFQPSVDPDQDASRSRLRNAQEKMVYSLVSVPE
+GNDISSIFELDPTTLRGGDSLVPRNSYVRLRHLCTNTWVHSTNIPIDKEEEKPVMLKIGT
+SPVKEDKEAFAIVPVSPAEVRDLDFANDASKVLGSIAGKLEKGTITQNERRSVTKLLEDL
+VYFVTGGTNSGQDVLEVVFSKPNRERQKLMREQNILKQIFKLLQAPFTDCGDGPMLRLEE
+LGDQRHAPFRHICRLCYRVLRHSQQDYRKNQEYIAKQFGFMQKQIGYDVLAEDTITALLH
+NNRKLLEKHITAAEIDTFVSLVRKNREPRFLDYLSDLCVSMNKSIPVTQELICKAVLNPT
+NADILIETKLVLSRFEFEGVSTGENALEAGEDEEEVWVFWRDSNKEVRSKSVRELAQDAK
+EGQKEDRDVLGYYRYQLNLFARMCLDRQYLAINEISGQLDVDLILRCMSDENLPSDLRAS
+FCRLMLHMHVDRDPQEQVTPVKYARLWSEIPSEIAIDDYDSSGTSKDEIKERFAQTMEFV
+EEYLRDVVCQRFPFSDKEKNKLTFEVVNLARNLIYFGFYNFSDLLRLTKILLAILDCVHV
+TTIFPISKMAKGEENKGSNVMRSIHGVGELMTQVVLRGGGFLPMTPTATAPEGNVKQAEP
+EKEDIMVMDTKLKIIEILQFILNVRLDYRISCLLCIFKREFDESNSQTSETSSGNSSQEG
+PSNVPGALDFEHIEEQAEGIFGGSEETTPLDLDDHGGRTFLRVLLHLTMHDYPPLVSGAL
+QLLFRHFSQRQEVLQAFKQVQLLVTSQDVDNYKQIKQDLDQLRSIVEKSELWVYKGQGPD
+EAMDGASGENEHKKTEEGNNKSQQHESTSSYNYRVVKEILIRLSKLCVQESASVRKSRKQ
+QQRLLRNMGAHAVVLELLQIPYEKAEDTMMQEIMRLAHEFLQNFCAGNHPNQALLHKHIN
+LFLNPGILEAVTMQHIFMNNFQLCSEINERVVQHFVHCIETHGRNVQYIKFLQTIVKAEG
+KFIKKCQDMVMAELVNSGEDVLVFYNDRASFQTLIQMMRSERDRMDENSPLMYHIHLVEL
+LAVCTEGKNVYTEIKCNSLLPLDDIVRVVTHEDCIPEVKIAYINFLNHCYVDPEVEMKEI
+YTSNHMWKLFENFLVDICRACNNTSDRKHADSILEKYVTEIVMSIVTTFFSSPFSDQSTT
+LQTRQPVFVQLLQGVFRVYHCNWLMPSQKASVESCIRVLSDVAKSRAIAIPVDLDSQVNN
+LFLKSHNLVQKTAMNWRLTARNAARRDSVLPVSRDYRNIIERLQDIVSALEDRLRPLVQA
+ELSVLVDVLHRPELLFPENTDARRKCESGGFICKLIKHTKQLLEENEEKLCIKVLQTLRE
+MMTKDRGYGEKGEALRQILVNRYYGNIRPSGRRESLTSFGNGPLSPGGPSKPGGGGGGSG
+SSPMSRGEMSLAEVQCHLDKEGASNLVIDLIMNASSDRVFHESILLAIALLEGGNTTIQH
+SFFCRLTEDKKSEKFFKVFYDRMKVAQQEIKATVTVNTSDLGNKKKDDEVDRDAPSRKKA
+KEPATQITEEVRDQLLEASAATRKAFTTFRREADPDDHYQSGEGAQAAADKSKDDLEMSA
+VITIMQPILRFLQLLCENHNRDLQNFLRCQNNKTNYNLVCETLQFLDCICGSTTGGLGLL
+GLYINEKNVALINQTLESLTEYCQGPCHENQNCIATHESNGIDIITALILNDINPLGKKR
+MDLVLELKNNASKLLLAIMESRHDSENAERILYNMRPKELVEVIKKAYMQGEVEFEDGEN
+GEDGAASPRNVGHNIYILAHQLARHNKELQTMLKPGGQVDGDETLDFYAQPTGPNEIVRL
+DRTMEQIVFPVPSICEFLTKESKLRIYYTTERDEQGSKINDFFLRSEDLFNEMNWQKKLR
+AQPVLYWCARNMSFWSSISFNLAVLMNLLVAFFYPFKGVRGGTLEPHWSGLLWTAMLISL
+AIVIALPKPHGIRALIASTILRLIFSVGLQPTLFLLGAFNVCNKIIFLMSFVGNCGTFTR
+GYRAMVLDVEFLYHLLXLLICAMGLFVHEFFYSLLLFDLVYREETLLNVIKSVTRNGRSI
+ILMAVLALILVYLFSIVGYLFFKDDFILEVDRLPNETSLPEASESLASEFLYSDVCRVET
+GENCSSPAPKEELVPAEETEQDKEHTCETLLMCIVTVLSHGLRSGGGVGDVLRKPSKEEP
+LFAARVIYDLLFFFMVIIIVLNLIFGVIIDTFADLRSEKQKKEEILKTTCFICGLERDKF
+DNKTVTFEEHIKEEHNMWHYLCFIVLVKVKDSTEYTGPESYVAEMIKERNLDWFPRMRAM
+SLVSSDSEGEQNELRNLQEKLESTMKLVTNLSGLLSELKDQMTDQRKQKQRMGLLGHPPH
+INVNPQQPA
+>sp|O02741|ISG15_BOVIN Ubiquitin-like protein ISG15 OS=Bos taurus OX=9913 GN=ISG15 PE=2 SV=3
+MGGDLTVKMLGGQEILVPLRDSMTVSELKQFIAQKINVPAFQQRLAHLDSREVLQEGVPL
+VLQGLRAGSTVLLVVQNCISILVRNDKGRSSPYEVQLKQTVAELKQQVCQKERVQADQFW
+LSFEGRPMDDEHPLEEYGLMKGCTVFMNLRLRGG
+>sp|Q2LGB3|IRAK1_BOVIN Interleukin-1 receptor-associated kinase 1 OS=Bos taurus OX=9913 GN=IRAK1 PE=2 SV=2
+MAGGPGPGDPAVPGAQHFLYEVPPWVMCRFYKVMDALEPADWCQFAALIVRDQTELRLCE
+RSGQRTASVLWPWINRNARVADLVRILTHLQLLRARDIITAWHPPAPLLPPSTTSLTPSS
+ISAPSEAAVPGHRKLPSLASTFLSPAFPGSQTHSDPELCPGPSPAAHQPPLPSPAPSSTK
+PSPESPMSLLPGAPSSSFCWPLHEICQGTHDFSEELKIGEGGFGCVYRAVMRNTVYAVKR
+LKEEADLEWTTVKQSFQTEVQQLSRFRHPNIVDFAGYCAQSGFYCLVYGFLPNGSLEDRL
+HVQTQAWPPLSWPQRLDILLGTARAIQFLHQDSPSLIHGDVKSSNVLLDERLMPKLGDFG
+LARLSRFTGANPGQSSSVARTRTVRGTLAYLPEEYVKTGRLAVDTDTFSFGVVLLETLAG
+QRAVRMHGAQPKYLKDLVEEEAEEAGVTLKGTQTAVQGGPAADTWAALVAAQIYKKHLDP
+RPGPCPPQLGLALGQLACCCLHRRAKRRPPMTQVYQTLEELQVVVAGPCLELEAASRSPP
+SPQENSYVSTSGSALSRASPWQPLAAPLGAQAQATDWPQKGANQPVESDESVSDLSAALH
+SWHLSPSCPAGPGAPSWVPAPFGQAACTQGGAARESSCGSGPGLQPTAVEGPLLGSSMSS
+RPPQIVINPARRKMLQKLALYEDGVLDSLQLLSSSSLPDSGQDLQDRQGPEERDEFRS
+>sp|Q1LZ95|IDI1_BOVIN Isopentenyl-diphosphate Delta-isomerase 1 OS=Bos taurus OX=9913 GN=IDI1 PE=2 SV=2
+MPEVSTDDLDERQVQLMAEMCILVDENDRRIGAETKKNCHLNENIERGLLHRAFSVFLFN
+TENKLLLQQRSDAKITFPGCFTNTCCSHPLSNPSELEENDAIGVRRAAQRRLKAELGIPM
+EEVPPEEINYLTRIHYKAQSDSIWGEHEIDYILLVKKNVTLNPDPNEIKSYCYVTKEELE
+ELIGKAAHGEIKITPWFQIIADTFLFKWWDNLNRLNLFVDHEKIHRM
+>sp|P43481|KIT_BOVIN Mast/stem cell growth factor receptor Kit OS=Bos taurus OX=9913 GN=KIT PE=2 SV=1
+MRGARGAWDFLFVLLLLLLVQTGSSQPSVSPGELSLPSIHPAKSELIVSVGDEIRLLCTD
+PGFVKWTFEILGQLSEKTNPEWITEKAEATNTGNYTCTNKGGLSSSIYVFVRDPEKLFLI
+DLPLYGKEENDTLVRCPLTDPEVTNYSLTGCEGKPLPKDLTFVADPKAGITIRNVKREYH
+RLCLHCSANQRGKSMLSKKFTLKVRAAIKAVPVVSVSKTSYLLREGEEFAVTCLIKDVSS
+SVDSMWIKENSQQTKAQTKKNSWHQGDFSYLRQERLTISSARVNDSGVFMCYANNTFGSA
+NVTTTLEVVDKGFINIFPMMNTTVFVNDGENVDLVVEYEAYPKPVHRQWIYMNRTSTDKW
+DDYPKSENESNIRYVNELHLTRLKGTEGGTYTFHVSNSDVNSSVTFNVYVNTKPEILTHD
+RLVNGMLQCVAAGFPEPTIDWYFCPGTEQRCSVPVGPVDVQIQNSSVSPFGKLVVYSTID
+DSTFKHNGTVECRAYNDVGKSSASFNFAFKGNSKEQIHAHTLFTPLLIGFVIAAGLMCIF
+VMILTYKYLQKPMYEVQWKVVEEINGNNYVYIDPTQLPYDHKWEFPRNRLSFGKTLGAGA
+FGKVVEATAYGLIKSDAAMTVAVKMLKPSAHLTEREALMSELKVLSYLGNHMNIVNLLGA
+CTIGGPTLVITEYCCYGDLLNFLRRKRDSFICSKQEDHAEVALYKNLLHSKESSCNDSTN
+EYMDMKPGVSYVVPTKADKRRSARIGSYIERDVTPAIMEDDELALDLEDLLSFSYQVAKG
+MAFLASKNCIHRDLAARNILLTHGRITKICDFGLARDIKNDSNYVVKGNARLPVKWMAPE
+SIFNCVYTFESDVWSYGIFLWELFSLGSSPYPGMPVDSKFYKMIKEGFRMLSPEHAPAEM
+YDIMKTCWDADPLKRPTFKQIVQLIEKQISESTNHIYSNLANCSPHRENPAVDHSVRINS
+VGSSASSTQPLLVHEDV
+>sp|Q0V8N6|P3_BOVIN P3 protein OS=Bos taurus OX=9913 GN=SLC10A3 PE=2 SV=1
+MVFRSGEGHSLQWPGPEGGTGCTGPQSMLRATLLLVSLLWGARGTPSASLSPALGHPMPL
+TRGRYLSIGDGSVMEFEFPEESEGIIVITSQYPGQGNGTGPSPMLRVTSLDPEVLAIKNV
+SSIALGSGGSFVVSIRSGLPGIAPLHIQLTDPREAPPRLIEERRDFCIKVSPAEDTPITL
+GTDLVHFSENPILYLLLPLIFVNKCSFGCKVELEVLKGLLQNPQPMLLGLLGQFLVMPFY
+AFLMAKVFMLPKALALGLIITCSSPGGGGSYLFSLLLGGDVTLAISMTFISTVAATGFLP
+LSSAIYSRLLSIHETLHVPVSKILGTLLFIAIPIAAGVVIKSKLPKFSQLLLHVIKPFSF
+VLLLGGLFLAYRMGVFILAGVRLPIVLVGFTVPLVGLLVGYGLATCLKLPVAQRRTVSIE
+VGVQNSLLALAMLQLSLRRLQADYASQAPFLVALSGTSEMLALVIGHFIYSSVCAVP
+>sp|A7MBJ1|MTURN_BOVIN Maturin OS=Bos taurus OX=9913 GN=MTURN PE=2 SV=1
+MDFQQLADVAEKWCSNTPFELIATEETERRMDFYADPGVSFYVLCPDNGCGDNFHVWSES
+EDCLPFLQLAQDYISSCGKKTLHEVLEKVFKSFRPLLGLPDADDDAFEEYSADVEEEEPE
+ADHPQMGVSQQ
+>sp|Q1JQA5|NENF_BOVIN Neudesin OS=Bos taurus OX=9913 GN=NENF PE=2 SV=1
+MAGPAPGRRLVALALIVALAVGLPTAGAGQAPRPAERGPPVRLFTEEELARYGGEEEDQP
+IYMAVKGVVFDVTSGKEFYGRGAPYNALTGKDSTRGVAKMSLDPADLTHDTTGLTAEELE
+SLDDVFTRVYKAKYPIVGYTARRILNEDGSPNLDFKPEDQPHFDIKDEF
+>sp|Q29RH3|NUD12_BOVIN Peroxisomal NADH pyrophosphatase NUDT12 OS=Bos taurus OX=9913 GN=NUDT12 PE=2 SV=1
+MSSVKRSLNQEIISQFHYSAAEGDIAKLTAILSHSPSLLNETSENGWSALICDRSIVNKS
+RQTALDIAKFWGYKHIANLLANAKGGKKPWFLTNEVEECENYFSKTLLDRKSEKRNNSDW
+LLAKESHPATVYILFSDLNPLVTLGGNKESFQQPEVRLCQLNYTDIKDYLAQPEKITLIF
+LGVELEMKKEFFNYAGEISKEEEDGLVAWFALGIDTVAAEEFKQRHENCYFLHPPMPALL
+QLKEKEAGVVAQARSVLAWHSRYKFCPTCGNATKIEEGGYKRVCLKEDCPSLHGVHNTSY
+PRVDPVVIMQVIHPDGTKCLLGRQKRFPPGMFTCLAGFIEPGETIEDAVRREVEEESGVK
+VGHVQYVSCQPWPMPSSLMIGCLAVAVSTEIKVDKNEIEDARWFTREQVVDVLTKGKQQA
+FFVPPSRAIAHQLIKHWIGMNPNL
+>sp|Q0II59|PDXK_BOVIN Pyridoxal kinase OS=Bos taurus OX=9913 GN=PDXK PE=2 SV=1
+MEEECRVLSIQSHVVRGYVGNRAATFPLQVLGFEVDAVNSVQFSNHTGYSHWKGQVLNSD
+ELQELYDGLKLNSVNQYDYVLTGYTRDKSFLAMVVDIVQELKQQNPRLVYVCDPVMGDQR
+DGEGAMYVPDDLLPVYREKVVPVADIITPNQFEAELLTGRKIHTQEEALEVMDMLHSMGP
+DTVVITSSDLLSPRGSDYLMALGSQRTRAPDGSMVTQRIRMEMHKVDAVFVGTGDLFAAM
+LLAWTHKHPNNLKVACEKTVSAMHHVLQRTIKCAKAKSGEGVKPSPAQLELRMVQSKKDI
+ESPEIVVQATVL
+>sp|A5D7E9|NF2L1_BOVIN Endoplasmic reticulum membrane sensor NFE2L1 OS=Bos taurus OX=9913 GN=NFE2L1 PE=2 SV=1
+MLSLKKYLTEGLLQFTILLSLIGVRVDVDTYLTSQLPPLREIILGPSSAYTQTQFHNLRN
+TLDGYGIHPKSIDLDNYFTARRLLNQVRALDRFQVPTTEVNAWLVHRDPEGSVSGSQPSS
+GLALESSSGLQDVTGPDNGVRESETEQGFSEDLEDLGAVAPPVSGDLTKEDIDLGAGREI
+FDYSHRQKEQDVDKELRDGAEQEDTWPGEGAEALARNLLVDGETGESFPAQVPGGEDQTA
+LSLEECLRLLEATCPFGENAEFPADISSITEAVPSESEPPGLQNNLLSPLLTGTESPFDL
+EQQWQDLMSIMEMQAMEVNTSTSEVLYNAPPGDPLSTNYSLAPNTPINQNVSLHQASLGG
+CSQDFSLFSPEVESLPVASSSTLLPLVPSNSTSLNSTFGSTNLAGLFFPPQLNGTANDTA
+GPELPDPLGGLLDEAMLDEISLMDLAIEEGFNPVQASQLEEEFDSDSGLSLDSSHSPSSL
+SSSEGSSSSSSSSSSSSSSSASSSASSSFSEEGAVGYSSDSETLDLEEAEGAVGYQPEYS
+KFCRMSYQDPSQLSCLPYLEHVGHNHTYNMAPSALDSADLPPPSTLKKGSKEKQADFLDK
+QMSRDEHRARAMKIPFTNDKIINLPVEEFNELLSKYQLSEAQLSLIRDIRRRGKNKMAAQ
+NCRKRKLDTILNLERDVEDLQRDKARLLREKVEFLRSLRQMKQKVQSLYQEVFGRLRDEN
+GRPYSPSQYALQYAGDGSVLLIPRTLADQQARRQERKPKDRRK
+>sp|P10938|PNMT_BOVIN Phenylethanolamine N-methyltransferase OS=Bos taurus OX=9913 GN=PNMT PE=1 SV=2
+MSGTDRSQAAGAVPDSDPGLAAVSSAYQRFEPRAYLRNNYAPPRGDLSCPDGVGPWKLRC
+LAQTFATGEVSGRTLIDIGSGPTIYQLLSACAHFEDITMTDFLEVNRQELRLWLREEPGA
+FDWSVYSQHVCLIEGKGESWQEKECQLRARVKRILPIDVHRPQPLGAGGLAPLPADALVS
+AFCLEAVSPDLASFQRALDHITTLLRPGGHLLLIGALEESWYLAGEARLAVVPVREEEVR
+EALVRTATRCGICARTPMPAHLQTGVDDVKGIFFTRAQKKVGV
+>sp|A2VE22|ENHO_BOVIN Adropin OS=Bos taurus OX=9913 GN=ENHO PE=3 SV=1
+MGAALSQGALIAIICNGLVGFLLLLLWVILCWACHSRSANIDSLSESSPNSSPGPCPEKA
+PPPQKPSHEGSYLLQP
+>sp|Q8HZR3|FUT4_BOVIN Alpha-(1,3)-fucosyltransferase 4 OS=Bos taurus OX=9913 GN=FUT4 PE=2 SV=1
+MRARWGRRGARRGGPGLPGTHLALLAASLLSSSVAIYVCWKQLPPLPWASPSPPRPVNVL
+LWWEPFRGRHNPRRPPPDCQRRFNISGCVLHTDRAAYEEAQAVLFHHRDLVKGPPDWPPP
+WGVQMPPVEEREGLVMDDEGQEAEAETSAALGPRPAGQRWVWMNFESPSHSPGLQGLAGN
+IFNWTLSYRADSDIFVPYGYLYPRTHPSEQPPGLVPPLARKQGLVAWVVSNWDERQARVR
+YYRQLSQYVTVDVFGKGGPGQPLPDAELVHTVARYKFYLAFENSQHLDYITEKLWRNAFL
+AGAVPVVLGPNRTNYERFVPSNAFIHVDDFPSASSLAAHLQFLDRNPTVYRGYFRWRRSH
+AVHVTSFWDEPWCLACQAVQKAGNQRKSVPNLAGWFQQ
+>sp|Q32KV4|DC2L1_BOVIN Cytoplasmic dynein 2 light intermediate chain 1 OS=Bos taurus OX=9913 GN=DYNC2LI1 PE=2 SV=1
+MPSETLWEIAKAEVEKRGSSENEGDGAEIGEKSVFFIGSKNGGKTTIILRCLDRDEPPKP
+TLALEYTYGRRTKGHNIPKDIAHFWELGGGTSLLDLISIPITSDTLRTFSIVLVLDLSKP
+NDLWPTMENLLQATKLNIDKVIMKLGKKNSKAASEMRQKMWSNMQKDHPDRELLDPFPIP
+LVIIGSKYDIFQDFDSEKRKVICKTLRFVAHYYGASLMFTSKSEALLLKIRGVINQLAFG
+INKSKSICVDQNKPLFITAGLDSLSQIGPPPLPDNDIGKLHARSPMDLWKKVYEKLFPPK
+SINTLKDVKDPAKDPQYAESEVDEMRIQKDQELEQYKRSSSKSWKQIELDS
+>sp|Q5E966|EIF3I_BOVIN Eukaryotic translation initiation factor 3 subunit I OS=Bos taurus OX=9913 GN=EIF3I PE=2 SV=1
+MKPILLQGHERSITQIKYNREGDLLFTVAKDPIVNVWYSVNGERLGTYMGHTGAVWCVDA
+DWDTKHVLTGSADNSCRLWDCETGKQLALLKTNSAVRTCGFDFGGNIIMFSTDKQMGYQC
+FVSFFDLRDPSQIDSNEPYMRIPCNDSKITSAVWGPLGECIIAGHEGGELNQYSAKSGEV
+LVNVKEHSRQINDIQLSRDMTMFVTASKDNTAKLFDSTTLEHQKTFRTERPVNSAALSPN
+YDHVVLGGGQEAMDVTTTSTRIGKFEARFFHLAFEEEFGRVKGHFGPINSVAFHPDGKSY
+SSGGEDGYVRIHYFDPQYFEFEFEA
+>sp|Q32KL5|EMC8_BOVIN ER membrane protein complex subunit 8 OS=Bos taurus OX=9913 GN=EMC8 PE=2 SV=1
+MPGVKLTTQAYCKMVLHGAKYPHCAVDGLLVAEKQKPRKEHLPLGGPGAHHTLFVDCIPL
+FHGTLALAPMLEVALTLIDSWCKDNSYVIAGYYQANERVKDASPNQVAEKVASRIAEGFS
+DTALIMVDNTKFTMDCVVPTIHVYEHHENKWRCRDPHYDYCEDWPEAQRISASLLDSRSY
+ETLVDFDNHLDDIRNDWTNPEINKAVLHLC
+>sp|P20821|GCSH_BOVIN Glycine cleavage system H protein, mitochondrial OS=Bos taurus OX=9913 GN=GCSH PE=1 SV=1
+MALRAVRSVRAAVGGLRAISAPSAPCLPRPWGLRAGAVRELRTGPALLSVRKFTEKHEWV
+TTENGVGTVGISNFAQEALGDVVYCSLPEVGTKLNKQEEFGALESVKAASELYSPLSGEV
+TEINKALAENPGLVNKSCYEDGWLIKMTFSNPSELDELMSEEAYEKYIKSIEE
+>sp|Q03763|DSG1_BOVIN Desmoglein-1 OS=Bos taurus OX=9913 GN=DSG1 PE=1 SV=1
+MNWPFFRAAVVLFIFLVVLEVNSDFRIQVRDYNTKNGTIKWHSLRRQKREWIKFAAACRE
+GEDNSKRNPIAKIHSDCAANQQVTYRISGVGIDQPPYGIFVINQKTGEINITSIVDREVT
+PFFIIYCRALNSLGQDLEKPLELRVRVLDINDNPPVFSMSTFVGEIEENSNANTLVMVLN
+ATDADEPNNLNSKIAFKIIRQEPSDSPMFIINRYTGEIRTMNNFLDREQYGQYSLAVRGS
+DRDGGADGMSAECECNIKILDVNDNIPYMELPTQSISIEENSLNSNLLQIRVIDLDEEFS
+ANWMAVIFFISGNEGNWFEIEMNERTNVGTLKVVKPLDFEAMNNLQLSLGVRNKAEFHQS
+IMSQYKLTATAISVTVLNVVEGSVFRPGSKTFVVNSNMGQNYKIGEYVAWDLDANRPSTT
+VRYVMGRNPTDLLAIDSKTAIITLRNKVTMEQYKILGGKYQGTILSIDDALQRTCTGTIV
+INLENGGWKTERPNVNGSTTSAYGLTSGGVTTNGYTTGGGVGTVTFAVGTNGYGVGTGVY
+QPLRDNVHFGPAGIGLLIMGFLVLGLVPFLLMCCDCGGAPGGGAAFEPVPECSDGAIHSW
+AVEGAQADPGVLANSAVPCIPVTNANVIEYVDNSGVYTNEYGAREMQDLGGGERTTGFEL
+TDGVKMSGGPEICQEYPGTLRRNSMRECREGGLNMNFMESYFCQKAYAYADEDEGRPSND
+CLLIYDIEGAGSPAGSVGCCSFIGEDLDDSFLDTLGPKFKKLADISLGKDVEPFPDSDPS
+WPPKSTEPVCPPQGTEPTGGGHPPISPRFGTTTVISENTYPSGPGVQHPTPIPDPLGYGN
+VTVTESYTSSGTLKPSVHIHDNRHASNVVVTERVVGPISGADLQGMLEMPDLRDGSNVIV
+TERVIAPSSSLPTTLTIPDPRQSSNVVVTERVIQPTSGIVGNLSMHPELSNTHNVIVTER
+VVSGSGITGSSSLLGSAGGGSGGGIGLGSLGGGGGLSSSLGGAATIGHLRGSAEHHFSNT
+LGSASPTTTRSRITKYSTVQYTK
+>sp|A6QPC8|EID3_BOVIN EP300-interacting inhibitor of differentiation 3 OS=Bos taurus OX=9913 GN=EID3 PE=2 SV=1
+MADENGSLREAGAGGKTRAQAVVTIPSSAYFLKQVEEEEEVEALKVEVAAASDTESDTSS
+DDLSCGKADIDPSLLERVDEEKCRSIRKQYRQLIYTVQQNRDDIVNTASDSLTEALEEAN
+VLFDAVSRTREAALDSQFLVLASDLGKEKAKHLNSDMNFFNQVAFCDFLFIFVGLNWMED
+DERDPLNNCDDNIALSFWETVQKEATSCISQAETFHFLFGSFKPESAARKPRRNHRRKVQ
+KMEENGVMPTKLRKLDLSGNQEATEKEVERILGLLQTYFRKYPDTPVSYFEFVIDPNSFS
+RTVENIFYVSFIIRDGFARIRLDQDRLPILEPININLAGEGNDPSFHSRKQGVISLSLQD
+WKNIVAAFEISEAMITNSY
+>sp|Q5E9K2|MED22_BOVIN Mediator of RNA polymerase II transcription subunit 22 OS=Bos taurus OX=9913 GN=MED22 PE=2 SV=1
+MAQQRALPQSKETLLQSYNKRLKDDVKSIMDNFTEIIKTAKIEDETQVSRATQGEQDNYE
+MHVRAANIVRAGESLMKLVSDLKQFLILNDFPSVNEAIDQRNQQLRALQEECDRKLIALR
+DEVSIDLYELEEEYYSSSSSLCEANDLPLCEAYWRLDPDTDSTDCLSAPLLASPEPSAGG
+PLQAAAPTHSHAGGPGPTEHA
+>sp|A5PJM5|MCMBP_BOVIN Mini-chromosome maintenance complex-binding protein OS=Bos taurus OX=9913 GN=MCMBP PE=2 SV=1
+MPCGEDWLSHPLGIVQGFFAQNGVNPDWEKKVIEYFKEKLKENNAPKWVPSLNEVPLHYL
+KPNSFVKFRCMVQDMFDPEFYMGVYETVNRNTKARVLHFGKYRDVAECGPQQEVDLNSPR
+TTTLERQTFYCVPVPGESMWVKEAYVNANQARVSPSTSYTPSRHKRSYEDDEDMDLQPNK
+QKDQHMGARQAGNVGGLQWCGEPKRLETEASSGQQLSSLNLSSPFDLNFPLPGEKGPACL
+VKVYEDWDCFKVNDVLELYGILSVDPVLSILNNDERDASSLLDPMECTDMAEEQRVHSPP
+ASLVPRIHVVLAQKLQHINPLLPACLNKEESKTCKFVSGFMSELSPVRAELLGFLTHALL
+GDSLAAEYLILHLISTVYTRRDVLPLGKFTVNLSGCPRNSTFTEHLYRIIQHLVPASFRL
+QMTIENMNHLKFIPHKDYTANRLVSGLLQLPSNTSLVIDETLLEQGQLDTPGVHNVTALS
+NLITWQKVDYDFSYHQMEFPCNINVFITSEGRSLLPADCQIHLQPQLMPPNMEEYMNSLL
+SAVLPSVLNKFRIYLTLLRFLDYSISDEITKAVEDDFVEMRKNDPQSITADDLHQLLIVA
+RFLSLSAGQTTLSRERWLRAKQLESLRRARLQQQKCVNGNEL
+>sp|Q6IED8|IFI6_BOVIN Interferon alpha-inducible protein 6 OS=Bos taurus OX=9913 GN=IFI6 PE=2 SV=1
+MRQKAVSLFLCYLLLYTCGCCEEEDEKRYSEENSDSSFWGMVTYMAVGGGLMAAALPMLG
+FASTGIAANSLASSLMSWSAVANGGGVPAGGLVATLQSLGASGGSALMAKIGAFLGYTVH
+KQVESRQKESKEKK
+>sp|P46196|MK01_BOVIN Mitogen-activated protein kinase 1 OS=Bos taurus OX=9913 GN=MAPK1 PE=2 SV=3
+MAAAAAAGAGPEMVRGQVFDVGPRYTNLSYIGEGAYGMVCSAYDNVNKVRVAIKKISPFE
+HQTYCQRTLREIKILLRFRHENIIGINDIIRAPTIEQMKDVYIVQDLMETDLYKLLKTQH
+LSNDHICYFLYQILRGLKYIHSANVLHRDLKPSNLLLNTTCDLKICDFGLARVADPDHDH
+TGFLTEYVATRWYRAPEIMLNSKGYTKSIDIWSVGCILAEMLSNRPIFPGKHYLDQLNHI
+LGILGSPSQEDLNCIINLKARNYLLSLPHKNKVPWNRLFPNADSKALDLLDKMLTFNPHK
+RIEVEQALAHPYLEQYYDPSDEPVAEAPFKFDMELDDLPKEKLKELIFEETARFQPGYRS
+>sp|Q28125|ICAM3_BOVIN Intercellular adhesion molecule 3 OS=Bos taurus OX=9913 GN=ICAM3 PE=2 SV=1
+MIASGPPPRVYWTSLIFLLLACCLLPTGAQGQTYQVRVEPKDPVVPFGEPLVVNCTLDCP
+GPGLISLETALSKEPHSRGLGWAAFRLTNVTGDMEILCSGICNKSQVVGFSNITVFGFPK
+RVELAPLPLWQPVGEELNLSCLVSGGAPRAHLSVVLLRGEEELGRQPLGKEEPAKVTFMV
+QPRREDHGTNFSCRSELDLRSQGLELFQNTSAPRKLQTYAMPKTAPRLVFPRFWEMETSW
+PVNCSLNGLFPASEAHIQLALGNQMLNATVVSHADTLTATATAKTEQEGTQEIVCNVTLG
+VENRETRESLVAYRFQGPNLNLSESNATEGTPVTVTCAAGPQVQVMLDGVPAAVPGQPAQ
+LQLKATEMDDRRTFFCNATLKVHGVTLHRNRSIQLRVLYGPTIDRAKCPQRLMWKEKTMH
+ILQCQARGNPNPQLQCLREGSKFKVPVGIPFLVLLNYSGTYSCQAASSRGTDKMLVMMDV
+QGRNPVTINIVLGVLAILGLVTLAAASVYVFWVQRQHDIYHLTPRSTRWRLTSTQPVTVA
+EELS
+>sp|Q2KIZ8|MCM6_BOVIN DNA replication licensing factor MCM6 OS=Bos taurus OX=9913 GN=MCM6 PE=2 SV=1
+MDLAAAAEPGAGSQHLEVRDEVAEKCQKLFLDFLEEFQNSDGEIKYLQLAEELIRPERNT
+LVVSFVDLEQFNQQLSTTIQEEFYRVYPYLCRALKTFVKDRKEIPLAKDFYVAFQDLPTR
+HKIRELTSSRIGLLTRISGQVVRTHPVHPELVSGTFLCLDCQTVIKDVEQQFKYTQPNIC
+RNPVCANRRRFLLDTNKSRFVDFQKVRIQETQAELPRGSIPRSLEVILRAEAVESAQAGD
+KCDFTGTLIVVPDVSKLSTPGARAETDSRVSGVDGYETEGVRGLRALGVRDLSYRLVFLA
+CCVAPTNPRFGGKELRDEEQTAESIKNQMTVKEWEKVFEMSQDKNLYHNLCTSLFPTIHG
+NDEVKRGVLLMLFGGVPKTTGEGTSLRGDINVCIVGDPSTAKSQFLKHVEEFSPRAVYTS
+GKASIAAGLTAAVVRDEESHEFVIEAGALMLADNGVCCIDEFDKMDVRDQVAIHEAMEQQ
+TISITKAGVKATLNARTSILAAANPISGHYDRSKSLKQNINLSAPIMSRFDLFFILVDEC
+NEVTDYAIARRIVDLHSRIEDSIDRVYSLDEIRRYLLFARQFKPKISKESEDFIVEQYKR
+LRQRDGSGVTKSSWRITVRQLESMIRLSEAMARMHCCDEVQPKHVKEAFRLLNKSIIRVE
+TPDVNLDQEEDAQMEVDEGPDGINGHADSPAPASGINGHSEDMNQDSVPKASLRLGFSEY
+CRISNLIVLHLRKMEEEEDESALKRSELVNWYLKEIESEIDSEEELINKKRIIEKVIYRL
+THYDHVLIELTQAGLKGSTEGSESYEEDPYLVVNPNYLLED
+>sp|P22600|HEMH_BOVIN Ferrochelatase, mitochondrial OS=Bos taurus OX=9913 GN=FECH PE=1 SV=3
+MAAALRSAGVLLRDRLLYGGSRACQPRRCQSGAATAAAATETAQRARSPKPQAQPGNRKP
+RTGILMLNMGGPETVEEVQDFLQRLFLDQDLMTLPVQDKLGPFIAKRRTPKIQEQYRRIG
+GGSPIKMWTSKQGEGMVKLLDELSPHTAPHKYYIGFRYVHPLTEEAIEEMERDGLERAVA
+FTQYPQYSCSTTGSSLNAIYRYYNEVGRKPTMKWSTIDRWPTHPLLIQCFADHILKELDH
+FPPEKRREVVILFSAHSLPMSVVNRGDPYPQEVGATVQRVMDKLGYSNPYRLVWQSKVGP
+MPWLGPQTDEAIKGLCKRGRKNILLVPIAFTSDHIETLYELDIEYSQVLASECGLENIRR
+AESLNGNPLFSKALADLVHSHLQSKERCSTQLTLSCPLCVNPTCRETKSFFTSQQL
+>sp|Q08E12|PSF3_BOVIN DNA replication complex GINS protein PSF3 OS=Bos taurus OX=9913 GN=GINS3 PE=2 SV=1
+MSEAYFRVESGALGPEENFLSLDDILMSHEKLSVRTEIPMPRLGAFFLDRSGGAETDNAI
+PEGTKLELPLWLAKGLFDNKRRILSVELPKIYQEGWRTVFSADANVVDLHKMGPHFYGFG
+SQLLHFDSPENADISHSLLQTFVGRFRRIMDSSQNAYNEDTSALVARLDEMERGLFQTGQ
+KGLNDFQCWEKGQASQLTASNLVQNYAKRKFTDMED
+>sp|Q29RZ2|PPWD1_BOVIN Peptidylprolyl isomerase domain and WD repeat-containing protein 1 OS=Bos taurus OX=9913 GN=PPWD1 PE=2 SV=1
+MAAECGSDSQPRRRRRRDPEEPGKTELSAREPVVAVPQENEEENEERWVGPLPVEATLAK
+KRKVLEFEKVYLDNLPSASMYERSYMHRDVITHVVCTKTDFIITASHDGHVKFWKKIEEG
+IEFVKHFRSHLGVIESIAVSSEGALFCSVGDDKAMKVFDVVNFDMINMLKLGYFPGQCEW
+IYCPGDAISSVAASEKSTGKIFIYDGRGDNQPLHIFDKLHTSPLTQIRLNPVYKAVVSSD
+KSGMIEYWTGPPYEYKFPKNVNWEYKTDTDLYEFAKCKAYPTSICFSPDGKKLATIGSDR
+KVRIFRFLTGKLMRVFDESLSMFTELQQMRQQLPDMEFGRRMAVERELEKVDAVRLINIV
+FDETGHFVLYGTMLGIKVINVETNRCVRILGKQENIRVMQLALFQGIAKKHRAATTIEMK
+ASENPVLQNIQADPTIVCTSFKKNRFYMFTKREPEDTKSADSDRDVFNEKPSKEEVMAAT
+QAEGPKRVSDSAIIHTSMGDIHIKLFPVECPKTVENFCVHSRNGYYNGHTFHRIIKGFMI
+QTGDPTGTGMGGESIWGGEFEDEFHSTLRHDRPYTLSMANAGSNTNGSQFFITVVPTPWL
+DNKHTVFGRVTKGMEVVQRISNVKVNPKTDKPYEDVSIINITVK
+>sp|Q2KJB5|NOSTN_BOVIN Nostrin OS=Bos taurus OX=9913 GN=NOSTRIN PE=1 SV=1
+MRDPLTDCSYNKVYKNLKEFSQNGEDFCKQITSILQQRANLEINYAKGLQKLATKLSKTL
+QSAKKNCLVSAWAWVSEGMKSAGDLHQKLGKAIELEAIKPAHQVLSAHEKKRKSLENEVE
+KTANLVISNWNQQIKAKKKLMVSTKKHEALFHLVESSKQITTEKEKQKLLNKLKKSTEKL
+SKEDENYYQKNVASCSTRLKWENTLENCFQSILELEKERIQLLCNNLNQYSQHISVFGQT
+LTTCHTQIHCAISKIDIEKDIQALMEETTVSSTENKSEFLLTDYFEEDPKNAMSKERQTS
+SIKSKLLRLQKDIEKASRDQEGLERMLRAYSSHSSFSDSESKKSTAALMDENSLKLDLLQ
+ANSYKLSSVLAELEQRPQPNHPCSNSIFKWKEKQTHSSVKISRPVLMKRLENVVNRASSD
+GQRIPSPSSTASGVTQLGNGLCKALYPFQARQDDELDLEKGDIVTIHKKKDEGWWFGSLK
+GKKGHFPAAYVEELPLNAGDTASQA
+>sp|A6QLK5|PNMA1_BOVIN Paraneoplastic antigen Ma1 homolog OS=Bos taurus OX=9913 GN=PNMA1 PE=2 SV=1
+MAMTLLEDWCRGMDVNSQRALLVWGIPVNCDEAEIEETLQAAMPQVHYRVLGRMFWREEN
+AKAALLELTGTVDYAAIPREMPGKGGVWKVVFKPPTSDAEFLERLHLFLAREGWTVQDVA
+RVLGFENSSPAPGPDMPAEMLNYILDNVIKPLIESIWYKKLTLFSGRDIPGPGEETFEPW
+LEHANEVIEEWQVSDIEKRRRLMESLRGPAADVIRILKTNNPDITTAECLKALEQVFGSV
+ESSRDVQVRFLNTYQNPGEKLSAYVIRLEPLLQKVVEKGAIDKENVNQARLEQVIAGANH
+SGAIRRQLWLTGAAEGPAPNLFQLLVQIREEEAKEEEAAAEAALLQLGLEGHF
+>sp|Q2KHX3|PRAF2_BOVIN PRA1 family protein 2 OS=Bos taurus OX=9913 GN=PRAF2 PE=2 SV=1
+MSEVRLPPLRALDDFVLGSARLVAPDPCDPQRWCHRVINNLLYYQTNYLICFGLGLALAG
+YVRPLHTLLSALVVAVALGMLVCAAENRAAVRRCRRSHPAACLAAVLAVGFLVLWAAGGA
+GTFLLSIAGPVLLILVHASLRLRNLKNKIENKIESIGLKRTPMGLLLEALGQEQEAGS
+>sp|Q5E9M1|PRAF3_BOVIN PRA1 family protein 3 OS=Bos taurus OX=9913 GN=ARL6IP5 PE=2 SV=1
+MDVNIAPLRAWDDFFPGSDRFARPDFRDISKWNNRVVSNLLYYQTNYLVVAAMMISVVGF
+LSPFNMILGGIVVVLVFTGFVWAAHNKDILRRMKKQYPTAFVMVVMLASYFLISLFGGVM
+VFVFGITFPLLLMFIHASLRLRNLKNKLENKMEEIGLKRTPMGIVLDALEQQEETITKFS
+DYISKMKE
+>sp|P15506|NPMA_BOVIN Morphine-modulating neuropeptide A OS=Bos taurus OX=9913 PE=1 SV=1
+AGEGLSSPFWSLAAPQRF
+>sp|Q3ZBS9|NNAT_BOVIN Neuronatin OS=Bos taurus OX=9913 GN=NNAT PE=3 SV=1
+MAAVAAASAELLIIGWYIFRVLLQVFLECCIYWVGFAFRNPPGTQPIARSEVFRYSLQKL
+AYTVSRTGRHVLGERRQRAPN
+>sp|A4FV84|MRT4_BOVIN mRNA turnover protein 4 homolog OS=Bos taurus OX=9913 GN=MRTO4 PE=2 SV=1
+MPKSKRDKKVSLTKTAKKGLELKQNLIEELRKCVDTYKYLFIFSVANMRNSKLKDIRNAW
+KHSRMFFGKNKVMMVALGRSPSDEYKDNLHQVSKKLRGEVGLLFTNRTKEEVDEWFTKYT
+EMDYARAGNKATFTVNLDPGPLEQFPHSMEPQLRQLGLPTALKKGVVTLLSDYEVCKEGD
+VLTPEQARVLKLFGYEMAEFKVSIKYMWDAQSGRFQQMGDDLPESAPESEGESEEEDDS
+>sp|O62830|PPM1B_BOVIN Protein phosphatase 1B OS=Bos taurus OX=9913 GN=PPM1B PE=2 SV=2
+MGAFLDKPKTEKHNAHGAGNGLRYGLSSMQGWRVEMEDAHTAVVGIPHGLEDWSFFAVYD
+GHAGSRVANYCSTHLLEHITNNEDFRAAGKSGSALEPSVENVKNGIRTGFLKIDEYMRNF
+SDLRNGMDRSGSTAVGVMISPKHIYFINCGDSRAVLYRSGQVCFSTQDHKPCNPREKERI
+QNAGGSVMIQRVNGSLAVSRALGDYDYKCVDGKGPTEQLVSPEPEVYEILRAEEDEFIIL
+ACDGIWDVMSNEELCEFVKSRLEVSDDLENVCNWVVDTCLHKGSRDNMSIVLVCFSNAPK
+VSDEAMRKDSELDKYLESRVEEIMEKSGEEGMPDLAHVMRILSAENIPNLPPGGGLAGNI
+IFFRRHVIEAVYSRLNPHRESDGASDEAEESGSQGKLVEALRQMRINHRGNYRQLLEEML
+TSYRLAKVEGEENPAEQAATAASSNSDAGNTVAMQESHTESKSDLAELDSCTEDAGTKMS
+GEKL
+>sp|Q3MHI4|PHAX_BOVIN Phosphorylated adapter RNA export protein OS=Bos taurus OX=9913 GN=PHAX PE=2 SV=2
+MAQEAGDMDDGQVSDSDSDMTVAPSDRPLPVPKALGGDCGLRPFQSTATACAPASHYRTV
+KSVDSSEESFSDSDDDSSVWKRKRQKCFNTPPKPEPFQFDQSSQKPPIAGRKKVNNIWSA
+VLQEQNQDAVATELGILGMEGTIDRSRQSETYNYLLAKKLKRESQEHTKELDKELEEYMH
+GGKKTGPKEEENGQGHPKRKRPVKDRVGDRLEMNYKGRYEITEDDSQERVADEISFRLQE
+PKKDLIARVVRIIGNKKAIELLMETAEVEQNGGLFIMNGSRRRTPGGVFLNLLKNTPSIS
+EEQIKDIFYLENQKEYENKKAARKRRIQVMGKKMKQAIKNLNFQEDDDTSRETFASDTNE
+ALASLDESQEGHGETKLDAEEAIEVDHSHDLDMF
+>sp|P23805|CONG_BOVIN Conglutinin OS=Bos taurus OX=9913 GN=CGN1 PE=1 SV=2
+MLLLPLSVLLLLTQPWRSLGAEMTTFSQKILANACTLVMCSPLESGLPGHDGQDGRECPH
+GEKGDPGSPGPAGRAGRPGWVGPIGPKGDNGFVGEPGPKGDTGPRGPPGMPGPAGREGPS
+GKQGSMGPPGTPGPKGETGPKGGVGAPGIQGFPGPSGLKGEKGAPGETGAPGRAGVTGPS
+GAIGPQGPSGARGPPGLKGDRGDPGETGAKGESGLAEVNALKQRVTILDGHLRRFQNAFS
+QYKKAVLFPDGQAVGEKIFKTAGAVKSYSDAEQLCREAKGQLASPRSSAENEAVTQMVRA
+QEKNAYLSMNDISTEGRFTYPTGEILVYSNWADGEPNNSDEGQPENCVEIFPDGKWNDVP
+CSKQLLVICEF
+>sp|Q3B7N2|ACTN1_BOVIN Alpha-actinin-1 OS=Bos taurus OX=9913 GN=ACTN1 PE=2 SV=1
+MDHYDSQQTNDYMQPEEDWDRDLLLDPAWEKQQRKTFTAWCNSHLRKAGTQIENIEEDFR
+DGLKLMLLLEVISGERLAKPERGKMRVHKISNVNKALDFIASKGVKLVSIGAEEIVDGNV
+KMTLGMIWTIILRFAIQDISVEETSAKEGLLLWCQRKTAPYKNVNIQNFHISWKDGLGFC
+ALIHRHRPELIDYGKLRKDDPLTNLNTAFDVAEKYLDIPKMLDAEDIVGTARPDEKAIMT
+YVSSFYHAFSGAQKAETAANRICKVLAVNQENEQLMEDYEKLASDLLEWIRRTIPWLENR
+APENTMHAMQQKLEDFRDYRRLHKPPKVQEKCQLEINFNTLQTKLRLSNRPAFMPSEGRM
+VSDINNAWGCLEQAEKGYEEWLLNEIRRLERLDHLAEKFRQKASIHEAWTDGKEAMLRQK
+DYETATLSEIKALLKKHEAFESDLAAHQDRVEQIAAIAQELNELDYYDSPSVNARCQKIC
+DQWDNLGALTQKRREALERTEKLLETIDQLYLEYAKRAAPFNNWMEGAMEDLQDTFIVHT
+IEEIQGLTTAHEQFKATLPDADKERLAILGIHNEVSKIVQTYHVNMAGTNPYTTITPQEI
+NGKWDHVRQLVPRRDQALTEEHARQQHNERLRKQFGAQANVIGPWIQTKMEEIGRISIEM
+HGTLEDQLNHLRQYEKSIVNYKPKIDQLEGDHQLIQEALIFDNKHTNYTMEHIRVGWEQL
+LTTIARTINEVENQILTRDAKGISQEQMNEFRASFNHFDRDHSGTLGPEEFKACLISLGY
+DIGNDPQGEAEFARIMSIVDPNRLGVVTFQAFIDFMSRETADTDTADQVMASFKILAGDK
+NYITVDELRRELPPDQAEYCIARMAPYTGPDAVPGALDYMSFSTALYGESDL
+>sp|Q3MHG0|COG4_BOVIN Conserved oligomeric Golgi complex subunit 4 OS=Bos taurus OX=9913 GN=COG4 PE=2 SV=1
+MADLDSPQKLSGVPRPPDGVGGGSCSEISTELIRSLTDLQELEAVYERLCGEEKVVEREL
+DALLEQQNTIESKMVTLHRMGPNLQLIEGDAKQLAGMITFTCNLAENVSSKVRQLDLAKN
+RLYQAIQRADDILDLKFCMDGVQTALRNEDYEQAAAHIHRYLCLDKSVIELSRQGKEGSM
+IDANLKLLQEAEQRLKAIVTEKFAVATKEGDLPQVERFFKIFPLLGLHEEGLSKFSEYLC
+KQVASKAEENLLLVLGTDMSDRRAAVIFADTLTLLFEGIARIVETHQPIVETYYGPGRLY
+TLIKYLQVECDRQVEKVVDKFIKQRDYRQQFRHVQSNLMRNSTSEKIEPRELDPILTEVT
+LMNARSELYLRFLRKRISSDFEVGDSMASEEVKQEHQKCLDKLLNNCLLSCTMQELIGLY
+ITMEEYFMRETVNKAVALDTYEKGQLTSSMVDDVFYIVKKCIGRALSSSSIDCLCAMINL
+ATTELESDFRDVLCHKLRMGFPATTLQDIQRGVTSAVSIMHSSLQQGKFDTKGIESTDEA
+KLSFLVTLNNVEVCSENISTLKKTLESDCTKLFSQGIGGEQAQAKFDSCLSDLAAVSGKF
+RDLLQEGLTELNSTAIKPQVQPWINTFLSVSHNIEEEEFSDYEANDPWVQQFILNLEQQM
+AEFKAGLSPVIYDSLTSLMTSLVAVELEKVVLKSTFNRLGGLQFDKELRSLIAYLTTVTT
+WTIRDKFARLSQMATILNLERVTEILDYWGANSGPLTWRLTPAEVRQVLALRIDFRSEDI
+KRLRL
+>sp|Q5E998|CATL2_BOVIN Cathepsin L2 OS=Bos taurus OX=9913 GN=CTSV PE=2 SV=1
+MNPSFFLTVLCLGVASAAPKLDPNLDAHWHQWKATHRRLYGMNEEEWRRAVWEKNKKIID
+LHNQEYSEGKHGFRMAMNAFGDMTNEEFRQVMNGFQNQKHKKGKLFHEPLLVDVPKSVDW
+TKKGYVTPVKNQGQCGSCWAFSATGALEGQMFRKTGKLVSLSEQNLVDCSRAQGNQGCNG
+GLMDNAFQYIKDNGCLDSEESYPYLATDTNSCNYKPECSAANDTGFVDIPQREKALMKAV
+ATVGPISVAIDAGHTSFQFYKSGIYYDPDCSSKDLDHGVLVVGYGFEGTDSNNNKFWIVK
+NSWGPEWGWNGYVKMAKDQNNHCGIATAASYPTV
+>sp|A7YWE4|AL4A1_BOVIN Delta-1-pyrroline-5-carboxylate dehydrogenase, mitochondrial OS=Bos taurus OX=9913 GN=ALDH4A1 PE=2 SV=1
+MLLRSAALCRALLARRGRAAGLCRRCVSSLQVANEPVLAFTQGSPERDALQKALKELKGR
+TEAIPCVVGDEEVWTSDVRYQASPFNHGHKVAKFCYADKALLHRAIGAALAARKEWDLKP
+VADRAQVFLKAADLLSGPRRAEVLAKTMVGQGKTVIQAEIDAAAELIDFFRFNAKFAMEL
+EGEQPLSVPPSTNSMLYRGLEGFVAAISPFNFTAIGGNLAGAPALMGNVVLWKPSDTAML
+ASYAVYRILREAGLPPNIIQFVPADGPTFGDTVTSSEHLCGINFTGSVPTFKHLWKQVAQ
+NLDRFRTFPRLAGECGGKNFHFVHRSADVDSVVSGTLRSAFEYGGQKCSACSRLYAPRSL
+WPQIKGRLLEELGGIKVGNPAEDFGTFFSAVIDAKSFGRIRKWLEHARSSPSLTILAGGH
+CDDSVGYFVEPCIVETKDPQDPIMKEEIFGPVLAVYVYPDEEYKETLRLVDSTTSYGLTG
+AVFAQDKDVLREATELLRHAAGNFYINDKSTGSVVGQQPFGGARASGTNDKPGGPHYVLR
+WTSPQVIKETHGPLGDWRYPYMQ
+>sp|Q17QD9|CSEN_BOVIN Calsenilin OS=Bos taurus OX=9913 GN=KCNIP3 PE=2 SV=1
+MQRAKEVMKVSDGSLLGEPGRTPLSKKEGVKWQRPRLTRQALMRCCLVKWILSSAAPQGS
+YSSDSELELSAVRHQPEGLDQLQAQTKFTKKELQSLYRGFKNECPTGLVDEDTFKLIYSQ
+FFPQGDATTYAHFLFNAFDADGNGAIRFEDFVVGLSILLRGTVHEKLKWAFNLYDINKDG
+YITKEEMLAIMKSIYDMMGRHTYPILREDAPLEHVERFFQKMDRNQDGVVTIDEFLETCQ
+KDENIMSSMQLFENVI
+>sp|P20427|CSK22_BOVIN Casein kinase II subunit alpha' OS=Bos taurus OX=9913 GN=CSNK2A2 PE=1 SV=3
+MPGPAARSRARVYAEVNSLRSREYWDYEAHVPSWGNQDDYQLVRKLGRGKYSEVFEAINI
+TNNERVVVKILKPVKKKKIKREVKILENLRGGTNIIKLIDTVKDPVSKTPALVFEYINNT
+DFKQLYQILTDFDIRFYMYELLKALDYCHSKGIMHRDVKPHNVMIDHQQKKLRLIDWGLA
+EFYHPAQEYNVRVASRYFKGPELLVDYQMYDYSLDMWSLGCMLASMIFRKEPFFHGQDNY
+DQLVRIAKVLGTDELYGYLKKYHIDLDPHFNDILGQHSRKRWENFIHSENRHLVSPEALD
+LLDKLLRYDHQQRLTAKEAMEHPYFYPVVKEQSQPCADNAVLSSGLTAAR
+>sp|Q29RQ1|CO7_BOVIN Complement component C7 OS=Bos taurus OX=9913 GN=C7 PE=2 SV=1
+MKAITLLFLVGFIGEFQVFSSASSPINCQWGSYAPWSECNGCTKTQTRRRSIAVYGQYGG
+HSCVGSAFETQPCQPTRGCPTEDGCGERFRCFSGQCISKSLVCNGDSDCEEDGADEDRCE
+DAESRPACDKDKPPPNIELTGRGYNALTGQFRNQVLNTKSFGGQCRKVYSGDGRDFYRLS
+GNILSYTFQVKINNDFNNEFYNSTWAYVKETSTEHSSSSKGRFLFFSSSSSSHGYSSNTN
+ILTKKKSYQLLVLQNTVEVAQFINNNPEFLQLAESFWKELSYLPSLYDYSAYRRLIDQYG
+THYLQSGSLGGEYKVIFHMDSEKVKKFDFHSEDKRKCASSHFQFLFTSSKQKCTTMEEVL
+KSVSENEGNLLRGVPFVRGGHSGFLAGLSYLDLNNPAGNKRRYSQWAGSVPDLPEVIKQK
+LTPLYELVKEVPCASVKKLYLKRAIEEYLDEFDPCHCRPCHNGGMATVQGSQCQCYCKPK
+TSGVACEQGVLLGDQAGGVDGGWNCWSSWGPCVQGKKTRSRQCNNPSPSAGGKSCIGETS
+ETRQCEDEELEHLRLLEPHCFPLSLVPTKFCSSPPALKDGFVQDEGATFPVGKNIMYTCK
+EGYSLVGDPVARCGEDLQWLVGNMHCQKIACVLPALMDGIQSHPHKPFYTIGEKVTISCS
+GGRSLEGPSTFLCSSSLKWSPEVKDVQCVQREAPLTPKVPKCQPWEKLQNSRCVCKMPYE
+CGSSLGVCARDERSKRILPLTVCKMHVLQCQGRNYTVAGRESCTLPASAEKACGVCPLWE
+KCDAEGSECVCRAASECEEAGFSVCVEVNGREQTMTECEAGVLRCRGLSISVTGIRPCVA
+EAA
+>sp|Q29S22|DDX47_BOVIN Probable ATP-dependent RNA helicase DDX47 OS=Bos taurus OX=9913 GN=DDX47 PE=2 SV=1
+MAASVEHDSLESMEAPQTAVEVEETKTFKDLGVTDVLCEACDQLGWTKPTKIQIEAIPLA
+LQGRDIIGLAETGSGKTGAFALPILNALLETPQRLFALVLTPTRELAFQISEQFEALGSS
+IGVQCAVIVGGIDSMSQSLALAKKPHIVIATPGRLIDHLENTKGFNLRALKYLVMDEADR
+ILNMDFETEVDKILKVIPRDRKTFLFSATMTKKVQKLQRAALKNPVKCAVSSKYQTVEKL
+QQYYLFIPSKFKDTYLVYILNELAGNSFMIFCSTCNNTQRTALLLRNLGFTAIPLHGQMS
+QSKRLGSLNKFKAKARSILLATDVASRGLDIPHVDVVVNFDIPTHSKDYIHRVGRTARAG
+RSGKAITFVTQYDVELFQRIEHLIGKKLPVFPTQDDEVMMLTERVTEAQRFARMELREHG
+EKKKRSREDVGDNDDTEGAIGVRNKVAGGKMKKRKGR
+>sp|Q0II91|DJC21_BOVIN DnaJ homolog subfamily C member 21 OS=Bos taurus OX=9913 GN=DNAJC21 PE=2 SV=2
+MKCHYEALGVRRDASEEELKKAYRKLALKWHPDKNLDNAAEAAEQFKLIQAAYDVLSDPQ
+ERAWYDNHREALLKGGLDGEYQDDSLDLLHYFTVTCYSGYGDDEKGFYTVYRNVFEMIAK
+EELESALEEDMEDFPTFGDSQSDYDTVVHPFYAYWQSFCTQKNFAWKEEYDTRQASNRWE
+KRAMEKENKKIRDKARKEKNELVRQLVAFIRKRDRRVQAHRKLVEEQNAEKARKAEAMRR
+QQKLKQAKLAEQYREQSWMAVADLEKELREMEAQYEKQFGDGSGEDEAEDQELRDGQDGK
+DSDEAEDAELYDGLYCPACDKSFKTEKAMRNHEKSKKHREMVALLKQQLEEEEANFSGPQ
+TDENSLNANSEEEMEDAPKQKLSRKQKKKKQKPAQNYDDNFNENGIGEGVKIDPEDTNLN
+QNSAKESEDSLQENVGVTETVELCDDPKTEAKSVSKPKGKKAKDTKKSVRVPAEPQTMSD
+VLISCTTCHSEFPSRNKLFDHLKATGHARAPSSSTSLNSVTNSRNKKEKRKNR
+>sp|Q17QW0|DJC22_BOVIN DnaJ homolog subfamily C member 22 OS=Bos taurus OX=9913 GN=DNAJC22 PE=2 SV=2
+MAKGLLMTYTLWAVGGPAGLHHLYLGRDSHALLWMLTLGGGGLGWLWEFWMLPSFVAQAN
+RAQEQRQGSGRGTPPLSLIRFVAQMIVGMYFGLVALISLSFMASFYIVGLPLAVGLGVLL
+VAAVGNQTSDLKNTLGAAFLTSPIFYGRPIAILPISLAASITAQKHRRYKPSVGSETLSV
+RLYRLGLAYLAFTGPLVHSVLCHTAVTLSYVADTLGSFLSWFSFFPLLGRLLESVLLLPF
+RAWKLLVGDHGISSSYFQEWEKLYEFVHSFQDEKRQLALQVFGLSEGATNEEIHGRYREL
+VKTWHPDHNRYQMEEAQRRFLEIQAAYEVLRQPRKPRGSWRWEETSF
+>sp|Q0VBY7|DJC24_BOVIN DnaJ homolog subfamily C member 24 OS=Bos taurus OX=9913 GN=DNAJC24 PE=2 SV=3
+MMAFEQIPKKDWYSILGADPSASVSDLKQKYQKLILMYHPDKQSADAPAGSVEECIQKFI
+EIDQAWKILGNEETKKEYDLQRHEDDLRNMGPVDARIYLEEMSWNEDDHSFSLSCRCGGK
+YSVSKDEAEEVTLISCDTCSLIIELLHYC
+>sp|P07589|FINC_BOVIN Fibronectin OS=Bos taurus OX=9913 GN=FN1 PE=1 SV=4
+MLGGPGPGLLLLLAVLSLGTAVPSAGASKSRRQAQQIVQPQSPLTVSQSKPGCYDNGKHY
+QINQQWERTYLGSALVCTCYGGSRGFNCESKPEPEETCFDKYTGNTYRVGDTYERPKDSM
+IWDCTCIGAGRGRISCTIANRCHEGGQSYKIGDTWRRPHETGGYMLECVCLGNGKGEWTC
+KPIAEKCFDQAAGTSYVVGETWEKPYQGWMMVDCTCLGEGSGRITCTSRNRCNDQDTRTS
+YRIGDTWSKKDNRGNLLQCICTGNGRGEWKCERHTSLQTTSAGSGSFTDVRTAIYQPQPH
+PQPPPYGHCVTDSGVVYSVGMQWLKTQGNKQMLCTCLGNGVSCQETAVTQTYGGNSNGEP
+CVLPFTYNGKTFYSCTTEGRQDGHLWCSTTSNYEQDQKYSFCTDHTVLVQTRGGNSNGAL
+CHFPFLYNNHNYTDCTSEGRRDNMKWCGTTQNYDADQKFGFCPMAAHEEICTTNEGVMYR
+IGDQWDKQHDMGHMMRCTCVGNGRGEWTCVAYSQLRDQCIVDGITYNVNDTFHKRHEEGH
+MLNCTCFGQGRGRWKCDPVDQCQDSETRTFYQIGDSWEKYLQGVRYQCYCYGRGIGEWAC
+QPLQTYPDTSGPVQVIITETPSQPNSHPIQWSAPESSHISKYILRWKPKNSPDRWKEATI
+PGHLNSYTIKGLRPGVVYEGQLISVQHYGQREVTRFDFTTTSTSPAVTSNTVTGETTPLS
+PVVATSESVTEITASSFVVSWVSASDTVSGFRVEYELSEEGDEPQYLDLPSTATSVNIPD
+LLPGRKYTVNVYEISEEGEQNLILSTSQTTAPDAPPDPTVDQVDDTSIVVRWSRPRAPIT
+GYRIVYSPSVEGSSTELNLPETANSVTLSDLQPGVQYNITIYAVEENQESTPVFIQQETT
+GVPRSDKVPPPRDLQFVEVTDVKITIMWTPPESPVTGYRVDVIPVNLPGEHGQRLPVSRN
+TFAEVTGLSPGVTYHFKVFAVNQGRESKPLTAQQATKLDAPTNLQFINETDTTVIVTWTP
+PRARIVGYRLTVGLTRGGQPKQYNVGPAASQYPLRNLQPGSEYAVSLVAVKGNQQSPRVT
+GVFTTLQPLGSIPHYNTEVTETTIVITWTPAPRIGFKLGVRPSQGGEAPREVTSESGSIV
+VSGLTPGVEYVYTISVLRDGQERDAPIVKKVVTPLSPPTNLHLEANPDTGVLTVSWERST
+TPDITGYRITTTPTNGQQGYSLEEVVHADQSSCTFENLSPGLEYNVSVYTVKDDKESVPI
+SDTIIPEVPQLTDLSFVDITDSSIGLRWTPLNSSTIIGYRITVVAAGEGIPIFEDFVDSS
+VGYYTVTGLEPGIDYDISVITLINGGESAPTTLTQQTAVPPPTDLRFTNVGPDTMRVTWA
+PPSSIELTNLLVRYSPVKNEEDVAELSISPSDNAVVLTNLLPGTEYLVSVSSVYEQHESI
+PLRGRQKTALDSPSGIDFSDITANSFTVHWIAPRATITGYRIRHHPENMGGRPREDRVPP
+SRNSITLTNLNPGTEYVVSIVALNSKEESLPLVGQQSTVSDVPRDLEVIAATPTSLLISW
+DAPAVTVRYYRITYGETGGSSPVQEFTVPGSKSTATISGLKPGVDYTITVYAVTGRGDSP
+ASSKPVSINYRTEIDKPSQMQVTDVQDNSISVRWLPSSSPVTGYRVTTAPKNGPGPSKTK
+TVGPDQTEMTIEGLQPTVEYVVSVYAQNQNGESQPLVQTAVTNIDRPKGLAFTDVDVDSI
+KIAWESPQGQVSRYRVTYSSPEDGIHELFPAPDGEEETAELQGLRPGSEYTVSVVALHDD
+MESQPLIGTQSTTIPAPTNLKFTQVTPTSLTAQWTAPNVQLTGYRVRVTPKEKTGPMKEI
+NLAPDSSSVVVSGLMVATKYEVSVYALKDTLTSRPAQGVVTTLENVSPPRRARVTDATET
+TITISWRTKTETITGFQVDAIPANGQTPIQRTIRPDVRSYTITGLQPGTDYKIHLYTLND
+NARSSPVVIDASTAIDAPSNLRFLATTPNSLLVSWQPPRARITGYIIKYEKPGSPPREVV
+PRPRPGVTEATITGLEPGTEYTIQVIALKNNQKSEPLIGRKKTDELPQLVTLPHPNLHGP
+EILDVPSTVQKTPFITNPGYDTGNGIQLPGTSGQQPSLGQQMIFEEHGFRRTTPPTTATP
+VRHRPRPYPPNVNEEIQIGHVPRGDVDHHLYPHVVGLNPNASTGQEALSQTTISWTPFQE
+SSEYIISCHPVGIDEEPLQFRVPGTSASATLTGLTRGATYNIIVEAVKDQQRQKVREEVV
+TVGNSVDQGLSQPTDDSCFDPYTVSHYAIGEEWERLSDSGFKLSCQCLGFGSGHFRCDSS
+KWCHDNGVNYKIGEKWDRQGENGQMMSCTCLGNGKGEFKCDPHEATCYDDGKTYHVGEQW
+QKEYLGAICSCTCFGGQRGWRCDNCRRPGAEPGNEGSTAHSYNQYSQRYHQRTNTNVNCP
+IECFMPLDVQADREDSRE
+>sp|Q9TRY0|FKBP4_BOVIN Peptidyl-prolyl cis-trans isomerase FKBP4 OS=Bos taurus OX=9913 GN=FKBP4 PE=1 SV=4
+MTAEETKAAESGAQSAPLRLEGVDISPKQDEGVLKVIKREGTGTETPMIGDRVFVHYTGW
+LLDGTKFDSSLDRKDRFSFDLGKGEVIKAWDIAVATMKVGEVCHITCKPEYAYGLAGSPP
+KIPPNATLVFEVELFEFKGEDLTEEEDGGIIRRIRTRGEGYAKPNEGALVEVALEGYFKD
+QVFDRRELRFEVGEGESMDLPCGLEKAIQRMEKGEHSIVYLKPRYAFGSAGKEKFQIPPN
+AELKYEIHLKSFEKAKESWEMSSEEKLEQSTIVKERGTVYFKEGKYKQAVLQYKKIVSWL
+EYESSFSDEDAEKAQALRLASHLNLAMCHLKLQAFSAAIENCNKALELDSNNEKGLFRRG
+EAHLAVNDFDLARADFQKVLQLYPSNKAAKAQLVVCQQRIRKQLEKEKKLYANMFERLAE
+EETKAKATVAAGDQPADAEMRDEPKNDVAGGQPQVEAEA
+>sp|Q58DR6|EMP3_BOVIN Epithelial membrane protein 3 OS=Bos taurus OX=9913 GN=EMP3 PE=2 SV=1
+MSLLLLVVSALHILILILLFVATLDKSWWTLPGKESLNLWYDCTWNSDNKTWACSNVSEN
+GWLKAVQVLMVLSLILCCLSFILFMFQLYTMRRGGLFYATGFCQLCTSVAVFTGALIYAI
+HAEEILADRPSGGSFGYCFALAWVAFPLALASGIIYIHLRKRE
+>sp|E1BE02|E2F7_BOVIN Transcription factor E2F7 OS=Bos taurus OX=9913 GN=E2F7 PE=3 SV=1
+MEVNCLTLKDLISRPPRLDFAIEDGENAQKENIFVDLSRMAPKTPIKNEPIDLSKQKIFT
+PERNPITPVKLVDRQQVEPWTPTANLKILISAASPDIRDREKKKELFRPIENKDDVFTDS
+LQLDAVDDSAVDEFEKQRPSRKQKSLGLLCQKFLARYPSYPLSTEKTTISLDEVAVSLGV
+ERRRIYDIVNVLESLHLVSRVAKNQYSWHGRHSLPKTLRNLQRLGEKQKYEEQMAHLQQK
+ELNPIDHKSGERRRDGCPDSQDPQLLDFPEPDCPSSSANSRKDKSLKIMSQKFVMLFLVS
+KTKIVTLDVAAKILIEESQDIPDHSKFKTKVRRLYDIANVLTSLMLIKKVHVTEDRGRKP
+AFKWIGPVDFSSTDDDLVDVSTPVLPELKKEIYGHVQFCAKQKLARHSSFNSEQASERTQ
+RKVNSEPSSPYRQKQGLGVYSLEIGSLAAVSRQKMEDNSETVAFASQNMMPLPSSLDPAA
+PLPSPSVDSEYRVSPLCHQALSAAQTDLKALPAQNGLNGQGGVSLASMALDVEHQPQPLA
+AAQPLLYVPPAPLFMLCGGLQEGLSPGSGSGSGSVGGGSEVTAAEQPPMPSGQKRLSKER
+RLQEEEEEPATKRQCRDHEDGPLSLVMPKKPSDSADIASPKTSENRASAPHEDTHMNGQL
+SAAKAVSGKATTNGFVSSEWGNPCSNTEIEKPSEENESTKGPSPLQYLYVQPPAGLNGLS
+VLLPSSQSPHAVGLPVGPLPSLSIQYMVLPSPALSGFPVLCSPTMPGPVSSAPSPLPNVG
+PVNFGLPGLGSTAHLLIGPAAMVNPKSSTLPSTDPQLQGPCSLHLSPVMSRSHGSVQPGS
+PAYGSLPAATVKLQQSPVPVTPKSIRCTHQETFFKTPGSLGDPVLRRKERNQSRSSSSAQ
+RRLEISSGGTD
+>sp|Q29W20|EGR1_BOVIN Early growth response protein 1 OS=Bos taurus OX=9913 GN=EGR1 PE=2 SV=1
+MAAAKAEMQLMSPLQISDPFGSFPHSPTMDNYPKLEEMMLSNGAPQFLGAAGAPEGSSGS
+SSGSSGGGGGGGGGSSSSNSNSSSAFNPQGEASEQPYEHLTAESFPDISLNNEKVLVETS
+YPSQTTRLPPITYTGRFSLEPAPNSGNTLWPEPLFSLVSGLVSMTNPPATSSSASSPAAS
+SSASQSPPLSCAVQSNDSSPIYSAAPTFPTPNTDIFPEPQGQAFPGSAGPALQYPPPAYP
+GAKGGFQVPMIPDYLFPQQQGDLGLGTPDQKPFQGLESRTQQPSLTPLSTIKAFATQSGS
+QDLKALNSTYQSQLIKPSRMRKYPNRPSKTPPHERPYACPVESCDRRFSRSDELTRHIRI
+HTGQKPQCRISMRNFSRSDHLTTHIRTHTGEKPFACDICGRKFARSDERKRHTKIHLRQK
+DKKADKSAASAATSSLPSYPSPVATSYPSPATTSYPSPATTSYPSPVPTSYSSPGSSTYP
+SPVHNGFPSPSVATTYSSVPPAFPTQVSSFPSSAVTNSFSASTGLSDMTTTFSPRTIEIC
+>sp|Q148C3|LY6D_BOVIN Lymphocyte antigen 6D OS=Bos taurus OX=9913 GN=LY6D PE=2 SV=1
+MKTVLLFLVALAAAAGPAQALRCHVCTSSSNCKKPQVCSASSSFCKTVIRVEPLSGNLVE
+KNCSVWCTPTNNQQGQVSKGQETTLCCNSDLCNEALQSWQSAAPARTSAHLGLALACGLL
+ALLWAPGL
+>sp|Q0VBZ5|JUNB_BOVIN Transcription factor jun-B OS=Bos taurus OX=9913 GN=JUNB PE=2 SV=1
+MCTKMEQPFYHDDSYAAAGYGRTPGGLSLHDYKLLKPSLALNLSDPYRNLKAPGARGPGP
+EGNGGGSYFSSQGSDTGASLKLASSELERLIVPNSNGVITTTPTPPGQYFYPRGGGSGGG
+AGGAGGGVTEEQEGFADGFVKALDDLHKMNHVTPPNVSLGASGGPPAGPGGVYAGPEPPP
+VYTNLSSYSPASAPSGGAGAAVGTGSSYPTATISYLPHAPPFAGGHPAQLGLGRGASAFK
+EEPQTVPEARSRDATPPVSPINMEDQERIKVERKRLRNRLAATKCRKRKLERIARLEDKV
+KTLKAENAGLSSTAGLLREQVAQLKQKVMTHVSNGCQLLLGVKGHAF
+>sp|O19182|KCNJ2_BOVIN Inward rectifier potassium channel 2 OS=Bos taurus OX=9913 GN=KCNJ2 PE=2 SV=1
+MGSVRTNRYSIVSSEEDGMKLATLAVANGFGNGKSKVHTRQQCRSRFVKKDGHCNVQFIN
+VGEKGQRYLADIFTTCVDIRWRWMLVIFCLAFVLSWLFFGCVFWLIALLHGDLDASKESK
+ACVSEVNSFTAAFLFSIETQTTIGYGFRCVTDECPVAVFMVVFQSIVGCIIDAFIIGAVM
+AKMAKPKKRNETLVFSHNAVIAMRDGKLCLMWRVGNLRKSHLVEAHVRAQLLKSRITSEG
+EYIPLDQIDINVGFDSGIDRIFLVSPITIVHEIDEDSPLYDLSKQDIDNADFEIVVILEG
+MVEATAMTTQCRSSYLANEILWGHRYEPVLFEEKHYYKVDYSRFHKTYEVPNTPLCSARD
+LAEKKYILSNANSFCYENEVALTSKEEDDSENGVPESTSTDTPPDIDLHNQASVPLEPRP
+LRRESEI
+>sp|A6H742|PLSI_BOVIN Plastin-1 OS=Bos taurus OX=9913 GN=PLS1 PE=2 SV=1
+MENSTTTISREELEELQEAFNKIDIDNSGYVSDYELQDLFKEASLPLPGYKVREIVEKIL
+AVADNNKDSRISFEEFVSLMQELKSKDISKTFRKIINKREGITAIGGTSSISSEGTQHSY
+SEEEKVAFVNWINKALENDPDCKHLIPMNPNDDSLFKSLADGILLCKMINLSEPDTIDER
+AINKKKLTPFTISENLNLALNSASAIGCTVVNIGAQDLTEGKPHLVLGLLWQIIKVGLFA
+DIEISRNEALIALLKEGEDLEELMRLSPEELLLQWVNYHLTNAGWPTISNFSHDIKDSRA
+YFHLLNQIAPKGDRDDGPAIAIDLTGFSEKNDLKRAEFMLQEADKLGCRQFVTPADVVSG
+NPKLNLAFVANLFNTYPGLHKPDNNDIDVNLLEGESKEERTFRNWMNSLGVNPYINHLYS
+DLADALVIFQLYEMIRVPVDWSHVNKPPYPALGGNMKKIENCNYAVELGKNKAKFSLVGI
+AGQDLNEGNSTLTLALVWQLMRRYTLNVLSDLGEGEKVNDAIIIEWVNQTLKSANKNTFI
+SSFKDKSISTSLPVLDLIDAIAPNAVRQEMIKREDLSDEDKLNNAKYAISVARKIGARIY
+ALPDDLVEVKPKMVMTVFACLMGKGLNKIK
+>sp|A1A4I4|PKN1_BOVIN Serine/threonine-protein kinase N1 OS=Bos taurus OX=9913 GN=PKN1 PE=2 SV=1
+MASDAVQSEPRSWSLLEQLGLAGADLAAPGVQQQLELERERLRREIRKELKLKEGAENLR
+RATTDLGRNLGPVELVLRGSSRRLALLHQQLQELHAHVVLPDPAVGVHDAPQSPGTGDSA
+CSATNLSRVAGLEKQLAIELKVKQGAENMIQTYSNGSTKDRKLLLTAQQMLQDSKTKIDI
+IRMQLHRALQACQLESQAAPDEAQGSPDLGAVELRIEELRHHFRVEHAVAEGAKNVLRLL
+SAAKAPDRKAVSEAQEKLTESNQKLGLLREALERRLGELPADHPKGRLLREELAAASSAA
+FSARLAGPFPATHYSTLSKPAPLTGTLEVRVVGCRDLPETIPWNPSPSVGGPGTPDSRTP
+FLSRPARGLYSRTGSLSGRSSLKAEAENTNEVSTVLKLDNTVVGQTSWKPCGPNAWDQSF
+TLELERARELELAVFWRDQRGLCALKFLKLEDFLDNERHEVQLDMEPQGCLVAEVTFRNP
+VIERIPRLRRQKKIFSKQQGKAFQRARQMNIDVATWVRLLRRLIPNATATGTFSPGASPG
+PEARSTGDISVEKLNLGTETDSSPQKSPLGPPSSPSSLSSPIQATTTTPELPSETQETPG
+PTLCSPLRKSPLTLEDFKFLAVLGRGHFGKVLLSEFRPSGELFAIKALKKGDIVARDEVE
+SLMCEKRILAAVTNAGHPFLVNLFGCFQTPEHVCFVMEYSAGGDLMLHIHSDVFSEPRAV
+FYSACVVLGLQFLHEHKIVYRDLKLDNLLLDTEGYVKIADFGLCKEGMGYGDRTSTFCGT
+PEFLAPEVLTDTSYTRAVDWWGLGVLLYEMLVGESPFPGDDEEEVFDSIVNDEVRYPRFL
+SAEAIGIMRRLLRRNPERRLGSSERDAEDVKKQPFFRTLGWDALLARRLPPPFVPTLAGR
+TDVSNFDEEFTGEAPTLSPPRDARPLTATEQAAFRDFDFVAGSC
+>sp|Q6J1J1|BIRC5_BOVIN Baculoviral IAP repeat-containing protein 5 OS=Bos taurus OX=9913 GN=BIRC5 PE=2 SV=2
+MGAQSLPPAWQLYLKDHRVSTFKNWPFLEGCACTPERMAAAGFIHCPTENEPDLAQCFFC
+FKELEGWEPDDDPIEEHKKHSSGCAFLSVKKQFEELTLSEFLKLDKERTKNKIAKETNNK
+QKEFEETAKKVRCAIEQLAALE
+>sp|Q3SZF0|ACBD7_BOVIN Acyl-CoA-binding domain-containing protein 7 OS=Bos taurus OX=9913 GN=ACBD7 PE=3 SV=1
+MSLQADFDKAAKDVRKLKTRPDDEELKELYGLYKQSVIGDIDIECPALLDLKGKAKWEAW
+NLQKGLSKEDAMNAYISKAKELIEKYGI
+>sp|Q2M2S5|COA6_BOVIN Cytochrome c oxidase assembly factor 6 homolog OS=Bos taurus OX=9913 GN=COA6 PE=3 SV=1
+MAAPTMKERQACWGARDEYWKCLDENTEDASKCKKLRSSFESSCPQQWIKYFDKRRDYLK
+FKEKFEAGDFQPSKMTAKS
+>sp|A7E369|CC070_BOVIN UPF0524 protein C3orf70 homolog OS=Bos taurus OX=9913 PE=2 SV=2
+MSAAAAPAPERGWKSEKVDEAQALARSCAARRPDFQPCDGLSICATHSHGKCFKLHWCCH
+LGWCHCKYVYQPMTPVEQLPSTEIPAKPREPANTIQISVSLTEHFLKFASVFQPPLPPDS
+PRYCMISDLFIDNYQVKCINGKMCYVQKQPAPHSHKMSPEEVSAHDAFISKESNTPKIDH
+CSSPSSSEDSGINAIGAHYVESCDEDTEEGAELSSEEDYSPESSWEPDECTLLSPSQSDL
+EVIETIETTV
+>sp|Q2KJ93|CDC42_BOVIN Cell division control protein 42 homolog OS=Bos taurus OX=9913 GN=CDC42 PE=1 SV=1
+MQTIKCVVVGDGAVGKTCLLISYTTNKFPSEYVPTVFDNYAVTVMIGGEPYTLGLFDTAG
+QEDYDRLRPLSYPQTDVFLVCFSVVSPSSFENVKEKWVPEITHHCPKTPFLLVGTQIDLR
+DDPSTIEKLAKNKQKPITPETAEKLARDLKAVKYVECSALTQKGLKNVFDEAILAALEPP
+EPKKSRRCVLL
+>sp|Q3ZBF6|ACADS_BOVIN Short-chain specific acyl-CoA dehydrogenase, mitochondrial OS=Bos taurus OX=9913 GN=ACADS PE=1 SV=1
+MAATLLARACGLVRGAPWPWGWRRLHTVYQSVELPETHQMLRQTCRDFAEKELFPIAAQV
+DKEHRFPAAQVKKMGELGLMAMNVPEELSGAGLDYLAYSIAMEEISRGCASTGVIMSVNN
+SLYLGPILKFGTKEQKQQWVAPFTSGDKIGCFALSEPGNGSDAGAAATTARADGDSWVLS
+GTKAWITNAWEASAVVVFASTDRSLHNKGISAFLVPMPTPGLTLGKKEDKLGIRASSTAN
+LIFEDRRIPKDSLLGEPGLGFKIAMQTLDTGRIGIASQALGIAQAALDCAVTYAENRSAF
+GAPLTKLQAIQFKLADMALALESARLLTWRAAMLKDNKKPFTKEAAMAKLAASEAATAIT
+HQAMQILGGMGYVKEMPAERHYRDARITEIYEGTSEIQRLVVAGHLLKSYRS
+>sp|Q0II83|CD046_BOVIN Uncharacterized protein C4orf46 homolog OS=Bos taurus OX=9913 PE=3 SV=1
+MANPEGLQVSPPPPPPPSSPSSSDTSSASSPSAPGVLGWPVPSRSSGRLVDPLEEVELQI
+GDAAFSLTKLLEATSAVSAQVEELAFKCTENARFLKTWRDLLKEGYDSLKPDN
+>sp|P47865|AQP1_BOVIN Aquaporin-1 OS=Bos taurus OX=9913 GN=AQP1 PE=1 SV=3
+MASEFKKKLFWRAVVAEFLAMILFIFISIGSALGFHYPIKSNQTTGAVQDNVKVSLAFGL
+SIATLAQSVGHISGAHLNPAVTLGLLLSCQISVLRAIMYIIAQCVGAIVATAILSGITSS
+LPDNSLGLNALAPGVNSGQGLGIEIIGTLQLVLCVLATTDRRRRDLGGSGPLAIGFSVAL
+GHLLAIDYTGCGINPARSFGSSVITHNFQDHWIFWVGPFIGAALAVLIYDFILAPRSSDL
+TDRVKVWTSGQVEEYDLDADDINSRVEMKPK
+>sp|P02510|CRYAB_BOVIN Alpha-crystallin B chain OS=Bos taurus OX=9913 GN=CRYAB PE=1 SV=2
+MDIAIHHPWIRRPFFPFHSPSRLFDQFFGEHLLESDLFPASTSLSPFYLRPPSFLRAPSW
+IDTGLSEMRLEKDRFSVNLDVKHFSPEELKVKVLGDVIEVHGKHEERQDEHGFISREFHR
+KYRIPADVDPLAITSSLSSDGVLTVNGPRKQASGPERTIPITREEKPAVTAAPKK
+>sp|O97817|AGRL2_BOVIN Adhesion G protein-coupled receptor L2 OS=Bos taurus OX=9913 GN=ADGRL2 PE=2 SV=1
+MVSSGCRMRSLWFIIIISFLPNTEGFSRAALPFGLVRRELSCEGYSIDLRCPGSDVIMIE
+SANYGRTDDKICDADPFQMENTDCYLPDAFKIMTQRCNNRTQCIVVTGSDVFPDPCPGTY
+KYLEVQYECVPYMEQKVFVCPGTLKAIVDSPCIYEAEQKAGAWCKDPLQAADKIYFMPWT
+PYRTDTLIEYASLEDFQNSRQTTTYKLPNRVDGTGFVVYDGAVFFNKERTRNIVKYDLRT
+RIKSGEAIINYANYHDTSPYRWGGKTDIDLAVDENGLWVIYATEQNNGMIVISQLNPYTL
+RFEATWETVYDKRAASNAFMICGVLYVVRSVYQDNESETGKNAIDYIYNTRLNRGEYVDV
+PFPNQYQYIAAVDYNPRDNQLYVWNNNFILRYSLEFGPPDPAQVPTTAVTITSSAEMFKT
+TVSTTSTTSQKGPMSTTVAGSQEGSKGTKAPPAVSTTKIPPVTNIFPLPERFCEALDARG
+IRWPQTQRGMMVERPCPKGTRGTASYLCVLSTGTWNPKGPDLSNCTSHWVNQLAQKIRSG
+ENAASLANELAKHTKGPVFAGDVSSSVRLMEQLVDILDAQLQELKPSEKDSAGRSYNKLQ
+KREKTCRAYLKAIVDTVDNLLRPEALESWKHMNSSEQAHTATMLLDTLEEGAFVLADNLV
+EPTRVSMPTENIVLEVAVLSTEGQVQDFKFPLGIKGAGSSIQLSANTVKQNSRNGLAKLV
+FIIYRSLGQFLSTENATIKLGADFIGRNSTIAVNSHVISVSINKESSRVYLTDPVLFTLP
+HIDPDNYFNANCSFWNYSERTMMGYWSTQGCKLVDTNKTRTTCACSHLTNFAILMAHREI
+AYKDGVHELLLTVITWVGIVISLVCLAICIFTFCFFRGLQSDRNTIHKNLCINLFIAEFI
+FLIGIDKTKYMIACPIFAGLLHFFFLAAFAWMCLEGVQLYLMLVEVFESEYSRKKYYYVA
+GYLFPATVVGVSAAIDYKSYGTEKACWLHVDNYFIWSFIGPVTFIILLNIIFLVITLCKM
+VKHSNTLKPDSSRLENINNYRVCDGYYNTDLPGSWVLGAFALLCLLGLTWSFGLLFINEE
+TIVMAYLFTIFNAFQGVFIFIFHCALQKKVRKEYGKCFRHSYCCGGLPTESPHSSVKAST
+TRTSARYSSGTQSRIRRMWNDTVRKQSESSFISGDINSTSTLNQGMTGNYLLTNPLLRPH
+GTNNPYNTLLAETVVCNAPSAPVFNSPGHSLNNARDTSAMDTLPLNGNFNNSYSLRKGDY
+NDSVQVVDCGLSLNDTAFEKMIISELVHNNLRGSSKAHNLELTLPVKPVIGGSSSEDDAI
+VADASSLMHGDNPGLELHHKELEAPLIPQRTHSLLYQPQKKAKPEGTDSYVSQLTAEAED
+HLQSPNRDSLYTSMPNLRDSPYQESSPDMEEDLSPSRRSENEDIYYKSMPNLGAGHQLQM
+CYQISRGNSDGYIIPINKEGCIPEGDVREGQMQLVTSL
+>sp|Q765P1|CLD2_BOVIN Claudin-2 OS=Bos taurus OX=9913 GN=CLDN2 PE=2 SV=1
+MASLGLQLVGYVLGLLGLLGTVIAMLLPSWRTSSYVGASIVTAVGFSKGLWMECATHSTG
+ITQCDIYSTMLGLPADIQAAQAMMVTSSAMSSLACIVSVVGMRCTVFFQESRAKDRVAVV
+GGVFFILGGLLGFIPVAWNLHGILRDFYSPLVPDSMKFEIGEALYLGIISSLFSLIAGIF
+LCFSCSPQGNRSNYYDAYQAQPLATRSSPRPGQAPKGKSEFNSYSLTGYV
+>sp|Q08DM1|DEMA_BOVIN Dematin OS=Bos taurus OX=9913 GN=DMTN PE=2 SV=1
+MERLQKQPLTSPGSVSSSRDSSVPGSPSSIVAKMDNQVLGYKDLAAIPKDKAILDIERPD
+LMIYEPHFTYSLLEHVELPRSRERSLSPKSTSPPPSPEVWAESRSPGTISQASAPRTAGT
+PRTSLPHFHHPETTRPDSNIYKKPPIYKQRAESTGGSPQSKHPIEDLIIESSKFPAAQPP
+DPNQPAKIETDYWPCPPSLAVVETEWRKRKASRRGAEEEEEEEDDDSGEEMKALRERQRE
+ELSKVTSNLGKMILKEEMEKSLPIRRKTRSLPDRTPFHTSLHAGTSKSSSLPAYGRTTLS
+RLQSTDFSPSGSEAESPGLQNGEGQRGRMDRGNSLPCVLEQKIYPYEMLVVTNRGRTKLP
+PGVDRMRLERHLSAEDFSRVFSMSPEEFGKLALWKRNELKKKASLF
+>sp|Q08DY6|E2F6_BOVIN Transcription factor E2F6 OS=Bos taurus OX=9913 GN=E2F6 PE=2 SV=1
+MSQQRPARKLPSLLVDPAEETVRRRCRDPINVEGLLPSKIRINLEDNVQYVSMRKALKVK
+RPRFDVSLVYLTRKFMDLVRSAPGGILDLNKVATKLGVRKRRVYDITNVLDGIDLVEKKS
+KNHIRWIGSDLSNFGAVPQQKKLQEELSDLSAMEDALDELIKDCAQQLFELTDDKENERL
+AYVTYQDIHSIQAFHEQIVIAVKAPAETRLDVPAPKEDSITVHIRSTKGPIDVYLCEVEQ
+GSHSSNKTSDNVGTSSSKSKPLEHPQPEKEENPPQQSEEVLEVSN
+>sp|Q0VD31|FBXL4_BOVIN F-box/LRR-repeat protein 4 OS=Bos taurus OX=9913 GN=FBXL4 PE=2 SV=1
+MSPVFPMLTVLTMFYYMCLRRRARTATRGEIMNSHRTVESNSRTSPLNAEVVQYAKEVVD
+FSSHYGSENSMSYTMWNLAGVPNVFPSSGDFTQTAVFRTYGTWWDQCPSAPVPFKRTPAN
+FQSQDYVELAFEQQVYPTAVHVLETYHPGAVIRILACSANPYSPGPPAEVRWETLWSEKP
+TKVNASQARQFKPCIKQINFPTNLIRLEINSSLLDYYTELDAVVLHGMKDKPMLSLKTSL
+IDMNDLDEDDYEEKDDCEIDNLNKKFSSTALREGPSNGYFDKLPYELIQLILNHLTLPDL
+CRLAQTCKLLNQHCCDPLQYIHLNLQPYWAKLNDTSLEFLQARCTLVQWLNLSWTGNRGF
+ISVAGFSRFLKVCGSELVRLELSCSHFLNETCLEIISEMCPNLQDLNLSSCDKLPPQAFS
+HIAKLCGLKRLVLYRTKVEQTALLSILNFCSDLQHLSLGSCVMIEDYDVTASMIGAKCKK
+LRTLDLWRCKNITESGIAELASGCPLLEELDLGWCPTLQSSTGCFARLARQLPNLQKLFL
+TANRSVCDTDIEELASNCTRLRQLDILGTRMVSPASLRKLLESCKDLSLLDVSFCSQIDN
+RAVLELSASFPKVFIKKSFTQ
+>sp|O77656|MMP13_BOVIN Collagenase 3 OS=Bos taurus OX=9913 GN=MMP13 PE=2 SV=1
+MHPRVLAGFLFFSWTACWSLPLPSDGDSEDLSEEDFQFAESYLKSYYYPQNPAGILKKTA
+ASSVIDRLREMQSFFGLEVTGRLDDNTLDIMKKPRCGVPDVGEYNVFPRTLKWSKMNLTY
+RIVNYTPDLTHSEVEKAFRKAFKVWSDVTPLNFTRIHNGTADIMISFGTKEHGDFYPFDG
+PSGLLAHAFPPGPNYGGDAHFDDDETWTSSSKGYNLFLVAAHEFGHSLGLDHSKDPGALM
+FPIYTYTGKSHFMLPDDDVQGIQSLYGPGDEDPYSKHPKTPDKCDPSLSLDAITSLRGET
+LIFKDRFFWRLHPQQVEAELFLTKSFGPELPNRIDAAYEHPSHDLIFIFRGRKFWALSGY
+DILEDYPKKISELGFPKHVKKISAALHFEDSGKTLFFSENQVWSYDDTNHVMDKDYPRLI
+EEVFPGIGDKVDAVYQKNGYIYFFNGPIQFEYSIWSNRIVRVMTTNSLLWC
+>sp|A5PJF6|HSDL1_BOVIN Inactive hydroxysteroid dehydrogenase-like protein 1 OS=Bos taurus OX=9913 GN=HSDL1 PE=2 SV=1
+MAAVDSFYLLYREIARSCNCYIEALALVGAWYTARKSITVVCDFYSLIRLHFIPRLVSRA
+DLIKQYGRWAVVSGATDGIGRAYAEELASRGLNIVLISRSQEKLQMVAKDIADTYKVETD
+IIVADFSSGREIYDMIREALQDRDIGILVNNVGVFYPYPQYFTQVSEDTLWDIVNVNIAA
+ASLMVHIVLPGMVERKKGAIVTISSGSCCKPTPQLAAFSASKAYLDHFSRALQYEYASKG
+IFVQSLIPFYVATNVATPGSFLHKCPWLVPSPKVYAHHAVSTLGISKRTTGYWSHSIQFL
+FAQYMPEWLWVWGANILNRSLRKEALSCKA
+>sp|P22292|M2OM_BOVIN Mitochondrial 2-oxoglutarate/malate carrier protein OS=Bos taurus OX=9913 GN=SLC25A11 PE=1 SV=3
+MAATASPGASGMDGKPRTSPKSVKFLFGGLAGMGATVFVQPLDLVKNRMQLSGEGAKTRE
+YKTSFHALISILRAEGLRGIYTGLSAGLLRQATYTTTRLGIYTVLFERLTGADGTPPGFL
+LKAVIGMTAGATGAFVGTPAEVALIRMTADGRLPVDQRRGYKNVFNALFRIVQEEGVPTL
+WRGCIPTMARAVVVNAAQLASYSQSKQFLLDSGYFSDNILCHFCASMISGLVTTAASMPV
+DIVKTRIQNMRMIDGKPEYKNGLDVLVKVVRYEGFFSLWKGFTPYYARLGPHTVLTFIFL
+EQMNKAYKRLFLSG
+>sp|P01576|IFNB2_BOVIN Interferon beta-2 OS=Bos taurus OX=9913 GN=IFNB2 PE=3 SV=1
+MTHRCLLQMVLLLCFSTTALSRSYSLLRFQQRRSLALCQKLLRQLPSTPQHCLEARMDFQ
+MPEEMKQAQQFQKEDAILVIYEMLQQIFNILTRDFSSTGWSETIIEDLLEELYEQMNHLE
+PIQKEIMQKQNSTMGDTTVLHLRKYYFNLVQYLKSKEYNRCAWTVVRVQILRNFSFLTRL
+TGYLRE
+>sp|P27424|MFAP2_BOVIN Microfibrillar-associated protein 2 OS=Bos taurus OX=9913 GN=MFAP2 PE=1 SV=1
+MRAASLFLLFLPAGLLAQGQYDLDPLPPYPDHVQYTHYSEQIENPDYYDYPEMTPRPPEE
+QFQFQSQQQVQQEVIPAPTLEPGTVETEPTEPGPLDCREEQYPCTRLYSIHKPCKQCLNE
+VCFYSLRRVYVVNKEICVRTVCAQEELLRADLCRDKFSKCGVLASSGLCQSVAAACARSC
+GGC
+>sp|F1MBP6|KLHL3_BOVIN Kelch-like protein 3 OS=Bos taurus OX=9913 GN=KLHL3 PE=3 SV=3
+MDGESIKPSSQPLIQTGDDEKNQRTITVNPAHMGKAFKVMNELRSKQLLCDVMIVAEDVE
+IEAHRVVLAACSPYFCAMFTGDMSESKAKKIEIKDVDGQTLSKLIDYIYTAEIEVTEENV
+QVLLPAASLLQLMDVRQNCCDFLQSQLHPTNCLGIRAFADVHTCTDLLQQANAYAEQHFP
+EVMLGEEFLSLSLDQVCSLISSDKLTVSSEEKVFEAVISWINYEKETRLEHMAKLMEHVR
+LPLLPRDYLVQTVEEEALIKNNNTCKDFLIEAMKYHLLPLDQRLLIKNPRTKPRTPVSLP
+KVMIVVGGQAPKAIRSVECYDFEEDRWDQIAELPSRRCRAGVVFMAGHVYAVGGFNGSLR
+VRTVDVYDGVKDQWTSIASMQERRSTLGAAVLNDLLYAVGGFDGSTGLASVEAYSYKTNE
+WFFVAPMNTRRSSVGVGVVEGKLYAVGGYDGASRQCLSTVEQYNPATNEWTYVADMSTRR
+SGAGVGVLSGQLYATGGHDGPLVRKSVEVYDPGTNTWKQVADMNMCRRNAGVCAVNGLLY
+VVGGDDGSCNLASVEYYNPVTDKWTLLPTNMSTGRSYAGVAVIHKPL
+>sp|Q29RU3|CCD54_BOVIN Coiled-coil domain-containing protein 54 OS=Bos taurus OX=9913 GN=CCDC54 PE=2 SV=1
+MYKLQAKRVKAAAGQMWNSNFSKIRQSLKNAYHKCKNQYPNSTRCPTMTSHDCDQEDLNA
+DEEMNLLVMLQDIKTTQLELLSQMTGMICALSKIQEKTDFFQKQMQVLETKMNVNENKQC
+ATAEDIFSVKEDVDALKKKVTELGNQNSCSNVHCLEVLDGEKGKEILELLHKVTQSETLK
+NTLTSIDSEISSAEPEKVLSYPKSTDHLEEKTISPQIKALEKSNYQNALRSFQKAKSNIY
+IYPDFNTWIKLTFVHGGKWRFFLSATKLEEFIQWLLSRPTLPPEEPQVITQKYCLFTGPI
+TNLTTICVSVFNYIYCLFGSSKEEVTRL
+>sp|Q95106|BDNF_BOVIN Brain-derived neurotrophic factor OS=Bos taurus OX=9913 GN=BDNF PE=2 SV=2
+MTILFLTMVISYFGCMKAAPMKEANLRAQGSLAYPGVRTHGTLESMNGPKVGSRGLTSSS
+SLADTFEHVIEELLDEDQKVRPSEENNKDADMYTSRVMLSSQVPLEPPLLFLLEEYKNYL
+DAANMSMRVRRHSDPARRGELSVCDSISEWVTAADKKTAVDMSGGTVTVLEKVPVSKGQL
+KQYFYETKCNPMGYTKEGCRGIDKRHWNSQCRTTQSYVRALTMDSKKRIGWRFIRIDTSC
+VCTLTIKRGR
+>sp|Q58D91|CAR19_BOVIN Caspase recruitment domain-containing protein 19 OS=Bos taurus OX=9913 GN=CARD19 PE=2 SV=1
+MTEQTYCDRLVQDTPFLTSLGRLSEQQVDRIILQLNRYYPQILSNKDAEKFRNPKLSLRV
+RLCDLLGHLQRSGERDCQEFYRALYIHAQPLHSCLPSRHALQNSDCTELDSGNASCELSD
+RGPVAFLTCLGLAAGLALLIYCCPPDPKVLPGARRVLGFSPVIIDRHVSRFLLAFLTDDL
+GGL
+>sp|Q3ZCL5|ARFP2_BOVIN Arfaptin-2 OS=Bos taurus OX=9913 GN=ARFIP2 PE=2 SV=1
+MTDGILGKAATMEIPIHGNGEAGQLPEDDGLEQDLQQVMVSGPNLNETSIVSGGYGGSGD
+GLIPTGSGRHPSHSATPAGPGDEVARGIAGEKFDIVKKWGINTYKCTKQLLSERFGRGSR
+TVDLELELQIELLRETKRKYESVLQLGRALTAHLYSLLQTQHALGDAFADLSQKSPELQE
+EFGYNAETQKLLCKNGETLLGAVNFFVSSINTLVTKTMEDTLMTVKQYEAARLEYDAYRT
+DLEELSLGPRDAGTRGRLESAQATFQAHRDKYEKLRGDVAIKLKFLEENKIKVMHKQLLL
+FHNAVSAYFAGNQKQLEQTLQQFNIKLRPPGAEKPSWLEEQ
+>sp|Q0VCA2|ARRD3_BOVIN Arrestin domain-containing protein 3 OS=Bos taurus OX=9913 GN=ARRDC3 PE=2 SV=1
+MVLGKVKSLTISFDCLNDSNVPVYSSGDTVSGRVNLEVTGEIRVKSLKIHARGHAKVRWT
+ESRNAGSNTAYTQNYTEEVEYFNHKDILIGHERDDDNSEEGFNTIHSGRHEYAFSFELPQ
+TPLATSFEGRHGSVRYWVKAELHRPWLLPVKLKKEFTVFEHIDINTPSLLSPQAGTKEKT
+LYCWFCTSGPISLSAKIERKGYTPGESIQIFAEIENCSSRMVVPKAAIYQTQAFYAKGKM
+KEVKQLVANLRGESLSSGKTETWNGKLLKIPPVSPSILDCSIIRVEYSLMVYVDIPGAMD
+LFLNLPLVIGTIPLHPFGSRTSSVSSQCSMNMNWLGLSLPERPEAPPSYAEVVTEEQRRN
+NLAPGSACDDFERALQGPLFAYIQEFRFLPPPLYSEIDPNPDQPADDRPSCPSR
+>sp|P02662|CASA1_BOVIN Alpha-S1-casein OS=Bos taurus OX=9913 GN=CSN1S1 PE=1 SV=2
+MKLLILTCLVAVALARPKHPIKHQGLPQEVLNENLLRFFVAPFPEVFGKEKVNELSKDIG
+SESTEDQAMEDIKQMEAESISSSEEIVPNSVEQKHIQKEDVPSERYLGYLEQLLRLKKYK
+VPQLEIVPNSAEERLHSMKEGIHAQQKEPMIGVNQELAYFYPELFRQFYQLDAYPSGAWY
+YVPLGTQYTDAPSFSDIPNPIGSENSEKTTMPLW
+>sp|Q27954|COPA_BOVIN Coatomer subunit alpha OS=Bos taurus OX=9913 GN=COPA PE=1 SV=1
+MLTKFETKSARVKGLSFHPKRPWILTSLHNGVIQLWDYRMCTLIDKFDEHDGPVRGIDFH
+KQQPLFVSGGDDYKIKVWNYKLRRCLFTLLGHLDYIRTTFFHHEYPWILSASDDQTIRVW
+NWQSRTCVCVLTGHNHYVMCAQFHPSEDLVVSASLDQTVRVWDISGLRKKNLSPGAVESD
+VRGITGVDLFGTTDAVVKHVLEGHDRGVNWAAFHPTMPLIVSGADDRQVKIWRMNESKAW
+EVDTCRGHYNNVSCAVFHPRQELILSNSEDKSIRVWDMSKRTGVQTFRRDHDRFWVLAAH
+PNLNLFAAGHDGGMIVFKLERERPAYAVHGNMLHYVKDRFLRQLDFNSSKDVAVMQLRSG
+SKFPVFSMSYNPAENAVLLCTRASNLENSTYDLYTIPKDADTQNPDAPEGKRSSGLTAVW
+VARNRFAVLDRMHSLLIKNLKNEITKKVQVPNCDEIFYAGTGNLLLRDAESITLFDVQQK
+RTLASVKISKVKYVIWSADMSHVALLAKHAIVICNRKLEALCNIHENIRVKSGAWDESGV
+FIYTTSNHIKYAVTTGDYGIIRTLDLPIYVTRVKGNNVYCLDRECRPRVLTIDPTEFKFK
+LALINRKYDEVLHMVRNAKLVGQSIIAYLQKKGYPEVALHFVKDEKTRFSLALECGNIEI
+ALEAAKALDDKNCWEKLGEVALLQGNHQIVEMCYQRTKNFDKLSFLYLITGNLEKLRKMM
+KIAEIRKDMSGHYQNALYLGDVSERVRILKNCGQKSLAYLTAATHGLDEEAESLKETFDP
+EKETIPDIDPNAKLLQPPAPIMPLDTNWPLLTVSKGFFEGSIASKGKGGALAADIDIDTV
+GTEGWGEDAELQLDEDGFVEATEGLGDDALGKGQEEGGGWDVEEDLELPPELDIPPGAAG
+GAEDGFFVPPTKGTSPTQIWCNNSQLPVDHILAGSFETAMRLLHDQVGVTQFGPYKQLFL
+QTYARGRTTYQALPCLPSMYGYPNRNWKDAGLKNGVPAVGLKLNDLIQRLQLCYQLTTVG
+KFEEAVEKFRSILLSVPLLVVDNKQEIAEAQQLITICREYIVGLSMETERKKLPKETLEQ
+QKRICEMAAYFTHSNLQPVHMILVLRTALNLFFKLKNFRTAAAFARRLLELGPKPEVAQQ
+TRKILSACEKNPTDAYQLNYDMHNPFDICAASYRPIYRGKPVEKCPLSGACYSPEFKGQI
+CKVTTVTEIGKDVIGLRISPLQFR
+>sp|Q05B67|F210A_BOVIN Protein FAM210A OS=Bos taurus OX=9913 GN=FAM210A PE=2 SV=1
+MQWNVPKTVFRLAHRTCMEPHKAGLLGHCQNMKGPLLLYTLESRVVVVQGPQKRWLHLPT
+AQCVAKERKPFTAVQSQPGVFHHKQWEQDILSKRVLSSSATSSGPPSEKKEDPDPLQDRS
+ISLYQRFKKTFRQYGKVLIPVHLITSAVWFGTFYYAAMKGVNVVPFLELIGLPDSIVNIL
+KNSQSGNALTAYALFKIATPARYTVTLGGTSFTVKYLRSRGYMSTPPPVKEYLQDKMEET
+KELLTEKMEETKDRLTEKLQETKGKVSLKKKVE
+>sp|Q6A198|FUT10_BOVIN Alpha-(1,3)-fucosyltransferase 10 OS=Bos taurus OX=9913 GN=FUT10 PE=2 SV=1
+MVRIQRGKLLAFCLCVMATVFLLITLQVVVELGKFEGKKFKNSHLKDGHAQMEAEPLHLH
+PFFNREGLTLNRKKTLAADSFPIMLWWSPLTGETGRLGQCGADACFFTINRTYLHHHRTK
+AFLFYGTDFSIDSLPLPRKAHHDWALFHEESPKNNYKLFHQPVITLFNYTATFSRHSHLP
+LTTQYLEGTEVLTSLRHLVPLRSKNHLRKSLAPLVYVQSDCDPPSDRDSYVRELMTYIEV
+DSYGECLRNKPLPPQLSNPASMDADGFFRILAQYKFILAFENAVCDDYITEKLWRPLKLG
+VVPVYYGSPSIADWLPSNRSAILVSEFSHPRELASYIRALDRDDRRYQAYIEWKLKGEIS
+NQRLLTALRERKWGVQDVKQDNYIDAFECMVCTKVWDNIRLQEKGLPPKRWQADVTHLSC
+PEPTVFAFSPLVPRRRSLREMWIPSFQQSKKEARALRWLVDRNQNFSTQEFWALVFKD
+>sp|Q0P5N5|GRPE2_BOVIN GrpE protein homolog 2, mitochondrial OS=Bos taurus OX=9913 GN=GRPEL2 PE=2 SV=1
+MAARLLWAVRRRMQPLAAHAASEGRGWLHPFSTATQRTAGEDCNSEDPPDELGPSLAERA
+LKLKAVKLEKEVQDLTVRYQRAVADSENIRRRTQRCVEDAKIFGIQSFCKDLVEVADILE
+KTTECISEETEPADQKLTLEKIFRGLSLLEAKLKSVFAKHGLEKMTPIGDKYDPHEHELI
+CHVPAGVGVQPGTVAFVRQDGYKLHGRTIRLAQVEVAVESQRRL
+>sp|Q95M18|ENPL_BOVIN Endoplasmin OS=Bos taurus OX=9913 GN=HSP90B1 PE=2 SV=1
+MRALWVLGLCCVLLTFGSVRADDEVDVDGTVEEDLGKSREGSRTDDEVVQREEEAIQLDG
+LNASQIRELREKSEKFAFQAEVNRMMKLIINSLYKNKEIFLRELISNASDALDKIRLISL
+TDENALAGNEELTVKIKCDKEKNLLHVTDTGVGMTREELVKNLGTIAKSGTSEFLNKMTE
+AQEDGQSTSELIGQFGVGFYSAFLVADKVIVTSKHNNDTQHIWESDSNEFSVIADPRGNT
+LGRGTTITLVLKEEASDYLELDTIKNLVKKYSQFINFPIYVWSSKTETVEEPAEEEEAAK
+EDKEESDDEAAVEEEEDEKKPKTKKVEKTVWDWELMNDIKPIWQRPSKEVEEDEYKAFYK
+SFSKESDDPMAYIHFTAEGEVTFKSILFVPTSAPRGLFDEYGSKKSDYIKLYVRRVFITD
+DFHDMMPKYLNFVKGVVDSDDLPLNVSRETLQQHKLLKVIRKKLVRKTLDMIKKIADEKY
+NDTFWKEFGTNIKLGVIEDHSNRTRLAKLLRFQSSHHPSDMTSLDQYVERMKEKQDKIYF
+MAGASRKEAESSPFVERLLKKGYEVIYLTEPVDEYCIQALPEFDGKRFQNVAKEGVKFDE
+SEKSKESREAVEKEFEPLLNWMKDKALKDKIEKAVVSQRLTESPCALVASQYGWSGNMER
+IMKAQAYQTGKDISTNYYASQKKTFEINPRHPLIRDMLRRVKEDEDDKTVSDLAVVLFET
+ATLRSGYLLPDTKAYGDRIERMLRLSLNIDPDAKVEEEPEEEPEETTEDTAEDTEQDEEE
+EMDAGTDEEEQETAEKSTAEKDEL
+>sp|O18756|GLCE_BOVIN D-glucuronyl C5-epimerase OS=Bos taurus OX=9913 GN=GLCE PE=1 SV=2
+MRCLAARVNYKTLIIICALFTLVTVLLWNKCSSDKAIQVPRHLSSGFRVDALEKKAAASE
+SNNYVNHMAKQSEEAFPQEQQKAPPVVGGFNNNGGGRVLGLKYEEIDCLINDEHTIKGRR
+EGNEVFLPFTWVEKYFDVYGKVVQYDGYDRFEFSHSYSKVYAQRAPYHPDGVFMSFEGYN
+VEVRDRVKCISGVEGVPLSTQWGPQGYFYPIQIAQYGLSHYSKNLTEKPPHIEVYETAED
+RDKNSKPNDWTVPKGCFMASVADKSRFTNVKQFIAPETSEGVSLQLGNTKDFIISFDLKF
+LTNGSVSVVLETTEKNQLFTVHYVSNTQLIAFKERDIYYGIGPRTSWSTVTRDLVTDLRK
+GVGLSNTKAVKPTRIMPKKVVRLIAKGKGFLDNITISTTAHMAAFFAASDWLVRNQDEKG
+GWPIMVTRKLGEGFKSLEPGWYSAMAQGQAISTLVRAYLLTKDHIFLNSALRATAPYKFL
+SEQHGVKAVFMNKHDWYEEYPTTPSSFVLNGFMYSLIGLYDLKETAGEKLGKEARSLYER
+GMESLKAMLPLYDTGSGTIYDLRHFMLGIAPNLARWDYHTTHINQLQLLSTIDESPIFKE
+FVKRWKSYLKGSRAKHN
+>sp|Q863C3|GRP_BOVIN Gastrin-releasing peptide OS=Bos taurus OX=9913 GN=GRP PE=1 SV=3
+MRGREVPLVLLALVLCLAPRGWAAPVTAGRGGALAKMYTRGNHWAVGHLMGKKSVAESPQ
+LHEEESLKEQLREYAQWEEATRNLLSLLQAKGARGHQMPPWEPLSIHQPAWDSEDVSNFK
+DTGPQHEGRNPQLN
+>sp|P00767|CTRB_BOVIN Chymotrypsinogen B OS=Bos taurus OX=9913 PE=1 SV=1
+CGVPAIQPVLSGLARIVNGEDAVPGSWPWQVSLQDSTGFHFCGGSLISEDWVVTAAHCGV
+TTSDVVVAGEFDQGLETEDTQVLKIGKVFKNPKFSILTVRNDITLLKLATPAQFSETVSA
+VCLPSADEDFPAGMLCATTGWGKTKYNALKTPDKLQQATLPIVSNTDCRKYWGSRVTDVM
+ICAGASGVSSCMGDSGGPLVCQKNGAWTLAGIVSWGSSTCSTSTPAVYARVTALMPWVQE
+TLAAN
+>sp|Q0VCA1|DCE1_BOVIN Glutamate decarboxylase 1 OS=Bos taurus OX=9913 GN=GAD1 PE=2 SV=1
+MASSTPSSSATSSNAGADPNTTNLRPTTYDTWCGVAHGCTRKLGLKICGFLQRTNSLEEK
+SRLVSAFKERQSSKNLLSCENSDKDGRFRRTETDFSNLFARDLLPAKNGEEQTVQFLLEV
+VDILLNYVRKTFDRSTKVLDFHHPHQLLEGMEGFNLELSDHPESLEQILVDCRDTLKYGV
+RTGHPRFFNQLSTGLDIIGLAGEWLTSTANTNMFTYEIAPVFVLMEQITLKKMREIVGWS
+SKDGDGIFSPGGAISNMYSIMAARFKYFPEVKTKGMAAVPKLVLFTSEHSHYSIKKAGAA
+LGFGTDNVILIKCNERGKIIPADLETKILEAKQKGYVPLYVNATAGTTVYGAFDPIQEIA
+DICEKYNLWLHVDAAWGGGLLMSQKHRHKLSGIERANSVTWNPHKMMGVLLQCSAILVKE
+KGILQGCNQMCAGYLFQPDKQYDVSYDTGDKAIQCGRHVDIFKFWLMWKAKGTVGFENQI
+NKCLELAEYLYAKIKNREEFEMVFDGEPEHTNVCFWYIPQSLRGVPDSPERREKLHRVAP
+KIKALMMESGTTMVGYQPQGDKANFFRMVISNPAATQSDIDFLIEEIERLGQDL
+>sp|Q5EA06|GBRP_BOVIN Gamma-aminobutyric acid receptor subunit pi OS=Bos taurus OX=9913 GN=GABRP PE=2 SV=1
+MKRSLHLTFVCLSLFSARMCVQGNQFNIEVSRSNKLSLPGFENLTAGYNKFLRPNFGGEP
+VQIALTLDVASISSISESNMDYTATIYLRQRWTDQRLVFEGNKSFTLDARLVEFLWVPDT
+YIVESKKSFLHEVTVGNRLIRLFSNGTVLYALRITTTVACNMDLSKYPMDTQTCKLQLES
+WGYDGNDVEFSWLRGNDSVRGLENLRLAQYTIQQYFTSVTRSQQETGNYTRLVLQFELQR
+NVLYFILETYVPSTFLVVLSWVSFWISLDSVPARTCIGVTTVLSMTTLMIGSRTSLPNTN
+CFIKAIDVYLGICFSFVFGALLEYAVAHYSSLQQMAAKDRGKAKEVEEVNITNIINSSIS
+SFKRKISFASIEISGDNVDYSDLTMKTSDKVKFVFRDKLGRIVDYFTIQNPSNVDRYSKL
+LFPLIFMLANVFYWAYYMYF
+>sp|Q3ZCB8|EMC3_BOVIN ER membrane protein complex subunit 3 OS=Bos taurus OX=9913 GN=EMC3 PE=2 SV=3
+MAGPELLLDSNIRLWVVLPIVIITFFVGMIRHYVSILLQSDKKLTQEQVSDSQVLIRSRV
+LRENGKYIPKQSFLTRKYYFNNPEDGFFKKTKRKVVPPSPVTDPTMLTDMMKGNVTNVLP
+MILIGGWINMTFSGFVTTKVPFPLTLRFKPMLQQGIELLTLDASWVSSASWYFLNVFGLR
+SIYSLILGQDNAADQSRMMQEQMTGAAMAMPADTNKAFKTEWEALELTDHQWALDDVEEE
+LMAKDLHFEGMFKKELQTSIF
+>sp|P08219|GBRA1_BOVIN Gamma-aminobutyric acid receptor subunit alpha-1 OS=Bos taurus OX=9913 GN=GABRA1 PE=1 SV=1
+MKKSPGLSDYLWAWTLFLSTLTGRSYGQPSLQDELKDNTTVFTRILDRLLDGYDNRLRPG
+LGERVTEVKTDIFVTSFGPVSDHDMEYTIDVFFRQSWKDERLKFKGPMTVLRLNNLMASK
+IWTPDTFFHNGKKSVAHNMTMPNKLLRITEDGTLLYTMRLTVRAECPMHLEDFPMDAHAC
+PLKFGSYAYTRAEVVYEWTREPARSVVVAEDGSRLNQYDLLGQTVDSGIVQSSTGEYVVM
+TTHFHLKRKIGYFVIQTYLPCIMTVILSQVSFWLNRESVPARTVFGVTTVLTMTTLSISA
+RNSLPKVAYATAMDWFIAVCYAFVFSALIEFATVNYFTKRGYAWDGKSVVPEKPKKVKDP
+LIKKNNTYAPTATSYTPNLARGDPGLATIAKSATIEPKEVKPETKPPEPKKTFNSVSKID
+RLSRIAFPLLFGIFNLVYWATYLNREPQLKAPTPHQ
+>sp|Q2NKS0|LTC4S_BOVIN Leukotriene C4 synthase OS=Bos taurus OX=9913 GN=LTC4S PE=2 SV=2
+MKDEVALLASVTLLGVLLQAYFSLQVISARRAFRVSPPLTTGPPEFERIYRAQVNCSEYF
+PLFLAMLWVAGIFFHEGAAALCGLVYLFARLRYFQGYARSAQQRLAPLYASARALWLLVA
+LAALGLLAHFLPAELRAALLGQLRKLLLRS
+>sp|P03972|MIS_BOVIN Muellerian-inhibiting factor OS=Bos taurus OX=9913 GN=AMH PE=1 SV=1
+MPGPSLSLALVLSAMGALLRPGTPREEVFSTSALPREQATGSGALIFQQAWDWPLSSLWL
+PGSPLDPLCLVTLHGSGNGSRAPLRVVGVLSSYEQAFLEAVRRTHWGLSDLTTFAVCPAG
+NGQPVLPHLQRLQAWLGEPGGRWLVVLHLEEVTWEPTPLLRFQEPPPGGASPPELALLVV
+YPGPGLEVTVTGAGLPGTQSLCLTADSDFLALVVDHPEGAWRRPGLALTLRRRGNGALLS
+TAQLQALLFGADSRCFTRKTPALLLLLPARSSAPMPAHGRLDLVPFPQPRASPEPEEAPP
+SADPFLETLTRLVRALAGPPARASPPRLALDPGALAGFPQGQVNLSDPAALERLLDGEEP
+LLLLLPPTAATTGVPATPQGPKSPLWAAGLARRVAAELQAVAAELRALPGLPPAAPPLLA
+RLLALCPGNPDSPGGPLRALLLLKALQGLRAEWRGRERSGSARAQRSAGAAAADGPCALR
+ELSVDLRAERSVLIPETYQANNCQGACGWPQSDRNPRYGNHVVLLLKMQARGATLARPPC
+CVPTAYTGKLLISLSEERISAHHVPNMVATECGCR
+>sp|A7MBI3|L2HDH_BOVIN L-2-hydroxyglutarate dehydrogenase, mitochondrial OS=Bos taurus OX=9913 GN=L2HGDH PE=2 SV=1
+MVPALRYLGSVCGRARGIFPGGFSAAHTPASGKSRLLCQGGRRASTSSFDIVIIGGGIVG
+LASARALILRHPALSIGVLEKEKNLAVHQTGHNSGVIHSGIYYKPESLKAKLCVQGAALI
+YEYCNQKGISYKQCGKLIVAVEQEEIPRLQALYERGLQNGVQGLRLIQQEDIKKKEPYCR
+GLMAIDCPYTGIVDYRQVAFSFAKDFQEAGGSVLTNFEVEDIEMARESPSRSKDGMKYPI
+VIRNTKGEEVRCQYVVTCAGLYSDRISELSGCNPNPRIVPFRGDYLVLKPEKRYLVKGNI
+YPVPDSRFPFLGVHFTPRMDGNIWLGPNAILAFKREGYRPFDFSARDIMDIIIKSGLIKL
+VFQNFSYGVNEMYKACFLSATVKHLQKFIPEITISDVLRGPAGVRAQALDRDGNLIEDFV
+FDGGVGDIGNRILHVRNAPSPAATSSLAISGMIADEVQQRFKL
+>sp|A0JNH1|KIZ_BOVIN Centrosomal protein kizuna OS=Bos taurus OX=9913 GN=KIZ PE=2 SV=2
+MTERSGRGGGTRGASALPSPDYYEQVAHLQQGLRNSEKKRLDLERKLYEYHQSDVCRAKL
+KYIKLKKYLKEICESEKNARIRNQEYLKQFERIQANITASLEKLQELKIEFETQIKKMQL
+LSKDSLGKKGELKDEDKEKVVMRAEINSGTAMSRGLYQPATIFMGRQMSAVSGIGDFTTE
+RKSPQPTKNFSIPDPHSHQQTAQSSDVTGSRVVQTPGDTQCLNKSDKIDGKTSLQIGEKT
+PVTASALSEEEQTHCFEIGSNACQSKSNLSEGKKSAELHSPLWERLSPENRTTDLKCDSS
+RRSEGSEGEILTREHIEVEEERARPPVSPLSGSESCASENECPQEKPPARKASSDHLPCE
+DSQSQEPFRKKQEEQEEESLSSSSDLTVSVSEDDLILKSPELQTNLGDTMEQEDGTETLN
+VIHSEQERDAPSTGKPNCILQAPSTPDSPNESFTNLPAKELCNHSDILREGPDAYRTAVL
+HQLSQLCPRGGSDKEQVRFEQTPASGLLRTRSGQHIAALKGHDTFVQEEEVAKLSGVFLV
+SKLDQRTKATALLKKDLAEEHDNRLAVHSSKSSCSLPSTPSDESGIRNGKPTLWPKGVTT
+REQEDESREESTEESMAARMPITETKAYQRLKQSALQGSTHQAGDGFQEATAPTSQPPGL
+KTGSGTFKTKTTHKIASEASFSSSEGSPLSRHENEGKLTTNLKSKAFWSESDESNSEIEA
+ALRPRTP
+>sp|A1A4K1|C99L2_BOVIN CD99 antigen-like protein 2 OS=Bos taurus OX=9913 GN=CD99L2 PE=2 SV=1
+MVAWRWACLICLAFSLTTLVQRGSGDTGGFRLEDAVEGTSSVKQRWDHVTTTTRRPGATR
+APAKPAGPPAEDDFNLADALDDQNDRDHDRKKPSIGGGGFSDKDLEDIVGGGDYKPDKGK
+GGGQYGGGDNSDDSGMSAETGTIAGVASALAMALIGAVSSYISYQQKKFCFSIQQGLNAD
+YVKGENLEAVVCEEPQVKYSALQTQSTEPPPPEPPRI
+>sp|Q2KIL1|CA141_BOVIN Uncharacterized protein C1orf141 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MAERVLDKLDILDEQAKTLLATRAKKNCLQSQVKRKISVIPLTFDFQLELEKDIATSISK
+TNSKITKDRSYGTKKPKRYVSFKNMPEPKKSDFQNSNLRPPFLPTNIKTQEIKSIEPVEE
+YLKSRSIRSFHYLKDIPETEYAKPFQELYSQHRHQCRRTLCSTVFSSVPSNQSHAYKKED
+SIYSTKENESIRNDQLNEYSVRQKSLLPLCFEDELIKPDAKIIDIGLVKTVTSHTGKNDT
+NPIMFHEAGYVQMLLLTKNRLPPHFMKNGNGSPYERSNVVLQRNCEMLKSVARDQSITPS
+KTQRTLPTTQKKDIPAISFKVSDRVVDDKLRKKTRKQTFKNISWDKLYNFSQTFSSLTKK
+FVGFLDKTVIQEMSAKTGKFEKMFSTVKPVSEFSASPFKYYSKPSRNILKVHKINNVTPL
+DDLLNLSSKK
+>sp|Q32PJ6|CIAO1_BOVIN Probable cytosolic iron-sulfur protein assembly protein CIAO1 OS=Bos taurus OX=9913 GN=CIAO1 PE=2 SV=1
+MKDSLVLLSRILAHPDSRCWFLAWNPAGTLLASCGGDRSVRIWGREGDSWICKSVLCEGH
+QRTVRKVAWSPCGNYLASASFDATTCIWKKNEDDFECVTTLEGHENEVKSVAWAPSGNLL
+ATCSRDKSVWVWEVDEEDEYECVSVLNSHTQDVKHVVWHPSQELLASASYDDTVKLYREE
+EDDWVCCATLEGHESTVWSLAFDPSGQRLASCSDDRTVRIWRQYLPGNEQGVACSGSEAS
+WKCVCTLSGFHSRTIYDVAWCQLTGTLATACGDDAIRVFEEDPGSDPQQPTFSLTAHVPQ
+AHSQDVNCVAWNPKERGLLASCSDDGELAFWKYQPSEGI
+>sp|Q32KY0|APOD_BOVIN Apolipoprotein D OS=Bos taurus OX=9913 GN=APOD PE=2 SV=1
+MVPVLLLLPALAGLFGAAEGQAFHLGKCPHPPVQENFDVNKYLGKWYEIEKIPVSFEKGS
+CIQANYSLKENGNVEVINKELRADGTVNQIEGEATPENITEPAKLAVKFFWFMPSAPYWV
+LATDYENYALVYSCTTIIWLFHMDHVWILGRNPYLPPETVTYLKDILTSNNIEVEKMTIT
+DQVNCPESM
+>sp|P13183|COX7B_BOVIN Cytochrome c oxidase subunit 7B, mitochondrial OS=Bos taurus OX=9913 GN=COX7B PE=1 SV=3
+MFPLAKNALSRLRVQSIQQAVARQIHQKRAPDFHDKYGNAVLASGATFCVAVWVYMATQI
+GIEWNPSPVGRVTPKEWREQ
+>sp|Q17QE5|CIB1_BOVIN Calcium and integrin-binding protein 1 OS=Bos taurus OX=9913 GN=CIB1 PE=2 SV=1
+MGGSGSRLSKELLAEYQDLTFLTKQEILLAHRRFCELLPQEHRSVEESLQARVSLEQILS
+LPELKANPFKERICKVFSTSPSRDSLSFEDFLDLLSVFSDTATPDIKSHYAFRIFDFDDD
+GTLNREDLSQLVNCLTGESEDTRLSASEMKQLIDNILEESDIDRDGTINLSEFQHVISRS
+PDFASSFKIVL
+>sp|P19535|CADH3_BOVIN Cadherin-3 (Fragment) OS=Bos taurus OX=9913 GN=CDH3 PE=2 SV=1
+ENTVSHEVQRLTVTDLDAPNSPAWRATYRIVGGDNGDHFTITTDPESNQGILTTQKGLDF
+EAKTQHTLYVEVINEVPFVVKLPTSTATVVVLVEDVNEPPVFVPPSKVIEIQEGISTGEP
+ICAYTARDPDKGSQKISYHILRDPAGWLAMDPDSGQVTAAGVLDREDEQFVRNNIYEVMV
+LATDDGSPPTTGTGTLLLTLMDINDHGPVPEPRQITICNQSPVPQVLNITDKDLSPHTAP
+FQAQLTHDSDVYWTAEVNEKGDAVALSLKKFLKQGEYDVHLSLSDHGNKEQLTVIRATVC
+DCHGNMVTCRDPWTWGFLLPILGAALALLLLLLVLLFLVRKKRKIKEPLLLPEDDTRDNV
+FYYGEEGGGEEDQDYDITQLHRGLEARPEVVLRNDVAPSFIPTPMYRPRPANPDEIGNFI
+IENLKAANTDPTAPPYDSLLVFDYEGSGSDAASLSSLTSSTSDQDQDYNYLNEWGSRFKK
+LADMYGGGQDD
+>sp|Q28008|CLC3A_BOVIN C-type lectin domain family 3 member A OS=Bos taurus OX=9913 GN=CLEC3A PE=2 SV=1
+MAKNGLVIYILVITLLLDQTSCHASKFKARKHSKRRVKEKDGDLKTQVEKLWREVNALKE
+MQALQTVCLRGTKFHKKCYLAAEGLKHFHEANEDCISKGGTLVVPRSADEINALRDYGKR
+SLPGVNDFWLGINDMVAEGKFVDINGLAISFLNWDQAQPNGGKRENCALFSQSAQGKWSD
+EACHSSKRYICEFTIPQ
+>sp|Q56K04|CRIP1_BOVIN Cysteine-rich protein 1 OS=Bos taurus OX=9913 GN=CRIP1 PE=3 SV=3
+MPKCPKCSKEVYFAERVTSLGKDWHRPCLKCEKCGKTLTSGGHAEHEGKPYCNHPCYAAM
+FGPKGFGRGGAESHTFK
+>sp|Q3SYW2|CO2_BOVIN Complement C2 OS=Bos taurus OX=9913 GN=C2 PE=2 SV=1
+MDPLMAVLCLLPLYPGLATAALSCPKNVNISGGSFTLSNGWNPGSILTYSCPLGHYPYPV
+VTRLCKSNGQWQIPRSTRSTKAICKPVRCPAPVSFENGVYIPRLGSHPVGGNLSFECEDG
+FTLRGSAVRQCRPNGMWDGETAVCDNGASHCPNPGISVGAVRTGSRFGLGDKVRYRCSSN
+LVLTGSAERECQDDGVWSGTEAICRQPYSYDFPEDVAPALGTSFSHLLATTNPIQQKKKQ
+NLGRKIQIQRSGHLNLYLLLDASQSVSKDDFEIFKDSASRMVDRIFSFEIKVSVAIITFA
+SKPKIIMSVLEDRSRDVTEVENSLRNINYKDHENGTGTNIYEALHAVYIMMNNQMNRPHM
+NPGAWQEIRHAIILLTDGKSNMGGSPKVAVDNIKEVLNINQKRKDYLDIYAIGVGSLHVD
+WKELNNLGSKKDGERHAFILKDVQALSQVFEHMLDVSQLTDPICGVGNMSANASAQERTP
+WHVTIKPKSQETCRGALISDQWVLTAAHCFRNAEDRTLWRVSVGDPNFQGSKEFQIEEAV
+ISPGFNVFSKKSQGIPEFYGDDIALLKLTQKVKMSTHARPICLPCTVGANLALRKLPGST
+CRDHEKELLNQVSIPAHFVALNGDKLNINLKTGSEWTNCVKVVLKDKTTFPNLTDVREVV
+TDQFLCSGTQGDDSPCKGESGGAVFLERRLRFFQVGLVSWGLYNPCGGSSKNSRKPAPHG
+KVPRDFHINLFRLQPWLRQHLEGILNFVPL
+>sp|P0C920|CJ053_BOVIN UPF0728 protein C10orf53 homolog OS=Bos taurus OX=9913 PE=3 SV=1
+MPENAQVIMRYGPYSSIGLPVEHRTYRLEGLLAVLAEDGHQVLLEKIEDWNVVELMVNGE
+VVFRCNIKDLEFGGDGKLDPLCREARIAVLNAY
+>sp|Q2HJ78|CITE4_BOVIN Cbp/p300-interacting transactivator 4 OS=Bos taurus OX=9913 GN=CITED4 PE=2 SV=1
+MADHLMLAEGYSLVPRPPPAAPAHGPHALRTLQPYSSPGLDSGLRPRGAPLGPPPPPQGT
+VAYGAFGPSPTFQPFPAVPPPAAGNAHLQPVATLYPGRATMPPGAPGGPSGPQPAPGAPA
+PPLQPPAHALGGMDAELIDEEALTSLELELGLHRVRDLPELFLGQSEFDCFSDLGSAPPA
+GSVSC
+>sp|P0CG11|CTF8_BOVIN Chromosome transmission fidelity protein 8 homolog OS=Bos taurus OX=9913 GN=CHTF8 PE=3 SV=1
+MVQIVISSAGAGGLAEWVLMELQGEIEARHSTGLAGNLLGDLHYTTEGIPVLIVGHHILY
+GKIIHLEKPFAVLVKHTPGEQDCDELGCESGTRYLVTALIKNKILFKTRPKPIITNVPKK
+V
+>sp|P79102|CP3AS_BOVIN Cytochrome P450 3A28 OS=Bos taurus OX=9913 GN=CYP3A28 PE=2 SV=1
+MELIPSFSMETWVLLATSLVLLYIYGTYSYGLFKKLGIPGPRPVPYFGSTMAYHKGIPEF
+DNQCFKKYGKMWGFYEGRQPMLAITDPDIIKTVLVKECYSVFTNRRIFGPMGIMKYAISL
+AWDEQWKRIRTLLSPAFTSGKLKEMFPIIGQYGDMLVRNLRKEAEKGNPVNMKDMFGAYS
+MDVITGTAFGVNIDSLNNPHDPFVEHSKNLLRFRPFDPFILSIILFPFLNPVFEILNITL
+FPKSTVDFFTKSVKKIKESRLTDKQMNRVDLLQLMINSQNSKEIDNHKALSDIELVAQST
+IFIFGGYETTSSTLSFIIYELTTHPHVQQKLQEEIDATFPNKAPPTYDALVQMEYLDMVV
+NETLRMFPIAGRLERVCKKDVEIHGVTIPKGTTVLVPLFVLHNNPELWPEPEEFRPERFS
+KNNKDSINPYVYLPFGTGPRNCLGMRFAIMNIKLALVRILQNFSFKPCKETQIPLKLYTQ
+GLTQPEQPVILKVVPRGLGPQVEPDFL
+>sp|A6QQF7|FA89A_BOVIN Protein FAM89A OS=Bos taurus OX=9913 GN=FAM89A PE=2 SV=1
+MSGAGAAGSVRGLRVDGLPPLPKSLSGLLHSASGGGASGGWRHLERLYAQKSRIQDELSR
+GSAGGGGARAAALPAKPPNLDAALALLRKEMVGLRQLDMSLLCQLYSLYESIQEYKGACQ
+AAASPDSTYALENGFFDEEDEYFQEQNSLQDGKERGPPRDLMLPVSPLPSGDWILESI
+>sp|Q17QL1|GNPI2_BOVIN Glucosamine-6-phosphate isomerase 2 OS=Bos taurus OX=9913 GN=GNPDA2 PE=2 SV=1
+MRLVILDNYDLASEWAAKYICNRIIQFRPGQDRYFTLGLPTGSTPLGCYKKLIEYHKNGD
+LSFKYVKTFNMDEYVGLPRNHPESYHSYMWNNFFKHIDIDPNNAHILDGNATDLQAECDA
+FEKKIKEAGGIDLFVGGIGPDGHIAFNEPGSSLVSRTRLKTLAMDTILANAKYFDGDLSK
+VPTMALTVGVGTVMDAREVMILITGAHKAFALYKAIEEGVNHMWTVSAFQQHPRTIFVCD
+EDATLELRVKTVKYFKGLMHVHNKLVDPLYSMKEGN
+>sp|A6N9I4|GRP2_BOVIN RAS guanyl-releasing protein 2 OS=Bos taurus OX=9913 GN=RASGRP2 PE=2 SV=1
+MAGTLDLDKGCTVEELLRGCIEAFDDSGKVRDPQLVRMFLMMHPWYIPSSQLAAKLLHIY
+QQSRKDNSSSLQVKTCHLVRYWISAFPAEFDLNPELAEQIKELKALLDQEGNRRHSSLID
+IENVPTYKWKRQVTQRNPVEQKKRKMSLLFDHLEPLELAAHLTYLEYRSFCKILFQDYHS
+FVTHGCTVDNPVLERFISLFNSVSQWVQLMILSKPTAPQRAGVITHFVHVAEELLHLQNF
+NTLMAVVGGLSHSSISRLKETHSHVSPETIKLWEGLTELVTATGNYGNYRRRLAACVGFR
+FPILGVHLKDLVALQLALPDWLDPARTRLNGAKMKQLFSILEELAMVTSLRPPVQANPDL
+LSLLMVSLDQYQTEDELYQLSLQREPRSKSSPTSPTTCTPPPRPPVLEEWTSAAKPKLDQ
+AIMVEHIEKMVESVFRNFDVDGDGHISQEEFQIIRGNFPYLSAFGDLDQNQDGCISKEEM
+VSYFLRSSSMLGGRMGFVHNFHESNSLRPVACRHCKALILGIYKQGLKCRACGVNCHKQC
+KDRLSVECRRRAQSMSLEGSAPSPSPTHTHHRAFSFSLPRPGRRGSRPPEIREEEVQTVE
+DGVFDIHL
+>sp|Q58DH8|FEN1_BOVIN Flap endonuclease 1 OS=Bos taurus OX=9913 GN=FEN1 PE=2 SV=1
+MGIQGLAKLIADVAPSAIRENDIKSYFGRKVAIDASMSIYQFLIAVRQGGDVLQNEEGET
+TSHLMGMFYRTIRMMENGIKPVYVFDGKPPQLKSGELAKRSERRAEAEKQLQEAQAAGAE
+AEVEKFTKRLVKVTKQHNDECKHLLSLMGIPYLDAPSEAEASCAALVKAGKVYAAATEDM
+DCLTFGSPVLMRHLTASEAKKLPIQEFHLSRILQELGLNQEQFVDLCILLGSDYCESIRG
+IGPKRAVDLIQKHKSIEEIVRRLDPNKYPVPENWLHKEAQQLFLEPEVLDPESVELKWSE
+PNEEELIKFMCGEKQFSEERIRSGVRRLSKSRQGSTQGRLDDFFKVTGSLSSAKRKEPEP
+KGAAKKKAKTGAAGKFKRGK
+>sp|P48035|FABP4_BOVIN Fatty acid-binding protein, adipocyte OS=Bos taurus OX=9913 GN=FABP4 PE=2 SV=2
+MCDAFVGTWKLVSSENFDDYMKEVGVGFATRKVAGMAKPTLIISLNGGVVTIKSESTFKN
+TEISFKLGQEFDEITPDDRKVKSIVNLDEGALVQVQNWDGKSTTIKRKLMDDKMVLECVM
+NGVTATRVYERA
+>sp|O46415|FRIL_BOVIN Ferritin light chain OS=Bos taurus OX=9913 GN=FTL PE=2 SV=3
+MSSQIRQNYSTEVEAAVNRLVNMQLRASYTYLSLGFYFDRDDVALEGVGHFFRELAKEKR
+EGAERLLKLQNQRGGRALFLDVQKPSQDEWGKTQDAMEAALLVEKNLNQALLDLHGLASA
+RGDPHICDFLENHFLDEEVKLIKKMGDHLTNLRRLAGPQAGLGEYLFERLTLKHD
+>sp|P00366|DHE3_BOVIN Glutamate dehydrogenase 1, mitochondrial OS=Bos taurus OX=9913 GN=GLUD1 PE=1 SV=2
+MYRYLGEALLLSRAGPAALGSASADSAALLGWARGQPAAAPQPGLVPPARRHYSEAAADR
+EDDPNFFKMVEGFFDRGASIVEDKLVEDLKTRETEEQKRNRVRSILRIIKPCNHVLSLSF
+PIRRDDGSWEVIEGYRAQHSQHRTPCKGGIRYSTDVSVDEVKALASLMTYKCAVVDVPFG
+GAKAGVKINPKNYTDNELEKITRRFTMELAKKGFIGPGVDVPAPDMSTGEREMSWIADTY
+ASTIGHYDINAHACVTGKPISQGGIHGRISATGRGVFHGIENFINEASYMSILGMTPGFG
+DKTFVVQGFGNVGLHSMRYLHRFGAKCITVGESDGSIWNPDGIDPKELEDFKLQHGTILG
+FPKAKIYEGSILEVDCDILIPAASEKQLTKSNAPRVKAKIIAEGANGPTTPEADKIFLER
+NIMVIPDLYLNAGGVTVSYFEWLNNLNHVSYGRLTFKYERDSNYHLLMSVQESLERKFGK
+HGGTIPIVPTAEFQDRISGASEKDIVHSGLAYTMERSARQIMRTAMKYNLGLDLRTAAYV
+NAIEKVFRVYNEAGVTFT
+>sp|Q2TBV3|ETFB_BOVIN Electron transfer flavoprotein subunit beta OS=Bos taurus OX=9913 GN=ETFB PE=1 SV=3
+MAELRALVAVKRVIDFAVKIRVKPDKTGVVTDGVKHSMNPFCEIAVEEAVRLKEKKLVKE
+IIAVSCGPAQCQETIRTALAMGADRGIHVEVPAAEANHLGPLQVARVLAKLAEKEKVDLV
+LLGKQAIDDDCNQTGQMTAGFLDWPQGTFASQVTLEGDKIKVEREIDGGLETLRLKLPAV
+VTADLRLNEPRYATLPNIMKAKKKKIEVIKAGDLGVDLTSKLSVISVEDPPQRTAGVKVE
+TTEDLVAKLKEIGRI
+>sp|Q29455|DNJC5_BOVIN DnaJ homolog subfamily C member 5 OS=Bos taurus OX=9913 GN=DNAJC5 PE=1 SV=1
+MADQRQRSLSTSGESLYHVLGLDKNATSDDIKKSYRKLALKYHPDKNPDNPEAADKFKEI
+NNAHAILTDATKRNIYDKYGSLGLYVAEQFGEENVNTYFVLSSWWAKALFIFCGLLTCCY
+CCCCLCCCFNCCCGKCKPKAPEGEETEFYVSPEDLEAQLQSDEREAADTPIVIQPASATE
+TTQLTADSHPSYHTDGFN
+>sp|P63171|DYLT1_BOVIN Dynein light chain Tctex-type 1 OS=Bos taurus OX=9913 GN=DYNLT1 PE=1 SV=1
+MEDYQAAEETAFVVDEVSNIVKEAIESAIGGNAYQHSKVNQWTTNVVEQTLSQLTKLGKP
+FKYIVTCVIMQKNGAGLHTASSCFWDSSTDGSCTVRWENKTMYCIVSAFGLSI
+>sp|A6H768|GALK1_BOVIN Galactokinase OS=Bos taurus OX=9913 GN=GALK1 PE=2 SV=2
+MAASEQPQAGELLAKARRAFLEEFGAEPELAVSAPGRVNLIGEHTDYNRGLVLPMALELV
+TVLVGSPRVDGLVSLLTTSEDADEPRRLQFPLPTSQRPLEPGTPHWANYVKGVIQHYPAA
+PLPGFSAVVVSSVPLGGGLSSSASLEVATYTFLQQLCPDSGTIAARAQVCQRAEHSFAGV
+PCGIMDQLIALLGQRGHALLIDCRSLETSLVPLSDPKLAVLITNSNVRHSLGSSEYPLRR
+RQCEEVARALGKESLREVQLEELEAGRDLMSTEAFRRARHVVGEIQRTAQAAAALRRGDY
+RAFGRLMVESHHSLRDDYEVSCPELDQLVEAALSAPGVYGSRMTGGGFGGCTVTLLEASA
+APRVMQHIQEQYHGTATFYLSQAADGAKVLHF
+>sp|Q2KHZ8|GLCM_BOVIN Lysosomal acid glucosylceramidase OS=Bos taurus OX=9913 GN=GBA PE=2 SV=1
+MELSSPSREEYPMPRGRVGIMAASLMGLLLLHTVSWVSGARPCSPKSFGYSSVVCVCNGT
+YCDSLDPLTLPDPGTFSRFESTRSGRRMELSLGTIQANRTGTGLLLTLQPDQKFQKVKGF
+GGAMTDAAALNILALSPAARNLLLKSYFSEEGIEYNIIRVPMASCDFSIRTYTYDDSPDD
+FQLLNFSLPEEDVKLKIPLIHQALELANRSVSLFASPWTSPTWLKTNGAVNGKGTLKGQA
+GDLYHKTWARYFVKFLDAYAEHKLRFWAVTAENEPTAGLLTGYPFQCLGFTPEHQRDFIA
+RDLGPILANSTHRDVRLLMLDDQRLLLPRWAQVVLADPEAAKYVHGIAVHWYLDFLAPAK
+ATLGETHRLFPNTMLFASEACVGSKFWEQSVRLGSWDRGMRYSHSIITNLLYHVVGWTDW
+NLALNPEGGPNWVRNFVDSPIIVDIAKDTFYKQPMFYHLGHFSKFIPEGSQRVGLVASKK
+SDLDTVALLRPDGSAVAVVLNRSSKDVPLTIKDPAVGFMETVSPGYSIHTYLWRRQ
+>sp|Q5E9V6|COMD4_BOVIN COMM domain-containing protein 4 OS=Bos taurus OX=9913 GN=COMMD4 PE=2 SV=2
+MRFRFCGDLDCPDWVLAEISTLAKISSVKLRLLCGQVLKDLLGGGIDYEKILKLTMDARF
+ESGDVKATVAVLSFILSSAAKHSVDGESLSSELQQLGLPKEHAASLCRCYEEKQSSLQAR
+LRACSLRVNRLVGVGWRVDYTLSSSLLRTVEEPLVHLRLEVAASPGAPAQPVAMSLSADK
+FQVLLADLKQAQTLMSNVG
+>sp|Q08DL5|COE2_BOVIN Transcription factor COE2 OS=Bos taurus OX=9913 GN=EBF2 PE=2 SV=1
+MFGIQDTLGRGPALKEKSLGAEMDSVRSWVRNVGVVDANVAAQSGVALSRAHFEKQPPSN
+LRKSNFFHFVLALYDRQGQPVEIERTAFVDFVENDKEQGNEKTNNGTHYKLQLLYSNGVR
+TEQDLYVRLIDSVTKQPIAYEGQNKNPEMCRVLLTHEVMCSRCCEKKSCGNRNETPSDPV
+IIDRFFLKFFLKCNQNCLKTAGNPRDMRRFQVVLSTTVNVDGHVLAVSDNMFVHNNSKHG
+RRARRLDPSEATPCIKAISPSEGWTTGGAMVIIIGDNFFDGLQVVFGTMLVWSELITPHA
+IRVQTPPRHIPGVVEVTLSYKSKQFCKGAPGRFIYTALNEPTIDYGFQRLQKVIPRHPGD
+PERLAKEMLLKRAADLVEALYGTPHNNQDIILKRAADIAEALYSVPRNPSQIPALSSSPA
+HSGMMGINSYGSQLGVSISESTQGNNQGYIRNTSSISPRGYSSSSTPQQSNYSTSSNSMN
+GYSNVPMANLGVPGSPGFLNGSPTGSPYGIMSSSPTVGSSSTSSILPFSSSVFPAVKQKS
+AFAPVIRPQGSPSPACSSGNGNGFRAMTGLVVPPM
+>sp|A1A4L5|ALKB8_BOVIN Alkylated DNA repair protein alkB homolog 8 OS=Bos taurus OX=9913 GN=ALKBH8 PE=2 SV=1
+MDSNHQSNYKLSKTEKKLLRKQIKARHTLLRHEGIETVSYATQSLIVANGGLGNQVSRNQ
+LLPVLEKCGLVDALLMPPNKPYSCVRYKTVEESKTAYDTLNGKEIVDDLGQKIILYLNFV
+EKAQWKELGLQALPPGLKVIEEIISSEDEKMLLESVNWTEDTENQNFQKSLKHRRVKHFG
+YEFHYENNNVDKDKPLPGGLPDICESILEKWLKEGFIKHKPDQLTINQYEPGHGIPAHID
+THSAFEDEIISLSLGSEIVMDFKHPDGMTVPVMLPCRSLLVMTGESRYLWTHGITPRKFD
+TVQASKGHKSGIITSDVEDLTLNKRGIRTSFTFRKVRQTPCNCSYPLVCDSQMKETPASF
+PESDKEALQLEQDYVHRVYEEIARHFSSTRHTPWPHIVEFLKALPSGSLVADIGCGNGKY
+LGINNELYMIGCDHSQNLVDICRERQYQAFVCDALAVPIRSGSCDACISIAVIHHFATAE
+RRVAALQELVRLLRPGGKALIYVWAMEQEYNKKKSKYLRENRTSQGMKEEISNDASVQEL
+LVKQLPNVGNQDSAHSVSSINDFQDGGCNSKNVANSKLPIHTNRTSFHSQDLLVPWHFKG
+NPGKDKRVEPFGPLGSRDPGPVFHRYYHVFCEGELEAACRTLNNISILQSYYDQGNWCVI
+LQKV
+>sp|P30922|CH3L1_BOVIN Chitinase-3-like protein 1 OS=Bos taurus OX=9913 GN=CHI3L1 PE=1 SV=3
+MGLRAAHTGFVVLVLLQSCAAYKLICYYTSWSQYREGDGSCFPDAIDPFLCTHVIYSFAN
+ISNNEIDTWEWNDVTLYDTLNTLKNRNPNLKTLLSVGGWNFGSQRFSKIASKTQSRRTFI
+KSVPPFLRTHGFDGLDLAWLYPGWRDKRHLTTLVKEMKAEFVREAQAGTEQLLLSAAVPA
+GKIAIDRGYDIAQISRHLDFISLLTYDFHGAWRQTVGHHSPLFRGQEDASSDRFSNADYA
+VSYMLRLGAPANKLVMGIPTFGRSYTLASSKTDVGAPISGPGIPGQFTKEKGILAYYEIC
+DFLHGATTHRFRDQQVPYATKGNQWVAYDDQESVKNKARYLKNRQLAGAMVWALDLDDFR
+GTFCGQNLTFPLTSAIKDVLARV
+>sp|P20000|ALDH2_BOVIN Aldehyde dehydrogenase, mitochondrial OS=Bos taurus OX=9913 GN=ALDH2 PE=1 SV=2
+MLRAVALAAARLGPRQGRRLLSAATQAVPTPNQQPEVLYNQIFINNEWHDAVSKKTFPTV
+NPSTGDVICHVAEGDKADVDRAVKAARAAFQLGSPWRRMDASERGRLLNRLADLIERDRT
+YLAALETLDNGKPYIISYLVDLDMVLKCLRYYAGWADKYHGKTIPIDGDYFSYTRHEPVG
+VCGQIIPWNFPLLMQAWKLGPALATGNVVVMKVAEQTPLTALYVANLIKEAGFPPGVVNV
+IPGFGPTAGAAIASHEDVDKVAFTGSTEVGHLIQVAAGKSNLKRVTLELGGKSPNIIMSD
+ADMDWAVEQAHFALFFNQGQCCCAGSRTFVQEDIYAEFVERSVARAKSRVVGNPFDSRTE
+QGPQVDETQFKKVLGYIKSGKEEGAKLLCGGGAAADRGYFIQPTVFGDVQDGMTIAKEEI
+FGPVMQILKFKSMEEVVGRANNSKYGLAAAVFTKDLDKANYLSQALQAGTVWVNCYDVFG
+AQSPFGGYKLSGSGRELGEYGLQAYTEVKTVTVRVPQKNS
+>sp|P68250|1433B_BOVIN 14-3-3 protein beta/alpha OS=Bos taurus OX=9913 GN=YWHAB PE=1 SV=2
+MTMDKSELVQKAKLAEQAERYDDMAAAMKAVTEQGHELSNEERNLLSVAYKNVVGARRSS
+WRVISSIEQKTERNEKKQQMGKEYREKIEAELQDICNDVLQLLDKYLIPNATQPESKVFY
+LKMKGDYFRYLSEVASGDNKQTTVSNSQQAYQEAFEISKKEMQPTHPIRLGLALNFSVFY
+YEILNSPEKACSLAKTAFDEAIAELDTLNEESYKDSTLIMQLLRDNLTLWTSENQGDEGD
+AGEGEN
+>sp|Q5E980|CP20A_BOVIN Cytochrome P450 20A1 OS=Bos taurus OX=9913 GN=CYP20A1 PE=2 SV=1
+MLDFAIFAVTFLLALVGAVLYLYPASRQAAGIPGITPTEEKDGNLPDIVNSGSLHEFLVN
+LHERYGPVVSFWFGRRLVVSLGTVDVLKQHINPNKTLDPFETMLKSLLRYQSDSGNVSEN
+HMRKKLYENGVTNCLRSNFALLIKLSEELLDKWLSYPESQHVPLCQHMLGFAMKSVTQMV
+MGSTFEDEQEVIRFQKNHGTVWSEIGKGFLDGSLDKSTTRKKQYEDALMQLESILKKIIK
+ERKGRNFSQHIFIDSLVQGNLNDQQILEDTMIFSLASCMITAKLCTWAVCFLTTYEEIQK
+KLYEEIDQVLGKGPITSEKIEELRYCRQVLCETVRTAKLTPVSARLQDIEGKIDKFIIPR
+ETLVLYALGVVLQDPGTWSSPYKFDPERFDDESVMKTFSLLGFSGTRECPELRFAYMVTA
+VLLSVLLRRLHLLSVEGQVIETKYELVTSSKEEAWITVSKRY
+>sp|Q2KJC9|AL7A1_BOVIN Alpha-aminoadipic semialdehyde dehydrogenase OS=Bos taurus OX=9913 GN=ALDH7A1 PE=2 SV=4
+MWRVPGLLCVRVARKSKFSGSWNRPAAFMSTLLINQPQYAWLKELGLREENDGVYNGSWG
+GRGEVITTYCPANNEPIARVRQASMADYEETVEKAREAWSIWADVPAPKRGEVVRQIGDA
+LREKIQVLGSLVSLEMGKILVEGVGEVQEYVDVCDYAVGLSRMIGGPILPSERPGHALIE
+QWNPVGLVGIITAFNFPVAVYGWNNAIAMICGNACLWKGAPTTSLISVAVTKIIAKVLED
+NKLPGAICSLTCGGADIGTAMAKDERVDLLSFTGSTQVGKQVALMVQERFGRSLLELGGN
+NAIIAFEDADLSLVVPSALFAAVGTAGQRCTTARRLFLHESIHDEVVNRLKKAYAQIRVG
+NPWDSNVLYGPLHTKQAVSMFLGAVEEAKKEGGTVVYGGKVMDRPGNYVEPTIVTGLDHD
+ASIVHTETFAPILYVFKFKNEDEVFAWNNEVKQGLSSSIFTKDMGRIFRWLGPKGSDCGI
+VNVNIPTSGAEIGGAFGGEKHTGGGRESGSDAWKQYMRRSTCTINYSKDLPLAQGIKFQ
+>sp|A6QLE6|ANO4_BOVIN Anoctamin-4 OS=Bos taurus OX=9913 GN=ANO4 PE=2 SV=1
+METSSSGITNGRTRVFHPVAKDVNILFDELEAVNSPCKDDDSLLHPGNLTSTSDDASRLE
+AGGETVPEKNKLNGLYFRDGKCRIDYILVYRKSNPQMEKREVFERNIRAEGLQMEKESSL
+INSDIIFVKLHAPWEVLGRYAEQMNVRMPFRRKIYYLPRRYKFMSRIDKQISRFRRWLPK
+KPMRLDKETLPDLEENDCYTAPFSQQRIHHFIIHNKDTFFNNATRSRIVHHILQRIKYEE
+GKNKIGLNRLLTNGSYEAAFPLHEGSYRSKNSIRTHGAVNHRHLLYECWASWGVWYKYQP
+LDLVRRYFGEKIGLYFAWLGWYTGMLFPAAFIGLFVFLYGVITLDHCQVSKEVCQATDII
+MCPVCDKYCPFMRLSDSCVYAKVTHLFDNGATVFFAVFMAVWATVFLEFWKRRRAVIAYD
+WDLIDWEEEEEEIRPQFEAKYSKKERMNPISGKPEPYQAFADKCSRLIVSASGIFFMICV
+VIAAVFGIVIYRVVTVSTFAAFKWALIRNNSQVATTGTAVCINFCIIMLLNVLYEKVALL
+LTNLEQPRTESEWENSFTLKMFLFQFVNLNSSTFYIAFFLGRFTGHPGAYLRLINRWRLE
+ECHPSGCLIDLCMQMGIIMVLKQTWNNFMELGYPLIQNWWTRRKVRQEHGPERKISFPQW
+EKDYNLQPMNAYGLFDEYLEMILQFGFTTIFVAAFPLAPLLALLNNIIEIRLDAYKFVTQ
+WRRPLASRAKDIGIWYGILEGIGILSVITNAFVIAITSDFIPRLVYAYKYGPCAGQGEAG
+QKCMVGYVNASLSVFRISDFENRSEPESDGSEFSGTPLKYCRYRDYRDPPHSLVPYGYTL
+QFWHVLAARLAFIIVFEHLVFCIKHLISYLIPDLPKDLRDRMRREKYLIQEMMYEAELER
+LQKERKERKKNGKAHHNEWP
+>sp|P08209|CRGD_BOVIN Gamma-crystallin D OS=Bos taurus OX=9913 GN=CRYGD PE=1 SV=4
+MGKITFYEDRGFQGRHYECSSDHSNLQPYLGRCNSVRVDSGCWMIYEQPNYLGPQYFLRR
+GDYPDYQQWMGLNDSVRSCRLIPHAGSHRLRLYEREDYRGQMIEITEDCSSLQDRFHFNE
+IHSLNVLEGSWVLYELPNYRGRQYLLRPGEYRRYHDWGAMNAKVGSLRRVIDIY
+>sp|Q2TBK0|CF163_BOVIN Uncharacterized protein C6orf163 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MIRNPNYTNFVCCAVCNKIIPPAPFGETFKRIHEYKPFKTRFYTHKDILDIGKDILNKEE
+QFQEDALKERIAQAEADIWAKADERQRQAVKKALEEANDMYKMQIQFLKEEHEKELKEMA
+TRTKMQLHKNLEEELQREHLAAEQRMVHRIQRIMMECHREKVQAVQEAREQERLMAQEEI
+QSQRRKAMEELMSSGVTVVKDQKKNVNQLIKEKQHEMNLYYCMTQRQKQEEVQEVLQEAE
+KTHQAKLGSVMDKLVNTQGELLSIAKQLGIMTNWKDFLEEELQETRAAFQKYINYTFPKL
+SPGHADFILPERKKTPSNLIIPENQTTPD
+>sp|Q29RK1|CISY_BOVIN Citrate synthase, mitochondrial OS=Bos taurus OX=9913 GN=CS PE=1 SV=1
+MALLTAAARLFGAKNASCLVLAARHASASSTNLKDILADLIPKEQTRVKAFRQQHGKTVV
+GQITVDMMYGGMRGMKGLVYETSVLDPDEGIRFRGYSIPECQKLLPKAKGGEEPLPEGLF
+WLLVTGQIPTEEQVSWLSQEWAKRAALPSHVVTMLDNFPTNLHPMSQLSAAVTALNSEST
+FARAYSEGINRTKYWELIYEDSMDLIAKLPCVAAKIYRNLYREGSSIGAIDPKLDWSHNF
+TNMLGYTDAQFTELMRLYLTIHSDHEGGNVSAHTSHLVGSALSDPYLSFAAAMNGLAGPL
+HGLANQEVLVWLTQLQKEVGKDVSDEKLRDYIWNTLNSGRVVPGYGHAVLRKTDPRYTCQ
+REFALKHLPQDPMFKLVAQLYKIVPNILLEQGKAKNPWPNVDAHSGVLLQYYGMTEMNYY
+TVLFGVSRALGVLAQLIWSRALGFPLERPKSMSTDGLMKFVDSKSG
+>sp|P02721|ATP5J_BOVIN ATP synthase-coupling factor 6, mitochondrial OS=Bos taurus OX=9913 GN=ATP5PF PE=1 SV=2
+MILQRLFRLSSAVQSAISVSWRRNIGITAVAFNKELDPVQKLFVDKIREYRTKRQTSGGP
+VDAGPEYQQDLDRELFKLKQMYGKADMNTFPNFTFEDPKFEVVEKPQS
+>sp|P68107|FKB1B_BOVIN Peptidyl-prolyl cis-trans isomerase FKBP1B OS=Bos taurus OX=9913 GN=FKBP1B PE=1 SV=2
+MGVEIETISPGDGRTFPKKGQTCVVHYTGMLQNGKKFDSSRDRNKPFKFRIGKQEVIKGF
+EEGAAQMSLGQRAKLTCTPDVAYGATGHPGVIPPNATLIFDVELLNLE
+>sp|Q3ZCF0|DCTN2_BOVIN Dynactin subunit 2 OS=Bos taurus OX=9913 GN=DCTN2 PE=2 SV=1
+MADPKYADLPGIARNEPDVYETSDLPEDDQAEFDAEELTSTSVEHIIVNPNAAYDKFKDK
+RVGTKGLDFSDRIGKTKRTGYESGEYEMLGEGLGVKETPQQKYQRLLHEVQELTTEVEKI
+KTTVKESATEEKLTPVVLAKQLAALKQQLVASHLEKLLGPDAAINLTDPDGALAKRLLLQ
+LEATKNSKGTGSGGKTTSGTPPDSSLVTYELHSRPEQDKFSQAAKVAELEKRLTELEATV
+RCDQDAQNPLSAGLQGACLMDTVELLQAKVGALDLAVLDQVEARLQSVLGKVNEIAKHKA
+SVEDADTQSKVHQLYETIQRWSPIAASLPELVQRLVTIKQLHEQAMQFGQLLTHLDTTQQ
+MIACSLKDNATLLTQVQTTMCENLSTIEGNFANIDERMKKLGK
+>sp|A4FUB7|GIN1_BOVIN Gypsy retrotransposon integrase-like protein 1 OS=Bos taurus OX=9913 GN=GIN1 PE=2 SV=1
+MVRSGKNGDLHLKQIAYYKRTGEYHPTTLPSERSGIRRAAKKFVFKEKKKVLRECHENDT
+GAHHGISRTLTLVESSYYWTSVTNDVKQWVYACQHCQVAKNTVILAPKQHLLKVENPWSI
+VTVDLMGPFHTSNRSHVYAIIMTDLFTKWVVILPLCDVSASEISKAIINIFFLYGPPQKI
+IMDQRDEFIHQINVELCELFGTKQIVISHASQTINPAESTPSTIKTFLSKHCVDYPNDWD
+DHLPAVSFAFNVTHLEPTKNTPYFQMFNRNPYMPESSDIREVDGDNTSMFAKILDAIKEA
+DKIMENKTTSVGQMENNNCHELNKSKIIVKKKPKQQNPFHLKVGHEVLRQRKNWWKDGRF
+RSEWVGPCVIDYITENGGAVLRDSSGARLKRPIKMSHLKPYVRESGEQDSLHLLHGSVVA
+DHDYVGMPELPVGAYQASILVEDAAIGVDDSELLTSSKDRELLEYRNAKISPLMEDHNAL
+EKQTFSLLDSSNQVLEYLT
+>sp|O62654|DESM_BOVIN Desmin OS=Bos taurus OX=9913 GN=DES PE=2 SV=3
+MSQAYSSSQRVSSYRRTFGGAPSFPLGSPLSSPVFPRAGFGTKGSSSSVTSRVYQVSRTS
+GGAGGLGALRASRLGSTRVPSSYGAGELLDFSLADAVNQEFLTTRTNEKVELQELNDRFA
+NYIEKVRFLEQQNAALAAEVNRLKGREPTRVAEIYEEELRELRRQVEVLTNQRARVDVER
+DNLLDDLQRLKAKLQEEIQLKEEAENNLAAFRADVDAATLARIDLERRIESLNEEIAFLK
+KVHEEEIRELQAQLQEQQVQVEMDMSKPDLTAALRDIRAQYETIAAKNISEAEEWYKSKV
+SDLTQAANKNNDALRQAKQEMMEYRHQIQSYTCEIDALKGTNDSLMRQMRELEDRFASEA
+SGYQDNIARLEEEIRHLKDEMARHLREYQDLLNVKMALDVEIATYRKLLEGEESRINLPI
+QTFSALNFRETSPEQRGSEVHTKKTVMIKTIETRDGEVVSEATQQQHEVL
+>sp|Q9GL32|EGR4_BOVIN Early growth response protein 4 OS=Bos taurus OX=9913 GN=EGR4 PE=2 SV=1
+MLHLSEFSGPDALLVKSTEGCCSEPSTDLTRLPARDPPAATGYPAGDFLSWALSSCGAGE
+YLTDSCLLEGPAPTPPPGLGYSGSFFIQAVPEHPHDPEALFNLMSGILGLTPFPGAEAAA
+SRSPLDASFPAGSDALLPGPPDLFSPDPGAAAFPEAFWEASPSAGAPSQCLYEPHLSAPD
+VKPGLRAPPASPALDTASAFKGPYSPWELLSAGAPGSCGSQGGYQAAPEARFPTTGAKIE
+DLLSISCPAELPGGPASRLYTMGTYDAFPLAPGDLGEGAESLPGLLTPPSGEGGSSGEGG
+EFLDGTQPELSPLGLRSATADLPKPLVADIPGSSAVPEPPVPPPAPFPPAKARRKGRRGG
+KCSARCFCPRPHAKAFACPVESCVRSFARSDELNRHLRIHTGHKPFQCRICLRNFSRSDH
+LTTHVRTHTGEKPFACDVCGRRFARSDEKKRHSKVHLKQKARAEERLKGLGFYSLGLSFA
+AL
+>sp|Q2KJD9|ELOV5_BOVIN Elongation of very long chain fatty acids protein 5 OS=Bos taurus OX=9913 GN=ELOVL5 PE=2 SV=1
+MEHFDASLSTYFRAWLGPRDTRVEGWFLLDNYVPTLVCSILYLLIVWLGPKYMKTRQPFS
+CRGILVVYNLGLTLLSLYMFCELVTGVWEGQYNFFCQGTRSGGEADMKIIRVLWWYYFSK
+LIEFMDTFFFILRKNNHQITVLHVYHHASMLNIWWFVMNWVPCGHSYFGATLNSFIHVLM
+YSYYGLSSIPSMRPYLWWKKYITQGQLLQFVLTIIQTSCGVIWPCTFPLGWLYFQIGYMI
+SLITLFTNFYIQTYNKKGVSRRREHQKDHQNGSVAAVNGHISSFSSLENNVKPRKQRKD
+>sp|A1A4L4|MINY4_BOVIN Probable ubiquitin carboxyl-terminal hydrolase MINDY-4 OS=Bos taurus OX=9913 GN=MINDY4 PE=2 SV=1
+MDTLFVEEVAASLIREFLSRKGLKKTYVTMDQERPRSDLSINSRNDLRKVLHLEFLYKEN
+KAKENPLKTNLELITRYFLDHFGNIGNNVTQETRIPELSVPKKSNKLPLRSSETTLVNIY
+HLADEDETWRTSLSEISKARHDSLDGDVLGHFVSSKRSSHKSRPIKTVAGESPTVASAWE
+KTDKLPMSEPSLDTKRMGEKVRPKSGLIVRGMMAGPIASSPQDSLRKRSLRRSPALSSAT
+QPHKEGSPQEPELSTHTSTCPTPLEGPASSTASTSRSPQGPLSELTWEKQRTSPGSPPHL
+PGKGLLPRGSGRWRDLSEDSPAVDSGSEAIRTPPKFSLSSGNVPKTQERPERAFERQGSQ
+PASLRKNQLSVSNKLEGDLDVLQLEDVEDELVREEIILSPVSSVLKLQVVSKPIDLSVAK
+DIKTILFGSSFCCFSDEWKLQSFSFNDSVSLKYGIVQNKGGPCGVLAAVQGCVLQKLLFE
+GDSSADCARLQPSNARRTHCLALAIADIVWRAGGCERAVVTLASGTQHFSPTGKYKADGV
+LETLILHSLTCYEELVTFLQQSIHQFEAGPYGCVLLTLSAILSRSTELVRQDFDVPTSHL
+IGAHGYCTQELVNLLLTGKAVSNVFNDVVELDSGNGDVTLLKGISTRSDIGFLSLFEHYN
+VCQVGCFLKTPRFPIWVVCSESHFSVLFSQQLELLRDWRAERLFDLYYYDGLANQQEQIR
+LTVDTTQTVPEDRDNGLVPPLELCNRTKWKGASVNWNGSEPIL
+>sp|A6QQZ0|GDAP1_BOVIN Ganglioside-induced differentiation-associated protein 1 OS=Bos taurus OX=9913 GN=GDAP1 PE=2 SV=1
+MARRQDEQRGGAPLIAEGKSDAEVKLILYHWTHSFSSQKVRLVIAEKALKCEEHDVSLPL
+SEHNEPWFMRLNSTGEVPVLIHGENIICEATQIIDYLEQTFLDEKTPRLMPDKGSMYYPR
+VQHYRELLDSLPMDAYTHGCILHPELTVDSMIPAYATTRIRSQIGNTESELKKLAEENPD
+LQEAYIAKQKRLKSKLLDHDNVKYLKKILDELEKVLDQVETELQRRNEETPEEGRQPWLC
+GESFTLADVSLAVTLHRLKFLGFARRNWGNGKRPNLETYYERVLKRKTFNKVLGHVNNIL
+ISAVLPTAFRVAKKRAPKVLGTTLVVGLLAGMGYFAFMLFRKRLGSMILALRPRPNYF
+>sp|Q3MHJ7|EEPD1_BOVIN Endonuclease/exonuclease/phosphatase family domain-containing protein 1 OS=Bos taurus OX=9913 GN=EEPD1 PE=2 SV=1
+MGSTLGCHRSIPRDPSDLSHSRKFSAACNFSNILVNQERLNINTATEEELMTLPGVTRAV
+ARSIVEYREYIGGFKKVEDLALVSGVGATKLEQVKFEICVSSKGSSAQHSPSSLRRDLMA
+EQQPHHLATAAAVPLTPRVNINTATPAQLMSVRGLTEKMAVSIVDYRREHGPFRSVEDLV
+RMGGINAAFLDRIRHQVFAERSRPPSTHTNGGLTFTAKPHPSPTSLSLQSEDLDLPPGGP
+TQIISTRPSVEAFGGTRDGRPVLRLATWNLQGCSVEKANNPGVREVVCMTLLENSIKLLA
+VQELLDREALEKFCVELNQPILPNIRKWKGPRGCWKAVVSETPSTQLQKGAGFAGFLWDT
+AAGVELRDAAWQESSPGNGHGKPVGPSPYLARFKVGSHDLTLVNLHLATLTLPGGENLSK
+NHSDSHRWASFTQTLQETLKGEKDVIVLGDFGQGPDSSDYDILRKEKFHHLIPAHTFTNI
+STKNPQGSKALDNIWISKSLKKVFTGHWAVVREGLTNPWIPDNWSWGGVASEHCPVLAEF
+YTEKDWTRKEGPRSGNGLTLERSEANIKHER
+>sp|Q2T9S7|FBX7_BOVIN F-box only protein 7 OS=Bos taurus OX=9913 GN=FBXO7 PE=2 SV=1
+MKLRVRLQKRTWPLDMPEVEPTLGQLRAYLSQALPTWGYSSDARFAITLNNKDALTGDEE
+TLASYGIVSGDLLCLILEDAIAAPNLPSSTVSEHSSVQNNDQPSLATSSSQSNIQDAQLH
+DSLQGQATQSEVWNDDSVSGPGQHFEAEAVPDVVDVEEGTGYYLAEPMLCSESVEGQVPH
+SLEILYQSADCLNPCDALIVSIHLLMLESGYIPQGTEAKAVSMPQNWRLGGVYKLQYTHP
+LCEGGSAALTCVPLGNLIVINATLKINSEVRSVKRLQLLPESFICKEESGENVAMIYKDL
+QKLSRLFKDQLVYPLLAFTRQALNLPDVFGLVVLPLELKLRIFRLLDVRSVLSLSAVCRD
+LCITSNDQLLWRCLYLRDFRDGSIRGRDTDWKELYKKRYKQRKEAQRGRHVMFLPSSPHP
+IPFYPSPLHPRPFPPSSLHPPGIIGGEYDQRLTLPYVGDPINSLIPGPGETPSQFPPLRP
+RFDPVGPLPGPNPILPGRGGPSDRFPLRPSRGWPTDSRLPFM
+>sp|P12799|FIBG_BOVIN Fibrinogen gamma-B chain OS=Bos taurus OX=9913 GN=FGG PE=1 SV=1
+MSWSSHPPSVIFYILSLLSSACLAYVATRDNCCILDERFGSYCPTTCGIADFLNNYQTSV
+DKDLRTLEGILYQVENKTSEARELVKAIQISYNPDQPSKPNNIESATKNSKSMMEEIMKY
+ETLISTHESTIRFLQEVYNSNSQKIVNLRDKVVQLEANCQEPCQDTVKIHDVTGRDCQDV
+ANKGAKESGLYFIRPLKAKQFLVYCEIDGSGNGWTVFQKRLDGSLDFKKNWIQYKEGFGH
+LSPTGTGNTEFWLGNEKIHLISTQSSIPYVLRIQLEDWNGRTSTADYASFKVTGENDKYR
+LTYAYFIGGDAGDAFDGYDFGDDSSDKFFTSHNGMQFSTWDSDNDKYDGNCAEQVGIGWW
+MNKCHAGHLNGVYYQGGTYSKTSTPNGYDNGIIWATWKSRWYSMKKTTMKIIPLNRLAIG
+EGQQHQLGGAKQVGVEHHVEIEYD
+>sp|Q2TA11|CA158_BOVIN Uncharacterized protein C1orf158 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MQFLTAVSPQSSSTPSWKIETKYSTRVLTGNWTEERRKFIKATEKTPQTIYRKEYVPFPG
+HRPDQISRWYSKRTVEGLPYKYLITHHQEPSQRYLISTYDDHYNRHNYHPGLPELRTWNR
+HKLLWLPEKADFPLLGPPTNYGLYEQLKQKWLPPPEATLRESIYTSSYPRPPAGAMSRRE
+HAIPVPPPRLQPVPHF
+>sp|Q2TA43|ACTT2_BOVIN Actin-related protein T2 OS=Bos taurus OX=9913 GN=ACTRT2 PE=2 SV=1
+MFDPHILDSPAVIFDNGSGLCKAGLSGEIGPRHVVSSVVGHPKFKTPLTGANQKKYFVGE
+EALHRHEVLQLHYPIERGLITGWEDMEKLWKHLFEWELGVKANDQPVLMTEPSLNPRETR
+EKMAEVMFESFNVPAFYLSDQAVLALYASACVTGLVVDSGDGVTCTVPIFEGYSLPHAVT
+KLYVAGRDITEHLTRLLLASGRTFSCVLDKALVDDIKEKLCYVALEPDKELCRRPEEVLR
+EYKLPDGNIVPIGDQLYQAPEALFSPEKLGIQNPGLSKMVSCSITKCDADIQKTLYGEIV
+LSGGTTLFQGLDDRLLRELEQLASKGTPIKITAPPDRWFSTWIGASIVTSLSSFKQMWVT
+SADFKEFGTSVIQRRCF
+>sp|Q01314|AKT1_BOVIN RAC-alpha serine/threonine-protein kinase OS=Bos taurus OX=9913 GN=AKT1 PE=1 SV=2
+MNDVAIVKEGWLHKRGEYIKTWRPRYFLLKNDGTFIGYKERPQDLEQRESPLNNFSVAQC
+QLMKTERPRPNTFIIRCLQWTTVIERTFHVETPEEREEWTTAIQTVADGLKRQEEETMDF
+RSGSPGENSGAEEMEVSLAKPKHRVTMNDFEYLKLLGKGTFGKVILVKEKATGRYYAMKI
+LKKEVIVAKDEVAHTLTENRVLQNSRHPFLTALKYSFQTHDRLCFVMEYANGGELFFHLS
+RERVFSEDRARFYGAEIVSALDYLHSEKEVVYRDLKLENLMLDKDGHIKITDFGLCKEGI
+KDGATMKTFCGTPEYLAPEVLEDNDYGRAVDWWGLGVVMYEMMCGRLPFYNQDHEKLFEL
+ILMEEIRFPRTLSPEAKSLLSGLLKKDPKQRLGGGSEDAKEIMQHRFFASIVWQDVYEKK
+LSPPFKPQVTSETDTRYFDEEFTAQMITITPPDQDDSMEGVDSERRPHFPQFSYSASATA
+>sp|Q32P67|CI116_BOVIN UPF0691 protein C9orf116 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MSEEDPKACAEPEEPKAGPPPEKTSDWYRVSEDLPARFNNPAWFRGYRTKEPPSVYRTSN
+QAYGSRAPTVHEMPKVFYPNSYKFSRQVAVGGMFQNNTLNVYMEKSIVTGPDNYITSYDR
+LNFHPSYRVCRPSICD
+>sp|A5PKH3|FAAA_BOVIN Fumarylacetoacetase OS=Bos taurus OX=9913 GN=FAH PE=2 SV=1
+MSFVPVAEDSDFPIHNLPYGVFSTRGNPRPRIGVAIGDQILDLSVIKHLFTGPILSGHQD
+VFNKPTLNSFMGLGQAAWKEARAFLQNLLSASQARLRDDVELRQRAFTSQASATMYLPAT
+IGDYTDFYSSRHHATNVGVMFRGKENALMPNWLHLPVGYHGRASSVVVSGTPIRRPLGQM
+RPDDSKPPVYGACKLLDFELEMAFFVGPGNKLGEPIPISKAHEHIFGMVLMNDWSARDIQ
+KWEYVPLGPFLGKSFGTTISPWVVPMDALMPFAVSNPEQDPKPLPYLCHDQPYTFDINLS
+VALKGEGMSQAATICRSNFKYMYWTMLQQLTHHSVNGCNLQPGDLLASGTISGPEPESFG
+CMLELSWKGTRAVELGNGQTRKFLLDGDEVIMTGHCQGDGYRIGFGQCAGKVLPALSFA
+>sp|Q05B84|FSD1_BOVIN Fibronectin type III and SPRY domain-containing protein 1 OS=Bos taurus OX=9913 GN=FSD1 PE=2 SV=1
+MEDQKEALRKIITTLAVKNEEIQSFIYSLKQMLLNVEANSAKVQEDLEAEFQSLFSLLEE
+LKEGMLMKIKQDRASRTYELQNQLAACTRALESSEELLETANQTLLATDSKDFPQAAKQI
+KDGVTMAPAFRLSLKAKVSDNMSHLMVDFAQERRMLQALTFLPVPSAPVIDLTESLVADN
+CVTLVWRMPDEDNKIDHFVLEYRRTNFEGPPRLKEDQPWMVIEGIRQTEYTLTGLKFDMK
+YMNFRVKACNKAVSGEFSEPVTLETPAFMFRLDASTSHQNLRVDDLSVEWDAMGGKVQDI
+KAREKDGKGRTASPVNSPARGTPSPKRMPSGRGGRDRFTAESYTVLGDTLIDGGEHYWEV
+RYEPDSKAFGVGVAYRSLGRFEQLGKTAASWCLHVNNWLQVSFTAKHANKAKMLDAPVPD
+CLGVHCDFHQGLLSFYNGRTKQLLHTFKAKFTQPLLPAFTVWCGSFHVTTGLQVPSSVRC
+LQKRGSATSSSNTSLT
+>sp|O46677|GROB_BOVIN Growth-regulated protein homolog beta OS=Bos taurus OX=9913 PE=3 SV=1
+MAPAATAAAPRLLRAAMLLLLLVAAGRRAAGAPVVNELRCQCLQTLQGIHLKNIQSVKVT
+TPGPHCDQTEVIASLKTGQEVCLNPTAPMVKKIIDKMLNKASAN
+>sp|Q3T0E1|EXOS3_BOVIN Exosome complex component RRP40 OS=Bos taurus OX=9913 GN=EXOSC3 PE=2 SV=1
+MAEAAGVPAESLAGCRARAARTVLDQVVLPGEELLLPDQEDGDGPGGAGERPLRLNAAAR
+SRGRVVCGPGLRRCGDRLLVTKCGRLRHKEPGSGSGGGVYWVDSQQKRYVPVKGDHVIGI
+VTAKSGDIFKVDVGGSEPASLSYLAFEGATKRNRPNVQVGDLIYGQFVVANKDMEPEMVC
+IDSCGRANGMGVIGQDGLLFKVTLGLIRKLLAPDCEILQEVGKLYPLEIVFGMNGRIWVK
+AKTIQQTLILANILEACEHMTADQRKQIFSRLAES
+>sp|Q32L94|D42E1_BOVIN Short-chain dehydrogenase/reductase family 42E member 1 OS=Bos taurus OX=9913 GN=SDR42E1 PE=2 SV=2
+MDSHKSPKETVLITGGGGYFGFRLGCALNLLGVHVILFDISHPAQTIPEGIRFILGDIRC
+LSDIENAFQGVDVACVFHIASYGMSGREQLNRSLIEEINVGGTDNILQACRRRGVPRLVY
+TSTFNVIFGGQVIRNGDESLPYLPLHLHPDHYSRTKSIAEKKVLSANGTALERGGGVLST
+CALRPAGIYGPGEQRHLPRIVSYIEKGLFRFVYGDPKSLVEFVHVDNLVQAHILASEALK
+ANKGHIAAGQPYFISDGRPVNNFEFFRPLVEGLGYKFPSTRLPLTLIYCFAFLTEMTHFI
+LGRLYNFQPFLTRTEVYKTGVTHYFSLEKARKELGYEAQPFDLQEAVEWFKAHGHGRRPG
+SCDSKCLVWDGLVILLVVTVVLVWLLPSVILSM
+>sp|Q2KJD3|CWC15_BOVIN Spliceosome-associated protein CWC15 homolog OS=Bos taurus OX=9913 GN=CWC15 PE=2 SV=1
+MTTAARPTFEPARGGRGKGEGDLSQLSKQYSSRDLPSHTKIKYRQTTQDAPEEVRNRDFR
+RELEERERAAAREKNRDRPTREHTTSSSVSKKPRLDQIPAANLDADDPLTDEEDEDEDFE
+EESDDDDTAALLAELEKIKKERAEEQARKEQEQKAEEERIRMENILSGNPLLNLTGPSQP
+QANFKVKRRWDDDVVFKNCAKGVDDQKKDKRFVNDTLRSEFHKKFMEKYIK
+>sp|Q3ZC09|ENOB_BOVIN Beta-enolase OS=Bos taurus OX=9913 GN=ENO3 PE=2 SV=1
+MAMQKIFAREILDSRGNPTVEVDLHTAKGRFRAAVPSGASTGIYEALELRDGDKSRYLGK
+GVLKAVEHINKTLGPALLEKKLSVVDQEKVDKFMIELDGTENKSKFGANAILGVSLAVCK
+AGAAEKGVPLYRHIADLAGNPELILPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFRE
+AMRIGAEVYHHLKGVIKAKYGKDATNVGDEGGFAPNILENNEALELLKTAIQAAGYPDKV
+VIGMDVAASEFYRNGKYDLDFKSPDDPARHISGEKLGELYKNFIKNYPVVSIEDPFDQDD
+WATWTSFLSGVNIQIVGDDLTVTNPKRIAQAVEKKACNCLLLKVNQIGSVTESIQACKLA
+QSNGWGVMVSHRSGETEDTFIADLVVGLCTGQIKTGAPCRSERLAKYNQLMRIEEALGDK
+AVFAGRKFRNPKAK
+>sp|P46171|DFB13_BOVIN Beta-defensin 13 OS=Bos taurus OX=9913 GN=DEFB13 PE=1 SV=1
+SGISGPLSCGRNGGVCIPIRCPVPMRQIGTCFGRPVKCCRSW
+>sp|Q2KIX1|GA45G_BOVIN Growth arrest and DNA damage-inducible protein GADD45 gamma OS=Bos taurus OX=9913 GN=GADD45G PE=2 SV=1
+MTLEELRGQDTVPESTARMQGAGKALHELLLSAQRQGCLTAGVYESAKVLNVDPDNVTFC
+VLAADEEDEGDIALQIHFTLIQAFCCENDIDIVRVGDVQRLAAIVGTGDESGAPVDLHCI
+LISNPNEDAWKDPALEKLSLFCEESRSVNDWVPNITLPE
+>sp|P02672|FIBA_BOVIN Fibrinogen alpha chain OS=Bos taurus OX=9913 GN=FGA PE=1 SV=5
+MFSVRDLCLVLSLVGAIKTEDGSDPPSGDFLTEGGGVRGPRLVERQQSACKETGWPFCSD
+EDWNTKCPSGCRMKGLIDEVDQDFTSRINKLRDSLFNYQKNSKDSNTLTKNIVELMRGDF
+AKANNNDNTFKQISEDLRSRIEILRRKVIEQVQRIKVLQKNVRDQLVDMKRLEVDIDIKI
+RSCKGSCSRALEHKVDLEDYKNQQKQLEQVIAINLLPSRDIQYLPLIKMSTITGPVPREF
+KSQLQEAPLEWKALLEMQQTKMVLETFGGDGHARGDSVSQGTGLAPGSPRKPGTSSIGNV
+NPGSYGPGSSGTWNPGRPEPGSAGTWNPGRPEPGSAGTWNPGRPEPGSAGTWNPGRPEPG
+SAGTWNPGRPEPGSAGTWNTGSSGSSSFRPDSSGHGNIRPSSPDWGTFREEGSVSSGTKQ
+EFHTGKLVTTKGDKELLIDNEKVTSGHTTTTRRSCSKVITKTVTNADGRTETTKEVVKSE
+DGSDCGDADFDWHHTFPSRGNLDDFFHRDKDDFFTRSSHEFDGRTGLAPEFAALGESGSS
+SSKTSTHSKQFVSSSTTVNRGGSAIESKHFKMEDEAESLEDLGFKGAHGTQKGHTKARPA
+RGIHTSPLGEPSLTP
+>sp|Q5EA79|GALM_BOVIN Aldose 1-epimerase OS=Bos taurus OX=9913 GN=GALM PE=2 SV=1
+MVSVTRAVFGDLPLGAGTVEKFQLQSDQLRVDIISWGCTITALEVKDRQGRASDVVLGFD
+ELEGYLQKQPYFGAVVGRVANRIAKGTFTLDGKEYKLAINNGPNSLHGGVKGFDKVLWTP
+RVLSNGVEFSRVSPDGEEGYPGELKVWVMYTLDGGELVVNYRAQASQTTPVNLTNHSYFN
+LAGQGSPNIYDHEVTIEADAFLPVDEVLIPTGEIASVQGTAFDLRKPVELGKHLQEFHVN
+GFDHNFCLKGSKEKRFCARVHHAGSGRVLEVYTTQPGVQFYTGNFLDGTLKGKSGAGYPK
+HSGFCLETQSWPDAVNQPHFPPVLLKPGEEYDHTTWFKFSVA
+>sp|Q3T0J1|FBX4_BOVIN F-box only protein 4 OS=Bos taurus OX=9913 GN=FBXO4 PE=2 SV=1
+MAGSDPGSRGSSPQPPHSDWGRLEAAFLSGWRNFWQSVGKERAAPRASAEEVDEEASSLT
+RLPIDVQLYILSFLSPHDLCQLGSTSRYWNETVRDPILWRYFLLRDLPSWSSVDWKSLPD
+LEILKKPISEVTNGAFFDYMAVYKMCCPHTRRSSKSSRPMYGAVTSFLHSLIIQNEPRFA
+MFGPGLEELNTSLVLSLMSSEELCPTAGLPQRQIDGIGSGVSFQLNNQHKFNILILYSTT
+RKERDRAREEHTSAVNKMFSVQNEGDDQQGSRYSVIPQIQKVCEVVDGFIYVANAEAHKR
+HEWQDEFSRIMAMTDPAFGSSGRPMLVLSCISQANVKRMPCFYLAHELRLNHLNHPWMVQ
+DTEAETLTGFLNGIQWILEEVESKHAR
+>sp|Q3SZV3|EF1G_BOVIN Elongation factor 1-gamma OS=Bos taurus OX=9913 GN=EEF1G PE=2 SV=1
+MAAGTLYTYPENWRAFKALIAAQYSGAQVRVLSAPPHFHFGQTNRTPEFLRKFPAGKVPA
+FEGDDGFCVFESNAIAYYVSNEELRGSTPEAAAQVVQWVSFADSDIVPPASTWVFPTLGI
+MHHNKQATENAKEEVRRILGLLDAHLKTRTFLVGERVTLADITVVCTLLWLYKQVLEPSF
+RQAFPNTNRWFLTCINQPQFRAVLGEVKLCEKMAQFDAKKFAESQPKKDTPRKEKGSREE
+KLKPQAERKEGKEEKKAAAPAPEEELDECEQALAAEPKAKDPFAHLPKSTFVLDEFKRKY
+SNEDTLSVALPYFWDHFDKDGWSLWYSEYRFPEELTQTFMSCNLITGMFQRLDKLRKNAF
+ASVILFGTNNSSSISGVWDFRGQELAFPLSPDWQVDYESYTWRKLDPGSEETQTLVREYF
+CWEGAFQHVGKAFNQGKIFK
+>sp|Q5E9B4|EI2BB_BOVIN Translation initiation factor eIF-2B subunit beta OS=Bos taurus OX=9913 GN=EIF2B2 PE=2 SV=1
+MPGAAAKGSELSERIESFVEALKRGGGRRSSEDMARETLGLLRRIITDHRWSNAGELMEL
+IRREGRRMTAAQPSETTVGNMVRRVLRIIREEYGRLHGRSDESDQQESLHKLLTSGGLSE
+DFRSHYAQLQSNIIEAINELLVELEGTTENIAAQALEHIHSNEVIMTIGFSRTVEAFLRE
+AARKRKFHVIVAECAPFCQGHEMAVNLSKAGIETTVMTDAAIFAVMSRVNKVIIGTKTIL
+ANGALRAVAGTHTLALAAKHHSTPLIVCAPMFKLSPQFPNEEDSFHKFVAPEEVLPFTEG
+DILEKVSVHCPVFDYVPPELITLFISNIGGNAPSYIYRLMSELYHPDDHVL
+>sp|P79266|GASR_BOVIN Gastrin/cholecystokinin type B receptor OS=Bos taurus OX=9913 GN=CCKBR PE=2 SV=1
+MELLKPNRSVLGSGPGPGASLCRSGGPLLNGSGTGNLSCEPPRIRGAGTRELELAIRVTL
+YAVIFLMSVGGNVLIIVVLGLSRRLRTVTNAFLLSLAVSDLLLAVACMPFTLLPNLMGTF
+IFGTVVCKAVSYFMGVSVSVSTLSLVAIALERYSAICRPLQARVWQTRSHAARVIVATWM
+LSGLLMVPYPVYTAVQPAGPRVLQCMHRWPSARVRQTWSVLLLLLLFFVPGVVMAVAYGL
+ISRELYLGLRFDGDSDSESQSRVGSQGGLPGGTGQGPAQANGRCRSETRLAGEDGDGCYV
+QLPRSRPALEMSALTAPTPGPGSGTRPAQAKLLAKKRVVRMLLVIVVLFFLCWLPVYSAN
+TWRAFDGPGAHRALSGAPISFIHLLTYASACVNPLVYCFMHRRFRQACLDTCTRCCPRPP
+RARPRPLPDEDPPTPSIASLSRLSYTTISTLGPG
+>sp|Q5E9C2|DAD1_BOVIN Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit DAD1 OS=Bos taurus OX=9913 GN=DAD1 PE=3 SV=3
+MSASVLSVISRFLEEYLSATPQRLKLLDAYLLYILLTGALQFGYCLLVGTFPFNSFLSGF
+ISCVGSFILAVCLRIQINPQNKADFQGISPERAFADFLFASTILHLVVMNFVG
+>sp|Q2T9N7|LYZL4_BOVIN Lysozyme-like protein 4 OS=Bos taurus OX=9913 GN=LYZL4 PE=2 SV=1
+MKASVVLSLIGYLVVPSDTAVLGRCVVAKKLHEGGLSDFEGYSLENWVCLAYFESKFNPM
+AVYENSRSDFIGYGLFQIRNHDWCDHGRNRCHMSCSALLNPDLKKTIECAKTIVKGKRGM
+GAWPSWTLNCQHSDTLARWLDGCKL
+>sp|P62261|1433E_BOVIN 14-3-3 protein epsilon OS=Bos taurus OX=9913 GN=YWHAE PE=2 SV=1
+MDDREDLVYQAKLAEQAERYDEMVESMKKVAGMDVELTVEERNLLSVAYKNVIGARRASW
+RIISSIEQKEENKGGEDKLKMIREYRQMVETELKLICCDILDVLDKHLIPAANTGESKVF
+YYKMKGDYHRYLAEFATGNDRKEAAENSLVAYKAASDIAMTELPPTHPIRLGLALNFSVF
+YYEILNSPDRACRLAKAAFDDAIAELDTLSEESYKDSTLIMQLLRDNLTLWTSDMQGDGE
+EQNKEALQDVEDENQ
+>sp|P79132|CAV1_BOVIN Caveolin-1 OS=Bos taurus OX=9913 GN=CAV1 PE=2 SV=1
+MSGGKYVDSEGHLYTVPIREQGNIYKPNNKAMAEEMNEKQVYDAHTKEIDLVNRDPKHLN
+DDVVKIDFEDVIAEPEGTHSFDGIWKASFTTFTVTKYWFYRLLSALFGIPMALIWGIYFA
+ILSFLHIWAVVPCIKSFLIEIQCISRVYSIYVHTFCDPLFEAIGKIFSNIRINTQKEI
+>sp|Q9TTS6|CCL11_BOVIN Eotaxin OS=Bos taurus OX=9913 GN=CCL11 PE=3 SV=1
+MKVSAVLLCLLLTATLCSIQVLAQPASIPTICCFNMSKKKISIQRLQSYRKITSSKCPQK
+AVIFNTKQNKKICVDPQEKWVQNAMEYLNQKSQTLKS
+>sp|Q8SQ19|CREB3_BOVIN Cyclic AMP-responsive element-binding protein 3 OS=Bos taurus OX=9913 GN=CREB3 PE=1 SV=2
+MSHMELALDPGDHDLLGFLLEESGGLGAAPDEALTSPPDWELPLSESLSDWDVEDFLSCL
+PSPPAVLNVFSNSDPCLVQHDHTYSLSQEHVSIDLDNESYEKERAQMTPLRVEEPADQEI
+ARLILTEEEKRLLEKEGLTLPGMLPLTKMEEQVLKRVRRKIRNKKSAQESRRKKKVYVGG
+LESRVLKYTAQNLELQNKVQLLEEQNLSLLDQLRRLQAMVIQTANKASSSSTCVLVLLFS
+FCLLLVPAMYSSDTRGSLPAEHRVLSRQLRALPSEDPPQLEPPALQSEVPKDSLNPELQA
+ASNSCCLFHLMPQAPRAEPPLQLPLPDGFSGCSCPDSISPLHANLTREEGWLPTPSPTSV
+ILQGRYSG
+>sp|E1BF81|CBG_BOVIN Corticosteroid-binding globulin OS=Bos taurus OX=9913 GN=SERPINA6 PE=3 SV=1
+MLPTLYTCLLWLSTSGLWTVQAKGSDTDMSTRNPHRDLAPNNVDFAFTLYKHLVASAPGK
+NVFISPVSISTALAMLSLGARGYTREQLLQGLGFNLTEMSEGEIHRAFRHLHHLLRESNT
+TLDMTMGNALFLDHSLELLESFSADTKHYYELEALTTDFQDWAGASRQINEYIKNKTQGN
+IVDLFSESDSSATLILVNYIFFKGMWAHSFDLESTREENFHVNEATTVQVPMMFQSNTIK
+YLNDSVLPCQLVQLDYTGNETVFFVLPVKGKMDSVITALSRDTIQRWSKSLTMSQVDLYI
+PKISISGAYDLGGIMGDMGIADLLSNRTHFSGITQEALPKVSKVVHKAALQVDEKGLEAD
+APTRVSLSAAPGPLTLRFNRPFIIMIFDDFTWSSLFLGKVVNPT
+>sp|Q3SZI7|COG6_BOVIN Conserved oligomeric Golgi complex subunit 6 OS=Bos taurus OX=9913 GN=COG6 PE=2 SV=1
+MAEGSGEVVVVPATGAANGLNNGAGGTSAQTSNPLSRKLRKILDTRLDNDKDMLEALKAL
+STFFVENSLRTRRNLRGDIERRSLAINEEFVSIFKEVKEELESIHEDVQAMSSCCQDMTS
+RLQAAKEQTQDLIVKTTKLQAESQRLEIRAQVADAFLSKFQLTSDEMSLLRGTREGPITE
+DFFKALGRVKQIHNDVKVLLRTNQQTAGLEIMEQMALLQETSYERLYRWAQSECRTLTQE
+SCDISPVLTQAMEALQDRPVLYKYTLDEFGTARRSTVVRGFIDALTRGGPGGTPRPIEMH
+SHDPLRYVGDMLAWLHQATASEKEHLEALLKHVTAQGVEENIQEVVGHITEGVCRPLKVR
+IEQVIVAEPGAVLLYKISNLLKFYHHTISGIIGNSATTLLTTIEEMHLLSKKIFFNSLSL
+HASKLMDKVELPPPDLGPSSALNQTLVLLREVLASHDSSVVPLDARQADFVQVLSCVLDP
+LLQMCTVSASHLGTADMATFMVNSLYMMKTTLALFEFTDRRLEMLQFQIEAHLDTLINEQ
+ASYVLTRAGLSYIYNMVQQHKVEQGPLANLPNLDSVALKAAMVQFDRYLSAPDNLLMPQL
+NFLLSATVKEQIIKQSTELVCRAYGEVYAAVMNPVNEYKDPGSILHRSPQQVQTLLS
+>sp|O18968|CXB1_BOVIN Gap junction beta-1 protein OS=Bos taurus OX=9913 GN=GJB1 PE=2 SV=1
+MNWTGLYTLLSGVNRHSTAIGRVWLSVIFIFRIMVLVVAAESVWGDEKSSFICNTLQPGC
+NSVCYDHFFPISHVRLWSLQLILVSTPALLVAMHVAHQQHIEKKMLRLEGHGDPLHLEEV
+KRHKVHISGTLWWTYVISVVFRLLFEAAFMYVFYLLYPGYAMVRLVKCDAYPCPNTVDCF
+VSRPTEKTIFTVFMLAASGICIILNVAEVVYLIFRACARRAQRRSNPPSRKGSGGFGHRL
+SPEYKQNEINKLLSEQDGSLKDILRRSPGTGAGLAEKSDRCSAC
+>sp|P56966|GGPPS_BOVIN Geranylgeranyl pyrophosphate synthase OS=Bos taurus OX=9913 GN=GGPS1 PE=1 SV=2
+MEKTQETVQRILLEPYKYLLQLPGKQVRTKLSQAFNHWLKVPEDKLQIIIEVTEMLHNAS
+LLIDDIEDNSKLRRGFPVAHSIYGIPSVINSANYVYFLGLEKVLTLNHPDAVKLFTRQLL
+ELHQGQGLDIYWRDNYTCPTEEEYKAMVLQKTGGLFGLAVGLMQLFSDYKEDLKPLLDTL
+GLFFQIRDDYANLHSKEYSENKSFCEDLTEGKFSFPTIHAIWSRPESTQVQNILRQRTEN
+IDIKKYCVHYLENVGSFEYTRNTLKELESKAYKQIDARGGNPELVALIKHLSKMFKEENE
+>sp|Q7YRZ7|GRAA_BOVIN Granzyme A OS=Bos taurus OX=9913 GN=GZMA PE=2 SV=1
+MNIPFPFSFPPAICLLLIPGVFPVSCEGIIGGNEVAPHTRRYMALIKGLKLCAGALIKEN
+WVLTAAHCDLKGNPQVILGAHSTSHKEKLDQVFSIKKAIPYPCFDPQTFEGDLQLLQLEG
+KATMTKAVGILQLPRTEDDVKPHTKCHVAGWGSTKKDACQMSNALREANVTVIDRKICND
+AQHYNFNPVIDLSMICAGGRKGEDDSCEGDSGSPLICDNVFRGVTSFGKCGNPQKPGIYI
+LLTKKHLNWIKKTIAGAI
+>sp|Q2HJ47|DENR_BOVIN Density-regulated protein OS=Bos taurus OX=9913 GN=DENR PE=2 SV=1
+MAADISESGGHDCRGDQRSNTKLDADYPLRVLYCGVCSLPTEYCEYMPDVAKCRQWLEKN
+FPNEFAKLTVENSPKQEAGISEGQGTAGEEEEKKKQKRGGRGQIKQKKKTVPQKVTIAKI
+PRAKKKYVTRVCGLATFEIDLKEAQRFFAQKFSCGASVTGEDEIIIQGDFTDDIIDVIQE
+KWPEVDDDSIEDLGEVKK
+>sp|Q11126|FUT3_BOVIN Galactoside 3(4)-L-fucosyltransferase OS=Bos taurus OX=9913 GN=FUT3 PE=2 SV=2
+MYPPGCAKVKCSWHHCLPGLLLQLLLALCFFSYLRMSQEKPKPKPMWVSELGAPSQATEG
+SSAHLPLRVLLWTWPFNQPVALSRCSELWPGTADCQLTVNRSEYPQADAVLVHHREVSHR
+PQMQLPPSPRPPGQRWVWFSMESPSNCLKLKDLDGYFNLTMSYRRDSDIFMPYGWLEPWP
+SQPVETLLNISAKTKLVAWVVSNWNTDSIRVQYYKLLKPHLQVDVYGRFHTPLPHALMAK
+QLSQYKFYLAFENSLHPDYITEKLWKNALQAWAVPVVLGPSRVNYEQFLPPKAFIHVEDF
+QSPKDLAQYLLALDKDYASYLNYFRWRETLRPRSFSWALMFCKACWKLQQEPRYQTVPSI
+ASWFQ
+>sp|Q3Y598|FOXA3_BOVIN Hepatocyte nuclear factor 3-gamma OS=Bos taurus OX=9913 GN=FOXA3 PE=2 SV=1
+MLGSVKMEAHDLAEWSYYPEAGEVYSPVTPVPTMAPLNSYMTLNPLSSPYPPGGLPASPL
+PTGPLAPPAPTAPLGPTFPGLGASTGGGSSSGYGGPGPGLVHGKEMPKGYRRPLAHAKPP
+YSYISLITMAIQQAPGKMLTLSEIYQWIMDLFPYYRENQQRWQNSIRHSLSFNDCFVKVA
+RSPDKPGKGSYWALHPSSGNMFENGCYLRRQKRFKLEEKVKKGGGGSSASRNSAGSASTA
+TAPAATVASTPQPQPPPPEPEAQGGDEVGALDCGSPAAPSTPYFTGLELPGELKLDAPYN
+FNHPFSINNLMSEQSPAPPKLDVGFGGYGAEGGEPGVYYQGLYSRSLLNAS
+>sp|P85091|CYFP1_BOVIN Cytoplasmic FMR1-interacting protein 1 (Fragments) OS=Bos taurus OX=9913 GN=CYFIP1 PE=1 SV=1
+MYLTPSEKRINLSKVHPTDKLADQIFAYYKEGERDGKDEIIKNVPLKRIRK
+>sp|Q2NL01|GPX8_BOVIN Probable glutathione peroxidase 8 OS=Bos taurus OX=9913 GN=GPX8 PE=2 SV=1
+MEPLTAYPLRCSGPKAKAFAVLLSMVLCTVMLFLLQLKFLKPKINSFYTFEVKDANGRVV
+SLEKFKGKVALVVNVASDCQLTDRNYLALQELHKEFGPFHFSVLAFPCNQFGESEPRPSK
+EVVSFARNNFGVTFPIFHKIKILGSEAEPAFRFLVDSSKKEPRWNFWKYLVNPEGQVVKT
+WRPEEPIEVIRPEIAALIRQMIIKKKEDL
+>sp|Q3T0K9|DAZP2_BOVIN DAZ-associated protein 2 OS=Bos taurus OX=9913 GN=DAZAP2 PE=2 SV=1
+MNSKGQYPTQPTYPVQPPGNPVYPQTLHLPQAPPYTDAPPAYSELYRPSFVHPGAATVPT
+MSAAFPGASLYLPMAQSVAVGPLGSTIPMAYYPVGPIYPPGSTVLVEGGYDAGARFGAGA
+TAGNIPPPPPGCPPNAAQLAVMQGANVLVTQRKGNFFMGGSDGGYTIW
+>sp|O18815|DFBC7_BOVIN Beta-defensin C7 (Fragment) OS=Bos taurus OX=9913 PE=2 SV=1
+LALLFLVLSAGSGISGPLSCRRKGGICILIRCPGPMRQIGTCFGRPVKCCRSW
+>sp|A0JND9|ENTP8_BOVIN Ectonucleoside triphosphate diphosphohydrolase 8 OS=Bos taurus OX=9913 GN=ENTPD8 PE=2 SV=1
+MGLTWKQRVFTALLGAAAVSGLTALLLVLVGTMNVLLPPDTKFGIVFDAGSSHTSLFVYQ
+WPANKENDTGIVSQALACQAEGPGISSYASNPARVGESLQGCLEEALALIPKAKHHETPM
+FLGATAGMRLLSRKNRSQAEDVFAAVSRALGQSPVDFRGAELLTGQDEGAFGWITINYIL
+GLLVKYSFSGEWIRPLEETLVGALDMGGASTQITFVPGGPILDKTAQATFRLYGADHTVY
+THSYLCFGRDQALSRVLAELVQASTGLLIRHPCYHSGYRGTLALASLYESPCAPAAPPDL
+SQNLTVEGTGNPGACVEALRKLFNFSSCDGREDCAFAGVYQPPVQGQFYAFSNFYYTFNF
+LNLTSKPSLSGANATIWEFCLRPWKLVEASAPPGQDRWLRDYCASGLYILTLLVEGYGFS
+EETWGGIEFRQQAGGADIGWTLGYMLNLTNLIPAEAPAQGWAQSFGVWAAGVVFVVLTLA
+ATLGAVAVQVFWLQD
+>sp|Q05B51|FDX2_BOVIN Ferredoxin-2, mitochondrial OS=Bos taurus OX=9913 GN=FDX2 PE=2 SV=1
+MPVMAASVAWGGVNAGFLLRAARGAWWSRPGGFWGSGEAAAPAIARKFRATGSRPAGEEE
+AGGPERPGDVVNVVFVDRSGQRIPVSGRVGDNVLHLAQRHGLDLEGACEASLACSTCHVY
+VSEDHLDLLPPPDEREDDMLDMAPLLQENSRLGCQIVLTPELEGAEFTLPKITRNFYVDG
+HVPKPH
+>sp|P15396|ENPP3_BOVIN Ectonucleotide pyrophosphatase/phosphodiesterase family member 3 OS=Bos taurus OX=9913 GN=ENPP3 PE=1 SV=2
+MQSTLNLSTEEPVKRNTVKKYKIICIVLLILLVAVSLALGLVAGLRQQEEQGSCRKKCFD
+ASHRGLEGCRCDVGCKGRGDCCWDFEDTCVQSTQIWTCNKFRCGETRLESSLCSCSDDCL
+QRKDCCADYKSVCQGETSWVDEDCSTAQQPQCPEGFDLPPVILFSMDGFRAEYLQTWSTL
+VPNINKLKTCGVHSQYLRPAYPTKTFPNHYTIVTGLYPESHGIIDNNMYDINLNKNFSLS
+SKEKDNPAWWQGQPIWLTAMYQGLKVGTYFWPGSDVAINGTFPSIYKIYNRSVTYEERIF
+TLLKWLDLPKAERPDFYTIYVEEPDSQGHNYGPVSAGVIQALQLVDKTFGLLMEGLKQRN
+LVNCVNIILLADHGMDQTYCDKLEYMADYFSSINFYMFEGPAPRIRTRNIPQDFFTFNSE
+EIVRNLSCRKPDQHFKPYLSPDLPKRLHFAKNVRIDKVNLLVDRQWQAVRNRAYSYCGGG
+NHGYDNEFKSMEAIFLAHGPSFKQKTEVEPFDNIEVYNLLCDLLHIQPAPNNGTHGSLNH
+LLKVPFYEPSHAEELSKFSVCGFTVPLPTDTLGCSCSRLQTNSDLERVNQMLDLTQDEIT
+ATEKLNLPFGRPRLIQKNKEPCLLYHREYVSGFDKTLRMPLWSSYTVPKPGDTSPLPPTV
+PDCLRADVRVAPSESQNCSFSLADKNITHGFLYPPANNRTSNSQYDALITSNLVPMYEAF
+KTMWNYFHSVLLVKYAMERNGVNVVSGPVFDYDYDGHFDAPDEIADYAVNTSVPIPTHYF
+VVLTSCKNQSQTPDACTGWLDVLPFVIPHRPTNVESCPENKSESLWVEERFNVHTARVRD
+VELLTGLDFYQEKAQPVSEILQLKTYLPVFETVI
+>sp|A6QLJ0|ERCC2_BOVIN General transcription and DNA repair factor IIH helicase subunit XPD OS=Bos taurus OX=9913 GN=ERCC2 PE=2 SV=1
+MKLNVDGLLVYFPYDYIYPEQFSYMLELKRTLDAKGHGVLEMPSGTGKTVSLLALIMAYQ
+RAYPLEVTKLIYCSRTVPEIEKVIEELRKLLSFYEKQEGEKLPFLGLALSSRKNLCIHPE
+VTPLRFGKDVDGKCHSLTASYVRAQYQRDSSLPHCRFYEEFDVHGRQVPLPTGIYNLDDL
+KAVGRRQGWCPYFLARYSILHANVVVYSYHYLLDPKIADLVSKELARKAVVVFDEAHNID
+NVCIDSMSVNLTRRTLDRCQANLETLQKTVLRIKETDEQRLREEYRRLVEGLREASAARE
+TDAHLANPVLPDEVLKEAVPGSIRTAEHFLGFLRRLLEYVKWRLRVQHVVQESPPAFLSG
+LAQRVCIQRKPLRFCAERLRSLLYTLEISDLTDFSPLTLLANFATLVSTYAKGFTIIIEP
+FDDRTPTIANPILHFSCMDASLAIKPVFERFQSVIITSGTLSPLDIYPKILDFHPVTMAT
+FTMTLARVCLCPMIIGRGNDQVAISSKFETREDIAVIRNYGNLLLEMSAVVPDGIVAFFT
+SYQYMESTVASWYEQGILENIQRNKLLFIETQDGAETSVALEKYQEACENGRGAILLSVA
+RGKVSEGIDFVHHYGRAVIMFGVPYVYTQSRILKARLEYLRDQFQIRENDFLTFDAMRHA
+AQCVGRAIRGKTDYGLMVFADKRFARADKRGKLPRWIQEHLTDANLNLTVDEGVQVAKYF
+LRQMAQPFHREDQLGLSLLSLEQLESEETLRRIEQIAQQL
+>sp|Q2KHT6|FBX32_BOVIN F-box only protein 32 OS=Bos taurus OX=9913 GN=FBXO32 PE=2 SV=1
+MPFLGQDWRSPGQSWVKTADGWKRFLDEKSGSFVSDLGSYCSKEVYNKENLFNSLNYDVA
+AKKRKKDMLNSKTKTQYFHQEKWIYVHKGSTKERHGYCTLGEAFNRLDFSTAILDSRRFN
+YVVRLLELIAKSQLTSLSGIAQKNFMNILEKVVLKVLEDQQNIRLIRELLQTLYTSLCTL
+VQRVGKSVLVGNINMWVYRMETILHWQQQLNNIQITRPAFKGLTFTDLPLCLQLNIMQRL
+SDGRDLVSLGQVAPDLHVLSEDRLLWKKLCQYHFSERQIRKRLILSDKGQLDWKKMYFKL
+ARCYPRKEQYGDTLQLCRHCHILSWKGTDHPCTANNPESCSISLSPQDFINLFKF
+>sp|Q2T9P0|EFCB3_BOVIN EF-hand calcium-binding domain-containing protein 3 OS=Bos taurus OX=9913 GN=EFCAB3 PE=2 SV=1
+MAVSEIKAKFKLNPLTKVPNFHSRRDKDLPGLLPCQLQHKERKLSPSQMRAFQDAYNFFN
+KDKTGCIDLHGMMCTLAKLGMNLTKHDVHNELRCADIDQDGKVNFSDFLKVLTDKNRFLK
+AVVPEKGTCLDLAGNPGILLFEILSKLIETSALPKKAISEIVSYFRRKFQETTSGMVWSP
+DTTGYGKRRFKPDICTPPSSSTAAFANAARIAIMKEKDLFKFLDELKKCNPPSDSPYSKI
+PIFPLFPNVDGVVMGKPFKDIQKLEMLKRKEPLNFFENYFFHKKDWKTQEANIKPVDPTS
+GYTTDILTIDQMLKRKQNWTVADAAAIKPHVKRATETYNLGIALEHRKQMLNLWRKIRGD
+LIGIESKNESFYDTFSTYTWSWNVCQELLSPKDLKLYDAHVNRNALHNSVFSSSSDISEC
+DTDTGRKRKRKGFKGFRQ
+>sp|A5D9C6|MAF1_BOVIN Repressor of RNA polymerase III transcription MAF1 homolog OS=Bos taurus OX=9913 GN=MAF1 PE=2 SV=1
+MKLLENSSFEAINSQLTVETGDAHIIGRIESYSCKMAGDDKHMFKQFCQEGQPHVLEALS
+PPQTSGLSPSRLSKSQGGEDEGPLSDKCSRKTLFYLIATLNESFRPDYDFSTARSHEFSR
+EPSLSWVVNAVNCSLFSAVREDFKALKPQLWNAVDEEICLAECDIYSYNPDLDSDPFGED
+GSLWSFNYFFYNKRLKRIVFFSCRSISGSTYTPSEAGNELDMELGEEDEEEEEESGGGGS
+EGGPEEPGTMEEDRVPVICM
+>sp|Q3T105|GALE_BOVIN UDP-glucose 4-epimerase OS=Bos taurus OX=9913 GN=GALE PE=2 SV=2
+MAEKVLVTGGAGYIGSHTVLELLEAGYSPMVIDNFHNAIRGGGSMPESLRRVQDLTGRSV
+EFEEMDILDQAALQRLFKKHSFMAVIHFAGLKAVGESVQKPLDYYRVNLTGTIQLLEIMR
+AHGVKNLVFSSSATVYGNPQYLPLDEAHPTGGCTNPYGKSKFFIEEMIRDLCQADKAWNA
+VLLRYFNPIGAHASGCIGEDPQGIPNNLMPYVSQVAIGRREVLNVFGNDYDTEDGTGVRD
+YIHVVDLAKGHIAALRKLKEQCGCRIYNLGTGTGYSVLQMVQAMEKASGKKIPYKVVARR
+EGDVAACYANPSLALKELGWSAALGLDRMCEDLWRWQKQNPSGFGTQA
+>sp|Q4JIJ3|METH_BOVIN Methionine synthase OS=Bos taurus OX=9913 GN=MTR PE=2 SV=1
+MAPTLQDLTPSAGMKKTLQDEIEAILQERIMVLDGGMGTMIQRHKLSEEDFRGQEFKDHA
+RPLKGNNDILSITQPNVIYQIHKEYLLAGADIIETNTFSSTSIAQADYGLEHLAYRMNMC
+SAGVARKAAEDISLQTGIKRYVAGALGPTNKTLSVSPSVERPDYRNITFDELVEAYKEQA
+KGLLDGGVDILLIETIFDTANAKAALFAVQKLFEEEYVPRPVFISGTIVDKSGRTLSGQT
+GEAFVISVSHADPLCIGLNCALGAAEMRPFIETIGKCTTAYVLCYPNAGLPNTFGDYDET
+PHVMAMHLKDFAVDGLVNIVGGCCGTTPDHIREIAEAVKNCKPRVPPATVFEGHMLLSGL
+EPFRIGPYTNFVNIGERCNVAGSRRFAKLIMAGNYEEALSVAKMQVEMGAQVLDINMDDG
+MLDGPSAMTRFCNFIASEPDIAKVPLCIDSSNFAVIEAGLKCCQGKCIVNSISLKEGEDD
+FLEKARKIKKFGAAVVVMAFDEEGQATETDPKIRVCTRAYHLLLKKLGFNPNDIIFDPNI
+LTIGTGMEEHNLYAVNFINATKVIKETLPGAKVSGGLSNLSFSFRGMEAIREAMHGVFLY
+HAIKFGMDMGIVNAGSLPVYDDIHKELLQLCEDLIWNRDPEATEKLLHYAQTQGKGGKKV
+IQTDEWRNGPLEERLEYALVKGIEKYIIEDTEEARLNQEKYPRPLNIIEGPLMNGMKIVG
+DLFGAGKMFLPQVIKSARVMKKAVGHLIPFMEKEREETKVLTGKIEDEDPYQGTIVLATV
+KGDVHDIGKNIVGVVLGCNNFRVIDLGVMTPCDKILKAALDHKADIIGLSGLITPSLDEM
+IFVAKEMERLAIKIPLLIGGATTSRTHTAVKIAPRYSAPVIHVLDASKSVVVCSQLLDEN
+LKDEYFEEILEEYEDIRQDHYESLKERRYLTLRQARENGFHIDWLSEPPPVKPTFLGTRV
+FEDYDLQKLVDYIDWKPFFDVWQLRGKYPNRGFPKIFDDKTVGEEAKKVYDDAQNMLQAL
+ISQKKLQARGVVGFWPAQSIQDDIHLYAEGAVPQASEPIATFYGLRQQAEKDSASSDPYL
+CLSDFIAPLHSGIPDYLGLFAVACFGVEELSKAYEEECDDYSSIMVKALGDRLAEAFAEE
+LHERARRELWGYCSGEQLAVADLRRLRYEGIRPAPGYPSQPDHTEKLTVWRLADVEQRTG
+IRLTESLAMAPASAVSGLYFSNLKSKYFAVGKISKDQIEDYASRKNMSVAEVEKWLGPIL
+GYDTD
+>sp|P06624|MIP_BOVIN Lens fiber major intrinsic protein OS=Bos taurus OX=9913 GN=MIP PE=1 SV=1
+MWELRSASFWRAICAEFFASLFYVFFGLGASLRWAPGPLHVLQVALAFGLALATLVQAVG
+HISGAHVNPAVTFAFLVGSQMSLLRAICYMVAQLLGAVAGAAVLYSVTPPAVRGNLALNT
+LHPGVSVGQATIVEIFLTLQFVLCIFATYDERRNGRLGSVALAVGFSLTLGHLFGMYYTG
+AGMNPARSFAPAILTRNFTNHWVYWVGPVIGAGLGSLLYDFLLFPRLKSVSERLSILKGS
+RPSESNGQPEVTGEPVELKTQAL
+>sp|P98131|LYAM1_BOVIN L-selectin OS=Bos taurus OX=9913 GN=SELL PE=2 SV=1
+MLCPWKCQNAQRGLWNVFKLWVWIMLCCDFFAHHGTDCWTYHYSKRPMPWEKARAFCREN
+YTDLVAIQNKGEIEYLNKTLPFSRTYYWIGIRKVEGVWTWVGTNKSLTEEAKNWGAGEPN
+NRKSKEDCVEIYIKRNKDSGKWNDDACHKAKTALCYTASCKPWSCSGHGQCVEVINNYTC
+NCDLGYYGPECQFVTQCVPLEAPKLGTMACTHPLGNFSFMSQCAFNCSKGTDMIGVEETT
+CAPFGNWSSPEPTCRVIQCEPLTEPDLGTMDCNHPLVDFGFSSTCTFSCSEEAELTGEKK
+TICGLSGNWSSPSPRCQKINRTISINEESDYNPLFIPVAVMVTAFSGLAFIIWLARRLKR
+KSKKVSEKHG
+>sp|Q28199|CD5R1_BOVIN Cyclin-dependent kinase 5 activator 1 OS=Bos taurus OX=9913 GN=CDK5R1 PE=1 SV=1
+MGTVLSLSPSYRKATLFEDGAATVGHYTAVQNSKNAKDKNLKRHSIISVLPWKRIVAVSA
+KKKNSKKVQPNSSYQNNITHLNNENLKKSLSCANLSTFAQPPPAQPPAPPASQLSGSQTG
+VSSSVKKAPHPAVSSAGTPKRVIVQASTSELLRCLGEFLCRRCYRLKHLSPTDPVLWLRS
+VDRSLLLQGWQDQGFITPANVVFLYMLCRDVISSEVGSDHELQAVLLTCLYLSYSYMGNE
+ISYPLKPFLVESCKEAFWDRCLSVINLMSSKMLQINADPHYFTQVFSDLKNESGQEDKKR
+LLLGLDR
+>sp|Q3ZBF8|CERS2_BOVIN Ceramide synthase 2 OS=Bos taurus OX=9913 GN=CERS2 PE=2 SV=1
+MLQTLHDYFWWERLWLPVNLTWADLEDRDGRVYAKASDLYITLPLALLFLIIRYFFELYV
+ATPLAALLNVKEKTRLRAPPNPTLEHFYMTSGKQPKQADVELLSRQSGLSGRQVERWFRR
+RRNQDRPSLLKKFREASWRFTFYLIAFIAGTAVIVDKPWFYDLRKVWEGYPIQSIIPSQY
+WYYMIELSFYWSLLFSIASDVKRKDFKEQIIHHVATIILISFSWFANYVRAGTLIMALHD
+SSDYLLESAKMFNYAGWKNTCNNIFIVFAIVFIITRLVILPFWILHCTLVYPLELYPAFF
+GYYFFNFMMGVLQLLHIFWAYLILRMAHKFITGKVVEDERSDREETESSEGEEAAAGGGA
+KNRPLANGHPILNNNHRKND
+>sp|A7MB45|ACSS3_BOVIN Acyl-CoA synthetase short-chain family member 3, mitochondrial OS=Bos taurus OX=9913 GN=ACSS3 PE=2 SV=1
+MKPSWLQCRKVTGAGGLGGSLPASSPARGAGPARRAYVAPGPRGALGGRGCRALSSGGGE
+YKTHFAASVTDPERFWGKAAEQISWYKPWTKTLENRHSPSTSWFVEGMLNICYNAIDRHI
+ENGKGDKIAIIYDSPVTNTKATITYKEVLEQVSKLAGVLVKHGVKKGDTVVIYMPMIPQA
+MYAMLACARIGAIHSLIFGGFASKELSTRIDHAKPKLVITASFGIEPGRKVEYVPLVEEA
+LRIGQHKPDKVLIYNRPHTDMVPLAPGYYLDWDEELSKAQSHDCVPVLSEHPLYILYTSG
+TTGLPKGVVRPTGGYAVMLNWSMSSIYGLKPGEVWWAASDLGWVVGHSYICYGPLLHGNT
+TVLYEGKPVGTPDAGAYFRVLAEHGVAALFTAPTAIRAIRQQDPGAALGKQYSLTRFKTL
+FVAGERCDVETLEWSKKVFRVPVLDHWWQTETGSPITASCIGLGNSKTPPPGQAGKSVPG
+YNVMILDDNMQKLKARCLGNIVVKLPLPPGAFSGLWKNQEAFKHLYFEKFPGYYDTMDAG
+YMDEEGYVYVMSRVDDVINVAGHRISAGALEESILSLGIVADCAVVGKEDSLKGHIPLAL
+CVLKKDINTTEEHVLEEIVKHVRQTIGPVAAFRKAVFVKQLPKTRSGKIPRSTLSALVNG
+KPYKVSPTIEDPGIFEHIEAMLKQAS
+>sp|P30932|CD9_BOVIN CD9 antigen OS=Bos taurus OX=9913 GN=CD9 PE=2 SV=2
+MPVKGGTKCIKYLLFGFNFIFWLAGIAVLSVGLWLRFDSQTKSIFEQENNDSSFYTGVYI
+LIGAGALMMLVGFLGCCGAVQESQCMLGLFFSFLLVIFAIEVAAAIWGYSHKEEVIKEVQ
+KFYEDTYNKLKNKDEPQRETLKAIHIALDCCGLTGVPEQFLTDTCPPKNLIDSLKTRPCP
+EAIDEIFRSKFHIIGAVGIGIAVVMIFGMVFSMILCCAIRRNRDMV
+>sp|A6H7I3|ANGE2_BOVIN Protein angel homolog 2 OS=Bos taurus OX=9913 GN=ANGEL2 PE=2 SV=1
+MEAWRCVRRGYGRCVVGRGRYPMLPHHQKSLGRDWTTPWENLQKCCWNRHISSCMRWPGH
+YSRAPYPYFSSRHFSLNWRPPGLFESRAPFQYWNWRPDSLSQTSLFHLSSYIMNSEGDEP
+SSKRRKHQGTIQRHWEYICNHNKENTKILGDENVDPICEDSENKFEFSVMSYNILSQDLL
+EDNSHLYKHCRRPVLHWSFRFPNILKEIKHFDADVLCLQEVQEDHYGTEIRPSLESLGYH
+CEYKMRTGRKPDGCAICFKHSKFSLLSVNPVEFYRRDVPLLDRDNVGLVLLLQPKIPSAT
+SPAICVANTHLLYNPRRGDIKLTQLAMLLAEISSVAHQKDGRFCPIVMCGDFNSVPGSPL
+YSFIKEGKLNYEGLAIGKVSGQEQSSRGQRILSIPIWPPNLGISQNCVYEVQQVPKVEKP
+DGDLTQPELDKTEVLVTAEKLSSNLQHHFSLSSVYSHYLPDTGIPEVTTCHSRSAVTVDY
+IFYSAEKEGVAEQPGAEVALVGGLKLLARLSLLTEQDLWTVNGLPNENNSSDHLPLLAKF
+RLEL
+>sp|Q5E9N0|BYST_BOVIN Bystin OS=Bos taurus OX=9913 GN=BYSL PE=2 SV=1
+MPKFKAARGAGVQEKHAPLAEQILAGDAVRAGTREKRRGRGTGDEEEEYVGPRLTRRILQ
+QARQQQEELEAEHGSGDRPAVPRERTTRLGPGVPQDGSDDEEWPTLEQAAARAGPGYQAE
+VVVDPEDERAIEMFMNQNPPARRTLADIIMEKLTEKQTEVETVMSEVSGFPVPQLDPRVL
+EVYRGVREVLSKYRSGKLPKAFKIIPALSNWEQILYITEPEAWTAAAMYQATRIFASNLK
+ERMAQRFYNLVLLPRVRDDIAEYKRLNFHLYMALKKALFKPGAWFKGILIPLCESGTCTL
+REAIIVGSIITKCSIPVLHSSAAMLKIAEMEYSGANSIFLRLLLDKKYALPYRVLDALVF
+HFLGFRTEKRELPVLWHQCLLTLVQRYKADLATEQKEALLELLRLQPHPQLSPEIRRELQ
+SAVPRDVEDVPVTME
+>sp|P62739|ACTA_BOVIN Actin, aortic smooth muscle OS=Bos taurus OX=9913 GN=ACTA2 PE=1 SV=1
+MCEEEDSTALVCDNGSGLCKAGFAGDDAPRAVFPSIVGRPRHQGVMVGMGQKDSYVGDEA
+QSKRGILTLKYPIEHGIITNWDDMEKIWHHSFYNELRVAPEEHPTLLTEAPLNPKANREK
+MTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVTHNVPIYEGYALPHAIMRL
+DLAGRDLTDYLMKILTERGYSFVTTAEREIVRDIKEKLCYVALDFENEMATAASSSSLEK
+SYELPDGQVITIGNERFRCPETLFQPSFIGMESAGIHETTYNSIMKCDIDIRKDLYANNV
+LSGGTTMYPGIADRMQKEITALAPSTMKIKIIAPPERKYSVWIGGSILASLSTFQQMWIS
+KQEYDEAGPSIVHRKCF
+>sp|Q2KJ96|ARL5A_BOVIN ADP-ribosylation factor-like protein 5A OS=Bos taurus OX=9913 GN=ARL5A PE=2 SV=1
+MGILFTRIWRLFNHQEHKVIIVGLDNAGKTTILYQFSMNEVVHTSPTIGSNVEEIVINNT
+RFLMWDIGGQESLRSSWNTYYTNTEFVIVVVDSTDRERISVTREELYKMLAHEDLRKAGL
+LIFANKQDVKGCMTVAEISQFLKLTSIKDHQWHIQACCALTGEGLCQGLEWMMSRLKIR
+>sp|A6H7J2|AROS_BOVIN Active regulator of SIRT1 OS=Bos taurus OX=9913 GN=RPS19BP1 PE=2 SV=1
+MSAALLRRGLELLGAPEAPGAAPGHTKPSQAPMKRTRKAKATQAQKLRNSAKGKVPKSAL
+AEFRKKERRGYLGVNLRFMTSARSTVDESVTRQIMRQNRGRKACDRPVTKTKKKKKAEGT
+VFTEEDFQKFQREYFGS
+>sp|Q08DA8|ATF1_BOVIN Cyclic AMP-dependent transcription factor ATF-1 OS=Bos taurus OX=9913 GN=ATF1 PE=2 SV=1
+MEDSHKSNTSETAPQSGSTVQAAHISHIAQQVSSLSESEESQDSSDSIGSSQKTHGILAR
+RPSYRKILKDLSSEDIRGRKGDGENPGVSAVTSMSVPTPIYQTSTGQYIAIAPNGALQLA
+SPGTDGVQGLQTLTMTNSGSTQQGTTILQYAQTSDGQQILVPSNQVVVQTASGDMQTYQI
+RTTPSATSLPQTVVMTSPVTLTSQTSKTDDPQLKREIRLMKNREAARECRRKKKEYVKCL
+ENRVAVLENQNKTLIEELKTLKDLYSNKSV
+>sp|P33097|AATC_BOVIN Aspartate aminotransferase, cytoplasmic OS=Bos taurus OX=9913 GN=GOT1 PE=1 SV=3
+MAPPSIFAEVPQAQPVLVFKLTADFREDPDPRKVNLGVGAYRTDDSQPWVLPVVRKVEQR
+IANDSSINHEYLPILGLAEFRTCASRLALGDDSPALQEKRVGGVQCLGGTGALRIGAEFL
+ARWYNGTNNKDTPVYVSSPTWENHNGVFIAAGFKDIRSYHYWDAAKRGLDLQGFLNDLEK
+APEFSIFVLHACAHNPTGTDPTPEQWKQIASVMKRRFLFPFFDSAYQGFASGSLEKDAWA
+IRYFVSEGFELFCAQSFSKNFGLYNERVGNLTVVAKEPDSILRVLSQMEKIVRITWSNPP
+AQGARIVARTLSDPELFNEWTGNVKTMADRILTMRSELRARLEALKTPGTWNHITEQIGM
+FSFTGLNPKQVEYLINEKHIYLLPSGRINMCGLTTKNLEYVATSIHEAVTKIQ
+>sp|Q2M2T6|ASTER_BOVIN Protein Asterix OS=Bos taurus OX=9913 GN=WDR83OS PE=3 SV=1
+MSANNMSDPRRPNKVLRYKPPPSECNPALDDPTPDYMNLLGMIFSMCGLMLKLKWCAWVA
+VYCSFISFANSRSSEDTKQMMSSFMLSISAVVMSYLQNPQPMTPPW
+>sp|E1BEQ5|AMOL1_BOVIN Angiomotin-like protein 1 OS=Bos taurus OX=9913 GN=AMOTL1 PE=3 SV=1
+MLMLHVKRNTCEHTFKCPPPACYSPSSPVQILEDPSYFFPDFQLYPGRHEASLTVEANSS
+IREKVVEDPLCNFHPPNFPRIPEVEMRGSEDAAAGTVLQRLIQEQLRYGTPTENMNLLAI
+QHQATGSAGPAHPTNFSSTENLAQEDPQMVYQSARQEPQGQEHQVDNTVMEKQVRSAQPQ
+QNNEELPTYEEAKAQSQFFRGQQPPPPPPQQQPGAVGHSYYMAGGASQKARTEGRPTVSR
+ANSGQAHKDEALKELKQGHVRSLSERIMQLSLERNGAKQHLPGPGNGKAFKAGGGPSPAQ
+PAAKMLDPRGPPPEYPFKTKQMVSPVSKTQEHGLFYSDQHPGLLHEMVKPYPAPQPARTE
+VAVLRYQPPPEYGVTSRPCQLPFPSTAQQHSPVSSQNSSVSGPLHSVPLPLAPPMALGAA
+PPPPAASPSQQLGPDAFAIVERAQQMVEILTEENRVLHQELQGYYDNADKLHKFEKELQR
+ISEAYESLVKSTTKRESLDKAMRNKLEGEIRRLHDFNRDLRDRLETANRQLSSREYDGHE
+DRAAEGLYASQNKEFLKEKEKLEMELAAVRTASEDHRRHIEILDQALSNAQARVIKLEEE
+LREKQAYVEKVEKLQQALTQLQSACEKREQMERRLRTWLERELDALRTQQKHGNSQPASL
+PEYNAPALMELVREKEERILALEADMTKWEQKYVEESAIRHFAMSAAATAAAERDTTIVN
+HSRNGSYGESSLEAHIWQEEEEVVQATRRCQDMEYTIKNLHAKIIEKDAMIKVLQQRSRK
+DAGKTDSSSLRPARSVPSIAAATGTHSRQTSLTSSQLAEERKEEKTWKGSIATGLLLGKE
+HNDHASTPLLPTPSAATLSPPTPGTSASSAHAKTGSKDSSTQTDKSAELFWPGVASLPTR
+SRLSGTPSNSPVLKHPAAKGTAEKLENSPGHGKSPDHKGRVSNLLHKPEFPDGEMMEVLI
+>sp|P01260|CALC_BOVIN Calcitonin OS=Bos taurus OX=9913 GN=CALCA PE=1 SV=1
+CSNLSTCVLSAYWKDLNNYHRFSGMGFGPETP
+>sp|P02465|CO1A2_BOVIN Collagen alpha-2(I) chain OS=Bos taurus OX=9913 GN=COL1A2 PE=1 SV=2
+MLSFVDTRTLLLLAVTSCLATCQSLQEATARKGPSGDRGPRGERGPPGPPGRDGDDGIPG
+PPGPPGPPGPPGLGGNFAAQFDAKGGGPGPMGLMGPRGPPGASGAPGPQGFQGPPGEPGE
+PGQTGPAGARGPPGPPGKAGEDGHPGKPGRPGERGVVGPQGARGFPGTPGLPGFKGIRGH
+NGLDGLKGQPGAPGVKGEPGAPGENGTPGQTGARGLPGERGRVGAPGPAGARGSDGSVGP
+VGPAGPIGSAGPPGFPGAPGPKGELGPVGNPGPAGPAGPRGEVGLPGLSGPVGPPGNPGA
+NGLPGAKGAAGLPGVAGAPGLPGPRGIPGPVGAAGATGARGLVGEPGPAGSKGESGNKGE
+PGAVGQPGPPGPSGEEGKRGSTGEIGPAGPPGPPGLRGNPGSRGLPGADGRAGVMGPAGS
+RGATGPAGVRGPNGDSGRPGEPGLMGPRGFPGSPGNIGPAGKEGPVGLPGIDGRPGPIGP
+AGARGEPGNIGFPGPKGPSGDPGKAGEKGHAGLAGARGAPGPDGNNGAQGPPGLQGVQGG
+KGEQGPAGPPGFQGLPGPAGTAGEAGKPGERGIPGEFGLPGPAGARGERGPPGESGAAGP
+TGPIGSRGPSGPPGPDGNKGEPGVVGAPGTAGPSGPSGLPGERGAAGIPGGKGEKGETGL
+RGDIGSPGRDGARGAPGAIGAPGPAGANGDRGEAGPAGPAGPAGPRGSPGERGEVGPAGP
+NGFAGPAGAAGQPGAKGERGTKGPKGENGPVGPTGPVGAAGPSGPNGPPGPAGSRGDGGP
+PGATGFPGAAGRTGPPGPSGISGPPGPPGPAGKEGLRGPRGDQGPVGRSGETGASGPPGF
+VGEKGPSGEPGTAGPPGTPGPQGLLGAPGFLGLPGSRGERGLPGVAGSVGEPGPLGIAGP
+PGARGPPGNVGNPGVNGAPGEAGRDGNPGNDGPPGRDGQPGHKGERGYPGNAGPVGAAGA
+PGPQGPVGPVGKHGNRGEPGPAGAVGPAGAVGPRGPSGPQGIRGDKGEPGDKGPRGLPGL
+KGHNGLQGLPGLAGHHGDQGAPGAVGPAGPRGPAGPSGPAGKDGRIGQPGAVGPAGIRGS
+QGSQGPAGPPGPPGPPGPPGPSGGGYEFGFDGDFYRADQPRSPTSLRPKDYEVDATLKSL
+NNQIETLLTPEGSRKNPARTCRDLRLSHPEWSSGYYWIDPNQGCTMDAIKVYCDFSTGET
+CIRAQPEDIPVKNWYRNSKAKKHVWVGETINGGTQFEYNVEGVTTKEMATQLAFMRLLAN
+HASQNITYHCKNSIAYMDEETGNLKKAVILQGSNDVELVAEGNSRFTYTVLVDGCSKKTN
+EWQKTIIEYKTNKPSRLPILDIAPLDIGGADQEIRLNIGPVCFK
+>sp|Q58DK1|CPT1B_BOVIN Carnitine O-palmitoyltransferase 1, muscle isoform OS=Bos taurus OX=9913 GN=CPT1B PE=2 SV=1
+MAEAHQAVAFQFTVTPEGVDFQLSREVLKHIYLSVIRSWKKRLIRIKNGILRGVYPGSPT
+SWLVVVMATAGSSYYNVDISMGLVYYIQRWLPEGRPYRTPYTRTLFSMAIFSTGVWMMGI
+FFFRQTLKLLLSYHGWMFELHGQTSHLTRVWAVCVRLLSGRRPMLYSFQTSLPKLPVPSV
+PATVHRYLESVEHLLDDEQYYRMETLAKEFEEKTAPRLQKYLVLKSWWATNYVSDWWEEY
+VYLRGRNPIVVNSNYYVMDLVLVKNTDVQAARLGNAVHAMITYRRKLDREEIKPVMALGL
+VPMCSYQMERMFNTTRIPGKDTDVLQHLPDSRHVAVYHKGRFFKVWLYEGSRLLKPRDLE
+MQFQRILDDPSPPQPGEERLAALTAGGRVEWAQARQAFFSSGKNKAALDAIERAAFFVAL
+DEESHHYDPEDEASLSLYGKALLHGNCYNRWFDKSFTLISFKNGQLGLNTEHAWADAPII
+GHLWEFVLGTDSFHLGYTETGHCLGKPNPVLPPPQRLQWDIPKQCQAVIESSYQVAKALA
+DDVELYCFQFLPFGKGLIKKCRTSPDAFVQIALQLAHFRDRGKFCLTYEASMTRMFREGR
+TETVRSCTRESTAFVQAMVQGRHLNEDLQRLFRKAAEKHQNMYRLAMTGAGIDRHLFCLY
+VVSKYLGVESPFLAEVLSEPWRLSTSQIAQFQIRMFDPNKYPKHLGAGGGFGPVADDGYG
+VSYMIAGENTIFFHVSSKFSSSETNAQRFGNQIRQALLDIANLFQVPKADG
+>sp|Q0VCN0|CLD18_BOVIN Claudin-18 OS=Bos taurus OX=9913 GN=CLDN18 PE=2 SV=1
+MSTTRCQVVGFLLSILGLAGCIVATEMDMWSTQDLYDNPVTAVFQYEGLWRSCVQQSSGF
+TECRPYLTILGLPAMLQAVRALMIVGIVLSVIGLLVAIFALKCIRMGNMDDSAKAKMTLT
+SGIMFIIAGLCAIAGVSVFANMLVTNFWMSTASMFTSMGGMVQTVQTRYTFGAALFVGWV
+AGGLTLIGGVLMCIACRGLAPEETNYKAVSYHASGHNVAYRPGGFKASSGFESNTRNKKI
+YDGGARTEDEGQSPPSKYDYV
+>sp|Q0VCQ1|CTBP2_BOVIN C-terminal-binding protein 2 OS=Bos taurus OX=9913 GN=CTBP2 PE=1 SV=1
+MALVDKHKVKRQRLDRICEGIRPQIMNGPLHPRPLVALLDGRDCTVEMPILKDLATVAFC
+DAQSTQEIHEKVLNEAVGAMMYHTITLTREDLEKFKALRVIVRIGSGYDNVDIKAAGELG
+IAVCNIPSAAVEETADSTICHILNLYRRNTWLYQALREGTRVQSVEQIREVASGAARIRG
+ETLGLIGFGRTGQAVAVRAKAFGFSVLFYDPYLQDGTERSLGVQRVYTLQDLLYQSDCVS
+LHCNLNEHNHHLINDFTIKQMRQGAFLVNAARGGLVDEKALAQALKEGRIRGAALDVHES
+EPFSFAQGPLKDAPNLICTPHTAWYSEQASLEMREAAATEIRRAITGRIPESLRNCVNKE
+FFVTTAPWSVIDQQAIHPELNGATYRYPPGIVGVAPGGLPAAMEGIIPGGIPVTHNLPTV
+AHPSQAPSPNQPTKHGDNREHPNEQ
+>sp|Q3ZCK8|COA5_BOVIN Cytochrome c oxidase assembly factor 5 OS=Bos taurus OX=9913 GN=COA5 PE=3 SV=1
+MPRYYEDKPEGGACAGVKEDLGLCLLQSDCVLKEGKSPRQCLKEGNCKALKYSFFECKRS
+MLDARSRFRGRKGY
+>sp|Q17R10|AF1L2_BOVIN Actin filament-associated protein 1-like 2 OS=Bos taurus OX=9913 GN=AFAP1L2 PE=2 SV=1
+MERFKALEQLLTELDDFLRILDQENLSSTAVVKKSGLAELLRLYTKSSSSDEEYIYMNKV
+TVHKQQNAESQDKAPEQQNPLTNGEPPQPSSAPQKSLPDLPPPKMIPERKQLSVPKIESP
+EGYYEEAEPYDTSLNEDGEAVSSSYESYDEEESSKGKSAPHQWPSPEASIELMRDARICA
+FLWRKKWLGQWAKQLCVIKDTRLLCYKSSKDHSPQLDVSLLGSSVVHKEKQVRKKEHKLK
+ITPLNADVIVLGLQSRDQAEQWLRVIQEVSGLPSEGACEGSQFTPDAQRLSCPKPDITEK
+YLSASECGSPIDGHPEVPETKDVKKKCSAGLKLSNLMNLGRKKSTSLEPPDRSLETSSYL
+NVLVNSQWKSRWCSVRDSHLYFYQDRNRSKAAQQPLSLLGCEVVPDPSPDHLYSFRILHN
+GEELAKLEAKSSEEMGHWLGLLLSESGSKTDPEEFTYDYVDADRVSCIVSAAKTSLLLMQ
+RKFSEPNTYIDGLPSQDRQELLYDDVEVSELTTAGEAPEEATPATDAPGEPDPDRVYLDL
+TPIKSFLHGDSGARAPSPTPPHQDPPAETLPLPEDSDPAPDEPLIKSPENPELQMQQESQ
+EPEEPSLGGTEVKLQAGQQKTSPSPSCPDTVAVTPAGSSPPVKDRLKAASPEIKLGKNRT
+EAEVKRYTEEKERLEKKKEEIRGHLAQLRREKRELKETLLKCTDKGAAASLEQKLREVDE
+ECRVEERRRVDLELSIVEVKDSLRKAEAGPVTLGTTVDTTHLESVSPRPKAATPTPAPDC
+TPVNSATALKNRPLSVMVTGKGTVLQKAKEWEKKGAS
+>sp|O46406|AOCY_BOVIN Primary amine oxidase, lung isozyme OS=Bos taurus OX=9913 PE=2 SV=1
+MFIFIFLSLWTLLVMGREEGGVGSEEGVGKQCHPSLPPRCPSRSPSDQPWTHPDQSQLFA
+DLSREELTAVMSFLTQKLGPDLVDAAQARPSDNCIFSVELQLPPKAAALAHLDRRSPPPA
+REALAIVFFGGQPQPNVTELVVGPLPQPSYMRDVTVERHGGPLPYYRHTVLLREYLDIDQ
+MIFNRELPQAAGVLHHCCSYKQGGGNLVTMTTAPPGLQSGDRATWFGLYYNISKAGYYLH
+PVGLELLVDHKALDPAQWTIQKVFFQGRYYESLVQLEEQFEAGRVNVVVIPNNGTGGSWS
+LKSQVPPGPTPPLQFHPQGTRFSVQGSRVTSSLWTFSFGLGAFSGPRIFDIRFQGERLAY
+EISLQEAVAIYGGNTPAAMLTRYMDACFGMGKFATPLTRGVDCPYLATYVDWHFLLESQA
+PKTLHDAFCVFEQNKGLPLRRHHSDFISQYFGGVVETVLVFRSVSTLLNYDYVWDMVFHP
+NGAIEVKFHVTGYISSAFFFGTAQKYGNQVRENTLGTVHTHSAHYKVDLDVGGLENWVWA
+EDMAFVPTTVPWSPEHQIQRLQVIRKQLETEEQAAFPLGGGSPRYLYLASKQSNKWGHPR
+GYRIQTVSFAGRPLPQNSSTERAISWGRYQLAVTQRKETEPSSSSVFNQNDPWTPTVDFA
+DFINNETIAGKDLVAWVTAGFLHIPHAEDIPNTVTVGNGVGFFLRPYNFFDQEPSMDSAD
+SIYFREGQDAGSCEINPLACLPQAATCAPDLPVFSHGGYPEY
+>sp|Q24JY8|C2AIL_BOVIN CDKN2AIP N-terminal-like protein OS=Bos taurus OX=9913 GN=CDKN2AIPNL PE=3 SV=1
+MVGGEAAAAVEELISGVRRATDFAEQFRSYSESEKQWKARMEFILRHLPDYRDPPDGGGR
+LDQLLSLSMVWANHLFLGCSYNKDLLDKVMEMADGIEVEDLPQFTTRSELMKKHQS
+>sp|A2VE04|FRRS1_BOVIN Ferric-chelate reductase 1 OS=Bos taurus OX=9913 GN=FRRS1 PE=2 SV=1
+MTAPGFTVSAFILLLHVSFVANYPSGKVTKSCGGMIPEHGHTPQSHPAHNISVSQKTFRP
+GDQIKVTLSGPPFKGFLLEARDAENLSGPPVGSFTLIDSHVSQLLTCEDVQGSAVSHRSP
+SKKTEIKVFWDAPSGAPNHITFLATVVEKYKIYWVKIPGPVISQPNVPPFTTPEATIAPM
+PTVPSVSHLTRSFNASDCGNKKFCIRSPLNCDPEKERACVLLSFTRDDQSVMVEMSGPSK
+GYLSVAFSHDRWMGDDDAYVCILEDQIVHIQPSHLTGRSHPIMDFGDPLEDMAWRLVDGV
+MQCSFRRNITLPGVKNRFDLNASYYIFVADGAAVDGRIHKHSQQPLITYEKYNVTGDPKN
+IGGSHSLLLLKVHGALMFVAWMTTVSVGVLIARFFKPVWSKALFGDAAWFQVHRTLMLTT
+SALTFIAFLLPFIYRGGWNWHAGYHPYLGFIVMVLAVLQLLLAAFRPPLHDPRRQMFNWT
+HWSMGTAARIIAVAAMFLGMDLPGLNLPGPWKTYAMIGFVAWHVGTEIILEIHAYRLSRK
+VEILDDDRIQILQSFTAAEAEGYVFKKVVLAIYVCGNLTFLTMFLSAINRL
+>sp|Q9XSK0|CRX_BOVIN Cone-rod homeobox protein OS=Bos taurus OX=9913 GN=CRX PE=1 SV=1
+MMAYMNPGPHYSVNALALSGPSVDLMHPAVSYPSAPRKQRRERTTFTRSQLEELEALFAK
+TQYPDVYAREEVALKINLPESRVQVWFKNRRAKCRQQRQQQKQQQQPPGAQAKARPAKRK
+AGTSPRSSTDVCPDPLGISDSYSPPLPGPSGSPTTAVATVSIWSPASESPLPEAQRAGLV
+ASGPSLTSAPYAMTYAPASAFCSSPSAYGSPSSYFSGLDPYLSPMVPPLGGPALSPLSGP
+SVGPSLTQSPTSLSGQSYGTYSPVDSLEFKDPTGTWKFTYNPMDPLDYKDQSAWKFQIL
+>sp|Q28181|CNGB1_BOVIN Cyclic nucleotide-gated cation channel beta-1 OS=Bos taurus OX=9913 GN=CNGB1 PE=1 SV=1
+MLGWVQRVLPQPPGTPQKTKQEEEGTEPEPELEPKPETAPEETELEEVSLPPEEPCVGKE
+VAAVTLGPQGTQETALTPPTSLQAQVSVAPEAHSSPRGWVLTWLRKGVEKVVPQPAHSSR
+PSQNIAAGLESPDQQAGAQILGQCGTGGSDEPSEPSRAEDPGPGPWLLRWFEQNLEKMLP
+QPPKISEGWRDEPTDAALGPEPPGPALEIKPMLQAQESPSLPAPGPPEPEEEPIPEPQPT
+IQASSLPPPQDSARLMAWILHRLEMALPQPVIRGKGGEQESDAPVTCDVQTISILPGEQE
+ESHLILEEVDPHWEEDEHQEGSTSTSPRTSEAAPADEEKGKVVEQTPRELPRIQEEKEDE
+EEEKEDGEEEEEEGREKEEEEGEEKEEEEGREKEEEEGEKKEEEGREKEEEEGGEKEDEE
+GREKEEEEGRGKEEEEGGEKEEEEGRGKEEVEGREEEEDEEEEQDHSVLLDSYLVPQSEE
+DRSEESETQDQSEVGGAQAQGEVGGAQALSEESETQDQSEVGGAQDQSEVGGAQAQGEVG
+GAQEQDGVGGAQDQSTSHQELQEEALADSSGVPATEEHPELQVEDADADSRPLIAEENPP
+SPVQLPLSPAKSDTLAVPGSATGSLRKRLPSQDDEAEELKMLSPAASPVVAWSDPTSPQG
+TDDQDRATSTASQNSAIINDRLQELVKLFKERTEKVKEKLIDPDVTSDEESPKPSPAKKA
+PEPAPEVKPAEAGQVEEEHYCEMLCCKFKRRPWKKYQFPQSIDPLTNLMYILWLFFVVLA
+WNWNCWLIPVRWAFPYQTPDNIHLWLLMDYLCDLIYLLDITVFQMRLQFVRGGDIITDKK
+EMRNNYVKSQRFKMDMLCLLPLDLLYLKFGVNPLLRLPRCLKYMAFFEFNNRLESILSKA
+YVYRVIRTTAYLLYSLHLNSCLYYWASAYEGLGSTHWVYDGVGNSYIRCYYWAVKTLITI
+GGLPDPRTLFEIVFQGLNYFTGVFAFSVMIGQMRDVVGAATAGQTYYRSCMDSTVKYMNF
+YKIPRSVQNRVKTWYEYTWHSQGMLDESELMVQLPDKMRLDLAIDVNYSIVSKVALFQGC
+DRQMIFDMLKRLRSVVYLPNDYVCKKGEIGREMYIIQAGQVQVLGGPDGKSVLVTLKAGS
+VFGEISLLAVGGGNRRTANVVAHGFTNLFILDKKDLNEILVHYPESQKLLRKKARRMLRN
+NNKPKEKSVLILPPRAGTPKLFNAALAAAGKMGAKGGRGGRLALLRARLKELAALEAAAR
+QQQLLEQAKSSEDAAVGEEGSASPEQPPRPEPPAPEAPAPEPTAPEPLAPEAPAPEAPAP
+SSPPPASQERPEGDKDAARPEEHPVRIHVTLGPDPSEQILLVEVPEKQEEKEKKEEETEE
+KEEGEEARKEKEEE
+>sp|P04975|CLCB_BOVIN Clathrin light chain B OS=Bos taurus OX=9913 GN=CLTB PE=1 SV=1
+MADDFGFFSSSESGAPEAAEEDPAAAFLAQQESEIAGIENDEGFGAPAGSQGGLAQPGPA
+SGASEDMGATVNGDVFQEANGPADGYAAIAQADRLTQEPESIRKWREEQRKRLQELDAAS
+KVMEQEWREKAKKDLEEWNQRQSEQVEKNKINNRIADKAFYQQPDADIIGYVASEEAFVK
+ESKEETPGTEWEKVAQLCDFNPKSSKQCKDVSRLRSVLMSLKQTPLSR
+>sp|Q99004|AMELY_BOVIN Amelogenin, Y isoform OS=Bos taurus OX=9913 GN=AMELY PE=2 SV=1
+MGTWILFACLLGAAYSMPLPPHPGHPGYINFSYEVLTPLKWYQNMLRYPYPSYGYEPVGG
+WLHHQIIPVVSQQSPQNHALQPHHHNPMVPAQQPVVPQQPMMPVPGQHSMTPIQHHQPNL
+PLPAQQSFQPQPIQPQPHQPLQPQPPVHPIQRLPPQPPLPPIFPMQPLPPVLPDLPLEAW
+PATDKTKREEVD
+>sp|Q0VCN6|CSTN3_BOVIN Calsyntenin-3 OS=Bos taurus OX=9913 GN=CLSTN3 PE=2 SV=2
+MTPLLFPLLLASLLPSSSCNKANKHKPWIEAEYQGIVMENDNTVLLNPPLFALDKDAPLR
+YAGEICGFRLHGSGVPFEAVILDKATGEGLIRAKEPVDCEAQKEHTFTIQAYDCGEGPDG
+ANTKKSHKATVHVRVNDVNEFAPVFVERLYRAAVTEGKLYDRILRVEAIDGDCSPQYSQI
+CYYEILTPNTPFLIDNDGNIENTEKLQYSGEKLYKFTVTAYDCGKKRAADDAEVEIQVKP
+TCKPSWQGWNKRIEYAPGAGSLALFPGIRLETCDEPLWNIQATIELQTSHVAKGCDRDNY
+SERALRKLCGAAPGEVDLLPMPGPNANWTAGLSVHYSQDSSLIYWFNGTQAVQVPLGGAA
+GLGSGPPDSLSDHFTLSFWMKHGVTPNKGKKEEETIVCNTVQNEDGFSHYSLTVHGCRIA
+FLYWPLLESARPVKFLWKLEQVCDDEWHHYALNLEFPTVTLYADGISFDPALIHDNGLIH
+PPRREPALMIGACWAEEKNKEKEKGGDNSTDATAGDPLPIHHYFHGYLAGFSVRSGRLES
+REVIECLYACREGLDYRDFESLGKGMKVHVNPSQSLLTLEGDDVETFNHALQHVAYMNTL
+RFATPGVRPLRLTTAVKCFSEESCVSIPEVEGYVVVLQPDAPQILLSGTAHFARPAVDFE
+GPEGVPLFPDLQITCSISHQVEAKKDESWQGTVTDTRMSDEIVHNLDGCEISLVGDDLDP
+ERESLLLDMASLQQRGLELTNTSAYLTIAGVESITVYEEILRQARYRLRHGAALYARKFR
+LSCSEMNGRYSSNEFIVEVSVLHSVNRVAHPSHMLSSQQFLHRGHQPPPEMAGHSLASSH
+RNSMVPSAATLIIVVCVGFLVLMVVLGLVRIHSLHRRVSGASGPPGASSDPKDPDLFWDD
+SALTIIVNPMESYQSRQVCVAGAAGGQQDDEDSSDSEAADSPSSDERRIIETPPHRY
+>sp|Q2KIX5|ECSCR_BOVIN Endothelial cell-specific chemotaxis regulator OS=Bos taurus OX=9913 GN=ECSCR PE=2 SV=1
+MGSVRETQLRWAILGFLLLQAASETPSQFSTEAMTLSSSTVADHLPSSPGPTWSQSQKHT
+SGLSADVPSSGRSSDSMSGDTSHNVTSTSPNMSFRTTADSTVPPSPTSETVLTVAAFGVI
+SFIAILVVVVIVLVSVVSLRFKCRKNKESEDPQKPGSSGLSESGSTANGEKESITLISMK
+NINMNNSKGCPSAEKVL
+>sp|Q1LZB0|DDRGK_BOVIN DDRGK domain-containing protein 1 OS=Bos taurus OX=9913 GN=DDRGK1 PE=2 SV=1
+MVSPVVYLVVAALLVGLILFLTRGRGRAAAAAQEPLHNEEVPAAAGRVARPQPLEPEEQR
+AAGRPRRRRDLGSRLQAQRRAQRVAWADENEEEAIIQAQEEEDIEKPVETHLSGKIGAKK
+LRKLEEKQARKAQREAEEAEREERKRLESQREAEWKKEEERLRLEEEQKEEEERKAQEEQ
+AQREHEEYLKLKETFVVVEEGVGETMTEEQSHSFLAEFINYIKQSKVVLLEDLASQVGLR
+TQDTINRIQDLLAEGTLTGVIDDRGKFIYITPEELAAVANFIRQRGRVSITELAQASNSL
+IAWGRETPAQAPA
+>sp|P80195|GLCM1_BOVIN Glycosylation-dependent cell adhesion molecule 1 OS=Bos taurus OX=9913 GN=GLYCAM1 PE=1 SV=2
+MKFLCVLLLASLAATSLAILNKPEDETHLEAQPTDASAQFIRNLQISNEDLSKEPSISRE
+DLISKEQIVIRSSRQPQSQNPKLPLSILKEKHLRNATLGSEETTEHTPSDASTTEGKLME
+LGHKIMRNLENTVKETIKYLKSLFSHAFEVVKT
+>sp|Q3T0L2|ERP44_BOVIN Endoplasmic reticulum resident protein 44 OS=Bos taurus OX=9913 GN=ERP44 PE=2 SV=1
+MIPGIFLSLPDLRCSLLLLVTWVFTPVTAEIISLDTENIDDILNNADVALVNFYADWCRF
+SQMLHPIFEEASNVIKEEYPNANQVVFARVDCDQHSDIAQRYRISKYPTLKLFRNGMMMK
+REYRGQRSVKALADYIRQQKSDPIQELHDLAEITTPDRSKRNIIGYFEQKDSENYRVFER
+VANILHDDCAFLAAFGVVSKPERYSGDNIVYKPPGHSAPDMVYLGSMTNFDGTYNWIQDK
+CVPLVREITFENGEELTEEGLPFLILFHMKEDTESLEIFQNEVARQLISEKGTINFLHAD
+CDKFRHPLLHIQKTPADCPVIAIDSFRHMYVFGDFRDVLIPGKLKQFVFDLHSGKLHREF
+HHGPDPTDTAPGEEVQDVASSPPESSFQKLAPSEYRYTLLRDRDEL
+>sp|Q3MHH0|DCA12_BOVIN DDB1- and CUL4-associated factor 12 OS=Bos taurus OX=9913 GN=DCAF12 PE=2 SV=2
+MARKAVSRKRKAPALPGAGSDAQDPQFGWDHSLHKRKRLPPVKRSLVYYLKNREVRLQNE
+TSYSRVLHGYAAQQLPSLLKEREFHLGTLNKVFASQWLNHRQVVCGTKCNTLFVVDVQTS
+QITKIPILKDREPGCVTQQGCGIHAIELNPSRTLLATGGDNPNSLAIYRLPTLDPVCVGD
+DGHKDWIFSIAWISDTMAVSGSRDGSMGLWEVTDDVLTKSDARHNVSRVPVYSHITHKAL
+KDIPKEDTNPDNCKVRALAFNNKNKELGAVSLDGYFHLWKTENTLSKLLSTKLPYCRENV
+CLAYGNEWSVYAVGSQAHVSFLDPRQPSYNVKSVCSRERGSGIRSVSFYEHIITVGTGQG
+SLLFYDIRAQRFLEEKLSACYGSKPKLAGENLKLTTGKGWLNHDETWRNYFSDIDFFPNA
+VYTHCYDSSGTKLFVAGGPLPSGLHGNYAGLWS
+>sp|Q08DV6|ASB3_BOVIN Ankyrin repeat and SOCS box protein 3 OS=Bos taurus OX=9913 GN=ASB3 PE=2 SV=1
+MDFTEAYADTCSTVGLAAREGNVKVLRKLLKKGRSIDVADNRGWMPIHEASYHNSVECLR
+MLIRADSSENYIKTKTFEGFCALHLAASQGHWKIIQILLEAGADPNATTLEETTPLFLAV
+ENGQIDVLRLLLRYGANVNGSHSMCGWNALHQASFQGNAEIIKLLLKKGANKECQDDFGI
+TPLFVAAQYGKLESLSILISSGADVNCQALDKATPLFIAAQEGHTECVELLLSSGADPDL
+YCNEDNWQLPIHAAAQMGHTKILDLLIPLTNRVCDTGPNKVSPVYSAVLGGHEECLEMLL
+QSGYSPDAQMCLVFGFSSPLCMAFQKDCDFFGIVNILLKYGAQLNELHLAYCLKYERFSV
+FRYFLKKCCPSTPWDHISEFINHAIKAQTKYKEWLPSLLLAGFDPLNLLCSSWIDSVSDD
+ILIFTLEFTNWRRLPPAVEKMLSARASNSSWALQQHIASVPSLTHLCRLEIWSHLKLEHL
+QSDGFIRQLPLPRSLHDYLLYAEVLRMNEVPELAVFQDGEISETT
+>sp|P0C6R2|ARMC2_BOVIN Armadillo repeat-containing protein 2 OS=Bos taurus OX=9913 GN=ARMC2 PE=4 SV=1
+MLSPNDKKLEKLDPFYLPSLSKQKTSAEIVSEARNALRTVRTQRPFTPREDQRKLFGPAS
+SRTPENRPPSSFSVHASSFEFSDSRPISGTRLSPLEFKPKAPASPDTVEDFCLSFPKPPV
+DPAKIRRISSARARLFRVASQGALLPARTLLPTQPTRVESEETVTTRDSVVKINGIYLTE
+SKAIGHLKRHPLQLTYDGDFSKITEQEMFKGATSVPFHLRSGGDQGKRRPRASSSSRSPD
+QSRLDIRAGSKADLQEKNTEIEVDEVFWNTRIVPILHDLEKEENIEMVCATCTQLHHALE
+EGNMLGNKFKRRSVLLKTLYKLVDVGSDLLSLKLAKIILALKVSGKNLLNVCKLIFKISR
+SEKNDSLIRNDSILESLLEVLRSEDLQANTEAFLYCMGTIKFISGNPEFLHEMMGKGAVE
+ILMSLIKQVNENTKKSGTCLPNSGHLLVQMTATLRNLVDSPLARSKLLSINALPQLCTVM
+EQHIGDKDVCTNIARIFSKLTSYHDCCVALASYSRCYALFLNLINKYQKKQDLVVRVVFI
+LGNLTAKNNQAREQFSKEKGSIPTLLSLFHTFYKLDLHSGKRWGEGDERPEARRPAQAED
+VLIKLTRVLANLAIHPGVGPAIAAHSHIVGLLLATLESKSIDDCEELVINTTATINNLSY
+YKVKNSIIQDRKLYIAELLLKLLVSNNMDGILEAVRVFGNLSQDHDICDFIVQKNVHKFM
+IALLDAKHQDICFSACGVLLNLTVDRDKRLILKEGGGIKKLVDCLRDFGPTDWQLASLVC
+KTLWNFSENITNAASCFGDEAANTLLALLSSFLDEELALNGSFDQDLKNYHKLHWETEFR
+PVAQQLLNRIKNHHTFLEPLPIPSF
+>sp|Q2KJ64|ARGI1_BOVIN Arginase-1 OS=Bos taurus OX=9913 GN=ARG1 PE=2 SV=1
+MSSKPQSIGVIGAPFSKGQPRGGVEEGPTVLRKAGLLEKLKELECDVKDYGDLSFADNLD
+DSPFQIVKNPRCVGKASEKLADVVAEVKKTGRISLVLGGDHSLAIGSISGHARVHPDLCV
+IWVDAHTDINTPLTTKTGNLHGQPVSFLLKELKEKMPEVPGFYWVAPCISAKDIVYIGLR
+DVDPGEHYILKTLGIKYFSMTEVDKLGIGKVMEETFSYLLGRKKRPIHLSFDVDGLDPSF
+TPATGTPVQGGLTYREGLYITEEIYKTGLLSGLDIMEVNPSLGKTPEEVTRTVNTTVAIT
+MACFGVAREGNHKPIDYLSPPK
+>sp|Q8HXK9|ASC_BOVIN Apoptosis-associated speck-like protein containing a CARD OS=Bos taurus OX=9913 GN=PYCARD PE=2 SV=1
+MGCTRDAILDALENLTADELKKFKMKLLSVPLREGYGRIPRGTLLPLDAVDLTDKLVSYY
+LEAYGAELTALVLRDMGMQEVAEQLQETMSKGPRNVLAEVRDPLQKTAKPGLHFVDQHRA
+ALIARVTVVDGVLDALYGKVLTEEQYQAVRAERTSSDKMRKLFSFSPAWNMTCKDLLLQA
+LRDTQPYLVDDLEQS
+>sp|Q0VD19|ASM_BOVIN Sphingomyelin phosphodiesterase OS=Bos taurus OX=9913 GN=SMPD1 PE=2 SV=1
+MPRHGVSPGQGLPRSGREQASDRSLGAPCLRLLWLGLALALALPNSPVLWSPAEARPLPT
+QGHPAKFIRIAPQLQEAFGWWNLTCPTCKGLFTAIDFGLRNQASVAWVGSVAIKLCVLLK
+IAPPAVCQSAVQLFEDDMVEVWTRSVLSPSEACGLLLGSSCGHWDIFSSWNISLPAVPKP
+PPQPPKPPAPGSPVSRVLFLTDLHWDHDYLEGTDPNCENPLCCRRDSGPPPASQPGAGYW
+GEYSKCDLPLRTLESLLSGLGPAGPFDMVYWTGDIPAHNIWQQSRQDQLRALTTITALVK
+KFLGPVPVYPAVGNHESTPVNGFPPPFIKGNQSSHWLYEAMAEAWEPWLPAEALRTLRIG
+GFYALSPRPGLRLISLNMNFCSRENFWLLINSTDPAGQLQWLVGELQAAEDRGDKVHIIG
+HIPPGHCLKSWSWNYYRIVERYENTLAGQFFGHTHVDEFEVFYDEETLSRPLSVAFLAPS
+ATTYIGLNPGYRVYQIDGNYSGSSHVVLDHETYIMNLTEANEPGATPHWYLLYRARETYG
+LPNALPTAWHDLVYRMRKDTQLFQTFWFLYHKGHPPSEPCGTPCRLATLCAQLSARSDSP
+ALCRHLVPDASLPDVQSLWSMPLLC
+>sp|Q0V8A3|CAST1_BOVIN Cytosolic arginine sensor for mTORC1 subunit 1 OS=Bos taurus OX=9913 GN=CASTOR1 PE=2 SV=1
+MELHILEHRVRVLSLARPGLWLYTHPLIKLLFLPRRSRCKFFSLTETPEDYTLMVDEEGF
+KELPPSEFLQVAEATWLVLNVSSPSGAAVQAAGVTKIARSVIAPLAEHHVSVLMLSTYQT
+DFILVREQDLSVVIHTLAREFDIYREVGGEPVPVARDDSSNGFPRAQHGPSPTVHPIQSP
+QNRFCVLTLDPETLPAIATTLIDVLFYSHSPPREAASGGPGSSSIAFFAFSLIEGYISIV
+MDAETQKKFPSDLLLTSSSGELWRMVRIGGQPLGFDECGIVAQIAGPLAAADISAYYIST
+FNFDHALVPEDGIGSVIEVLQRRQDGLGS
+>sp|Q32PI5|2AAA_BOVIN Serine/threonine-protein phosphatase 2A 65 kDa regulatory subunit A alpha isoform OS=Bos taurus OX=9913 GN=PPP2R1A PE=1 SV=1
+MAAADGDDSLYPIAVLIDELRNEDVQLRLNSIKKLSTIALALGVERTRSELLPFLTDTIY
+DEDEVLLALAEQLGTFTTLVGGPEYVHCLLPPLESLATVEETVVRDKAVESLRAISHEHS
+PSDLEAHFVPLVKRLAGGDWFTSRTSACGLFSVCYPRVSSAVKAELRQYFRNLCSDDTPM
+VRRAAASKLGEFAKVLELDNVKSEIIPMFSNLASDEQDSVRLLAVEACVNIAQLLPQEDL
+EALVMPTLRQAAEDKSWRVRYMVADKFTELHKAVGPEITKTDLVPAFQNLMKDCEAEVRA
+AASHKVKEFCENLSADCRENVIMTQILPCIKELVSDANQHVKSALASVIMGLSPILGKDS
+TIEHLLPLFLAQLKDECPEVRLNIISNLDCVNEVIGIRQLSQSLLPAIVELAEDAKWRVR
+LAIIEYMPLLAGQLGVEFFDEKLNSLCMAWLVDHVYAIREAATSNLKKLVEKFGKEWAHA
+TIIPKVLAMSGDPNYLHRMTTLFCINVLSEVCGQDITTKHMLPTVLRMAGDPVANVRFNV
+AKSLQKIGPILDNSTLQSEVKPVLEKLTQDQDVDVKYFAQEALTVLSLA
+>sp|A8WFF7|CK091_BOVIN Uncharacterized protein C11orf91 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MPKGRRGSQSPTMSQRPAPPLYFPSLYDRGISSSPLSDFNIWKKLFVPLKAGGAPAGGAP
+AAGGRSLPQGPSAPAPPPPPGLGPPSERPCPPPWPSGLASIPYEPLRFFYSPPSGPEAAA
+SPLAPGPMTSRLASASHPEELCELEIRIKELELLTITGDGFDSQRYKFLKALKDEKLQGL
+KTRQPGKKSASLS
+>sp|Q0VCQ0|CPTP_BOVIN Ceramide-1-phosphate transfer protein OS=Bos taurus OX=9913 GN=CPTP PE=2 SV=1
+MDDLESEFNLKVVLVSFKQCLNEKEEVLLEYYLAGWRGLVRFLNSLGTIFSFISKDVVTK
+LQIMDQLRSGPQQEHYSSLQAMVAYEVGNQLVDLERRSRHPDSGCRTVLRLHRALRWLQL
+FLEGVRTSPEDARTSVLCTDSYNASLATYHPWIIRRAVTVAFCALPTRKVFLESMNVGSS
+EQAVEMLNEALPFIERVYNISQKLYAEHALLDLP
+>sp|Q3B7N1|CHD1L_BOVIN Chromodomain-helicase-DNA-binding protein 1-like OS=Bos taurus OX=9913 GN=CHD1L PE=2 SV=1
+MERVGAATPEGDMPGFLLALQSKSRAEAPRARVQEQDLRQWGLTGIHLRPYQLQGVNWLA
+QCFHCQNGCILGDEMGLGKTCQTIALFIYLAGRLNDEGPFLILCPLSVLSNWKEEMERFA
+PGLSCVTYAGDKDKRACLQQDLKQESRFHVLLTTYEICLKDASFLKSFPWSVLVVDEAHR
+LKNQSSLLHKTLSEFSVVFSLLLTGTPIQNSLQELYSLLSFVEPDLFSKEQVEDFVQRYQ
+DIEKESESASELYKLLQPFLLRRVKAEVATELPRKTEVVIYHGMSALQKKYYKAILMKDL
+DAFENETAKKVKLQNVLSQLRKCVDHPYLFDGVEPEPFEIGDHLIEASGKLHLLDKLLAF
+LYSKGHRVLLFSQMTQMLDILQDYLDYRGYSYERVDGSVRGEERHLAIKNFGQQPIFTFL
+LSTRAGGVGMNLTAADTVIFFDSDFNPQNDLQAAARAHRIGQNKSVKVIRLIGRDTVEEI
+VYRKAASKLQLTNTIIEGGHFTLGAQKPAADADLQLSEILKFGLDKLLSSEGSTMHEIDL
+KSILGETEDGHWVSDALPTAEEGSREPEEGKNHMYLFEGKDYSKEPSKEDRESFEQLVNL
+QKTLLEKTSQEGRLLRNKGSVPIPGLVEGSTKRKRILSPEELEDRRKRRQEAAAKRKRLL
+EEKRKKKEEAEHKKKMAWWESNNYQSFCLPSEESEPEDGEDESSAQLEYEDPDSTSIRYV
+SGDVTHPQAGAEDAVIVHCVDDSGRWGRGGLFTALETRSAEPRKRYELAGKMKDLSLGGV
+LLFPIDDKESRNEGQDLLALIVAQHRDRANVLSGIKMAALEEALKKIFLAAKKRKASVHL
+PRIGHATKGFNWYGTERLIRKHLASRGIPTYIYYFPRSKAAILHLQASSSSSGQLVS
+>sp|Q17QW1|BORG5_BOVIN Cdc42 effector protein 1 OS=Bos taurus OX=9913 GN=CDC42EP1 PE=2 SV=1
+MPGPQGAGGAPAMNLGKLSPVGWVSSSQGKKRLTADMISPPLGDFRHTMHVGRGGDVFGD
+TSFLSNHGGGSGSTHRSPRGFLAKKLQLVRRVGAPPRRMASPPAPSPAPPAISPIIKNAI
+SLPQLNQAAYDSLVVGKLSFDRSPASSTDGHSAYGLDSGFCTISRLPRPEKPRDRDRDSS
+FPAEPELRRSDSLLSFRLDLDLGPSLLTELLGAMSLSEGSAAETPAPAPAASPPASVANP
+PAPASSPSLRGRCPNGVTTRLGPVAEARASVVGEGPRAPADEGPGKHRGAVSGGSQSRHH
+YTEVDARAEGLGALSQARASWGSLDEEWGASQAGSRTPVPSTVQANTFEFADAEEDDEVK
+V
+>sp|Q3ZRW9|CLUL1_BOVIN Clusterin-like protein 1 OS=Bos taurus OX=9913 GN=CLUL1 PE=2 SV=1
+MKPPILVFIVYLLQLRDCQCAPTGKDRTSIREDPKGFSKAGEIDVDEEVKKALIGMKQMK
+ILMERREEEHSKLMRTLKKCREEKQEALKLMNEVQEHLEEEERLCQVSLMDSWDECKSCL
+ESDCMRFYTTCQSSWSSMKSTIERVFRKIYQFLFPFHEDDEKELPVGEKFTEEDVQLMQI
+ENVFSQLTVDVGFLYNMSFHVFKQMQQEFDLAFQSYFMSDTDSMEPYFFPAFSKEPAKKA
+HPMQSWDIPSFFQLFCNFSLSVYQSVSATVTEMLKATEDLSKQDKDSAHGGPSSTTWPVR
+GRGLCGEPGQNSSECLQFHARCQKCQDYLWADCPAVPELYTKADEALELVNISNQQYAQV
+LQMTQHHLEDTTYLMEKMREQFGWVTELASQTPGSENIFSFIKVVPGVHEGNFSKQDEKM
+IDISILPSSNFTLTIPLEESAESSDFISYMLAKAVQHFKEHFKSW
+>sp|F1MRK3|AMOL2_BOVIN Angiomotin-like protein 2 OS=Bos taurus OX=9913 GN=AMOTL2 PE=2 SV=3
+MRTLEDSSGTVLHRLIQEQLRYGNLTETRTLLAIQQQALRGGAGAGGTGSPQAPMEIMAP
+EDSQVLQQATRQEPQGQEHQGTETHLAENGLYRLCPQPGKGEELPTYEEAKAHSQYYASQ
+QAGPWPHVGDRDPRGPPGGSRRQDEALRELRHGHVRSLSERLLQLSLERNGARTPSHMSA
+SHSFPQLARNQQGPPARGAPTAEGPEPRGPPPQYPHVMLAHETTSAVTDPRYRARGSPHF
+QHAEVRILQAQVPPVFLPQQQQQYQYLQQPQERHPPPHLAALSPPGVEGPASTQASLATS
+GSTHLAQMETVLRENARLQRDNERLQRELESTAEKAGRIEKLESEIQRLSEAHESLTRAS
+SKREALEKTMRNKMDSEMRRLQDFNRDLRERLESANRRLASKTQEAQAGSQDMVAKLLAQ
+SYEQQQEQEKLEREMALLRGAIEDQRRRAELLEQALSNAQGRAARAEEELRKKQAYVEKV
+ERLQQALGQLQAACEKREQLELRLRTRLEQELKALRAQQRQAGTPGGGGGSGGTPELSAL
+RLSEQLREKEEQVLALEADMTKWEQKYLEERAMRQFAMDAAATAAAQRDTTLIRHSPQPS
+PSSSFNEGLLTGGHRHQEMESRLKVLHAQILEKDAVIKVLQQRSRKDPGKVTPGSLRPAK
+SVPSIFVAAAAGTQGWQGHSSSERQVDASAQLAADRAPLEEPVAVAPLAAHAKHGSRDGS
+TQTDGPPDSLEPDSLLGCSSGQRTASLDSIATSRVQDFSDMVEILI
+>sp|Q95137|DRD5_BOVIN D(1B) dopamine receptor (Fragment) OS=Bos taurus OX=9913 GN=DRD5 PE=3 SV=1
+SILNLCIISVDRYWAISRPFCYERKMTQRVALVMVGLAWTLSILISFIPVQLHWHRDKVG
+SRDGLDPPSNLANGTPWEEAGESDRSAENCDSSLNRTYAISSSLISFYIPVAIMIVTYTR
+IYRIAQVQIRRISSLERAAEHAQSCRSREACAPDSGLRASIKKETKVLKTLSVI
+>sp|P46162|DEFB4_BOVIN Beta-defensin 4 OS=Bos taurus OX=9913 GN=DEFB4 PE=1 SV=3
+MRLHHLLLAVLFLVLSAGSGFTQRVRNPQSCRWNMGVCIPFLCRVGMRQIGTCFGPRVPC
+CRR
+>sp|A4IFP3|FADS3_BOVIN Fatty acid desaturase 3 OS=Bos taurus OX=9913 GN=FADS3 PE=2 SV=1
+MGGVGEPDWEPGQRGAPLPTLRWEQVRRHNLPGDKWLVIERRVYDISRWAQRHPGGSRLI
+GHHGAEDATDAFHAFHQDLSFVRKFLQPLLIGELAPEEPSQDGPQNTQLIEDFRALRQAV
+EDMKLFEAKPAFFGLLLGHILAMEVLAWLMIYMLGPGWVPSTLAALILAISQAQSWCLQH
+DLGHTSIFRNSRWNHLAQQFVMGQLKGFSAHWWNFRHFQHHAKPNIFHKDPDVTVAPVFL
+LGESSVEYGKKKRRYLPYNHQHLYFFLIGPPLLTLVNFEVENLAYMLVCMQWMDLLWAAS
+FYARFLLSYIPFYGIPGALLLFVAVRVLESHWFVWITQMNHIPREIGHEKHRDWASSQLA
+ATCNVEPSLFIDWFSGHLNFQIEHHLFPTMPRHNYRRVAPLVKALCAKHGLSYEVKPFLT
+ALVDIIRSLKKSGNVWLEAYLHQ
+>sp|Q2YDN1|GP161_BOVIN G protein-coupled receptor 161 OS=Bos taurus OX=9913 GN=GPR161 PE=2 SV=1
+MSLNSSLGHRKELSNLTEGASDQGGSGVTEFVAIVIITVFVCLGNLVIVITLYRKSYLLT
+LSNKFVFSLTLSNFLLSVLVLPFVVTSSIRREWIFGVVWCNFSALLYLLISSASMLTLGI
+IAVDRYYAVLYPMAYPMKITGNRAVMVLAYIWLHSLIGCLPPLFGWSSVEFDEFKWMCVA
+AWHREPGYTAFWQIWCALLPFLVMLVCYGFIFRVARVKARKVHCGAVVTVEVGVQRTGRK
+NSSTSTSSSGSRKSAFQGVVYSANQCKALVTILVVIGAFMVTWGPYMVVITSEALWGKNC
+VSPTLETWATWLSFTSAICHPLIYGLWNKTVRKELLGMCFGDRYYREPFVQRQRTSRLFS
+ISNRITDLGLSPHLTALMAGEQPLGNSSSTGDTGFSCSQDSGTDVMLLEDYTSDDNPLHG
+TCPPKRRSSVTFEDEVEQIKEAAKNPILHVKADVHKSLDSYATSLAKAIEAEAKINLFGE
+EALPGVLLTARTVPGIGFGSRRGSRTLAGQRLQLQSIEEGDVLATEQR
+>sp|P25417|CYTB_BOVIN Cystatin-B OS=Bos taurus OX=9913 GN=CSTB PE=1 SV=1
+MMCGGTSATQPATAETQAIADKVKSQLEEKENKKFPVFKALEFKSQLVAGKNYFIKVQVD
+EDDFVHIRVFESLPHENKPVALTSYQTNKGRHDELTYF
+>sp|Q3SZW6|F216A_BOVIN Protein FAM216A OS=Bos taurus OX=9913 GN=FAM216A PE=2 SV=1
+MPNQGPVSDWTECSSSAEPPAVARAEGGGGGSAGHSYYQNSKDRIKDGHKVNSPRAKLQE
+LWKMPQTVHTPKSMTEPSFLKHPDLTLVEKHYLCSVAKIYNANYLRTLMKRHYMHVIQRS
+SQKPGVLTHHRGHLSSRYSQKQHYPCTTWRHQLEREDLGPPNTAAASAPEMIQHSLWRPV
+RNKEGLKTGYASKTRCKSLKIFRKPGRPFMQSVSANDSESYMNEEKKEEDLLNKCMQSMS
+IEEQGEHLMLT
+>sp|P50397|GDIB_BOVIN Rab GDP dissociation inhibitor beta OS=Bos taurus OX=9913 GN=GDI2 PE=2 SV=3
+MNEEYDVIVLGTGLTECILSGIMSVNGKKVLHMDRNPYYGGESASITPLEDLYKRFKIPG
+APPASMGRGRDWNVDLIPKFLMANGQLVKMLLFTEVTRYLDFKVTEGSFVYKGGKIYKVP
+STEAEALASSLMGLFEKRRFRKFLVYVANFDENDPRTFEGIDPKKTSMREVYKKFDLGQD
+VIDFTGHALALYRTDDYLDQPCCETINRIKLYSESLARYGKSPYLYPLYGLGELPQGFAR
+LSAIYGGTYMLNKPIEEIIMQNGKVIGVKSEGEIARCKQLICDPSYVKDRVEKVGQVIRV
+ICILSHPIKNTNDANSCQIIIPQNQVNRKSDIYVCMISSAHNVAAQGKYIAIASTTVETK
+EPEKEIRPALELLEPIEQKFVSISDLLVPKDLGTESQIFISRTYDATTHFETTCDDIKDI
+YKRMMGSEFDFEEMKRKKNDIYGEE
+>sp|Q1RMS8|FBX25_BOVIN F-box only protein 25 OS=Bos taurus OX=9913 GN=FBXO25 PE=2 SV=1
+MPFLGQDWRSPGWSWMKTEDGWKRCDAWSQELEGENSQCDIGHGIILNSEDEEIFSNEEH
+EFASKKRKKDHFRNDTNTQCFYRENWIYVHKESTRERHGYCTLGEAFNRLDFSSAIQDIR
+RFNYVVRLLQLIAKSQLTSLSGVAQKNYFNILDKIVQKVLGDHQNPRLIKDLLQDLSSTL
+CILIRGVGKSVLVGNINIWICRLETVLRWQQQLQNLQMTEVDSGLTLSDLPVHMLSNILY
+RFSDGWDIVTLGQVTPTLSALSEDRQLWKKLCQYHFGEKQFCRHLILSEKGHVEWKLMYF
+ALQKHYPTKEQYGDTLHFCRHCSILFWKDSGHPCTAADPDSCFTPVSPQHFIDLFKY
+>sp|A0JN51|ELF1_BOVIN ETS-related transcription factor Elf-1 OS=Bos taurus OX=9913 GN=ELF1 PE=2 SV=1
+MAAVVQQNDLVFEFASNVMEDEQQLGDPAIFPAVIVEHVPGADILNSYAGLACVEEPNDM
+ITESSLDVAEEEIIDEDEDDITLTVEASCHNGDETIETIEAAEALLNMDSPGPMLDEKRM
+NNSIFSSSEDDMVVAPVTHVSVTLDGIPEVMETQHVQETYAHSPGPSSPEQPKRKKGRKT
+KPPRPDSPTTTPNISVKKKNKDGKGNTIYLWEFLLALLQDKATCPKYIKWTQREKGIFKL
+VDSKAVSRLWGKHKNKPDMNYETMGRALRYYYQRGILAKVEGQRLVYQFKDMPKDLIYID
+DEDPSCSIESSDPSLSSTATSSRNPASRSRASSSPGIKGGATTVLKPGNSKAAKPKDPME
+PVQPSEALRTVQSTQAPYPTQLFRTIHVVQPVQAVPEAEAASSMPEETLNPSVPSIRTIQ
+TPAQVPVVVSPGNQHLHTVTLQTVPITTVIASADPSSAAGSQKFILQAIPSSQPMTVLKE
+NVVLQSQKPGSPPSIVLSPAHVQQVLTSSVPTVCNGTVSAASAPSFSATTPMVTFSHHSS
+QLVAHPPGTVITSVIKAQEAKTHIQEEVKKEVEDNEKQGTEDAEQQLQPYVMVVSNGFPS
+QAAIKNELLEPSSF
+>sp|P10063|GBRA2_BOVIN Gamma-aminobutyric acid receptor subunit alpha-2 OS=Bos taurus OX=9913 GN=GABRA2 PE=2 SV=1
+MKTKLNSSNMQLLLFVFLAWDPARLVLANIQEDEAKNNITIFTRILDRLLDGYDNRLRPG
+LGDSITEVFTNIYVTSFGPVSDTDMEYTIDVFFRQKWKDERLKFKGPMNILRLNNLMASK
+IWTPDTFFHNGKKSVAHNMTMPNKLLRIQDDGTLLYTMRLTVQAECPMHLEDFPMDAHSC
+PLKFGSYAYTTSEVTYIWTYNASDSVQVAPDGSRLNQYDLPGQSIGKETIKSSTGEYTVM
+TAHFHLKRKIGYFVIQTYLPCIMTVILSQVSFWLNRESVPARTVFGVTTVLTMTTLSISA
+RNSLPKVAYATAMDWFIAVCYAFVFSALIEFATVNYFTKRGWAWDGKSVVNDKKKEKASV
+MIQNNAYAVAVANYAPNLSKDPVLSTISKSATTPEPNKKPENKPAEAKKTFNSVSKIDRM
+SRIVFPVLFGTFNLVYWATYLNREPVLGVSP
+>sp|A4IF97|ML12B_BOVIN Myosin regulatory light chain 12B OS=Bos taurus OX=9913 GN=MYL12B PE=2 SV=1
+MSSKKAKTKTKKRPQRATSNVFAMFDQSQIQEFKEAFNMIDQNRDGFIDKEDLHDMLASL
+GKNPTDAYLEAMMNEAPGPINFTMFLTMFGEKLNGTDPEDVIRNAFACFDEEATGTIQED
+YLRELLTTMGDRFTDEEVDELYREAPIDKKGNFNYIEFTRILKHGAKDKDD
+>sp|Q2KIJ2|MET18_BOVIN Histidine protein methyltransferase 1 homolog OS=Bos taurus OX=9913 GN=METTL18 PE=2 SV=1
+MTFQFNFTIEDHLEDELTSLGDGALALHSSKESLVSERQKGTHRDKKCSTEQSDLLQDHL
+WEHKSERNEAPSQDPDSSFGAANSSSNLEPHEEKPCLKVAKEHAVPKDLKKVLENKVTET
+LPGLQHVNISIMKTTLLKENFPGENIISKSFSSHSDLISGVYEGGLKIWECTFDLLAYLT
+KAKVKFAGKKVLDLGCGSGLLGIMALKGGAKEIHFQDYNSVVIDEVTLPNVVANSTLEDE
+ENDVNEPDVKRLRRSTVAQELCKCRFFSGEWSEFCKLVLSSEKLFEKYDLILTSETIYNP
+DYYVPLHQTFLRLLDKNGQVLLASKVHYFGVGGGTHLFQKFVEERNVFETRTLEIIDEGL
+KRCLIEMTFKYPT
+>sp|Q3T0N5|MK13_BOVIN Mitogen-activated protein kinase 13 OS=Bos taurus OX=9913 GN=MAPK13 PE=2 SV=1
+MSFTRKKGFYKQDVNKTAWELPKTYVSLTHIGSGAYGSVCSAIDKRSGEKVAIKKLSRPF
+QSEIFAKRAYRELLLLKHMQHENVIGLLDVFTPASSLRNFHDFYLVMPFMQTDLQKIMGM
+EFSEDKIQYLVYQMLKGLKYIHSAGVVHRDLKPGNLAVNEDCELKILDFGLARHTDVEMT
+GYVVTRWYRAPEVILSWMHYNQTVDIWSVGCIMAEMLTGKTLFKGKDYLDQLTQILKVTG
+VPGAEFVQKLNDKAAKSYIQSLPQSPKKDFSQLFPRASPQATDLLEKMLELDVDKRLTAS
+QALAHPFFEPFRDPEEETEAQQPLEDSLEREKLIVDEWKQHIYKEIVNFSPIARKDSRRR
+SGMKLQ
+>sp|A7MB62|ARP2_BOVIN Actin-related protein 2 OS=Bos taurus OX=9913 GN=ACTR2 PE=1 SV=1
+MDSQGRKVVVCDNGTGFVKCGYAGSNFPEHIFPALVGRPIIRSTTKVGNIEIKDLMVGDE
+ASELRSMLEVNYPMENGIVRNWDDMKHLWDYTFGPEKLNIDTRNCKILLTEPPMNPTKNR
+EKIVEVMFETYQFSGVYVAIQAVLTLYAQGLLTGVVVDSGDGVTHICPVYEGFSLPHLTR
+RLDIAGRDITRYLIKLLLLRGYAFNHSADFETVRMIKEKLCYVGYNIEQEQKLALETTVL
+VESYTLPDGRIIKVGGERFEAPEALFQPHLINVEGVGVAELLFNTIQAADIDTRSEFYKH
+IVLSGGSTMYPGLPSRLERELKQLYLERVLKGDVEKLSKFKIRIEDPPRRKHMVFLGGAV
+LADIMKDKDNFWMTRQEYQEKGVRVLEKLGVTVR
+>sp|Q2NKV2|APC13_BOVIN Anaphase-promoting complex subunit 13 OS=Bos taurus OX=9913 GN=ANAPC13 PE=1 SV=1
+MDSEVQRDGRILDLIDDAWREDKLPYEDVAIPLNELPEPEQDNGGTTESVKEQEMKWTDL
+ALQYLHENVPPIGN
+>sp|Q3ZBE1|ARMC1_BOVIN Armadillo repeat-containing protein 1 OS=Bos taurus OX=9913 GN=ARMC1 PE=2 SV=1
+MNSSSSTMNEEPDALSVVNQLRDLAADPLNRRAIVQDQGCLPGLILFMDHPNPPVVHSAL
+LALRYLAECRANREKMKGELGMMLSLQNVIQKSTTPGETKLLASEIYDILQSSNMADGDS
+FNEMNSRRRKAQFFLGTTNKRAKTVVLHIDGLDDTSRRNLCEEALLKIKGVISFTFQMAV
+QRCVVRIRSDLKAEALASAIASTKVMKAQQVVKSESGEEMLVPFQDTPVEVEQNTELPDY
+LPEDESPTKEQDKAVSRVGSHPEGGASWLSTAANFLSRSFYW
+>sp|Q9N0H5|ARRC_BOVIN Arrestin-C OS=Bos taurus OX=9913 GN=ARR3 PE=2 SV=2
+MSRVFKKTCSNGKLSIYLGKRDFVDHVDMVEPIDGVVLVDPEYLKGRKMFVMLTCAFRYG
+HDDLDVIGLTFRKDLYVQVQQVVPAESSSPRGSLTVLQERLLHKLGDNAYPFTLQMVVNL
+PCSVTLQPGPDDTGKACGVDFEVKSFCAENLEEKVSKRDSVRLVIRKIQFAPLEPGPGPW
+ARLCRRFLLSAQPLLLQAWMDKEVNYHGQPISVNVSINNSTNKVIKKIKISVDQITDVVL
+YSLDKYTKTVFVQEFTETIAANSTFSKSFAVTPLLADNCHKQGLALDGKLKQGDTNLASS
+TILRPGVDKELLGILVSYKVRVNLMVSCEGILGDLTASEVGVELPLILMHPKPSNEAASS
+EDIVIEEFAQQEPSGESQEALAAEGNEGS
+>sp|A7MBH5|CC151_BOVIN Coiled-coil domain-containing protein 151 OS=Bos taurus OX=9913 GN=CCDC151 PE=2 SV=1
+MTSPLCWAAASNAMPSQDQISTPSKVKATQVQLKPYRSRGKGLVPVWHSLHSKAGPLHAS
+EGKSAVNMQVAELQRKIQLLEGDRKAFYESTQWNIKKNQETINQLREETRVLQLQLTALL
+QGDEKVVQAVIREWKSEKPYLKNRTGQQALEHLDYRLNEKVKQLNALRHQLGLRQKWLEE
+LQLQHSLRELEIAEAQDSNTEVAKTMRNLENRLEKARMKAEEAEHITSVYLQLKAYLQEE
+SLHLGNRLDFMEAEVVRTKHELEELHLVNQEALNARDIAKNQLQYLEETVFRERKKRERY
+LTECKKRAEEKKLQNERMERKTQREHVLLQSDDTLQDSMYSKEEELKRRWSMYQMEVLFG
+KVKDATGVAETHAVVRRFLAQGDTFTQLEMLKSENEQTLLRLKQEKQRLQQELEDLKYSG
+EALLVSEQKRQAELQGRLKMEEQRRADAQNQLDRTMRALQITKEGLEHLAGKLNHIVVAG
+PTYEEGSPGASLDTKGSATPQPQETGRSVGKMDPKVDDYLPNLLGLVEEKLLKLHSQLEN
+HNVPEMLRHIVDLEFYATLEGKLPSYNTRIALPVAGHKDKFFDEEESEEDDSDVVTRAAL
+KMRSQKLIESRSKRRGRSRRS
+>sp|Q3ZBS1|CE030_BOVIN UNC119-binding protein C5orf30 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MEVDVNGESRSALTTLPLPVAEASSPGKAEAEKPRCSSTPCSPMRRTVSGYQILHMDSNY
+LVGFTTGEELLKLAQKCTGAEESKGEAVPSLRSKQLDVGLARSSRLYKTRSRYYQPYEIP
+AVNGRRRRRMPSSGDKCTKSLPYEPYKALHGPLPLCLLKGKRAHSKSLDYLNLDKMNIKE
+PADTEVLQYQLQHLTLRGDRVFARNNT
+>sp|Q3ZBA6|DJB11_BOVIN DnaJ homolog subfamily B member 11 OS=Bos taurus OX=9913 GN=DNAJB11 PE=2 SV=1
+MAPQNLGTFCLLLLYLIGTVIAGRDFYKILGVPRSASIKDIKKAYRKLALQLHPDRNPDD
+PRAQEKFQDLGAAYEVLSDSEKRKQYDTYGEEGLKDGHQSSHGDIFSHFFGDFGFMFGGT
+PRQQDRNIPRGSDIIVDLEVTLEEVYAGNFVEVVRNKPVARQAPGKRKCNCRQEMRTTQL
+GPGRFQMTQEVVCDECPNVKLVNEERTLEVEIEPGVRDGMEYPFIGEGEPHVDGEPGDLR
+FRIKVVKHSIFERRGDDLYTNVTISLVESLVGFDMDITHLDGHKVHISRDKITRPGAKLW
+KKGEGLPNFDNNNIKGSLIITFDVDFPKEQLSEEAREGIKQLLKQGSVQKVYNGLQGY
+>sp|Q29RU6|G6PC_BOVIN Glucose-6-phosphatase OS=Bos taurus OX=9913 GN=G6PC PE=2 SV=1
+MEKGMNVLHDFGIQSTHYLQVNYQNSQDWFILVSVIADLRNAFYVLFPIWFHLREAVGIK
+LLWVAVIGDWLNLVFKWILFGQRPYWWVLDTDYYSNTSAPLIKQFPVTCETGPGSPSGHA
+MGTAGVYYVMVTSTLSIFRGKKKPTYRFRCLNVMLWLGFWVVQLNVCLSRIYLAAHFPHQ
+VVAGVLSGIAVAETFRHIQSIYNASLKKYFLITCFLFSFAIGFYLLLKWLGVDLLWTLEK
+AKRRCERPEWVHIDTTPFASLLKNLGTLFGLGLALNSSMYRESCKGKLSKWFPFRLSCIV
+ASLVLLHLFDSLKPPSQIELIFYVLSFCKSAAVPLASVSLIPYCLAWVLGQPNKKTV
+>sp|Q70VZ8|DGAT2_BOVIN Diacylglycerol O-acyltransferase 2 OS=Bos taurus OX=9913 GN=DGAT2 PE=2 SV=1
+MKTLIAAYSGVLRGTGSSILSALQDLFSVTWLNRAKVEKQLQVISVLQWVLSFLVLGVAC
+SVILMYTFCTDCWLIAVLYFTWLVFDWNTPKKGGRRSQWVRNWAVWRYFRDYFPIQLVKT
+HNLLTSRNYIFGYHPHGIMGLGAFCNFSTEATEVSKKFPGIRPYLATLAGNFRMPVLREY
+LMSGGICPVNRDTIDYLLSKNGSGNAIIIVVGGAAESLSSMPGKNAVTLRNRKGFVKLAL
+RHGADLVPTYSFGENEVYKQVIFEEGSWGRWVQKKFQKYIGFAPCIFHGRGLFSSDTWGL
+VPYSKPITTVVGEPITIPRLERPTQQDIDLYHAMYVQALVKLFDQHKTKFGLPETEVLEV
+N
+>sp|Q5E9A5|GA45B_BOVIN Growth arrest and DNA damage-inducible protein GADD45 beta OS=Bos taurus OX=9913 GN=GADD45B PE=2 SV=1
+MTLEELVACDNAAQKMQTVSAAVEELLVAAQLQDRLTVGVYESAKLMNVDPDSVVLCLLA
+IDEEEEDDIALQIHFTLIQSFCCENDIDIVRVSGMQRLAQLLGEPAETQGTTEARDLHCL
+LVTNPHTDTWKSHGLVEVASYCEESRGNNQWVPYISLQER
+>sp|P13182|CX6A1_BOVIN Cytochrome c oxidase subunit 6A1, mitochondrial OS=Bos taurus OX=9913 GN=COX6A1 PE=1 SV=3
+MAAAAGSRVFGLLGRSRLQLSRCMSSGAHGEEGSARMWKALTYFVALPGVGVSMLNVFLK
+SHHGEEERPEFVAYPHLRIRSKPFPWGDGNHTLFHNPHVNPLPTGYEDE
+>sp|Q0P593|DAW1_BOVIN Dynein assembly factor with WDR repeat domains 1 OS=Bos taurus OX=9913 GN=DAW1 PE=2 SV=1
+MKLKSLLLRYYPPGIMLEYEKSGQLKTKSIDLLDLDPSTDVSALVEEIQKAEPLITASRS
+DQVKLLIQRLQNKLGQHSNHKFYLFKVLRAHILPLTNVALNKSGSCFITGSYDRTCKLWD
+TASGEELHTLEGHRNVVYAIAFNNPYGDKIATGSFDKTCKLWSVETGKCYHTFRGHTAEI
+VCLSFNPQSTLVATGSMDTTAKLWDIQSGEEVFTLTGHSAEIISLSFNTSGNRIITGSFD
+HTVTVWEADTGRKVYTLIGHCAEISSAVFNWDCSLILTGSMDKTCKLWDAVNGKCVATLT
+GHDDEILDSCFDYTGKLIATASADGTARIFSAATRECVTKLEGHEGEISKISFNPQGNRL
+LTGSSDKTARIWDAQTGQCLQVLEGHTDEIFSCAFNYKGDIIITGSKDNTCRIWR
+>sp|Q1JP75|DCXR_BOVIN L-xylulose reductase OS=Bos taurus OX=9913 GN=DCXR PE=2 SV=1
+MDLRLAGRRALVTGAGKGIGRSIVKALHAAGARVVAVSRTQADLDSLVRECPGVETVCVD
+LADWEATEQALGGVGPVDLLVNNAAVAFLQPFLEVTKEAYDMSFSVNLRAVIQVSQIVAR
+GLIARGAPGVIVNVSSQASQRGLTNHSVYCSTKGALDTLTKVMAVELGPHKIRVNAVNPT
+VVMTPMGQAAWSDPQKAKAMLDRIPLGRFAEVENVVDTILFLLSDRSSMTTGSTVPVDGG
+FLAT
+>sp|P84798|DPOD3_BOVIN DNA polymerase delta subunit 3 OS=Bos taurus OX=9913 GN=POLD3 PE=1 SV=2
+MADQFYLENIDEFVTDQNKIVTYKWLSYTLGVHVNQAKQMLYDYVERKRKENSGAQLHVT
+YLVSGSLIQNGHSCHKVAVVREDKLEAVKSKLAVTASVHVYSIQKAMLKDSGPLFNTDYD
+ILKSNLQNCSKFSAIQCAAAVPRAPAESSSSEKLEQSDPPVSPEMQASDELTTNGHGPPV
+PKQSSQQPKGIMGMFASKAASKAQDANKETKTEAKEVMNSEKNNENLLLSGYWESMIVLF
+QAQCINKLKVNLDSEQEVKEEKKVEQPPLSVTEPKLAAPVDLKKSSKKAEPVRMQQKEKK
+RRKQMELSDDETKETENMKKKRRRIKLPESDSSEDEVIPDSPGAYEAESPSPPPPSPSPE
+PVLKTEPEPPPVKGSDGENKRKRKRVLKSKTFTDEEGCMVTEKVYESESCTDSEEELKMK
+TSSVHRPPAMAVKKEPKEERKGPKKGTAAMGKANRQVAITGFFQRK
+>sp|Q0P5L7|GLMP_BOVIN Glycosylated lysosomal membrane protein OS=Bos taurus OX=9913 GN=GLMP PE=2 SV=1
+MSGYEKPSRGWGFCALSPVLLSLLMAAPLGLLGEETRQVSLKVISNRLDFSQNLLHIRAV
+GTNSTLHYVWSSLGPPAVLLVATNTPNSTLSVNWSLLLSSDPDGGLMVLPEESIQFSSAL
+VFTRLFEFDSTNMSDAASRPLGKSYPPYSLANFSWNNITDSLDPATLSATFRGHPIRDPT
+GAFTNGSLAFRVQAFSTSGRPAQPPRLLHSADTCQLEVALVGASPRGNRSLFGLEVATLG
+QGPGCPSMQEQHSIDDEYTPAVFQLDQLLWGSLPSGFMQWRPVAFSQKRGSRDSAMPCQS
+SPLHPTLAYLLPQSPIVRAFFKTQDHSCAFNLTFGASTGPGYWDQHYLSWSVLLGVGTPP
+VDALSPLVLGIMAVALGAPALMLLAGGLFLLLGRKRDSEYQSIN
+>sp|Q3SZJ5|GATA5_BOVIN Transcription factor GATA-5 OS=Bos taurus OX=9913 GN=GATA5 PE=2 SV=1
+MYQSLALAPSPGQTAYADSGAFLHTPGAGSPVFVPPARVPSMLPYLPACEPGPQAPAITA
+HPGWAQAAAADSSAFGSGSPHAPAAPPPGTTAFPFAHSSPGPGGGTGTRDNGAFQGAMLA
+REQYPAALGRPVSSSYPTAYPAYMSAEVAPSWTSGPLDGSVLHSLQGLPAGLPGRRAPFA
+AELLEEFPGEGRECVNCGALSTPLWRRDGTGHYLCNACGLYHKMNGVNRPLVRPQKRLSS
+SRRAGLCCTNCHTTTTTLWRRNVDGEPVCNACGLYMKLHGVPRPLAMKKESIQTRKRKPK
+NIAKTKGSSGSSGHTTASPQASVPDPEVSAATLKPEPSLASPSCPGPSVTSQGSAQVDDP
+LAPSHLEFKFEPEDFALPSAALGQQAGLGGALRQEAWCALALA
+>sp|P0C2W5|GBRB2_BOVIN Gamma-aminobutyric acid receptor subunit beta-2 (Fragment) OS=Bos taurus OX=9913 GN=GABRB2 PE=1 SV=1
+RVRKKDYFGIWSFPLIIAAVCAQSVNDPSNMSLVKETVDRLLKGYDIRLRPDFGGPPVAV
+GMNIDIASIDMVSEVNMDYTLTMYFQQAWRDKRLSYNVIPLNLTLDNRVADQLWVPDTYF
+LNDKKSFVHGVTVKNRMIRLHPDGTVLYGLRITTTTACMMDLRRYPLDEQNCTLEIESYG
+YTTDDIEFYWRGDDNAVTGVTKIELPQFSIVDYKLITKKVVFSTGSYPRLSLSFKLKRNI
+GYFILQTYMPSILITILSWVSFWINYDASAARVALGITTVLTMTTINTHLRETLPKIPYV
+KAIDMYLMGCFVFVFMALLEYALVNYIFFGRGPQRQKKAAEKAASANNEKMRLDVNKMDP
+HENILLSTLEIKNEMATSEAVMGLGDPRSTMLAYDASSIQYRKAGLPRHSFWRNALERHV
+AQKKSRLRERASQLKITIPDLTDVNAIDRWSRIFFPVVFSFFNIVYWLYYVN
+>sp|A2VE78|FBXL5_BOVIN F-box/LRR-repeat protein 5 OS=Bos taurus OX=9913 GN=FBXL5 PE=2 SV=1
+MAPFPEEVDVFTAPHWRMKQLVGLYCDKLSKTNFSNNNDFRALLQSLYATFKEFKMHEQI
+ENEYIIGLLQQRSQTIYNVHSDNKLSEMLSLFEKGLKNVKNEYEQLNYAKQLKERLEAFT
+KDFLPHMKEEEEVFQPMLMEYFTYEELKDIKKKVIAQHCSQKDTAELLRGLSLWNQAEER
+QKFFKYSVDEKSDKEAEVSEQSTGITHLPPEVMVSIFSYLNPQELCRCSQVSTKWSQLAK
+TGSLWKHLYPVHWARGDWYSGPAAELDTEPDEEWVKSRRDESRAFQEWDEDADIDESEES
+GEESIAISIAQMEKRLLHGLIHNVLPYVGTSVKTLVLAYSSAVSSKMVRQILELCPNLEH
+LDLTQTDISDSAFDSWSWLGCCQSLRHLDLSGCEKITDVALEKISRALGILTTHESGLLK
+TSTSKVTSTTWKNKDITMQSFKQSACLHDVTNKDIGEEVDNEHPWTKPISSDDFTSPYVW
+MLDAEDLADIEDAVEWRHRNVESLCVMETASNFSCPSSACYSKDIVGLRTSVCWQQHCAS
+PAFAYCGHSYCCTGTALRTMSALPESSALCRKAPRTRLLREKDLIYSGSEKSDQETGRVL
+LFLSLSGCYQITDHGLRVLTLGGGLPYLEHLNLSGCLTVTGAGLQDLVSACPSLNDEYFY
+YCDNINGPHADTASGCQNLQCGFRACCRSGE
+>sp|F1MNN4|FBXW7_BOVIN F-box/WD repeat-containing protein 7 OS=Bos taurus OX=9913 GN=FBXW7 PE=1 SV=2
+MCVPRSGLILSCICLYCGVLLPVLLPNLPFLTCLSMSTLESVTYLPEKGLYCQRLPSSRT
+HGGTESLKGKNPENMGFYGTLKMIFYKMKRKLDHGSEVRSFSLGKKPCKVSEYTSTTGLV
+PCSATPTTFGDLRAANGQGQQRRRITSVQPPTGLQEWLKMFQSWSGPEKLLALDELIDSC
+EPTQVKHMMQVIEPQFQRDFISLLPKELALYVLSFLEPKDLLQAAQTCRYWRILAEDNLL
+WREKCKEEGIDEPLHIKRRKVIKPGFIHSPWKSAYIRQHRIDTNWRRGELKSPKVLKGHD
+DHVITCLQFCGNRIVSGSDDNTLKVWSAVTGKCLRTLVGHTGGVWSSQMRDNIIISGSTD
+RTLKVWNAETGECIHTLYGHTSTVRCMHLHEKRVVSGSRDATLRVWDIETGQCLHVLMGH
+VAAVRCVQYDGRRVVSGAYDFMVKVWDPETETCLHTLQGHTNRVYSLQFDGIHVVSGSLD
+TSIRVWDVETGNCIHTLTGHQSLTSGMELKDNILVSGNADSTVKIWDIKTGQCLQTLQGP
+NKHQSAVTCLQFNKNFVITSSDDGTVKLWDLKTGEFIRNLVTLESGGSGGVVWRIRASNT
+KLVCAVGSRNGTEETKLLVLDFDVDMK
+>sp|Q0VCD4|MED18_BOVIN Mediator of RNA polymerase II transcription subunit 18 OS=Bos taurus OX=9913 GN=MED18 PE=2 SV=1
+MEAPPVTMMPVTGGTINMMEYLLQGSVLDHSLESLIHRLRGLCDNMEPETFVDHEMVFLL
+KGQQASPFVLRARRSLDRAGAPWHLRYLGQPEMGDKNRHALVRNCVDIATSENLTDFLME
+MGFRMDHEFVARGHLFRKGIMKIVVYKIFRILVPGNTDNTEALSLSYLVELSVVAPAGQD
+MVSDDMRNFAEQLKPLVHLEKIDPKRLM
+>sp|G3MWR8|MICA3_BOVIN [F-actin]-monooxygenase MICAL3 OS=Bos taurus OX=9913 GN=MICAL3 PE=3 SV=1
+MEESKNEATNRAHVLFDRFVQATTCKGTLKAFQELCDHLELKPKDHRSFYHKLKSKLNYW
+KAKALWAKLDKRGSHKDYKKGKVCTNTKCLIIGAGPCGLRTAIDLSLLGAKVVVIEKRDA
+FSRNNVLHLWPFTIHDLRGLGAKKFYGKFCAGAIDHISIRQLQLILLKVALILGIEIHVN
+VEFRGLVEPPEDQENERIGWRALVHPKTHPVSEYEFEVIIGGDGRRNTLEGFRRKEFRGK
+LAIAITANFINRNTTAEAKVEEISGVAFIFNQKFFQELREATGIDLENIVYYKDDTHYFV
+MTAKKQSLLDKGVILHDYADTELLLSRENVDQEALLSYAREAADFSTQQQLPSLDFAINH
+YGQPDVAMFDFTCMYASENAALVREHNGHQLLVALVGDSLLEPFWPMGTGIARGFLAAMD
+SAWMVRSWSLGTSPLEVLAERESIYRLLPQTTPENVSKNFSQYSIDPVTRYPNVNVNFLR
+PSQVRHLYDTGDTKDVHLEMENLVNSRTTPKLARNESVARSSKLLGWCQRQTDGYAGVNV
+TDLTMSWKSGLALCAIIHRYRPDLIDFDSLDEQNVEKNNQLAFDIAEKELGISPIMTGRE
+MASVGEPDKLSMVMYLTQFYEMFKDSLPSRDASDLNAEERAVLIASTKSPISFLSKLGQT
+ISRKRSPKDKKEKDLDGAGKRRKTSQSEEEDTPRGHRGARPTLVSTLTDRRMDVALGNQN
+KVKYMATQLLAKFEENAPPQSVGVRRQGSIKKEFPQNLGGSDTCYFCQKRVYVMERLSAE
+GKFFHRSCFKCEHCATTLRLSAYAYALEDGKFYCKPHYCYRLSGPAQRKRPAGVPLSGKE
+ARGPLQDSPAADASGRPSTSASPAERSPGPSVNGLEEPSVAKRLRGTPERIELENYRLSV
+RQAEGLEEVPEETQAEHNLSSVLDTGTEEDAASSSSESEMEEEEPPLPTSDLGGVPWKEA
+VRIHALLRGKSEEELEASRSFGAGEEEEEDEEDEEEEEEEEDEEDEEEDEDESSEVGSPR
+RLQQLLNPADPLEIQADVHWTHIRESQEERAALAPKSPLPGVPFDEDDLERDVDSEPAEI
+KGEAAENGDAGDTGAELDDDQHWSDDVPSEANTELHLPAVGAELELRVSDGEEEPPPASV
+GHPERGPSRVSSPTRSPEEPTGLSSPARSPGAQSAHPPLAAVATGVRSPAESPLPEPSTP
+PAEPEAHPPIRSQPEARTPPSPASPQRPSPPTQLPICSQPQPSPEATVPSPTLSPIRSQP
+VPARTSTPLAPLPVKNQGVTKDTLGSSLPGDEALKRSDLVAEFWMKSAEIRRSLGLTPVH
+RSPGSELAFQSPPLKACPAEKAPQSEGLRLLKPPPVPRKLGLPAAEGAQPCPPTPVSPPD
+REPKGPREEHRDLSSSSGLGLQGSSSRTRTPGSQSFNTSDSTMLTPPSSPPPPPPDEEPA
+TLHRKPALAGQLVASAPPPPAVCVRPPREPTQPPQEEARKSFVESVDEIPFADDVEDTYD
+DNTCDDRTEDSSLQETFFTPPSHWPHPKQPLAPENGRGPESAVPLQKRGLPLVSAEAKEL
+AAERMRAREKSVRSQALRDAMARQLSRMKEMDIAAAAPRTPRTPAPRRATAVPPKGPEEP
+APRHEATSEELLSPPSDSGGPDGSVTSSEGSSGKSKKRSSLFSPRRSKKEKKPKGEGRPL
+ERPSPGTLEEAAAKPRSLWKSVFSGYRKDKKKSDGRSCPSTPSSGTTVDAGKPRASPVSR
+AELRTRRQLSCSEDSDLSSDDVLERTSQKSRKEPRTYTEEELNAKLTRRVQKAARRQAKQ
+EELKRLHRAQIIQRQLEQVEEKQRQLEERGVAVEKALRGEAGMGKKDDPKLMQEWFKLVQ
+EKNAMVRYESELMIFARELELEDRQSRLQQELRERMAVEDHLKTEEELAEEKRILNEMLE
+VVEQRDALVALLEEQRLREKEEDKDLEAAMLSKGFSLHWS
+>sp|Q2M2S6|HPIP_BOVIN Host cell factor C1 regulator 1 OS=Bos taurus OX=9913 GN=HCFC1R1 PE=3 SV=1
+MILQQPLERGPQGRAQRDPRAASGASGGLDAREPLRKQFLSEENMATHFSRLSLHNDHPY
+CSPPRAFPPALPPLRSPCSELLLWRYPGNLIPEALRLLRLGDTPTPHYPASPAGDMMEL
+>sp|A4FUZ6|HSDL2_BOVIN Hydroxysteroid dehydrogenase-like protein 2 OS=Bos taurus OX=9913 GN=HSDL2 PE=2 SV=1
+MLPNTGKLAGCTVFITGASRGIGKAIALKAAKDGANIVIAAKTAQAHPKLPGTIYTAAEE
+IKAAGGKALPCIVDVRDEEQISSAVEKAVEKFGGIDILVNNASAISLTNTLETPTKKVDL
+MMNVNTRGTYLTSKACIPYLKKSKVAHILNLSPPLNLNPLWFKQHCAYTIAKYGMSMCVL
+GMAEEFKGEIAVNALWPRTAIHTAAMDMLGGSGVESQCRKVDIMADAAYCIFKKPKSFTG
+NFIIDENILKEEGIKNFDVYAITPGHPLLPDFFLDEQPAMVTKKADSYGAVPELKEEKTQ
+PPPKARSGAVEETFRIVKDSLSDDIVKATQAVYQFELSGEDGGTWFLDLKSKGGNIGYGE
+PSDQADVVMSMSTDDFVKMFSGKLKPTMAFMSGKLKIKGNMALAIKLEKLMNQMNSKL
+>sp|P68432|H31_BOVIN Histone H3.1 OS=Bos taurus OX=9913 PE=1 SV=2
+MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRYRPGTVALREIRRYQKSTE
+LLIRKLPFQRLVREIAQDFKTDLRFQSSAVMALQEACEAYLVGLFEDTNLCAIHAKRVTI
+MPKDIQLARRIRGERA
+>sp|Q3SZX4|CAH3_BOVIN Carbonic anhydrase 3 OS=Bos taurus OX=9913 GN=CA3 PE=2 SV=3
+MAKEWGYADHNGPDHWHELFPNAKGENQSPIELNTKEISHDPSLKPWTASYDPGSAKTIL
+NNGKTCRVVFDDTYDRSMLRGGPLAAPYRLRQFHLHWGSSDDHGSEHSVDGVKYAAELHL
+VHWNSKYNSYATALKHADGIAVVGVFLKIGREKGEFQLLLDALDKIKTKGKEAPFNNFNP
+SCLFPACRDYWTYHGSFTTPPCEECIVWLLLKEPITVSSDQIAKLRTLYSSAENEPPVPL
+VRNWRPPQPIKGRIVKASFK
+>sp|P35720|C560_BOVIN Succinate dehydrogenase cytochrome b560 subunit, mitochondrial OS=Bos taurus OX=9913 GN=SDHC PE=1 SV=2
+MAALLLRHVGRHCLRAHLSPQLCIRNAVPLGTTAKEEMERFWSKNTTLNRPLSPHISIYG
+WSLPMAMSICHRGTGIALSAGVSLFGLSALLVPGSFESHLEFVKSLCLGPALIHTAKFAL
+VFPLMYHTWNGIRHLMWDLGKGLTISQLHQSGVAVLVLTVLSSVGLAAM
+>sp|E1BD44|BATF_BOVIN Basic leucine zipper transcriptional factor ATF-like OS=Bos taurus OX=9913 GN=BATF PE=3 SV=1
+MPHSSDSSDSSFSRSPPPGKQDSSDDVRKVQRREKNRIAAQKSRQRQTQKADTLHLESED
+LEKQNAALRKEIKQLTEEMKYFTSVLSSHEPLCSVLAPGAPSPPEVVYSTHAFHQPHVSS
+PRFQP
+>sp|Q58CX9|CBPC5_BOVIN Cytosolic carboxypeptidase-like protein 5 OS=Bos taurus OX=9913 GN=AGBL5 PE=2 SV=3
+MELRCGGLLFSSRFDSGNLAHVEKVESVSNDGEGVAGGASASTSSIASSPDYEFNVWTRP
+DCAETEFENGNRSWFYFSVRGGTPGKLIKINIMNMNKQSKLYSQGMAPFVRTLPTRPRWE
+RIRDRPTFEMTETQFVLSFIHRFVEGRGATTFFAFCYPFSYSDCQDLLSQLDQRFLENSP
+THSSPLDTIYYHRETLCYSLDGLRVDLLTISSCHGLREDREPRLEQLFPDASTPRPFRFT
+GKRIFFLSSRVHPGETPSSFVFNGFLDFILRPDDPRAQTLRRLFVFKLIPMLNPDGVVRG
+HYRTDSRGVNLNRQYLKPDAVLHPAIYGAKAVLLYHHVHSRLNSQSPSEHQHSSHLPPDA
+PLSDPEKADSLQNRAHLGRSSSGDKPEAWTQTEVAEQKPNSVWITPQESAEVEQLAPDAI
+PPRESGVAYYVDLHGHASKRGCFMYGNSFSDENTQVENMLYPKLISLNSAHFDFQGCNFS
+EKNMYARDRRDGQSKEGSGRVAIYKASGIIHSYTLECNYNTGRSVNSIPAACHDNGRASP
+PPPPAFPSRYTVELFEQVGRAMAIAALDMAECNPWPRIVLSEHSSLTNLRAWMLKHVRSS
+RGLSTTVNMSISKKRGSRTPPRSNNGLPVSCSENTLSRARSFSTGTSAGGSSSSQQNSPQ
+MKNSPSFPFHGSRPAGLPGLGSSTQKVSHRVLGPVREPRSQDRRRRQQPVTHRPTSSSLA
+PSPTPASSNLASSHMGSCLLPNSLSISGSSCSFLSSGDKTEAVMVIGKGLLGAGPRIPCI
+RTRLQARPRLAQGSPPTRRGMRGSPGPTSPIPQTKKSSEPELGPRCTPRLPQAGPPRPCS
+APAFSPISCSLSDSQSRICYSGGPLGQTEVCFVPKSPPFTVSPRV
+>sp|O18920|ANGP1_BOVIN Angiopoietin-1 OS=Bos taurus OX=9913 GN=ANGPT1 PE=2 SV=3
+MTVFLSFAFLAAILTHIGCSNQRRSPENGGRRYNRIQHGQCAYTFILPEHDGNCRESTTD
+QYNTNALQRDAPHVEQDFSSQKLQHLEHVMENYTQWLQKIENYIVENMKSEMAQIQQNAV
+QNHTATMLEIGTSLLSQTAEQTRKLTDVETQVLNQTSRLEIQLLENSLSTYKLEKQLLQQ
+TNEILKIHEKNSLLEHKIFEMEGKHKEELDTLKEEKENLQGLVTRQTYIIQELEKQLNRA
+TTNNSVLQKQQLELMDTVHNLVNLCTKEVLLKGGKKEEEKPFRDCADVYQAGFNKSGIYT
+IYINNMPEPKKVFCNMDLNGGGWTVIQHREDGSLDFQRGWKEYKMGFGNPSGEYWLGNEF
+IFAITSQRQYTLRIELLDWEGNRAYSQYDRFHIGNEKQNYRLYLKGHTGTAGKQSSLILH
+GADFSTKDADNDNCMCKCALMLTGGWWFDACGPSNLNGMFYTAGQNHGKLNGIKWHYFKG
+PSYSLRSTTMMIRPLDF
+>sp|O77750|AQP4_BOVIN Aquaporin-4 OS=Bos taurus OX=9913 GN=AQP4 PE=2 SV=3
+MSDRPAARRWGKCGPLCTRESIMVAFKGVWTQTFWKAVTAEFLAMLIFVLLSLGSTINWG
+GAEKPLPVDMVLISLCFGLSIATMVQCFGHISGGHINPAVTVAMVCTRRISIAKSVFYIA
+AQCLGAIIGAGILYLVTPPSVVGGLGVTTVHGNLSAGHGLLVELIITFQLVFTIFASCDS
+KRTDVTGSIALAIGISVAIGHLFAINYTGASMNPARSFGPAVIMGNWENHWIYWVGPIIG
+AVLAGGLYEYVFCPDVELKRRFKEAFSKAAQQTKGSYMEVEDNRSQVETDDLILKPGVVH
+VIDIDRGEEKKGKDPSGEVLSSV
+>sp|Q0P5D9|ALG8_BOVIN Probable dolichyl pyrophosphate Glc1Man9GlcNAc2 alpha-1,3-glucosyltransferase OS=Bos taurus OX=9913 GN=ALG8 PE=2 SV=1
+MAAFGIATGGSNWFSALALGVTLLKCLLIPTYHSTDFEVHRNWLAITHSLPISQWYYEAT
+SEWTLDYPPFFAWFEYALSHVAKYFDQEMLNVRNLNYSSSRTLLFQRFSVIFTDALFVYA
+VHECCKCIDGKKAGKELTEKPKFILSALLLWNFGLLIVDHIHFQYNGFLSGLMLLSIARF
+FQKRHMEGAFLFAVLLHFKHIYLYVAPAYGVYLLRSYCFTANKQDGSIRWNSFSFVRLIS
+LGLIVFLVSALSLGPFLALNQLPQVFSRLFPFKRGLCHAYWAPNFWALYSAFDKVLSVIG
+LELKLLDPNKIPKASMTSGLVQQFQHTVLPSVTPLATFICTLIAMLPSVFCLWCKPQGPR
+GFLRCLILCALSSFMFGWHVHEKAILLAVLPMSLLSVGKAVDASIFLILTTTGHYSPFPL
+LFTAPELPIKIILMLLFTIYSISSLKTLFRKEKPLFNWMETFYLLGLGPLEVFCEFVFPF
+TSWNLKYPFIPLLLTSVYCAVGITYAWLKLYVSVLIDPPVGKTKKQ
+>sp|Q32KZ2|ACL7A_BOVIN Actin-like protein 7A OS=Bos taurus OX=9913 GN=ACTL7A PE=2 SV=1
+MALESVWAPQAAVIGDGLSERVGEQASPQTQVLQTASLKDGPAKRAVWVRRDHSEPEPTT
+SPEVKKPKLELTKAVVVDLGTGYCKCGFAGLPKPTHRISTTVGKPYMETAKTGDNRKETF
+VGHELINPEVRLKLINPLRHGIIVDWDTVQDIWEYLFHQEMKIAPEEHAVLVSDPPLSPH
+TNREKYAEMLFETFKTPAMHIAYQSRLSMYSYGRTSGLVVEVGHGVSYVVPIYEGYPLPS
+ITGRLDYAGSDLTTYLMCLMNTAGKHFTEGQLGIVEDIKKKCCFVALDPIEEKKVPATEH
+MIQYTLPDGQAIYLCQERFLCSEMFFKPSLIKSMQLGLHTQTVSCLNKCDIALKRDLMGN
+ILLCGGSTMLSGFPNRLQKELSSMCPNDTPQVSVLPERDTAVWTGGSILASLQGFQPLWV
+HRSEYEEHGPFFLYRRCF
+>sp|P98133|FBN1_BOVIN Fibrillin-1 OS=Bos taurus OX=9913 GN=FBN1 PE=1 SV=2
+MRRGGLLEVALGFTVLLASYTSHGADTNLEAGNVKETRANRAKRRGGGGHDALKGPNVCG
+SRYNAYCCPGWKTLPGGNQCIVPICRHSCGDGFCSRPNMCTCPSGQIAPSCGSRSIQHCN
+IRCMNGGSCSDDHCLCQKGYIGTHCGQPVCESGCLNGGRCVAPNRCACTYGFTGPQCERD
+YRTGPCFTVISNQMCQGQLSGIVCTKTLCCATVGRAWGHPCEMCPAQPHPCRRGFIPNIR
+TGACQDVDECQAIPGLCQGGNCINTVGSFECKCPAGHKFNEVSQKCEDIDECSTIPGICD
+GGECTNTVSSYFCKCPPGFYTSPDGTRCIDVRPGYCYTALANGRCSNQLPQSITKMQCCC
+DVGRCWSPGVTVAPEMCPIRATEDFNKLCSVPMVIPERPGYPPPPLGPVPPVQPVPPGFP
+PGPQIMIPRPPVEYPYPSREPPRVLPVNVTDYCQLFRYLCQNGRCIPTPGSYRCECNKGF
+QLDLRGECIDVDECEKNPCAGGECINTQGSYTCQCRPGYQSTLTRTECRDIDECLQNGRI
+CNNGRCINTDGSFHCVCNAGFHVTRDGKNCEDMDECSIRNMCLNGMCINEDGSFKCICKP
+GFQLASDGRYCKDINECETPGICMNGRCVNTDGSYRCECFPGLAVGLDGRVCVDTHMRST
+CYGGYKRGQCVKPLFGAVTKSECCCASTEYAFGEPCQPCPSQNSAEYQALCSSGPGITSA
+GSDINECALDPDICPNGICENLRGTYKCICNSGYEVDSTGKNCVDINECVLNSLLCDNGQ
+CRNTPGSFVCTCPKGFIYKPELKTCEDIDECESSPCINGVCKNSPGSFICECSSESTLDP
+TKTICIETIKGTCWQTVIDGRCEININGATLKSQCCSSLGAAWGSPCTPCQVDPICGKGY
+SRIKGTQCEDIDECEVFPGVCKNGLCVNSKGSFKCQCPSGMTLDATGRICLDIRLETCFL
+RYEDEECTLPVAGRHRMDACCCSVGAAWGTEECEECPVRNTPEYEELCPRGPGFATKEIT
+NGKRFFKDINECKMIPNLCTHGKCRNTIGSFKCRCDSGFALDSEERNCTDIDECRISPDL
+CGRGQCVNTPGDFECKCDEGYESGFMMMKNCMDIDECQRDPLLCRGGVCLNTEGSYRCEC
+PPGHQLAPNISACIDINECELSAHLCPHGRCVNLIGKYQCACNPGYHSTPDRLFCVDIDE
+CSIMNGGCETFCTNSEGSYECSCQPGFALMPDQRSCTDIDECEDNPNICDGGQCTNIPGE
+YRCLCYDGFMASEDMKTCVDVNECDLNPNICLSGTCENTKGSFICHCDMGYSGKKGKTGC
+TDINECEIGAHNCDRHAVCTNTAGSFKCSCSPGWIGDGIKCTDLDECSNGTHMCSQHADC
+KNTMGSYRCLCKEGYTGDGFTCTDLDECSENLNLCGNGQCLNAPGGYRCECDMGFVPSAD
+GKACEDIDECSLPNICVFGTCHNLPGLFRCECEIGYELDRSGGNCTDVNECLDPTTCISG
+NCVNTPGSYTCDCPPDFELNPTRVGCVDTRSGNCYLDIRPRGDNGDTACSNEIGVGVSKA
+SCCCSLGKAWGTPCELCPPVNTSEYKILCPGGEGFRPNPITVILEDIDECQELPGLCQGG
+KCINTFGSFQCRCPTGYYLNEDTRVCDDVNECETPGICGPGTCYNTVGNYTCICPPDYMQ
+VNGGNNCMDMRRSLCYRNYYADNQTCDGELLFNMTKKMCCCSYNIGRAWNKPCEQCPIPS
+TDEFATLCGSQRPGFVIDIYTGLPVDIDECREIPGVCENGVCINMVGSFRCECPVGFFYN
+DKLLVCEDIDECQNGPVCQRNAECINTAGSYRCDCKPGYRFTSTGQCNDRNECQEIPNIC
+SHGQCIDTVGSFYCLCHTGFKTNADQTMCLDINECERDACGNGTCRNTIGSFNCRCNHGF
+ILSHNNDCIDVDECATGNGNLCRNGQCINTVGSFQCQCNEGYEVAPDGRTCVDINECLLD
+PRKCAPGTCQNLDGSYRCICPPGYSLQNDKCEDIDECVEEPEICALGTCSNTEGSFKCLC
+PDGFSLSSTGRRCQDLRMSYCYAKFEGGKCSSPKSRNHSKQECCCALKGEGWGDPCELCP
+TEPDEAFRQICPYGSGIIVGPDDSAVDMDECKEPDVCKHGQCINTDGSYRCECPFGYILQ
+GNECVDTDECSVGNPCGNGTCKNVIGGFECTCEEGFEPGPMMTCEDINECAQNPLLCAFR
+CVNTYGSYECKCPAGYVLREDRRMCKDEDECEEGKHDCAEKQMECKNLIGTYLCICGPGY
+QRRPDGEGCVDENECQTKPGICENGRCLNTRGSYTCECNDGFTASPNQDECLDNREGYCF
+TEVLQNMCQIGSSNRNPVTKSECCCDGGRGWGPHCEICPFQGTVAFKKLCPHGRGFMTNG
+ADIDECKVIHDVCRNGECVNDRGSYHCICKTGYTPDITGTACVDLNECNQAPKPCNFICK
+NTEGSYQCSCPKGYILQEDGRSCKDLDECATKQHNCQFLCVNTIGSFTCKCPPGFTQHHT
+ACIDNNECTSDINLCGSKGICQNTPGSFTCECQRGFSLDPSGASCEDVDECEGNHRCQHG
+CQNIIGGYRCSCPQGYLQHYQWNQCVDENECLSAHICGGASCHNTLGSYKCMCPAGFQYE
+QFSGGCQDINECGSAQAPCSYGCSNTEGGYLCACPPGYFRIGQGHCVSGMGMGRGNPEPP
+ASGEMDDNSLSPEACYECKINGYPKRGRKRRSANETDASNIEDQPEIEANVSLASWDVEK
+TAVFAFNISHISNKVRILELLPALTTLTNHNRYLIESGNENGFFKINQKEGISYLHFTKK
+KPVAGTYSLQISSTPLYKKKELNQLEDKYDKDYLSGELGDNLKMKIQILLH
+>sp|A5PK43|ERAL1_BOVIN GTPase Era, mitochondrial OS=Bos taurus OX=9913 GN=ERAL1 PE=2 SV=1
+MAASSWRGAVLLRTVSGLWQAGPDAAREWMTRLPSLLGFQQRCVSCVAGPAFSGPRLASA
+SRPNGQNSALDCFLGLSQPDNSLPFRVPAVSVHRDEQDLLLVHRPDMPENPRVLRVVLLG
+APNAGKSTLSNQLLGRKVFPVSKKVHTTRSQALGVITEKETQVILLDTPGLISPAKQKRH
+HLELSLLEDPWKSMESADLVVVLVDVSDKWTRNQLSPQVLRCLTQFSQVPSILVMNKVDC
+LKQKSVLLELTAALTEGVVNGKKLKTKQALRSRPDTHCPSPAAQGPNPQPVRDPQQMGWP
+HFQEIFMLSALSQEDVKTLKQYLLAQARPGPWEFHSEVLTSQTPEEICANMIREKLLEYL
+PEEVPYNVQQKTVVWDEGPSGELVIEQKLLVSKESHMKILIGPKGYLIAQIAQEVGRDLM
+NIFLCEVQLRLSVKLLK
+>sp|P07471|CX6A2_BOVIN Cytochrome c oxidase subunit 6A2, mitochondrial OS=Bos taurus OX=9913 GN=COX6A2 PE=1 SV=2
+MALPLKSLSRGLASAAKGDHGGTGARTWRFLTFGLALPSVALCTLNSWLHSGHRERPAFI
+PYHHLRIRTKPFSWGDGNHTFFHNPRVNPLPTGYEKP
+>sp|A8E639|F168B_BOVIN Myelin-associated neurite-outgrowth inhibitor OS=Bos taurus OX=9913 GN=FAM168B PE=2 SV=2
+MNPVYSPGSSGVPYANAKGIGYPAGFPMGYAAAAPAYSPNMYPGANPTFQAGYTSGTPYK
+VSCSPTSGAVPPYSSSPNPYQTAVYPVRSAYPQQSPYAQQGTYYTQPLYAAPPHVIHHTT
+VVQPNGMPATVYPAPLPPPRGNGVTMGMVAGTTMAMSAGTLLTAHSPTPVAPHPVTVPTY
+RAPGTPTYSYVPPQW
+>sp|Q2KHW8|GEMI6_BOVIN Gem-associated protein 6 OS=Bos taurus OX=9913 GN=GEMIN6 PE=2 SV=1
+MNEWMKKGPLEWQDYTYKAVSVTASDKEYKGWVLTTDPVSANIVLVNFLEDGSMSVTGIM
+GHAVQTVEIVNEGDHSVREKLMHLFMSGDCKAYSPEDLEKRKNSLKKWLEKNHIPITEQR
+DSRKTLCVAGVLTIDPPYGPENCNSSNEIILSRVQDLIQGHLEASQ
+>sp|Q5BIM9|GPHR_BOVIN Golgi pH regulator OS=Bos taurus OX=9913 GN=GPR89A PE=2 SV=2
+MSFLIDSSIMITSQILFFGFGWLFFMRQLFKDYEVRQYVVQVIFSVTFAFSCTMFELIIF
+EILGVLNSSSRYFHWKMNLCVILLILVFMVPFYIGYFIVSNIRLLHKQRLLFSCLLWLTF
+MYFFWKLGDPFPILSPKHGILSIEQLISRVGVIGVTLMALLSGFGAVNCPYTYMSYFLRN
+VTDTDILALERRLLQTMDMIISKKKRMAMTRRTMFQKGEVHNKPSGFWGMIKSVTTSAPG
+SENLTLIQQEVDALEELSRQLFLETADLYATKERIEYSKTFKGKYFNFLGYFFSIYCVWK
+IFMATINIVFDRVGKTDPVTRGIEITVNYLGIQFDVKFWSQHISFILVGIIIVTSIRGLL
+ITLTKFFYAISSSKSSNVIVLLLAQIMGMYFVSSVLLIRMSMPLEYRTIITEVLGELQFN
+FYHRWFDVIFLVSALSSILFLYLAHKQAPEKHMAP
+>sp|P18246|CXA1_BOVIN Gap junction alpha-1 protein OS=Bos taurus OX=9913 GN=GJA1 PE=2 SV=2
+MGDWSALGKLLDKVQAYSTAGGKVWLSVLFIFRILLLGTAVESAWGDEQSAFRCNTQQPG
+CENVCYDKSFPISHVRFWVLQIIFVSVPTLLYLAHVFYVMRKEEKLNKKEEELKVVAQTD
+GANVDMHLKQIEIKKFKYGIEEHGKVKMRGGLLRTYIISILFKSVFEVAFLLIQWYIYGF
+SLSAVYTCKRDPCPHQVDCFLSRPTEKTIFIIFMLVVSLVSLALNIIELFYVFFKGVKDR
+VKGKSDPYHTTTGPLSPSKDCGSPKYAYFNGCSSPTAPLSPMSPPGYKLVTGDRNNSSCR
+NYNKQASEQNWANYSAEQNRMGQAGSTISNSHAQPFDFPDDHQNSKKLDAGHELQPLAIV
+DQRPSSRASSRASSRPRPDDLEI
+>sp|Q2QDE9|DNSL1_BOVIN Deoxyribonuclease-1-like 1 OS=Bos taurus OX=9913 GN=DNASE1L1 PE=2 SV=1
+MHSSGGFQKAIHGHALLLLLLLASGAETFRICAFNAQRLTLAKVAREPVLDTLVKILARC
+DIMVLQEVVDSSDTAIPLLLRELNRFGDSGPYASHSSLLLGRSTYKEKYVYLYRSHEAEV
+RDSYMYDDQDDLFTREPFVCWFSLRSKVLPSLVLVPLHTTPKAVETELNALYDVFLDASG
+RWQTKDVILLGDFNADCTSLTKKRLDDLVLRTQAGFHWAIADGVDTTVRASTHCTYDRIV
+LHGELLQSLLRGAAAFDFPQSFGLTEQEALNISDHYPVEVDLALSWAVHGVQPPCLATLW
+LSLLLPLLAPQLGLVA
+>sp|Q3SZ70|CV039_BOVIN UPF0545 protein C22orf39 homolog OS=Bos taurus OX=9913 PE=3 SV=1
+MAEGEGWRPPRPCEAYRAEWELCRSAGHFLRHYYVHGERPACGQWRRDLASCREWEERRS
+AEAQADSLPPGPEGEPRVAGAGPNAVTGILTRNQGTERPHGDTR
+>sp|A1A4K3|DDB1_BOVIN DNA damage-binding protein 1 OS=Bos taurus OX=9913 GN=DDB1 PE=1 SV=1
+MSYNYVVTAQKPTAVNGCVTGHFTSAEDLNLLIAKNTRLEIYVVTAEGLRPVKEVGMYGK
+IAVMELFRPKGESKDLLFILTAKYNACILEYKQSGESIDIITRAHGNVQDRIGRPSETGI
+IGIIDPECRMIGLRLYDGLFKVIPLDRDNKELKAFNIRLEELHVIDVKFLYGCQAPTICF
+VYQDPQGRHVKTYEVSLREKEFNKGPWKQENVEAEASMVIAVPEPFGGAIIIGQESITYH
+NGDKYLAIAPPIIKQSTIVCHNRVDPNGSRYLLGDMEGRLFMLLLEKEEQMDGTVTLKDL
+RVELLGETSIAECLTYLDNGVVFVGSRLGDSQLVKLNVDSNEQGSYVVAMETFTNLGPIV
+DMCVVDLERQGQGQLVTCSGAFKEGSLRIIRNGIGIHEHASIDLPGIKGLWPLRSDPNRE
+TDDTLVLSFVGQTRVLMLNGEEVEETELMGFVDDQQTFFCGNVAHQQLIQITSASVRLVS
+QEPKALVSEWKEPQGKNISVASCNSSQVVVAVGRALYYLQIHPQELRQISHTEMEHEVAC
+LDITPLGDSNGMSPLCAIGLWTDISARIAKLPSFELLHKEMLGGEIIPRSILMTTFESSH
+YLLCALGDGALFYFGLNIETGLLSDRKKVTLGTQPTVLRTFRSLSTTNVFACSDRPTVIY
+SSNHKLVFSNVNLKEVNYMCPLNSDGYPDSLALANNSTLTIGTIDEIQKLHIRTVPLYES
+PRKICYQEVSQCFGVLSSRIEVQDTSGGTTALRPSASTQALSSSVSSSKLFSSSTAPHET
+SFGEEVEVHNLLIIDQHTFEVLHAHQFLQNEYALSLVSCKLGKDPNTYFIVGTAMVYPEE
+AEPKQGRIVVFQYSDGKLQTVAEKEVKGAVYSMVEFNGKLLASINSTVRLYEWTTEKELR
+TECNHYNNIMALYLKTKGDFILVGDLMRSVLLLAYKPMEGNFEEIARDFNPNWMSAVEIL
+DDDNFLGAENAFNLFVCQKDSAATTDEERQHLQEVGLFHLGEFVNVFCHGSLVMQNLGET
+STPTQGSVLFGTVNGMIGLVTSLSESWYNLLLDMQNRLNKVIKSVGKIEHSFWRSFHTER
+KTEPATGFIDGDLIESFLDISRPKMQEVVANLQYDDGSGMKREATADDLIKVVEELTRIH
+>sp|P52898|DDBX_BOVIN Dihydrodiol dehydrogenase 3 OS=Bos taurus OX=9913 PE=2 SV=1
+MDPKGQRVKLNDGHFIPVLGFGTFAPREVPKSEALEVTKFAIEAGFRHIDSAHLYQNEEQ
+VGQAIRSKIADGTVKREDIFYTSKLWSTSLRPELVRPALEKSLNNLQLDYVDLYIIHFPV
+ALKPGETLFPTDENGKPIFDSVDLCRTWEALEKCKDAGLTKSIGVSNFNHKQLEKILNKP
+GLKYKPVCNQVECHPYFNQSKLLDFCKSHDIVLVAYGALGSQRLKEWVNPNLPFLLEDPV
+LSAIAKKHRQTPALVALRYQIQRGVVVLAKSYNKKRIKENIQVFDFELTPEDMKAIDGLN
+SNMRYNELLLGVGHPEYPFVEEY
+>sp|Q9MZ06|FGFP1_BOVIN Fibroblast growth factor-binding protein 1 OS=Bos taurus OX=9913 GN=FGFBP1 PE=1 SV=1
+MRTHGLTLLSLLLLAVPMLLVEAKKEGRNRRGSKASADESLALGKPGKEPRSQPTNYPIK
+GKFVTPDHADCRWAVTKQEEGIVLKVECTQRDNTFSCFFTGNPTSCLELHKNNAYWKQIG
+RNLRSQKVICGDAKSVLKTRVCRKKFPESNLKLVNSTLIRIKKPSQELMEPSPMDTVEVT
+TSSSPEKTQTMATKDPQCEEEDLKNQRKAALEYCGETWGSLCNFFLSMVQGSSC
+>sp|Q0IIF2|EI2BA_BOVIN Translation initiation factor eIF-2B subunit alpha OS=Bos taurus OX=9913 GN=EIF2B1 PE=2 SV=1
+MDNTELIEYFKSQIKEDPDMASAVAAIRTLLEYLRRDTGETIQGLRANLTSAIETLCGVD
+SSVAVSSGGELFLRFISLTSLEYSDYSKCKKIMIERGEIFLRRISLSRNKIADLCHTFIK
+DGARILTHAYSRVVLRVLEAAVAAKKRFSVYITESQPDLSGKKMAKALCHLNVPVTVVLD
+AAVGYIMEKVDLVIVGAEGVVENGGIINKIGTNQMAVCAKAQNKPFYVVAESFKFVRLFP
+LNQQDVPDKFKYKADTLKSVQTGQDLREEHPWVDYTSPSLITLLFTDLGVLTPSAVSDEL
+IKLYL
+>sp|Q3SZ25|EED_BOVIN Polycomb protein EED OS=Bos taurus OX=9913 GN=EED PE=2 SV=1
+MSEREVSTVPAGTDMPAAKKQKLSSDENSNPDLSGDENDDAVSIESGTNTERPDTPTNTP
+NAPGRKSWGKGKWKSKKCKYSFKCVNSLKEDHNQPLFGVQFNWHSKEGDPLVFATVGSNR
+VTLYECHSQGEIRLLQSYVDADADENFYTCAWTYDSNTSHPLLAVAGSRGIIRIINPITM
+QCIKHYVGHGNAINELKFHPRDPNLLLSVSKDHALRLWNIQTDTLVAIFGGVEGHRDEVL
+SADYDLLGEKIMSCGMDHSLKLWRINSKRMMNAIKESYDYNPNKTNRPFISQKIHFPDFS
+TRDIHRNYVDCVRWLGDLILSKSCENAIVCWKPGKMEDDIDKIKPSESNVTILGRFDYSQ
+CDIWYMRFSMDFWQKMLALGNQVGKLYVWDLEVEDPHKAKCTTLTHHKCGAAIRQTSFSR
+DSSILIAVCDDASIWRWDRLR
+>sp|Q0III3|DC1I2_BOVIN Cytoplasmic dynein 1 intermediate chain 2 OS=Bos taurus OX=9913 GN=DYNC1I2 PE=1 SV=1
+MSDKSELKAELERKKQRLAQIREGKKRKEEERKKKETDQKKEAVAPVQEESDLEKKRREA
+EALLQSMGLTAESPIVPPPMSPSSKSVSTPSEAGSQDSGDGAVGSRRGPIKLGMAKITQV
+DFPPREIVTYTKETQTPVMAQPKEDEEEEDDVVTPKPPIEPEEEKTLKKDEESDSKAPPH
+ELTEEEKQQILHSEEFLSFFDHSTRIVERALSEQINIFFDYSGRDLEDKEGEIQAGAKLS
+LNRQFFDERWSKHRVVSCLDWSSQYPELLVASYNNNEDAPHEPDGVALVWNMKYKKTTPE
+YVFHCQSAVMSATFAKFHPNLVVGGTYSGQIVLWDNRSNKRTPVQRTPLSAAAHTHPVYC
+VNVVGTQNAHNLISISTDGKICSWSLDMLSHPQDSMELVHKQSKAVAVTSMSFPVGDVNN
+FVVGSEEGSVYTACRHGSKAGISEMFEGHQGPITGIHCHSAVGAVDFSHLFVTSSFDWTV
+KLWTTKNNKPLYSFEDNSDYVYDVMWSPTHPALFACVDGMGRLDLWNLNNDTEVPTASIS
+VEGNPALNRVRWTHSGREIAVGDSEGQIVIYDVGEQIAVPRNDEWARFGRTLAEINANRA
+DAEEEAATRIPA
+>sp|Q0VD59|MARH8_BOVIN E3 ubiquitin-protein ligase MARCH8 OS=Bos taurus OX=9913 GN=MARCH8 PE=2 SV=1
+MNMPLHQISAIPSQDATSARVYRSKTKEKEREEQNEKTLGHSMSHSSNISKAGGSSVASA
+PVSSFPRTSVTPSNQDICRICHCEGDDESPLITPCRCTGSLHFVHQTCLQQWIKSSDTRC
+CELCKYEFIMETKLKPLRKWEKLQMTSSERRKIMCSVTFHVIAITCVVWSLYVLIDRTAE
+EIRQGQATGILEWPFWTKLVVVAIGFTGGLLFMYVQCKVYVQLWRRLKAYNRVIYVQNCP
+ETSKRNIFEKPALPEPNFESKDGRGVCHSDTNSSCCTEPEDTGAEIIHV
+>sp|Q29444|MANBA_BOVIN Beta-mannosidase OS=Bos taurus OX=9913 GN=MANBA PE=1 SV=1
+MLLRLLLLLAPCGAGFATKVVSISLRGNWKIHSGNGSLQLPATVPGCVHSALFNKRIIKD
+PYYRFNNLDYRWIALDNWTYIKKFKLHSDMSTWSKVNLVFEGIDTVAVVLLNSVPIGKTD
+NMFRRYSFDITHTVKAVNIIEVRFQSPVVYANQRSERHTAYWVPPNCPPPVQDGECHVNF
+IRKMQCSFGWDWGPSFPTQGIWKDVRIEAYNVCHLNYFMFTPIYDNYMKTWNLKIESSFD
+VVSSKLVSGEAIVAIPELNIQQTNNIELQHGERTVELFVKIDKAIIVETWWPHGHGNQTG
+YNMSVIFELDGGLRFEKSAKVYFRTVELVEEPIQNSPGLSFYFKINGLPIFLKGSNWIPA
+DSFQDRVTSAMLRLLLQSVVDANMNALRVWGGGVYEQDEFYELCDELGIMIWQDFMFACA
+LYPTDKDFMDSVREEVTHQVRRLKSHPSIITWSGNNENEAALMMGWYDTKPGYLQTYIKD
+YVTLYVKNIRTIVLEGDQTRPFITSSPTNGAKTIAEGWLSPNPYDLNYGDVHFYDYVSDC
+WNWRTFPKARFVSEYGYQSWPSFSTLEKVSSEEDWSYRSSFALHRQHLINGNNEMLHQIE
+LHFKLPNSTDQLRRFKDTLYLTQVMQAQCVKTETEFYRRSRSEIVNGKGHTMGALYWQLN
+DIWQAPSWSSLEYGGKWKMLHYFARHFFAPLLPVGFEDKDMLFIYGASHLHSDQQMMLTV
+RVHTWSSLELVCSESTNPFVIKAGESVLLYTKPVPELLKGCPGCTRQSCVVSFYLSTDGE
+LLSPINYHFLSSLKNAKGLHKANITATISQQGDTFVFDLKTSAVAPFVWLDVGSIPGRFS
+DNGFLMTEKTRTVFFYPWKPTSKSELEQSFHVTSLADTY
+>sp|A5PKL7|LZTS2_BOVIN Leucine zipper putative tumor suppressor 2 OS=Bos taurus OX=9913 GN=LZTS2 PE=2 SV=1
+MAIVQTLPVPLEPAPEAATAQQAPAMGSVSSLISGRPCPGGPAPPRHHGPPGPTFFRQQD
+GLLRGGYEAQEPLCPAVPPRKAVPGTNFTYINEDFRTESPPSPSSDLEDAREQRARNAHL
+RGPPPKLIPVSGKLEKNMEKIVIRPTAFKPVLPKPRGVPSLPSFLGPRATGPSGSQGSLT
+QLFGGPASSSSSSSSSAADKPLILSGWASGCPSGTLSDSGRNSLSSLPTYSTGGAEPATN
+SPGGHLPSHGPGRGALPGPARGAPTGPSHSDSGRSSSSKSTGSLGGRLAGGLLGSGPRAS
+PDSSSCGERSPPPPPPPPPPSDEALLHCVLEGKLRDREAELQQLRDSLDESEVTMCQVYE
+ERQRHWPREREALREDGVARAQRAQQLLQLQVFQLQQEKRQLQDDFAQLLQEREQLERRC
+AAFEREQRELGPRLEETKWEVCQKSGEISLLKQQLKESQSELVQKGSELVALRVALREAR
+AALRVSEGRARGLQEAARTRELELEACSQELQRHRQEAERLREKAGQLDSEAAGLREPPV
+PPATADPFLLAESDEAKAQRAAAGVGGSLRAQVERLRAELQRERRQGEEQRDSFEGERLA
+WQAEKEQVIRYQKQLQHNYIQMYRRNRQLEQELQQLSLELEARELADLGLAEPAPCICLE
+EITATEI
+>sp|Q17QU5|LST8_BOVIN Target of rapamycin complex subunit LST8 OS=Bos taurus OX=9913 GN=MLST8 PE=2 SV=1
+MNTSPGTVGSDPVILATAGYDHTVRFWQAHSGICTRTVQHQDSQVNALEITPDRTMIAAA
+GYQHIRMYDLNSNNPNPIISYDGVNKNVASVGFHEDGRWMYTGGEDCTARIWDLRSRNLQ
+CQRIFQVNAPINCVCLHPNQAELIVGDQSGAIHIWDLKTDHNEQLIPEPEVSITSAHIDP
+DASYMAAVNSTGNCYVWNLTGGIGDEVTQLIPKTKIPAHTRYALQCRFSPDSTLLATCSA
+DQTCKIWRTSNFSLMTELSIKSSNPGESSRGWMWGCAFSGDSQYIVTASSDNLARLWCVE
+TGEIKREYGGHQKAVVCLAFNDSVLG
+>sp|Q3ZBM1|ARHL1_BOVIN [Protein ADP-ribosylarginine] hydrolase-like protein 1 OS=Bos taurus OX=9913 GN=ADPRHL1 PE=2 SV=1
+MEKFQAAMLLGAVGDALGFGHAARESSSLGARVQEEMGKGGGLDHLVLSPETWPVSDNTI
+MHMSTAGALITDFWCLDDLYREMVRRYVDVLEKLPEQRADPATLEGCSQLKPDNYLLAWH
+TPFNEKGSGFGAATKAMCVGMRYWQPERLETLVEVSVECGRMTHNHPTGFLGSLCTALFA
+SYAVQGKRLEQWGRDMLRTVPLAEEYCKKTIRHLAEYQEHWFYFEAKWQFYLEERKIIED
+TENEASFPDRYDAEEREKTYRKWSSEGRGGRRGHDAPMIAYDALLGAKGSWTELCRRAMF
+HGGESGATGAIAGCLFGLLHGLDAVPAGLYRELEHQDELRRLGEALHRLSSQEK
+>sp|A6QNS9|DMAC2_BOVIN Distal membrane-arm assembly complex protein 2 OS=Bos taurus OX=9913 GN=DMAC2 PE=2 SV=2
+MAAPRASLRLVAPVWNRGTSGIRGLSKAVDPEGSQKKGRTLLQFLTDHFYDVEAVREYLL
+RKQVLKVQKKNRSFTYIKERYGPYVAGAYFVLKQGGAVKFRDREWMRANEQGLSSLEFRK
+LQEVPVEAVDASGCAINYQGLDNLLALKELQSLSLRRCPHVDDWCLGRLYQLADSLQELS
+LAGCPRISERGLACLHHLQNLRRLDISDLPAVSNPGLTQILVEEMLPHCEVLGADWAQGL
+KVGPEEQSRDAASSPIPAWPSAPPHQARSLPGCAERPTAHPSGSVGLTQLGGSGWGEGRR
+A
+>sp|Q3ZBD7|G6PI_BOVIN Glucose-6-phosphate isomerase OS=Bos taurus OX=9913 GN=GPI PE=2 SV=4
+MAALTQNPQFKKLKTWYEQHGSDLNLRRLFEGDRDRFNRFSLNLNTNHGHILVDYSKNLV
+TETVMQMLVDVAKSRGVEAARERMFTGEKINFTEDRAVLHVALRNRSNAPILVDGKDVMP
+EVNRVLEKMKSFCQRVRSGEWKGYSGKAITDVINIGIGGSDLGPLMVTEALKPYSSEGPR
+VWFVSNIDGTHIAKTLATLNPESSLFIIASKTFTTQETITNAETAKEWFLLSAKDPSAVA
+KHFVALSTNTAKVKEFGIDPQNMFEFWDWVGGRYSLWSAIGLSIALHVGFDNFEQLLSGA
+HWMDQHFRTTPLEKNAPVLLALLGIWYINCFGCETHAMLPYDQYLHRFAAYFQQGDMESN
+GKYITKSGTRVNYQTGPIVWGEPGTNGQHAFYQLIHQGTKMIPCDFLIPVQSQHPIRNGL
+HHKILLANFLAQTEALMRGKSTEEARKELQAAGRSPEDFEKLLPHKVFEGNRPTNSIVFT
+KLTPFILGALIAMYEHKIFVQGIIWDINSFDQWGVELGKQLAKKIEPELDGSSPVTSHDS
+STNGLINFIKQEREARS
+>sp|Q5EA83|DCHS_BOVIN Histidine decarboxylase OS=Bos taurus OX=9913 GN=HDC PE=2 SV=1
+MMEPEEYRERGKEMVDYICQYLTTVRERRVTPDVRPGYLRAQLPESAPMEPDSWDSIFGD
+IERIIMPGVVHWQSPHMHAYYPALTSWPSLLGDMLADAINCLGFTWASSPACTELEMNVM
+DWLAKMLGLPEHFLHHHPGSQGGGVLQSTVSESTLIALLAARKNKILEMKASEPEADESF
+LNARLVAYASDQAHSSVEKAGLISLVKMKFLPVDENFSLRGEALQKAIKEDRERGLVPIF
+VCATLGTTGVCAFDCLSELGPICAREGLWLHIDAAYAGTAFLCPEFRGFLKGIEYADSFT
+FNPSKWMMVHFDCTGFWVKDKYKLQQTFSVDPVYLRHADSGVATDFMHWQIPLSRRFRSI
+KLWFVIRSFGVKNLQAHVRHGTEMAKYFESLVRNDPFFEIPAKRHLGLVVFRLKGPNCLT
+ESVLKEIAKAGRLFLIPATIQDKLIIRFTVTSQFTTRDDILRDWNLIQDAATLILSQHCT
+SQPSPQGANLILQTRGPRALAKEMSFPSVNGAGDDPAHSRKIVMQPPRVGASPVSRHLET
+LLDPLDDCFSEEAPDVTEHKLSSFLFSYLSVQNKRKAVRSLSCNSVPVSAQKQLTTEGSV
+KNGSSSRVRIFSRFPEEVMMLKKSAFKKLIKFYSVPNFPECSSQCGLQLPCCPLQAMV
+>sp|Q1RMX9|DCNL5_BOVIN DCN1-like protein 5 OS=Bos taurus OX=9913 GN=DCUN1D5 PE=2 SV=1
+MPVKKKRKSGVAAAAAEDGGLKKCKISSYCRSQPPARLISGEEHFSSKKCLAWFYEYAGP
+DEVVGPEGMEKFCEDIGVEPENIIMLVLAWKLEAESMGFFTKEEWLKGMTSLQCDCTEKL
+QNKFDFLRSQLNDISSFKNIYRYAFDFARDKDQRSLDIDTAKSMLALLLGRTWPLFSVFY
+QYLEQSKYRVMNKDQWYNVLEFSRTVHADLSNYDEDGAWPVLLDEFVEWHKVRQAS
+>sp|Q0IIB6|DDIT3_BOVIN DNA damage-inducible transcript 3 protein OS=Bos taurus OX=9913 GN=DDIT3 PE=2 SV=1
+MAAESLPFSFGALSSWELEAWYEDLQEVLSSDENRGTCVSPPGNKEEESKSFTTLDPASL
+AWLTEEPGPPEVTHTSQSPCSPESSQSSLAQEEEEEDQGRTRKRKQSGQSPARAGKQRMK
+EKEQENERKVAQLAEENERLKQEIERLTREVEATRRALIDRMVNLHQA
+>sp|P00766|CTRA_BOVIN Chymotrypsinogen A OS=Bos taurus OX=9913 PE=1 SV=1
+CGVPAIQPVLSGLSRIVNGEEAVPGSWPWQVSLQDKTGFHFCGGSLINENWVVTAAHCGV
+TTSDVVVAGEFDQGSSSEKIQKLKIAKVFKNSKYNSLTINNDITLLKLSTAASFSQTVSA
+VCLPSASDDFAAGTTCVTTGWGLTRYTNANTPDRLQQASLPLLSNTNCKKYWGTKIKDAM
+ICAGASGVSSCMGDSGGPLVCKKNGAWTLVGIVSWGSSTCSTSTPGVYARVTALVNWVQQ
+TLAAN
+>sp|Q32LN0|EHF_BOVIN ETS homologous factor OS=Bos taurus OX=9913 GN=EHF PE=2 SV=1
+MILEGSGVMNLNPSNNLLHQQPTWTDSYSTCNVSSGFFGGQWHEIHPQYWTKYQVWEWLQ
+HLLDTNQLDASCIPFQEFDVNGEHLCSMSLQEFTRAAGTAGQLLYSNLQHLKWNGQCSSD
+LFQSTHNVIVKTEQTDPSIMNTWKEENYLYDTNYGSTVDLLDSKTFCRAQISMTTTGHLP
+IAESPDTKKEQDHPTKPHTKKHNPRGTHLWEFIRDILLNPDKNPGLIKWEDRSEGIFRFL
+KSEAVAQLWGKKKNNSSMTYEKLSRAMRYYYKREILERVDGRRLVYKFGKNARGWRENEN
+>sp|Q3SZE5|MLRV_BOVIN Myosin regulatory light chain 2, ventricular/cardiac muscle isoform OS=Bos taurus OX=9913 GN=MYL2 PE=1 SV=1
+MSPKKAKKRAEGANYNVFSMFEQTQIQEFKEAFTIMDQNRDGFIDKNDLRDTFAALGRVN
+VKNEEIDEMLKEAPGPINFTVFLQMFGEKLKGADPEETILNAFKVFDPEGKGVLKADYIK
+EMLTTQAERFSKEEIDQMFAAFPPDVTGNLDYKNLVHIITHGEEKD
+>sp|Q2KJC6|METK1_BOVIN S-adenosylmethionine synthase isoform type-1 OS=Bos taurus OX=9913 GN=MAT1A PE=2 SV=1
+MNGPVDGLCDHSLSEEGAFMFTSESVGEGHPDKICDQISDAVLDAHLKQDPNAKVACETV
+CKTGMVLLCGEITSMAMVDYQRVVRETIQHIGYDDSAKGFDFKTCNVLVALEQQSPDIAQ
+CVHLDRNEEDVGAGDQGLMFGYATDETEECMPLTIMLAHRLNARMAELRRSGQLPWLQPD
+SKTQVTVQYTQDNGAVIPMRVHTVVISVQHNEDITLEDMRRALKEQVIRAVVPARYLDED
+TIYHLQPSGRFVIGGPQGDAGVTGRKIIVDTYGGWGAHGGGAFSGKDYTKVDRSAAYAAR
+WVAKSLVKAGLCRRVLVQVSYAIGVAEPLSISIFTYGTSQKTERELLDVVNKNFDLRPGV
+IVRDLDLKKPIYQKTACYGHFGRSEFPWEVPKKLVF
+>sp|Q7YS70|MECR_BOVIN Enoyl-[acyl-carrier-protein] reductase, mitochondrial OS=Bos taurus OX=9913 GN=MECR PE=1 SV=1
+MWVCGALCRTRAPAQLGQRLLPESRRRRPASASFSASAEPSRVRALVYGHHGDPAKVVEL
+KNLELAAVGGSHVHVKMLAAPINPSDINMIQGNYGLLPQLPAVGGNEGVGQVVAVGSGVT
+GVKPGDWVIPANPGLGTWRTEAVFGEEELITVPSDIPLQSAATLGVNPCTAYRMLVDFER
+LRPRDSIIQNASNSGVGQAVIQIAAARGLRTINVLRDTPDLQKLTDTLKNLGANHVVTEE
+ELRKPEMKSFFKDVPQPRLALNCVGGKSSTELLRHLAPGGTMVTYGGMAKQPVIASVSQL
+IFKDLKLRGFWLSQWKKDHSPDQFKELILTLCDLIRRGQLTAPACSEVPLQDYLCALEAS
+TQPFVSSKQILTM
+>sp|Q58D94|MKNK1_BOVIN MAP kinase-interacting serine/threonine-protein kinase 1 OS=Bos taurus OX=9913 GN=MKNK1 PE=2 SV=2
+MGSSEPIPIAESDKRKKKKRKARATDSLPGKFEDVYKLTSELLGEGANAKVQVAVSLQNG
+NEYAVKIIEKHAGHSRSRVFREVETLYQCQGNKHILELIEFFEDDTRFYLVFEKLQGGSI
+LAHIQKQKHFNEREASRVVRDVAAALDFRHTKGIAHRDLKPENILCESPEKVSPVKICDF
+DLGSGVKLNNSCTPITTPELTTPCGSAEYMAPEVVEVFTDEATFYDKRCDLWSLGVVLYI
+MLSGYPPFVGHCGADCGWDRGEVCTVCQNKLFESIQKGKYEFPDKDWAHISNEAKDLISK
+LLVRDAKQRLSAAQVLQHPWVQGQAPERGLPTPQVLQRNSSTMDLTLFAAEAIALNRQLS
+QHEENEQNKLAEESEVLAEGLCSVKLSPPSKSRLARRRALAQAGRSGDAPPSPTPTTPAP
+>sp|Q1RML7|MAK16_BOVIN Protein MAK16 homolog OS=Bos taurus OX=9913 GN=MAK16 PE=2 SV=1
+MQSDDVIWDTLGNKQFCSFKIRTKTQSFCRNEYSLTGLCNRSSCPLANSQYATIKEEKGQ
+CYLYMKVIERAAFPRRLWERVRLHKNYEKALEQIDENLIYWPRFIRHKCKQRFTKITQYL
+IRIRKLTLKRQRKLVPLSKKVERREKRREEKALIAAQLDNAIEKELLERLKQDTYGDIYN
+FPIHAFDKALEQQEAESDSSDAEEKDDEEDEEDVGKREFVEDDEVDESDISDFEDMDKLD
+ASSDEDQDDKSSSEEEEKTLDAKHKGKTPLKGPLRRKRAYVEIEYEQETEPAAKAKTT
+>sp|A5PJX4|MIER2_BOVIN Mesoderm induction early response protein 2 OS=Bos taurus OX=9913 GN=MIER2 PE=2 SV=1
+MAEASSLERQSPGAASCLPHSLCPGEPNLQTTAVVSMGSADHQFHLAEILSQNYGVREEH
+EGAAPGPEKPEEELEKDFVSQSSDMPLDELLALYGYETSDPISERESEGSDTAAHLPDMT
+LDKDQIAKDLLSGEEEEETQSSADDLTPSVTSHEASDLFPSQSGSCFLADADKEPGSSSS
+SDAEEDPLPANKCKKEIMVGPQFQADLSNLHSNRHGEKIYENEDQLLWDPNILPEREVEE
+FLYRAVKRRWHEMAGSQLPEGEAVKDSEQALYELVKCNFNAEEALRRLRFNVKVIRDGLC
+AWSEEERRNFEHGFRVHGKNFHLIQANKVRTRSVGECVEYYYLWKKSERYDYFSQQTRLG
+RRKYGPSGNTDADQDLEGSDPDGPGRPRSSPPLPLPAAAHGPGPEHDRLAQMHTEPLSMG
+SSMSRSLGEPGEALDVPPSSEPGPRLFPPLDEPSALPSSRRPPALAEPAFFPPATAAPEP
+GASPRLAVDLTLPEELPLVSSHEDTDGEPEETVGPPQVALSVAEFGLIGIGDVNPFLASH
+PACPASGLHSEPLSHCNVMTC
+>sp|P19120|HSP7C_BOVIN Heat shock cognate 71 kDa protein OS=Bos taurus OX=9913 GN=HSPA8 PE=1 SV=2
+MSKGPAVGIDLGTTYSCVGVFQHGKVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVA
+MNPTNTVFDAKRLIGRRFDDAVVQSDMKHWPFMVVNDAGRPKVQVEYKGETKSFYPEEVS
+SMVLTKMKEIAEAYLGKTVTNAVVTVPAYFNDSQRQATKDAGTIAGLNVLRIINEPTAAA
+IAYGLDKKVGAERNVLIFDLGGGTFDVSILTIEDGIFEVKSTAGDTHLGGEDFDNRMVNH
+FIAEFKRKHKKDISENKRAVRRLRTACERAKRTLSSSTQASIEIDSLYEGIDFYTSITRA
+RFEELNADLFRGTLDPVEKALRDAKLDKSQIHDIVLVGGSTRIPKIQKLLQDFFNGKELN
+KSINPDEAVAYGAAVQAAILSGDKSENVQDLLLLDVTPLSLGIETAGGVMTVLIKRNTTI
+PTKQTQTFTTYSDNQPGVLIQVYEGERAMTKDNNLLGKFELTGIPPAPRGVPQIEVTFDI
+DANGILNVSAVDKSTGKENKITITNDKGRLSKEDIERMVQEAEKYKAEDEKQRDKVSSKN
+SLESYAFNMKATVEDEKLQGKINDEDKQKILDKCNEIINWLDKNQTAEKEEFEHQQKELE
+KVCNPIITKLYQSAGGMPGGMPGGMPGGFPGGGAPPSGGASSGPTIEEVD
+>sp|Q9GLE4|MMP14_BOVIN Matrix metalloproteinase-14 OS=Bos taurus OX=9913 GN=MMP14 PE=2 SV=1
+MSPAPRPACSLLLPVLTLASALASLSSAQSSFSPEAWLQQHGYLPPGDLRTHTQRSPQSL
+SAAIAAMQRFYGLRVTGKADADTMKAMRRPRCGVPDKFGAEIKANVRRKRYAIQGLKWQH
+NEITFCIQNYTPNVGEYATFEAIRKAFRVWESATPLRFREVPYAYIREGHEKQADIMIFF
+AEGFHGDSTPFDGEGGFLAHAYFPGPNIGGDTHFDSAEPWTVRNEDLNGNDIFLVAVHEL
+GHALGLEHSNDPSAIMAPFYQWMDTENFVLPDDDRRGIQQLYGSKSGSPTKMPPQPRTTS
+RPSVPDKPKNPTYGPNICDGNFDTVAMLRGEMFVFKERWFWRVRKNQVMDGYPMPIGQFW
+RGLPASINTAYERKDGKFVFFKGDKHWVFDEASLEPGYPKHIKELGRGLPTDRIDAALFW
+MPNGKTYFFRGNKYYRFNEELRIVESEYPKNIKVWEGIPESPRGSFMGSDEVFTYFYKGN
+KYWKFNNQKLKVEPGYPKSALRDWMGCPSSGGQPDEGTEEETEVIIIEVDEEGSGAVSAA
+AVVLPVLLLLLVLAVGLAVFFFRRHGTPKRLLYCQRSLLDKV
+>sp|Q2KJG4|MGST2_BOVIN Microsomal glutathione S-transferase 2 OS=Bos taurus OX=9913 GN=MGST2 PE=2 SV=1
+MAGNSILLAALSVLSACQQSYFAMQVGKARSKYKVTPPSVSGSPDFERIFRAQQNCVEFY
+PIFIITLWMAGWYFNQVFATCLGLVYIYSRHQYFWGYAEAAKKRVTGFRLSLGVLALLTV
+LGAVGILNSFLDEYLDIDIAKKLRHF
+>sp|Q3ZCD8|MFF_BOVIN Mitochondrial fission factor OS=Bos taurus OX=9913 GN=MFF PE=2 SV=1
+MAEISRIQYEMEYTEGISQRMRVPEKLKVAPPNADLEQGFQEGVSNASVIMQVPERIVVA
+GNNEDIPFSRPADLDLIQSTPFKPLALKTPPRVLTLSERPLDFLDLERPAPTPQNEEIRA
+VGRLKRERSMSENAVRQNGQLVKTDSMYGISNIDAMIEGTSEDMTVVDAASLRRQIIKLN
+RRLQLLEEENKERAKREMVMYSITVAFWLLNSWLWFRR
+>sp|Q17QQ1|LTOR3_BOVIN Ragulator complex protein LAMTOR3 OS=Bos taurus OX=9913 GN=LAMTOR3 PE=2 SV=1
+MADDLKRFLYKKLPSVEGLHAIVVSDRDGVPVIKVANDNAPEHALRPGFLSTFALATDQG
+SKLGLSKNKSIICYYNTYQVVQFNRLPLVVSFIASSNANTGLIVSLEKELAPLFEELRQV
+VEVS
+>sp|Q07536|MMSA_BOVIN Methylmalonate-semialdehyde dehydrogenase [acylating], mitochondrial OS=Bos taurus OX=9913 GN=ALDH6A1 PE=1 SV=1
+MAAVAVAAAAAALRARILQVSSKVNSSWQPASSFSSSSVPTVKLFIDGKFIESKSDKWID
+IHNPATNEVIGRVPESTKAEMDAAVSSCKRTFPAWADTSILSRQQVLLRYQQLIKENLKE
+IARLIMLEQGKTLADAEGDVFRGLQVVEHACSVTSLMLGDTMPSITKDMDLYSYRLPLGV
+CAGIAPFNFPAMIPLWMFPMAMVCGNTFLMKPSERVPGATMLLAKLFQDSGAPDGTLNII
+HGQHEAVNFICDHPDIKAISFVGSNQAGEYIFERGSRHGKRVQANMGAKNHGVVMPDANK
+ENTLNQLVGAAFGAAGQRCMALSTAILVGEAKKWLPELVERAKKLRVNAGDQPGADLGPL
+ITPQAKERVCNLIDSGTKEGASILLDGRSIKVKGYENGNFVGPTIISNVKPNMTCYKEEI
+FGPVLVVLETDTLDEAIKIVNDNPYGNGTAIFTTNGATARKYSHLVDVGQVGVNVPIPVP
+LPMFSFTGSRASFRGDTNFYGKQGIQFYTQLKTITSQWKEEDASLSSPAVVMPTMGR
+>sp|A6QQY4|HORM2_BOVIN HORMA domain-containing protein 2 OS=Bos taurus OX=9913 GN=HORMAD2 PE=2 SV=1
+MATVQLSRSIRIRKTSKKTVFPSQITNEHESLIMVKKLFATSISCITYLRGLFPESSYGE
+RHLDDLSLKILREDKKCPGSLHIIKWIQGCFDALEKRYLRMAVLTLYTNPKEPEKVTEIY
+QFKFKYTKEGATMDFDSSNTSFKSGTNSEDIKKASVLLIRKLYMLMQNLGPLPNDVILTM
+TLHYYNAVTPNDYQPPGFKEGVSSHLLLFEGEPVNLQVGMVSTGFHSMKVKVTTEATRVS
+DLESSLFQESGTTEIAHQGLDCDEEEEECNTEIQKMNFVCSQQSSERSRKKRKVSEPVKV
+FIPDRK
+>sp|P07352|IFNW1_BOVIN Interferon omega-1 OS=Bos taurus OX=9913 GN=IFNW1 PE=3 SV=1
+MAFVLSLLMALVLVSYGPGGSLGCDLSPNHVLVGRQNLRLLGQMRRLSPRFCLQDRKDFA
+FPQEMVEVSQFQEAQAISVLHEMLQQSFNLFHKERSSAAWDTTLLEQLLTGLHQQLDDLD
+ACLGLLTGEEDSALGRTGPTLAMKRYFQGIHVYLQEKGYSDCAWEIVRLEIMRSLSSSTS
+LQERLRMMDGDLKSP
+>sp|Q3T0A6|BCL7B_BOVIN B-cell CLL/lymphoma 7 protein family member B OS=Bos taurus OX=9913 GN=BCL7B PE=2 SV=1
+MSGRSVRAETRSRAKDDIKKVMAAIEKVRKWEKKWVTVGDTSLRIFKWVPVTDSKEKEKS
+KSNSSAAREPNGFPSDASANSSLLLEFQDENSNQSSVSDVYQLKVDSSTNSSPSPQQSES
+LSPAHTSDFRTDDSQPPTLGQEILEEPSLPASEVADEPPTLTKEEPVPLETQIAEEEEDS
+SAPPLKRFCMDQPAVPQTASES
+>sp|Q3ZCJ2|AK1A1_BOVIN Aldo-keto reductase family 1 member A1 OS=Bos taurus OX=9913 GN=AKR1A1 PE=2 SV=1
+MAASCILLHTGQKMPLIGLGTWKSDPGQVKAAIKYALSVGYRHIDCAAIYGNETEIGEAL
+KENVGPGKLVPREELFVTSKLWNTKHHPEDVEPALRKTLADLQLEYLDLYLMHWPYAFER
+GDSPFPKNADGTIRYDSTHYKETWRALEALVAKGLVRALGLSNFNSRQIDDVLSVASVRP
+AVLQVECHPYLAQNELIAHCQARNLEVTAYSPLGSSDRAWRDPEEPVLLKEPVVLALAEK
+HGRSPAQILLRWQVQRKVSCIPKSVTPSRILENIQVFDFTFSPEEMKQLDALNKNLRFIV
+PMLTVDGKRVPRDAGHPLYPFNDPY
+>sp|O02754|CEBPA_BOVIN CCAAT/enhancer-binding protein alpha OS=Bos taurus OX=9913 GN=CEBPA PE=3 SV=1
+MESADFYEAEPRPPMSSHLQSPPHAPSSAAFGFPRGAGPSQPPAPPAAPEPLGGICEHET
+SIDISAYIDPAAFNDEFLADLFQHSRQQEKAKAAAAPAGGGNDFDYPGAPVGPGGAVMPG
+GTHGPPPGYGCAAAGYLDSRLEPLYERVGAPALRPLVIKQEPREEDEAKQLALAGLFPYQ
+PPPPPPPPNSHPPPAHLAAPHLQFQIAHCGQTTMHLQPGHPTPPPTPVPSPHPAPALGAA
+GLPGPGGALKGLVATHPDLRAGGGGGGKAKKSVDKNSNEYRVRRERNNIAVRKSRDKAKQ
+RNVETQQKVLELTSDNDRLRKRVEQLSRELDTLRGIFRQLPESSLVKAMGNCA
+>sp|P07318|CRBB1_BOVIN Beta-crystallin B1 OS=Bos taurus OX=9913 GN=CRYBB1 PE=1 SV=3
+MSQPAAKASATAAVNPGPDGKGKAGPPPGPAPGSGPAPAPAPAPAQPAPAAKAELPPGSY
+KLVVFEQENFQGRRVEFSGECLNLGDRGFERVRSIIVTSGPWVAFEQSNFRGEMFVLEKG
+EYPRWDTWSSSYRSDRLMSFRPIKMDAQEHKLCLFEGANFKGNTMEIQEDDVPSLWVYGF
+CDRVGSVRVSSGTWVGYQYPGYRGYQYLLEPGDFRHWNEWGAFQPQMQAVRRLRDRQWHR
+EGCFPVLAAEPPK
+>sp|P32007|ADT3_BOVIN ADP/ATP translocase 3 OS=Bos taurus OX=9913 GN=SLC25A6 PE=1 SV=3
+MTEQAISFAKDFLAGGIAAAISKTAVAPIERVKLLLQVQHASKQIAADKQYKGIVDCIVR
+IPKEQGVLSFWRGNLANVIRYFPTQALNFAFKDKYKQIFLGGVDKRTQFWRYFAGNLASG
+GAAGATSLCFVYPLDFARTRLAADVGKSGSEREFRGLGDCLVKITKSDGIRGLYQGFNVS
+VQGIIIYRAAYFGIYDTAKGMLPDPKNTHIVVSWMIAQTVTAVAGVVSYPFDTVRRRMMM
+QSGRKGADIMYKGTVDCWRKILKDEGGKAFFKGAWSNVLRGMGGAFVLVLYDELKKVI
+>sp|O18979|GNAS3_BOVIN Neuroendocrine secretory protein 55 OS=Bos taurus OX=9913 GN=GNAS PE=2 SV=1
+MDRRSRPQLGRRARHNYNDLCPPIGRRAATALLWLSCSIALLRALATSSTRAQQRAAAQR
+RTFLNAHHRSAAQVFPEPPESDHEDTDFEPSLPECPEYQEEEFDYESETESESEIESETE
+FETESDTAPTTEPETEPEDEPGPVVPKRPTFHQSLTERLSALRLRSPDASPSRAPPSTQE
+SESPRQGEEPEDKDPRDPEESEEPKEEEKQQQHRCKPKKPTRRDPSPESPSKRGAIPIRR
+H
+>sp|O77512|GLYAL_BOVIN Glycine N-phenylacetyltransferase OS=Bos taurus OX=9913 PE=1 SV=2
+MFHLQGPQLLQMLEKSLRKSLPESLKVYGTVFHMNQGNPFNLKALVDKWPDFKTVVIRPQ
+EQEMADDFDHYTNTYQIYSKDLNNCQESLATSDVINWKQHLQIQSSQSSLNEVVQNLAAT
+KFVKVEHTQCILYVMPETARKLLPSLPETKNLPVGYGAPKAINQEMFKLSSMDPTHAALV
+NKFWHFGGNERSQRFIERCIRAFPTFCLLGPEGTPASWSLMDQTGEIRMGATLPEYRGHG
+LISHMLAVHTRALDQLGIPVYNHTDKANKIVQKVSHNLHHIAIPHGWNQWNCEPL
+>sp|Q32LK2|CYTM1_BOVIN Cysteine-rich and transmembrane domain-containing protein 1 OS=Bos taurus OX=9913 GN=CYSTM1 PE=3 SV=1
+MNQGNPPPYPGPGPTAPYPPYPSQPMGPGFYPPGPPGGPYPPPQGGYPYQGYPQYGWQGG
+PQEPPKTTVYVVEDQRRDDLGTSTCLTACWTALCCCCLWDMLT
+>sp|O02675|DPYL2_BOVIN Dihydropyrimidinase-related protein 2 OS=Bos taurus OX=9913 GN=DPYSL2 PE=1 SV=1
+MSYQGKKNIPRITSDRLLIKGGKIVNDDQSFYADIYMEDGLIKQIGENLIVPGGVKTIEA
+HSRMVIPGGIDVHTRFQMPDQGMTSADDFFQGTKAALAGGTTMIIDHVVPEPGTSLLAAF
+DQWREWADSKSCCDYSLHVDITEWHKGVQEEMEALVKDHGVNSFLVYMAFKDRFQLTDSQ
+IYEVLSVIRDIGAIAQVHAENGDIIAEEQQRILDLGITGPEGHVLSRPEEVEAEAVNRSI
+TIANQTNCPLYITKVMSKSAAEVIAQARKKGTVVYGEPITASLGTDGSHYWSKNWAKAAA
+FVTSPPLSPDPTTPDFLNSLLSCGDLQVTGSAHCTFNTAQKAVGKDNFTLIPEGTNGTEE
+RMSVIWDKAVVTGKMDENQFVAVTSTNAAKVFNLYPRKGRIAVGSDADLVIWDPDSVKTI
+SAKTHNSSLEYNIFEGMECRGSPLVVISQGKIVLEDGTLHVTEGSGRYIPRKPFPDFVYK
+RIKARSRLAELRGVPRGLYDGPVCEVSVTPKTVTPASSAKTSPAKQQAPPVRNLHQSGFS
+LSGAQIDDNIPRRTTQRIVAPPGGRANITSLG
+>sp|Q3T078|ENKD1_BOVIN Enkurin domain-containing protein 1 OS=Bos taurus OX=9913 GN=ENKD1 PE=2 SV=1
+MCEGPSRISGPIPPDPTLCPDYYRRPSSAQGRLEGNALKLDLLTPDTDRDLDATPPRAPR
+IRPGGLEILERGRRGVGGVLLQLGGISLGPGASPKRKDPKDHEKENMRRIREIQRRFREQ
+EHSREQGQSRPLKALWRSPKYDKVESRVKAQLQEPGPASGTEPAHFLRAHSRCGPGLPPP
+RVPSPQLTLPGPSAKAPGPGVDFITHNARTAKRAPRRHSRSLQVLAQVLEQQQQAQEHYN
+ATQKGHVPQYLLERRDLWRREAEARQHSQPDPAMPPGHTRMPENQRLETLSSLLQSQSQL
+LRELVLLPAGADSLRAQSHRAELDRKLVQVEEAIKIFSRPKVFVKMDS
+>sp|A6H7A0|FACE2_BOVIN CAAX prenyl protease 2 OS=Bos taurus OX=9913 GN=RCE1 PE=2 SV=1
+MAALGGDGFRLLSVSRPERQPESAALGGPGPGLCCWVSVFSCLSLACSYVGSLYVWKSEL
+PRDHPAVIKRRFTSVLVVSSLSPLCVLLWRELTGIQPGTSLLTLMGFRLEGIFPAALLPL
+LLTMILFLGPLMQLSMDCPCDLADGLKVVLAPRSWARCLTDMRWLRNQVIAPLTEELVFR
+ACMLPMLAPCTGLGPAVFTCPLFFGVAHFHHIFEQLRFRQSSVGSIFLSAGHLIGPVLCH
+SFCNYMGFPAVCAALEHPQRRPLLAGYALGVGLFLLLLQPLTDPKLYGSLPLCVLLERAG
+DSEAPLCS
+>sp|P0CG25|EFCC1_BOVIN EF-hand and coiled-coil domain-containing protein 1 OS=Bos taurus OX=9913 GN=EFCC1 PE=2 SV=1
+MEGAASDPYRRPARRTQWLLSALAHHYGLDRGVENEIVVLATGLDQYLQEVFHHLDSRGA
+GRLPRADFRALCAVLGLRFEGAAAPGEASEDTAAGDTNSGDVISGDAAAGEAAEWDEDAE
+EEARLALRAEPPELTFRQFHARLCGYFGTRAGPRLPRGALSEHIETQIRLRRPRRRRRRA
+ARPPGPDGGPDGERLARLEEENSSLRELVEDLRAALQSSDARCLALQVGLWKSQAGAQEA
+ARGEPEAAARELRQARGALAAAEAQAGRLRRGQAEVRRRAEEARAAVLRSLGRVRELEAL
+ARQMPGLQRGLRLLEGELRRYRSEGTQLPGPSRASPEPDTKSSEPEDGEARGPEPPPEGA
+WGSGNSPASRPPGPARASPGLDEQLFRSVEGQAASDEEDDREKWQEAQRLPAEVTALLAG
+LSGCGSGCDDQTAKKLMTYCSHLGGANHAHTLGELETCVAMLVEQLGSRGCGAKTLRTPE
+EEAELQRKVGENESLRQELQMVEAERVRLSLLEEKLEDVLGLLQRLRDLNISKKALGKIL
+LSTLDACRDPAHDGPSGPLAVLEALHRALAGCELLRREPSAPASTAPALPHSFPISG
+>sp|Q2TBN7|MED27_BOVIN Mediator of RNA polymerase II transcription subunit 27 OS=Bos taurus OX=9913 GN=MED27 PE=2 SV=1
+MADVLSVGVNLEAFAQAISAIQALRSSVSRVFDCLKDGMRNKETLEGREKAFIAHFQDNL
+HSVNRDLNELERLSNLVGKPSENHPLHNSGLLSLDPVQDKTPLYSQLLQAYKWSNKLQYH
+AGLASGLLNQQSLKRSANQMGVSAKRRPKAQPTTLVLPPQYVDDVISRIDRMFPEMTIHL
+SRPNGTSAMLLVTLGKVLKVIVVMRSLFIDRTIVKGYNENVYTEDGKLDIWSKSNYQVFQ
+KVTDHATTALLHYQLPQMPDVVVRSFMTWLRSYIKLFQAPCQRCGKFLQDGLPPTWRDFR
+TLEAFHDTCRQ
+>sp|Q1LZA7|LIMD2_BOVIN LIM domain-containing protein 2 OS=Bos taurus OX=9913 GN=LIMD2 PE=2 SV=1
+MFQAAGAAQATPSHEAKGGGSSSTVQRSKSFSLRAQVKETCAACQKTVYPMERLVADKLI
+FHSSCFCCKHCHTKLSLGSYAALHGEFYCKPHFQQLFKSKGNYDEGFGRKQHKELWAHKE
+VDPGTKTA
+>sp|A0JNN3|MED31_BOVIN Mediator of RNA polymerase II transcription subunit 31 OS=Bos taurus OX=9913 GN=MED31 PE=2 SV=1
+MAAAVAMETDDAGNRLRFQLELEFVQCLANPNYLNFLAQRGYFKDKAFVNYLKYLLYWKE
+PEYAKYLKYPQCLHMLELLQYEHFRKELVNAQCAKFIDEQQILHWQHYSRKRMRLQQALA
+EQQQQNNASGK
+>sp|Q3SWY4|LRN4L_BOVIN LRRN4 C-terminal-like protein OS=Bos taurus OX=9913 GN=LRRN4CL PE=2 SV=2
+MPHSPCLLWLLAVTSLVPGTQPLVAGDLEGDELDETPLPAVPCDYDHCRHLQVPCQELQR
+AGPAACLCPGLSSALQPPHPPRLGEVRVEADMGRAEVHWCAPSSPVNQYWLLLWEGGGAP
+QKGPSFNSTVRRAELKGLNPGGAYVVCVVAANDAGESRAPGPGAEGLDSADGPNLGPCGR
+LTVPPRPLTLLHAAMGVGSALALLSCSALVWHFCLRQRWGCPRRGRPSHAGL
+>sp|Q2KJ83|CBPN_BOVIN Carboxypeptidase N catalytic chain OS=Bos taurus OX=9913 GN=CPN1 PE=2 SV=1
+MSDLVSIFLHLLLFKLVAPVTFRHHRYDDLVRMLYKVHNECPHITRVYSIGRSVKGRHLY
+VLEFSDYPGIHEPLEPEVKYVGNMHGNEVLGRELLLQLSEFLCEEFRNRNQRIVRLVEDT
+RIHIMPSMNPDGYEVAAAAQERDISGYLVGRNNANGVDLNRNFPDLNTYIYYNEKNGGPN
+HHFPLPDNWKSQVEPETQAVIQWIRSFNFVLSANLHGGAVVANYPYDKSLGHRVRGFRRT
+ANTPTPDDKLFQKLAKIYSYAHGWMHQGWNCGDYFPDGITNGASWYSLSKGMQDFNYLHT
+NCFEITLELSCDKFPLQGELQREWLGNREALIQFLEQVHQGIKGMVRDENYNNLADAVIS
+VGGINHDVTSGAHGDYFRLLLPGTYTVTATAPGFDPETVSVTVGPAEPKLVNFQLKRSTP
+QAAPKRRIPNSGHRGRVLPKKVQPRAARKKETMMKQPQRGPA
+>sp|Q5EA46|CREL1_BOVIN Cysteine-rich with EGF-like domain protein 1 OS=Bos taurus OX=9913 GN=CRELD1 PE=2 SV=1
+MAPRSSRGIAPAMLCGLSLFLGFPGLVWVQISVPPQSSPHTEPHPCHTCRGLVDSFNKGL
+ERTIRDNFGGGNTAWEEEKLSKYKDSETRLVEVLEGVCSKSDFECHRLLELSEELVESWW
+FHKQQEAPDLFQWLCSDSLKLCCPSGTFGPSCLPCPGGAERPCGGYGHCEGEGTRGGSGH
+CDCQAGYGGEACGQCGLGYFEAERNASHLVCSACFGPCARCSGPEESHCLQCKKGWALHH
+LKCVDIDECGTERASCGADQFCVNTEGSYECRDCAKACLGCMGAGPGRCKKCSPGYQQVG
+SKCLDVDECETAVCPGENQQCENTEGSYRCICADGYKQMEGICVKDQIPESAGFFSEMTE
+DELVVLQQMFFGVIICALATLAAKGDLVFTAIFIGAVAAMTGYWLSERSDRVLEGFIKGR
+>sp|Q2TBR8|CALR3_BOVIN Calreticulin-3 OS=Bos taurus OX=9913 GN=CALR3 PE=2 SV=1
+MAAARVPLWAICVRRVALATVYFQEEFLDGERWRNRWVHSTNDSQFGHFRLSSGNFYGHK
+EKDKGLQTTQNSRFYAISARFKPFSNKGKTLIIQYTVKHEQKMDCGGGYIKLFPADVDQK
+NLNGKSQYYIMFGPDICGFDIKTVHVILHFKNQYHANKKSIRCKVDSFTHLYTLVLRPDL
+TYEVKIDGQSIESGSIEYDWQLTSLKKMEKASAEAEGWDQAAKDKSQDWEKHFLDASASK
+PSDWKGELDGDWQAAMLQKPPYQDGLKPEGIDKDVWLHQKMKNSYLTEYDLSEFENIGAV
+GLELWQVRSGTIFDNFLITDDEEYAENFGKATWGETKGPEKEMDAIQAKEEVKKAQEEDE
+DDMLMGRFRGRENSFKGFHRRNEF
+>sp|Q27976|CALD1_BOVIN Non-muscle caldesmon (Fragment) OS=Bos taurus OX=9913 GN=CALD1 PE=2 SV=1
+QTSEKEGRSESRQERQELEETEIVTKSHQKNDWMEAEEKKKEEKEKEEEEEEKPKPGSIE
+ENQLKDEKTKKDKESKNILSLCL
+>sp|Q58DI9|ARL11_BOVIN ADP-ribosylation factor-like protein 11 OS=Bos taurus OX=9913 GN=ARL11 PE=2 SV=1
+MGSVSSRGHRAEAQVVMMGLDSAGKTTLLYRLKGYQLVETLPTVGFNVEPLEEPGHVSLT
+LWDVGGQSQLRASWKDHLEGTDILVYVLDSTDEARLPEAVAELREVLDDPSVASVPLLVL
+ANKQEVPQALSLPEIRDRLGLQGFLGRSWDLRACSALTGAGLPEALESLRSLLKSCSLCM
+AR
+>sp|Q2NKS2|COX16_BOVIN Cytochrome c oxidase assembly protein COX16 homolog, mitochondrial OS=Bos taurus OX=9913 GN=COX16 PE=3 SV=1
+MFGYAVRRALRKSKTLRYGVPMLLLIVGGSFGLREFSQIRYDAVKIKIDPELEKKLKMNK
+VSLESEYEKIKDSTFDDWKNIRGPRPWEDPDLLQGRNPEILKTNKTT
+>sp|Q28088|CRGC_BOVIN Gamma-crystallin C OS=Bos taurus OX=9913 GN=CRYGC PE=1 SV=3
+MGKITFYEDRGFQGRCYQCSSDCPNLQPYFSRCNSIRVDSGCWMLYERPNYQGHQYFLRR
+GDYPDYQQWMGFNDSIRSCCLISDTSSHRLRLYEREDQKGLIAELSEDCPCIQDRFRLSE
+VRSLHVLEGCWVLYEMPNYRGRQYLLRPQEYRRYQDWGAVDAKAGSLRRVVDLY
+>sp|Q0VBY0|CMC4_BOVIN Cx9C motif-containing protein 4 OS=Bos taurus OX=9913 GN=CMC4 PE=3 SV=1
+MPQKDPCQKQACEIQKCLQANNYMESKCQAVIEELRKCCARYPKGRSLICSGFEKEEEEK
+QTLKCTPQ
+>sp|Q2HJG7|CHUR_BOVIN Protein Churchill OS=Bos taurus OX=9913 GN=CHURC1 PE=2 SV=2
+MRRPSLNSREVSSSRKHRRIFADNGVAMCVDCVEKEYPNRGNICLESGSFLLNFTGCAVC
+NKRDFMLITNKSLKEEDGEEIVTYDHLCKNCHHVIARHEYTFSIMDEFQEYTMLCLLCGK
+AEDTISILPDDPRQMTLLF
+>sp|P42916|CL43_BOVIN Collectin-43 OS=Bos taurus OX=9913 GN=CL43 PE=1 SV=2
+MLPLPLSILLLLTQSQSFLGEEMDVYSEKTLTDPCTLVVCAPPADSLRGHDGRDGKEGPQ
+GEKGDPGPPGMPGPAGREGPSGRQGSMGPPGTPGPKGEPGPEGGVGAPGMPGSPGPAGLK
+GERGTPGPGGAIGPQGPSGAMGPPGLKGDRGDPGEKGARGETSVLEVDTLRQRMRNLEGE
+VQRLQNIVTQYRKAVLFPDGQAVGEKIFKTAGAVKSYSDAEQLCREAKGQLASPRSSAEN
+EAVTQLVRAKNKHAYLSMNDISKEGKFTYPTGGSLDYSNWAPGEPNNRAKDEGPENCLEI
+YSDGNWNDIECREERLVICEF
+>sp|Q0P5N1|CNPY3_BOVIN Protein canopy homolog 3 OS=Bos taurus OX=9913 GN=CNPY3 PE=2 SV=1
+MEPLPEPASGPRPRPHRLLLLSLLLLLLPLLPAPELGPRQARAEDTDWVRLPSKCEVCKY
+VAVELKSAFEETGKTKEVIDTGYGILDRKASGVKYTKSDLRLIEVTETICKRLLDYSLHK
+ERTGSNRFAKGMSETFETLHNLVHKGVKVVMDIPYELWNETSAEVADLKKQCDVLVEEFE
+EVIEDWYRNHQEEDLTQFLCANHVLKGKDASCLAEQWSGKKGDTAALGGKKSKKKSGRAK
+GLGGGSSKQRKELGDLDGDPSPEEDEGIQKASPLTHSPPDEL
+>sp|Q5E9Y5|DXO_BOVIN Decapping and exoribonuclease protein OS=Bos taurus OX=9913 GN=DXO PE=2 SV=1
+MESRGTKREAGKIEVAEPRNKLPRPAPSLPTDPALYSGPFPFYRRPSELGCFSLDAQRQY
+HGDARALRYYSPPPTNGQSPNFDLRDGYPDRYQPRDEEVQERLDHLLRWLLEHRGQLEGG
+PGWLAGAIVTWRGHLTKLLTTPYERQEGWQLAASRFRGTLYLSEVETPAARVQRLTRPPL
+LRELMYMGYKFEQYMCADKPGVSPDPSGEVNTNVAFCSVLRSRLGNHPLLFSGEVDCTDP
+QAPSTQPPTCYVELKTSKEMHSPGQWKSFYRHKLLKWWAQSFLPGVPNVVAGFRNPEGFV
+CSLKTFPTMEMFEYVRNDRDGWNPSVCMNFCAAFLSFAQNTAVQDDPRLVYLFSWEPGGP
+VTVSEHRDAPHAFLPPWYVEAVTQDLPSPPKTPSPKD
+>sp|Q2YDD9|ADT4_BOVIN ADP/ATP translocase 4 OS=Bos taurus OX=9913 GN=SLC25A31 PE=2 SV=1
+MQREPPKRKQEKKVEKGLFDATSFGKDLLAGGVAAAVSKTTVAPIERVKLLLQVQASSKQ
+ISPEAQYKGIVDCLVRIPREQGFLSYWRGNLANVIRYFPTQALNFAFKDKYKQLFMSGVN
+KEKQFWRWFLANLASGGAAGATSLCVVYPLDFARTRLGADIGKGPEERQFKGLGDCIMKI
+AKSDGIVGLYQGFGVSVQGIIVYRASYFGAYDTVKGLLPKPKETHFLVSFFIAQVVTTCS
+GILSYPFDTVRRRMMMQSGEAERQYKGTLDCFMKIYQQEGIGAFFRGAFSNILRGTGGAL
+VLVLYDKIKDLLNIDIGGSSSGD
+>sp|A6QLZ5|F177A_BOVIN Protein FAM177A1 OS=Bos taurus OX=9913 GN=FAM177A1 PE=2 SV=1
+MEGEPASREEGEAVNASGAAAASAFRESAQQMSNERGFENVELGVIGKKKKVPRRVIHFV
+SGETMEEYSTDEDEVDSLEKKDVLPPVDPTKLTWGPYLWFHMLRAATSTLSVCDFLGEKI
+ASVLGISTPKYQYAIDEYYRMKKEEEEEEEENRMSEEAERQYQQNKLQADSVVQSDQPET
+LASSSFVNLNFEMEGDCEVITESKQNPVSVPL
+>sp|O19104|FANCC_BOVIN Fanconi anemia group C protein homolog OS=Bos taurus OX=9913 GN=FANCC PE=2 SV=1
+MAEDSAGLPSNYQFWMQKLSVWTQASTLETQRDICLHLPQFQEFLRRMYETLKEMDSNAI
+IERFPTICQLLAKSCWSPFILAYDESPKILIWCLCCLIKKDPQNSRESKLNSWTRRLLSH
+IVSTSRFDIKEVGLFNQVLGYAPTDYYPGLLKNMVLSLVSELRENHLNGFSSQRRCPERV
+RSLSRDRVPLLTLPDFEPLVEALLTYHGHEPQEVLCPEFFDAVNEASLLKKISLPTSAIL
+CLWLRHLPSLENTMLHLLEKLISSERNSLRRIKCFMKDSLRPEAAACHPAIFRVVDEIFR
+SALLETDGAPEVLAGLQVFTRCFVEALEKENKQLKFALKTYFPYASPALVMVLLQHPKDI
+PQGLWHQSLKHISEMLKEIVEDHGSYGGPFESWFLFVHFGGWADITAEQLLMSEAEAEPP
+EALLWLLAFSCSPGAGHQQRARTMVEVKTVLGCLTKLFRSPALSARDLQAAAGENLGGDP
+RPPACQQLVRRLLLHFLLWAPGGHTIAREVITLMAQTDAIMNEIIGFLDYTLYRWDHLCV
+EAHRSRKLARELLTELREQALPGQVNQ
+>sp|P22300|GBRG2_BOVIN Gamma-aminobutyric acid receptor subunit gamma-2 OS=Bos taurus OX=9913 GN=GABRG2 PE=2 SV=1
+MSSPNIWSTGSSVYSTPVFSQKMTLWILLLLSLYPGLTRQKSDDDYEDYASNKTWVLTPK
+VPEGDVTVILNNLLEGYDNKLRPDIGVKPTLIHTDMYVNSIGPVNAINMEYTIDIFFGQT
+WYDRRLKFNSTIKVLRLNSNMVGKIWIPDTFFRNSKKADAHWITTPNRMLRIWNDGRVLY
+TLRLTIDAECQLQLHNFPMDEHSCPLEFSSYGYPREEIVYQWKRSSVEVSDTRSWRLYQF
+SFVGLRNTTEVVKTTSGDYVVMTVYFDLSRRMGYFTIQTYIPCTLIVVLSWVSFWINKDA
+VPARTSLGITTVLTMTTLSTIARKSLPKVSYVTAMDLFVSVCFIFVFSALVEYGTLHYFV
+SNRKPSKDKDKKKKNPLLRMFSFKAPTIDIRPRSATIQMNNATHLQERDEEYGYECLDGK
+DCASFFCCFEDCRTGAWRHGRIHIRIAKMDSYARIFFPTAFCLFNLVYWVSYLYL
+>sp|A0JN69|MARH3_BOVIN E3 ubiquitin-protein ligase MARCH3 OS=Bos taurus OX=9913 GN=MARCH3 PE=2 SV=1
+MTTSRCSHLPEVLPDCTGSAAPVVKTVEDCGSLVNGQPQYVMQVSAKDGQLLSTVVRTLA
+TQSPFNDRPMCRICHEGSSQEDLLSPCECTGTLGTIHRSCLEHWLSSSNTSYCELCHFRF
+AVERKPRPLVEWLRNPGPQHEKRTLFGDMVCFLFITPLATISGWLCLRGAVDHLHFSSRL
+EAVGLIALTVALFTIYLFWTLVSFRYHCRLYNEWRRTNQRVILLIPKSVNIPSNQQSLLG
+LHSAKRNSKETIV
+>sp|P05010|IFNAD_BOVIN Interferon alpha-D OS=Bos taurus OX=9913 GN=IFNAD PE=3 SV=1
+MAPAWSLLLALLLLSCNAICSLGCHLPHSHSLAKRRVLTLLRQLRRVSPSSCLQDRNDFA
+FPQEALGGSQLQKAQAISVLHEVTQHTFQLSSTEGSAAVWDESLLDKLRTALDQQLTDLQ
+ACLRQEEGLPGAPLLKEDSSLAVRKYFHRLTLYLQEKRHSPCAWEVVRAQVMRAFSSSTN
+LQERFRRKD
+>sp|Q5E9C8|MMAC_BOVIN Methylmalonic aciduria and homocystinuria type C protein homolog OS=Bos taurus OX=9913 GN=MMACHC PE=2 SV=1
+MEPLVAELKQKIEDTLCPFGFEVYPFQVAWYNALLPPAFHLPLPGPTLAFLVLSTPAMFD
+QALKPFLQSHHLQPLTDPVDQCVAYHLGRVRESLPELQIEVIADYEVHPNRRPKILAQTA
+AHVAGAAYYYQRQDVESDPWGTQHIAGVCIHPRFGGWFAIRGVVLLRGTEVPNLPPTKPV
+DCVPTRADRISLLERFNFHWRDWTYRDAVTPQERYSEEQKAYFSTPPAQRLALLGLLQPS
+EEPSSPSQELHITTLLSKKPQNPRRGWLSPTVSPPISPGP
+>sp|Q76LV1|HS90B_BOVIN Heat shock protein HSP 90-beta OS=Bos taurus OX=9913 GN=HSP90AB1 PE=2 SV=3
+MPEEVHHGEEEVETFAFQAEIAQLMSLIINTFYSNKEIFLRELISNASDALDKIRYESLT
+DPSKLDSGKELKIDIIPNPQERTLTLVDTGIGMTKADLVNNLGTIAKSGTKAFMEALQAG
+ADISMIGQFGVGFYSAYLVAEKVVVITKHNDDEQYAWESSAGGSFTVRADHGEPIGRGTK
+VILHLKEDQTEYLEERRVKEVVKKHSQFIGYPITLYLEKEREKEISDDEAEEEKGEKEEE
+DKDDEEKPKIEDVGSDEEDDSGKDKKKKTKKIKEKYIDQEELNKTKPIWTRNPDDITQEE
+YGEFYKSLTNDWEDHLAVKHFSVEGQLEFRALLFIPRRAPFDLFENKKKKNNIKLYVRRV
+FIMDSCDELIPEYLNFIRGVVDSEDLPLNISREMLQQSKILKVIRKNIVKKCLELFSELA
+EDKENYKKFYEAFSKNLKLGIHEDSTNRRRLSELLRYHTSQSGDEMTSLSEYVSRMKETQ
+KSIYYITGESKEQVANSAFVERVRKRGFEVVYMTEPIDEYCVQQLKEFDGKSLVSVTKEG
+LELPEDEEEKKKMEESKAKFENLCKLMKEILDKKVEKVTISNRLVSSPCCIVTSTYGWTA
+NMERIMKAQALRDNSTMGYMMAKKHLEINPDHPIVETLRQKAEADKNDKAVKDLVVLLFE
+TALLSSGFSLEDPQTHSNRIYRMIKLGLGIDEDEVTAEEPSAAVPDEIPPLEGDEDASRM
+EEVD
+>sp|Q3ZBP1|KCRS_BOVIN Creatine kinase S-type, mitochondrial OS=Bos taurus OX=9913 GN=CKMT2 PE=2 SV=1
+MASTFSKLLTGRNASLLFATLGTGALTTGYLLNKQNVCAAAREQHKLFPPSADYPDLRKH
+NNCMAECLTPAIYAKLRNKVTPSGYTLDQCIQTGVDNPGHPFIKTVGMVAGDEESYEVFA
+DLFDPVIKLRHNGYDPRVMKHPTDLDASKITQGQFDERYVLSSRVRTGRSIRGLSLPPAC
+SRAELREVENVAITALEGLKGDLAGRYYKLSEMTEQDQQRLIDDHFLFDKPVSPLLTCAG
+MARDWPDARGIWHNYDKTFLIWINEEDHTRVISMEKGGNMKRVFERFCRGLKEVERLIQE
+RGWEFMWNERLGYILTCPSNLGTGLRAGVHVRIPKLSKDPRFSKILENLRLQKRGTGGVD
+TAAVADVYDISNIDRIGRSEVELVQIVIDGVNYLVDCEKKLERGQDIKVPPPLPQFGKK
+>sp|P83095|LACTB_BOVIN Serine beta-lactamase-like protein LACTB, mitochondrial OS=Bos taurus OX=9913 GN=LACTB PE=1 SV=2
+MYRLLSAVMARAATTGGCAWGCGRRAAHQRAGLPPLGPGWVGGLGLGLGLALGVKLAGGL
+RGASPAPPAAAPDPEALPQAEPLQAQEQPLAPWSPQTPAPPHTRHFARAIDSSRDLLHRI
+KDEVGAPGIVVGVSVDGKEVWSEGLGYADVENRVPCKPETVMRIASISKSLTMVAIAKLW
+EAGKLDLDIPVQHYVPEFPEKEYEGEKVSVTTRLLISHLSGIRHYEKDMKKVKEEKAYKA
+LKMMKEMMESDQEKELKEKGGKSNEKNDFAKAKVEQDNETKGRNSKPCKKKNDFEQGELY
+LKEKFENSIESLRLFKNDPLFFKPGSQFLYSTFGYTLLAAIVERASGYKYLDYMQKIFHD
+LDMLTTVQEENEPVIYNRARFYVYNKKKRLVNTPYVDNSYKWAGGGFLSTVGDLLKFGNA
+MLYGYQVGLFKNANENLLPGYLKPETMLMIWTPVPNTEMSWDKEGKYAMAWGVVEKKQTY
+GSCRKQRHYASHTGGAVGASSVLLVLPEELDAEALNNKVPPRGIVVSIICNMQSVGLNST
+ALKIALEFDKDRSDIP
+>sp|P04262|K2CB_BOVIN Keratin, type II cytoskeletal 68 kDa, component IB (Fragment) OS=Bos taurus OX=9913 PE=2 SV=1
+EAKDDLARLLRDYQDAMNVKLALDVEIATYRKLLEGEECRMSGECPSAVSISVVSSSSTT
+SASAGGFGGGYGGGVGVGGGARSGFGGGSGFGGGSGISGSSGFGGGSGSGFGGGSGFSGS
+SGFGGGSSGFGSGSGGRSGVSGGGLSSGSSRGGSVRFSQSSQRTSR
+>sp|Q0VC19|CC184_BOVIN Coiled-coil domain-containing protein 184 OS=Bos taurus OX=9913 GN=CCDC184 PE=2 SV=1
+MEEGLLEIMTKDGGDMPAPLEVSTVPAVGDVISGEYNGGMKELMEHLKAQLQALFEDVRA
+MRGALDEQASHIQVLSDDVCANQRAIVSMCQIMTTAPRQGGLGVVGNKGNFPGARRDPET
+PSPGIGDSGLLGRDPEDEEDDDEEEKEMPSSATPTSHCELPESPCAGLLGGDGPLVEPLD
+LPDITLLQLEGEASL
+>sp|Q5BIS3|C42S1_BOVIN CDC42 small effector protein 1 OS=Bos taurus OX=9913 GN=CDC42SE1 PE=3 SV=1
+MSEFWHKLGCCVVEKPQPKKKRRRIDRTMIGEPMNFVHLTHIGSGEMGAGDGLAMTGAVQ
+EQMRSKGNRDRPWSNSRGL
+>sp|Q32KY8|ASB17_BOVIN Ankyrin repeat and SOCS box protein 17 OS=Bos taurus OX=9913 GN=ASB17 PE=2 SV=1
+MSKSSKLCCKTSCPRSNIFCSLVDKVFKRPSLQSLHQWGYHCYEPRVYRTLAKILRYVDL
+EGFDILLSDYIAFVEKSGCHLEVNFNLEFTEICVNTILYWVFARKGNPDFVELLLKKTKD
+YVQDRSFNLALIWRTFTPVYCPSPLSGITPLLYVAQTRQSNILKILLQYGILERENNPIN
+IVLTILLYPSRVRIMVDHELVDIEEDAKTCLVLCSRVLSTISIREIEMQLSLGRRPIISN
+WLDYIPSTRYKDPCELLHLCRITIRAQLLTNNMLPNGIFSLLIPVCLQNYLNLES
+>sp|Q66WT7|CAV2_BOVIN Caveolin-2 OS=Bos taurus OX=9913 GN=CAV2 PE=1 SV=1
+MGLETEKADVQLFMDDDSYSRHSSVDYADPDKFVDPGSDRDPHRLNSHLKVGFEDVIAEP
+VSTHSFDKVWICSHALFEMSKYVIYKFLTVFLAIPLAFAAGILFATLSCLHIWIIMPFVK
+TCLMVLPSVQTIWKSVTDVVIAPLCTSIGRSFSSVSLQLSHD
+>sp|Q2KI22|CCND1_BOVIN G1/S-specific cyclin-D1 OS=Bos taurus OX=9913 GN=CCND1 PE=2 SV=1
+MAHQLLCCEMETIRRAYPDANLLNDRVLRAMLKAEETCAPSVSYFKCVQKEILPSMRKIV
+ATWMLEVCEEQKCEEEVFPLAMNYLDRFLSLEPVKKSRLQLLGATCMFVASKMKETIPLT
+AEKLCIYTDNSIRPDELLHMELVLVNKLKWNLAAMTPHDFIEHFLSKMPVAEENKQIIRK
+HAQTFVALCATDVKFISNPPSMVAAGSVAAAAQGLHLGSANGFLSYHRLTRFLSKVIRCD
+PDCLRACQEQIEALLESSLRQAQQQNLDPKAAEEEEEEEEVDLACTPTDVRDVNI
+>sp|Q1JQ93|DPM1_BOVIN Dolichol-phosphate mannosyltransferase subunit 1 OS=Bos taurus OX=9913 GN=DPM1 PE=2 SV=1
+MAAEEASRSSPRFRREPKGRVSRQDKYSVLLPTYNERENLPFIVWLLVKSFSESGFNYEI
+IIIDDGSPDGTRDVAEQLEKIYGSDRILLRPREKKLGLGTAYIHGMKHATGNYIIIMDAD
+LSHHPKFIPEFIRKQKEGNFDIVSGTRYKGNGGVYGWDLKRKIISRVANFITQILLRPGA
+SDLTGSFRLYRKEVLQKLIGKCISKGYVFQMEMIVRARQLNYTIGEVPISFVDRVYGESK
+LGGNEIVSFLKGLLTLFATT
+>sp|F1MF74|MICA2_BOVIN [F-actin]-monooxygenase MICAL2 OS=Bos taurus OX=9913 GN=MICAL2 PE=3 SV=2
+MGENEDEKQTQAGQLFENFVQASTCKGTLQAFNILTRQLDLDPLDHRNFYSKLKSKVTTW
+KAKALWYKLDKRGSHKEYKRGRSCMNTKCLIIGGGPCGLRTAIELAYLGAKVVVVEKRDT
+FSRNNVLHLWPFTIHDLRGLGAKKFYGKFCAGSIDHISIRQLQLILFKVALILGVEIHVN
+VEFVKVLEPPEDQENQKIGWRAEFLPVDHSLSEFEFDVIIGADGRRNTLEGFRRKEFRGK
+LAIAITANFINRNSTAEAKVEEISGVAFIFNQKFFQDLKKETGIDLENIVYYKDCTHYFV
+MTAKRQSLLDKGVIINDYTDTETLLRAENVNQDNLLSYAREAADFATNYQLPSLDFAMNH
+YGQPDVAMFDFTSMYASENAALVRERQSHLLLVALVGDSLLEPFWPMGTGCARGFLAAFD
+TAWMVKSWDQGTSPLELLAERESLYRLLPQTTPENINKNFEQYTLDPATRYPNLNSNNIK
+PNQVKHLYITKELHQYPLERLGSVRRSVGLSRRESDVRPSKLLTWCQQQTEGYQHVNVTD
+LTTSWRSGLALCAIIHRFRPDLINFDSLNEDHAVENNQLAFDVAEREFGIAPVITGKEMA
+SAQEPDKLSMVMYLSKFYELFRGTPLRPVDSWRKNYEENADLGLAKSSIPHHYLNLTFPR
+KRTPRVDSRTEENDMNKRRRKGFNNLHEPSAFSSQSLGSNQEGIKEGGNQNKVKSMASQL
+LAKFEESSRNPSILRQEHRVSGIGKPILRSSSDPPVNSRCPKPEEPTPSPSPPLKRQFPS
+VVVTGHVLRELKQVSAGGECPARPWRARAKSDLQLGGPENLASLPPTCPGALALSGVLRR
+LQQVEEKVLQKRAQNLANREFHKKNIKEKAAHLASMFGHGDFPQNKLLSKSLSHTHPPSS
+PSCLPSPDSAATSSPSTVDSVSPARKLTVGKVSSGIGAAAEVLVNLYLNDHRPKTQATSP
+DLESVRKTFPLNVGGSDTCYFCKKRVYVMERLSAEGRFFHRECFRCSVCATTLHLATYAF
+DVDEGKFFCKPHFIHCKTNSQQRKRRAELKQQKEEEGMWKEQEAARRDPPSESSCAAAAI
+ATPEGSPPVHFSLPVLHPLLG
+>sp|Q2KIP7|MD2L2_BOVIN Mitotic spindle assembly checkpoint protein MAD2B OS=Bos taurus OX=9913 GN=MAD2L2 PE=2 SV=1
+MTTLTRQDLNFGQVVADVLCEFLEVAVHLILYVREVYPVGIFQKRKKYNVPVQMSCHPEL
+NQYIQDTLHCVKPLLEKNDVEKVVVVILDKEHRPVEKFVFEITQPPLLPISSDSLLSHVE
+QLLRAFILKISVCDAVLDHNPPGCTFTVLVHTREAATRNMEKIQVIKDFPWILADEQDVH
+MHDPRLIPLKTMTSDILKMQLYVEERAHKSS
+>sp|Q6B410|LYSI_BOVIN Lysozyme C, intestinal isozyme OS=Bos taurus OX=9913 PE=2 SV=1
+MKAVLILGLLLLSVTVQGKKFEKCELARTLRRYGLDGYKGVSLANWMCLTYGESRYNTRV
+TNYNPGSKSTDYGIFQINSKWWCNDGKTPKAVNGCGVSCSAMLKDDITQAVACAKTIVSR
+QGITAWVAWKNKCRNRDVSSYIRGCKL
+>sp|Q5EA49|MIS12_BOVIN Protein MIS12 homolog OS=Bos taurus OX=9913 GN=MIS12 PE=2 SV=1
+MSVDPMTYEAQFFGFTPQTCMLRIYIAFQDYLFEVMQAVEQVILKKLDGIPDCAISPVEI
+RKCTEKFLCFMKGRFDNLFGKMEQLFLQLILRIPPNVLLPEDKSQETQSYSEEEFHFLQK
+EIEQLQEKYKTELCTKQALLAELEEQKIVQAKLKQTLSLFDELENVGRDHGASDFREGLV
+FLIQNSRKLQNIRENVEKEGKRLKIS
+>sp|Q2KIY6|HORM1_BOVIN HORMA domain-containing protein 1 OS=Bos taurus OX=9913 GN=HORMAD1 PE=2 SV=2
+MATAQLQRTSMSALIFPNKISTEQQSLVLVKRLLAVSVSCITYLRGIFPECAYGTRYLDD
+LCVKILREDKNCPGSTQLVKWMLGCYDALHKKYLRMVVLAVYTNPEDPQTISECYQFKFK
+YTSNGPVMDFTSKNQSNEPNMSSADTKKASILLIRKIYILMQNLGPLPNDVCLTMKLFYY
+DEVTPPDYQPPGFKDGDCEGVIFEGEPMYLNVGEVPTPFHTFKVKVTTERERMENIGSGI
+LSPKQLKTPLQKILTDKDDLEDDQEHYISDEFDTETKMEEQEKNPGCSVRREAGFICEED
+EMKSKGSPDFSISHSQVEQLVSKTSELDVSESKTRSGKIFQNKMANGNQQVKSKENRKRT
+QLESGKTVLHPFDSSSQESVPKRRKFSEPKERI
+>sp|Q17R16|LHPL4_BOVIN LHFPL tetraspan subfamily member 4 protein OS=Bos taurus OX=9913 GN=LHFPL4 PE=2 SV=1
+MLPSQEASKLYHEHYMRNSRAIGVLWAIFTICFAIINVVVFIQPYWVGDSVSTPKPGYFG
+LFHYCVGSGLAGRELTCRGSFTDFSTIPSGAFKAAAFFVLLSMVLILGCITCFALFFFCN
+TATVYKICAWMQLLAALCLVLGCMIFPDGWDAETIRDMCGAKTGKYSLGDCSVRWAYILA
+IIGILNALILSFLAFVLGNRQTDLLQEELKQENKDFVGSTVSSVLRPGGDVSGWGVLPCP
+VAHTQGP
+>sp|C6KGD8|HSTN_BOVIN Histatherin OS=Bos taurus OX=9913 GN=HSTN PE=2 SV=1
+MKIFIFIFIMALILAMIRADSSEEKRHRKRKKHHRGYFQQYQPYQRYPLNYPPAYPFP
+>sp|Q08DK1|IGS11_BOVIN Immunoglobulin superfamily member 11 OS=Bos taurus OX=9913 GN=IGSF11 PE=2 SV=1
+MTCRGSPLAPLLLFSLHGVAASLEVSESPGSVQVARGQTAVLPCTFTTSAALINLNVIWM
+VIPLSNANQPEQVILYQGGQMFDGAPRFHGRVGFTGTMPATNVSIFINNTQLSDTGTYQC
+LVNNLPDRGGRNIGVTGLTVLVPPSAPHCQIQGSQDIGSDVILLCSSEEGIPRPTYLWEK
+LDNTLKLPPTATQDQVQGTVTIRNISALSSGLYQCVASNAIGTSTCLLDLQVISPQPRSI
+GLIAGAIGTGAVIIIFCIALILGAFFYWRSKNKEEEEEEIPNEIREDDLPPKCSSSAKAF
+HMEISSSENNTLTSSNTYNSRYWSSNPKAHRNTESFGHFGDLRQSFSLHSGNASVPAIYA
+NGSHLAPAPHKTLVVTANRGSSLPAVSRSNGSVSRKARPPPVPSLHTHSYTVSQATLERI
+GAVPVMVPAQSRAGSLV
+>sp|Q2TBI0|LBP_BOVIN Lipopolysaccharide-binding protein OS=Bos taurus OX=9913 GN=LBP PE=2 SV=1
+MVTSTGTLPSLLLGTLLTFTSGALGANPGLVVRITDQGLEYVAQEELLALQSKLHKVTLP
+DFNGDVRIKHFGSVDYRFHSLNIQSCKLLGSALKLLPNQGLHFSISDSFIQVTGDWKVRK
+RILRLDGSFDVKVKGITISVNLLLDSEPSGRPKVAVSSCSSHIRDVEVHISGDLGWLLNL
+FHNQIESRFRRVLESKICEIIEDSVTSELQPYLQTLPVTTEIDHLAGLDYSLMGAPQATA
+QMLDVMFKGEIFSRDDRFPVAFLAPVMNLPEEHSRMVYFAISDYAFNTASLVYHKAGFLN
+FTITDDVIPPDSSIRQNTKSFRAFVPRIARLYPNTNLELQGAVISAPCLNFSPGNLSTAA
+QMEIEAFVLLPNSVKEPVFRLSVATNVSAMLTFNTSKITGFLEPGKIQVELKESKVGRFN
+VELLEALLNYYLLNNFYPKVNDKLAEGFPLPLLRKIQLYDPILQIHKDFLFLGTNVRYLR
+V
+>sp|A5PJU6|HYKK_BOVIN Hydroxylysine kinase OS=Bos taurus OX=9913 GN=HYKK PE=2 SV=1
+MSSGDGQQSQALTKPSFSEVQASALVESVFGLKVSKIQPLPSYDDQNFHVCIARTKVTTD
+GPNECVLKISNTESSKTPDLIEVQTHIIMFLRAAGFPTASVCRTKGDNVSSLVSVDSGSE
+VKSYLVRLLTYLPGRPIAEIPIGPQLLYEIGRLAAKLDKTLEKFHHPKLSSLHRENFIWN
+LKSVPLLEKYLYALGQNRNREIVEQVIQLFKDEVMTSLSHFRECINHGDLNDHNILIVSS
+ESAFGDAVYQVSGILDFDDMSYGYYVFEVAITIMYMMIESKTPIQVGGHVLAGFESVVPL
+TPVERGALFLLVCSRFCQSLVLAAYSCQLYPENEEYLMITAKTGWKHLQQMFDMGRKAVE
+EIWFETAKSYESGISM
+>sp|Q2KIA3|LMO3_BOVIN LIM domain only protein 3 OS=Bos taurus OX=9913 GN=LMO3 PE=2 SV=1
+MLSVQPDTKPKGCAGCNRKIKDRYLLKALDKYWHEDCLKCACCDCRLGEVGSTLYTKANL
+ILCRRDYLRLFGVTGNCAACSKLIPAFEMVMRAKDNVYHLDCFACQLCNQRFCVGDKFFL
+KNNMILCQTDYEEGLMKEGYAPQVR
+>sp|Q0VCS4|HXA2_BOVIN Homeobox protein Hox-A2 OS=Bos taurus OX=9913 GN=HOXA2 PE=2 SV=1
+MNYEFEREIGFINSQPSLAECLTSFPPVADTFQSSSIKTSTLSHSTLIPPPFEQTIPSLN
+PGSHPRHGAGGRPKPSPAGSRGSPVPAGALQPPEYPWMKEKKAAKKTVLPPASAASATGP
+ACLSHKESLEIADGSGGGSRRLRTAYTNTQLLELEKEFHFNKYLCRPRRVEIAALLDLTE
+RQVKVWFQNRRMKHKRQTQCKENQNSEGKFKSLEDSEKVDEDEEEKSLFEQALSVSGALL
+EREGYTFQQNALSQQQASNGYNGDSQSFPVSPLTSNEKNLKHFQHQSPTVPNCLSTMGQN
+CGSGLNNDSPEALEVPSLQDFNVFSTDSCLQLSDAVSPSLPGSLDSPVDISADSFDFFTD
+TLTTIDLQHLNY
+>sp|Q2YDK1|I20L2_BOVIN Interferon-stimulated 20 kDa exonuclease-like 2 OS=Bos taurus OX=9913 GN=ISG20L2 PE=2 SV=1
+MSTLLLNLDFGEPPPKKALEGNAKHRKFVKKRRLLERKGFLNKKKQPPSKVPKLHSEPSQ
+KGETPRVDGTWKATPLPKKKTTAASSSGSEQSLDKKAAVPWLTPAPSQKAGSVVAKVDLL
+GEFQSALPKIKSHPTRPQKKGSQKNPPPKNGPQNSTHTHSENKYSGVSQKIPGKMVAIDC
+EMVGTGPKGHVSSLARCSIVNYDGDVLYDEYILPPCHIVDYRTRWSGIRKQHMVNATPFK
+IARNQILKILAGKIVVGHAIHNDFKALQYIHPKSLTRDTSHIPLLNRKADCPENATMSLK
+SLTKKLLNRDIQAGKSGHSSVEDAQATMELYKLVEVEWEQHLAQNPPKD
+>sp|P02081|HBBF_BOVIN Hemoglobin fetal subunit beta OS=Bos taurus OX=9913 PE=1 SV=1
+MLSAEEKAAVTSLFAKVKVDEVGGEALGRLLVVYPWTQRFFESFGDLSSADAILGNPKVK
+AHGKKVLDSFCEGLKQLDDLKGAFASLSELHCDKLHVDPENFRLLGNVLVVVLARRFGSE
+FSPELQASFQKVVTGVANALAHRYH
+>sp|Q28207|IAPP_BOVIN Islet amyloid polypeptide OS=Bos taurus OX=9913 GN=IAPP PE=3 SV=2
+MGILKLPVVLIVLCVALNHLEGGGKPTESHQMEKRKCGTATCETQRLANFLAPSSNKLGA
+IFSPTKMGSNTYGKRKKVEILKREPLSYLPI
+>sp|P00996|ISK1_BOVIN Serine protease inhibitor Kazal-type 1 OS=Bos taurus OX=9913 GN=SPINK1 PE=1 SV=2
+MKVASIFLLTALVLMSLSGNSGANILGREAKCTNEVNGCPRIYNPVCGTDGVTYSNECLL
+CMENKERQTPVLIQKSGPC
+>sp|A7YWK3|K2C73_BOVIN Keratin, type II cytoskeletal 73 OS=Bos taurus OX=9913 GN=KRT73 PE=2 SV=1
+MSRQFTYKSGAAAKGAFSGCSVVLSGSSSPSYRAGSKGLSGGFGSRSLYSLGCARSVSFN
+MASGSGRAGGYGFSRGRASGFAGSMFGSVALGPMCPSLCPPGGIHQVIVNKSLLAPLNVE
+LDPEIQKVCAQEREQIKALNNKFASFIDKVRFLEQQNQVLGTKWELLQQQDLDNCKNNLE
+PILEGYISNLRKQLEMLSGDRVRLDSELRSMRDVVEDYKKRYEEEINKRTTAENEFVVLK
+KDVDAAYMSKVELQAKVDALEREIKFFTCLYEGEIAQMQSHISDTSVILSMDNNRNLDLN
+SIIAEVRAQYEDIALKSKAEAEALYQTKFQELQLAAGRHGDDLKHTKNEIAELTRLIQRL
+RSETESVKKQCSNLETAITDAEQRGHCALKDAQAKLDELEAALLQAKEELARMMCEYQEL
+MSTKLALDIEIATYRKLLEGEECRMSGEYTNSVSISVISSSMAGTAGTGAGFGYSGSGTY
+GYRPSSVGGGYGFLLGGCVTGSGNCSPRGEAKTRLGSTSEIKDLLGKTPALSSPTKKTPR
+>sp|P84227|H32_BOVIN Histone H3.2 OS=Bos taurus OX=9913 PE=1 SV=2
+MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRYRPGTVALREIRRYQKSTE
+LLIRKLPFQRLVREIAQDFKTDLRFQSSAVMALQEASEAYLVGLFEDTNLCAIHAKRVTI
+MPKDIQLARRIRGERA
+>sp|P20959|IBP3_BOVIN Insulin-like growth factor-binding protein 3 OS=Bos taurus OX=9913 GN=IGFBP3 PE=1 SV=3
+MLRARPALWAAALTALTLLRGPPAARAGAGTMGAGPVVRCEPCDARAVAQCAPPPPSPPC
+AELVREPGCGCCLTCALREGQPCGVYTERCGSGLRCQPPPGDPRPLQALLDGRGLCANAS
+AVGRLRPYLLPSASGNGSESEEDHSMGSTENQAGPSTHRVPVSKFHPIHTKMDVIKKGHA
+KDSQRYKVDYESQSTDTQNFSSESKRETEYGPCRREMEDTLNHLKFLNMLSPRGIHIPNC
+DKKGFYKKKQCRPSKGRKRGFCWCVDKYGQPLPGFDVKGKGDVHCYSMESK
+>sp|P06394|K1C10_BOVIN Keratin, type I cytoskeletal 10 OS=Bos taurus OX=9913 GN=KRT10 PE=3 SV=1
+MSVRYSSSKQYSSSRSGGGGGGGSSLRISSSKGSLGGGYSSGGFSGGSFSRGSSAGGCFG
+GSSSIYGGGLGSGFGGGYGSSFGGSYGGSFGGGYGGGGFGGGSFGGGSFGGGLGGGFGDG
+GLISGNQKITMQNLNDRLASYLDKVRALEESNYELEVKIKEWYEKYGNSRQREPRDYSKY
+YQTIDDLKNQIFNLTTDNANILIQVDNARLAADDFRLKYENEVTLRQSVEADINGLRRVL
+DELTLTKTDLEMQIESLTEELAYLKKNHEEEMRDLQNVSTGDVNVEMNAAPGVDLTELLN
+NMRSQYEQLAEKNRRDAEAWFNEKSKELTTEINSNLEQVSSHKSEITELRRTIQGLEIEL
+QSQLALKQSLEASLAETEGRYCVQLSQIQSQISSLEEQLQQIRAETECQNAEYQQLLDIK
+IRLENEIQTYRSLLEGEGSSGGGSYGGGRGYGGSSGGGGGGYGGGSSSGGYGGGSSSGGG
+HGGSSGGSYGGGSSSGGGHGGGSSSGGHKSTTTGSVGESSSKGPRY
+>sp|Q3ZBV4|HMGN3_BOVIN High mobility group nucleosome-binding domain-containing protein 3 OS=Bos taurus OX=9913 GN=HMGN3 PE=2 SV=1
+MPKRKSPENTEGKDGSKVTKQEPTRRSARLSAKPAPPKPEPKPRKTSAKKEPAAKVSKGV
+KGKKEEKQEAGKEGTAAPSENGDTKTEEAQKTESVANEGE
+>sp|Q05716|IBP4_BOVIN Insulin-like growth factor-binding protein 4 OS=Bos taurus OX=9913 GN=IGFBP4 PE=2 SV=1
+MLSLCLMAALLLAAGPGPSLGDEAIHCPPCSEEKLARCRPPVGCEELVREPGCGCCATCA
+LGKGMPCGVYTPRCGSGLRCYPPRGVEKPLHTLVHGQGVCMELAEIEAIQESLQPSDKDE
+GDHPNNSFSPCSAHDRKCLQKHLAKIRDRSTSGGKMKVIGAPREEARPVPQGSCQSELHR
+ALERLAASQSRTHEDLYIIPIPNCDRNGNFHPKQCHPALDGQRGKCWCVDRKTGVKLPGG
+LEPKGELDCHQLADSFRE
+>sp|Q3SZY9|MED6_BOVIN Mediator of RNA polymerase II transcription subunit 6 OS=Bos taurus OX=9913 GN=MED6 PE=2 SV=1
+MAAVDIRDNLLGISWVDSSWIPILNSGSVLDYFSERSNPFYDRTCNNEVVKMQRLTLEHL
+NQMVGVEYILLHAQEPILFIIRKQQRQSPTQVIPLADYYIIAGVIYQAPDLGSVINSRVL
+TAVHGIQSAFDEAMSYCRYHPSKGYWWHFKDHEEQDKVKPKVKRKEEPSSIFQRQRVDAL
+LLDLRQKFPPKFVQQKSGEKPVPVDQTKKEAEPLPETVKSEEKETAKNVQQTVGTKGPPE
+KRMRLQ
+>sp|Q9TU73|IL18_BOVIN Interleukin-18 OS=Bos taurus OX=9913 GN=IL18 PE=2 SV=1
+MAAEQVEDYCISFVEMKFINNTLYFVAENDEDLESDHFGKLEPKLSIIRNLNDQVLFINQ
+GNQPVFEDMPDSDCSDNAPQTIFIIYMYKDSLTRGLAVTISVQCKKMSTLSCENKIVSFK
+EMNPPDNIDNEESDIIFFQRSVPGHDDKIQFESSLYKGYFLACKKENDLFKLILKKQDDN
+RDKSVMFTVQNQN
+>sp|Q0P5M9|MFS10_BOVIN Major facilitator superfamily domain-containing protein 10 OS=Bos taurus OX=9913 GN=MFSD10 PE=2 SV=1
+MGCGAGGSCTPRPPIRQQQAPETRVVAVVFLGLLLDLLAFTLLLPLLPGLLESHGRAHDP
+LYGSWQRGVDWFAAAIGMPAEKRYNSVLFGGLIGSVFSLLQFLSAPLTGALSDCLGRRPG
+MLLSLAGVATSYAVWAASKSFAAFLASRVIGGISKGNVSLCTAIVADLGSPSARSKGMAV
+IGVAFSLGFTLGPTLGAFLPSETVPWLALLFAVSDLLFIWCFLPETLPPEKRAPSVTLGF
+RAAADLLSPLALLRFSAVARGPDPPTGVRLGSLRGLGLVYFLYLFLFSGLEFTLSFLVHQ
+RFRFSRVEQGKMFFFIGLTMATIQGAYARRIRPGREIAAVKQAILLLIPASLFVGWGHTL
+PILGLGLLLYSWAAAVVVPCLSSVVAGYGSPGQKGTVMGTLRSLGALARAVGPVVAASAY
+WLAGARVCYTVCAALFLLPFSILRTLSPPARTLKAE
+>sp|Q28031|LPAR1_BOVIN Lysophosphatidic acid receptor 1 OS=Bos taurus OX=9913 GN=LPAR1 PE=2 SV=1
+MAAAFTSSPVVSQPQFTAMNEQQCFSNESIAFFYNRSGKYLATEWNTVTKLVMGLGITVC
+IFIMLANLLVMVAIYVNRRFHFPIYYLMANLAAADFFAGLAYFYLMFNTGPNTRRLTVST
+WLLRQGLIDTSLTVSVANLLAIAIERHITVFRMQLHARMSNRRVVVVIVVIWTMAIVMGA
+IPSVGWNCICDIENCSNMAPLYSDSYLVFWAIFNLVTFVVMVVLYAHIFGYVRQRTMRMS
+RHSSGPRRNRDTMMSLLKTVVIVLGAFIICWTPGLVLLLLDVCCPQCDVLAYEKFFLLLA
+EFNSAMNPIIYSYRDKEMSATFRQILCCQRSENTSGPTEGSDRSASSLNHTILAGVHSND
+HSVV
+>sp|P62808|H2B1_BOVIN Histone H2B type 1 OS=Bos taurus OX=9913 PE=1 SV=2
+MPEPAKSAPAPKKGSKKAVTKAQKKDGKKRKRSRKESYSVYVYKVLKQVHPDTGISSKAM
+GIMNSFVNDIFERIAGEASRLAHYNKRSTITSREIQTAVRLLLPGELAKHAVSEGTKAVT
+KYTSSK
+>sp|Q3T0M3|IMP3_BOVIN U3 small nucleolar ribonucleoprotein protein IMP3 OS=Bos taurus OX=9913 GN=IMP3 PE=2 SV=1
+MVRKLKFHEQKLLKQVDFLNWEVTDHNLHELRVLRRYRLQRREDYTRYNQLSRAVRELAR
+RLRDLPERDPFRVRSSAALLDKLYALGLVPTRGSQELCDFVTASSFCRRRLPTVLLKLRM
+AQHLQAAVAFVEQGHVRVGPDVVTDPAFLVTRSMEDFVTWVDSSKIKRHVLEYNEERDDF
+DLDA
+>sp|Q3SZ54|IF4A1_BOVIN Eukaryotic initiation factor 4A-I OS=Bos taurus OX=9913 GN=EIF4A1 PE=2 SV=1
+MSASQDSRSRDNGPDGMEPEGVIESNWNEIVDSFDDMNLSESLLRGIYAYGFEKPSAIQQ
+RAILPCIKGYDVIAQAQSGTGKTATFAISILQQIELDLKATQALVLAPTRELAQQIQKVV
+MALGDYMGASCHACIGGTNVRAEVQKLQMEAPHIIVGTPGRVFDMLNRRYLSPKYIKMFV
+LDEADEMLSRGFKDQIYDIFQKLNSNTQVVLLSATMPSDVLEVTKKFMRDPIRILVKKEE
+LTLEGIRQFYINVEREEWKLDTLCDLYETLTITQAVIFINTRRKVDWLTEKMHARDFTVS
+AMHGDMDQKERDVIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTNRENYIHRI
+GRGGRFGRKGVAINMVTEEDKRTLRDIETFYNTSIEEMPLNVADLI
+>sp|Q3T0P7|ITM2B_BOVIN Integral membrane protein 2B OS=Bos taurus OX=9913 GN=ITM2B PE=2 SV=1
+MVKVTFNSALAQKEAKKDESKSGEEALIIPPDAVAVDCKDPDEVVPVGQRRAWCWCMCFG
+LAFMLAGVILGGAYLYKYFAFQPDDVYYCGIKYIKDDVILNEPSADAPASRYQTIEENIK
+IFEEDEVEFISVPVPEFADSDPANIVHDFNKKLTAYLDLNLDKCYVIPLNTSIVMPPKNL
+LELLINIKAGTYLPQSYLIHEHMVITDRIENIDHLGFYIYRLCHDKETYKLQRRETIKGI
+QKRSADVCVTIRHFENRFAVETLICP
+>sp|P08728|K1C19_BOVIN Keratin, type I cytoskeletal 19 OS=Bos taurus OX=9913 GN=KRT19 PE=2 SV=1
+MTSYSYRQSSSTSSFGGMGGGSMRFGAGGAFRAPSIHGGSGGRGVSVSSARFVSSSSGGY
+GGGYGGALATSDGLLAGNEKLTMQNLNDRLASYLEKVRALEEANGDLEVKIRDWYQKQGP
+GPARDYSHYFKTIEDLRDQILGATIENSKIVLQIDNARLAADDFRTKFETEQALRMSVEA
+DINGLRRVLDELTLARTDLEMQIEGLKEELAYLKKNHEEEMSVLKGQVGGQVSVEVDSAP
+GIDLAKILSDMRSQYEVIAEKNRKDAEAWFISQTEELNREVAGHTEQLQISKTEVTDLRR
+TLQGLEIELQSQLSMKAALEGTLAETEARFGAQLAQIQALISGIEAQLSDVRADTERQNQ
+EYQHLMDIKTRLEQEIATYRNLLEGQDAYFNDLSLAKAL
+>sp|Q9N0T5|IF4E_BOVIN Eukaryotic translation initiation factor 4E OS=Bos taurus OX=9913 GN=EIF4E PE=2 SV=2
+MATVEPETTPTPNPPPTEEEKTESNQEVANPEHYIKHPLQNRWALWFFKNDKSKTWQANL
+RLISKFDTVEDFWALYNHIQLSSNLMPGCDYSLFKDGIEPMWEDEKNKRGGRWLITLNKQ
+QRRSDLDRFWLETVLCLIGESFDDYSDDVCGAVVNVRAKGDKIAIWTTECENREAVTHIG
+RVYKERLGLPPKIVIGYQSHADTATKSGSTTKNRFVV
+>sp|O18879|GSTA2_BOVIN Glutathione S-transferase A2 OS=Bos taurus OX=9913 GN=GSTA2 PE=2 SV=4
+MAGKPKLHYFNGRGRMECIRWLLAAAGVEFEEKFIEQPEDLDKLRNDGSLMFQQVPMVEI
+DGMKLVQTRAILNYIATKYNLYGKDMKERALIDMYSEGVEDLGEMIMHLPLCPPDQKDAK
+IAQIKERTTNRYFPAFEKVLKNHGQDYLVGNKLSKADIHLVELLYYVEELDPSLLANFPL
+LKGLKARVSSLPAVKKFLQPGSQRKPPMDEKNLEEAKRIFRIK
+>sp|Q3ZC80|LPAR5_BOVIN Lysophosphatidic acid receptor 5 OS=Bos taurus OX=9913 GN=LPAR5 PE=2 SV=1
+MQANSSAKSLPTECPDYQPIHHLHLVVYSVVLAAGLPLNALALWVFLRALRVHSVVSVYM
+CNLAASDLLFTLSLPLRLSYYARHYWPFPDFLCQLAGAVFQMNMYGSCIFLTLINVDRYA
+AIVHPLRLRHLRRPRVARLLCLGVWALILVFAVPTILAHQPSSCARDGRNVSLCFESFSD
+KLWKGSLLPLLLLAEALGFLLPLAAVVYSSGRVFWTLARPDATRSQRRRKTVRLLLASLV
+IFLLCFVPYNATLAVYGLLRGEVVPASSEARKKVRGVLMVMVLLAGANCVLDPLVYYFSA
+EGFRNTLRGLRAPLRDRTLAANGAQEALAEPLTETAHASTLTTTSQGQLQPSDPRSSFTP
+SHEDSSF
+>sp|Q1JQC1|MFSD1_BOVIN Major facilitator superfamily domain-containing protein 1 OS=Bos taurus OX=9913 GN=MFSD1 PE=2 SV=1
+MEDEEEEARALLPGGSDEAGRETRAPPAASGALQALCDPSHLAHRLVVLLLMCFLGFGSY
+FCYDNPAALQIQVKRDMQVNTTKFMLLYAWYSWPNVVLCFFGGFLIDRVFGIRWGTIIFS
+CFVCIGQVVFALGGIFNAFWLMELGRFVFGIGGESLAVAQNTYAVSWFKGKELNLVFGLQ
+LSMARIGSTVNMNLMGWLYSKVEASLGSAGHTTLGVTLMIGGITCILSLVCALALAYLDQ
+RAERILHKEQGKTGEVIKLTDVKDFSLPLWLIFIICVCYYVAIFPFIGLVKVFFTEKFGF
+SSQAASAINSVVYVISAPMSPIFGLLVDKTGKNIIWVLCAVVTTLASHIMLAFTLWNPWI
+AMCLLGLSYSLLACALWPMVAFVVPEHQLGTAYGFMQSIQNLGLAVISIIAGMILDTRGY
+LFLEVFFIACVSLSLLSVVLLYMVNHAQGGNLNYSAKKREEMKLSHEE
+>sp|Q5E9F2|HMOX1_BOVIN Heme oxygenase 1 OS=Bos taurus OX=9913 GN=HMOX1 PE=1 SV=1
+MERPQPDSSMPQDLSEALKEATKEVHTQAENAEFMKNFQKGELTQEGFKLVMASLYHIYV
+ALEEEIERNKENPVYTPLYFPEELHRRASLEQDMAFWYGPRWQEAIPYTQATKRYVQRLQ
+EVGRTEPELLVAHAYTRYLGDLSGGQVLKKIAQKALNLPSSGEGLAFFTFPNIASATKFK
+QLYRSRMNTLEMTPEVRQRVLDEAKTAFLLNIQLFEELQGLLTQKAKDHDPLQAPELHRR
+AGSKVQDLAPTKASRGKPQPSVLSQAPLLRWVLTLSFLVATVAVGLYAM
+>sp|F1MCA7|LRRC7_BOVIN Leucine-rich repeat-containing protein 7 OS=Bos taurus OX=9913 GN=LRRC7 PE=3 SV=3
+MTTKRKIIGRLVPCRCFRGEEEIISVLDYSHCSLQQVPKEVFNFERTLEELYLDANQIEE
+LPKQLFNCQALKKLSIPDNDLSNLPTTIASLVNLKELDISKNGVQEFPENIKCCKCLTII
+EASVNPISKLPDGFTQLLNLTQLYLNDAFLEFLPANFGRLAKLRILELRENHLKTLPKSM
+HKLAQLERLDLGNNEFSELPEVLDQIQNLRELWMDNNALQVLPGVWKLKMLVYLDMSKNR
+IETVDMDISGCEALEDLLLSSNMLQQLPDSIGLLKKLTTLKVDDNQLTILPNTIGNLSLL
+EEFDCSCNELESLPSTIGYLHSLRTLAVDENFLPELPREIGSCKNVTVMSLRSNKLEFLP
+EEIGQMQKLRVLNLSDNRLKNLPFSFTKLKELAALWLSDNQSKALIPLQTEAHPETKQRV
+LTNYMFPQQPRGDEDFQSDSDSFNPTLWEEQRQQRMTVAFEFEEKKEDDENAGKVKDHSC
+QAPWERGQRGITLQPARLSGDCCTPWARCDQQIQEMPVPQNDPQLAWGCISGLQQERSMC
+TPLPVAAQSTTLPSLSGRQVEINLKRYPTPYPEDLKNMVKSVQNLVGKPSHGVRVENSNP
+TANTEQTVKEKYEHKWPVAPKEITVEDSFVHPANEMRIGELHPSLAETPLYPPKLVLLGK
+DKKESTDESEVDKTHCLNNSVSSGTYSDYSPSQASSGSSNTRVKVGSLQTTAKDAVHNSL
+WGNRIAQSFPQPLDAKPLLSQREAVPTGNLPQRPDRLPISDAFTDNWTDGSHYDNTGFVA
+EETTGENANNNPLLSSKARSTSSHGRRPLIRQDRIVGVPLELEQSTHRHTPETEVPPSNP
+WQNWTRTPSPFEDRTAFPSKLETTPTTSPLPERKEHIKESTEIPSPFSPGVPWEYHDSNP
+NRSLSNVFSQIHCRPDSSKGVISISKSTERLSPLMKDIKSNKFKKSQSIDEIDIGTYKVY
+NIPLENYATGSDHLGSHERPDKMLGPEHGMSSMSRSQSVPMLDDEMLTYGSSKGPQQQKA
+SMTKKVYQFDQSFNPQGAVEVKAEKRIPPPFQHNSEYVQQPGKNIAKDLVSPRAYRGYPP
+VEQMFSFSQPSVNEDAVVNAQFASQGARAGFLRRADSLASSTEMAMFRRVSEPHELTPSD
+RYGRPPYRGGLDRQSSVSVTESQFLKRNGRYEDEHPSYQEVKAQAGSFPVKNLTQRRPLS
+ARSYSTESYGASQTRPVSARPTMAALLEKIPSDYNLGNYGDKPSDNSDIKTRPTPVKGEE
+SCGKMPADWRQQLLRHIEARRLDRNAAYKHNTVNLGMLPYGGISAMHAGRSMTLNLQTKS
+KFDLQELPLQKTPSQQSNILDNGQEDVSPSGQWNPYPLGRRDVPPDTITKKAGSHIQTLM
+GSQSLQHRSREQQPYEGNVNKVTIQQFQSPLPIQIPSSQATRGPQPGRCLIQTKGQRSMD
+GYPEQFCVRIEKNPGLGFSISGGISGQGNPFKPSDKGIFVTRVQPDGPASNLLQPGDKIL
+QANGHSFVHMEHEKAVLLLKSFQNTVDLVIQRELTV
+>sp|Q0VC03|MFSD5_BOVIN Molybdate-anion transporter OS=Bos taurus OX=9913 GN=MFSD5 PE=2 SV=1
+MLVTAYLAFVVLLASCLGLELSRCRAKPSGRACSNPSFLRFQLDFYQVYFLALAADWLQA
+PYLYKLYQHYHFLEAQIAILYVCGLASTVLFGLVASSLVDWLGRKKSCVLFSLTYSLCCL
+TKLSRDYFVLLVGRALGGLSTALLFSAFEAWYIHEHLERHDFPTEWIPATFARAAFWNHV
+LAVAAGVAAEAVACWMGLGPVAPFVAAIPLLALAGALALHNWGENYDRQRAFSRTCAGGL
+RCLLSDRRVLLLGTIQALFESVIFIFVFLWTPVLDPHGAPLGIIFSSFMAASLLGSSLYR
+IATSKRYHLQPMHLLSLAVLIVVFSLFMLTFSTSPGQESPVESFIAFLLIELACGLYFPS
+MSFLRRKVIPETEQAGVLNWFRVPLHLLACLGLLVLHDSDRKTGTRNMFSICSAVMVMAL
+LAVVGLFTVVRHDAELRVPSPTGEPYTPEL
+>sp|Q2TBN9|IFT43_BOVIN Intraflagellar transport protein 43 homolog OS=Bos taurus OX=9913 GN=IFT43 PE=2 SV=1
+MEDLLDLGEERRRCPATSGAKMGRRAQQESTQAENHLSGKNSSLTLTAEVPPPKPPRRQG
+RWAEASVKVSKSQRRASEEIQDHRLRQQSLDRSDDGGDIPVIPDLEEVQEEDFVLQVAAP
+PSIQVNRVMTYRDLDNDLMKYSAFQTLDGEIDLKLLTKVLAPEHEVREDDVSWDWDHLYT
+EVSSELLSEWDALQTEKEGPVGQPAHT
+>sp|Q29407|MEA1_BOVIN Male-enhanced antigen 1 OS=Bos taurus OX=9913 GN=MEA1 PE=2 SV=1
+MATVVLGGDTMGPERIFPNQTEELGPHQGPTEGTGDWSSEEPEEEQEETGAGPAGYSYQP
+LNQDPEQEEVELAPVGDGEDVVADIQDRIQALGLHLPDPPVESEDEDEQGATALNNHSSI
+PMDPEHVELVKRTMAGISLPAPGVPAWARELSDAQWEDVVQKALQARQAAPAWK
+>sp|P46198|IF2M_BOVIN Translation initiation factor IF-2, mitochondrial OS=Bos taurus OX=9913 GN=MTIF2 PE=1 SV=1
+MNRKILKLENLLRFHTICRQLHSLCQRRMLAQWRHMFSSAYAVHTAQLYTRPWQTDALLR
+AALSQRRLLVTKKEKRSQKSPLPSTKSKKEVEVWLGMTVEELARAMEKDIDCVYESLMNT
+AIDIDSLETHSRLDEVWIKEVIKKSGMKLKWSKLKQDKVRENKDAVKRPQADPALLIPRS
+PVVTIMGHVDHGKTTLLDKLRKTQVAAMEAGGITQHIGAFLVSLPSGEKITFLDTPGHAA
+FSAMRARGTQVTDIVILVVAADDGVMKQTVESIQHAKDAHVPIVLAINKCDKAEADPEKV
+KKELLAYDVVCEDYGGDVQAVHVSALTGENMMALAEATIALAEMLELKADPTGAVEGTVI
+ESFTDKGRGPVTTAIIQRGTLRKGSILVAGKSWAKVRLMFDENGRAVNEAYPSMPVGIIG
+WRDLPSAGDEILEVESEPRAREVVDWRKYEQEQEKNKEDLKLIEEKRKEHQEAHRKDREK
+YGTVHWKERSYIKYREKRQQQPLKPKEKLERDSNVLPVIVKGDVDGSVEAILNVMDTYDA
+SHECELDLVHFGVGDISENDVNLAETFHGVIYGFNVNAGNVIQQLAAKKGVKIKLHKIIY
+RLIEDLQEELSSRLPCIVEEHPIGEASILATFSITEGKKKVPVAGCRVQKGQIEKQKKFK
+LIRNGHVIWKGSLISLKHHKDDTSVVKTGMDCGLSLDEEKIEFKVGDAIICYEEKEVPAK
+TSWDPGF
+>sp|Q0P5F3|LIN7C_BOVIN Protein lin-7 homolog C OS=Bos taurus OX=9913 GN=LIN7C PE=2 SV=1
+MAALGEPVRLERDICRAIELLEKLQRSGEVPPQKLQALQRVLQSEFCNAVREVYEHVYET
+VDISSSPEVRANATAKATVAAFAASEGHSHPRVVELPKTEEGLGFNIMGGKEQNSPIYIS
+RIIPGGIADRHGGLKRGDQLLSVNGVSVEGEHHEKAVELLKAAQGKVKLVVRYTPKVLEE
+MESRFEKMRSAKRRQQT
+>sp|P05016|IL2_BOVIN Interleukin-2 OS=Bos taurus OX=9913 GN=IL2 PE=2 SV=1
+MYKIQLLSCIALTLALVANGAPTSSSTGNTMKEVKSLLLDLQLLLEKVKNPENLKLSRMH
+TFDFYVPKVNATELKHLKCLLEELKLLEEVLNLAPSKNLNPREIKDSMDNIKRIVLELQG
+SETRFTCEYDDATVNAVEFLNKWITFCQSIYSTMT
+>sp|P0C7I2|IGIP_BOVIN IgA-inducing protein OS=Bos taurus OX=9913 GN=IGIP PE=1 SV=1
+MKKRSVSGCNITILAVVFSHLSAGNSPCGNQANVLCISRLEFVQYQS
+>sp|Q2TBQ6|HSPB9_BOVIN Heat shock protein beta-9 OS=Bos taurus OX=9913 GN=HSPB9 PE=2 SV=1
+MQRVGSSLPSGSQSASQCPSVAFTERNQVATLPVQRLTEDAAAVRDNVHTEDGFQMKLYA
+HGFTPEELLVQVNSGCLVVTGQRQLEGCNPDGTGFRVAQKVHQQMSLPPDLDPAAMTCCL
+TPSGQLCVRGQCRALPPSEAQTGPASRFRSRGSKKLA
+>sp|Q0VCM5|ITIH1_BOVIN Inter-alpha-trypsin inhibitor heavy chain H1 OS=Bos taurus OX=9913 GN=ITIH1 PE=1 SV=1
+MGLRGLLCVCLVSLLALQAVAAQGSPTRNPKGGKKRMAVDAAVDGVVIRSLKVNCKVTSR
+FAHYIITSQVVNSADTAKEVSFNVEIPKTAFISDFAITADENAFTGDIKDKVTAWKQYRK
+AAISGENAGLVRASGRTMEQFSIHIIVGPRSKATFRLTYEEVLRRKLMQYDIVIKVKPQQ
+LVQHFEIDVDIFEPQGIRKLDVEASFLPKELAAQLIKKSFSGKKGHVLFRPTVSQQQTCP
+TCSTTLLNGDFKVTYDVNRDDACDLLVANNYFAHFFAPQNLKKLNKNVVFVIDISSSMEG
+QKLKQTKEALHKILGDMRPGDYFDLVLFGSAVQSWKGSLVQASPANLEAARNFVQQFSLA
+GATNLNGGLLRGIEILNKAQQSLPELSNHASILIMLTDGEPTEGVMDRTQILKNVRDGIK
+GRFPLYNLGFGHDVDLNFLEVMSLENNGRVQRIYEDHDATQQLQGFYEQVANPLLRDVEL
+LYPREAVSDLTQHRHKQYYEGSEIMVAGRIADHKLSSFKADVRAHGEGQEFMTTCLVDKE
+EMKKLLRERGHMLENHVERLWAYLTIQELLAKRMKLEGQEKANVSAKALQMSLAYQFVTP
+LTSMTVRGMTDQDGLEPIIDKPLDDYLPLEMVGPRKTFMLQASQPAPTHSSLDIKKLPDQ
+VTGVDTDPHFLIHVPQKEDTLCFNINEEPGVVLSLVQDPDTGFSVNGQLIGNEAGSPGKH
+EGTYFGRLGIANPATDFQLEVTPQNITLNPGSGGPVFSWRDQAFLRQNEVLVTINRKRNL
+VVSVEDGGTFEVVLHRVWRGSAVRQDFLGFYVLDSHRMSARTHGLLGQFFHPFDYKVSNL
+HPGSDPTKTDATMVVKNRRLTVTRGLQKDYRKDPRHGAEVTCWFIHNNGDGLIDGIHTDY
+IVPDIF
+>sp|P23132|LITH_BOVIN Lithostathine OS=Bos taurus OX=9913 GN=PTP PE=1 SV=1
+MLPSLGLPRLSWMLLSCLMLLSQIQGENSQKELPSARISCPSGSMAYRSHCYALFKTPKT
+WMDADIACQKRPSGHLVSVLSGAEESFVASLVRNNLNTQSDIWIGLHDPTEGSEANAGGW
+EWISNDVLNYVAWETDPAAISSPGYCGSLSRSSGYLKWRDHNCNLNLPYVCKFTD
+>sp|Q9XSG3|IDHC_BOVIN Isocitrate dehydrogenase [NADP] cytoplasmic OS=Bos taurus OX=9913 GN=IDH1 PE=2 SV=1
+MSQKIQGGSVVEMQGDEMTRIIWELIKEKLIFPYVELDLHSYDLGIENRDATNDQVTKDA
+AEAIKKYNVGVKCATITPDEKRVEEFKLKQMWKSPNGTIRNILGGTVFREAIICKNIPRL
+VSGWVKPIIIGRHAYGDQYRATDFVVPGPGKVEISYTPSDGSPKTVYLVHNFTESGGVAM
+GMYNQDKSIEDFAHSSFQMALSKNWPLYLSTKNTILKKYDGRFKDIFQEIYDKQYKSEFE
+AQNIWYEHRLIDDMVAQAMKSEGGFIWACKNYDGDVQSDSVAQGYGSLGMMTSVLVCPDG
+KTVEAEAAHGTVTRHYRMYQKGQETLTNPIASIFAWTRGLAHRAKLDNNKELSFFAKALE
+EVCIETIEAGFMTKDLAACIKGLPNVQRSDYLNTFEFMDKLGENLQLKLAQAKL
+>sp|F1N152|HTRA1_BOVIN Serine protease HTRA1 OS=Bos taurus OX=9913 GN=HTRA1 PE=2 SV=1
+MQPPRAPFLPPPTPPLLLLLLLLAAPASAQPARAGRSAPGAAGCPERCDPARCAPPPGSC
+EGGRVRDACGCCEVCGAPEGAECGLQEGPCGEGLQCVVPFGVPASATVRRRAQSGLCVCA
+SNEPVCGSDAKTYTNLCQLRAASRRSERLHQPPVIVLQRGACGQGQEDPNSLRHKYNFIA
+DVVEKIAPAVVHIELFRKLPFSKREVPVASGSGFIVSEDGLIVTNAHVVTNKHRVKVELK
+NGATYEAKIKDVDEKADIALIKIDHQGKLPVLLLGRSSELRPGEFVVAIGSPFSLQNTVT
+TGIVSTTQRGGKELGLRNSDMDYIQTDAIINYGNSGGPLVNLDGEVIGINTLKVTAGISF
+AIPSDKIKKFLTESHDRQAKGKAITKKKYIGIRMMSLTPSKAKELKDRHRDFPDVLSGAY
+IIEVIPDTPAEAGGLKENDVIISINGQSVVSANDVSDVIKKESTLNMVVRRGNEDIMITV
+IPEEIDP
+>sp|Q2T9P9|CCER1_BOVIN Coiled-coil domain-containing glutamate-rich protein 1 OS=Bos taurus OX=9913 GN=CCER1 PE=2 SV=1
+MTQTLDTKEDPLNLGGGWASSAPLRTWSFGPRRRRGAPVYRRRPRYGPKAEYEPPRKQAK
+QQYGPGPWFQPPWRPYWAVYSNWGRWRGPWCPPPAGFRKPPGRVQVIRVYGLHPLCLCCC
+SCCHGPWNPGWARPPGRKKRWGRRGRGLRRHPRRSAQRSPPVDLSTLLRPVNLYGWRAPG
+MRAPRNTTQFIMNQIYEDMRQQEKLERQQEALRAQQAQAASTASPEGAFGNDVPPSGGQE
+DEELQDTLYSFVRNPSLVFSPDPDEEKQTATSQLVEEEEEGEREEEEEEWCDEEECDGKE
+LESQEEDEESEAKDEEDEESEAKDEEEGEEADYMEEREEEDEEDEAEEIAEEEEGLAEDE
+QTEEENHLPLEMPLSFLVGAEEERENFMNCTYLSPKQGIPKVAQEALFMVQDINC
+>sp|Q0VCC0|CAYP1_BOVIN Calcyphosin OS=Bos taurus OX=9913 GN=CAPS PE=2 SV=1
+MDAVDTTMEKLRAQCLSRGASGIQGVARFFRRLDQDGSRSLDVRELQRGLAELGLVLDTA
+EMEGVCRRWDRDGSGTLDLEEFLRALRPPMSQAREAVVTAAFAKLDRSGDGVVTVDDLRG
+VYSGRTHPKVRSGEWTEEQVLRHFLDNFDSSEKDGQVTLAEFQDYYSGVSASMDTDEEFV
+AMMTSAWRL
+>sp|Q58CW6|CARTF_BOVIN Calcium-responsive transcription factor OS=Bos taurus OX=9913 GN=CARF PE=2 SV=1
+MEQSVDSLKVNHNDSEESKTDSQHLTYMDSSEPSFGQDGSPRVLPVTTPEEDGSLTSQNI
+PGPLTQAQILSAEQFHLVDQNGQPVQYELQSLGDSNAQMMIVASPSENGQVLRVIPSPQT
+GMTQVIIPQGPLVDENSPQDVSEEKPSDRNLPAVRVDALADSNSSYVLHPQASLTLPKKT
+VTRILEEPLLAPLQPLSSNTPIWACRLRSCEKIGDSYRGYCVSETELESVLTFHKQQTQS
+VWGTRQSPSPAKPATRLMWKSQYVPYDGIPFVNAGSRAVVMECQYGPRRKGFQLKKIGEL
+ENRSCQLYKATCPARIYIKKVQKFPDYRVPTDPKIDRKIIRMEQEKAFNLLKKNLVDAGG
+VLRWYVQLPTQQAHQYHELETPCLPLSSSSFPISSLEEEEAAIRDENCTLPSRLHPQVAH
+KIQELVSQGIEQVYAVRKQLRKFVERELFKPDEVPERHNLSFFPTVNDIRNHIHEVQKSL
+RNGDNICNSEIIPATLQWTTDSGNILRETVTVTLAEGNSQGESVSSKLETNQTRNSLSPE
+PAQLLSSLSSFQPKIFTHLQGLQLQPRFTSDGSPALISVNNHPSSSPSRLLDSVRSVVMN
+NNSLLLGQTHCLQTDTPLTPNSSISSTMSNLPGPDQNLVAVDQLVEVEDVEDTETLEGNV
+HRILLGNVQTIPIQIIDNPPVLSNFGSILKEPIFDCFGGPIKI
+>sp|P10152|ANG1_BOVIN Angiogenin-1 OS=Bos taurus OX=9913 GN=ANG1 PE=1 SV=4
+MVMVLSPLLLVFILGLGLTPVAPAQDDYRYIHFLTQHYDAKPKGRNDEYCFNMMKNRRLT
+RPCKDRNTFIHGNKNDIKAICEDRNGQPYRGDLRISKSEFQITICKHKGGSSRPPCRYGA
+TEDSRVIVVGCENGLPVHFDESFITPRH
+>sp|Q29461|CEL2A_BOVIN Chymotrypsin-like elastase family member 2A OS=Bos taurus OX=9913 GN=CELA2A PE=2 SV=1
+MIRALLLSTLVAGALSCGVPTYPPQLSRVVGGEDARPNSWPWQVSLQYSSSGQWRHTCGG
+SLIEQNWVLTAAHCISSSRTYRVVVGRQSLSTVESGSLTIAVSKSVIHEKWNSNQLAQGN
+DIALLKLASSVPLTDKIQLGCLPAAGTILPNNYVCYVTGWGRLQSNGALPDILQQGKLLV
+VDYATCSNPSWWGSTVKTNMICAGGDGVTSSCNGDSGGPLNCQAANRQWQVHGIVSFGSS
+LGCNYYRKPSVFTRVSNYNDWISSVIENN
+>sp|P02668|CASK_BOVIN Kappa-casein OS=Bos taurus OX=9913 GN=CSN3 PE=1 SV=1
+MMKSFFLVVTILALTLPFLGAQEQNQEQPIRCEKDERFFSDKIAKYIPIQYVLSRYPSYG
+LNYYQQKPVALINNQFLPYPYYAKPAAVRSPAQILQWQVLSNTVPAKSCQAQPTTMARHP
+HPHLSFMAIPPKKNQDKTEIPTINTIASGEPTSTPTTEAVESTVATLEDSPEVIESPPEI
+NTVQVTSTAV
+>sp|P00741|FA9_BOVIN Coagulation factor IX OS=Bos taurus OX=9913 GN=F9 PE=1 SV=2
+MWCLNMIMAESPGLVTICLLGYLLSAECTVFLDRENATKILHRPKRYNSGKLEEFVRGNL
+ERECKEEKCSFEEAREVFENTEKTTEFWKQYVDGDQCESNPCLNGGMCKDDINSYECWCQ
+AGFEGTNCELDATCSIKNGRCKQFCKRDTDNKVVCSCTDGYRLAEDQKSCEPAVPFPCGR
+VSVSHISKKLTRAETIFSNTNYENSSEAEIIWDNVTQSNQSFDEFSRVVGGEDAERGQFP
+WQVLLHGEIAAFCGGSIVNEKWVVTAAHCIKPGVKITVVAGEHNTEKPEPTEQKRNVIRA
+IPYHSYNASINKYSHDIALLELDEPLELNSYVTPICIADRDYTNIFLKFGYGYVSGWGKV
+FNRGRSASILQYLKVPLVDRATCLRSTKFSIYSHMFCAGYHEGGKDSCQGDSGGPHVTEV
+EGTSFLTGIISWGEECAMKGKYGIYTKVSRYVNWIKEKTKLT
+>sp|Q2KIR7|GLYAT_BOVIN Glycine N-acyltransferase OS=Bos taurus OX=9913 GN=GLYAT PE=1 SV=2
+MFLLQGAQMLQMLEKSLRKSLPMSLKVYGTVMHMNHGNPFNLKALVDKWPDFQTVVIRPQ
+EQDMKDDLDHYTNTYHVYSEDLKNCQEFLDLPEVINWKQHLQIQSTQSSLNEVIQNLAAT
+KSFKVKRSKNILYMASETIKELTPSLLDVKNLPVGDGKPKAIDPEMFKLSSVDPSHAAVV
+NRFWLFGGNERSLRFIERCIQSFPNFCLLGTEGTPVSWSLMDQTGEMRMAGTLPEYRAQG
+LVTHAIYQQAQCLLKRGFPVYSHVDPKNQIMQKMSQSLNHVPMPSDWNQWNCEPL
+>sp|Q2NKU9|EMP2_BOVIN Epithelial membrane protein 2 OS=Bos taurus OX=9913 GN=EMP2 PE=2 SV=1
+MLVLLAFIIVFHITSAALLLVATIDNAWWVGEEFFADIWKVCVNNTNCTELNDSVQDFST
+VQAVQATMILSTILCCIAFLIFLLQLFRLKQGERFVLTSIIQLMACLCVMIAASIYTDRR
+KDIHEKNEELYAQTSGGSFGYSFILAWVAFAFTFISGLMYLILRKRK
+>sp|Q3ZCH0|GRP75_BOVIN Stress-70 protein, mitochondrial OS=Bos taurus OX=9913 GN=HSPA9 PE=2 SV=1
+MISASRAAVSRFVGTAASRGPTAARHQDGWNGLSHEAFRIVSRRDYASEAIKGAVVGIDL
+GTTNSCVAVMEGKQAKVLENAEGARTTPSVVAFTADGERLVGMPAKRQAVTNPNNTFYAT
+KRLIGRRYDDPEVQKDIKNVPFKIVRASNGDAWVEAHGKLYSPSQIGAFVLMKMKETAEN
+YLGHTAKNAVITVPAYFNDSQRQATKDAGQISGLNVLRVINEPTAAALAYGLDKSEDKII
+AVYDLGGGTFDISILEIQKGVFEVKSTNGDTFLGGEDFDQALLRHIVKEFKRETGVDLTK
+DNMALQRVREAAEKAKCELSSSVQTDINLPYLTMDASGPKHLNMKLTRAQFEGIVTDLIR
+RTIAPCQKAMQDAEVSKSDIGEVILVGGMTRMPKVQQTVQDLFGRAPSKAVNPDEAVAIG
+AAIQGGVLAGDVTDVLLLDVTPLSLGIETLGGVFTKLINRNTTIPTKKSQVFSTAADGQT
+QVEIKVCQGEREMAGDNKLLGQFTLIGIPPAPRGVPQIEVTFDIDANGIVHVSAKDKGTG
+REQQIVIQSSGGLSKDDIENMVKNAEKYAEEDRRKKERVEAVNMAEGIIHDTETKMEEFK
+DQLPADECNKLKEEISKMRELLARKDSETGENIRQAASSLQQASLKLFEMAYKKMASERE
+GSGSSGTGEQKDNQKEEKQ
+>sp|Q0VD27|ENOPH_BOVIN Enolase-phosphatase E1 OS=Bos taurus OX=9913 GN=ENOPH1 PE=2 SV=1
+MVVLSVPAEVTVILLDIEGTTTPIAFVKDILFPYVKENVEEYLQAHWEEEECQQDVRLLR
+KQAEEDSHLDGAVPIPAASGNGADDPQWMIQAVVDNVYWQMSLDRKTTALKQLQGHMWRA
+AFKAGHMKAEFFEDVVPAVRKWREAGMKVYVYSSGSVEAQKLLFGHSTEGDILELVDGHF
+DTKIGHKVESESYQKIASSIGCSTNNILFLTDVSREASAAEEAGVHVAVVVRPGNAGLTD
+DEKTHFSLITSFSELYLPSST
+>sp|Q58DU0|MMTA2_BOVIN Multiple myeloma tumor-associated protein 2 homolog OS=Bos taurus OX=9913 GN=MMTAG2 PE=2 SV=1
+MFGSSRGGVRGGQDQFSWEDVKTDKQRENYLGNSLMAPVGRWQKGRDLTWYAKGRANSAG
+LSREQELAAVRQAEQEALMAALGYKNVKKQPTGLSKEDFVEVCKREGGDPEKGVDRLLGL
+GSSSGSVGRVALSREDKEAAKLGLSVFTHHRVESSRPGTSLALAKKKLRSEEKVEPGPES
+HRKSRKEKKKKRHKKEKRKKEKDRGRRLDSSLAPAPIQHDSDSSTCCKKRRHDSD
+>sp|P58351|GTR2_BOVIN Solute carrier family 2, facilitated glucose transporter member 2 OS=Bos taurus OX=9913 GN=SLC2A2 PE=2 SV=2
+MTEDKVTGTLVLAVFTAVLSSFQFGYDIGVINAPQQVIITHYRHVLGVSLDDRIAINNYA
+LNSTEELPTSLGDPTPVSWAEEETMTSASLITMFWSLSVSSFAVGGMIASFFGGLLGDKL
+GRIKALLVANILSLVGALLMGFSKLGPSHILIISGRGISGLYCGLISGLIPMYIGEIAPT
+TLRGAIGALHQLAIVTGILISQIVGLDFILGNHELWHILLGLSAVPAILQCLLLFFCPES
+PRYLYIKLDEEAKAKKSLKRLRGSDDITKDITEMRKEREEASNEKKVSIIQLFTNASYRQ
+PILVALMLHAAQQFSGINGIFYYSTSIFQTAGISQPVYATIGVGAVNTVFTAVSVFLVEK
+AGRRSLFLIGMSGMFVCAIFMSVGLVLLSKFPWMNYVSMTAIFLFVSFFEIGPGPIPWFM
+VAEFFSQGPRPAALAIAAFSNWTGNFIIALCFQYIADFCGPYVFFLLLVWSWPLFCSHFL
+KFQKPKENPLRKSQQSSERRGVQLKRQKLL
+>sp|Q3ZBJ8|MFRN1_BOVIN Mitoferrin-1 OS=Bos taurus OX=9913 GN=SLC25A37 PE=2 SV=1
+MELRRGGVGSQARARRMDGDSRDGGGGCKDAGSEDYENLPTSASLSTHMTAGAMAGILEH
+SVMYPVDSVKTRMQSLNPDPKAHYTSVYGALKKIIRTEGFWRPLRGLNVMMMGAGPAHAM
+YFACYENMKRTLNAVFHHQGNSHLANGICKRLSGVRKVSPSTDPSPGFSSL
+>sp|Q8MJ24|LOXL4_BOVIN Lysyl oxidase homolog 4 OS=Bos taurus OX=9913 GN=LOXL4 PE=2 SV=1
+MWFLPAALPLLPLLLLLGQAPPSRPQSLGTMKLRLVGPGSGPEEGRLEVLHQGQWGTVCD
+DDFALQEATVACRQLGFEGALTWAHSAKYGSGEGPIWLDNVHCVGTESSLDQCGSNGWGV
+SDCTHSEDVGVVCNPQRHRGSVSERVSNALGPQDRRLEEVRLKPILASAKRQSPVTEGAV
+EVKYEGHWRQVCDQGWTRNNSRVVCGMLGFPSEAPVDSHHYRKVWDSKMKDPNSRLKSLT
+KKNSFWIHRVNCLGTEPHMANCQVQVAPAQGKLRPACPGGMHAVVSCVAGPRFRPPKAKP
+GRKESRAEEMKVRLRSGAQVGEGRVEVLMNRQWGTVCDHGWNLISASVVCRQLGFGSARE
+ALFGAQLGQALGPIHLSEVRCRGYERTLSDCPSLEGSQNGCQHDNDAAVRCNIPNMGFQD
+QVRLAGGRSPEEGVVEVQVEVNGVQRWGAVCSDHWGLSEAMVACRQLGLGFASHAIKDTW
+YWQGTPGAREVVMSGVHCSGTELALQQCQRHGPVHCSHGTGRFSAGVSCTDSAPDLVMNA
+QLVQETAYLEDRPLSLLYCAHEENCLSQSADRMDWPYGHRRLLRFSSQIHNLGRADFRPK
+MGRHGWIWHQCHRHYHSIEVFTHYDLLTLNGSKVAEGHKASFCLEDTNCPTGMQRRYACA
+NFGEQGVTVGCWDTYRHDIDCQWVDITDVGPGDYIFQVVVNPKFEVAESDFSNNMMRCRC
+KYDGQRVWLHNCHTGDSYRANTELSQEQEQRLRNNLI
+>sp|Q9MYY0|HPSE_BOVIN Heparanase OS=Bos taurus OX=9913 GN=HPSE PE=2 SV=2
+MLACRKPGLRPPLLLLLPLLGPLGPCSPGTPAAAAPADDAAELEFFTERPLHLVSPAFLS
+FTIDANLATDPRFFTFLGSSKLRTLARGLAPAYLRFGGNKGDFLIFDPKKEPAFEERSYW
+LSQSNQDICKSGSIPSDVEEKLRLEWPFQEQVLLREQYQKKFTNSTYSRSSVDMLYTFAS
+CSGLNLIFGVNALLRTTDMHWDSSNAQLLLDYCSSKNYNISWELGNEPNSFQRKAGIFIN
+GRQLGEDFIEFRKLLGKSAFKNAKLYGPDIGQPRRNTVKMLKSFLKAGGEVIDSVTWHHY
+YVNGRIATKEDFLNPDILDTFISSVQKTLRIVEKIRPLKKVWLGETSSAFGGGAPFLSNT
+FAAGFMWLDKLGLSARMGIEVVMRQVLFGAGNYHLVDGNFEPLPDYWLSLLFKKLVGNKV
+LMASVKGPDRSKFRVYLHCTNTKHPRYKEGDLTLYALNLHNVTKHLELPHHLFNKQVDKY
+LIKPSGTDGLLSKSVQLNGQILKMVDEQTLPALTEKPLHPGSSLGMPPFSYGFFVIRNAK
+VAACI
+>sp|Q3SYZ2|MAPK3_BOVIN MAP kinase-activated protein kinase 3 OS=Bos taurus OX=9913 GN=MAPKAPK3 PE=2 SV=1
+MDVETAEEQGGPAPPSGVPCGPCSAGAPALGGRREPKKYAVTDDYQLSKQVLGLGVNGKV
+LECFHRRTGQKCALKLLYDSPKARQEVDHHWQASGGPHIVRILDVYENMHHSKRCLLIIM
+ECMEGGELFSRIQERGDQAFTEREAAEIMRDIGTAIQFLHSRNIAHRDVKPENLLYTSKD
+KDAVLKLTDFGFAKETTQNALQTPCYTPYYVAPEVLGPEKYDKSCDMWSLGVIMYILLCG
+FPPFYSNTGQAISPGMKRRIRLGQYGFPSPEWSEVSEDAKQLIRLLLKTDPTERLTITQF
+MNHPWINQSMVVPQTPLHTARVLQEDRDHWDEVKEEMTSALATMRVDYDQVKIKDLKTSN
+NRLLNKRRKKQAGSSSGSQGCNNQ
+>sp|A7YY45|IMPCT_BOVIN Protein IMPACT OS=Bos taurus OX=9913 GN=IMPACT PE=2 SV=2
+MAEGDTGSDQRQNEEIEAMAAIYGEEWCVIDDCAKIFCIRISDDIDDPKWTLCLQVMLPN
+EYPGTAPPIYQLNAPWLKGQERADLSNSLEEIYIQNIGESILYLWVEKIRDVLIQKSQMT
+EPGPDVKKKTEEEDVECEDDLVLACQPENQVKTLDFDVSENRTEIEELPPIDHGIPITDR
+RSTFQAHLAPVVCPKQVKMVLAKLYENKKIASATHNIYAYRIYCEDKQTFLQDCEDDGET
+AAGGRLLHLMEILNVRDVMVVVSRWYGGVLLGPDRFKHINNCARNILVEKNYTNSPEESS
+KALGKNKKVRKDKKRSEH
+>sp|Q3ZBK6|LSM4_BOVIN U6 snRNA-associated Sm-like protein LSm4 OS=Bos taurus OX=9913 GN=LSM4 PE=2 SV=1
+MLPLSLLKTAQNHPMLVELKNGETYNGHLVSCDNWMNINLREVICTSRDGDKFWRMPECY
+IRGSTIKYLRIPDEIIDMVKEEVVAKGRGRGGLQQQKQQKGRGMGGAGRGVFGGRGRGGI
+PGTGRGQPEKKPGRQAGKQ
+>sp|P62958|HINT1_BOVIN Histidine triad nucleotide-binding protein 1 OS=Bos taurus OX=9913 GN=HINT1 PE=1 SV=2
+MADEIAKAQVARPGGDTIFGKIIRKEIPAKIIYEDDQCLAFHDISPQAPTHFLVIPKKYI
+SQISAAEDDDESLLGHLMIVGKKCAADLGLKKGYRMVVNEGSDGGQSVYHVHLHVLGGRQ
+MNWPPG
+>sp|Q3MHZ8|LEG9_BOVIN Galectin-9 OS=Bos taurus OX=9913 GN=LGALS9 PE=2 SV=1
+MAFGGAQASYINPVVPFTGMIQGGLQDGHKITIIGAVLPSGGNRFAVNLQTGYNDSDIAF
+HFNPRFEEGGYVVCNTKQRGSWGTEERKMHMPFQRGCSFELCFQVQSSDFRVMVNGNLFT
+QYAHRVPFHRIDAISITGVVQLSSISFQNIRAAPKQPACSKVQFSQAVCLPPRPRGRKSN
+PPGIWPANSAPIAQTFVHTIHSAPGQMFPNPVIPPAVYPNPVYQLPFFTSILGGLYPSKS
+ILVSGTILPSAQRFYINLRSGSDIAFHLNPRFNENAVVRNTQINGSWGSEERSLPRGMPF
+FRGQSFSVWIMCEGHCFKVAVDSQHLFEYHHRLKNLPAINNLEVGGDIQLTHVQT
+>sp|A1A4Q3|HBM_BOVIN Hemoglobin subunit mu OS=Bos taurus OX=9913 GN=HBM PE=2 SV=1
+MLSAQERAHITQVWDLIAGHEAPFGAELLRRLFTVYPSTKVYFRHLGDHPDEVQLLSHGQ
+RMLQAVGVAVQYMDNLRAVLSPLADLHAQVLRVDPTNFPLVIQCFQVVLASHLQGEFTVE
+MQAAWDKFLTGVAVVLTEKYR
+>sp|Q3T0H0|LCMT1_BOVIN Leucine carboxyl methyltransferase 1 OS=Bos taurus OX=9913 GN=LCMT1 PE=2 SV=1
+MAASLRRPSFTTCSSPTDTDDEGVRGTCEDASICKRFAVSIGYWQDPYIQHLVRLSKERK
+APEINRGYFARVHGVSQLTKAFLRKTECNCQILNLGAGMDTTFWMLKDEDLLPRKYFEID
+FPMIVTRKLHSIKLKPLLSKPILDLHSEDTLQMDGHMLDSTRYAIIGADLRDIADLEEKL
+KKCNMSTQLPTLLIAECVLVYMTPEQSANLLKWAANSFEAAMFINYEQVNMGDRFGQIMI
+ENLRRRQCDLAGVETCKSLESQRERLLSSGWESASAIDMMEVYSRLPRAEVIRIEALEFL
+DEMELLEQLMQHYCLCWATKGGSELGLKEITY
+>sp|A7MB64|IPRI_BOVIN Inositol 1,4,5-trisphosphate receptor-interacting protein OS=Bos taurus OX=9913 GN=ITPRIP PE=2 SV=1
+MALGLFRVCLVVVTAIINHPLLFPRENTTVPENEEEIIRQMQAHQEKLQLEQLRLEEEMA
+RLAADKEAEKEALERVAEEGQQQNESRTAWDLWSTLCMILFLVIEVWRQDHQDAPSPECL
+GSDEDELPDLEGAPLRGLTLPNRATLDHFYERCIRGATADAARTREFVEGFVDDLLEALR
+SLCSRDSDMEVEDFIGVDSMYENWQVNKPLLCDLFVPFMPPEPYHFHPELWCSSRSVPLD
+RQGYGQIKVVRADEDTLGCICGKTKLGEDMLCLLHGRNNVVHHGSKAADPLCAPNSPYLD
+TMRVMKWFQTALTRAWHRIEHKYEFDLAFGQLDTPGSLKIRFRSGKFMPFNLIPVIQCDD
+SDLYFVSHLAREPGGGTRASSTDWLLSFAVYERHFLRVTSKALPEGACHLSCLQIASFLL
+SKQSRLTGPSGLGSYHLKTALLHLLLARRPADWKAEQLDARLHELLCFLEKSLLEKKLQH
+FFIGNRKVPQAMGLPEAVRRAEPLNLFRPFVLQRSLYRKTVDSFYEMLKNAPALISEYSL
+HIPSDHASLPPKTVIL
+>sp|Q32L34|HMGB4_BOVIN High mobility group protein B4 OS=Bos taurus OX=9913 GN=HMGB4 PE=2 SV=1
+MGKRDQLKPKANVSSYIHFLLNYRNKFKEQQPNTYLGFKEFSRKCSEKWRSISKHEKAKY
+EALAKLDKARYQEEMMNYFGRRKKRRKRDPHAPRRPPSSFLLFCQDHYAQLKSENPSWSV
+VQVAKASGKMWSAKTDVDKQPYEQRAALLRAKYREELSVYRNQFNARKTCLQESATNQCR
+EFEQAESDTTDGSN
+>sp|Q3ZBV1|IST1_BOVIN IST1 homolog OS=Bos taurus OX=9913 GN=IST1 PE=2 SV=1
+MLGSGIKAERLRVNLRLVINRLKLLEKKKTELAQKARKEIADYLAAGKDERARIRVEHII
+REDYLVEAMEILELYCDLLLARFGLIQSMKELDSGLAESVSTLIWAAPRLQSEVAELKIV
+ADQLCAKYSKEYGKLCRTNQIGTVNDRLMHKLSVEAPPKILVERYLIEIAKNYNVPYEPD
+SVVMAEAPPGVETDLIDVGFTDDVKKGGPGRGGGGGFTAPVGGPDGTVPMPMPMPMPSPN
+TPFSYPLPKGPSDFNGLPVGTYQAFPNIHPPQIPATPPSYESVDDINADKNVSSTQIVGP
+GPKPEPPAKPASRLTETYDNFVLPELPSVPDTLPTASPGANTSASEDIDFDDLSRRFEEL
+KKKT
+>sp|Q9XT56|JAM1_BOVIN Junctional adhesion molecule A OS=Bos taurus OX=9913 GN=F11R PE=2 SV=1
+MGTKAKVGSTELLLFTSMILCSLALGRGAVQTYEPVVRVPENNPAKLSCSYSGFSSPRVE
+WKFTHGDIRGLVCYNNKITASYENRVTFSDTGITFHSVTRKDTGMYTCMVSDEGGNTYGE
+VTVQLIVLVPPSKPTINVPSSVTIGTRAVLTCSERDGSPPSEYKWFKDGVEMPLEPKSNR
+AFSNSSYTLNQKTGELIFDPVSASDTGDFTCQAQNGYASPVKSDTVHMDAVELNVGGIVA
+AVFVTLILLGALIFGIWFAYSRGYFDRAKKGTSNKKVIYSQPNARSDGEFRQTSSFLV
+>sp|A6QQY2|KLH13_BOVIN Kelch-like protein 13 OS=Bos taurus OX=9913 GN=KLHL13 PE=2 SV=1
+MPLKWKTSSPAIWKFPVPVLKTSRSTPLSPAYISLVEEEDQHMKLSLGSSEMGLSSHLQS
+SKAGTTRIFTSNTHSSVVLQGFDQLRLEGLLCDVTLMPGDTDDAFPVHRVMMASASDYFK
+AMFTGGMKEQDLMCIKLHGVSKVGLRKIIDFIYTAKLSLNMDNLQDTLEAASFLQILPVL
+DFCKVFLISGVTLDNCVEVGRIANTYNLTEVDKYVNSFVLKNFPALLSTGEFLKLPFERL
+AFVLSSNSLKHCTELELFKATCRWLRLEEPRMDFAAKLMKNIRFPLMTPQELINYVQTVD
+FMRTDNTCVNLLLEASNYQMMPYMQPVMQSDRTAIRSDTTHLVTLGGVLRQQLVVSKELR
+MYDEKAHEWKSLAPMDAPRYQHGIAVIGNFLYVVGGQSNYDTKGKTAVDTVFRFDPRYNK
+WMQVASLNEKRTFFHLSALKGYLYAVGGRNAAGELPTVECYNPRTNEWTYVAKMSEPHYG
+HAGTVYGGVMYISGGITHDTFQKELMCFDPDTDKWIQKAPMTTVRGLHCMCTVGERLYVI
+GGNHFRGTSDYDDVLSCEYYSPILDQWTPIAAMLRGQSDVGVAVFENKIYVVGGYSWNNR
+CMVEIVQKYDPDKDEWHKVFDLPESLGGIRACTLTVFPPEETTPSPSRESPLSAP
+>sp|Q08DH7|AINX_BOVIN Alpha-internexin OS=Bos taurus OX=9913 GN=INA PE=2 SV=1
+MSFGSEHYLCASSSYRKVFGDGSRLSSRLSGAGGSGSFRSQSLSRSNVASSAACSSASSL
+GLGLAYRRSPASDGLDLSQAAARTNEYKIIRTNEKEQLQGLNDRFAVFIEKVHQLETQNR
+ALEAELAALRQRHAEPSRVGELFQRELRDLRAQLEEASSARAQALLERDGLAEEVQRLRA
+RCEEESRGREGAERALKAQQRDVDGATLARLDLEKKVESLLDELAFVRQVHDEEVAELLA
+TLQASSQAAAEVDVAVAKPDLSSALREIRAQYESLAAKNLQSAEEWYKSKFANLNEQAAR
+STEAIRASREEIHEYRRQLQARTIEIEGLRGANESLERQILELEERHSAEVASYQDNIGQ
+LENDLRNTKSEMARHLREYQDLLNVKMALDIEIAAYRKLLEGEETRFSTSGLSISGLNPL
+PNPSYLLPPRILSSTTSKGSATGLSLKKEEEEEEASKIASKKTSQIGESFEEILEETVIS
+TKKTEKSNIEETTISSQKI
+>sp|Q0II73|CBPO_BOVIN Carboxypeptidase O OS=Bos taurus OX=9913 GN=CPO PE=2 SV=1
+MKPLLGTFYLLGMLVPGWLGYDRSLTQQRQEVVDKVVSPWSILETYSYNRYHPMGEIYQW
+MSEIREKYTEVVTQHFLGMTYESRPMYYLKISQPSSNPKKIIWMDCGIHAREWIAPAFCQ
+WFVKEILQNYEDNSRIRRLLKNLDFYVLPVLNIDGYIYTWTTDRLWRKSRSSHNNGTCFG
+TDLNRNFDASWCSIGASHNCESLTFCGTGPMSEPETKAVSSFIESKKENIACFLTMHSYG
+QLILVPYGYTKNKSNNHEELIQVGQKAANALKAKHGTNYRVGSSADILYATSGSSRDWAR
+DIGIPFSYTFELRDNGTYGFVLPETQIQATCEETMEAVLSVLDDVYEKYWYTNSARKAKS
+TALVLGLLMSFMSLL
+>sp|Q3ZCJ8|CATC_BOVIN Dipeptidyl peptidase 1 OS=Bos taurus OX=9913 GN=CTSC PE=2 SV=1
+MGPWSGSRLVALLLLVYGAGSVRGDTPANCTYPDLLGTWVFQVGSSGSQRDVNCSVMGPP
+EKKVVVHLKKLDTAYDDFGNSGHFTIIYNQGFEIVLNDYKWFAFFKYKEEGGKVTSYCHE
+TMTGWVHDVLGRNRACFTGRKTGNTSENVNVNTARLAGLEETYSNRLYRYNHDFVKAINA
+IQKSWTAAPYMEYETLTLKEMIRRGGGHSRRIPRPKPAPITAEIQKKILHLPTSWDWRNV
+HGINFVTPVRNQGSCGSCYSFASMGMMEARIRILTNNTQTPILSPQEVVSCSQYAQGCEG
+GFPYLIAGKYAQDFGLVEEDCFPYTGTDSPCRLKEGCFRYYSSEYHYVGGFYGGCNEALM
+KLELVHQGPMAVAFEVYDDFLHYRKGVYHHTGLRDPFNPFELTNHAVLLVGYGTDAASGL
+DYWIVKNSWGTSWGENGYFRIRRGTDECAIESIALAATPIPKL
+>sp|Q5EA87|B4GT3_BOVIN Beta-1,4-galactosyltransferase 3 OS=Bos taurus OX=9913 GN=B4GALT3 PE=2 SV=2
+MLRRLLERPCTLALLVGSQLAVMMYLSLGGFRSLSALFGREQEPAFDYSHPHDVYSNLSH
+MPGAPVAPGGLPAPQGLPYCPKRSPLLVGPISVSFSPVPSLAEIVERNPRVEPGGRYRPA
+RCEPRSRTAIIVPHRAREHHLRLLLYHLHPFLQRQQLAYGIYVIHQAGNGTFNRAKLLNV
+GVREALRDEEWDCLFLHDVDLLPENDHNLYVCDPRGPRHVAVAMNKFGYSLPYPQYFGGV
+SALTPDQYLKMNGFPNEYWGWGGEDDDIATRVRLAGMKISRPPTSVGHYKMVKHRGDKGN
+EENPHRFDLLVRTQNSWTQDGMNSLTYQLLSRELGPLYTNITADIGTDPRGPRTSSGPHY
+PPGSSQAFRQEMLQRRPPARPGPLPTANHTAPHGSH
+>sp|A2VDR2|ACBD6_BOVIN Acyl-CoA-binding domain-containing protein 6 OS=Bos taurus OX=9913 GN=ACBD6 PE=2 SV=1
+MASSFLPSGATTGDSGGELSSGDDSGDVESLQSPKIEASRSLPELFEKAAEHLQGLVQVA
+SREQLLYLYARYKQVKVGNCNTPKPSFFDFEGKQKWEAWKALGDSSPSQAMQEYIAVVKK
+LDPSWNPQSPEKKGKEANTGFGGPVVSSLYHEEIIREEDKDIFDYCRENNIDHITKVIKT
+KNMDVNMKDEEGRTLLHWACDRGHKELVTVLLQYRADINCQDNEGQTALHYAAACEFLDI
+VELLLQSGADPTLRDQDGCLPEEVTGCKAVTLMLQQHTTGKA
+>sp|Q32PF2|ACLY_BOVIN ATP-citrate synthase OS=Bos taurus OX=9913 GN=ACLY PE=2 SV=1
+MSAKAISEQTGKELLYKYICTTSAIQNRFKYARVTPDTDWARLLQDHPWLLSQSLVVKPD
+QLIKRRGKLGLIGVNLTLDGVKSWLKPRLGQEATVGKATGFLKNFLIEPFVPHTQEEEFY
+VCIYATREGDYVLFHHEGGVDVGDVDAKAQKLLVGVDEKLNPEDIKKHLLVHAPEDKKEI
+LASFISGLFNFYEDLYFTYLEINPLVVTKDGVYVLDLAAKVDATADYICKVKWGDIEFPP
+PFGREAYPEEAYIADLDAKSGASLKLTLLNPKGRIWTMVAGGGASVVYSDTICDLGGVNE
+LANYGEYSGAPSEQQTYDYAKTILSLMTREKHPDGKILIIGGSIANFTNVAATFKGIVRA
+IRDYQGPLKEHEVTIFVRRGGPNYQEGLRVMGEVGKTTGIPIHVFGTETHMTAIVGMALG
+HRPIPNQPPTAAHTANFLLNASGSTSTPAPSRTASFSESRTDEVAPAKKAKPAMLQGKSA
+TLFSRHTKAIVWGMQTRAVQGMLDFDYVCSRDEPSVAAMVYPFTGDHKQKFYWGHKEILI
+PVFKNMADAMKKHPEVDVLINFASLRSAYDSTMETMNYAQIRTIAIIAEGIPEALTRKLI
+KKADQKGVTIIGPATVGGIKPGCFKIGNTGGMLDNILASKLYRPGSVAYVSRSGGMSNEL
+NNIISRTTDGVYEGVAIGGDRYPGSTFMDHVLRYQDTAGVKMIVVLGEIGGTEEYKICRG
+VTEGRITKPVVCWCIGTCAAMFSSEVQFGHAGACANQASETAVAKNQALKEAGVFVPRSF
+DELGEIIQSVYEDLVARGVIVPAQEVPPPTVPMDYSWARELGLIRKPASFMTSICDERGQ
+ELIYAGMPITEVFKEEMGIGGVLGLLWFQKRLPKYSCQFIEMCLMVTADHGPAVSGAHNT
+IICARAGKDLVSSLTSGLLTIGDRFGGALDAAAKMFSKAFDSGIIPMEFVNKMKKEGKLI
+MGIGHRVKSINNPDMRVQILKDYVRQHFPATPLLDYALEVEKITTSKKPNLILNVDGLIG
+VAFVDMLRHCGSFTREEADEYIDIGALNGIFVLGRSMGFIGHYLDQKRLKQGLYRHPWDD
+ISYVLPEHMSM
+>sp|Q17R13|ACK1_BOVIN Activated CDC42 kinase 1 OS=Bos taurus OX=9913 GN=TNK2 PE=1 SV=1
+MQPEEGTGWLLELLSEVQLQQYFLRLRDDLNVTRLSHFEYVKNEDLEKIGMGRPGQRRLW
+EAVKRRKAMCKRKSWMSKVFSGKRLEAEFPPHHSQSTFRKTSPTPGGSAGEGSLQSLTCL
+IGEKDLHLFEKLGDGSFGVVRRGEWDAPSGKTVSVAVKCLKPDVLSQPEAMDDFIREVNA
+MHSLDHRNLIRLYGVVLTPPMKTVTELAPLGSLLDRLRKHQGHFLLGTLSRYAVQVAEGM
+GYLEAKRFIHRDLAARNLLLATRDLVKIGDFGLMRALPQNDDHYVMQEHRKVPFAWCAPE
+SLKTRTFSHASDTWMFGVTLWEMFTYGQEPWIGLNGSQILHKIDKEGERLPRPEDCPQDI
+YNVMVQCWAHKPEDRPTFVALRDFLLEAQPTDMRALQDFEEPDKLHIQMNDVITVIEGRA
+ENYWWRGQNTRTLCVGPFPRNVVTSVAGLSAQDISQPLQNSFIHTGHGDSDPRHCWGFPD
+KIDELYLGNPMDPPDLLSVELSTSRPTQHLGRVKKPTYDPVSEDQDPLSSDFKRLGLRKP
+GLPRGLWLAKPSARVPGTKAGRGGGEVTLIDFGEEPVVPAPRPCAPSLAQLAMDACSLLD
+KTPPQSPTRALPRPLHPTPVVDWDARPLPPPPAYDDVAQDEDDFEVCSINSTLVGAGVSA
+EPSQGETNYAFVPEPARLLPPLEDNLFLPPQSGGKPPNSAQTAEIFQALQQECMRQLQVP
+PGSLVPSPSPGGDDKPQVPPRVPIPPRPTRSRGELSPVPPGEEEMGRWPGPASPPRVPPR
+EPLSPQGSRTPSPLVPPGSSPLPPRLSSSPGKTMPTTQSFASDPKYATPQVIQAPGPRAG
+PCILPIVRDGKKVSSTHYYLLPERPPYLERYQRFLHEAQSPRGPDPTPIPLLLPPPSTPA
+PAAPTATVRPMPQAAPDPKANFSSNNSNPGARPSSLRATARLPQRGYPGDGPEAGRPADK
+IQMLQAMVHGVTTEECQAALQSHSWSVQRAAQYLKVEQLFGLGLRPRGECHNVLEMFDWN
+LEQAGCHLLGSCGPAHHKR
+>sp|P28291|CCL2_BOVIN C-C motif chemokine 2 OS=Bos taurus OX=9913 GN=CCL2 PE=3 SV=1
+MKVSAALLCLLLTVAAFSTEVLAQPDAINSQVACCYTFNSKKISMQRLMNYRRVTSSKCP
+KEAVIFKTILGKELCADPKQKWVQDSINYLNKKNQTPKP
+>sp|F7VJQ2|APRIO_BOVIN Alternative prion protein OS=Bos taurus OX=9913 PE=3 SV=1
+MEHWGEPIPRTGQSWRQPLSTSGRGWLGSAPWRWLGPASWRWLGPASWRWLGSAPWWWLG
+TATWWWRLGSRWYPRSMEQTQ
+>sp|Q2HJH3|CK054_BOVIN Ester hydrolase C11orf54 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MACAEYSFHVPSLEELVGVLQKGLTDNFAEVQVSVVDCPDLTKEPFTFPIKGICGKTRIA
+EVGGVPYLLPLVNEKKVYDLNKIAKDIQLPGAFVLGAGAGPFQTLGFNSEFMLLVQTESE
+HRPPVNGSYFARVNPADGGCLLEKYSEKYHDFGCALLANLFASEGQPGKVIEVKVKRRTG
+KLNFVTCMRQTLEKHYGDKPVGMGGAFIIQKGKVKTHIMPAEFSSCPLNSDEDVNKWLHF
+YEMKAPLVCLPVFVSRDPGFDLRLEHTHCFSHHGEGGHYHYDTTPDIVEYLGYFLPAEFL
+YRIDQPKETHSFGRD
+>sp|Q2HJ38|CNN1_BOVIN Calponin-1 OS=Bos taurus OX=9913 GN=CNN1 PE=2 SV=1
+MSSAHFNRGPAYGLSAEVKNKLAQKYDHQREQELREWIEGVTGRRIGNNFMDGLKDGIIL
+CEFINKLQPGSVKKVNESTQNWHQLENIGNFIKAITKYGVKPHDIFEANDLFENTNHTQV
+QSTLLALASMAKTKGNKVNVGVKYAEKQERRFEPEKLREGRNIIGLQMGTNKFASQQGMT
+AYGTRRHLYDPKLGTDQPLDQATISLQMGTNKGASQAGMTAPGTKRQIFEPGLGMEHCDT
+LNVSLQMGSNKGASQRGMTVYGLPRQVYDPKYCLTPEYPELGEPAHNHHPHNYYNSA
+>sp|Q3MHY1|CSRP1_BOVIN Cysteine and glycine-rich protein 1 OS=Bos taurus OX=9913 GN=CSRP1 PE=2 SV=3
+MPNWGGGKKCGVCQKTVYFAEEVQCEGSSFHKSCFLCLVCKKNLDSTTVAVHGEEIYCKS
+CYGKKYGPKGYGYGQGAGTLSMDKGESLGIRHEEAPGHRPTTNPNTSKFAQKVGGSERCP
+RCSQAVYAAEKVIGAGKSWHKSCFRCAKCGKGLESTTLADKDGEIYCKGCYAKNFGPKGF
+GFGQGAGALVHSE
+>sp|Q3MHN2|CO9_BOVIN Complement component C9 OS=Bos taurus OX=9913 GN=C9 PE=2 SV=1
+MSAGQRFAFAICILEISLLRAGPTPSYDPAERQGTPLPIDCRMSSWSEWSKCDPCLKQMF
+RSRSIEIFGQFNGRKCVDAVGDRQQCVPTEACEDPEEGCGNDFQCGTGRCIKNRLLCNED
+NDCGDYSDEDNCEQDPRPPCRNRVVEESELARTAGFGINILGMDPLSTPFDNQYYNGLCD
+RVWDGNTLTYYRRPWNVASLTYDTKADKNFRTENHEESIQILRTIIEEKKLNFNAGLSVK
+YTPVEAIEKNKCVDLEHSDKGSTSSPSKLAAEAKFRFTYSKDDIYRLLSSYSAKQEKMFL
+HVKGKVHLGRFVMRSRDVMLQTTFLDSINTLPTTYEKGEYFAFLETYGTHYSSSGSLGGL
+YELIYVLDKKSMEQKDIELRDVQRCLGFDLDLSLKVGVEVTGNFDSKLCSKKGMGQTETN
+PEADLFDDVITFIRGGTRKYATELKEKLLRGARMINVTDFVNWAASLNHAPVLISQKLVP
+IYDLIPVKMKDAHLKKQNLERAIEDYINEFSVRKCQPCQNGGTVVLLDGECVCSCPKEFK
+GVACEIKK
+>sp|Q29RL1|CF206_BOVIN Cilia- and flagella-associated protein 206 OS=Bos taurus OX=9913 GN=CFAP206 PE=2 SV=2
+MPPTQAESVIKNIIREIGQECAAHGEIVPETLVAFMVKAVVLDPSNGFNTDRTLTKSDVQ
+KLVKLCVNRLLDSKNPSLDTIKMQVYFDMNYTSREEFLEEHHQVIESRLSSVSREITDSR
+ACVREELESLYRKIVSYVLLRSGLGSPTDIKIVREATAALQSVFPQTELGTFLTLSKKDK
+ERQLKELTMIVTGIRLFNRDCGKGGEGIDDLPAILQEAIPATTQHVDSQLEVVQEQAYRY
+TAILEKVAKNPLMSQELQPYMLREALYNVRQCEIFLQVILSDIITCAQEVEMMIKQLEAQ
+LEQLKLTVKSKTAVPTSQVFPIFIALSNLWTSFQDETVLISILSNLTTHLEPFLGAHDLF
+FPEKVMQVLLDGVTVKTDVCRIKEHIEDKVNVADFKKLEWLFPETTANFDKLLIQYRGFC
+AYTFATTDGLLLPGNPSIGILKYKDKYYTFNTRDAAYSFAENPENYIDIIREKAKRNAEL
+IQLLELHQQFESLIQYSQMKEVDKRYIKPITKCETGTQTDTHLLPPTIVRSYEWNEWELR
+RKAIKLANLRRKVTHSVQTDHSHMRRENCSQVYPSKDVGTQSMREGSSRVPRPQIYIAGL
+RGGQTKTTYGVKVNLTRAVDET
+>sp|Q32L92|CNN3_BOVIN Calponin-3 OS=Bos taurus OX=9913 GN=CNN3 PE=2 SV=1
+MTHFNKGPSYGLSAEVKNKIASKYDHQAEEDLRNWIEEVTGMSIGANFQLGLKDGIILCE
+LINKLQPGSVKKVNESSLNWPQLENIGNFIKAIQAYGMKPHDIFEANDLFENGNMTQVQT
+TLVALAGLAKTKGFHTTIDIGVKYAEKQTRRFDEGKLKAGQSVIGLQMGTNKCASQAGMT
+AYGTRRHLYDPKMQTDKPFDQTTISLQMGTNKGASQAGMLAPGTRRDIYDQKLTLQPVDN
+STISLQMGTNKVASQKGMSVYGLGRQVYDPKYCAAPTEPVIHNGSQGTGTNGSEISDSDY
+QAEYPDEYHGEYQDDYPRDYQYGDQGIDY
+>sp|Q32KY7|CL050_BOVIN Uncharacterized protein C12orf50 homolog OS=Bos taurus OX=9913 PE=2 SV=2
+MEMQQNCSISCFWETQPLGCVKISCIFYHSKPRNINGLFLPPSSNIILQKETQEGIPPPT
+QSQEPLKPQENISRPIHHPLVLKTNFEEEEEEEGEQNDASSLWTKTPEEIEEKRAIKEMC
+YKSGEYYRVHTPSDISSSKSIASIVEKEIEKSLESGSELQEGDGLTVPTKFSLFERQGEI
+KASLDRKPRTDIAAFENGGGDCYVPQRIIFLGVDENEVLTEEKESTISKCSNAKVNDVHP
+VMKPHFKGVKKRKWIYDEPKNFPEPGMQRVQASNPKNKMSYHRNNKNKNSENASYIHVQR
+DAVRTVSLNAPPHGRPPHGSYNKADVNKEPKFKLCSDKYMSTSYNGSAWQKRIPFSKTYS
+KNEKIYTEPRRNGSK
+>sp|P00978|AMBP_BOVIN Protein AMBP OS=Bos taurus OX=9913 GN=AMBP PE=1 SV=2
+MRSLSGLLLLLTACLAVNASSVPTLPDDIQVQENFDLSRIYGKWFNVAVGSTCPWLKRFK
+EKMTMSTVVLIAGPTSKEISVTNTHRRKGVCESISGTYEKTSADGKFLYHKAKWNITMES
+YVVHTNYDEYAIFLTKKLSRRHGPTITVKLYGREPQLRESLLEEFREVALGVGIPEDAIF
+TMPDRGECVPGEQDPVPTPLSRARRAVLTQEEEGSGAGQPVTNFSKKADSCQLDYSQGPC
+LGLFKRYFYNGTSMACETFLYGGCMGNGNNFLSEKECLQTCRTVEACNLPIVQGPCRSYI
+QLWAFDAVKGKCVRFSYGGCKGNGNKFYSEKECKEYCGIPGEADEELLRFSN
+>sp|Q08DB4|CPNE1_BOVIN Copine-1 OS=Bos taurus OX=9913 GN=CPNE1 PE=1 SV=1
+MAHCVTLVQLSVSCDHLIDKDIGSKSDPLCVLLQDVGGGNWTELGRTERVQNCSSPEFSK
+TLQLEYHFETVQKLRFGIYDIDNKTPELGDDDFLGGAECSLGQIVSSRMLTLPLMLKPGK
+PAGRGTITVSAQELKDNRVVTMEVEARNLDKKDFLGKSDPFLEFFRQGDGKWHLAYRSEV
+IKNNLNPTWKRFSVPLQHFCGGDASTPIQVRCSDYDSDGSHDLIGTFHTSLAQLQAAPAE
+FECIHPEKQQKKKSYKNSGTICVKMCQVETEHSFLDYVMGGCQINFTVGVDFTGSNGDPS
+SPDSLHYLSPTGVNEYLTALWSVGSVVQDYDSDKLFPAFGFGAQVPPDWQVSHEFALNFN
+PSNPFCAGIQGIVDAYRQALPQVRLFGPTNFAPIINHVARFAAQAANQRNASQYFVLLLL
+TDGAVTDVEATREAVVRASYLPMSVIIVGVGCADFEAMEQLDADGGPLHTRSGEAAARDI
+VQFVPYRRFQNAPREALAQTVLAEVPTQLVSYFRAQGWAPFKPPPPAAKGPAQAPQA
+>sp|Q1JQD2|GRWD1_BOVIN Glutamate-rich WD repeat-containing protein 1 OS=Bos taurus OX=9913 GN=GRWD1 PE=2 SV=1
+MAALKGRRRTCEPGESMETESQETGSKGQAQVYLPGRGPPLREGEELVMDEEAYVLYHRA
+QTGAPCLSFDIVRDHLGDNRTELPLTLYLCAGTQAESSQSNRLMMLRMHNLHGTKPPPPE
+GSDDEEEEDDEEDEEERKPQLELAMVPHYGGINRVRVSWLGEEPVAGVWSEKGQVEVFAL
+RRLLQVVDDPQALATFLRDEQTRMKPIFAFSGHMGEGFALDWSPRVPGRLLTGDCQKNIH
+LWTPTDGGSWHVDQRPFVGHTRSVEDLQWSPTEDTVFASCSADASIRIWDIRAAPSKACM
+LTTATAHDGDVNVINWSHREPFLLSGGDDGALKVWDLRQFKSGSPVATFKQHVAPVTSVE
+WHPQDSGVFAASGADNQITQWDLAVERDPEAGDAETDPGLADLPQQLLFVHQGETDLKEL
+HWHPQCPGVLVSTALSGFTVFRTISV
+>sp|P46163|DEFB5_BOVIN Beta-defensin 5 OS=Bos taurus OX=9913 GN=DEFB5 PE=1 SV=4
+MRLHHLLLVLLFLVLSAGSGFTQVVRNPQSCRWNMGVCIPISCPGNMRQIGTCFGPRVPC
+CRRW
+>sp|A6QR31|FA32A_BOVIN Protein FAM32A OS=Bos taurus OX=9913 GN=FAM32A PE=3 SV=1
+MEAYEQVQKGPLKLKGVAELGVTKRKKKKKDKDKAKLLEAMGTSKKNEEEKRRGLDKRTP
+AQAAFEKMQEKRQMERILKKASKTHKQRVEDFNRHLDTLTEHYDIPKVSWTK
+>sp|Q17QE3|GRL1A_BOVIN DNA-directed RNA polymerase II subunit GRINL1A OS=Bos taurus OX=9913 GN=POLR2M PE=1 SV=2
+MSSLPRGFEPQTPEDLGQRSLAELREMLKRQERLLRNVKFICKLPDKGKKISDAVTKLKA
+AIAEREEVRGRSELFYPVSLDCKERQKAIAVVDGDRDKAQNSDQILDTSSPVPGCSSVAN
+ITSSQTTSRQQGLAHPTRGGDAEAAEAEHTVSEHPTSSSGAPAPSSSQASEGLPQHCALG
+QVEDHPGSSDNLFIDRLQRITIADPTEHHSEGNRNPENLAGLWSGPQKKPHYMEVLEMRA
+KNPMPPPHKFKTNVLPSQPRDSSSACQRRGSPISSEERRRRDRKHLDDITAARLLPLHHL
+PTQLLSIEESLALQRQQKQSYEEIQAKLAAQKLAERLNIKMQSYNPEGESSRKYREVRDE
+DDDQSSEDEF
+>sp|O77783|EXT2_BOVIN Exostosin-2 OS=Bos taurus OX=9913 GN=EXT2 PE=1 SV=1
+MCASVKYNIRGPALIPRMKTKHRIYYITLFSIVLLGLIATGMFQFWPHSIESSGDWSVEK
+RTGRDVPLVRLPADSPVPERGDLSCRMHTCFDVYRCGFNPKNKIKVYIYPLKKYVGEAGV
+PVSSTISREYNELLTAISDSDYYTDDVTRACLFVPSIDLLNQNSLRVKETAQALAQLSRW
+DRGTNHLLFNMLPGGPPDYNTALDVPRDRALLAGGGFSTWTYRQGYDVSIPVYSPLSAEV
+DLPEKGPGPRRYFLLSSQVALHPEYREDLAALQARHGEAVLVLDKCSNLSEGVPAARRRC
+HQQQAFDYPQVLQEATFCMVLRGARLGQAVLSDVLRAGCVPVIIADSYVLPFSEVLDWKR
+ASVVVPEEKMSDVYSILQSIPRRQIEEMQRQARWFWEAYFQSIKAIALATLQIINDRIYP
+YAAISYEDWNDPPAVKWGSVSNPLFLPLIPPQSQGFTAIVLTYDRVESLFRVITEVSKVP
+SLSKLLVVWNNQNKNPPEDSLWPKIRVPLKVVRTAENKLSNRFFPYDEIETEAVLAIDDD
+IIMLTSDELQFGYEVWREFPDRLVGYPGRLHLWDHEMNKWKYESEWTNEVSMVLTGAAFY
+HKYFNYLYTYKMPGDIKNWVDAHMNCEDIAMNFLVANVTGKAVIKVTPRKKFKCPECTAI
+DGLSLDQTHMVERSECINKFASVFGTMPLKVVEHRADPVLYKDDFPEKLKSFPNIGSL
+>sp|Q32KV2|MAEL_BOVIN Protein maelstrom homolog OS=Bos taurus OX=9913 GN=MAEL PE=2 SV=1
+MPNRRASRNAYYFFVQEKIPELRRRGLPVARVADAIPYCSADWALLKEEEKEKYAEMARE
+WRAAQGKDSGPSEKQKPVCTPLRKPGMLVPKQNVSPPDLSGLSLKSDQALLGGIFYFLNI
+FSHGELPPHCEQRFLPCEIGCVKYSLQEGIMADFHSFINPGDSSHKIPISHFESGHDQAT
+VIENLYRFIHPNPGNWPPIYCKSDDRARVNWCLKHMAKSSEIRQDLELLTVEDLVVGIYQ
+QKFLKEPSKTWVRSLLEVAMWDYSSNTRCKWHEENDILFCALAVCKKIANCISNSLATLF
+GIQLTEAHVPLQDYEASNSVTPKMVVLDAGRYQKLRVESPGFSHFSSSNQEQRSNTPTGD
+YPSGVKISGQNSSVRGRGITRLLESISSSSSNIHKFSNCETPVSPYTSPKHGYKSFSSLS
+>sp|E1BLK7|MIEAP_BOVIN Mitochondria-eating protein OS=Bos taurus OX=9913 GN=SPATA18 PE=3 SV=1
+MADNLRRLVSNEALRSLQDKLESWLREYNANSCDQNLNHCLELIEQVAKVQGQLFGILTI
+AAQEGGHYDGVETIKSRLLPWLEASFTAASLGKPVDSKVPSLQDTFDKDRHKESGTRDIQ
+QLDADLSATRNQLNQVQDDMAETEKTLEEPKNRSAISLLAAEEEINQLKKQLKSLQAQEE
+SRHRNSDRRRSEKRGSERRRVELRGSEQRVSDLDRRSANQRNAEAVCDYEKQLRTLKDEI
+AVLSAEKSVLQGRSARSRSPSPAPCSRSHSRSRSTSPSSAKARTPSPNRAKLSSVARKAA
+LLSRFSDAYSQGRLDAQCLLRRCIDKAETVQRIIYIATVEAFHVAKMAFRHFKIRVRKSL
+TPSCAGSNDFEDAVSDYIICHLDLYDSQSSVNDVIRAMNVNPKISFPPEVDFCLLSNFIQ
+EICCIAFAMQTLEPPLDIAFGADGEIFNDCKYRRSYDSDFTAPLVFYHVWPALMENDCVI
+MKGEAVTRRGAFWNSVRSVSRCRSRSLSPICPRTRIGLGMISRSRSPSPIRCGLPRF
+>sp|Q3SWY3|IMDH2_BOVIN Inosine-5'-monophosphate dehydrogenase 2 OS=Bos taurus OX=9913 GN=IMPDH2 PE=2 SV=1
+MADYLISGGTSYVPDDGLTAQQLFNCGDGLTYNDFLILPGYIDFTADQVDLTSALTKKIT
+LKTPLVSSPMDTVTEAGMAIAMALTGGIGFIHHNCTPEFQANEVRKVKKYEQGFITDPVV
+LSPRDRVRDVFEAKARHGFCGIPITDTGRMGSHLVGIISSRDIDFLKEEEHDRLLGEIMT
+KREDLVVAPAGITLKEANEILQRSKKGKLPIVNENDELVAIIARTDLKKNRDYPLASKDA
+KKQLLCGAAIGTHEDDKYRLDLLSQAGVDVVVLDSSQGNSIFQINMIKYIKEKYPSIQVI
+GGNVVTAAQAKNLIDAGVDALRVGMGSGSICITQEVLACGRPQATAVYKVSEYARRFGVP
+VIADGGIQNVGHIAKALALGASTVMMGSLLAATTEAPGEYFFSDGIRLKKYRGMGSLDAM
+DKHLSSQNRYFSEADKIKVAQGVSGAVQDKGSIHKFVPYLIAGIQHSCQDIGAKSLTQVR
+AMMYSGELKFEKRTSSAQVEGGVHSLHSYEKRLF
+>sp|A3KMW7|MAP10_BOVIN Microtubule-associated protein 10 OS=Bos taurus OX=9913 GN=MAP10 PE=2 SV=1
+MASAVSERLFSLELLVDWVCLEAGLPQPPVVAEEEQKEEGEGASPPRPSRSLCPAVAFRL
+LDFPTLLIYPPAGPAAPAQESRPGLVSFRRGKSCLFRLQPATLHRLLLRTPLYTLLLQLP
+PGHPTPAPQLLGACSISLAAAVHKVLGFAAPGSSQSHRGSFPLCNRDGERIGDIALGYRL
+SDLGSSLLGHLERPVASPGGGVEGMEVQKSVEVSPQTWQENQQLQQPDSEPSPGDADKPL
+VDVKISRAGKDLKGRAFHSKADSDYTDSMENGKTNSDMCSKGSSERSVSPPNQEGTEVEL
+ETNIICPPPLYYTHLTQEKTPPKQGKITIEPQINASEEWDDGTFLKENVNPPTHTNPPEH
+TNSATGESCSVLINPASVQDTGASNQTTDHPPTEQNRINTIRQLPLLNALLVELSLLYNQ
+PMANPTHIHPHLAWLYRTEDKKSPESSVKSTCKSESKKDKLSVGENEKSVSLQYKKNQTE
+NLKKGKYFEKKGGAPQKRVSRGKLLYGLTNTLKLRLKQTNPDMLVEYEKKEQYKKMQTQM
+LGAKLRIPSSKVKILSFAELYQKPHELPKDKCLESDASFAENSNTSKQISVVVDDPSTTT
+ETKLNCATEKTVDCDENRSNNGFLGEILSPANSVVSERFICTNTLEGKVFGRKSIQSPGV
+FQQVAVVDRTVVDKEIDDKQVKITGDDILTVDINEKNPSTSSCSESISELKYSDDFTSPC
+SSEDTSRILRACDSSPGTENPKNSQHTSTSSETRLSIRKNSSAKSSILSPPFSAGSPVLS
+HKRFPVSKTQDKSLEEASSSDFSSSQWTEEKENQRDQNSMHNSKVIKQDHDISAKPKTRT
+GCKSSEKSQSPRTSQVSSYLPSNLSELELNVLDCSTLDHFEEDCDDLGSLNISKQCKDIC
+ELVINKLPGYTV
+>sp|P05007|IFNAA_BOVIN Interferon alpha-A OS=Bos taurus OX=9913 GN=IFNAA PE=2 SV=1
+MAPAWSFLLSLLLLSCNAICSLGCHLPHTHSLANRRVLMLLQQLRRVSPSSCLQDRNDFE
+FLQEALGGSQLQKAQAISVLHEVTQHTFQLFSTEGSPATWDKSLLDKLRAALDQQLTDLQ
+ACLTQEEGLRGAPLLKEDSSLAVRKYFHRLTLYLQEKRHSPCAWEVVRAEVMRAFSSSTN
+LQESFRRKD
+>sp|A0JND2|K2C80_BOVIN Keratin, type II cytoskeletal 80 OS=Bos taurus OX=9913 GN=KRT80 PE=2 SV=1
+MACRSCVVGFSSLSSCEVTPAGSPRPATAGWSSCGPPEPGLSSHSLTGCWTAGTVSKVTV
+NPSLLVPLDLKVDPAIQQQKNNEKEEMKVLNDKFASLIGKVQALEQRNQLLETRWHFLQS
+QDSATFDLGHLYEEYQGRLQEELRKVSKERGQLEANLLQVLEKVEDFRIRYEDEISKRTD
+MEFTFVQLKKDLDAECLRRTELETKLKGLQSFVELMKSIYEQELKDLAAQLKDVSVTVGM
+DSRCHIDLSGIVEEVKAQYDAVAARSLEEAEAYSRSQLEERAACSAEFENSLQSSRSEIA
+DLNVRIQKLRSQILSIKSHCLKLEENIKVAEEQGELAFQDAKAKLAQLEDALQQAKKDMA
+RQLREYQELMNTKLALDIEIATYRKLMEGEESRMDMPSATVVSAVQARCRTAPTLPHPLC
+SL
+>sp|Q32LB3|CXXC5_BOVIN CXXC-type zinc finger protein 5 OS=Bos taurus OX=9913 GN=CXXC5 PE=2 SV=2
+MSSLSSGPQDTGGSSSSSSNGSSGSGPKAGVADKSAAVAAAAPASVADDAPPPERRNKSG
+IISEPLNKSLRRSRPLSHYSSFGGSGGSGGGSMMGGESAEKAAAAAASLLANGHDLAAAM
+AVDKSNSTSKHKSSAVASLLSKAERATELGAEGQLTLQQFAQSTEMLKRVVQEHLPLMSE
+AGAGLPDMEAVAGAEALNGQSDFPYLGAFPINPGLFIMTPAGVFLAESALHMAGLAEYPM
+QGELASAISSGKKKRKRCGMCAPCRRRINCEQCSSCRNRKTGHQICKFRKCEELKKKPSA
+ALEKVMLPTGAAFRWFQ
+>sp|Q3SZK4|FAKD4_BOVIN FAST kinase domain-containing protein 4 OS=Bos taurus OX=9913 GN=TBRG4 PE=2 SV=1
+MAARLVRRCTCLLREAARLAPAVSPVGQLRLPQGTQKPLTSSATSPSSRLPGLLSEFVEK
+EQVFTPYTGRQEVEQLIEKATQPEELLELLGSGHCLQQNHAALALIQLSRLLSEKPKDKA
+ALIQDARFWQLLHLVNSQITVVWHGTLVKLLRSLYTLGLPATSKDLRSVEQEVRWRMRRL
+KYKHLAFLAESSATYMQEQGSRELLAELLVHLERRWAEIEDRRMVVAMMMKTGHLSESLM
+NRLEDKCLELVEQFGPEELRKVLVTLAAQNRRSVPLLRAISYHLVQKPFPLTKSILLDLA
+YAYGKLGFHQTQVFQRLAADLLPHTPSMTSSEVARCTKSFAFLKWLNLPLFEAFVQHVLD
+RAQSITLPHLCNMLLAFARVNFRPEREDPFFSLVHEKLGSELVGLEPALQVDVVWALCVL
+QQVREAELQAVLCPEFHTQFLGGKSPKDQSTFQKLLHINATAQLEHPEYTGPLLPTSALV
+PSPSALEQKMTPLQKELQETLKGLLGSADKGSFMVPTQYGWVLDAEVLLDTESQLLPLRD
+FVAPHLTPPSGSQPLPPGAKRLAFLRWEFPNFNSRSKDLLGRFMLARRHLLAAGFLLVDV
+PYYEWMELKSEWQKSAYLKDKMRKAVAEELAK
+>sp|Q17QH8|D39U1_BOVIN Epimerase family protein SDR39U1 OS=Bos taurus OX=9913 GN=SDR39U1 PE=2 SV=1
+MRVLVGGGTGFIGTALTQLLKARGHEVTLISRKPGPDRITWDDLTTSGLPRCDAAVNLAG
+ENILNPLRRWNAAFQKEVLSSRLETTQTLARAIAKAPQPPQAWVLVTGVAYYQPSLTAEY
+DEDSPGGDFDFFSNLVTKWEAAARLPGDSTRQVVVRSGVVLGRGGGAIGHMLLPFRLGLG
+GPIGSGHQFFPWIHIRDLAGILAHALETSHVQGILNGVAPASSTTNAEFARALGTALGRP
+AFIPLPSAVVQAVFGRERAVMLLEGQKVVPRRTLAAGYRYSFPELGAALKEVIA
+>sp|P09680|GIP_BOVIN Gastric inhibitory polypeptide OS=Bos taurus OX=9913 GN=GIP PE=1 SV=1
+YAEGTFISDYSIAMDKIRQQDFVNWLLAQKGKKSDWIHNITQ
+>sp|Q32L65|MARH2_BOVIN E3 ubiquitin-protein ligase MARCH2 OS=Bos taurus OX=9913 GN=MARCH2 PE=2 SV=1
+MTTGDCCHLPGSLCDCSDSPAFSKVVEATGLGPPQYVAQVTSRDGRLLSTVIRALETPSD
+GPFCRICHEGANGESLLSPCGCSGTLGAVHKSCLERWLSSSNTSYCELCHTEFAVEKRSR
+SLTEWLKDPGPRTEKRTLCCDVVCFLFITPLAAISGWLCLRGAQDHLRLHSHLEALGLIA
+LTIALFTIYVLWTLVSFRYHCQLYSEWRRTNQKVRLKMQADGSEGSQHSPLAAGLLKKVA
+EETPV
+>sp|Q08DX6|GDF10_BOVIN Growth/differentiation factor 10 OS=Bos taurus OX=9913 GN=GDF10 PE=2 SV=1
+MARGPARTSLGPGSQQLPLLSLLLLLLLRDADGSHTAAARPPPPAAADGLAGDKNPQRSP
+GDVAAAQSPGAQDMVAVHMLRLYEKYSRRGARPGGGNTVRSFRARLEVVNQKAVYFFNLT
+SMQDSEMILTATFHFYSEPQWPPAREVPCKQRAKNASCRLLPPGPPARQHLLFRSLSQNT
+ATQGLLRGAMALPPPPRGLWQAKDISLIVKAARRDGELLLSAQLDSGEKDTGVPRLGPHA
+PYILIYANDLAISEPNSVAVTLQRYDPFQAGDPEPGAAPNSSADPRVRRATQATGPLQNN
+ELPGLDERPAQAPHAQHYHKHELWPNPLRALKPRPGRKDRRKKGQDVFMASSQVLDFDEK
+TMQKARKKQWDEPRVCSRRYLKVDFADIGWNEWIISPKSFDAYYCSGACEFPMPKMVRPS
+NHATIQSIVRAVGIVPGIPEPCCVPDKMSSLGVLFLDENRNVVLKVYPNMSVETCACR
+>sp|Q9GJW7|GBRAP_BOVIN Gamma-aminobutyric acid receptor-associated protein OS=Bos taurus OX=9913 GN=GABARAP PE=3 SV=2
+MKFVYKEEHPFEKRRSEGEKIRKKYPDRVPVIVEKAPKARIGDLDKKKYLVPSDLTVGQF
+YFLIRKRIHLRAEDALFFFVNNVIPPTSATMGQLYQEHHEEDFFLYIAYSDESVYGL
+>sp|A5PK19|EFNMT_BOVIN eEF1A lysine and N-terminal methyltransferase OS=Bos taurus OX=9913 GN=EEF1AKNMT PE=2 SV=1
+MNLLPKSSKEFGSVDYWEKFFQQRGKKAFEWYGTYLELCGVLHKYIKPREKVLVVGCGNS
+ELSEQLYDVGYQDIVNIDISEVVIKQMKERNASRRPRMSFLKMDMTQMEFPDASFQVVLD
+KGTLDAVLTDEEEKTLQQVDRMLAEVGRVLQVGGRYLCISLAQAHVLKKAVGHFSREGWM
+VRVHQVASSQDQLLEAEPRFSLPVFAFIMTKFRPVTGSALQIFELCAQEQGKPVRLESAE
+QLAEAVRERQQYAWLCSQLYRKAGLGSVSLDLCNGDTGEPRYTLHVVDSPTVKPSRDNHF
+AIFIIPQGRETEWLFGMEEGRKQLAASAGFRRLITVALHRGQQYEGMDSIQAELSARVME
+LAPAGMPAQLQVPFLSVGGDIGVRIVQHQACSPLSGDYVIEDVQGDDKRYFRRLIFLSNR
+NVVQSEARLLQDVSHRAQKKRKKDRKKHRPADTPEDLPAAQGQSIDKSYLCCEHHKAMIA
+GLALLKNPELLLETPLALLVVGLGGGSLPLFIHDHFPKSCIHAVEIDPSMLEVATQWFGF
+SQSDRMKVHIADGLDFITRLAEEEARPHYDVIMFDVDSKDPTLGMSCPPPAFVAQLFLQK
+VKSILTPEGVFILNLVCRDLGLKDSVLAGLKAVFPLLYVRRIEGEVNEILFCQLHSECKL
+ATPELLEMARALEQTLRKPGKGWDDTYVLSDMLNTVKIV
+>sp|P40673|HMGB2_BOVIN High mobility group protein B2 OS=Bos taurus OX=9913 GN=HMGB2 PE=1 SV=3
+MGKGDPNKPRGKMSSYAFFVQTCREEHKKKHPDSSVNFAEFSKKCSERWKTMSAKEKSKF
+EDMAKSDKARYDREMKNYVPPKGDKKGKKKDPNAPKRPPSAFFLFCSEHRPKIKSEHPGL
+SIGDTAKKLGEMWSEQSAKDKQPYEQKAAKLKEKYEKDIAAYRAKGKSEAGKKGPGRPTG
+SKKKNEPEDEEEEEEEEDEDEEEEDEDEE
+>sp|A2VE08|IMA5_BOVIN Importin subunit alpha-5 OS=Bos taurus OX=9913 GN=KPNA1 PE=2 SV=1
+MTTPGKENFRLKSYKNKSLNPDEMRRRREEEGLQLRKQKREEQLFKRRNVATAEEETEEE
+VMSDGGFHEAQINNMEMAPGGVITSDMIEMIFSNSPEQQLSATQKFRKLLSKEPNPPIDE
+VISTPGVVARFVEFLKRKENCTLQFESAWVLTNIASGNSLQTRIVIQAGAVPIFIELLSS
+EFEDVQEQAVWALGNIAGDSTMCRDYVLDCNILPPLLQLFSKQNRLTMTRNAVWALSNLC
+RGKSPPPEFAKVSPCLNVLSWLLFVSDTDVLADACWALSYLSDGPNDKIQAVIDAGVCRR
+LVELLMHNDYKVVSPALRAVGNIVTGDDVQTQVILNCSALQSLLHLLSSPKESIKKEACW
+TISNITAGNRAQIQTVIDANIFPALISILQTAEFRTRKEAAWAITNATSGGSAEQIKYLV
+ELGCIKPLCDLLTVMDSKIVQVALNGLENILRLGEQEAKRNGTGINPYCALIEEAYGLDK
+IEFLQSHENQEIYQKAFDLIEHYFGTEDEDSSIAPQVDLSQQQYIFQQCEAPMEGFQL
+>sp|Q1XF11|HCST_BOVIN Hematopoietic cell signal transducer OS=Bos taurus OX=9913 GN=HCST PE=1 SV=1
+MVPPGNILFLLLLPVATAQMTPGSCSGCGPLSLPLLAGLVAADAVVSLLIVVVVFVCARL
+RSRPTQEDDKIYINMPGRG
+>sp|P13752|HA1A_BOVIN BOLA class I histocompatibility antigen, alpha chain BL3-6 OS=Bos taurus OX=9913 PE=2 SV=1
+MGPRALLLLLSGVLILTETRAGSHSLRYFSTAVSRPGLGEPRYLEVGYVDDTQFVQFDSD
+APNPRMEPRARWVEQEGPEYWDRNTRNAKGNAQSFRVNLNTLRGYYNQSEAGSHTLQWMS
+GCDVGPDGALRRGFMQYGYDGRDYLALNEDLRSWTAGETEAQITKRKWEAAGYAEVQRNY
+LEGECVEWLRRYLENGKDTLLRADPPKAHVTHHPISGREVTLRCWALGFYPEEISLTWQH
+DGEDQTQDMELVETRPSGDGTFQKWAALVVPSGDEQRYTCRVQHEGLQEPLTLRWEPPQP
+SFLTMGIIVGLVLLVVTGAVVAGVVICMKKRSGEKGGNYIQASSSDSAQGSDVSLTVPKV
+>sp|Q2KI75|KT222_BOVIN Keratin-like protein KRT222 OS=Bos taurus OX=9913 GN=KRT222 PE=2 SV=1
+MELSQLLNEIRANYEKLLTRNQIETVLSTRIQLEEDLSKKMDKDEEALKAAQAELKEARR
+QWHHLQVEIESLHAVERGLENSLHASEQHYQMQLQDLEAVIEGLEKELQEVRRGIERQLQ
+EHEMLLNTKMRLEQEIATYRRLLEKEEIRYYGSIQGGKKEQKPTTSRVGFVLPSAIINEI
+SFSTKVPQKYEDEKVETVTKQAILNGNIVKESTEAHGTIQTEKVDEVIKEWEGSFFKDNP
+RLRKKSVSLRFDLHLAATDEGCSQTKQDNLPDIEVRLIMRRSCSIPSIKRPSTTN
+>sp|P04261|K2C3_BOVIN Keratin, type II cytoskeletal 60 kDa, component III (Fragment) OS=Bos taurus OX=9913 PE=2 SV=1
+ERGELALKDARSKLADVEDALQKAKQDMARLLREYQELMNTKLALDVEIATYRKLLEGEE
+CRLSGEGVGPVNISVVTNTVSSGYGGGSGFGGGLGGGLGGGLGGGLGGGLGGGLGSGLGG
+GGSSSFYSSSSGGVGLGGGLSVGGSGFSASSGRSLGFGSGGGSSSSVKFVSTTSSSRKSF
+KS
+>sp|Q9XSC6|KCRM_BOVIN Creatine kinase M-type OS=Bos taurus OX=9913 GN=CKM PE=1 SV=2
+MPFGNTHNKHKLNFKAEEEYPDLSKHNNHMAKALTLEIYKKLRDKETPSGFTLDDVIQTG
+VDNPGHPFIMTVGCVAGDEESYTVFKDLFDPIIQDRHGGFKPTDKHKTDLNHENLKGGDD
+LDPNYVLSSRVRTGRSIKGYALPPHCSRGERRAVEKLSVEALNSLTGEFKGKYYPLKSMT
+EQEQQQLIDDHFLFDKPVSPLLLASGMARDWPDARGIWHNDNKSFLVWVNEEDHLRVISM
+EKGGNMKEVFRRFCVGLQKIEEIFKKAGHPFMWNEHLGYVLTCPSNLGTGLRGGVHVKLA
+HLSKHPKFEEILTRLRLQKRGTGGVDTAAVGSVFDVSNADRLGSSEVEQVQLVVDGVKLM
+VEMEKKLEKGQSIDDMIPAQK
+>sp|Q9XSK7|HDGF_BOVIN Hepatoma-derived growth factor OS=Bos taurus OX=9913 GN=HDGF PE=2 SV=1
+MSRSNRQKEYKCGDLVFAKMKGYPHWPARIDEMPEAAVKSTANKYQVFFFGTHETAFLGP
+KDLFPYEESKEKFGKPNKRKGFSEGLWEIENNPTVKASGYQSSQKKSCVEEPEPEPEATE
+GDGDKKGNAEGSSDEEGKLVIDEPTKEKNEKGALKRRAGDLLEDSPKRPKEAEDLEGEEK
+EGATLEGERPLPVEAEKNSTPSEPGSGRGPPQEEEEEEEEEEAAKEDAEAPGLRDHESL
+>sp|Q0V7M1|KPSH1_BOVIN Serine/threonine-protein kinase H1 OS=Bos taurus OX=9913 GN=PSKH1 PE=2 SV=1
+MGCGTSKVLPEPPKDVQLDLVKKVEPFSGTKSDVYKHFITEVDSVGPLKGGFPAASQGAN
+PSPGTPRTSHTEPPSEPPRRARVAKYRAKFDPRVTAKYDIKALIGRGSFSRVVRVEHRAT
+RQPYAIKMIETKYREGREVCESELRVLRRVRHANIIQLVEVFETQERVYMVMELATGGEL
+FDRIIAKGSFTERDATRVLQMVLDGVRYLHALGITHRDLKPENLLYYHPGTDSKIIITDF
+GLASARKKGDDCLMKTTCGTPEYIAPEVLVRKPYTNSVDMWALGVIAYILLSGTMPFEDD
+NRTRLYRQILRGKYSYSGEPWPSVSNLAKDFIDRLLTVDPGARMTALQALRHPWVVSMAA
+SSSMKNLHRSISQNLLKRASSRCQSTKSAQSTRSSRSTRSNKSRRVRERELRELNLRYQQ
+QYNG
+>sp|Q0P5E3|ARG39_BOVIN Rho guanine nucleotide exchange factor 39 OS=Bos taurus OX=9913 GN=ARHGEF39 PE=2 SV=1
+MESPAPGARCPVQEQRARWERKRACTARELLETERRYQEQLGLVATYFVAILRAKGTLRP
+PERQALFGPWELIYGASQELLPYLEGGRWGQGLEGFCNHLELYTQFAANVERSRTILQEQ
+LKKNKHFRRFVRLQEGRPEFGGLQLQDLLPLPLQRLQQYENLAVALAENTGPNSPEHKQL
+TRAAQLISETAQRVHTIGQKQKNEQHLQRIQALLSGRQAKGLISGRWFLRQGWLLVVPPR
+GEPRPRMFFLFSDALLMAKPRPPLHLLQSGTFACRALYPMGECQLHRVFGHSGGPCGGLL
+SLSFPHEKLLLMSTDQEELSHWYHSLTLAISSQKN
+>sp|Q29RM4|ARGAL_BOVIN Rho guanine nucleotide exchange factor 10-like protein OS=Bos taurus OX=9913 GN=ARHGEF10L PE=2 SV=1
+MASSSPLQAAAGDRLLPGGPGPSPEAEDDPGEGFEFDDSDDDEDTNAGPDVPRSALETEA
+DTPLIHLDSAPVIDLEPKPEPAQPQTQRPAAVSNGDAVDAAFSEVQRSGWRRKSSRRIER
+FTFPAVEEDVIYDDVPCENLDAQQPEAERNQPYEDARQDRAPQEPEDLGWSSSEFESYSE
+DSGEEAKPEAEPTKHRVSFQPKMTQLMKAAKSGTKDGLEKTRIAVMRKVSFLHRKDVLGD
+SEEEDMGLLEVSVSDIKPPAPELGPMPAGLTPQQVVRRHILGSIVQSEGSYVDSLKRVLQ
+DYRNPLMEMEPKALSARKCRAVFFRVKEILHCHSMFQIALSSRVAEWDSTEKIGDLFVAS
+FSKSMVLDVYSDYVNNFTNAMSIIKKACLTKPAFLEFLKRRQVCSPDRVTLYGLMVKPIQ
+RFPQFILLLQDMLKNTPRGHPDRLSLQLALTELETLAEKLNEQKRLADQVAEIQQLTKSV
+SDRSSLNKLLTSGQRQLLLCETLTETVYGDRGQLIKSKERRVFLLNDMLVCANINFKGQL
+EISSLAPLGPKYVVKWSTALPQVQVVEVGQEGGPYDKDNALIQHAGAKKASAAGQAQNKV
+YLGPPRLFQELQDLQKDLAVVEQITLLVSTLHGTYQNLNMTVAQDWCLALQRLMRVKEEE
+IHSANKCRLRLLLPGKPDKSGRPISFMVVFITPNPLSKISWVNRLHLAKIGLREENQPGW
+LCPDEDKQSRAPFWCPILACCVPAFSFRGLSLQLGALVHSPVSCPLLGFSAVSTSLPQGY
+LWVGGGQEGAGGQVEIFSLNRPSPRTVKSFPLAAPVLCMEYIPEPEEGDGRNGDKGCPAA
+DASAGVHPTICLGLQDGSILLYSSVDTGTQCLAACRSPGLQPVLCLRHSPFHLLAGLQDG
+TLAAYPRTSGSVPWDLESPPMCLPVGPGPVRALLSLEEAVWASCGPRVTVLDATSLQTQQ
+SFEAHQDEAVSVTHMVKAGSGVWMAFSSGSSIRLFHTETLEHLQEINIATRTTFLLPGQK
+HLCVTSLLICQGLLWVGTDQGVIVLLPVPRLEGIPKITGKGMVSLNGHCGPVAFLAVATS
+ILAPDILRSDQEEAEGQQAEEDKPDGPAPEPAPVPASHVGGELIRKKGILLQYRLRSTSH
+LPGPLLSVREPEPADGSALEHSEEDGSIYEMVDDPDVWVHNRPCARDAHRKEICSVAIIS
+GGRGYRNFGSAAGSPGKPAPCGETDSTLLIWQAPLTL
+>sp|P10575|GLRX1_BOVIN Glutaredoxin-1 OS=Bos taurus OX=9913 GN=GLRX PE=1 SV=3
+MAQAFVNSKIQPGKVVVFIKPTCPYCRKTQELLSQLPFKQGLLEFVDITAAGNISEIQDY
+LQQLTGARTVPRVFIGQECIGGCTDLVNMHERGELLTRLKQMGALQ
+>sp|Q58DM8|ECHM_BOVIN Enoyl-CoA hydratase, mitochondrial OS=Bos taurus OX=9913 GN=ECHS1 PE=2 SV=1
+MAALRALLPRVRAPLRPWLFCPVQRSFASSAAFEYIITAKKGRNSNVGLIQLNRPKALNA
+LCNGLIVELNQALQAFEEDPAVGAIVLTGGEKVFAAGADIKEMQSLTFQNCYSGGFLSHW
+DQLTRVKKPVIAAVNGYALGGGCELAMMCDIIYAGEKAQFGQPEILIGTIPGAGGTQRLT
+RAVGKSLAMEMVLTGDRISAQDAKQAGLVSKIFPVETVVEEAIQCAEKIASNSKIVTAMA
+KESVNAAFEMTLAEGVKLEKKLFYSTFATEDRKEGMAAFVEKRKANFKDQ
+>sp|Q5EA45|FXRD1_BOVIN FAD-dependent oxidoreductase domain-containing protein 1 OS=Bos taurus OX=9913 GN=FOXRED1 PE=2 SV=1
+MLRRALRLRLGPCLSGRGLGTYRRGSTLDWDGKVSEIKKKIQSIFPGGAWNPLYDTSHLP
+PERSDVVIVGGGVLGLSVAYWLKRLEKQQGAIRVLVVERDHTYARASTVLSVGGIRQQFS
+LPQNVQLSLFSAEFLRNINEYLAVVDDPPLDLQFNPSGYLLLASEEGAAIMERNVKMQRQ
+EGAKVCLMSPEQLQKKFPWINTEGVALASYGLENEGWFDPWCLLQGLRRKLQSMGVLFCQ
+GEVTRFISSSSHMETASGEQLTLKRIHEVHVKMDHSQEFQPVECAIVVNAAGAWSGQIAE
+LAGVGNGPPGTMQGTKLPVEPRKRYVYLWHCPQGPGLEAPLVADPSGAYFRREGLGNNYV
+GSCSPTEEEEPDPGNLEVDYDFFQEKVWPRLAQRVPAFETLKVRSAWAGYYDYNTFDQNG
+VVGPHPLVVNMYFATGFSGHGLQQAPAVGRAVAEMVLEGHFQTINLSPFLFSRFYFGEKA
+QEHCIL
+>sp|P02676|FIBB_BOVIN Fibrinogen beta chain OS=Bos taurus OX=9913 GN=FGB PE=1 SV=2
+QFPTDYDEGQDDRPKVGLGARGHRPYDKKKEEAPSLRPVPPPISGGGYRARPATATVGQK
+KVERKPPDADGCLHADPDLGVLCPTGCKLQDTLVRQERPIRKSIEDLRNTVDSVSRTSSS
+TFQYITLLKNMWKGRQNQVQDNENVVNEYSSHLEKHQLYIDETVKNNIPTKLRVLRSILE
+NLRSKIQKLESDVSTQMEYCRTPCTVTCNIPVVSGKECEKIIRNEGETSEMYLIQPEDSS
+KPYRVYCDMKTEKGGWTVIQNRQDGSVDFGRKWDPYKQGFGNIATNAEGKKYCGVPGEYW
+LGNDRISQLTNMGPTKLLIEMEDWKGDKVTALYEGFTVQNEANKYQLSVSKYKGTAGNAL
+IEGASQLVGENRTMTIHNSMFFSTYDRDNDGWKTTDPRKQCSKEDGGGWWYNRCHAANPN
+GRYYWGGAYTWDMAKHGTDDGVVWMNWQGSWYSMKKMSMKIRPYFPEQ
+>sp|Q0P5E2|GPN3_BOVIN GPN-loop GTPase 3 OS=Bos taurus OX=9913 GN=GPN3 PE=2 SV=1
+MPRYAQLVMGPAGSGKSTYCATMVQHCEALNRSVQVVNLDPAAEHFNYSVMADIRELIEV
+DDVMEDSTLQFGPNGGLVFCMEYFANNFDWLENCLGHVEDDYILFDCPGQIELYTHLPVM
+KQLVQQLEQWEFRVCGVFLVDSQFMVESFKFISGILAALSAMISLEIPQVNVMTKMDLLS
+KKAKKEIEKFLDPDMYSLLDDSTSDLRSKKFKKLTNAICGLIDDYSMVRFLPYDQSDEES
+MNIVLQHIDFAIQYGEDLEFKEPKEHEDESSSMFDEYFQEHQNE
+>sp|Q1JPJ9|EF2KT_BOVIN Protein-lysine N-methyltransferase EEF2KMT OS=Bos taurus OX=9913 GN=EEF2KMT PE=2 SV=2
+MAPEERADAARLLRGFERRFLAARALRSFPWQSLEEKLRDSSGSELLLDILQKTVKHPLC
+VKHPPSVKYSRSFLSELIRKHEAVHTEPLDELYQALAEVLTAEDPTHCHRSYLLPSGDSV
+TLCESTAIVSHGTTGLVTWNAALYLAEWAVENPAVFAHRMVLELGSGAGLTGLAICKTCR
+PRAYIFSDCHSHVLEQLRGNVLLNGFSLEPSIDTWAQHPGPHTPEAERPWVTVARLDWDT
+VTAPQLAAFQPDVVLAADVLYCPETVLSLVGVLRKLSTCRKDQRAPDAYIAFTVRNPETC
+QLFTTELGQAGIPWEEVPCHDQKLFPYEEHSEMAILKLTL
+>sp|Q0VC92|MGN2_BOVIN Protein mago nashi homolog 2 OS=Bos taurus OX=9913 GN=MAGOHB PE=2 SV=1
+MAMASDFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDVMIRKEAYVHKSVME
+ELKRIIDDSEITKEDDALWPPPDRVGRQELEIVIGDEHISFTTSKIGSLIDVNQSKDPEG
+LRVFYYLVQDLKCLVFSLIGLHFKIKPI
+>sp|Q0VCG0|LYRM4_BOVIN LYR motif-containing protein 4 OS=Bos taurus OX=9913 GN=LYRM4 PE=3 SV=1
+MAASSRAQVLDLYRAMLRESKRFGAYNYRTYAIRRIRDAFRENKNVKDPVEIQALVNKAK
+RDLGIIRRQVHIGQMYSTDKLVIENQEKPRA
+>sp|P11151|LIPL_BOVIN Lipoprotein lipase OS=Bos taurus OX=9913 GN=LPL PE=1 SV=2
+MESKALLLLALSVCLQSLTVSRGGLVAADRITGGKDFRDIESKFALRTPEDTAEDTCHLI
+PGVTESVANCHFNHSSKTFVVIHGWTVTGMYESWVPKLVAALYKREPDSNVIVVDWLSRA
+QQHYPVSAGYTKLVGQDVAKFMNWMADEFNYPLGNVHLLGYSLGAHAAGIAGSLTNKKVN
+RITGLDPAGPNFEYAEAPSRLSPDDADFVDVLHTFTRGSPGRSIGIQKPVGHVDIYPNGG
+TFQPGCNIGEALRVIAERGLGDVDQLVKCSHERSVHLFIDSLLNEENPSKAYRCNSKEAF
+EKGLCLSCRKNRCNNMGYEINKVRAKRSSKMYLKTRSQMPYKVFHYQVKIHFSGTESNTY
+TNQAFEISLYGTVAESENIPFTLPEVSTNKTYSFLLYTEVDIGELLMLKLKWISDSYFSW
+SNWWSSPGFDIGKIRVKAGETQKKVIFCSREKMSYLQKGKSPVIFVKCHDKSLNRKSG
+>sp|A7YY35|K2012_BOVIN Uncharacterized protein KIAA2012 homolog OS=Bos taurus OX=9913 GN=KIAA2012 PE=2 SV=2
+MFTLSLLSRGHGKLVQNKQKLEVYFEPEDYLNWKSPEDYILVRKPQDEGNADQHTWSLFL
+PKTFSTRKGALILYSEGLAISAWTPEERRKGPYRPKGRRKRPDLELHTLQDLKEAILAYG
+RRQREQDRAWQPYLHFRSQPESQGLRQIQPGYSAKRYLRGLLRTWPPGTINKLQCAGYIK
+DSVLLQDSQLNVPKNLRPQQDLSGVPLKYHLLPVFPPFWIQQGKSFGQGQQDLDEGEAGA
+GGQVDQGSVVKDRGIQGTCLPPLKKQPWQKDETQTEDTSKDNHHCIHTSKENHQEKAQQT
+SRRTLGHALFDHSWLLHDKSHTTFYYGGTFPNRKADLSDKQGTMKLHQGRSSHLFKEPPA
+ERCLFPPVASAAGSEKNMPGDMKKKKAPKALKLPLISEEPPRVLDPLRSQLKASEPPAEL
+FIFPVEIHYHSQHPPKGKAQRRGAPQPESAPETEEYTSSWRPPLKQVSLRGSRALRVHLP
+MDTDRDTLSPQEDVAPPQKGTSSPSLRKGKKSPESQRGPDSPRTSGRSSPTGPPCERPAG
+GALPAAGQAYESVSSNAGHGEEESSIQHLLKVNTESRTDLHMNLNETSPLTQKPENQGAQ
+QSLEAAAQKTGEPQSCINKGLICSNRKEFYTRKLHLDMTPFLKASREEMDDHEEGGELRE
+NHPDAQDPVRRNMTLDPLCASLAKHMQTPEADTVQKASKDYNVHHRHRGLSGHGPDSPEG
+LDPIDTSFLPRGKEGKTEPRLFNQKTSNNISNEMELIEKAKSRKRAKTDKSKAPKREKEG
+KLHEEAEAAVGKSKESKAEKKSQLISKGKKTGAKGKRTRKEGNLETAAELSGPDGINSKE
+TKDRSGGGFFRSGSGVEDPWVSSKVEAPESQVSIDGRSSPTQTAAVPGNMEPEEDRSHED
+PSKAFLVKREQEKASRDRLRAERAEMRRLEVERKRREQEEQRRLQQEQLERAERMKEELE
+LEQQRRVEEIRLRKQRLEEERQWQEEEERRQWLQLQMAQERARQQQEEFRRKCQELQRKK
+QQEEAERAEAEKQRLKELEMQLAEEQKHLMEMAEEERLEYQRRKQEAEEKTRWEAEDRRQ
+KEKEAARLAQEEAMKQAQDQARQKDALKKHLHFHQELHKEASGLQWTHNISRPWVYSYFH
+FLQIPRP
+>sp|E1BFR5|GWL_BOVIN Serine/threonine-protein kinase greatwall OS=Bos taurus OX=9913 GN=MASTL PE=3 SV=1
+MEPTMGGEMESGGGAATGECVNRIPVPRPPSIEEFTIVKPISRGAFGKVYLGQKGNRLYA
+VKVVKKADMINKNMTHQVQAERDALALSKSPFIVHLYYSLQSANNVYLVMEYLIGGDVKS
+LLHIYGYFDEEMAVKYISEVALALDYLHRHGIIHRDLKPDNMLISNEGHIKLTDFGLSKV
+TLNRDIDINMMDILTTPSMAKPRQDYSRTPGQVLSLISSLGFHTPVAEGNHDTANVLSTQ
+VSETSPLSQGLTCPMSVDQKDTTPYSSKLLKSCPEMVASHPRMPVKCLTSHLLQSRKRLA
+TSSTSSPSHTFISSMESECHSSPRWEKDCQESDDAAGSTMMSWNTVEKPLCTKSVDAMET
+KSFNERDLELALSPIHNSSVVPATGNSYVNLAKKCSSGEVSWEARELDVNNINMTADTSQ
+YCFHESNQRAVDSGGMTEEHLGKRSCKRIFELVDSSPRQGIIPNKKSCFEYECSNEMRDC
+YATQRTGFAFEVQDLKLLVYRDQQNDCVNKENVGSSFTDKHQTPEKSPVPMIEKNLMCEL
+DDDCDKNSKKDYLSSSFLCSDGDRTPKSIHMDSDSSFPGISIMESPLGGQSLDPDKNIKE
+SSLEESNIEDLLPVSPSCQESTLPKGVECPTIQDSNQKMLAPSSEVLKPLTSKRNAVAFR
+SFNSHINASNSSEPSKMSITSLDMMDVSCAYSGSYPTAITPTQRERSDMPYQQTPNQVKS
+ETPYRTPKSVRRGAAPVDDGRILGTPDYLAPELLLARAHGPAVDWWALGVCLFEFLTGIP
+PFNDETPQQVFQNILKRDIPWPEGEEKLSDNAQSAVDILLTIDDTKRAGMKELKHHPLFS
+GVDWENLQHQKMPFIPQPDDETDTSYFEARNNAQHLTVSGFSL
+>sp|A2VDW9|IKZF3_BOVIN Zinc finger protein Aiolos OS=Bos taurus OX=9913 GN=IKZF3 PE=2 SV=1
+MEDIKPNVELKSTQEQSVPTEGSELLNDYDLTKAHETENVDGTEGPANEDEDIGDDSMKV
+KDEYSERDENVLKPEPMGNAEEPEIPYSYSREYNEYENIKLERHVVSYDSSRPTSGKMNC
+DVCGLSCISFNVLMVHKRSHTGERPFQCNQCGASFTQKGNLLRHIKLHTGEKPFKCHLCN
+YACQRRDALTGHLRTHSVEKPYKCEFCGRSYKQRSSLEEHKERCRTFLQSTDLGETASVE
+ARHIKAEMGSERALVLDRLASNVAKRKSSMPQKFIGEKRHCFDVSYNPSYMYEKESEMIQ
+TRMMDQAINNAISYLGAEALRPLVQTPPAPTSEMVPVISSMYPIALTRAEMPNGAPQELE
+KKNIHLPEKSLPSERGLSPTNSGHDSTDTDSNHEERQNHIYQQNPMVPPRARNGMPLLKE
+GPRSYDLLKPPPICPRDSIKVINKEGEVTDVYRCDHCRVLFLDYVMFTIHMGCHGFRDPF
+ECNMCGYRSHDRYEFSSHIARGEHRAMLK
+>sp|Q8MII8|LRC25_BOVIN Leucine-rich repeat-containing protein 25 OS=Bos taurus OX=9913 GN=LRRC25 PE=2 SV=1
+MGGPLMWALLLPLLLHQAGSQTSSCSVLSGYMDWTKEYFDTCLNFSGKILTQLPQNQSLR
+ARSVQLLDLSANGLQRLPWSFFRDLEQLQLLIVTNNSLDFVDRALXXXGCGLELLADCSC
+ALLDWHTDRQDNCSGPELPRCLDVPTGAWHNLSVFLDVSCPSGLTKIAIGALAASGSLLL
+VLAIAGPVLAWRFCRHRMDQNLSKTWASQDGSRSGSGRQPRYSSQGRRPKSPANTPPRSS
+TPDYENVFVGPPAARHQWDELRSPPSEGGDFYMTYDSLQHESQPVYCNLQSLSQVPLDDE
+EYVVPGR
+>sp|Q4ZHA6|KCNB2_BOVIN Potassium voltage-gated channel subfamily B member 2 OS=Bos taurus OX=9913 GN=KCNB2 PE=2 SV=1
+MAEKVPPGLNRKTSRSTLSLPPEPVDIIRSKTCSRRVKINVGGLNHEVLWRTLDRLPRTR
+LGKLRDCNTHESLLEVCDDYNLNENEYFFDRHPGAFTSILNFYRTGKLHMMEEMCALSFG
+QELDYWGIDEIYLESCCQARYHQKKEQMNEELRREAETMREREGEEFDNTCCPEKRKKLW
+DLLEKPNSSVAAKILAIVSILFIVLSTIALSLNTLPELQEMDEFGQPNDNPQLAHVEAVC
+IAWFTMEYLLRFLSSPNKWKFFKGPLNVIDLLAILPYYVTIFLTESNKSVLQFQNVRRVV
+QIFRIMRILRILKLARHSTGLQSLGFTLRRSYNELGLLILFLAMGIMIFSSLVFFAEKDE
+DATKFTSIPASFWWATITMTTVGYGDIYPKTLLGKIVGGLCCIAGVLVIALPIPIIVNNF
+SEFYKEQKRQEKAIKRREALERAKRNGSIVSMNLKDAFARSMELIDVAVEKTGESANTKG
+STDDNHLSPSRWKWARKALSETSSNKSYENKYQEVSQKDSHEQLNNTSSSSPQHLSAQKL
+EMLYNEITKTQPHSHPNPDGQEQAERPSTYEEEIEMEEVVCPQEQLAVAQTEGVVDMKST
+SSIDSFTSCATDFTETERSPLPPPSASHLQMKFPPDLAGLEEHQRARAPPFLALIRQKGP
+TVREATPEYAPIDITVNLDAAGGPQGGPHGPLPTDCASESPKSSLKGSNPLKSRSLKVNF
+KDSRGGAPPTPPSTARPLPVTAADFPLTAPQLISTILLEETPSQGDRPLLGAEVHCQGPS
+KGLTPRFPKQKLFTFSARERRSFTEIDTGEDDDFLELQGTRRPDKQADSSPNCLTDKPSD
+GRDPLREEACVGSSSAQDTSHNCRQGIYHGVAEVKKDNSQEGCKMENHLFAPEIHSNPGD
+TGYCPTRETSM
+>sp|Q5E9F8|H33_BOVIN Histone H3.3 OS=Bos taurus OX=9913 GN=H3F3A PE=1 SV=3
+MARTKQTARKSTGGKAPRKQLATKAARKSAPSTGGVKKPHRYRPGTVALREIRRYQKSTE
+LLIRKLPFQRLVREIAQDFKTDLRFQSAAIGALQEASEAYLVGLFEDTNLCAIHAKRVTI
+MPKDIQLARRIRGERA
+>sp|Q8SQ21|HINT2_BOVIN Histidine triad nucleotide-binding protein 2, mitochondrial OS=Bos taurus OX=9913 GN=HINT2 PE=2 SV=1
+MAAAVVLAAGLCVARRAVAVAGPRGVQVRGAAGVTDGDEVAKAQQAAPGGAAPTIFSRIL
+DRSLPADILYEDQQCLAFRDVAPQAPVHFLVIPKKPIPRISQAEEEDQQLLGHLLLVAKE
+TAKAEGLGDGYRLVINDGKLGAQSVYHLHIHVLGGRQLQWPPG
+>sp|P04421|LYSC_BOVIN Lysozyme C OS=Bos taurus OX=9913 GN=LYZ1 PE=1 SV=2
+MKALVILGFLFLSVAVQGKVFERCELARTLKKLGLDGYKGVSLANWLCLTKWESSYNTKA
+TNYNPSSESTDYGIFQINSKWWCNDGKTPNAVDGCHVSCRELMENDIAKAVACAKHIVSE
+QGITAWVAWKSHCRDHDVSSYVEGCTL
+>sp|Q0V7M0|IMA7_BOVIN Importin subunit alpha-7 OS=Bos taurus OX=9913 GN=KPNA6 PE=2 SV=1
+METMASPGKDNYRMKSYKNNALNPEEMRRRREEEGIQLRKQKREQQLFKRRNVELINEEA
+AMFDSLLMDSYVSSTTGESVITREMVEMLFSDDPDLQLATTQKFRKLLSKEPSPPIDEVI
+NTPGVVDRFVEFLKRNENCTLQFEAAWALTNIASGTSQQTKIVIEAGAVPIFIELLNSDF
+EDVQEQAVWALGNIAGDSSVCRDYVLNCSILNPLLTLLTKSTRLTMTRNAVWALSNLCRG
+KNPPPEFAKVSPCLPVLSRLLFSSDSDLLADACWALSYLSDGPNEKIQAVIDSGVCRRLV
+ELLMHNDNKVASPALRAVGNIVTGDDIQTQVILNCSALPCLLHLLSSSKESIRKEACWTI
+SNITAGNRAQIQAVIDANIFPVLIEILQKAEFRTRKEAAWAITNATSGGTPEQIRYLVSL
+GCIKPLCDLLTVMDSKIVQVALNGLENILRLGEQEGKRSGSGVNPYCGLIEEAYGLDKIE
+FLQSHENQEIYQKAFDLIEHYFGVEDDDSSLAPQVDETQQQFIFQQPEAPMEGFQL
+>sp|Q5E9B1|LDHB_BOVIN L-lactate dehydrogenase B chain OS=Bos taurus OX=9913 GN=LDHB PE=2 SV=4
+MATLKEKLIAPVAEEETRIPNNKITVVGVGQVGMACAISILGKSLTDELALVDVLEDKLK
+GEMMDLQHGSLFLQTPKIVADKDYSVTANSKIVVVTAGVRQQEGESRLNLVQRNVNVFKF
+IIPQIVKYSPDCIIIVVSNPVDILTYVTWKLSGLPKHRVIGSGCNLDSARFRYLMAEKLG
+IHPSSCHGWILGEHGDSSVAVWSGVNVAGVSLQELNPEMGTDNDSENWKEVHKMVVESAY
+EVIKLKGYTNWAIGLSVADLIESMLKNLSRIHPVSTMVKGMYGIENEVFLSLPCILNARG
+LTSVINQKLKDEEVAQLKKSADTLWGIQKDLKDL
+>sp|Q2KI69|KCIP4_BOVIN Kv channel-interacting protein 4 OS=Bos taurus OX=9913 GN=KCNIP4 PE=2 SV=1
+MNVRRVESISAQLEEASSTGGFLYTQNSTKRSIKERLMKLLPCSAAKTSSPAVQNSVEDE
+LEMATVRHRPEALELLEAQSKFTKKELQILYRGFKNECPSGVVNEDTFKEIYSQFFPQGD
+STTYAHFLFNAFDTDHNGAVSFEDFIKGLSILLRGTVQEKLNWAFNLYDINKDGYITKEE
+MLDIMKAIYDMMGKCTYPVLKEDAPRQHVETFFQKMDKNKDGVVTIDEFIESCQKDENIM
+RSMQLFENVI
+>sp|P00514|KAP0_BOVIN cAMP-dependent protein kinase type I-alpha regulatory subunit OS=Bos taurus OX=9913 GN=PRKAR1A PE=1 SV=2
+MASGTTASEEERSLRECELYVQKHNIQALLKDSIVQLCTARPERPMAFLREYFEKLEKEE
+AKQIQNLQKAGSRADSREDEISPPPPNPVVKGRRRRGAISAEVYTEEDAASYVRKVIPKD
+YKTMAALAKAIEKNVLFSHLDDNERSDIFDAMFPVSFIAGETVIQQGDEGDNFYVIDQGE
+MDVYVNNEWATSVGEGGSFGELALIYGTPRAATVKAKTNVKLWGIDRDSYRRILMGSTLR
+KRKMYEEFLSKVSILESLDKWERLTVADALEPVQFEDGQKIVVQGEPGDEFFIILEGSAA
+VLQRRSENEEFVEVGRLGPSDYFGEIALLMNRPRAATVVARGPLKCVKLDRPRFERVLGP
+CSDILKRNIQQYNSFVSLSV
+>sp|Q2NL29|INO1_BOVIN Inositol-3-phosphate synthase 1 OS=Bos taurus OX=9913 GN=ISYNA1 PE=2 SV=1
+MEAATEFVVESPDVVYSPETIEAQYEYRTTSVSREGGVLKVHPTSTRFTFRTARQVPRLG
+VMLVGWGGNNGSTLTAAVLANRLRLSWPTRTGRKEANYYGSLTQAGTVSLGLDAEGKEVF
+VPFSSLLPMVAPDDLVFDGWDISSLNLAEAMRRAQVLDWGLQEQLWPHMEAMRPRPSVYI
+PEFIAANQSARADNVIPGTRAQQLEQIRRDIRDFRFSAGLDKVIVLWTANTERFCEVIPG
+LNDTAENLLRTIQLGLEVSPSTLFAVASILEGCAFLNGSPQNTLVPGALELAWQRRVFVG
+GDDFKSGQTKVKSVLVDFLIGSGLKTMSIVSYNHLGNNDGQNLSAPPQFRSKEVSKSSVV
+DDMVHSNPVLYSPGEQPDHCVVIKYVPYVGDSKRALDEYTSELMLGGTNTLVLHNTCEDS
+LLAAPIMLDLALLTELCQRVSFCTDVDPDPQSFHPVLSLLGFLFKAPLAPPGSPVVNALF
+RQRSCIENILRACVGLPPQNHMLLEHKMERPGLKRVGPLATTSPVLCKKGSAPTAPNGCT
+GDANGHSQAEAPQMPTT
+>sp|Q3ZBJ6|BEX3_BOVIN Protein BEX3 OS=Bos taurus OX=9913 GN=BEX3 PE=3 SV=1
+MANIHQENEEMEQPVQNGEEDRPLGGGEGHQPERNHRRGQARRLAPNFRWVIPNRQVNDG
+MGGEGDDMEIFMEEMREIRRKLRELQLRNCLRILMGELSNHHDHHDEFCLMP
+>sp|Q5E9H5|BCS1_BOVIN Mitochondrial chaperone BCS1 OS=Bos taurus OX=9913 GN=BCS1L PE=2 SV=1
+MPLSDFVLALKDNPYFGAGFGLVGVGTALALARKGAQLGLVAFRRHYMITLEVPARDRSY
+AWLLSWLTRHSTRTQHLSVETTYLQHESGRISTKFEFVPSPGNHFIWYQGKWIRVERSRE
+MQMIDLQTGTPWESVTFTALGTDRKVFFNILEEARELALQQEEGKTVMYTAVGSEWRPFG
+YPRRRRPLNSVVLEQGVTERIVRDIREFIDNPKWYIDRGIPYRRGYLLYGPPGCGKSSFI
+TALAGELQHSICLLSLTDSSLSDDRLNHLLSVAPQQSLVLLEDVDAAFLSRDLAAENPIK
+YQGLGRLTFSGLLNALDGVASTEARIVFMTTNHIDRLDPALIRPGRVDMKEYVGHCSRWQ
+LTQMFQRFYPGQATSLAENFADRVLQATTQISPAQVQGYFMLYKNDPAGAIQNAESLRR
+>sp|A5D7H5|CASC3_BOVIN Protein CASC3 OS=Bos taurus OX=9913 GN=CASC3 PE=2 SV=1
+MADRRRQRASQDTEDEESGASGSDSGGSPARGGGSCSGSVGGGGSGSLPSQRGGRAGALH
+LRRVESGGAKSAEESECESEDGIEGDAVLSDYESAEDSEGDDGEYSEEENSKVELKSEAN
+DAANSSAKDEKGEEKPDTKGTVTGERQSGDGQESTEPVENKVGKKGPKHLDDDEDRKNPA
+YIPRKGLFFEHDLRGQTQEEEVRPKGRQRKLWKDEGRWEHDKFREDEQAPKSRQELIALY
+GYDIRSAHNPDDIKPRRIRKPRFGSPPQRDPSWIGERPNKSHRHQGPGGTLPPRTFINRN
+AAGTGRMSAPRNYSRSGGFKEGRTGFRPAEAGGQHAGRSGETVKHETSYRSRHLEQTPVR
+DPSPEADAQVLGSPEKEEVAPEIPNPAPDTAPPVPDRPVEKKSYSRARRTRIKAGDAGKV
+AEEVPPPPEGLTPAPPVPEATPPTPAKTGNWEAPVDSTTGGLEQDVAQLNITEQNWSPGQ
+PAFLQSRELRGMPNHIHMGAGPPPQFNRMEEMGVQGGRAKRYSSQRQRPVPEPPAPPVHI
+SIMEGHYYDPLQFQGPIYTHGDSPAPLPPQGMIVQPEMHLPHPGLHPHQTPAPLPNPGLY
+PPPVSMSPGQPPPQQLLAPTYFSAPGVMNFGNPSYPYAPGALPPPPPPHLYPNTQAPSQV
+YGGVTYYNPAQQQVQPKPSPPRRTPQPVTIKPPPPEVVSRGSS
+>sp|Q58DB6|EYA2_BOVIN Eyes absent homolog 2 OS=Bos taurus OX=9913 GN=EYA2 PE=2 SV=1
+MLELLVSASLTVNSDRPGKLKPSRADADVWTLSDREGITTSARSVSQLFARPCPRVPPGQ
+PPSAMAAYSQTQYSAGIQQATPYTAYPPPAQAYGIPSYSIKTEDSLNHSPGQSGFLSYGS
+SFSTPASGQSPYTYQMHGTAGIYQGANGLTNAAGFGTVHQDYPSYPGFPQSQYSQYYSSS
+YNPPYVPASSICPSPLSTSTYVLQEASHNIPSQSSESLGGEYNTHNGPSTPAKEGDTDRP
+PRASDGKLRGRSKRSSDPSPAGDNEIERVFVWDLDETIIIFHSLLTGTFASRYGKDTTAS
+VRIGLMMEEMIFNLADTHLFFNDLEDCDQIHVDDVSSDDNGQDLSTYNFSADGFHSSAPG
+ANLCLGSGVHGGVDWMRKLAFRYRRVKEMYNTYKNNVGGLIGAPKRETWLQLRAELEALT
+DLWLTHSLKALNLINSRPNCVNVLVTTTQLIPALAKVLLYGLGSVFPIENIYSATKTGKE
+SCFERIMQRFGRKAVYIVIGDGVEEEQGAKKHNMPFWRISCHADLEALRHALELEYL
+>sp|P35376|FSHR_BOVIN Follicle-stimulating hormone receptor OS=Bos taurus OX=9913 GN=FSHR PE=2 SV=1
+MALLLVALLAFLSLGSGCHHRLCHCSNGVFLCQESKVTEIPSDLPRDAVELRFVLTKLRV
+IPKGAFSGFGDLEKIEISQNDVLEVIEANVFSNLPKLHEIRIEKANNLLYIDPDAFQNLP
+NLRYLLISNTGIKHLPAVHKIQSLQKVLLDIQDNINIHTVERNSFMGLSFESMTVWLSKN
+GIQEIHNCAFNGTQLDELNLSDNSNLEELPNDVFQGASGPVILDISRTRIRSLPSYGLEN
+LKKLRAKSTYRLKKLPSLEKFVTLVEASLTYPSHCCAFANWRRQTSDLHPICNKSILRQE
+VDDMTQARGQRVSLAEDDEPSYAKGFDVMYSEFDYDLCNEVVDVTCSPEPDAFNPCEDIM
+GDDILRVLIWFISILAITGNILVLVILITSQYKLTVPRFLMCNLAFADLCIGIYLLLIAS
+VDVHTKTEYHNYAIDWQTGAGCDAAGFFTVFASELSVYTLTAITLERWHTITHAMQLECK
+VQLRHAASIMLVGWIFAFAVALFPIFGISSYMKVSICLPMDIDSPLSQLYVMSLLVLNVL
+AFVVICGCYTHIYLTVRNPNITSSSSDTKIAKRMAMLIFTDFLCMAPISFFAISASLKVP
+LITVSKSKILLVLFYPINSCANPFLYAIFTKNFRRDFFILLSKFGCYEVQAQTYRSETSS
+TAHNFHPRNGHCPPAPRVTNGSNYTLIPLRHLAKN
+>sp|Q0VCU8|EIF3J_BOVIN Eukaryotic translation initiation factor 3 subunit J OS=Bos taurus OX=9913 GN=EIF3J PE=2 SV=1
+MAAAAAGDSDSWDADTFSVEDPVRKVGGGGTAGGDRWEGEDEDEDVKDNWDDDDDEKKEE
+AEVKPEVKISEKKKIAEKIKEKERQQKKRQEEIKKRLEEPEEPKVLTPEEQLADKLRLKK
+LQEESDLELAKETFGVNNTVYGIDAMNPSSRDDFTEFGKLLKDKITQYEKSLYYANFLEA
+LVRDVCISLEIDDLKKITNSLTVLCSEKQKQEKQSKAKKKKKGVVPGGGLKATMKDDLAD
+YGGYDGGYAQDYEDFM
+>sp|A5PKD8|IBPL1_BOVIN Insulin-like growth factor-binding protein-like 1 OS=Bos taurus OX=9913 GN=IGFBPL1 PE=2 SV=1
+MPRSPGLFLLLLVLQPLPALGLGLRSAGGRNPECGPCRPERCPEPVRCPVPGIVARDECG
+CCALCLGAEGASCGGRAGARCGPGLVCASRAAGAAPEGTGLCVCAQRGSVCGSDGRSYPS
+VCALRLRARQAPRALPGHLHKARDGPCEFAPVVITPPQSVHNVTGAQVYLSCEVRAVPTP
+VVTWRKVTRSPEGTQVMEELPGDHTNIAVQVQGGPSDHEATAWVLINPLRKEDEGVYQCH
+SANAVGEAQSHGTVTVVDRSQYRAPRFPAPDDRL
+>sp|P27718|DDC_BOVIN Aromatic-L-amino-acid decarboxylase OS=Bos taurus OX=9913 GN=DDC PE=2 SV=2
+MNASEFRRRGKEMVDYVADYLEGIEGRQVFPDVDPGYLRPLIPTTAPQEPETFEAIIEDI
+EKIIMPGVTHWHSPYFFAYFPTASSYPAMLADMLCGAIGCIGFSWAASPACTELETVMMD
+WLGKMLQLPEAFLAGEAGEGGGVIQGTASEATLVALLAARTKVTRHLQAASPELMQAAIM
+EKLVAYASDQAHSSVEKAGLIGGVRLKAIPSDGKFAMRASALQEALERDKAAGLIPFFVV
+ATLGTTSCCSFDNLLEVGPICHEEGLWLHVDAAYAGSAFICPEFRHLLNGVEFADSFNFN
+PHKWLLVNFDCSAMWVKKRTDLTGAFRLDPVYLRHSHQDSGLITDYRHWQLPLGRRFRSL
+KMWFVFRMYGVKGLQAYIRKHVQLSHAFEALVRQDTRFEICAEVILGLVCFRLKGSNKLN
+EALLESINSAKKIHLVPCSLRDRFVLRFAICSRTVELAHVQLAWEHIQEMAATVLRAQGE
+EKAEIKN
+>sp|Q3SYS4|DAAF1_BOVIN Dynein assembly factor 1, axonemal OS=Bos taurus OX=9913 GN=DNAAF1 PE=2 SV=2
+MHPEASEPVVDGVAEQECAQEPGVEESAGDHGNAGEGGRKEEMNDPKETCVGPLNTSCLS
+EQKQSGDSWSDGRLAHQRADKEDRGARMTKKFLQKLCKQHKLYITPALNDTLYLHYKGFD
+RIENLEEYTGLRCLWLECNGIQKIENLEAQTELRCLFLQVNLLHKIENLEPLQKLDALNI
+SNNYIKTIENLSCLPVLNTLQMAHNHLETVEDIQHLRECARLCVLDLSHNKLSDPEILRV
+LESMPDLRVLNLMGNPVIKNIPNYRRILTVRLKHLTYLDDRPVFPKDRACAEAWARGGYA
+AEKEERQQREMRERKKITDSIEALAALRRQAEERKWQRASQEQGEEPTPAGAGDVDAEVE
+GEEEPQEKDTRQKIEQFVKESFEAKDELFPEKPEEPSLLEELPLDVGEPKGVLPSEVLPA
+SPRAAWAELAPQTVATESASGTEPDGAENLEATRLETKEQLFIDDLPNLEDVNAGESLGD
+RDREQCFPKITAISSLSDASEPDMDDISLLALENTSSDTLSSIFAVPKDTSWRVETETPF
+TDILKVAPERDPETQRKASEVPRPLIQELGDDEEPSGPPPLPPVCEGEAVPAPCTEDSDG
+DLLPAPAPGNGPEKDEVQSEVEPEERLGSEAGENREDIEFGLD
+>sp|Q2HJM9|MEST_BOVIN Mesoderm-specific transcript homolog protein OS=Bos taurus OX=9913 GN=MEST PE=2 SV=2
+MVRRDRLRRMREWWVQVGLLAVPLLAAYLHIPPPQLSPALHSWKSSGKFFTYKGLRIFYQ
+DSVGVVGSPEIVVLLHGFPTSSYDWYKIWEGLTLSFHRVIALDFLGFGFSDKPRPHHYSI
+FEQASIVEALLRHLGLQSRRINLLSHDYGDTVAQELLYRFKQNRSGRLTIKSLCLSNGGI
+FPETHRPLLLQKLLKDGGMLSPILTRLMNFFVFSRGLTPVFGPYTRPSESELWDMWAGIR
+NNDGNLVIDSLLQYINQRKKFRRRWVGALASVSIPIHFIYGPLDPVNPYPEFLELYRKTL
+PRSTVSILDDHISHYPQLEDPMGFLNAYMGFINSF
+>sp|Q5E9F0|GBG11_BOVIN Guanine nucleotide-binding protein G(I)/G(S)/G(O) subunit gamma-11 OS=Bos taurus OX=9913 GN=GNG11 PE=3 SV=1
+MPALHIEDLPEKEKLKMEVEQLRKEVKLQRQQVSKCSEEIKNYIEERSREDPLVKGIPED
+KNPFKEKGSCIIS
+>sp|Q3SZF3|HNRH2_BOVIN Heterogeneous nuclear ribonucleoprotein H2 OS=Bos taurus OX=9913 GN=HNRNPH2 PE=2 SV=1
+MMLSTEGREGFVVKVRGLPWSCSADEVMRFFSDCKIQNGTSGIRFIYTREGRPSGEAFVE
+LESEDEVKLALKKDRETMGHRYVEVFKSNSVEMDWVLKHTGPNSPDTANDGFVRLRGLPF
+GCSKEEIVQFFSGLEIVPNGMTLPVDFQGRSTGEAFVQFASQEIAEKALKKHKERIGHRY
+IEIFKSSRAEVRTHYDPPRKLMAMQRPGPYDRPGAGRGYNSIGRGAGFERMRRGAYGGGY
+GGYDDYGGYNDGYGFGSDRFGRDQNYCFSGMSDHRYGDGGSSFQSTTGHCVHMRGLPYRA
+TENDIYNFFSPLNPMRVHIEIGPDGRVTGEADVEFATHEDAVAAMAKDKANMQHRYVELF
+LNSTAGTSGGAYDHSYVELFLNSTAGASGGAYGSQMMGGMGISNQSSYGGPASQQLSGGY
+GGGYGGQSSMSGYDQVLQENSSDYQSNLA
+>sp|Q27996|LYSCT_BOVIN Lysozyme C, tracheal isozyme OS=Bos taurus OX=9913 PE=2 SV=1
+MKALLILGLLLLSVAVQGKTFKRCELAKTLKNLGLAGYKGVSLANWMCLAKGESNYNTQA
+KNYNPGSKSTDYGIFQINSKWWCNDGKTPKAVNGCGVSCSALLKDDITQAVACAKKIVSQ
+QGITAWVAWKNKCRNRDLTSYVKGCGV
+>sp|P00515|KAP2_BOVIN cAMP-dependent protein kinase type II-alpha regulatory subunit OS=Bos taurus OX=9913 GN=PRKAR2A PE=1 SV=2
+MSHIQIPPGLTELLQGYTVEVLRQRPPDLVDFAVDYFTRLREARSRASTPPAAPPSGSQD
+FDPGAGLVADAVADSESEDEEDLDVPIPGRFDRRVSVCAETYNPDEEEEDTDPRVIHPKT
+DQQRCRLQEACKDILLFKNLDPEQLSQVLDAMFERTVKVDEHVIDQGDDGDNFYVIERGT
+YDILVTKDNQTRSVGQYDNHGSFGELALMYNTPRAATIVATSEGSLWGLDRVTFRRIIVK
+NNAKKRKMFESFIESVPLLKSLEVSERMKIVDVIGEKVYKDGERIITQGEKADSFYIIES
+GEVSILIKSKTKVNKDGENQEVEIARCHKGQYFGELALVTNKPRAASAYAVGDVKCLVMD
+VQAFERLLGPCMDIMKRNISHYEEQLVKMFGSSMDLIDPGQ
+>sp|A6QLZ1|HUMMR_BOVIN Protein MGARP OS=Bos taurus OX=9913 GN=MGARP PE=2 SV=2
+MYLRRAVSKTLALPLRAPPGPAPLRKDASLRWISSNKFPGSSGSNMIYYLVVGVTVSAGG
+YYTYKRVTSGKAKRSDHVTDLKEKTKAELQPPQGEKENLVGAEEASLEAPEVSSTEASPV
+VTEDIPDAPAVVGKEAPPCPDDAEAAPSETVVVGAEPKPEMTDAATVETTEVSTETTSEV
+TSTGPEEAAAVDSAEGTTENESPGECAELEENSPVESESSAGEDLQEEACAGSEAASAQG
+>sp|Q0VCQ7|ICE2_BOVIN Little elongation complex subunit 2 OS=Bos taurus OX=9913 GN=ICE2 PE=2 SV=1
+MTSMMAMGEPRLNWDVSPKNGLKTFFSRENYKDQSMAPSLKELCILSSRRIGENLNASAG
+SVENEPTVNSAAQAKEKVKTTVGMVLLPKPRVPYPRFSRFSQREQRNYVDLLVKYAKVPP
+NSKTVGINKNDYLQYLEMKKHVNEEVTEFLKFLQNSAKKCAQDYNMLSDDACLVTEQILK
+ACIEQVKKYPEFYTLHEVTSLMGFFPFRIEMGFKLEKTLLALGSVKYVKTVFPSMPAKLQ
+LSKDTIPAIETPEQIAAAMHYDISEDPNAEKLVARYHPQIALTSQSLFTLLNNHGPSYKE
+QWEIPVCIQVIPVAGSKPIKVIYINSPLPQKKMTMRERNQIFHEVPLKFMMSKNTSVPVS
+AVFMDKPEEYISEMDISYEVNECRKIETLENLDLEFDDDVTELETFGATTTKPSKSPSPA
+STSTVAPMTDTLTAPSIADTSEAPTSPDISAHSRSLSQILMEQLQKEKQLVTGMIDSGPE
+ESKNKDDQRFIPCGEKVSNSDKPLVQDSDLKTSDPLQLESSMEIETSSKNDMATEMESVD
+ERVNVLENTDTNSKEKTVTSEAANTEDVVLDSSDTDEDCLIIDMECQSNSHGKTAEVGSN
+LSSKPASLNSSSGQTSTGNQTNSTCPEESCVLKKPIKRVYKKFDPVGEILKMQDELLKPI
+SRKIPELPLMNSENSKQPPISEQPSAPSDACSWPKSIWPSAFQKPKGRLPYELQDYVEDT
+SEYVAPQEGNFVYKLFSLQDLLLLVRCSVQRIETRPRSKKRKKIRRQFPVYVLPKVEYQA
+CYGVEALTESELCRLWTESLLHSNSSFYVGHIDAFTSKLFLLEEITSEELKEKLSALKIS
+SLFNILQHILRKLSSLQEGSYLLSHAAEDSSLLIYKTSDGKVTRTAYNLHKTHCGLPGVP
+SSLSVPWVPLDPSLLLPNHIHHGRIPCTFPPKSLGPTAQQKIGGTRMPTRSHRNSVSVET
+KSLPAQQVENEGVASSKRKIT
+>sp|Q0VBY3|HAGHL_BOVIN Hydroxyacylglutathione hydrolase-like protein OS=Bos taurus OX=9913 GN=HAGHL PE=2 SV=1
+MKVKVIPVLEDNYMYLVIEERTREAVAVDVAVPKRLLEIVGRERVSLTTVLTTHHHWDHA
+RGNAELARLLPGLVVLGADERICALTRRLAHGEELRFGAIHVRCLLTPGHTLGHMSYFLW
+EEECPDPPAVFSGTGCPVPTCPTSPCPIPLSLTHPTPQGMHCPWPAAAHAWRAQFSRCTR
+AWWRPWAPCPLRQ
+>sp|Q2KI52|HYLS1_BOVIN Hydrolethalus syndrome protein 1 homolog OS=Bos taurus OX=9913 GN=HYLS1 PE=2 SV=1
+MAQRRRSFSIGEAVEELVGPDGQKWADMDPEERMLVAAKAFPHICAGHGEGDVRREAQST
+LYDPYSKASVTSGKQPAFPAQLHHPHTESNGASEAVSETSQRLRKPVMKRKVLRRKPDGE
+VLVTDESMISESESGTENDMDVWDLRQRLMNLHFQEDRESPVDVSQKFSLPREYQGISQD
+QLICYLRREEMGPPAYEQDLIVASRPKSFILPRLDQLSRNRGKVDRVARYFEYKRDWDSM
+RLPGEDHRKELRWGIREQMLCRAEAQSKPQHIYVPNNYLVPTEKKRSALRWGVRCDLANG
+VMPKKLTSFPLFPS
+>sp|P56652|ITIH3_BOVIN Inter-alpha-trypsin inhibitor heavy chain H3 OS=Bos taurus OX=9913 GN=ITIH3 PE=1 SV=2
+MALAQWPYLILALLSGLAVSGFPRNPSLLLGKRSLPGRAVDGIEVYSTKVNCKVTSRFAH
+NVVTTRAVNHANTAKEVSFDVELPKTAFITNFTLTIDGVTYPGKVKEKEVAKKQYEKAVS
+QGKTAGLVKASGRKLEKFTVSVNVAAGSKVTFELTYEELLKRHKGKYEMYLKVQPKQLVK
+HFEITVDIFEPQGISTLDAEASFITNDLLGSALTKSFSGKKGHVSFKPSLDQQRSCPTCT
+DSLLKGDFIITYDVNRESPANVQIVNGYFVHFFAPQGLPVVPKSVVFVIDVSGSMHGRKM
+EQTKDALLKILEDVKQDDYLNFILFSGDVTTWKDSLVPATPENIQEASKFVMDIQDRGMT
+NINDALLRGISMLNKAREEHTVPERSTSIIIMLTDGDANVGESRPEKIQENVRNAIGGKF
+PLYNLGFGNNLNYNFLENMALENHGLARRIYEDSDANLQLQGFYEEVANPLLTGVEVEYP
+QNAILDLTQNSYQHFYDGSEIVVAGRLADEDMNSFKAAVKGHGAINDLTFTEEVDMKEME
+KALQERDYIFGDYIERLWAYLTIEQLLDKRKNAQGEEKEILTAQALELSLKYHFVTPLTS
+MVVTKPEDNENQTAIANKPGEGPLDAEEVPSMAYLTSYQAPQTPYYYVDGDPHFIIQIPE
+KDDAICFNIDEDPGTVLRLIQDPVTGLTVNGQIIGEKTGRSDSQTRRTYFGKLGIASAQM
+DFRIEVTRENITLWNGDSLSTFSWLDTVMVTQDGLSVMINRKKNMVVSFGDGVTFVVVLH
+QVWKKEPAHHDFLGFYVVNSRGMSAQTHGLLGQFFHPFDFQVSDVHPGSDPTKPDATMVV
+KNHQLTVTRGSQKDYRKDISVGRNVACWFVHNNGQGLIDGIHRDYIVPNLF
+>sp|Q2KIE6|HMCS2_BOVIN Hydroxymethylglutaryl-CoA synthase, mitochondrial OS=Bos taurus OX=9913 GN=HMGCS2 PE=1 SV=1
+MQRLLTPVRQVLQVKRVMQEASLLPARLLPAAHPSFSTVPAVPLAKTDTWPKDVGILAME
+VYFPAQYVDQTELEKFNKVEAGRYTVGLGQTQMGFCSVQEDVNSLCLTVVQQLMERTQLP
+WDSVGRLEVGTETIIDKSKAVKTVLMELFQDSGNTDIEGIDTTNACYGGTASLFNAANWM
+ESSSWDGRYALVVCGDIAVYPSGNARPTGGAGAVAMLVGPEAPLVLERGLRGTHMENVYD
+FYKPDVTSEYPLVDGKLSIQCYLRALDKCYAFYRQKIEKQWKQAGIDRPFTLDDVQYMIF
+HTPFCKLVQKSLARLMFNDFLLASGDTQTGIYKGLEAFRGLKLEDTYTNKDVDKAFLKAS
+LNMFNKKTKNSLYLSTYNGNMYTSSLYGCLASLLAHHSAQDLAGSRIGAFSYGSGLAASF
+FSFRVSQDASPGSPLEKLVSSTSDLQKRLASRKRVSPEEFTEIMNQREQYYHKMNFSPPG
+DKNSLFPGTWYLERVDELYRRKYARRPV
+>sp|Q8WN96|ITPR2_BOVIN Inositol 1,4,5-trisphosphate receptor type 2 OS=Bos taurus OX=9913 GN=ITPR2 PE=2 SV=1
+MSDKMSSFLYIGDIVSLYAEGSVNGFINTLGLVDDRCVVHPEAGDLANPPKKFRDCLFKV
+CPMNRYSAQKQYWKAKQAKQGNHTEAALLKKLQHAAELEQKQNESENKKLLGEIVKYSNV
+IQLLHIKSNKYLTVNKRLPALLEKNAMRVSLDAAGNEGSWFYIHPFWKLRSEGDNIVVGG
+KVVLMPVNAGQPLHASNIELLDNPGCKEVNAVNCNTSWKITLFMKYSSYREDVLKGGDVV
+RLFHAEQEKFLTCDEYEKKQHIFLRTTLRQSATSATSSKALWEIEVVHHDPCRGGAGQWN
+SLFRFKHLATGNYLAAELNPDYRDAQNEGKNVRDGDLPASKKKRQAGEKIMYTLVSVPHG
+NDIASLFELDATTLQRADCLVPRNSYVRLRHLCTNTWVTSTSIPIDTDEERPVMLKIGTC
+QTKEDKEAFAIVSVPPSEVRDLDFANDANKVLATTVKKLENGTITQNERRFVTKLLEDLI
+FFVADVPNNGQDVLDVLITKPNRERQKLMREQNILAQVFGILKAPFKEKAGEGSMLRLED
+LGDQRYAPYKYMLRLCYRVLGHSQQDYRKNQEYIAKNFCVMQSQIGYDILAEDTITALLH
+NNRKLLEKHITAKEIETFVSLLRRNREPRFLDYLSDLCVSNTTAIPVTQELICKFMLSPG
+NADILIQTKLVSMQGDNPMESAILSDDIDEEEVWLYWIDSNKEPHGKAIRHLAQEAKEGT
+KADLEVLTYYRYQLNLFARMCLDRQYLAINQISTQLSVDLILRCMSDESLPFDLRASFCR
+LMLHMHVDRDPQESVVPVRYARLWTEIPTKITIHEYDSITDSSRNDMKRKFALTMEFVEE
+YLKEVVNQPFPFGDKEKNKLTFEVVHLARNLIYFGFYSFSELLRLTRTLLAILDIVQAPM
+SSYFERLSKFQDGGNNVMRTIHGVGEMMTQMVLSRGSIFPMSVPDIQPSLHPSKQGSPTD
+HEDVTVMDTKLKIIEILQFILSVRLDYGISYMLSIYKKEFGENNGNAEMSTNGSPDTLLP
+SAIVPDIDEIAAQAETMFAGRKEKNPVQLDDEGGRTFLRVLIHLIMHDYPPLLSGALQLL
+FKHFSQRAEVLQAFKQVQLLVSNQDVDNYKQIKADLDQLRLTVEKSELWVEKSSSYENGE
+MGENQVKGGEEPIEDSNILSPVQDGTKKPQIDSNKSNNYRIVKEILIRLSKLCVQNKKCR
+NQHQRLLKNMGAHSVVLDLLQIPYEKNDEKMNEVMNLAHTFLQNFCRGNPQNQVLLHKHL
+NLFLTPGLLEAETMRHIFMNNYHLCNEISERVVQHFVHCIETHGRHVEYLRFLQTIVKAD
+GKYVKKCQDMVMTELINGGEDVLIFYNDRASFPILLHMMCSERDRGDESGPLAYHITLVE
+LLAACTEGKNVYTEIKCNSLLPLDDIVRVVTHDDCIPEVKIAYVNFVNHCYVDTEVEMKE
+IYTSNHIWKLFENFLVDMARVCNTTTDRKHADTFLEKCVTESIMNIVSGFFNSPFSDNST
+SLQTHQPVFIQLLQSAFRIYNCTWPNPAQKASVESCIRTLAEVAKNRGIAIPVDLDSQVN
+TLFLKSHSNMVQRAAMGWRLSARSGPRFKEALGGPSWDYRNIIEKLQDVVASLEQQFSPM
+MQAEFSVLVDVLYSPELLFPEGSDARIRCGAFMSKLINHTKKLMEKEEKLCIKILQTLRE
+MLEKKDSFVEEGNTLRKILLNRYFKGDYGVSINGHLSGTYCKTAQVGGSFSGQDSDKMGI
+SMSDIQCLLDKEGASELVIDVIVNTKNDRIFSEGILLGIALLEGGNTQTQYSFYQQLHEQ
+KKSEKFFKVLYDRMKAAQKEIRSTVTVNTIDLGNKKRDDDTELMTSGPRMRVRDSSLHLK
+EGMKGQLTEASSATSKAYCVYRREMDPEIDIMCAGPEAGNAEDRSTEEVTMSPAIAIMQP
+ISRFLQLLCENHNRELQHFLRNQNNKTNYNLVCETLQFLDCICGSTTGGLGLLGLYINEK
+NVVLVNQTLESLTEYCQGPCHENQTCIATHESNGIDIIIALILNDINPLGKYRMDLVLQL
+KNNAPKLLLAIMESRHDSENAERILFNMRPRELVDVMKNAYNQGLECDHGDDEGGDDDVS
+PKDVGHNIYILAHQLARHNKLLQQMLKPGSDPDDGDEALKYYANHTAQIEIVRHDRTMEQ
+IVFPVPNICEYLTRESKCRVFNTTERDEQGSKVNDFFQQTEDLYNEMKWQKKIRSNPALF
+WFSRHISLWGSISFNLAVFINLAVALFYPFGDDGDEGTLSPMFSILLWIAVAICTSMLFF
+FSKPVGIRPFLVSVMLRSIYTIGLGPTLILLGAANLCNKIVFLVSFVGNRGTFTRGYRAV
+ILDMAFLYHVAYVLVCMLGLFVHEFFYSFLLFDLVYREETLLNVIKSVTRNGRSIILTAV
+LALILVYLFSIIGFLFLKDDFTMEVDRLKNRTPITGSNAVPTMTLTSILSTCEKDNCSPT
+IPASNTADEEYEDGIERTCDTLLMCIVTVLNQGLRNGGGVGDVLRRPSKDEPLFAARVVY
+DLLFYFIVIIIVLNLIFGVIIDTFADLRSEKQKKEEILKTTCFICGLERDKFDNKTVSFE
+EHIKSEHNMWHYLYFLVLVKVKDPTEYTGPESYVAQMIVEKNLDWFPRMRAMSLVSSEGD
+SEQNEVRNLQEKLESTMSLVKQLSSQLAELKEQMTEQRKNKQRLGFLGSNTPHVNHHMPP
+H
+>sp|Q08D91|K2C75_BOVIN Keratin, type II cytoskeletal 75 OS=Bos taurus OX=9913 GN=KRT75 PE=2 SV=1
+MSRQSTITFQTSSRRGFSTASATTPATSRSRFSSASVTHSPAGSGGLGRISGFGSRSLYN
+LGGTKRVSISGCGSNFRSGFGGRASSGFGVSGGFGYGGGIGGGHGGCGFSVCPPGGIQEV
+TVNQSLLTPLNLQIDPNIQRVRKEEREQIKTLNNKFASFIDKVRFLEQQNKVLETKWSLL
+QEQGTRTVRQSLEPFFEAYITDLRRQLDSITTERGRLDAELRTMQDVVEDFKVRYEDEIN
+KRTAAENEFVALKKDVDAAYLNKVDLEAKANSLTDEINFLQMLFEAELCQMQTRVSDTSV
+VLSMDNNRSLDLDSIIAEVKAQYEEIANRSRAEAESWYQTKYEELQVTAGQHGDDLRNTK
+QEISETNRMIQRLRAEIDNVKKQCASLQTAIADAEQRGELALKDARAKLVDLEEALQKSK
+QDMARLLREYQELMNIKLALDVEIATYRKLLEGEECRLSGEGVSPVNISVVTSTVSSGYG
+GGSSIGSGSLGLSGGSGCSFMTSGGHSLGGSSFSNSSSRGLGGSGSSFKFVSTTSSSRKS
+YKH
+>sp|P05131|KAPCB_BOVIN cAMP-dependent protein kinase catalytic subunit beta OS=Bos taurus OX=9913 GN=PRKACB PE=1 SV=2
+MGNAATAKKGSEVESVKEFLAKAKEDFLKKWENPAPNNAGLEDFERKKTLGTGSFGRVML
+VKHKATEQYYAMKILDKQKVVKLKQIEHTLNEKRILQAVNFPFLVRLEYAFKDNSNLYMV
+MEYVPGGEMFSHLRRIGRFSEPHARFYAAQIVLTFEYLHSLDLIYRDLKPENLLIDHQGY
+IQVTDFGFAKRVKGRTWTLCGTPEYLAPEIILSKGYNKAVDWWALGVLIYEMAAGYPPFF
+ADQPIQIYEKIVSGKVRFPSHFSSDLKDLLRNLLQVDLTKRFGNLKNGVSDIKTHKWFAT
+TDWIAIYQRKVEAPFIPKFRGSGDTSNFDDYEEEDIRVSITEKCGKEFCEF
+>sp|Q2M2T8|CA185_BOVIN Uncharacterized protein C1orf185 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MASPNGFFNHLTYFLAAGAVTLGIGFFALASALWFLICKRREIFQDSKFKAVDEKCRQRP
+SKAKIKSHPQCVFISRNFHTGRFQSQEEQRKKETACIKANNGYSKDDFCLATKKVICDPS
+ESSSATNPSSVTLSLSTLPSDFYYSQSVEIADDWYSDDSQMKKSPSMPFLGEPLMEKVFS
+YLTTIPLEQCTENVLNMTLRDDQKDDNLKEIFTQRNTEVEIQNLQHNTE
+>sp|Q58DR0|APC16_BOVIN Anaphase-promoting complex subunit 16 OS=Bos taurus OX=9913 GN=ANAPC16 PE=3 SV=1
+MAASSSSSSAGGVSGSSVAGSGFSVSDLAPPRKALFTYPKGAGEMLEDGSERFLCESVFS
+YQVASTLKQVKHDQQVARMEKLAGLVEELEADEWRFKPIEQLLGFTPSSG
+>sp|P68509|1433F_BOVIN 14-3-3 protein eta OS=Bos taurus OX=9913 GN=YWHAH PE=1 SV=2
+MGDREQLLQRARLAEQAERYDDMASAMKAVTELNEPLSNEDRNLLSVAYKNVVGARRSSW
+RVISSIEQKTMADGNEKKLEKVKAYREKIEKELETVCNDVLALLDKFLIKNCNDFQYESK
+VFYLKMKGDYYRYLAEVASGEKKNSVVEASEAAYKEAFEISKEHMQPTHPIRLGLALNFS
+VFYYEIQNAPEQACLLAKQAFDDAIAELDTLNEDSYKDSTLIMQLLRDNLTLWTSDQQDE
+EAGEGN
+>sp|P41520|CCKN_BOVIN Cholecystokinin OS=Bos taurus OX=9913 GN=CCK PE=1 SV=2
+MNRGVCLCLLMAVLAAGALAQPMPHADPTGPRAQQAEEAPRRQLRAVPRVDDEPRAQLGA
+LLARYIQQARKAPSGRMSVIKNLQSLDPSHRISDRDYMGWMDFGRRSAEEFEYTS
+>sp|Q58DL1|ARGI2_BOVIN Arginase-2, mitochondrial OS=Bos taurus OX=9913 GN=ARG2 PE=2 SV=1
+MSLRSHLSRLLRTQVHSVRKKSVHSVAVIGAPFSQGQKRKGVEYGPAAVRXAGLMKRLSD
+LGCHLKDFGDLNFTPVPKDDLYNNLIVNPRSVGLANQELAEVVSRAVSGGYSCVTVGGDH
+SLAIGTISGHARHCPDLGVIWVDAHADINTPLTTSSGNLHGQPVSFLLRELQDKVPQLPG
+FSWIKPCISSPSIVYIGLRDVDPPEHFILKNYDIQYFSMRDIDRLGIQKVMEQTFDLLIG
+KRQRPIHLSFDIDAFDPTLAPATGTPVVGGLTYREGIYITEEIHSTGLLSALDLVEVNPR
+LAVSEEEAKATASLAVDVIASSFGQTREGGHIVYDQLPTPSSPDESESEERVRI
+>sp|Q5E9C1|CASP4_BOVIN Caspase-4 OS=Bos taurus OX=9913 GN=CASP4 PE=2 SV=1
+MAEDKHNKNPLKMLESLGKELISGLLDDFVEKNVLKLEEEEKKKIYDAKLQDKARVLVDS
+IRQKNQEAGQVFVQTFLNIDKNSTSIKAPEETVAGPDESVGSAATLKLCPHEEFLKLCKE
+RAGEIYPIKERKDRTRLALIICNTEFDHMPPRNGAALDILGMKQLLEGLGYTVEVEEKLT
+ARDMESVLWKFAAREEHKSSDSTFLVFMSHGILDGICGTMHSEEEPDVLPYDTIFRTFNN
+RNCLSLKDKPKVIIVQACRGANRGELWVSDSPPALADSFSQSSENLEEDAVYKTHVEKDF
+IAFCSSTPHNVSWRDIKKGSLFITRLITCFQKYAWCCHLEEVFRKVQQSFEKPNVKAQMP
+TVERLSMTRYFYLFPGN
+>sp|Q17QJ0|ASF1B_BOVIN Histone chaperone ASF1B OS=Bos taurus OX=9913 GN=ASF1B PE=2 SV=1
+MAKVSVLNVAVLENPSPFHSPFRFEISFECNEALADDLEWKIIYVGSAESEEFDQILDSV
+LVGPVPAGRHMFVFQADAPNPSLIPETDAVGVTVVLITCTYHGQEFIRVGYYVNNEYLSP
+ELRENPPLKPDFSQLQRNILASNPRVTRFHINWDNNMDRLEAIENQDSSLGCGLPLSCTP
+IKGLGLPSCIPGLLPENSMDCI
+>sp|Q0D252|F229B_BOVIN Protein FAM229B OS=Bos taurus OX=9913 GN=FAM229B PE=3 SV=1
+MPFRFGTQPRRFPVEGGDSSIGLEPGLSSSATCNGKEMSPTRQLRRCPGSHCLTITDVPI
+TVYAAMRKPPAQSSKEMHPK
+>sp|A3KN19|FA83D_BOVIN Protein FAM83D OS=Bos taurus OX=9913 GN=FAM83D PE=2 SV=1
+MALRYDGLDELPAACLSPCGPPNPAELYSEERRLALEELLAGGPGAFSAFLRRERLGRFL
+NPDEVRSILRAAERPGEEGAAAGAEDSFGSSHDCSSGTYFPEQSDLEPPLLELGWPAFYQ
+GAYRGATRVEAHFQPRGAGAGGPYGCKDALRQQLRLAREVIAVVMDVFTDIDIFRDLQEI
+SRKQGVAVYILLDQALLSQFLDMCMDLKVHPEEEKLMTVRTITGNIYYARSGTKIVGKVH
+EKFTLIDGIRVATGSYSFTWTDGKLNSSNLVILSGQVVEHFDLEFRILYAQSKPISSKLL
+SSFRISGRFDHLVDQKPLSKELTLGNLLRLRLARLSSTPRKVELGGEEGRAEAVCGASKT
+STISEEDYFSSRKDRLEGRRVTDAATQTEPGETPAVSMSDVGTQASAATACTGTQTTVAT
+RVVSSQTVVPTTSATTQTDVDEGVLASPGSQSKEGSPVSKMSVSRSSSLRSSSSLSSQGS
+VASSIGSQTSFRSTDFATPGPPKYQSTPHFDLCFRDSLRNLNKERQFHFAGIRSRLNHML
+AMLSRKTFLTENYLSFNSGSFARSSANLLAVREIMLYPSYQ
+>sp|P33946|ERD21_BOVIN ER lumen protein-retaining receptor 1 OS=Bos taurus OX=9913 GN=KDELR1 PE=1 SV=2
+MNLFRFLGDLSHLLAIILLLLKIWKSRSCAGISGKSQVLFAVVFTARYLDLFTNYISLYN
+TCMKVVYIACSFTTVWMIYSKFKATYDGNHDTFRVEFLVIPTAILAFLVNHDFTPLEILW
+TFSIYLESVAILPQLFMVSKTGEAETITSHYLFALGVYRTLYLFNWIWRYHFEGFFDLIA
+IVAGLVQTVLYCDFFYLYITKVLKGKKLSLPA
+>sp|A5D7C1|DDX52_BOVIN Probable ATP-dependent RNA helicase DDX52 OS=Bos taurus OX=9913 GN=DDX52 PE=2 SV=1
+MDAHDLFRRLGVGAKFDLRRFSADAARFQIGKRKYDFDSSEVLQGLDFFGNKKPVPGDCG
+ALRTHQELPDEEKTEESQIERKKQNRKKKKITSEITSEEEVSTIQWISSVEAKIEDKNVK
+RKNKLTSGKLEQLRKEKLNFFRNKHKIHVQGTDLPDPIATFQQLDQEYKINSRLLQNILD
+AGFQTPTPIQMQAIPVMLHGRELLASAPTGSGKTLAFSIPILMHLKQPTNKGFRALIISP
+TRELASQIHRELVKLSEGTGFRIHMIHKAAVAAKKFGPKSSKKFDILVTTPNRLIYLLKQ
+DPPGIDLTSVEWLVVDESDKLFEDGKTGFRDQLASIFLACTSHKVKRAMFSATFAYDVEQ
+WCRLNLDSVITVSVGARNSAVETVEQELLFVGSETGKLLAMRELVKKGFNPPVLVFVQSI
+ERAKELFHELIYEGINVDVIHADRTQQQRDNTVHSFRAGKIWVLICTALLARGIDFKGVN
+LVINYDFPTSSVEYIHRIGRTGRAGHKGKAVTFFTEDDKPLLRSVANVIQQAGCPVPEYI
+KGFQKLLSKQKKKMIKKPLERESISTTPKYFLEKAKDKRKKVTGQNKKKVAPEDKS
+>sp|A5PJM7|DEFI8_BOVIN Differentially expressed in FDCP 8 homolog OS=Bos taurus OX=9913 GN=DEF8 PE=2 SV=1
+MEYDEKLARFRQVHLNPFNKQQHEQGAGEEAPGITSQARLPELPPGEPEFRCPERVMDLG
+LSEDHFARPVGLFLASDVQQLRQAIQECKQVILDLPEHSEKQKDAVVRLIHLRLKLQELK
+DPNEDEPNIRVLLEHRFYKEKSKSVKQTCDKCNTVIWGLIQTWYTCTGCYYRCHSKCLNL
+ISKPCVRSKVSHQAEYELNICPEAGLDSQDYRCAECRAPISLRGVPSEARQCDYTGQYYC
+SHCHWNDLAVIPARVVHNWDFEPRKVSRGSMRYLALMMSRPVLRLREINPLLFNYVEELV
+EIRKLRQDILLMKPYFITCREAMAARLLLQLQDRQHFVENDEMYSVQDLLDTHTGRLGCS
+LAETHTLFAKHIKLDCERCQAKGFVCELCREGDVLFPFDSHTSVCADCSAVFHRDCYYDN
+STTCPRCARLTLRKQSLFREPGPDLDA
+>sp|Q32L93|GMPR2_BOVIN GMP reductase 2 OS=Bos taurus OX=9913 GN=GMPR2 PE=2 SV=1
+MPHIDNDVKLDFKDVLLRPKRSTLKSRSEVDLTRSFAFRNSKQMYTGIPIIAANMDTVGT
+FEMAKVLCKFSLFTAVHKHYSLEQWKEFASQNPDCLEHLAASSGTGSSDFEQLEQILNAI
+PQVKYVCLDVANGYSEHFVEFVKDVRKRFPEHTIMAGNVVTGEMVEELILSGADIIKVGI
+GPGSVCTTRKKTGVGYPQLSAVMECADAAHGLKGHIISDGGCSCPGDVAKAFGAGADFVM
+LGGMLAGHSESGGELIERNGRKYKLFYGMSSEMAMKKYAGGVAEYRASEGKTVEVPFKGD
+VEHTIRDIIGGIRSTCTYVGAAKQKELSRRTTFIRVTQQVKPIFSDES
+>sp|A6QLU1|GPDM_BOVIN Glycerol-3-phosphate dehydrogenase, mitochondrial OS=Bos taurus OX=9913 GN=GPD2 PE=2 SV=1
+MAFQKAVKGTILVGGGALATVLGLSHFAHYKRKQVNLAFVEAADCISEPVNREPPSREAQ
+ILTLKNTSEFDVLVIGGGATGSGCALDAVTRGLKTALVERDDFSSGTSSRSTKLIHGGVR
+YLQKAIMKLDIEQYRMVKEALHERANLLEIAPHLSAPLPIMLPIYKWWQLPYYWVGIKLY
+DLVAGSNCLKSSYVLSKSRALEHFPMLQKDKLVGAIVYYDGQHNDARMNLAIALTAARYG
+AATANYMEVMSLLKKTDPQTGKERVSGARCKDVLTGEEFDVRAKCVINATGPFTDTVRKM
+DDKDTTAICQPSAGVHIVMPGYYSPESMGLLDPATSDGRVIFFLPWQKMTIAGTTDTPTD
+VTHHPIPSEEDINFILNEVRNYLSCDVEVRRGDVLAAWSGIRPLVTDPKSADTKSISRNH
+VVDISESGLITIAGGKWTTYRSMAEDTINAAVKAHNLKAGPSRTVGLFLQGGKDWSPTLY
+IRLVQDYGLESEVAQHLAATYGDKAFEVAKMASVTGKRWPIVGVRLVSEFPYIEAEVKYG
+IKEYACTAVDMISRRTRLAFLNVQAAEEALPRIVELMGRELNWDDSKKEEELETARKFLY
+YEMGYKSRSEQLTDRSEISLLPSDIDRYKKRFHKFDADQKGFITIVDVQRVLESIGVQMD
+ENTLHEILNEVDLNKNGQVELNEFLQLMSAIQKGRVSGSRLAILMKTAEENLDRRVPIPV
+DRSCGGL
+>sp|Q3SZT1|GSG1_BOVIN Germ cell-specific gene 1 protein OS=Bos taurus OX=9913 GN=GSG1 PE=2 SV=2
+MGLPKGFSSQRKRLSAVLNMLSLSLSTASLLSNYWFVGTQKVPKPLCGKGLPAKCFDVPV
+PLDGGGTNASSPEVVHYSWETGDDRFTFHAFRSGMWLSCAEIMEEPGERCRSFLELTPPT
+EREILWLSLGAQFAYIGLELISFILLLTDLLFTGNPGCSLKLSAFAAISSVLSGLLGMVG
+HMMYSQVFQATANLGPEDWRPHAWNYGWAFYTAWVSFTCCMASAVTTFNTYTRLVLEFKC
+RHSKSFRGAPGCQPHHHQCFLQQLACTAHPGGPVTSYPQFHCQPIRSISEGVDFYSELHD
+KELQQGSSQEPETKAAGSSVEEC
+>sp|Q4PL64|HPCA_BOVIN Neuron-specific calcium-binding protein hippocalcin OS=Bos taurus OX=9913 GN=HPCA PE=2 SV=3
+MGKQNSKLRPEMLQDLRENTEFSELELQEWYKGFLKDCPTGILNVDEFKKIYANFFPYGD
+ASKFAEHVFRTFDTNSDGTIDFREFIIALSVTSRGRLEQKLMWAFSMYDLDGNGYISREE
+MLEIVQAIYKMVSSVMKMPEDESTPEKRTEKIFRQMDTNNDGKLSLEEFIRGAKSDPSIV
+RLLQCDPSSASQF
+>sp|A2VDY6|GATA1_BOVIN GATA zinc finger domain-containing protein 1 OS=Bos taurus OX=9913 GN=GATAD1 PE=2 SV=1
+MPLGLKPTCSVCKTTSSSMWKKGPQGEILCHHCTGRGGAGGGGSCPGAAGGTGGGGGGTG
+GGFGAATFASTSAAPPQSNGGGGGKQSKQEIHRRSARLRNTKYKSAPAAEKKVSTKGKGR
+RHIFKLKNPIKAPESVSTIITAESIFYKGVYYQIGDVVSVIDEQDGKPYYAQIRGFVQDQ
+YCEKSAALTWLIPTLASPRDQFDPASYIVGPEEDLPRKMEYLEFVCHAPSEYFKSRSSPF
+PTVPTRPEKGYIWTHVGPTPAITIKETVANHL
+>sp|Q3SYW6|EIF3C_BOVIN Eukaryotic translation initiation factor 3 subunit C OS=Bos taurus OX=9913 GN=EIF3C PE=2 SV=1
+MSRFFTTGSDSESESSLSGEELVTKPVGGNYGKQPLLLSEDEEDTKRVVRSAKDKRFEEL
+TNLIRTIRNAMKIRDVTKCLEEFELLGKAYGKAKSIVDKEGVPRFYIRILADLEDYLNEL
+WEDKEGKKKMNKNNAKALSTLRQKIRKYNRDFESHITNYKQNPEQSADEDAEKNEEDSEG
+SSDEDEDDDGVTAAAFLKKKSEAPSGDSRKFLKKEDEDEDSEESEDSEAWDTSSTSSDSD
+SEEEEGKQTVLASRFLKKAPTTEEDKKAAEKKREDKAKKKHDRKSRRPDEEEEDNEGGEW
+ERVRGGVPLVKEKPKMFAKGTEITHAVVIKKLNEILQARGKKGTDRAAQIELLQLLVQIA
+SENNLGEGVIVKIKFNIIASLYDYNPNLATYMKPEMWQKCLDCINELMDILFANPNIFVG
+ENILEESENLHNADQPLRVRGCILTLVERMDEEFTKIMQNTDPHSQEYVEHLKDEAQVCA
+IIERVQRYLEEKGTTEEVCRIYLRRILHTYYKFDYKAHQRQLTPPEGSSKSEQDQAENEG
+EDSAVLMERLCKYIYAKDRTDRIRTCAILCHIYHHALHSRWYQARDLMLMSHLQDNIQHA
+DPPVQILYNRTMVQLGICAFRQGLTKDAHNALLDIQSSGRAKELLGQGLLLRSLQERNQE
+QEKVERRRQVPFHLHINLELLECVYLVSAMLLEIPYMAAHESDARRRMISKQFHHQLRVG
+ERQPLLGPPESMREHVVAASKAMKMGDWKTCHSFIINEKMNGKVWDLFPEADKVRTMLVR
+KIQEESLRTYLFTYSSVYDSISMETLSDMFELDLPTVHSIISKMIINEELMASLDQPTQT
+VVMHRTEPTAQQNLALQLAEKLGSLVENNERVFDHKQGTYGGYFRDQKDGYRKNEGYMRR
+GGYRQQQSQTAY
+>sp|E1BPQ3|GPC6A_BOVIN G-protein coupled receptor family C group 6 member A OS=Bos taurus OX=9913 GN=GPRC6A PE=3 SV=1
+MALLMTCFVIVFAASQPCQTPDDLVAAASPGHIMIGGLFAIHEKILSSEEPRKPEIQKCA
+SFEIPTFLQTLAMIHSIEMINNSTLLSGVKLGYEIYDTCTDITVAMAAALRCVSKFNSSR
+EIVEFKCDYSNYVPRVKAVIGAGYSEISMAVSRTLSLQLMPQVSYESTAETLSDKIQFPS
+FLRSVSSDFYQTKAMAHLIQKSGWIIGILTTDDDYGLNTLAVQTAANNVCIAFREALPAF
+RSDITIEVRINQTLERTIAEAKVNVIVVFLSQFHVFNLFSKAIERNINKIWIVSSWSTST
+IATIPDVKRIGKVVGFTFRRGNVSSFQSFLQNLCVFPSDNNKPLNEHAMLSACAHAKDSD
+LSQCVSNCSQGTLATKDSERNFFLRTDFLWDYTELGLVHSIQLAVLALSYAIQDLQADFQ
+PWELLAVLKNVTFMEGWSSFHFYAHGAMNTGYNIVLWREINGHMSIKMAQYDLKNDVFIV
+TNQETKNEHRNLKKIQSKFFKECSSGQMKKTTKSQHTCCYECVTCPENHYSNQTDTDHYL
+LYNNETHWAPVGSTMCFEKEMEYLDSLAILLLALSLLGILFVLAIGIIFTRNLNTPVVKS
+SGELMVRYVILFCHFLNFAGTGFFIREPQSFTCKTRQTLICMSFTLCISYILMKSLKILL
+AFSSKLQNFLKCFYKPIPIIFTCTGIVVVCTLLIFAAPAVGQNVSLPRVIIFECEEGSIL
+AFGSMLGYAAILAFMCFICAFKGRKFPENYNEAKFITFGMLIYFIAWITFIPIYTFGKYM
+LVVEIIIILISNYGICCMFFPKCYVILSKQETNTKSVFLKMIYSYSPHSAGSLAMSHSNI
+TITNRTSAGGSAVQQKSRDLQLQGFAHICRENAMCRTKALPPKRISSI
+>sp|Q3ZBS0|DCAKD_BOVIN Dephospho-CoA kinase domain-containing protein OS=Bos taurus OX=9913 GN=DCAKD PE=2 SV=2
+MFLVGLTGGIASGKSSVIQVFQQLGCAVIDVDIIARHIVQPGYPAHRRIVEAFGTEVLLE
+NGDIDRKVLGDLIFNQPDRRHLLNSITHPEICKEMMKETFKYFLRGYRYVILDIPLLFET
+KKLLKYMKHTVVVYCDRDTQLARLMRRNNLSREDAEARIKAQLPLKDKARMARHVLDNSG
+EWSVTKRQVVLLHAELEHSLEYLPLRLGVLTGLAGIVGLLYLLTHYLLPSP
+>sp|Q7YQE1|GCNT3_BOVIN Beta-1,3-galactosyl-O-glycosyl-glycoprotein beta-1,6-N-acetylglucosaminyltransferase 3 OS=Bos taurus OX=9913 GN=GCNT3 PE=1 SV=1
+MKMTGWKKKLCRGHHLWALGCYMLLAVVALRLSLRLKCDVDSLDLESRDFQSQRCRDVLY
+KNLKLPAKRSINCSGITRGDQEAVVQALLDNLEVKKKRLPFTDTYYLNITRDCEQFKAQR
+KFIQFPLSKEELDFPIAYSMVVHEKIENFERLLRAVYAPQNIYCVHVDVKSPETFKEAVK
+AIISCFPNVFMASKLVPVVYASWSRVQADLNCMEDLLQSSVPWKYLLNTCGTDFPIKTNA
+EMVLALKMLNGKNSMESEIPSEYKKNRWKYRYEVTDRLYLTSKMKDPPPDNLPMFTGNAY
+FVASRAFVQHVLENPKSQRLIEWVKDTYSPDEHLWATLQRAPWMPGSVPYHPKYHISDMT
+AIARLVKWQGHEGDVSMGAPYAPCSGIHQRAICIYGAGDLHWILQNHHLLANKFDPRVDD
+NVLQCLEEYLRHKAIYGTEL
+>sp|Q1LZH1|MARC2_BOVIN Mitochondrial amidoxime reducing component 2 OS=Bos taurus OX=9913 GN=MARC2 PE=2 SV=1
+MGAAGSSALARLGLPALPGPRWLGVAALGLAAVALGAVAWRRARPGRRRRLQQVGTVSEL
+WIYPIKSCKGVSVDAAECTALGLRSGHLRDRFWLVIKEDGHMVTGRQEPQLVLVSITYED
+DCLILRAPGMDQLVLPTKLLSSNKLHDCRVFGLDIQGRDCGDEAAQWFTSFLKTDAFRLV
+QFEKNMKARASNEIFPSLDKNYQVAYPDCSPVMILSEASLADLNTRMEKKVKINNFRPNI
+VVTGCSAFEEDTWDELLIGNVEMKKILACPRCIMTTVDPDTGVIDRKEPLETLKSYRLCD
+PSEKSIYKSSPLFGIYYSVEKIGSLKVGDPVYQMVQ
+>sp|P0C2H4|DSE_BOVIN Dermatan-sulfate epimerase OS=Bos taurus OX=9913 GN=DSE PE=1 SV=1
+MRTHTRGAPSVFFICLFCFVSACVTDENPEVMIPFTNANYDSHPMLYFSRAEVAELQLRA
+ASSHEHIAARLTEAVNTMLSSPLEYLPPWDPKEYSARWNEIYGNNLGALAMFCVLYPENM
+EARDMAKDYMERMAAQPSWLVKDAPWDEVPLAHSLVGFATAYDFLYNYLSKTQQEKFLEV
+IANASGYMYETSYRRGWGFQYLHNHQPTNCMALLTGSLVLMNQGYLQEAYLWTKQVLTIM
+EKSLVLLREVTDGSLYEGVAYGSYTTRSLFQYMFLVQRHFDINHFGHPWLKQHFAFMYRT
+ILPGFQRTVAIADSNYNWFYGPESQLVFLDKFVMRNGSGNWLADQIRRNRVVEGPGTPSK
+GQRWCTLHTEFLWYDASLKSVPPPDFGTPTLHYFEDWGVVTYGSALPAEINRSFLSFKSG
+KLGGRAIYDIVHRNKYKDWIKGWRNFNAGHEHPDQNSFTFAPNGVPFITEALYGPKYTFF
+NNVLMFSPAASKSCFSPWEGQVTEDCSSKWSKYKHDPAASCQGRVVAAVEKNGVVFIRGE
+GVGAYNPQLHLRNVQRNLILLHPQLLLLVDQIHLGEDSPLERAASFFHNVDFPFEETVVD
+GVHGALIRQRDGLYKMYWMDDTGYSEKGTFASVTYPRGYPYNGTNYVNVTTHLRSPVTRA
+AYLFIGPSIDVQSFSIHGDAQQLDVFVATSEHAYATYLWTGETAGQSAFAQVIADRQKIL
+FDRSSAIRSSVVPEVKDYAALVEQNLQHFKPVFQLLEKQILSRVRNTASFRKTAERLLRF
+SDKRQTEEAIDRIFAISQQQQQQQSKSKKNRRGGKRYKFVDAVPDIFAQIEVNERKVRQK
+AQILAQKELPVDEDEEMKDLLDFADITYEKHKNGDVMNGRFGQARMVTTHSRAPALSASY
+TRLFLILNIAIFFVMLAMQLTYFQRAQSLHGQRCLYAVLLIDSCILLWLYSSCSQSQC
+>sp|Q58DV7|HNMT_BOVIN Histamine N-methyltransferase OS=Bos taurus OX=9913 GN=HNMT PE=2 SV=1
+MASSMRSLFTDHSRYVESFRRFLSNSTEHQCMQEFMDKKLPGIIARIGDIKSEIKILSIG
+GGAGEIDLQILSKVQAQYPGVHIINEVVEPSAEQITKYKELVAKTSNLENIKFAWHKETS
+SEYQNRMMEKKELQRWDFIHMIQMLYYVKDIPATLKFFHSLLATNAKILIIIVSGASSWQ
+KLWEKYGSRLPRNDLCQYVTSSDLTQMLDKLGIKYEYYDLLSTMDISDCFIDGNENGDLL
+WDFLTETCNFNTTAPPDLKAEIMKDLQKPEFSIKKEGKVLFNNSLSFIVVEA
+>sp|Q6UC88|LYVE1_BOVIN Lymphatic vessel endothelial hyaluronic acid receptor 1 OS=Bos taurus OX=9913 GN=LYVE1 PE=1 SV=1
+MAKFFSLGLLLASIWTTRLLVQGSLRSEEISILGPCRIMGVTLVTKKTQPLLNFTEAQEA
+CRLVGLTLASQDQVEEARKFGFETCSYGWVKNQFVVIPRIISNPKCGKSGVGVVIWRSSL
+SSRHRSYCHNSSDIWINSCLPEIITTDDPLFNTETATYTTKLMVSDSTHSELSTDGPDYV
+TTTVAPPLASTSTPRKRKLICITEAFMDTSAVATERESDIQNRPAFKNEAVGFGGVPTAL
+LVLALLFFAAAAGLAVCYVKRYVKAFPFTNKNQQKEMIETKVVKEEKADDSNPNEESKKM
+NKTPEEPKSPPKTTVRCLEAEV
+>sp|P02687|MBP_BOVIN Myelin basic protein OS=Bos taurus OX=9913 GN=MBP PE=1 SV=1
+AAQKRPSQRSKYLASASTMDHARHGFLPRHRDTGILDSLGRFFGSDRGAPKRGSGKDGHH
+AARTTHYGSLPQKAQGHRPQDENPVVHFFKNIVTPRTPPPSQGKGRGLSLSRFSWGAEGQ
+KPGFGYGGRASDYKSAHKGLKGHDAQGTLSKIFKLGGRDSRSGSPMARR
+>sp|P05128|KPCG_BOVIN Protein kinase C gamma type (Fragment) OS=Bos taurus OX=9913 GN=PRKCG PE=2 SV=1
+RPLFCRKGALRQKVVHEVKSHKFTARFFKQPTFCSHCTDFIWGIGKQGLQCQVCSFVVHR
+RCHEFVTFECPGAGKGPQTDDPRNKHKFRLHSYSSPTFCDHCGSLLYGLVHQGMKCSCCE
+MNVHRRCVRSVPSLCGVDHTERRGRLQLEIRAPTSDEIHVTVGEARNLIPMDPNGLSDPY
+VKLKLIPDPRNLTKQKTRTVKATLNPVWNETFVFNLKPGDVERRLSVEVWDWDRTSRNDF
+MGAMSFGVSELLKAPVDGWYKLLNQEEGEYYNVPVADADNCNLLQKFEACNYPLELYERV
+RTGPSSSPIPSPSPSPTDSKRCFFGASPGRLHISDFSFLMVLGKGSFGKVMLAERRGSDE
+LYAIKILKKDVIVQDDDVDCTLVEKRVLALGGRGPGGRPHFLTQLHSTFQTPDRLYFVME
+YVTGGDLMYHIQQLGKFKEPHAAFYAAEIAIGLFFLHNQGIIYRDLKLDNVMLDAEGHIK
+ITDFGMCKENVFPGSTTRTFCGTPDYIAPEIIAYQPYGKSVDWWSFGVLLYEMLAGQPPF
+DGEDEEELFQAIMEQTVTYPKSLSREAVAICKGFLTKHPAKRLGSGPDGEPTIRAHGFFR
+WIDWDRLERLEIAPPFRPRPCGRSGENFDKFFTRAAPALTPPDRLVLASIDQAEFQGFTY
+VNPDFVHPDARSPISPTPVPVM
+>sp|P35509|KC1G3_BOVIN Casein kinase I isoform gamma-3 (Fragment) OS=Bos taurus OX=9913 GN=CSNK1G3 PE=2 SV=1
+MARPSGRLGHNTRGTGSSSSGVLMVGPNFRVGKKIGCGNFGELRLGKNLYTNEYVAIKLE
+PMKSRAPQLHLEYRFYKQLGSGDGIPQVYYFGPCGKYNAMVLELLGPSLEDLFDLCDRTF
+SLKTVLMIAIQLISRMEYVHSKNLIYRDVKPENFLIGRPGNKTQQVIHIIDFGLAKEYID
+PETKKHIPYREHKSLTGTARYMSINTHLGKEQSRRDDLEALGHMFMYFLRGSLPWQGLKA
+DTLKERYQKIGDTKRATPIEVLCENFPEMATYLRYV
+>sp|Q32L72|CA100_BOVIN Uncharacterized protein C1orf100 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MTAIRLREFIDRRPAIPPSIFIVHQGKDLRGYYTGQLARVHYDYSVKRSPRPLIDLDIPF
+KKKSQYQPQLDQQTLIQYICFRRRSKPAEPWYKETSYQRDYSLPFYKTDWDRKLATVSSN
+PRPLNSLPEHYCCEGRWL
+>sp|A4IFJ0|CA226_BOVIN Uncharacterized protein C1orf226 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MFENLNTALTPKLQSSRSFPHLSRPTAPSAAAQGSVEPGGPGLWVGSSQHLKSLGKAVGA
+KVNDFLRRKEPSSLGSVGTTEVNKTAGAQLAGGADGDDGRSALQEAFPRLDPPPPATRKR
+TPRALKTTQDMLISSQPVLSSLEYGTELSSGQPQVSSSAQPSPADASQPEATTEVVDRDD
+ALPNGEVSVSVPDLIHKDGQDDPKLKVTECRRASSPGLMERNGLKLSLSPISLAESPEDG
+SPPPRARTSSVDNEGPHPDLLSFE
+>sp|Q08DY8|ATG13_BOVIN Autophagy-related protein 13 OS=Bos taurus OX=9913 GN=ATG13 PE=2 SV=1
+METDLNSQDRKDLDKFIKFFALKTVQVIVQARLGEKICTRSSSSPTGSDWFNLAIKDIPE
+VTHEAKKALAGQLPAVGRSMCVEISLKTSEGDSMELEIWCLEMNEKCDKEIKVSYAVYNR
+LSLLLKSLLAITRVTPAYRLSRKQGHEYVILYRIYFGDVQLNGLGEGFQTVRVGTVGTPV
+GTITLSCAYRINLAFMSTRHFERTPPIMGIIIDHFVDRPYPSSSPMHPCNYRTAGEDTGV
+ACPSVEDSQEVCATSFSTSPPSQLMVPGKEGGVPLGPSQPAHAAQADQERLATYTPSDGA
+HCAATPSSSEDAETVSNSSEGRASPHDVLETIFVRKVGAFVNKPINQVTLTSLDIPFAMF
+APKNLELEDADPMVNPPDSPETTSPLQGSLHSDGSSGGSSGHTQDDFVMIDFKPAFSKDD
+ILPMDLGTFYREFQNPPQLSSLSLDIGAQSMAEDLDSLPEKLAAHEKNVREFDAFVETLQ
+>sp|Q0II29|CE024_BOVIN UPF0461 protein C5orf24 homolog OS=Bos taurus OX=9913 PE=2 SV=1
+MMHPVASSNPAFCGPGKPSCLNEDAMRAADQFDIYSSQQNKYSHTVSHKPMVCQRQDPLN
+ETHLQTAGGRSIEIKDELKKKKNLNRSGKRGRPSGTTKSAGYRTSTGRPLGTTKAAGFKT
+SPGRPLGTTKAAGYKVSPGRPPGSIKALSRLADLGYGCGTAAFPYPMIHGRAVHGVEETS
+SEIKPPNE
+>sp|P19754|ADCY1_BOVIN Adenylate cyclase type 1 OS=Bos taurus OX=9913 GN=ADCY1 PE=1 SV=1
+MAGAPRGRGGGGGGGGAGESGGAERAAGPGGRRGLRACDEEFACPELEALFRGYTLRLEQ
+AATLKALAVLSLLAGALALAELLGAPGPAPGLAKGSHPVHCVLFLALLVVTNVRSLQVPQ
+LQQVGQLALLFSLTFALLCCPFALGGPAGAHAGAAAVPATADQGVWQLLLVTFVSYALLP
+VRSLLAIGFGLVVAASHLLVTATLVPAKRPRLWRTLGANALLFLGVNVYGIFVRILAERA
+QRKAFLQARNCIEDRLRLEDENEKQERLLMSLLPRNVAMEMKEDFLKPPERIFHKIYIQR
+HDNVSILFADIVGFTGLASQCTAQELVKLLNELFGKFDELATENHCRRIKILGDCYYCVS
+GLTQPKTDHAHCCVEMGLDMIDTITSVAEATEVDLNMRVGLHTGRVLCGVLGLRKWQYDV
+WSNDVTLANVMEAAGLPGKVHITKTTLACLNGDYEVEPGHGHERNSFLKTHNIETFFIVP
+SHRRKIFPGLILSDIKPAKRMKFKTVCYLLVQLMHCRKMFKAEIPFSNVMTCEDDDKRRA
+LRTASEKLRNRSSFSTNVVQTTPGTRVNRYIGRLLEARQMELEMADLNFFTLKYKQAERE
+RKYHQLQDEYFTSAVVLALILAALFGLVYLLIIPQSVAVLLLLVFCICFLVACVLYLHIT
+RVQCFPGCLTIQIRTVLCIFIVVLIYSVAQGCVVGCLPWSWSSSPNGSLVVLSSGGRDPV
+LPVPPCESAPHALLCGLVGTLPLAIFLRVSSLPKMILLAVLTTSYILVLELSGYTKAMGA
+GAISGRSFEPIMAILLFSCTLALHARQVDVKLRLDYLWAAQAEEERDDMEKVKLDNKRIL
+FNLLPAHVAQHFLMSNPRNMDLYYQSYSQVGVMFASIPNFNDFYIELDGNNMGVECLRLL
+NEIIADFDELMDKDFYKDLEKIKTIGSTYMAAVGLAPTAGTKAKKCISSHLSTLADFAIE
+MFDVLDEINYQSYNDFVLRVGINVGPVVAGVIGARRPQYDIWGNTVNVASRMDSTGVQGR
+IQVTEEVHRLLRRGSYRFVCRGKVSVKGKGEMLTYFLEGRTDGNGSQTRSLNSERKMYPF
+GRAGLQTRLAAGHPPVPPAAGLPVGAGPGALQGSGLAPGPPGQHLPPGASGKEA
+>sp|A6QP74|CALRL_BOVIN Calcitonin gene-related peptide type 1 receptor OS=Bos taurus OX=9913 GN=CALCRL PE=2 SV=2
+MEKKFFLSFLFLLPFFMILVIAESEEENPDDLIQLGVTRNKIMTAQYECYQKIMQDPVQQ
+TEGIYCNRTWDGWLCWNDVAAGTESMQHCPDYFQDFDPSEKVTKICDQDGNWFRHPASNR
+TWTNYTQCNVNTHEKVKTALNLFYLTIIGHVLSIASLLISLGIFFYFKSLSCQRITLHKN
+LFFSFVCNSVITIIHLTAVANNQALVATNPVSCKVSQFIHLYLMGCNYFWMLCEGIYLHT
+LVVVAVFAEKQHLMWYYFLGWGFPLIPACIHAVARRLYYNDNCWISSDTQLLYIIHGPIC
+AALLVNLFFLLNIVRVLITKLKVTHQAESNLYMKAVRATLILVPLLGIEFVLIPWRPEGK
+IAEEIYDYIINILMHYQGLLVSTIFCFFNGEVQAILRRNWNQYKIQFGNNFSHSDTLRSA
+SYTVSTISDGTGYSHDCLSEHLNGKSIHDTDNVVIKPEKLYD
+>sp|Q5E968|CATK_BOVIN Cathepsin K OS=Bos taurus OX=9913 GN=CTSK PE=2 SV=2
+MWGLTVLLLPVVSFALYPEEILDTQWELWKKTYRKQYNSKGDEISRRLIWEKNLKHISIH
+NLEASLGVHTYELAMNHLGDMTSEEVVQKMTGLKVPASRSRSNDTLYIPDWEGRAPDSVD
+YRKKGYVTPVKNQGQCGSCWAFSSVGALEGQLKKKTGKLLNLSPQNLVDCVSENDGCGGG
+YMTNAFQYVQKNRGIDSEDAYPYVGQDENCMYNPTGKAAKCRGYREIPEGNEKALKRAVA
+RVGPISVAIDASLTSFQFYRKGVYYDENCNSDNLNHAVLAVGYGIQKGNKHWIIKNSWGE
+NWGNKGYILMARNKNNACGIANLASFPKM
+>sp|Q0P5I8|GET4_BOVIN Golgi to ER traffic protein 4 homolog OS=Bos taurus OX=9913 GN=GET4 PE=2 SV=1
+MAAAAMAEQESARNGARNRGGVQRVEGKLRASVEKGDYYEAHQMYRTLFFRYMAQSKHAE
+ARELMCSGALLFFSHGQQNSAADLSMLVLESLEKAEVEVADELLESLAKLFSLMDPNSPE
+RVAFVSRALKWSSGGSGKLGHPRLHQLLALTLWKEQNYCESRYHFLHSSDGEGCANMLVE
+YSTARGFRSEVDMFVAQAVLQFLCLKNKSSASVVFTTYTQKHPSIEGGPPFVQPLLNFIW
+FLLLAVDGGKLTVFTVLCEQYQPSLRRDPMYNEYLDRIGQLFFGVPPKQTSSYGGLLGNL
+LSSLMGSSEQEGEDSQDDSSPIELD
+>sp|Q8WMY2|FPPS_BOVIN Farnesyl pyrophosphate synthase OS=Bos taurus OX=9913 GN=FDPS PE=1 SV=1
+MNGDQKLDAYAQERQDFIQHFSQIVKVLTEEDIGHPEIGDAITRLKEVLEYNAIGGKYNR
+GLTVVITFRELVEPGKQDPDSLQRALTVGWCVELLQAFFLVSDDIMDSSLTRRGQTCWYQ
+KPGIGLDAINDAFLLESSIYRLLKLYCREQPYYLDLIELFLQSSYQTEIGQTLDLITAPQ
+GNVDLGRFTEKRYKSIVKYKTAFYSFYLPVAAAMYMAGIDGEKEHAHAKKILLEMGEFFQ
+IQDDYLDLFGDPSMTGKIGTDIQDNKCSWLVVQCLQRASPEQRQILQENYGQKEAEKVAR
+VKALYEEMNLSAVYMQYEEDSYNHIMGLIEQYAAPLPPAIFLGLAQKIYKRKK
+>sp|Q2KIC2|ETV1_BOVIN ETS translocation variant 1 OS=Bos taurus OX=9913 GN=ETV1 PE=2 SV=1
+MDGFYDQQVPYMVTNNQRGRNCNEKPTNVRKRKFINRDLAHDSEELFQDLSQLQETWLAE
+AQVPDNDEQFVPDYQAESLAFHGLPLKIKKEPHSPCSELGSACSQEQPFKFSYGEKCLYN
+VSAYDQKPQVGMRPSNPPTPSSTPVSPLHHASPNSAHTSKPDRAFPAHLPPSQPIQDSSY
+PMDHRFRRQLSEPCNSFPPLPTMPREGRPMYQRQMSEPNIPFPPQGFKQEYHDPVYEHNT
+MVGGAASQSFPPPLMIKQEPRDFAYDSEVPSCHSIYMRQEGFLTHPSRTEGCMFEKGPRQ
+FYDDTCVVPEKFDGDIKQEPGMYREGPTYQRRGSLQLWQFLVALLDDPSNSHFIAWTGRG
+MEFKLIEPEEVARRWGIQKNRPAMNYDKLSRSLRYYYEKGIMQKVAGERYVYKFVCDPEA
+LFSMAFPDNQRPLLKTDMERHINEEDTVPLSHFDESMAYMPEGGCCNPHPYNEGYVY
+>sp|Q2KHU3|EXOS8_BOVIN Exosome complex component RRP43 OS=Bos taurus OX=9913 GN=EXOSC8 PE=2 SV=1
+MAAGFKTVEPLEYYRRFLKENCRPDGRELGEFRTTTVNVGSIGTADGSALVKLGNTTVIC
+GIKAEFGAPPTDAPDKGYVVPNVDLSPLCSSRFRSGPPGEEAQVASQFIADVIENSQIIQ
+KEDLCISSGKLAWVLYCDLICLNHDGNILDACTFALLAALKNVQLPEVTINEETALAEVN
+LKKKSCLNIRTHPVATSFAVFDDTLLIVDPTEEEEHLATGTLTVVMDEEGRLCCLHKPGG
+SGLTGAKLQDCMSRAVTRHKEVKKLMDEVFKSMKPK
+>sp|Q5E938|EIF1_BOVIN Eukaryotic translation initiation factor 1 OS=Bos taurus OX=9913 GN=EIF1 PE=3 SV=1
+MSAIQNLHSFDPFADASKGDDLLPAGTEDYIHIRIQQRNGRKTLTTVQGIADDYDKKKLV
+KAFKKKFACNGTVIEHPEYGEVIQLQGDQRKNICQFLVEIGLAKDDQLKVHGF
+>sp|F1MGG3|ELL3_BOVIN RNA polymerase II elongation factor ELL3 OS=Bos taurus OX=9913 GN=ELL3 PE=2 SV=1
+MEGPQELLSGKLRLCFTPAARTSLLLLKLNDAALRALQECHRQQVRPVIAFQGNRGYLRL
+PGPHWSCLFSFIVSQCGQEGPGGPGLDLVCQCPGRSGPNRLHCLGPLRERLTIWAAMDSI
+PAPSSLQRHNRTEDARDRESWQNVGDYPEADTISQSQMGLEEVPDPLASSQGQSLPGSSR
+EHMAQWEVRNQTLLPNRDPDQALPPSASQKHVDKKRPAPAAMVELKQKRLRTLAPSPLQG
+LPSQDLQEEDWEQEDKDEDMGPRLEHSPSVQADSESLSPEEVPDYLLQYRAIHSAEQQHA
+YEQDFETDYAEYRILHARVAAASQRFIELAAEMNNVQRGTPEHKALEEKIVQEYKKFRKR
+YPGYREEKRRCEYLHQKLSHIKGLILEFEEKNRGS
+>sp|Q3SZM3|CYBC1_BOVIN Cytochrome b-245 chaperone 1 OS=Bos taurus OX=9913 GN=CYBC1 PE=2 SV=1
+MYMQVETRTSSRLHLKRAPGIRSWSLLVGILSIGLAAAYYSGDSLGWKLFYVTGCLFVAV
+QNLEDWEEAIFNKSTGKVVLKTFSLYRKLLTLCRAGHDQVVVLLSDIRDVNVEEEKVRYF
+GKGYVVVLRFATGFSHPLTQSAVMGHRSDVEVIAKLITTFLELHRLESPVELSQSSDSEA
+DSPGDQS
+>sp|Q3MHJ2|MAEA_BOVIN E3 ubiquitin-protein transferase MAEA OS=Bos taurus OX=9913 GN=MAEA PE=2 SV=1
+MAVQESAAQLSMTLKVQEYPTLKVPYETLNKRFRAAQKNIDRETSHVTMVVAELEKTLSG
+CPAVDSVVSLLDGVVEKLSVLKRKAVESIQAEDESAKLCKRRIEHLKEHSSDQPAAASVW
+KRKRMDRMMVEHLLRCGYYNTAVKLARQSGIEDLVNIEMFLTAKEVEESLERRETATCLA
+WCHDNKSRLRKMKGRQSEHDAKTGRKSRVASGSPKESEDLGMETIKGKPELSCLEFSLRI
+QEFIELIRQNKRLDAVRHARKHFSQAEGSQLDEVRQVMGMLAFPPDTHISPYKDLLDPAR
+WRMLIQQFRYDNYRLHQLGNSSVFTLTLQAGLSAIKTPQCYKEDGSSRSPDCPVCSRSLN
+KLAQPLPMAHCANSRLVCKISGDVMNENNPPMMLPNGYVYGYNSLLSIRQDDKVVCPRTK
+EVFHFSQAEKVYIM
+>sp|P00976|IBPC_BOVIN Colostrum trypsin inhibitor OS=Bos taurus OX=9913 PE=1 SV=2
+FQTPPDLCQLPQARGPCKAALLRYFYNSTSNACEPFTYGGCQGNNBNFETTEMCLRICEP
+PQQTDKS
+>sp|Q3ZBY0|MAL_BOVIN Myelin and lymphocyte protein OS=Bos taurus OX=9913 GN=MAL PE=2 SV=1
+MAPSAASGVSSLPSGFAVFTTFPDLLFIFEFVFGGLVWILVSSSHVPIPLIQGWVMFASV
+FCFVATTVLAFLYVIGAHGNRTSWITLDAAYHCVASLFYFGASVLEALAAIQLQDGFLYK
+YYHENISAVVFSYVATLLYVVHAVFSLIRWKSS
+>sp|Q3SZP2|MARE2_BOVIN Microtubule-associated protein RP/EB family member 2 OS=Bos taurus OX=9913 GN=MAPRE2 PE=2 SV=1
+MPGPTQTLSPNGENNNDIIQDNGTIIPFRKHTVRGERSYSWGMAVNVYSTSITQETMSRH
+DIIAWVNDIVSLNYTKVEQLCSGAAYCQFMDMLFPGCISLKKVKFQAKLEHEYIHNFKLL
+QASFKRMNVDKVIPVEKLVKGRFQDNLDFIQWFKKFYDANYDGKEYDPVEARQGQDAIPP
+PDPGEQIFNLPKKSHHANSPTAGAAKSSPASKPGSTPSRPSSAKRASSSGSASRSDKDLE
+TQVIQLNEQVHSLKLALEGVEKERDFYFGKLREIELLCQEHGQENDDLVQRLMDVLYASD
+EHEGHPEEPEAEEQVHEQQPQQQEEY
+>sp|A7MBE4|MIOX_BOVIN Inositol oxygenase OS=Bos taurus OX=9913 GN=MIOX PE=2 SV=1
+MKVAADPDPSLVSQRDMEPEAAKDKDSFRNYTSGPLLDRVFATYKLMHTWQTVDFVRRKH
+AQFGGFSYKRMTVMEAVDMLDGLVDESDPDVDFPNSFHAFQTAEGIRKAHPDKDWFHLVG
+LLHDLGKVLALAGEPQWAVVGDTFPVGCRPQASVVFRDCTFQDNPDLQDPLYSTELGMYQ
+PHCGLENVLMSWGHDEYMYRMMKFNKFALPPEAFYIIRFHSFYPWHKFGDYQQLCNEQDL
+AMLPWVQEFNKFDLYTKSSSLPDVAALRPYYQGLVDKYCPGILCW
+>sp|P52176|MMP9_BOVIN Matrix metalloproteinase-9 OS=Bos taurus OX=9913 GN=MMP9 PE=2 SV=1
+MSPLQPLVLALLVLACCSAVPRRRQPTVVVFPGEPRTNLTNRQLAEEYLYRYGYTPGAEL
+SEDGQSLQRALLRFQRRLSLPETGELDSTTLNAMRAPRCGVPDVGRFQTFEGELKWHHHN
+ITYWIQNYSEDLPRAVIDDAFARAFALWSAVTPLTFTRVYGPEADIVIQFGVREHGDGYP
+FDGKNGLLAHAFPPGKGIQGDAHFDDEELWSLGKGVVIPTYFGNAKGAACHFPFTFEGRS
+YSACTTDGRSDDMLWCSTTADYDADRQFGFCPSERLYTQDGNADGKPCVFPFTFQGRTYS
+ACTSDGRSDGYRWCATTANYDQDKLYGFCPTRVDATVTGGNAAGELCVFPFTFLGKEYSA
+CTREGRNDGHLWCATTSNFDKDKKWGFCPDQGYSLFLVAAHEFGHALGLDHTSVPEALMY
+PMYRFTEEHPLHRDDVQGIQHLYGPRPEPEPRPPTTTTTTTTEPQPTAPPTVCVTGPPTA
+RPSEGPTTGPTGPPAAGPTGPPTAGPSAAPTESPDPAEDVCNVDIFDAIAEIRNRLHFFK
+AGKYWRLSEGGGRRVQGPFLVKSKWPALPRKLDSAFEDPLTKKIFFFSGRQVWVYTGASL
+LGPRRLDKLGLGPEVAQVTGALPRPEGKVLLFSGQSFWRFDVKTQKVDPQSVTPVDQMFP
+GVPISTHDIFQYQEKAYFCQDHFYWRVSSQNEVNQVDYVGYVTFDLLKCPED
+>sp|Q05204|LAMP1_BOVIN Lysosome-associated membrane glycoprotein 1 OS=Bos taurus OX=9913 GN=LAMP1 PE=1 SV=2
+MAAPGGARRRPLLLLLFAGLVHGASAVFVVKNGNGTACIMADFSATFLTSYDTRSGPQNK
+SFELPAGAEVSNSSSCGKENASDSSLVITFGRGHTLTLIFTRNATRYEVQLMRFAYNLSD
+TDTFPNSSSTGVKTVESATDIKADINKTYRCVSETQVNMDNVTVTLRDAAIQAYLSSSNF
+SREETRCEQDLPTPTTPPQPAPTPAPASPAVFRYNVSGSNGTCLLASMGLQLNVTYRRVD
+NKTVTREFNVNPNKTTFGGNCSATLATLELHSENLLLLALQFVMNESSSRVFLQGVQLNL
+TLPDAKEGSFTATNSSLRALQATAGNSYKCNAEQRLRVTSSFSLNMFRVWLQAFRVDGDK
+FGPVEECQLDENSMLIPIAVGGALAGLVLIVLLAYLIGRKRSHAGYQTI
+>sp|P21793|PGS2_BOVIN Decorin OS=Bos taurus OX=9913 GN=DCN PE=1 SV=2
+MKATIIFLLVAQVSWAGPFQQKGLFDFMLEDEASGIGPEEHFPEVPEIEPMGPVCPFRCQ
+CHLRVVQCSDLGLEKVPKDLPPDTALLDLQNNKITEIKDGDFKNLKNLHTLILINNKISK
+ISPGAFAPLVKLERLYLSKNQLKELPEKMPKTLQELRVHENEITKVRKSVFNGLNQMIVV
+ELGTNPLKSSGIENGAFQGMKKLSYIRIADTNITTIPQGLPPSLTELHLDGNKITKVDAA
+SLKGLNNLAKLGLSFNSISAVDNGSLANTPHLRELHLNNNKLVKVPGGLADHKYIQVVYL
+HNNNISAIGSNDFCPPGYNTKKASYSGVSLFSNPVQYWEIQPSTFRCVYVRAAVQLGNYK
+>sp|A6BMK7|NEUR1_BOVIN Sialidase-1 OS=Bos taurus OX=9913 GN=NEU1 PE=2 SV=2
+MTEEGPGIVSLGKLRRPRMLRLWGICRVQIFSAIFMLMSPAGVGAGAKDDFSLVHPLVTM
+EQLLWVSGKQIGSVDTFRIPLITTTPRGTLLAFAEARKMSTSDKGAKFIALRRSMDQGST
+WSPTAFIVDDGETPDGLNLGAVVSDTTTGVVFLFYSLCAHKAGCQVASTMLVWSKDDGVS
+WSSPRNLSLDIGTEMFAPGPGSGIQKQREPRKGRLIVCGHGTLERDGVFCLLSDDHGVSW
+RYGGGVSGIPYGQPKRENDFNPDECQPYELPDGSVVINARNQNNYHCHCRIILRSYDACD
+TLRPRDVTFDTELVDPVVAAGAVATSSGIVFFSNPAHPEFRVNLTLRWSFSNGTSWRKET
+VQLWPGPSGYSSLTTLEGNVDGKDEAPQLYVLYEKGRNQYMESISLVKVSVYGTL
+>tr|A6QNR7|A6QNR7_BOVIN LRRTM3 protein OS=Bos taurus OX=9913 GN=LRRTM3 PE=2 SV=1
+MGFNVIRLLSGSAVALVIAPTVLLTMLSSAERGCPKGCRCEGKMVYCESQKLQEIPSSIS
+AGCLGLSLRYNSLQKLKYNQFKGLNQLTWLYLDHNHISNIDENAFNGIRRLKELILSSNR
+ISYFLNNTFRPVTNLRNLDLSYNQLHSLGSEQFRGLRKLLSLHLRSNSLRTIPVRIFQDC
+RNLELLDLGYNRIRSLARNVFAGMIRLKELHLEHNQFSKLNLALFPRLVSLQNLYLQWNK
+ISVIGQTMSWTWSSLQRLDLSGNEIEAFSGPSVFQCVPNLQRLNLDSNKLTFIGQEILDS
+WISLNDISLAGNIWECSRNICSLVNWLKSFKGLRENTIICASPKELQGVNVIDAVKNYSI
+CGKSTTERFDLARALPKPTFKPKLPRPKHESKPPLPPTVGATEPGPETDADTEHISFHKI
+IAGSVALFLSVLVILLVIYVSWKRYPASMKQLQQRSLMRRHRKKKRQSLKQMTPSTQEFY
+VDYKPTNTETSEMLLNGTGPCTYNKSGSRECEDCPKIIHTLPTQGFSNQIYFSSPY
+>tr|E1BQ03|E1BQ03_BOVIN Nucleus accumbens associated 1 OS=Bos taurus OX=9913 GN=NACC1 PE=4 SV=1
+MAQTLQMEIPNFGNSILECLNEQRLQGLYCDVSVVVKGHAFKAHRAVLAASSSYFRDLFN
+SSRSAVVELPAAVQPQSFQQILSFCYTGRLSMNMGDQFLLMYTAGFLQIQEIMEKGTEFF
+LKVSSPSCDSQGLHSEEAPSSEPQSPVAQTSSWPACGTPLPLVSRVKTEQQESDSVQCTP
+VAKRLWDSGQKEAGGSGGSNGSRKMAKFSTPDLAVNRPAQQAPVVAAAQPTGVAAGAGAG
+PPTGGAAAAAAAAGGVVSGPSTSERTSPGTSSAYTSDSPGSYHNEEDEEEDAGEEGTDEQ
+YRQICNMYTMYSMMNVGQTAEKVEALPEQVAPESRNRIRVRQDLASLPAELINQIGNRCH
+PKLYDEGDPSEKLELVTGTNVYITRAQLMNCHVSAGTRHKVLLRRLLASFFDRNTLANSC
+GTGIRSSSNNPSRKPLDSRVLHAVKYYCQNFAPNFKESEMNAIAADMCTNARRVVRKSWI
+PKVKPLMAEGDAYTTFISYTGKIEPDMMGVEHGFETASHDGEAGPSAEEALQ
+>tr|A6QQU9|A6QQU9_BOVIN PIAS3 protein OS=Bos taurus OX=9913 GN=PIAS3 PE=2 SV=1
+MAELGELKHMVMSFRVSELQVLLGFAGRNKSGRKHELLAKALHLLKSSCAPSVQMKIKEL
+YRRRFPRKTLGPSDLSLLSLPPGTPPVGSPGPLAPIPPALLAPGTLLGPKREVDMHPPLP
+QPVHPDVTMKPLPFYEIYGELIRPTTLASTSSQRFEEAHFTFALTPQQVQQILTSREVLP
+GAKCDYTIQVQLRFCLCETSCPQEDYFPPNLFVKVNGKLCPLPGYLPPTKNGAEPKRPSR
+PINITPLARLSATVPNTIVVNWSSEFGRNYSLSVYLVRQLTAGTLLQKLRAKGIRNPDHS
+RALIKEKLTADPDSEVATTSLRVSLMCPLGKMRLTVPCRALTCAHLQSFDAALYLQMNEK
+KPTWTCPVCDKKAPYESLIIDGLFMEILNSCSDCDEIQFMEDGSWCPMKPKKEASEVCPP
+PGYGLDGLQYSPVQEGNPSESKKKVEVIDLTVESSSDEEDLPPTKKHCPVTSAAIPALPG
+SKGVLTSGHQPSSVLRSPAMGTLGGDFLSSLPLHEYPPAFPLGADIQGLDLFSFLQTESQ
+HYGPSVITSLDEQDALGHFFQYRGTPSHFLGPLTPTLGSSHRSATPAPPPGRVSSIVAPG
+GTLREGHGGPLPSGPSLTGCRSDIISLD
+>tr|A6QP82|A6QP82_BOVIN Calpain 3, (P94) OS=Bos taurus OX=9913 GN=CAPN3 PE=2 SV=1
+MPTVISASVAPRTGAEPMSPGPIAQAAQDKGTEAGGGNPSGIYSAIISRNFPIIGVKEKT
+FEQLHKKCLEKKVLFVDPEFPPDETSLFYSQKFPIQFVWKRPPEICENPRFIVGGANRTD
+ICQGDLGDCWFLAAIACLTLNKRLLFRVIPHDQSFTENYAGIFHFQFWRYGDWVDVVIDD
+CLPTYNNQLVFTKSNHRNEFWSALLEKAYAKLHGSYEALKGGNTTEAMEDFTGGVTEFFE
+IKDAPRDMYKIMKKAIERGSLMGCSIDDGTNMTYGTSPSGLKMGELIERMVRNMDNSRLR
+DSDLIPEGCSDDRPTRMIVPVQFETRMACGLVKGHAYSVTGLEEALYKGEKVKLVRLRNP
+WGQVEWNGSWSDSWKDWSYVDKDEKARLQHQVTEDGEFWMSYDDFIYHFTKLEICNLTAD
+ALESDKLQTWTVSVNEGRWVRGCSAGGCRNFPDTFWTNPQYRLKLLEEDDDPDDSEVICS
+FLVALMQKNRRKDRKLGANLFTIGFAIYEVPKEMHGNKQHLQKDFFLYNASKARSRTYIN
+MREVSERFRLPPSEYVIVPSTYEPHQEGEFILRVFSEKRNLSEEVENTISVDRPVKKKKN
+KPIIFVSDRANSNKELGVDQETEEGKDNTSPDKQAKSPQLEPGNTDQESEEQRQFRNIFR
+QIAGDDMEICADELKNVLNRVVNKHKDLKTQGFTLESCRSMIALMDTDGSGRLNLQEFHH
+LWKKIKTWQKIFKHYDTDQSGTINSYEMRNAVKDAGFHLNNQLYDIITMRYADKYMNIDF
+DSFICCFVRLEGMFRAFNAFDKDGDGIIKLNVLEWLQLTMYA
+>tr|Q2HJG6|Q2HJG6_BOVIN SLC35B1 protein OS=Bos taurus OX=9913 GN=SLC35B1 PE=2 SV=1
+MRPLSPVGDVRLDLSPPPLPAVSGSPVGSSGRLMAASSSLVPDRLRLPLCFLGVFVCYFY
+YGILQEKITRGKYGEGAKQETFTFALTLVFIQCVVNAVFAKILIQFFDTARVDRTRSWLY
+AACSVSYLGAMVSSNSALQFVNYPTQVLGKSCKPIPVMLLGVTLLKKKYPMAKYLCVLLI
+VAGVALFMYKPKKVVGIEEHTIGYGELLLLLSLTLDGLTGVSQDHMRAHYQTGSNHMMLN
+INLWSTLLLGAGILFTGELWEFLSFAERYPTIVYNILLFGLTSALGQSFIFMTVVYFGPL
+TCSIITTTRKFFTILASVILFANPISPMQWVGTVLVFLGLGLDAKFGKGAKKTSH
+>tr|Q3ZC41|Q3ZC41_BOVIN Acetyl-CoA acyltransferase 1 OS=Bos taurus OX=9913 GN=ACAA1 PE=1 SV=1
+MRRLQVVLGHLKGRPASDPEPQATPCWSGARRASAEDVVVVHGRRTAIGRSGRGGFKDTT
+PDELLSAVMTAVLQDVKLSPAQLGDICVGNVLQPGAGATMARIAQFLSDIPETVPLSAVN
+RQCSSGLQAVASIAGGIRNGSYDIGMACGVESMSLADRGNPGNITSRLVEKEKARDCLIP
+MGITSENVAEQFGISREKQDTFALASQQKAARAQRQGCFQAEIVPVTTTVRDDKGTEQSI
+TVAQDEGIRPNTTMEGLAKLKPAFKDGGSTTAGNSSQVSDGAAAILLARRSKAEELGLPI
+LGVLRSYAVVGVPPDIMGIGPAYAIPVALQKAGLTVDDVDIFEINEAFASQAVYCVEKLK
+LPLEKVNPLGGAVALGHPLGCTGARQVITLLNELKRRGKRAYGVVSMCIGTGMGAAAVFE
+YPGN
+>tr|A6QNQ0|A6QNQ0_BOVIN ZNF423 protein OS=Bos taurus OX=9913 GN=ZNF423 PE=2 SV=1
+MSRRKQAKPRSVKVEEGEASDFSLAWDSSVTASGGLEGEPECDRKTSRVLEDRNSVTSQE
+ERNEDDEDVEDESIYTCDHCQQDFESLAELTDHRAHRCPGDGDDDPQLSWVASSPSSKDV
+ASPTQMIGDGCDLGLGEEEGGTGLPYPCQFCDKSFIRLSYLKRHEQIHSDKLPFKCTYCS
+RLFKHKRSRDRHIKLHTGDKKYHCHECEAAFSRSDHLKIHLKTHSSSKPFKCTVCKRGFS
+STSSLQSHMQAHKKNKEHLAKSEKEAKKDDFMCDYCEDTFSQTEELEKHVLTRHPQLSEK
+ADLQCIHCPEVFVDENALLAHIHQAHANQKHKCPMCPEQFSSVEGVYCHLDSHRQPDSSN
+HSVSPDPVLGSVASMSSATPDSSASVERGSTPDSTLKPLRGQKKMRDDGQGWSKVVYSCP
+YCSKRDFNSLAVLEIHLKTIHADKPQQSHTCQICLDSMPTLYNLNEHVRKLHKNHAYPVM
+QFGSISAFHCNYCPEMFADINSLQEHIRVSHCGPNANPPDGNNAFFCNQCSMGFLTESSL
+TEHIQQAHCSVGSAKLESPVVQPTQSFMEVYSCPYCTNSPIFGSILKLTKHIKENHKNIP
+LAHSKKSKAEQSPVSSDVEVSSPKRQRLSASANSISNGEYPCNQCDLKFSNFESFQTHLK
+LHLELLLRKQACPQCKEDFDSQESLLQHLTVHYMTTSTHYVCESCDKQFSSVDDLQKHLL
+DMHTFVLYHCTLCQEVFDSKVSIQVHLAVKHSNEKKMFRCTACNWDFRKEADLQVHVKHS
+HLGNPARAHKCIFCGETFSTEVELQCHITTHSKKYNCKFCSKAFHAIILLEKHLREKHCV
+FDAAAENGTANGVPPAAAKKAEPADLPGVLLKNPEAPNSHEASEDDVDASEPMYGCDICG
+AAYTMEVLLQNHRLRDHNIRPGEDDGSRKKAEFIKGSHKCNVCSRTFFSENGLREHLQTH
+RGPAKHYMCPICGERFPSLLTLTEHKVTHSKSLDTGTCRICKMPLQSEEEFIEHCQMHPD
+LRNSLTGFRCVVCMQTVTSTLELKIHGTFHMQKLAGSSAASSPNGQGLQKLYKCALCLKE
+FRSKQDLVKLDVNGLPYGLCAGCMARSANGQVGGLAPPEPADRPCAGLRCPECSVKFESA
+EDLESHMQVDHRDLTPETSGPRKGAQTSPVPRKKTYQCIKCQMTFENEREIQIHVANHMI
+EEGINHECKLCNQMFDSPAKLLCHLIEHSFEGMGGTFKCPVCFTVFVQANKLQQHIFAVH
+GQEDKIYDCSQCPQKFFFQTELQNHTMSQHAQ
+>tr|E1BPS0|E1BPS0_BOVIN CREB3 regulatory factor OS=Bos taurus OX=9913 GN=CREBRF PE=4 SV=1
+MPQPSVSGMDPPFGDAFRSHTFSEQTLMSTDLLANSSDPDFMYELDREMNYQQNPRDNFL
+SLEDCKDIENLESFTDVLDNEGTLTSNWEQWDTYCEDLTKYTKLTSCDIWGTKEVDYLGL
+DDFSSPYQDEEVISKTPTLAQLNSEDSQSVSDSLYYPDSLFSVKQNPLASSFPGKKITSR
+AAAPVCSSKTLQAEVPLSDCVQKASKPTSSTQIMVKTNMYHNEKVNFHVECKDYVKKAKV
+KINPVQQSRPLLSQVHADAAKENTCYCGAVAKRPEKKGTEPLQGHATPALPFKETQELLL
+SPLPQEGPGSVAAGESSSLSASTSVSDSSQKKEEHNYSLFVSDNLGEQPTKCSPEEDEED
+EEDVDDEDHDEGFGSEHELSENEEEEEEEEDYEDDKDDDISDTFSEPGYENDSVEDLKEM
+TSVTSRKRGKRRYFWEYSEQLTPSQQERMLRPSEWNRETLPSNMYQKNGLHHGKYAVKKS
+RRTDVEDLTPNPKKLLQIGNELRKLNKVISDLTPVSELPLTARPRSRKEKNKLASRACRL
+KKKAQYEANKVKLWGLNTEYDNLLFVINSIKQEIVNRVQSPREERGPNMGQKLEILIKDT
+LGLPVAGQTSEFVNQVLEKTAEGNPTGGLVGLRIPTSKV
+>tr|A7MBJ3|A7MBJ3_BOVIN alpha-1,2-Mannosidase OS=Bos taurus OX=9913 GN=EDEM2 PE=2 SV=1
+MLFRLLVPLGLLCALLPLHHGAPGPDGTAPDPAHYRERVKAMFYHAYDSYLENAFPYDEL
+RPLTCDGHDTWGSFSLTLIDALDTLLILGNVSEFQRVVEVLQDNVDFDIDVNASVFETNI
+RVVGGLLSAHLLSKKAGVEVEAGWPCSGPLLRMAEEAARKLLPAFQTPTGMPYGTVNLLH
+GVNPGETPVTCTAGIGTFIVEFATLSSLTGDPVFEDVARVALMRLWESRSDIGLVGNHID
+VLTGKWVAQDAGIGAGVDSYFEYLVKGAILLQDKKLMAMFLEYNKAIRNYTRFDDWYLWV
+QMYKGTVSMPVFQSLEAYWPGLQSLIGDIDNAMRTFLNYYTIWKQFGGLPEFYNIPQGYT
+VEKREGYPLRPELIESAMYLYRATGDPTLLELGRDAVESIEKISKVECGFATIKDLRDHK
+LDNRMESFFLAETVKYLYLLFDPDNFIHNNGSTFDAVITPYGECVLGAGGYIFNTEAHPI
+DPAALHCCRRLKEEQWEVEDLMREFYSLKRKRSRFQKKTMSSGPWEPPTGPGTFSSPENH
+EQAREKKPAKQKIPLLSCPSQPFTSKLALLGQVFLDSS
+>tr|A6QPD1|A6QPD1_BOVIN ZSCAN2 protein OS=Bos taurus OX=9913 GN=ZSCAN2 PE=2 SV=1
+MMASEGPRVTAPRSPVVCLPQKEDNQEEEVATVILEDDSWVQEAVLQEDGPETEPFPQGA
+GKGSPHEDVAGGPQGALGRLRELCRRWLRPEMHTKEQMLTVLPREIQAWLQEHRPESGEE
+AVALVEDLTQTLRDSDFEIQSENWESSNQDIFEDVESHEMFSDVPEGEGIPQSDWESDVE
+RDCNPQGPRRNTPRKDRGVVPAPGREAGQLIGLQGTYLGEKPYECPQCGKTFSRKSHLIT
+HERTHTGEKYYKCDECGKSFSDGSNFSRHQTTHTGEKPYKCRDCGKSFSRSANLITHQRI
+HTGEKPFRCAECGKSFSRSPNLIAHQRTHTGEKPYSCPECGKSFGNRSSLNTHQGIHTGE
+KPYECKECGESFSYNSNLIRHQRIHTGEKPYKCPDCGQRFSQSSALITHRRTHTGEKPYQ
+CGECGKSFSRSSNLATHRRTHLVEKPYKCGECGKSFSQSSSLIAHQGTHTGEKPYECRTC
+GESFSWSSNLLKHQRIHTGEKPYKCGECGKGFSQRSQLVVHQRTHTGEKPYKCLMCGKSF
+SRGSILVMHQRAHLGDKPYRCPECGKGFSWNSVLIIHQRIHTGEKPYKCPECGKGFSNSS
+NFITHQRTHLKEKLY
+>tr|E1BEG7|E1BEG7_BOVIN PR/SET domain 15 OS=Bos taurus OX=9913 GN=PRDM15 PE=4 SV=2
+MAEDGNEEIMFIWCEDCSQYHDSECPELGPVVMVKDSFVLSRARSSLPSNLEIRRLEDGA
+EGVFAVTQLVKRTQFGPFESRRVAKWEKESAFPLKVFQKDGHPVCFDTSNEDDCNWMMLV
+RPAAEPGHQNLTAFQHGSDVYFTTSRDIPPGTELRVWYAAFYAKRMDKPMLRQACPGLQA
+AGTPENSTPVEAEPSQWVCKVCSATFLELQLLNEHLLGHLEQSKSGAPAGQQESAPEKEA
+DVPRGEPAEVPKTVSATKEQKKKPRRGRKPKASKPEQPLVIVEGKEPAEQVTEIMTEVEP
+VTVTPDERIMELVLGKLAATPSDTSSVPKFPHHQSGTITLKRSLILSSRHGIRRKVVRQL
+GEHRRVYQCSICSKVFQNSSNLSRHVRSHGDKLFKCEECAKLFSRKESLKQHVSYKHSRN
+EVDSEYRYRCGTCEKTFRIQSALEFHNCRTDDKTFQCEMCFRFFSTNSNLSKHKKKHGDK
+KFACEVCNKMFYRKDVMLDHQRRHLEGVRRVKREDVEAGGGENLVRYRKEPSGCPVCGKV
+FSCRSNMNKHLLTHGDKKYTCEICGRKFFRVDVLRDHIHVHFKDIALMDDHQREEFIGKI
+GISSEENDDHSDESADSEPHKYSCKRCQLTFGRGKEYLKHIMEVHKEKGYGCSICHRRFA
+LKATYHAHMVIHRENLPDPNVQKYIHPCEICGRIFNSIGNLERHKLIHTGVKSHACEQCG
+KSFARKDMLKEHMRVHDNIREYLCAECGKGMKTKHALRHHMKLHKGIKEYECRECHRKFA
+QKVNMLKHYKRHTGIKDFMCELCGKTFSERNTMETHKLIHTVGKQWTCSVCDKKYVTEYM
+LQKHVQLTHDKVEAQSCQLCGTKVSTRASMSRHMRRKHPEVLAVRIDDLDHLPETTTIDA
+SSIGIVQPELSLEQEELAEGKHVKATRRAPKRKQKPEEEAGATGPEDAAFSEFSEKEGSF
+SGGVGDETDSAVQSIQQVVVTLGDPNVTTPSSSVGLTNITVTPITTTAGTQFTNLQPVAV
+GHLTTPERQLQLDNSILTVTFDTVSGSAMLHNRQNDLQIHPQPEASNPQSVAHFINLTTL
+VNSITPLGSQLGEQHPLTWRAVPQTDGPPPPPPAPAQQAAQPSVPAEQQPQMYSY
+>tr|F1MUD3|F1MUD3_BOVIN Sperm flagellar 2 OS=Bos taurus OX=9913 GN=SPEF2 PE=2 SV=3
+MSEILCQWLNQELKVSQTVSPKSFAKAFSSGYLIGEVLHKFALQDDFADFSESRISSAKL
+NNFSRLEPTLHLLGVQFDQNVAHNIITEKPGAATKLLYQLYIALQRKKKGVQTGVEMPTV
+QPLMSTKVQNMKSEAFRDQRLSRRRQNEIMAKIQAAIIQIPKPTSNRTLKTLDAQKLMKK
+KKEAEDVANEIKKFEALIKKDLQAKESASKTSLDTAGQTTTDLLNTYSDDDYIKKIQKRL
+EEDAFAREQREKRRRRLLMDQLIAHEAQEEAYREEQLINRLMRQSQQERRIAVQLMHVRH
+EKEVLWQNRIFREKQYEERRLKDFQDALDREAALARQAKIDFEEQALRDKEIHEQIAIER
+AQARYEKHYSICAEILDQILDLSTKVADYRLLTNNLIPYKLMHDWKELFFHGKPIYEQAS
+LRHLPTKLSAEHLIELEKRNLLDNNDYEEYKNMVGEWALPEEMVENLPPSNNCILGHVIH
+RLIESSLPPQVESTVPELPSFAIKGCLLGKTFSGKTTALKALEKSFPIQVLSIDTLVQEA
+IQAFHDKESVSGAHGLQKAPEEKALPVRQESDEDNQAIHEVISSQALPKKEDKTRLDTDA
+RKAAKAEEVVASDSFSELTVRAQLGAKSERLLKKGKSISDILLVSIMVNAINQIPMYKGW
+ILDGFPMTLNQAKLLEEALTGYNRNLIELEGKKSHTSTLAVDPTASKEVPLPPSAFDFVM
+LLDISDNSSLNRMNDIMAEAFSSETPLEDINQRVAAKNKDTDEDQNLRDQIQHRIVGFLD
+NWPSLEQWFTEPENILIKINAEVDKQSLCQKVKEMFMTEIMKKENKVKKKLEEKEAERKE
+EVSLTEKTSPPPPPPPPPEPEKEKEVHLPQERSKTPAAKGKQASEPPHGNREPLQEGKGK
+KSETSLKRKGSPRGKSLGGKIPLKKSPAESTDVSPVPVVPAPSKPGSEEWVYVNEPIPEE
+IPSFLVPYWKLIENSYINSIKTVLRQLREDQHAVLAYLYDIRTGFQQFLRRPDHKQDFVS
+QWQADFNSLPDDLWDDEETKAELHQRVNDFRDRLWDICDARKEEAEQERLDIINESWLQD
+STGIAMNHFFSLMQAELNRFQDTKRLLQDYYRAMECKIPMDDTKRFTRVPLVQLDSKDIL
+ESQLRIPLVPRRSISPESALSKPKTKSILKGKIDYMLESVELNFEADEKMVMDTWQQSSL
+AISHMVAAEIHQRLMEEEKENQPAETKEKLPQAAANKKVKKEPPPPPPPPKKKKEDKKGK
+GKSPPMTEAAPVVLPAEETEEVEVKNELKLRIKEEHLAALQFEEIATQFRLELIKVKALA
+FLEELVIKAVDVYRLMEKWLGERYLNEMASVEKLTGVARYHIETSTKIQNELYLDQEDFF
+INGDIKVFPDPPPPVRPPPVEKEENGTLTIEQLDNLRDQFLDIAPKGIIGNKAFADLLLD
+LVTLNLGTNNFPSSWMNLTQPEAGLWFTGDEDIKIPENPLEPLPFNRQEHLIEFFFRLFA
+DCEKDPPQLDYTQMLLYFACHPDSTEGVYRALSVAIGTHVFQPIEMPHPVAEKTSSFTDM
+SPIEEYPELEDNFMSEERELQEDGEEKEEEIPENANTEMISMQTLLQVFRGGNEVLDANR
+FASHQKLENTYLENFIKVFQDLGSKNLEPIEVAVLLKHPFIQDLISSYPDYKIPDVKIIL
+QRSEHVQGSDEERSPSRLTEEKK
+>tr|F1MTW5|F1MTW5_BOVIN Liver mannan-binding lectin OS=Bos taurus OX=9913 GN=MBL1 PE=2 SV=1
+MFLFSSLPVLLCLVTVSFSNTKAVEDAQKTCPVVACAIPVTNGTPGRDGRDGPKGEKGEP
+GQGLRGSQGPPGKMGPPGNIGNPGLPGPRGYKGDRGDSSVAEAKLASLERQIRDLRSELD
+HVKKLQTFSLGKKSGKKLYVTNREKMPFSSVKALCTALGATVATPKNAEENKAIQDMASD
+TAFLGITDEVTEGQFMYVTGGRLGYSNWKKNEPNNYGSGEDCVSLLPDGLWNDISCSSSF
+LAICEFPA
+>tr|E1B714|E1B714_BOVIN Axin 1 OS=Bos taurus OX=9913 GN=AXIN1 PE=4 SV=2
+MNIQEQGFPLDLGASFTEDAPRPPVPGEEGELVSTDPRPVSHSFCSGKGAGIKGETSTAT
+PRRSDLDLGYEPEGSASPTPPYLKWAESLHSLLDDQDGINLFRTFLKQEDCADLLDFWFA
+CSGFRKLEPCDSNEEKRLKLARAIYRKYILDNNGIVSRQTKPATKSFIKDCISKQQIDPA
+MFDQAQTEVQSTMEENTYPSFLKSDIYLEYTRTGSESPKLCSDQSSGSGTGKGVPGYLPT
+LNEDEEWKCDREVDEDSGRDPAPPGRLTQKLLLETAAPRASSSRRYSEGRELRCGSWREP
+VNPYYVNSGYALAPATSANDSEQQSLSSDADSLSLTDSSVDGVPPYRTRRQHRREMQESV
+QANGRVPLPHIPRTYRMPKEVRVEPQKFAAELIHRLEAVQRTREAEEELEERLKRVRMEE
+EGEDSDMPSGPQGASHKLPSAPAWHHFPSRYADVGCTGLRDAHEENPESILDEHVQRVMR
+TPGCQSPGPGHRSPDSAHVPKIGVLGGAMPGHGKHAPKLGAKLDPTGLHLHRHGHHHGHH
+GAARPKEPAEAEAARRVPGSFAWGPELHGHAAKPRSHVESTGAPPAGGDGLAYGGKVGTT
+SKRNSKKAELGKSSSTEAPGPSEDAEKNQKIMQWIIEGEKEISRHRKAGHGSSGAKKQQA
+HESSRPLSIERPGAVHPWVSAQLRSSVQPSHLFIQDPTMPPNPAPNPLTQLEEARRRLEE
+EEKRASKAPSKQRTKSQRKAGGGSTQPCDSIVVAYYFCGEPIPYRTLVRGRAVTLGQFKE
+LLTKKGNYRFYFKKVSDEFECGVVFEEVREDTAVLPVFEEKIIGKVEKVD
+>tr|A2VDV4|A2VDV4_BOVIN Hypothetical LOC529775 OS=Bos taurus OX=9913 GN=LYSMD4 PE=2 SV=1
+MRQKEVITKTFQSPAVVCRTPTSHVYMFENGVEDSEDSSEEESHRVALRPRGKEGQKKGA
+HHPHRPGAGDVVLLQRELTQGDSLNKLALQYGCKVADIKKVNNFIREQDLYALKSIKIPV
+KNHGILTETHKELRPLLNSSSETRVTFEEQPDPHRAAVNASASSSSLTDFFKGIDQNIEH
+AVQSEIFLSESYSIETSSQPLLPAPPKIPTNGADCGIQWWNAVFIMLLIGVVLPVFYLVY
+FKIQATSETPSILNTTAIPNGSMAGNAVPGQAPRLAIPMPTIPSSDSQFSQTTHGGN
+>tr|A0A3Q1MF44|A0A3Q1MF44_BOVIN Mitochondrial inner membrane protease subunit OS=Bos taurus OX=9913 GN=IMMP1L PE=3 SV=1
+MLRGVLGKTFRLVGYTIQYGCIAHCAFEYVGGVLVCSGPSMEPTIQNSDIVFAENLSRHF
+YGIQRGDIVVAKSPSDPKSNICKRVIGLEGDKILTSSPAGFFKSHSYVPKGHVWLEGDNL
+QNSTDSRYYGPVPYGLIRGRIFLKIWPLNDFGFLRDSPNGHRFSDE
+>tr|A6QNR5|A6QNR5_BOVIN Chloride channel protein OS=Bos taurus OX=9913 GN=CLCN2 PE=2 SV=1
+MAAAAGPAAEEGMEPRALQYEQTLMYGRYTQDLGAFAKEEAARIRLGGPEPWRGPPSPRA
+PPELLEYGQSRCARCRICTVHCHKFLVSRVGEDWIFLVLLGLLMALVSWAMDYAIAACLQ
+AQQWMSRGLNTNLLLQYLAWVTYPVVLITFSAGFTQILAPQAVGSGIPEMKTILRGVVLK
+EYLTLKTFVAKVIGLTCALGSGMPLGKEGPFVHIASMCAALLSKFLSLFGGIYENESRNT
+EMLAAACAVGVGCCFAAPIGGVLFSIEVTSTFFAVRNYWRGFFAATFSAFIFRVLAVWNR
+DEETITALFKTRFRLDFPFDLQELPAFAVIGIASGFGGALFVYLNRKIVQVMRKQKTINR
+FLMKKRLLFPALVTLLISTLTFPAGFGQFMAGQLSQKETLVTLFDNRTWVRQGLMEELEP
+PGTSQAWNPPRANVFLTLVIFILMKFWMSALATTIPVPCGAFMPVFVIGAAFGRLVGESM
+AAWFPDGIHTDGSTYRIVPGGYAVVGAAALAGAVTHTVSTAVIVFELTGQIAHILPVMIA
+VILANAVAQSLQPSLYDSIIRIKKLPYLPELGWGRHQQYRVRVEDIMVRDVPHVALSCTF
+RDLRLALHRTKGRMLALVESPESMILLGSIERSQVVALLGAQLSPARRRRYMQERQAAQT
+SSPSDQESPPSPETSVRFQVNTEDQGFPAGRGETHKPLKPALKRSPSNTVNVKESPTGNM
+EQAGITLRSLFCGSPPAEPASELEKSGKCDKRKLKRVRISLASDSDLEGEMTPEEILEWE
+EQQLDEPVNFSDCKIDPAPFQLVERTSLHKTHTIFSLLGVDHAYVTSIGRLIGIVTLKEL
+RKAIEGSVTAQGVKVRPPLASFRDSATSSSDTETTEVHALWGPRSRHGLPREGSPSDSDD
+KCQ
+>tr|Q17QN5|Q17QN5_BOVIN Armadillo repeat containing X-linked 1 OS=Bos taurus OX=9913 GN=ARMCX1 PE=2 SV=1
+MGRTREAGCVAAGVVIGAGACYCVYRLTWGRDENEIIWNEDDDEEGESHDISETGKGAKA
+NAGAGAGARLQGESKAKAEVAVELKSGPDVKAEAHSKAERGGGLEAKAKALFSTLKEQAS
+AKAVKAAKLGTIFGTRALAPGLPCPGVRGGGCHPVRNGARAGSKASGKSKGRARNKSTRT
+PAMLWPVRKGKFNFPYKIDDILGATDLQKVLNILERSNDPFIQEVALVTLGNNAAYSFNQ
+NAIRELGGLPIIAKLIKTKDPIIREKAYNALNNLSVNSENQGKIKTYISQVCDDTMICRL
+DSAVQMAGLRLLTNMTVTNHYQHLLSYSFPDFFALLFLGNYFTKIQIMKLIINFTENPAM
+TRELVSCKVPSELISLFNKEWDREILLNILTLFENINDNIKNEGLASSRKEFSRSSLFFL
+FKESGVCVKKIKALANHSDLVVKVKVLKVLTKL
+>tr|F1MDA3|F1MDA3_BOVIN Solute carrier family 7 member 6 OS=Bos taurus OX=9913 GN=SLC7A6 PE=4 SV=2
+MEARELESPTPTYHLIPEASQPREEEGDCMLPQRATETMQLKKEISLLNGVSLVVGNMIG
+SGIFVSPKGVLVYTASYGLSLVIWAVGGLFSVVGALCYAELGTTITKSGASYAYILEAFG
+GFIAFIRLWASLLIVEPTGQAIIAITFANYIIQPSFPTCEPPYLACRLLAAACMCLLTFV
+NCAYVKWGTRVQDMFTYAKVLALIAIIVMGLVKLCQGHSEHFQDAFKGSSWDVGDLSLAL
+YSALFSYSGWDTLNFVTEEIKNPERNLPLAIGISMPIVTLIYILTNVAYYTVLSISDVLG
+SDAVAVTFADQTFGMFGWTIPIAVALSCFGGLNASIFASSRLFFVGSREGHLPDLLSMIH
+IERFTPIPALLFNCTMTLIYLTVEDVFLLINYFSFSYWFFVGLSVAGQLYLRWKEPDRPR
+PLKLSLFFPIVFCICSLFLVIVPLFSDTINSLIGIGITLSGVPVYFLGVYLPESRRPLFI
+RNVLAAITKVTQKLCFCVLTELDVAEERQAERKTE
+>tr|A5D9H9|A5D9H9_BOVIN DNA polymerase delta interacting protein 2 OS=Bos taurus OX=9913 GN=POLDIP2 PE=2 SV=1
+MAGCVARRALTVGSRWWSRSLTGTRGPRPLCAAGGAGAFPPVATTTTRRHLSSRNRPEGK
+VLETVGVFEVPKQNGKYETGQLFLHSVFGYRGVVLFPWQARLYDRDVASAAPEKAENPAG
+HGSKEVKGKTHTYYQVLIDARDCPHISQRSQTEAVTFLANHDDSRALYAIPGLDYVSHED
+ILPYTSTDQVPIQHELFERFLLYDQTKAPPFVARETLRAWQEKNHPWLELSDVHRETTEN
+IRVTVIPFYMGMREAQNSHVYWWRYCIRLENLDSDVVQLRERHWRIFSLSGTLETVRGRG
+VVGREPVLSKEQPAFQYSSHVSLQASSGHMWGTFRFERPDGSHFDVRIPPFSLESNKDEK
+TPPSGLHW
+>tr|A7MB83|A7MB83_BOVIN PLOD2 protein OS=Bos taurus OX=9913 GN=PLOD2 PE=2 SV=1
+MGWCAVKTQQLLLLLLAALVLQPWNPCLGADSEKPSSIPTDKLLVITVATKESDGFHRFM
+QSAKYFNYTVKVLGQGEEWRGGDGINTIGGGQKVRLMKEIMEHYANQEDLVVLFTECFNV
+IFAGGPEEVLKKFQKSNHKVVFAADGILWPDKRLADKYPIVHIGKRYLNSGGFIGYAPYI
+NRIVQQWNLQDNDDDQLFYTKIYIDPLKREAINITLDHKCKIFQTLNGAVDEVVLKFENG
+KARAKNVFYETLPVMINGNGPTKILLNYFGNYIPNAWTQDNGCTFCEVDTIDLSAVDVYP
+NVTIGVFIEQPTPFLPRFLNTLLTLDYPKEALKFFIHNKEVYHEKDIKVFFDKAKHEITT
+IKIVGPEENLSQAEARNMGMDFCRQDKNCEYYFSVDADVVLTNPRTLKILIEQNRKIIAP
+LVTRHGKLWSNFWGALSPDGYYARSEDYVDIVQGNRVGIWNVPYMANVYLIKGKTLRSEM
+IERNYFVRDKLDPDMALCRNAREMTLQREKDSPTPETFQMLSPPKGVFMYISNRHEFGRL
+LSTANYNISHFNNDLWQIFENPVDWKEKYINRDYSKIFTENIVEQPCPDVFWFPIFSEKA
+CDELVEEMEHYGQWSGGKHHDSRISGGYENVPTDDIHMKQIGLENVWLHFIREFIAPVTL
+KVFAGYYTKGFALLNFVVKYSPERQRSLRPHHDASTFTINIALNNVGEDFQGGGCKFLRY
+NCSIESPRKGWSFMHPGRLTHLHEGLPVKNGTRYIAVSFIDP
+>tr|F1MSN8|F1MSN8_BOVIN E3 ubiquitin-protein ligase RNF168 OS=Bos taurus OX=9913 GN=RNF168 PE=3 SV=2
+MAVPKESIPSLLECQCQICVEILFEPVTLPCNHTLCKPCFESTVEKASLCCPFCRRRVSS
+WARYRSRTNSLVNMELWEIIQKHYPKECKLRASGQESKEIVDDYQPVRLLSKPGELRREY
+EEEISKVEAERRACEEEENKASEEYIQKLLAEEEEEEKRQAEKRHREMEEQLKSDEELAR
+RLSLDINNFCEGSVLASPLNSRKSDPVTTKSQKKSKNKQTNTGDIQKYLSPKSQLGSASQ
+SEVVQEDRKSSMSKKIDDNSDVKSPTWQDTEVEEDMPTLSPQIYLEVQEQGAKSSVESPM
+PQLYTSDGEWYLEGKVETGPSNHEKGLCVINLEEPKARVPYSGDAATEPCGETESECTVS
+DMTQFLRNNTVGTENEESHLQISKGTSKRRNLEPLSEAIREPCFSAKRRKMFPKASSDQE
+ETEISLTQKLIDLEHLLFERHKQEKQDRLLALQLQEEVDQEQMRPDRQKGSPDGYQLRTV
+SSPPDKVLNGQRKNSRDRNSKRQTELEQPKPRTDSKNENHQQPSFKIQLKCSVNRRKIAN
+STNDNCNVSKTAHSLQPSKSQKSIFQMFQRVTK
+>tr|A5D7H4|A5D7H4_BOVIN RNF6 protein OS=Bos taurus OX=9913 GN=RNF6 PE=2 SV=1
+MNRSRSQSDDGGEETSSQDQHHQENERRWQQERLHREEAYYQFINNLNDEDYRLMRDHNL
+LGTPGEITSEELQQRLDGVKEQIASQPDLRNGTTARDAGIPREGSNEDSLLEWLNTFLRT
+GNATRSGQNGNQTWRAVSRTNPHSGEFRFSLEIHINHENRGFEMDGEDSVAMPFSDVSQD
+HAADGPQRPSSPVARRTRSQAAGNLSRGARIPRTRLGSRGQSSVEGSLSPLGRLRNGIGG
+ASGSPGPGAPLTHLDTPSGRSELRQREGQRFGAAHVWENGARTNVTVRNTNQRLEPIRLR
+STFRSRSRSPIQAPSGTVYHNSQRESRPYQQSTRRSVRRRGVTRVFLEQDPEGRGAASSL
+FSNSRLVSRITVEEEEEEPSRLPAAMRRHPTITLDLQVRRIRPGESSDRDSIANRTRSRV
+GLAENRISLERNSGGFHRSISRQERSGMRTYVSTITVPLRRVSEHELAEPSSTALRSILR
+QIMTGFGELSSLMEAESESEAQSDGSHGPVLRSDAGPPGGATGPPTGGAPRGRLARVELT
+PLHTLASDPVVGRSARNSGNLVETGTLPILRLAHFFLLNEGDDDDPTRGLTKEQIDNLST
+RNYEHSGADGEPGKACSVCISDYVAGNKLRQLPCMHEFHIHCIDRWLSENCTCPVCRQPV
+LGSGLANSG
+>tr|A6QQB9|A6QQB9_BOVIN LOC618367 protein OS=Bos taurus OX=9913 GN=LOC618367 PE=2 SV=1
+MASPEPQPGDLIEIFRPFYRHWAVYVGGGYVVHLAPSSEIAGAGGASIMSAVADRAIVKK
+ERLCDVVGRDPYRVNNKHDDKYNPFPPSKIVQWAEELVGRELCYSLTSKNCEHFVNELRY
+GVSRSDQVRDAVTAATISGVGLIALSAGVMFSRNKKQSQ
+>tr|Q58DJ3|Q58DJ3_BOVIN Coiled-coil domain containing 183 OS=Bos taurus OX=9913 GN=CCDC183 PE=2 SV=1
+MRLANSRCTMEVAREKLRKYVFDRVNVHNVLIHLVRRRGRKLESMQLELAGLKSQPDATK
+EELRLQQVIRQLENNIEKTTIKITTSQNIHFLYMDLLDHLKKKLAGYPTELDKLQNLVTN
+YCLELSDMTVMSQDAMMITDEVKMNMRQGEATFIEERRARENRLNQQKKLIDKIHTKETS
+EKYRRGRRDLDFPSNLMGPETLKGHCWTVPGSEGHGGGPGAADGGL
+>tr|Q2KJJ7|Q2KJJ7_BOVIN Serine peptidase inhibitor, Kunitz type 1 OS=Bos taurus OX=9913 GN=SPINT1 PE=2 SV=1
+MAGGRLSRARIPAVAVWLLCALVLQGAEAGPPPVTPGLLTADGCLNRFTPGVPDFVLDTD
+ASVRNGATFLRSLTARRGRDCVHACCSSNDCNLALVELQPDGGEDAIVSCFLINCLYEQN
+FVCKFAPREGFINYLTREVYRSYRELRTQGFRGSRIPMAWDGIDLKVQPQEPLVLKGVEN
+TDWRLLRGDTDIRVERNDPDQVELWGLKEGSYLFQLTATGSDQPERTSNVTVTVLSPEQT
+EEYCLASRKVGRCRGSFPRWYYDPTEQICKSFVYGGCLGNKNNYLREEECKLACRNVQGP
+SAERHHPVCSGSCHSSKFRCGDGCCIDSFLECDDTPDCPDASDEATCEKYTRDFDKLQRI
+HFPGDKGHCVDMPDTGLCSESIPRWYYNPFTEHCARFTYGGCYGNKNNFEEEEQCLESCR
+GISKKDVFGLRRESPVPNTGSLPPPGPVEVAIAVLLGTCIVVVVAILGYCFFKNQRKDFH
+RHRHPPPSTPTSSKVSTTDDMEHLVYYQTTRPL
+>tr|Q17QY9|Q17QY9_BOVIN Protein phosphatase 1 regulatory subunit 9A OS=Bos taurus OX=9913 GN=PPP1R9A PE=2 SV=1
+MLKTESSGERTTLRSASPHRNAYRTEFQALKSTFDKPKSDGEQKTKEGEGSQQSRGRKYG
+SNVNRIKNLFMQMGMEPSENAAVMARTRGRGGPSSPQRRMKPREFLEKTDGSVVKLESSV
+SERISRFDTMYDGPSYSKFTETRKMFERSVHESGQNNRYSPKKEKAGGSEPQDEWGGSKS
+NRGSTDSLDSLSSRTEAVSPTVSQLSAVFENTDSPSAIISEKAENNEYSVTGHYPLNLPS
+VTVTNLDTFGHLKDSNSWSPPSKHSDDAEDAQKRSTSPGLEVASKSTSLASVPSEEAQQS
+KAAEDPASNREAPGRTDRDTPEEPGAESEAVPEPEIPSPQNEPSEDAEARLVESEAAMQQ
+RRELAGGDFTSAEVSRFTCEKEVFEEPHHFGSSHVYMHSDYNVYRVRSRYNSDWGETGTE
+QEEQEDSDENNYCQPDVECSEIAGLPEEEDIPANRKIKFSSAPIKVFSTYSNEDYDRRND
+EVDPVAASAEYELEKRVEKLELFPVELEKDEDGLGISIIGMGVGADAGLEKLGIFVKTVT
+EGGAAQRDGRIQVNDQIVEVDGISLVGVTQNFAATVLRNTKGNVRFVIGREKPGQVSEVA
+QLISQTLEQERRQRELLEQHYAQYDADDDEASQENLKLNAERPGSSS
+>tr|A5PKC5|A5PKC5_BOVIN RELN protein OS=Bos taurus OX=9913 GN=RELN PE=2 SV=1
+MQQRATATHRGQIIFKDALAQQLCEQGAPTEATVHPHLAEIHSDSIILRDDFDSYHQKEL
+NPNIWVECNNCETGEQCGAIMHGNAVTFCEPYGPRELITTGLNTTTASVLQFSIGSGSCR
+YSYSDPCIIVSYAKNNTADWIQLEKIRAPSNVSTIIHILYLPEDAKGENVQFQWKQENLQ
+VGEVYEACWALDNILIINSAHRQVVLEDNLDPVDTGNWLFFPGATVKV
+>tr|Q0VD29|Q0VD29_BOVIN Ras-related GTP binding C OS=Bos taurus OX=9913 GN=RRAGC PE=2 SV=1
+MSLQYGVEETPLAGSYGAADSFPKDFGYGVEEEEEEAAAAGGGVGAGAGGGCGPGGADSS
+KPRILLMGLRRSGKSSIQKVVFHKMSPNETLFLESTNKIYKDDISNSSFVNFQIWDFPGQ
+MDFFDPTFDYEMIFRGTGALIYVIDAQDDYMEALTRLHITVSKAYKVNPDMNFEVFIHKV
+DGLSDDHKIETQRDIHQRANDDLADAGLEKLHLSFYLTSIYDHSIFEAFSKVVQKLIPQL
+PTLENLLNIFISNSGIEKAFLFDVVSKIYIATDSSPVDMQSYELCCDMIDVVIDVSCIYG
+LKEDGSGSAYDKESMAIIKLNNTTVLYLKEVTKFLALVCILREESFERKGLIDYNFHCFR
+KAIHEVFEVGVTSHRSCSHQTSAPSLKALTHNGTPRNAI
+>tr|Q09YL7|Q09YL7_BOVIN NFYB OS=Bos taurus OX=9913 GN=NFYB PE=4 SV=1
+MTMDGDSSTTDASQLGISADYIGGSHYVIQPHDDTEDSMNDHEDTNGSKESFREQDIYLP
+IANVARIMKNAIPQTGKIAKDAKECVQECVSEFISFITSEASERCHQEKRKTINGEDILF
+AMSTLGFDSYVEPLKLYLQKFREAMKGEKGIGGAVTATDGLSEELTDEAFTNQLPAGLIT
+ADGQQQNVMVYTTSYQQISGVQQIHDLKK
+>tr|A0A3Q1MKB1|A0A3Q1MKB1_BOVIN Cleavage and polyadenylation-specificity factor subunit 1 OS=Bos taurus OX=9913 GN=CPSF1 PE=4 SV=1
+MYAVYKQAHPPTGLEFSMYCNFFNNSERNLVVAGTSQLYVYRLNRDSEAPTKNDRSTDGK
+AHREHREKLELVASFSFFGNVMSMASVQLAGAKRDALLLSFKDAKLSVVEYDPGTHDLKT
+LSLHYFEEPELRDGFVQNVHTPRVRVDPDGRCAAMLIYGTRLVVLPFRRESLAEEHEGLV
+GEGQRSSFLPSYIIDVRALDEKLLNIVDLQFLHGYYEPTLLILFEPNQTWPGRVAVRQDT
+CSIVAISLNITQKVHPVIWSLTSLPFDCTQALAVPKPIGGVVIFAVNSLLYLNQSVPPYG
+VALNSLTTGTTAFPLRTQEGVRITLDCAQAAFISYDKMVISLKGGEIYVLTLITDGMRSV
+RAFHFDKAAASVLTTSMVTMEPGYLFLGSRLGNSLLLKYTEKLQEPPASTAREAADKEEP
+PSKKKRVDATTGWSGSKSVPQDEVDEIEVYGSEAQSGTQLATYSFEVCDSILNIGPCANA
+AMGEPAFLSEEFQNSPEPDLEIVVCSGYGKNGALSVLQKSIRPQVVTTFELPGCYDMWTV
+IAPVRKEQEETLKGEGTEPEPGAPEAEDDGRRHGFLILSREDSTMILQTGQEIMELDASG
+FATQGPTVFAGNIGDNRYIVQVSPLGIRLLEGVNQLHFIPVDLGSPIVQCAVADPYVVIM
+SAEGHVTMFLLKNDSYGGRHHRLALHKPPLHHQSKVITLCVYRDVSGMFTTESRLGGVRD
+ELGGRGGPEAEGQGAETSPTVDDEEEMLYGDSGSLFSPSKEEARRSSQPPADRDPAPFRA
+EPTHWCLLVRENGAMEIYQLPDWRLVFLVKNFPVGQRVLVDSSFGQPTTQGEARKEEATR
+QGELPLVKEVLLVALGSRQRRPYLLVHVDQELLIYEAFPHDSQLGQGNLKVRFKKVPHNI
+NFREKKPKPSKKKAEGGSTEEGTGPRGRVARFRYFEDIYGYSGVFICGPSPHWLLVTGRG
+ALRLHPMGIDGPIDSFAPFHNINCPRGFLYFNRQGELRISVLPAYLSYDAPWPVRKIPLR
+CTAHYVAYHVESKVYAVATSTSTPCTRVPRMTGEEKEFETIERDERYVHPQQEAFCIQLI
+SPVSWEAIPNARIELEEWEHVTCMKTVSLRSEETVSGLKGYVAAGTCLMQGEEVTCRGRI
+LIMDVIEVVPEPGQPLTKNKFKVLYEKEQKGPVTALCHCNGHLVSAIGQKIFLWSLRASE
+LTGMAFIDTQLYIHQMISVKNFILAADVMKSISLLRYQEESKTLSLVSRDAKPLEVYSVD
+FMVDNAQLGFLVSDRDRNLMVYMYLPEAKESFGGMRLLRRADFHVGAHVNTFWRTPCRGA
+AEGPSKKSVVWENKHITWFATLDGGIGLLLPMQEKTYRRLLMLQNALTTMLPHHAGLNPR
+AFRMLHVDRRVLQNAVRNVLDGELLNRYLYLSTMERGELAKKIGTTPDIVSRPHRPLPAR
+PPPAPRPHPALSLQILDDLLETDRVTAHF
+>tr|G5E5P6|G5E5P6_BOVIN 1-acylglycerol-3-phosphate O-acyltransferase 3 OS=Bos taurus OX=9913 GN=AGPAT3 PE=4 SV=1
+MGLLAFLKTQFVVHLLIGFVFVVSGLVINSIQLCTLVLWPVNKQLYRRLNCRLAYSLWSQ
+LVMLLEWWSCTECTLFTDQATVDTFGKEHAVIILNHNFEIDFLCGWTMCERFGVLGSSKV
+LAKRELLYVPLIGWTWYFLEIVFCKRKWEEDRDTVIKGLKSLANYPEYMWFLLYCEGTRF
+TEKKHRVSMEVAASKGLPVLKYHLLPRTKGFTTAVQCLRGTVTAVYDVTLNFRGNKNPSL
+LGILYGKKYEADMCVRRFPLEEIPLDEKEAAQWLHKLYQEKDALQEIYNQKGVFPGQQFK
+PTRRPWTLLNFLFWATLLLSPLFSFVLGVFASGSPLLILTFLGFVGAASFGVRRLIGVTE
+IEKGSSYGNQEFKKKE
+>tr|A7YWK1|A7YWK1_BOVIN HHEX protein OS=Bos taurus OX=9913 GN=HHEX PE=2 SV=1
+MQYPHPGPATAAGAVGVPLYAPTPLLQPAHPTPFYIEDILGRGPAAPTPTPTLPSPNSSF
+TSLVSSYRAPVYEPTPIHPAFSHHSAAALAAAYGPGGFGGPLYPFPRSVNDYTHALLRHD
+PLGKPLLWSPFLQRPLHKRKGGQVRFSNDQTIELEKKFETQKYLSPPERKRLAKMLQLSE
+RQVKTWFQNRRAKWRRLKQENPQNNKKEELESLDNSCDQRQDLPSDQNTGALESSQCSPS
+PVSQEDLESEISEDSDQEVDIEGDKGYFNAG
+>tr|Q58DV1|Q58DV1_BOVIN Protein XRP2 OS=Bos taurus OX=9913 GN=RP2 PE=2 SV=1
+MFSGLKDVTVGRLPGKVAGQQFLIQDCENCNIYIFDHSATVTIDDCTNCVIFLGPVKGSV
+FFRNCRDCKCALACQQFRVRDCRKLEVFLCCATQPIIESSTNIKFSCFQWYYPELAFQFK
+DAGLSIFNNTWSSIHDFTPVSGEHNWSLLPEDAVVQDHVPLPTTEELKAVRVSTEASRSI
+VPVSRGQRQKSSDESCLVVLFAGDYTIANARKLIDEMVAKGFFLVQTKEVSMKAEDAQRV
+FHEKAPDFLPLLNKGPVIALEFNGDGAVEGCQLIVNETFKGTKIFVSESKETAPGDVDSF
+YNFADIQMGI
+>tr|A1L570|A1L570_BOVIN Ephrin-B1 OS=Bos taurus OX=9913 GN=EFNB1 PE=2 SV=1
+MARPGQRWLGKWLVAMVVLALCRLATPLAKNLEPVSWSSLNPKFLSGKGLVIYPKIGDKL
+DIICPRAEAGRPYEYYKLYLVRPEQAAACSTVLDPNVLVTCNRPEQEIRFTIKFQEFSPN
+YMGLEFKKHHDYYITSTSNGSLEGLENREGGVCRTRTMKIVMKVGQDPNAVTPEQLTTSR
+PSKEADNTIKMATQAPGGRSSMGDSDGKHETVNQDEKSGSGGNGGSSGDPDSFFNSKVAL
+FAAVGAGCVIFLLIIIFLTILLLKLRKRHRKHTQQRAPALSLSTLASPKGGSGTAGTEPS
+DIIIPLRTTENNYCPHYEKVSGDYGHPVYIVQEMPPQSPANIYYKV
+>tr|A6QLI4|A6QLI4_BOVIN CHODL protein OS=Bos taurus OX=9913 GN=CHODL PE=2 SV=1
+MSRVVSLLLGAALLCGHGAFCRRVVSGQKVCFADFKHLCYKMAYFHELSSRVSFQEARLA
+CESEGGALLSLENEAEQKLIESMLQNLTKPGIGISDSDFWIGLWRNGQGQTSGACPDLYQ
+WSDGSSSQYRNWYTDEPSCGSEKCVVMYHQPTANPGLGGPYLYQWNDDRCNMKHNYICKY
+EPEINPTAPVEKTYFTNQPGDTHQNVVVTEAGIIPNLIYVVIPTIPLLLLILVAFGTCCF
+QMLHKSKGRTKTSPNQSTLWISKSTRKESGMEV
+>tr|A5D7K6|A5D7K6_BOVIN SIRT6 protein OS=Bos taurus OX=9913 GN=SIRT6 PE=2 SV=1
+MSVNYAAGLSPYADKGKCGLPEVFDPPEELEQKVWELAQLIWQSSSVVFHTGAGISTASG
+IPDFRGPHGVWTMEERGLAPTFDTTFENAQPTKTHMALVQLERVGLLHFLVSQNVDGLHV
+RSGFPRDKLAELHGNMFIEECVKCKMQYVRDTVVGSMGLKPTGRLCTVAKSRGLRACRGE
+LRDTILDWEDSLPDRDLTLADEASRNADLSITLGTSLQIRPSGNLPLATKRRGGRLVIVN
+LQPTKHDRHADLRIHGYVDEVMTRLMKHLGLEIPAWDGPHMVERALPPLPRPPAPKLEPK
+EEASPQLNSPVPANPKQEPTAEPCTQHNGSGPTSPKRERPDSPSPHRPPKRVKTEVVPS
+>tr|A0A3Q1N2V0|A0A3Q1N2V0_BOVIN Solute carrier family 39 member 10 OS=Bos taurus OX=9913 GN=SLC39A10 PE=4 SV=1
+MKVHMHTKFCLICLLTFIFHHCNHCHEEHDHGSEEHHRHHRGMTESESSEFSVLDAENEK
+KYYIEKLFDRYGENGRLSFFGLEKLLTNLGLGEIKVVEINHEDLGHDHVSHLDILAVQEG
+KHFHSHNHQHSHNHLNSENQTVTSVSAKRNRKCDPEKETIEVSVKSDDKHMHDHSHRLCH
+HHCLRHHLDHNTTRHFPNDSITHSEHGEPSHEPSTETNKTQEQSESKLPKGKRKRKEKKS
+NENSGVITPGFPSNHDQGEQYEHNRVHKPDRVHNPGHSHVRLPEHNGHDPGHGHQDLDPD
+IEGELRHTRKREAPHVKKSAIYSAASHKDHNEDDRQHECLNVTQLLKYHGHRANSPISSD
+LFTYLCPALLYQIDSRLCIEHFDKLLVEDLNKDKNQVPEDKANIGASAWICGIISITVIS
+LLSLLGVILVPIINQGCFKFLLTFLVALAVGTMSGDALLHLLPHSQGGHDHSHQHAHGHG
+HSHGHESKKFLEEYDAVLKGLVALGGIYLLFIIEHCIRMFKHYKQQRGKEKWFMKQNTEE
+SAIGRKLSDHKLNNTPDADWLQLKPLAGTDDSVVSEDRLNETELTDLEGQQESPPKNYLR
+IEEEKIMDHSHSDGMHAIHEHDLHAAAHNHHDESKTVLRKHNHQWHHKHSHHSHGPCHSG
+SDLKETGIANIAWMVIMGDGIHNFSDGLAIGAAFSAGLTGGISTSIAVFCHELPHELGDF
+AVLLKAGMTVKQAIVYNLLSAMMAYIGMLIGTAVGQYANNITLWIFAITAGMFLYVALVD
+MLPEMLHGDGDNEEHGFCPVGQFILQNLGLLFGFAIMLTQRQSCLEVYPRCHQQPHPPLH
+PMILHQHLLNETGKSSNYESEMLTKV
+>tr|Q3ZBX2|Q3ZBX2_BOVIN Integrin beta 1 binding protein 3 OS=Bos taurus OX=9913 GN=ITGB1BP3 PE=2 SV=1
+MKYIVGIGGMTNGGKTTLTNNLLMVLPNCCVIHQDDFFKPQDQIAVGEDGFKQWDVLESL
+DMEAMLSTVQAWMSSPRKFARAHGVSVRLDASDTHILILEGFLLYSYKPLVDLYSRRYFL
+TIPYEECKWRRSKRSYAVPDPPGLFDGHVWPMYQKYKREMEANGVEVVYLDGMKSREELF
+HQVLEDIQNSLLNRS
+>tr|F1MJ74|F1MJ74_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=3
+MGTTAIYPAMSPQDTQDLMPKNPALEDVFPKANLGMYQIFHLRNLNLKTDWEYTRVYERQ
+RGCLYEHKEMETLTHNANSTAKRNEQHESNWEKQHLQSSTSAEKCKCLRKDFHPFMKHTC
+SLKENVETLEGNLVSTTNPHSDNSERRLRLNIHSRMSEHVQFNNECENSQSKQFEGSMSR
+GSLSFPQQISSIHSKMYNVDDNGRDAFQPSLFNTYCGMVNTQQLSMYNKMSQMLSKSPSS
+NNYKSIYGGLRRYSGNETRYTVEGDSNLKKHQGPEFSNKDSKSNKCRNTFEQMSGFSLDK
+STCTGERTCSEYGKVSNHCSELTQQDAVQNPQEENKCKICEKVFSKSSNLSRHRRIHTGR
+KPFKCTECSRAFNCHSLLTQHQRIHAGEKPYICKECNKAFHRSSFLTQHQRIHTGEKPYK
+CTVCGKAFTYNSVLIKHQQIHTGEKPYKCTECSKAFTYNSYLIQHQRIHAGEKPYKCTEC
+SKAFTYNSCLTEHQRIHTGEKPYKCTECSKAFTYNSLLIQHRRIHTGEKPYKCSECSRAF
+TCNSDLIEHQRIHTGEKPYICKECNKAFRRSSYLTRHQRIHAGEKPYKCTVCGKVFTYNS
+SLIKHRRIHTGEKPYKCTECSKAFTYNSLLIQHQRNHTGEKPYKCTVCGKAFTYNSRLIK
+HQRIHAGEKAYKCTECSKAFTYNSLLTQHRRIHTGEKPYKCTECSKAFTYNSRLIQHRRI
+HTGEKPYKCTECSKAFTCNTSLTQHQRIHTAEKPYICKECNKAFHRSSFLTQHQRIHTGE
+KPYKCTKCGKAFTYQSNLIQHQRIHAR
+>tr|A0A3Q1LU89|A0A3Q1LU89_BOVIN Solute carrier family 22 member 23 OS=Bos taurus OX=9913 GN=SLC22A23 PE=4 SV=1
+MFSTLRFFEGFCLAGVTLTLYALRIELCPPGKRFMITMVASLVATAGQLLMPGLAALCRD
+WQVLQAFIICPFLLMLLYWSIFPESLRWLMATHQFESAKKLILHFTQKNRMNPESDIKGV
+MPELEKELSRRPRKVCIVKVVGTRNLWKNIVVLCVNSLTGFGIHHCFARSMMGHEVKVPL
+LEDFYADYYTAAGIALASCLAMCLAVRVLGRRGGLLLFMILTALASLLQLGLLNLIGKYS
+QHPDSELQLKLAVGMSDKVKDKFSITFSIVGMFASHAVGSLSVFFCAEITPTVIRCVWAA
+HGAGRGVFQGHWGCGGRCLAKLVNDPPALARVSGQGAACTGRPLGMDCCSTLTPRDPRIR
+GELKPGSRPGGWRDRERGFGGTSHTKGPGKGGVSGSLDIYLASAPPSAAHSPRRIQ
+>tr|Q148J7|Q148J7_BOVIN BCL2 associated athanogene 1 OS=Bos taurus OX=9913 GN=BAG1 PE=2 SV=1
+MSRSEEVAPSEEVVPSEEVALSEEVAQSEEMAAAGLSVTVTHSNEKHDLQVTPQEGNSEP
+IVQDLAQVVEEATGVPLSFQKLIFKGKSLKEMEMPLSALGIQNGCRVMLIGKKNSPEEEA
+ELKKLKDLEKSVEKIADQLEELNKDLAGIQQGFLAKDLQAEALCKLDRRVKATIEQFMKI
+LEEIDTLILPENFKDSRMKRKGLVKRIQAFLAECDTVEQNICQETERLQSTNLALAD
+>tr|Q0II23|Q0II23_BOVIN HAUS augmin like complex subunit 8 OS=Bos taurus OX=9913 GN=HAUS8 PE=2 SV=1
+MPAGGTKSSQLQKSKDGSGLDKGNLQSTLLEGHDTALSDLDLSAIHDKSVVRKTPQLKKK
+SKKAELSSSSAVSEKSPDLLQAMEMMESQTLLLTLLTVKMENGLSAFEEQAEKNLEILCK
+EKEKLQKKAYELKRRLLLCQKKKELEDFLDVQIEMLSPLEAVASRFREQYKTFAIALDTT
+RHELPVKSVHLDGDRQLFLDELQQELKTTRHLLGELGIGSSEDNAKAFNVLRELREVIQK
+KDLELRRSVDQVLELSAEASKEAALINQDVWEEAQGPEALSRWYFNPKEATDGETQEEIR
+SPLGHDEL
+>tr|G5E526|G5E526_BOVIN Protein tyrosine phosphatase type IVA, member 1 OS=Bos taurus OX=9913 GN=PTP4A1 PE=4 SV=1
+MARMNRPAPVEVTYRNMRFLITHNPTNATLSKFIEELKKYGVTTIVRVCEATYDTTLVEK
+EGIHVLDWPFDDGAPPSNQIVDDWLSLVKIKFREDPGCCIAVHCVAGLGRAPVLVALALI
+EGGMKYEDAVQFIRQKRRGAFNSKQLLYLEKYRPKMRLRFKDSNGHRNNCCIQ
+>tr|A7MBC3|A7MBC3_BOVIN Wee1-like protein kinase OS=Bos taurus OX=9913 GN=WEE1 PE=2 SV=1
+MSFLSRQQPPPPRRAAASCTLRQKLIFSPCSDCEEEEEEEEEEGSGHSTGEDSAFQEPDS
+PLPPARSPTEPGPERRRSPDTIPGSPGELEEDMLLRGACPGADAAGGGAEGDSWEEEGFG
+SSSPVKSPAAPYFLGSSFSPVRCGGPGDASLRGCGARGVGEGACSPLPDYPGTPPHKTFR
+KLRLFDTPHTPKSLLSKARGIDSSSVKLRGGSLFMDTEKSGKREFDVRQTPQVNINPFTP
+DSLLLHSSGQCRRRKRAYWNDSCGEDMEASDYEFEDETRPAKRITITESNMKSRYTTEFH
+ELEKIGSGEFGSVFKCVKRLDGCVYAIKRSKKPLAGSVDEQNALREVYAHAVLGQHSHVV
+RYFSAWAEDDHMLIQNEYCNGGSLADAISENYRSMSYFTEAELKDLLLQVGRGLRYIHSM
+SLVHMDIKPSNIFISRTSIPNAASEEGDEDDWASNKVMFKIGDLGHVTRISSPQVEEGDS
+RFLANEVLQENYTHLPKADIFALALTVVCAAGAEPLPRNGDQWHEIRQGRLPRIPQVLSQ
+EFTELLKVMIHPDPERRPSAMALVKHSVLLSASRKSAEQLRIELNAEKFKNSLLQKELKK
+AQMAKAAAEERALFTDRMATRSTTQSNRTSRLIGKKMNRSVSLTIY
+>tr|A0A3Q1M355|A0A3Q1M355_BOVIN Outer dense fiber protein 2 OS=Bos taurus OX=9913 GN=ODF2 PE=4 SV=1
+MKDRSSTPPLHVHVDENTPVHVHIKKLSKTSATNSQKSHKRGMKGDTVNVRRSVRVKTKV
+PWMPPGKSSARHVGCNWENPPHCLEITPPSSEKLVSVMRLSDLSTEDDDSGHCKMNRYDK
+KIDSLMNAVGCLKSEVKMQKGERQMAKRFLEERKEELEEVAQELAETEHENTVLRHNIER
+IKEEKDYTMLQKKHLQQEKECLMSKLVEAEMDGAAAAKQVMALKDTIGKLKSEKQMTCSD
+INTLTRQKELLLQKLSTFEETNRTLRDLLREQHCKEDSERLMEQQGTLLKRLAEADSEKA
+RLLLLLQDKDKEVEDLLQEIQCEKAQAKTASELSKSMETMRGHLQAQLRCKEAENSRLCM
+QIKNLERSGNQHKAEVEAIMEQLKELKQKGERDKESLKKAIRAQKERAEKSEEYAEQLHV
+QLADKDLYVAEALSTLESWRSRYNQVVKDKGDLELEIIVLNDRVTDLVNQQQTLEEKMRE
+DRDSLVERLHRQTAEYSAFKLENERLKASFAPMEDKLNQAHIEVQQLKASVKNYEGMIDN
+YKSQVMKTRLEADEVAAQLERCDKENKILKDEMNKEIEAARRQFQSQLADLQQLPDILKI
+TEAKLAECQDQLQGYERKNIDLTAIISDLRSRIEHQGDKLEMAREKHQASQKENKQLSLK
+VDELERKLEATSAQNIEFLQVIAKREEAIHQSQLRLEEKTRECGTLARQLESAIEDARRQ
+VEQTKEHAASKERAAQNKILDLETQLSRTKTELSQLRRSRDDVSLSGGSGGGSRQEGYGL
+KVELPGACRALCSVLFMNMTWFYFPPITFPSLQDIPGPSPCLLPALLRGHLP
+>tr|F1MBE4|F1MBE4_BOVIN Lysozyme B OS=Bos taurus OX=9913 GN=LYZL6 PE=3 SV=2
+MTSPLLISLASCLVAVNQASLIGRCDLAKVLHQEDLDGFEGYSLTDWLCLAFVESDFNIT
+KVNENTDGSFDYGIFQINSHYWCNDYQSHTENNCQVDCQELLSPNLLAIINCAKKIVSGA
+GGMKNWVKWRLHCAGRPLSYWMTGCHLA
+>tr|E1BC01|E1BC01_BOVIN Palmitoyltransferase OS=Bos taurus OX=9913 GN=ZDHHC23 PE=3 SV=1
+MKPVKKTKAEEPELEPLCCCEYIDRNGEKNHVAACLCDCQDLDEGCDRWITCKSVQPETC
+EKIMDTISDRLRIPWLRGAKKVNISILPPLFLLPVFLRVASWHFLLGVVVLTSLPVLALW
+YYYLTHRRKEQTLFFLSLGLFSLGYMYYVFLQEVVPKGRVGPTRLALLTCGLLLILFALY
+RAKKNPGYLRNPAHNDRALSGSQVECLNRKGPEKPKGFPSADLSGGLNNRAPKDETKDSP
+RTSAGSPAKGKDDWCAKCQLVRPARAWHCRICGICVRRMDHHCVWINSCVGESNHQAFIL
+ALLVFLLTSVYGITLTLDTICTDRSVFTALFYCPGVYANYSSALCFTCVWYSVIITGSMA
+YIFLIQLINISYNVTEREVQQALRQKTGRRLLCGLIVDTGQYNRGFLRNWHQFSTLGAHP
+FHHPAEDIV
+>tr|F6RP33|F6RP33_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=MS4A7 PE=4 SV=2
+MPSPLKLMTNRALDAFTPKGVVISKREKPGHVYQKDDLPKGLPKEATVLGIIQILCFLVI
+SSLGVILVSVPNSSQLSPAISSILMTGYPFLGALCFAITGTVSIISGKKSNKPFAINSLI
+FSAVSSVAAGVGLILLAGSLVALRTASQQCDSGRDYLSLLPYSTYYYSIYDVKDCLLTIV
+DLTGVLLVMLNFTVLELLAAAYASVFWWKRSTVTALRSFSHE
+>tr|A7YWU4|A7YWU4_BOVIN Chymotrypsin-like elastase family member 2A OS=Bos taurus OX=9913 GN=ELA2A PE=2 SV=1
+MIRALLLSTLVAGALSCGVPTYPPQLSRVVGGEDARPNSWPWQVSLQYSSSGQWRHTCGG
+SLIEQNWVLTAAHCISSSRTYRVVVGRQSLSTVESGSLTIAVSKSVIHEKWNSNQLAQGN
+DIALLKLASSVPLTDKIQLGCLPPAGTILPNNYVCYVTGWGRLQSNGALPDILQQGKLLV
+VDYATCSQPSWWGSTVKTNMICAGGDGVTSSCNGDSGGPLNCQAANGQWQVHGIVSFGSS
+LGCNYYRKPSVFTRVSNYNDWISSVIENN
+>tr|A5PKD6|A5PKD6_BOVIN G protein subunit beta 4 OS=Bos taurus OX=9913 GN=GNB4 PE=2 SV=1
+MSELEQLRQEAEQLRNQIQDARKACNDATLVQITSNMDSVGRIQMRTRRTLRGHLAKIYA
+MHWGYDSRLLVSASQDGKLIIWDSYTTNKMHAIPLRSSWVMTCAYAPSGNYVACGGLDNI
+CSIYNLKTREGNVRVSRELPGHTGYLSCCRFLDDSQIVTSSGDTTCALWDIETAQQTTAF
+TGHSGDVMSLSLSPDMRTFVSGACDASSKLWDIRDGMCRQSFTGHVSDINAVSFFPNGYA
+FATGSDDATCRLFDLRADQELLLYSHDNIICGITSVAFSKSGRLLLAGYDDFNCNVWDTL
+KGDRAGVLAGHDNRVSCLGVTDDGMAVATGSWDSFLRIWN
+>tr|Q1RML5|Q1RML5_BOVIN Signaling lymphocytic activation molecule family member 1 OS=Bos taurus OX=9913 GN=SLAMF1 PE=2 SV=1
+MDPKGLLSSNVLLLFSLIIELSCRTGEGLTSSTKTILGQLGSSVLLPLASEEISRSMNKS
+IHILVTMAESPKDTVKKKIVSLDLRKGDSPRLNDGYEFHLENLSLRILKSRKEDEGWYFI
+SLEENVSVQHFSLQLKLYEQVSTPQIKVLNTTQEDGNCSLMLACMVEKGDHVTYNWSEEA
+GVPLLSPTNSSHLLYLTLGPQHANNVYICTVSNPISNSSQTFIPWPSCSSSAPESRQWGL
+YAGLFLGGIVGIIMILQVVILLLRRRGKTDNYQPTTEAKSLTIYAQVQTSGSVQKKPDPL
+PAQDPCTTIYVAATEPVPEPIQESGSFTVYASVTLPES
+>tr|Q3ZC51|Q3ZC51_BOVIN SS18L2 protein OS=Bos taurus OX=9913 GN=SS18L2 PE=2 SV=1
+MSVAFVPDWLRGKAEVNQETIQRLLEENDQLIRCIVEYQNKGRANECVQYQHVLHRNLIY
+LATIADANPTSASKAME
+>tr|Q0V7P3|Q0V7P3_BOVIN Guanylate binding protein family, member 6 OS=Bos taurus OX=9913 GN=GBP6 PE=2 SV=1
+MEGVKDKQPAGSFPPAARYLGLDLRQLAGNSLCTMSWMDAPPPASIQECRKEPTTEYRGF
+CLGSTVQSETKGIWMWYVPHPSKENHTLVLLDTEGLGDMEKGDSKNDSWIFALAVLLSST
+CIYNSMGTINHQALEQLHYVTELTELIRIKSSPISDDVEDSVEFVRFFPDFVWTVRDFML
+ELEFDGNPITEDEYLENALKLIPGIRAGARW
+>tr|A8DC37|A8DC37_BOVIN Fc-gamma-RII-D OS=Bos taurus OX=9913 GN=FCGR2A PE=1 SV=1
+MGIPSFLAFPAARRNRAHCTPWHPWGHMLLWTALPFLAPVPGKCADLPKAVVSIQPAWIN
+VLREDHVTLMCQGTSFSAGNLTTWFHNGSSIHTQKQPSYSFRAGSNDGGSYRCQREQTSL
+SDPVHLDVISDWLLLQTPSLVFQEGEPIMLRCHSWRNQPLNKITFYQDGKSKTFSYQRTN
+FSIPRANLSHRGQYHCTAFIGKMLHSSQPVNITVQDGNEGPAVPLIFSPWYQITFCLVMG
+LLLAVDTGLYFSVQRDLQSSMGDGKNNKVRWSQDPQDK
+>tr|A5PJD6|A5PJD6_BOVIN ATL3 protein OS=Bos taurus OX=9913 GN=ATL3 PE=2 SV=1
+MLSPQRAVAAASGGAGDAMESGKPGPVQVVLVQKDQHSFELEEKALASILLQDHIRELDV
+VVVSVAGAFRKGKSFFLDFMLRYLYFQKEGGHSNWLGDPEEPLTGFSWRGGSDPETTGIQ
+IWSEVFTVEKPGGKKVAVVLMDTQGAFDSQSTVKDCATIFALSTMTSSVQIYNLSQNIQE
+DDLQQLQLFTEYGRLAMDEIFQKPFQTLMFLVRDWSFPYEYNYGLQGGMSFLEKRLQVKE
+HQHEEIQNVRNHIHSCFSSVSCFLLPHPGLQVATSPDFDGKLKDIASEFKEQLQTLILHV
+LNPANLMEKEINGSKVTCRGLLEYFKAYIKIYQGEDLPHPKSMLQATAEANNLAAAASAK
+DVYYNNMEEVCGGDKPYLSPDILEEKHCEFKQLALDHFKKTKKMGGKDFSLRYQQELEEE
+IKELYENFCKHNSSKNVFSTFRTPAVLFTGIVALYIASGLTGFIGLEVVAQLFNCMVGLL
+LIALLTWGYIRYSGQYRELGGAIDSGAAYVLEQATSHIGNSTQAAVRDAVAGRPPSDKKA
+Q
+>tr|A4FUE4|A4FUE4_BOVIN CCNI protein OS=Bos taurus OX=9913 GN=CCNI PE=2 SV=1
+MKFPGPLENQRLSFLLEKAISREAQMWKVNVPKIPTNQNVSPSQRDEVIQWLAKLKYQFN
+LYPETFALASSLLDRFLATVKAHPKYLSCIAISCFFLAAKTVEEDERIPVLKVLARDSFC
+GCSSSEILRMERIILDKLNWDLHTATPLDFLHIFHAIAVSTRPQLLFSLPSLSPSQHLAF
+LTKQLLHCMACSQLLQFKGSMLALAMVSLEMEKLIPDWLPLTIELLQKAQMDSSQLIHCR
+ELVAHHLSTLQSSLPLNSVYVYRPLKHTLVTCDRGVFRLHPSSVPGPDFSKDNSKPEVPV
+RGPAAFCHHLPAANGCKYASAKRKVEEMEVDDFYDGIKRLYNEDNASESVGSVCGTDLSR
+QEGQASPCPPLQPVSVM
+>tr|Q5E9I4|Q5E9I4_BOVIN MGAT1 protein OS=Bos taurus OX=9913 GN=MGAT1 PE=2 SV=1
+MLKKQSAGLVLWGAILFVAWNALLLLFFWTRPAPGRLPSDSALDDDPASLTREVIRLAQD
+AEVELERQRGLLQQIREHHARWSQRWRVPTVAPPVPPRVPVTTPPAVIPILVIACDRSTV
+RRCLDKLLNYRPSAEHFPIIVSQDCGHEETAQVIASYGSAVMHIRQPDLSTIAVPPDHRK
+FQGYYKIARHYRWALGQVFHEFKFPAAVVVEDDLEVAPDFFEYFQATYPLLRADPSLWCV
+SAWNDNGKEQMVDSSKPELLYRTDFFPGLGWLLLAELWAELEPKWPKAFWDDWMRRPEQR
+QGRACVRPEISRTMTFGRKGVSHGQFFDQHLKFIKLNQHFVPFTQLDLSYLRQETYDRDF
+LARVYGAPLLQVEKVRTSERSELQEVRVQYTSRDSFKAFAKALGVMDDLKSGVPRAGYRG
+IVSFLYRGRRVHLAPPQTWDGYDPSWN
+>tr|Q0P5E0|Q0P5E0_BOVIN Lymphocyte-specific protein 1 OS=Bos taurus OX=9913 GN=LSP1 PE=1 SV=1
+MAEAPGHPGSEERRELLAEEDTGLAAQWSVEDEEEAACEQHRRDTERQLRAQDEDEGSPS
+PEQSEQEKLLSPQPSEAPELDEDEGFGDWSQKPEQQRQQHWGAGEAPDAGDPSRGESLEG
+TQEDDRPHQCTSGSQGGDKLSPAEVCLEELHLSPDSEPREGLAPEASEPSGPQEPMQGSP
+GLAETKEAEAQHQSCQQPRTPSPLVLEGTEVGSPPLSPSTKLSDRTESLNRSIKKSNSVR
+KSQPALPISKIDERLEQYTQAVEIAGRTPKLTRQPSIELPSMAVASTKSRWETGEVQAQS
+AAKSAPCKDIVAGDMSKRDLWEQKGGPKTSSTVKSTPSGKRYKFVAAGHGKYEKVLVDEG
+SAP
+>tr|A4IFM6|A4IFM6_BOVIN TWIST2 protein OS=Bos taurus OX=9913 GN=TWIST2 PE=2 SV=1
+MEEGSSSPVSPVDSLGTSEEELERQPKRFGRKRRYSKKSSEDGSPTPGKRGKKGSPSAQS
+FEELQSQRILANVRERQRTQSLNEAFAALRKIIPTLPSDKLSKIQTLKLAARYIDFLYQV
+LQSDEMDNKMTSCSYVAHERLSYAFSVWRMEGAWSMSASH
+>tr|Q08DS1|Q08DS1_BOVIN Ring finger protein 182 OS=Bos taurus OX=9913 GN=RNF182 PE=2 SV=1
+MASQLPEEAAESQVSDELECKICYNRYNLKQRKPKVLGCCHRVCAKCLCKIINFGDTPHG
+VIVCPFCRFETCLPDDEVSSLPDDNNILLNLTCGGGKGKKCLPENPTELLLTPKRLASLV
+SPSHASSNCLVITIMEVQRESSPSLSSTPVVEFYRPSSFDSVTTAVSHNWTMWNCTSLVF
+QTSIRVLVWLLGLLYFSSLPLGIYLLVSKKVTLGVVFVSLVPSSLVILMVYGFCQCVCHE
+FLDCLAPSS
+>tr|A6QNK4|A6QNK4_BOVIN TSC22D3 protein OS=Bos taurus OX=9913 GN=TSC22D3 PE=2 SV=1
+MAQPKSDCRSPVGLDCCNCCLDLAHRSGLQRDNGGDNNNSGSPTVSNFRQLQEKLVFENL
+NTDKLNSIMRQDSLEPVLRDPCYLINEGICNRNIDQTMLSILLFFHSASGASVVAIDNKI
+EQAMDLVKNHLMYAVREEVEILKEQIRELVEKNSQLERENTLLKTLASPEQLEKFQSRLS
+PEEPAPETPETPEAPGGSAV
+>tr|A4IFB5|A4IFB5_BOVIN TECTB protein OS=Bos taurus OX=9913 GN=TECTB PE=2 SV=1
+MVMRAFVLLAVFAEASARSCTPNKADVILVFCYPKTIITKIPECPYGWEVHQLALGGLCY
+NGVHEAGYYQFVIPDLSPKNKSYCGTQSEYKPPIYHFYSHIVSNDSTVIVKNQPVNYSFS
+CTYHSTYLVDQAAFDQRVATVHVKNGSMGTFESQLSLNFYTNAKFSIKKEAPFILETSEI
+GSDLFAGVEAKGLSIRFKVVLNSCWATPSADFMYPLQWQLINKGCPLSPHRDAGGLCCVI
+RESWAGRCSSSTKTISSVNDKPHLLRCQKEQTEDHITGEQRIALCQIRVPVIFANFSDRF
+FFGAPLPLVTSCGS
+>tr|Q1LZF6|Q1LZF6_BOVIN Acyl-CoA synthetase long-chain family member 5 OS=Bos taurus OX=9913 GN=ACSL5 PE=1 SV=1
+MLFIFNFLFSPLPTPALICILTFGAAIFLWLVNRPQPALPHVDLNKQSVGIEGGARRSIC
+QKDNDLIRYYFSDAKTTYEIFQRGLAVSDNGPCLGYRKPNQPYRWLSYKQVSDRAEYLGS
+CLLHKGYKPSQESFVGIFAQNRPEWVISEFACYTYSMVAVPLYDTLGAEAVIYIINKADI
+AMVICDTPQKALVLISNVEKGLTPGLKLVILMDPFEDDLKKRGEKCGVEILSLFDAENLG
+KENFRKPVPPAPEDLSIICFTSGTTGDPKGAMLTHANIVSNASGFLKCMEGVFEPNPEDV
+CISYLPLAHMFERIVQVIVFSYGAKVGFFQGDIRLLPDDMRTLKPTLFPTVPRLLNKVYD
+KVQNEAKTPLKKFLLNLAVASKFREVKRGIIRRDSLWDKLIFGKIQESLGGKVRLLITGA
+APISPPVLTFLRAALGCLVFEAYGQTECTAGCTVTLPGDWKSGHVGVPMACNHVKLEDVP
+DMNYFAVNNEGEICIRGHNVFKGYLKEPEKTQETLDKDGWLHTGDIGRWLPNGTLKVIDR
+KKNIFKLAQGEYIAPEKIETVYIRSSLVSQIFVHGESLQSFLVGVVVPDPDALPPFAAKI
+GVKGSIEELCQNQTIKKAILEDMHKIGIDGGLKSFEQVKCIHLHPEPFSIENGLLTPTLK
+AKRGDLAKHFGTQIKSLYENTQE
+>tr|A6YT15|A6YT15_BOVIN C-C motif chemokine OS=Bos taurus OX=9913 GN=CCL28 PE=2 SV=1
+MGMQQTGLALLALAACVAFRPSEAILPIASSCCTEVSHHISRRLLERVTTCRIQRADGDC
+DLAAVILHVKRRRVCVSPHNHVIKQWMNEQAAKKEAKGNICHKKRHHGRRNSKGAHRERQ
+ETHGHKTPY
+>tr|E1BN92|E1BN92_BOVIN SANT domain-containing protein OS=Bos taurus OX=9913 GN=CRAMP1 PE=4 SV=1
+MTVKLGDGGGGEDGLKRLGKRSAEEDSLEGEGPGGGDAAEESGGTKRDGKTPRDGGDGPQ
+APSGGPQAPSPPPACPQDQHHFLRSSVRPQSKRLRKDSSCAGGSSGAGSSGPRGKGADGG
+GSSAANGPGVAPAAPAGGSRSSSRNLGSSGGEKEEGKKVRRQWESWSTEDKNTFFEGLYE
+HGKDFEAIQNNIALKYRKKGRPAGMVKNKEQVRHFYYRTWHKITKYIDFDNVFSRGLKKS
+SQELYGLICYGELRKKIGGCMDDKNATKLNELIQAGATTVRYKGRNLRIKAPMCRALKKL
+CDPDGLSDEEDQKPVRLPLRVPVELQPRNNHAWARVQSLAQNPRLRMIVELHRKVSSLIE
+FLKQKWALHEVRIRKTLEERQLQDSFSEPTQEKVALHLFPGENCTLTPLPGVARVVHSKA
+FCTVHWQEGGRCKQSAKDTHTLPPAQILGIQSGQGTARGQLKCPRAISEAKAGGRPPPST
+DASQSSGESSPESAPGEGTAASFSSPDASDRLPPGLQDAGGRLEKTPAVAAAEGGDGPAR
+EPGAPACACGQLPDLEDELSLLDPFPRYMKSCQDLIIPEQGRHVDPRPAAWPSPEARSAE
+GADLARTRAPSPVRGPPGPEPQSSPGFQPDVCTKDLLDTPAEEPQEKVSPSDPPPQGQPA
+AKPPKDVPASRLAQQLREEGWNLQTSESLTLAEVYLMMGKPSKLQLEYDWLAVLGPEASG
+PHAGPQPATFHKQRLLSCLLKLISTEVNPRLAPETNTGSTASVRPAQEEQSTPPPGKVVT
+VSSRSPRCPRNQAALRHSKAFSPSSVPCSSGLRNPPRPLLVASPTSTGSSDSDGGLFAVP
+TTLPPNSRHGKLFFPNKETELTFRQHLSSISMQSDFFLPKPRKLRNRHLRKPLVVQRTLL
+PRPSENQSHNVCSFSILSNSSVTGRGSFRPVQSSLAKAAVSRPIVPKVLPPQATGPLASA
+IDLAAKSAGIIPGSPLPVLDTDGLSGIAPLSSDEVTAAVTGPDSTGPHQNGDPLPAVGAT
+SDPFISVPSRQEPVADSFQNPPVLSLSELSKAPVHNGLSTPLPPSEASSTRLSPPNVSAL
+LDISLPGPPEDVLSQGEPATHISDSIIEIAISSGQYGEGVPLSPAKLNGSDSSKSLPSPS
+SSPQPDWIASPTHDPQWCPSDPTDSSLSSLFASFISPEKSRKMLPTPVGTSSGTSLLGPS
+LLDGSSRDSFVSRSLADVAEVVDSQLVCMMNENSVDYISRFNDLAQELSIAEPGRREALF
+DGGGGGPPVTDLSQ
+>tr|A0A3Q1LUU0|A0A3Q1LUU0_BOVIN Inositol polyphosphate-5-phosphatase B OS=Bos taurus OX=9913 GN=INPP5B PE=4 SV=1
+MSAAAGSRERDSAGLAGGGSSSDELRPNGKGLPVDQSSWGQNKPENSLSRQNKSKSEISN
+MVRSSTITVSDKAHILSMQKFGLRDTIVKSHLIQKEEDYTYIQNFRFFVGTYNVNGQSPK
+ECLRPWLSHDTQAPDVYCVGFQELDLSKEAFFFHDTPKEEEWFKAVSEALHPDAKYAKVK
+LIRLVGIMLLLYVKQEHAAYISEVEAETVGTGIMGRMGNKGGVAIRFQFHNTSICVVNSH
+LAAHTEEYERRNQDYKDICSRMQFCQVDPSLPPLTISKHDVILWLGDLNYRIEDPDVEKV
+KKLIEEKAFQTLYAYDQLRAQVAAKAVFEGFSEGELTFQPTYKYDTGSDDWDTSEKCRAP
+AWCDRVLWKGKNITQLSYQSHMALKTSDHKPVSSVFDIGVRVINEELYRKTLEEIVRSLD
+KMENANIPSVSLSKREFCFQNVKYMHLQVESFTIRNGQVPCQFEFISKPNEEFYCKQWLR
+ASPSRGFLLPDSAIEIELELFVNKTTATKLNSGEDKIEDILVLHLERGKDYFLSVSGNYI
+PSCFGSPIHTLCYMKEPILDLPLETIRELTLMQLQTEDNGSQLEKPMDIPKELWILVDYL
+YRNAIQQEDLFQQPGLRSEFDHIRDCLDTGMIDNLSASNHSVAEALLLFLESLPEPVICY
+SAYRNCLECSGNLAASKQVITALPACHRNVFTYLMAFLQELLKNSAKNHLDDNILASIFG
+SLLLRNPAGHQKLEMAEKKKAQEFVHQFLTHPEPLSLLSYSA
+>tr|A6QPL3|A6QPL3_BOVIN PQ loop repeat containing 3 OS=Bos taurus OX=9913 GN=SLC66A3 PE=2 SV=1
+METLLWLCNWSTLVVCAALKLPQISAVLGARSSRGISLPSLLLELAGFLVFLRYQCYYEY
+PLLTYLEFPILIAQDLILLLCVFHFNGDVRRAVPYIIICVSAWFILTLQQWILDLAMNLC
+TFISAASKFAQLQYLWKSRDSGAMSALTWTLASYTCAARIMTTLMTTNDLTILTRFVIML
+ALNIWITATILHYRKTNIKSE
+>tr|A4IFD6|A4IFD6_BOVIN TUSC5 protein OS=Bos taurus OX=9913 GN=TUSC5 PE=2 SV=1
+MANPGQPQFSAAREPGTASPLNLPEMEKLLVQVGGQDDKSLKPSKSLSGPLDLEQNGHSL
+PFKVISEEHREALHPLSSSRASSRRASSTATTSYAQDGEVPKDYLILAIASCFCPVWPLN
+LMPLIFSIMSRSSVQQGDLDGARRLGRLAGTLSITFIIMGIIIIIVAVTVNFAVPKK
+>tr|Q0VCU3|Q0VCU3_BOVIN Cathepsin F OS=Bos taurus OX=9913 GN=CTSF PE=2 SV=1
+MAPWLQLLLLLGLLPAAAPASSKPPAAGAQAWELASPELREPARFALEMYNRGRAAGTRA
+ALGAVRGRVRRAGRGSLYSLKATLVEPPCNDPTVCQLPVSKKTLLCSFEVLDELGKHMLL
+RRDCGPVDTKTTDDRNETFSSFLPLLNKDPLPQDFSVKMASIFKDFVTTYNRTYDSQEEA
+SWRMSVFANNMVRAQKIQALDRGTARYGVTKFSDLTEEEFRTIYLNPLLKDAPGRNMRPA
+QPVTDVPPPQWDWRNKGAVTNVKDQGMCGSCWAFSVTGNVEGQWFLKRGTLLSLSEQELL
+DCDKTDKACLGGLPSNAYSAIRTLGGLETEDDYSYRGRLQTCSFSAEKAKVYINDSVELS
+KNEQKLAAWLAKNGPVSIAINAFGMQFYRHGISHPLRPLCSPWLIDHAVLLVGYGNRSAI
+PFWAIKNSWGTDWGEEGYYYLHRGSGACGVNIMASSAVIN
+>tr|S4UWT3|S4UWT3_BOVIN Abhydrolase domain containing 5 OS=Bos taurus OX=9913 GN=ABHD5 PE=2 SV=1
+MAAEEDGVNSADASERVSHLILVEPWGFSERPDLADQERPIPVWIRALGAALTPFNPLAG
+LRIAGPFGLSLVQRLRPDFKRKYSSMFDDDTVTEYIYHCNVQTPSGETAFKNMTIPYGWA
+KRPMLQRIGKMHPDIPVSVIFGARSCMDGDSGTSIQSLRPQSYVKTIAILGAGHYVYADQ
+PEDFNQKVKEICDTVD
+>tr|A7Z090|A7Z090_BOVIN ANK3 protein OS=Bos taurus OX=9913 GN=ANK3 PE=2 SV=1
+MALTQSEDAMTGDTDKYLGPQDLKELGDDSLPAEGYMGFSLGARSASLRSFSSDRSYTLN
+RSSYARDSMMIEELLVPSKEQHLTFTREFDSDSLRHYSWAADTLDNVNLVSSPVHSGFLV
+SFMVDARGGSMRGSRHHGMRIIIPPRKCTAPTRITCRLVKRHKLANPPPMVEGEGLASRL
+VEMGPAGAQFLGPVIVEIPHFGSMRGKERELIVLRSENGETWKEHQFDSKNEDLTELLNG
+MDEELDSLEELGKKRICRIITKDFPQYFAVVSRIKQESNQIGPEGGILSSTTVPLVQASF
+PEGALTKRIRVGLQAQPVPDEIVKKILGNKATFSPIVTVEPRRRKFHKPITMTIPVPPAS
+GEGVSNGYKGDTTPNLRLLCSITGGTSPAQWEDITGTTPLTFIKDCVSFTTNVSARFWLA
+DCHQVLETVGLATQLYRELICVPYMAKFVVFAKMNDPVESSLRCFCMTDDKVDKTLEQQE
+NFEEVARSKDIEVLEGKPIYVDCYGNLAPLTKGGQQLVFNFYAFKENRLPFSIKIRDTSQ
+EPCGRLSFLKEPKTTKGLPQTAVCNLNITLPAHKKTEKTDRRQSFASLALRKRYSYLTEP
+GMSPQSPCERTDIRMAIVADHLGLSWTELARELNFSVDEINQIRVENPNSLISQSFMLLK
+KWVTRDGKNATTDALTSVLTKINRIDIVTLLEGPIFDYGNISGTRSFADENNVFHDPVDG
+YPSLQVELETPTGLHYTPPTPFQQDDYFSDLSSIESPLRTPSRLSDGVVPSQGNIEHSAD
+GPPVVTAEDTSLEDSKLEDSMPLTEIPEAVDVDESQLENICLSEYPQYLGRMAGAPKDVK
+PAEPLKQTRKVGVSSEQQEKGKSGPDEEITEERLKSLFEDIQLKEGVESEEMTEEKVQAI
+LKRVQQEELEMSSITGWQNETSSGNLESPAQARRITGGLLDRLDDSPDQCRDSITSYLKG
+EPGKFEANGSHAEVTPEAKTKSYFPESQNDVGKQSAKETLKPKIQGSGRVDEPASSLAAY
+QKALEETSKFVIEEPKPCVPVSMKKMSRTSPADGKPRLNLHEEEGSNGSEQKGEAYKMKT
+KKEIRQVEKKSHS
+>tr|A5D7K4|A5D7K4_BOVIN Ceramide synthase 3 OS=Bos taurus OX=9913 GN=CERS3 PE=2 SV=1
+MFQTFKEWFWLERFWLPPTVKWSDLEDHDGLIFVKPSHLYMTIPYAFVLIIIRHFFQKFI
+ASPLAKTFGIKEEVRKKIIPNSVLENFFKHSTKKPSQTDIYGLAKKCNLTERQVERWFRR
+RQNQDRPCRMKKFQESCWRFTFYLIITIAGIAFLYDKPWVYDLWEVWKGYPRQPLLPSQY
+WYYILEMSFYWSLLFSLGSDIKRKDFLANVIHHLAAVSLMSFSWCANYIRSGTLVMIVHD
+VADIWLESAKMFSYAGWKQTCNTLFFIFSTVFLISRLIIFPFWILYCTLILPLHYLQPFF
+SYIFLNLQLMVLQVLNLYWSYLILKMLKRSIFTKSIRDVRSDSEDEYEEEEEEEEKKKEA
+REGKETDRLKNSLGANRHLIPNGQHGY
+>tr|A5PKJ0|A5PKJ0_BOVIN ZFP64 protein OS=Bos taurus OX=9913 GN=ZFP64 PE=2 SV=1
+MNASNEGESFPGSVQSGTTVLVELTPDIHICGICKQQFNNLDAFVAHKQSGCQLTGTSGA
+APSTVQFVSEETVPATQTQTTTRTITSETQTITVSAPEFVFEHGYQTYLPTESNQNQTAT
+VISLPAKSRAKKPTAPPAQKRLNCCYPGCQFKTAYGMKDMERHLKIHTGDKPHKCEVCGK
+CFSRKDKLKTHTRCHTGVKPYKCKSCDYAAADSSSLNKHLRIHSDERPFKCQLCPYASRN
+SSQLTVHLRSHTGDAPFQCWLCSAKFKISSDLKRHMRVHSGEKPFKCEFCSVRCTMKGNL
+KSHIRIKHSGNSFKCPHCDFLGDSKATLRKHSRLHQAEHPEKCPDCSYSCPSKAALRVHS
+RVHCPDRPFKCSHCSFDTKQPSNLAKHVKKFHGDALKSEAAERRDVGRSSGRQAAKLDAK
+KTFHCDRCDASFMREDSLRSHKRQHSEYGDGKGADVTVLQFQVDAGKPPAAPLAVGHLQV
+PLPPTPAPQFSEGRVKIIVGHQVPQASTIVQAAAAAVNIVQPTLVAPNPEELRGNSRLQI
+LRQVNLIAPPPPSGCSSEAAALPQPAVLLTTHDQTEGATLHQTLIPTTPGGPQEGSGNQT
+FITSSGITCTDFEGLNALIQEGAAEVTVVSDGGQSIAVATTAPPIFSTSQQELPKQTYSI
+IQGGAHPALLCPADSIPD
+>tr|Q7YRW9|Q7YRW9_BOVIN Reticulon OS=Bos taurus OX=9913 GN=RTN4 PE=1 SV=2
+MDGQKKNWKDKVVDLLYWRDIKKTGVVFGASLFLLLSLTVFSIVSVTAYIALALLSVTIS
+FRIYKGVIQAIQKSDEGHPFRAYLESEVAISEELVQKYSNSALGHVNCTIKELRRLFLVD
+DLVDSLKFAVLMWVFTYVGALFNGLTLLILALISLFSVPVIYERHQAQIDHYLGLANKNV
+KDAMAKIQAKIPGLKRKAE
+>tr|A0A3S5ZP34|A0A3S5ZP34_BOVIN NADPH--cytochrome P450 reductase OS=Bos taurus OX=9913 GN=POR PE=3 SV=1
+MNMADSNMDAGTTTSEMVAEEVSLFSTTDVILFSLIVGVMTYWFLFRKKKEEVPEFTKIQ
+TTTSSVKDRSFVEKMKKTGRNIIVFYGSQTGTAEEFANRLSKDAHRYGMRGMAADPEEYD
+LADLSSLPEIEKALAIFCMATYGEGDPTDNAQDFYDWLQETDVDLSGVKYAVFALGNKTY
+EHFNAMGKYVDKRLEQLGAQRIFDLGLGDDDGNLEEDFITWREQFWPAVCEHFGVEATGE
+ESSIRQYELMVHTDMDMAKVYTGEMGRLKSYENQKPPFDAKNPFLAVVTTNRKLNQGTER
+HLMHLELDISDSKIRYESGDHVAVYPANDSALVNQLGEILGADLDIIMSLNNLDEESNKK
+HPFPCPTSYRTALTYYLDITNPPRTNVLYELAQYASEPTEHEQLRKMASSSGEGKELYLR
+WVLEARRHILAILQDYPSLRPPIDHLCELLPRLQARYYSIASSSKVHPNSVHICAVAVEY
+ETKTGRINKGVATSWLRAKEPAGENGGRALVPMYVRKSQFRLPFKATTPVIMVGPGTGVA
+PFIGFIQERAWLRQQGKEVGETLLYYGCRRSDEDYLYREELAGFHKDGALTQLNVAFSRE
+QLQKVYVQHLLKKDKEHLWKLIHEGGAHIYVCGDARNMARDVQNTFYDIVAEQGAMEHAQ
+AVDYVKKLMTKGRYSLDVWS
+>tr|A3KMX6|A3KMX6_BOVIN UBR7 protein OS=Bos taurus OX=9913 GN=UBR7 PE=2 SV=1
+MAGAEEPAGRQSELEPVVSLVDVLEEDEELENEACAVLGGSDSEKCSYSQGSVKRQALYA
+CSTCTPEGEEPAGICLACSYECHGSHKLFELYTKRNFRCDCGNSKFKNLECKLFPDKAKI
+NSGNKYNDNFFGLYCICKRPYPDPEDEIPDEMIQCIVCEDWFHGRHLGAIPPESGDFQEM
+VCQACMKRCSFLWAYAAQLAVTKVTTEDDGLVLNVDGVGDQEVIKTENGAHQDNALEEDV
+PEHGKAIVKEVKAEQTNEPCTSSSSESDLQKAFQNQHLNTESQSGCKLEELKAKQFIKKD
+TATYWPLNWRSKLCTCKDCMKMYGDLDVLFLTDEYDTVLAYENKGKVDQAADRRDPLMDT
+LNSMNRVQQVELICEYNDLKTELKDYLKRFADEGTVVKREDIQQFFEEFQSKKRRRVDGM
+QYYCS
+>tr|Q0P586|Q0P586_BOVIN Reticulon OS=Bos taurus OX=9913 GN=RTN2 PE=2 SV=1
+MGQVLPVFAHCKEAPSTASSTPDSTEGGNDDSDFRELHTAREFSEDDEEETTSQDWGTPR
+ELTFSYIAFDGVVGSGARRDSAARRPRPQGRSVSEPRDPPPQPGLGDSLESIPSLSQSPE
+PGRRGDPSSAPPAERPPEDLGLQLDQLGWATRGAGTGEDSATSSSTPLEDEEPEGSVAGE
+AGKELDLPLAFVQSSPLEVFTPQPSPVSGIPQTPTPSPPRSRDSNSWLDEPLPDEKEEEP
+WGQLEREPITGQCLASTDQSEFTLESQVLVVDLLHWKDAKTSGVVFTCLMVSLLSLLHFS
+IVSVAAHVALLLLCGTISLRVYRKVLQAVHRGDGANPFQAYLDVDLTLTQEQTERLSQQI
+ASRVVSAAIQLRHFFLVEDLVDSLKLALLFYILTFVGAVFNGLTLLILGVIGVFTVPLLY
+RQHQAQIDQYVGLVTNQLSHIKAKIRAKIPGTGALASVAAAVSGPKAKAE
+>tr|F2Z4G1|F2Z4G1_BOVIN Myocyte enhancer factor 2B OS=Bos taurus OX=9913 GN=MEF2B PE=4 SV=1
+MGRKKIQISRILDQRNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNSSNRLFQYAST
+DMDRVLLKYTEYSEPHESRTNTDILETLKRRGVGLDGPELEPDEGLEGPGEKLRRLAGDG
+GDPALPRPRLYPAAPTMPSPDMVYGALPPPACEPTGLGEALPAQSRPSPFRPAAPKAGPP
+GLAHPLFSPSHLASKTPPPLYLAADGRRPDLPGGLAGTRGGLSSSRGLYGGLQSPCSTAA
+PGPPLGSFPFLPAGPPEYGLGDPPPPPGLLQPPTLAPWQPSRADGPPATPTQPSGGRSLG
+EDGPPARGASSPTPPVSIKSERLSPAPGGPGDFPKTFPYPLLLARPLAEPLRPGPPLRRL
+PTADGWPR
+>tr|A6QPB2|A6QPB2_BOVIN G-rich RNA sequence binding factor 1 OS=Bos taurus OX=9913 GN=GRSF1 PE=2 SV=1
+MESKTTYLEDLPPLPEYELPPSKLGEEVDDVYLIRAQGLPWSCTVEDVLSFFSDCRIRNG
+ENGIHFLLNRDGKRRGDALIEMESEQDVQKALEKHRMYMGQRYVEVYEINNEDVDALMKS
+LHVKSAPMVNDGVVRLRGLPYSCNEKDIVDFFAGLNIVDITFVMDYRGRRKTGEAYVQFE
+EPEMASQALLKHREEIGNRYIEIFPSRRNEVRTHVGSHKGKKIASSPTAKYITEPEMVFE
+EHEVNEDIRPMTAFESEKEIELPKEMSEKIPEAVDFGTTSSLHFVHMRGLPFQANAQDII
+NFFAPLKPVRITMEYSSSGKATGEADVHFDTHEDAVAAMLKDRSHVHHRYIELFLNSCPK
+GK
+>tr|Q24JZ9|Q24JZ9_BOVIN Protein inhibitor of activated STAT 1 OS=Bos taurus OX=9913 GN=PIAS1 PE=2 SV=1
+MADSAELKQMVMSLRVSELQVLLGYAGRNKHGRKHELLTKALHLLKAGCSPAVQMKIKEL
+YRRRFPQKIMTPADLSIPNVHSSPMPATLSPSTIPQLTYDGHPASSPLLPVSLLGPKHEL
+ELPHLTSALHPVHPDIKLQKLPFYDLLDELIKPTSLASDNSQRFRETCFAFALTPQQVQQ
+ISSSMDISGTKCDFTVQVQLRFCLSETSCPQEDHFPPNLCVKVNTKPCSLPGYLPPTKNG
+VEPKRPSRPINITSLVRLSTTVPNTIVVSWTAEIGRNYSMAVYLVKQLSSTVLLQRLRAK
+GIRNPDHSRALIKEKLTADPDSEIATTSLRVSLLCPLGKMRLTIPCRALTCSHLQCFDAT
+LYIQMNEKKPTWVCPVCDKKAPYEHLIIDGLFMEILKYCTDCDEIQFKEDGSWAPMRSKK
+EVQEVSASYNGVDGCLSSTLEHQVASHHQSSNKSKKVEVIDLTIDSSSDEEEEEPSAKRT
+CPSLSPTSPLNNKGILSLPHQASPVSRTPSLPAVDTSYINTSLIQDYRHPFHMTPMPYDL
+QGLDFFPFLSGDNQHYNTSLLAAAAAAVSDDQDLLHSSRFFPYTSSQMFLDQLSAGGSTS
+LPTTNGSSSGSNSSLVSSNSLRESHGHTVANRSSSDTAAIFGIIPDIISLD
+>tr|F1MX26|F1MX26_BOVIN Transcription factor EB OS=Bos taurus OX=9913 GN=TFEB PE=4 SV=3
+MASRIGLRMQLMREQAQQEEQRERMQQQAVMHYMQQQQQQQQQQLGGPPTPAINTPIHFQ
+SPPPVPGEVLKVQSYLENPTSYHLQQSRDQKVREYLSETYGNKFAAHISPAQGSPKPLPA
+ASPGVRPAHVLSSSAGNSAPNSPMAMLHIGSNPEREFDVIDNIMCLDDVLGFINPETQMP
+NTLPLSSSHLNVYSGDPQVTASLVGVTSSSCPADLTQKRELTDAESRALAKERQKKDNHN
+LIERRRRFNINDRIKELGMLIPKANDLDVRWNKGTILKASVDYIRRMQKDLQKSRELENH
+SRRLEMTNKQLWLRIQELEMQARVHGLPTTSPSGMNMAELAQQVVKQELPSEEGPGEALL
+LGAEVPDPEPLPALPPQAPLPPPAQPPQPPSPFHHLDFSHNLSFGGGGNEGPPGYPEPLG
+PEHASPFPDLSKKDLDLMLLDDSLLPLASDPLFSTMSPEASKASSRRSSFSMEEGDVL
+>tr|A0A3Q1ML81|A0A3Q1ML81_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC112445002 PE=4 SV=1
+MSGNNLDANDEFDEQLRMQELYGDTKDDDTEKDPGGETDSFGQQPTDTPYEWDLDKKAWF
+PKITEDFIATYQANYGFSDDGASSSTASVQDVSARTAEEPPQRQPPEPSDLKKKGEKRKA
+ESGWFHVEEGRNTNVYVSGLPPDITVDEFIQLMSKFGIIMRDPQTEEFKVKLYKDNQGNL
+KGDGLCCYLKRESVDLALKLLDEDEIRGYKLHVEVAKFQLKGEYDASKKKKKCKDYKKKL
+SMQQKQLDWRPERRAGPSRMRHERVVIIKNMFHPMDFEDDPLVLNEIREDLRVECSKFGQ
+IRKLLLFDRHPDGVASVSFRDPEEADYCIQTLNGRWFGGRQITAQAWDGTTDYQVEETTR
+EREERLRGWEAFLNAPEANRGLQRSNSIRAPERAGPSRVRHFSEHPGTSQANVQAAATEM
+AFEEPIDEKKFEKMEDGGEVEEAASEKDAKEGGPEKEAEGPQEESEESCLERESKEGCPK
+REREEDFPERESGEGSPEKEREEGNPNKESKETVPERESKKKKLKTDPDKNGREKESEEE
+GPGKESEGEASPQKVASEDDDSEQESEDCSEKQFDDGSEKELEENGLEKGFEEDASDKEF
+NENIPEKELDENESEKSEFEDDSSEKVFDEEGSEKEFDEESDEKEEEEDAYEKVFDDESD
+EKEDEEDAEEKELEDADEKDEEDDADEKVFEDSDGKEDEEDGDVKEDEDIDEKVFEDDDS
+NEKLFDDDSSDKLFEDSDERGTVGGLGNVKEEGPLSTGSSFVLSSDDDDDDTI
+>tr|F1MS72|F1MS72_BOVIN NK2 homeobox 1 OS=Bos taurus OX=9913 GN=NKX2-1 PE=4 SV=2
+MSMSPKHTTPFSVSDILSPLEESYKKVGMEGGGLGAPLAAYRQGQTAPPAAAMQQHAVGH
+HGAVTAAYHMTAAAVPQLSHSAVGGYCNGNLGNMSELPPYQDTMRNSASGPGWYGANPDP
+RFPAISRFMGPASGMNMSGMGGLGSLGDVSKNMAPLPSAPRRKRRVLFSQAQVYELERRF
+KQQKYLSAPEREHLASMIHLTPTQVKIWFQNHRYKMKRQAKDKAAQQQLQQDSGGGGGGA
+GCQQQQQQAQQQSPRRVAVPVLVKDGKPCQAGAPAPGATSLQGHAQQQAQQQAQAAQAAA
+AAISVGSGGPGLGAHPGHQPGSAGQSPDLAHHAASPAALQGQVSSLPHLNSSGSDYGTMS
+CSTLLYGRTW
+>tr|Q3T0K0|Q3T0K0_BOVIN Solute carrier family 31 (Copper transporters), member 2 OS=Bos taurus OX=9913 GN=SLC31A2 PE=2 SV=1
+MAMHFIFSDEAVLLFDFWSVHSPTGMALSVLVILLLAVLYESIKVGKARLLYQALMNLSI
+PTSQQLIEAADQDSSSSDSLPVSRSPLRWFLCHFGQSLLHVAQVVVGYFVMLAVMSYNTW
+IFFGVVLGSGVGYYLAYPLLSMT
+>tr|A0A3Q1M212|A0A3Q1M212_BOVIN SH3 and multiple ankyrin repeat domains 2 OS=Bos taurus OX=9913 GN=SHANK2 PE=4 SV=1
+MPRSPTSSEDEMAQSYSDSSEESDSDSSKEETIYDTIRATAQKPGGARAEEGQAHTLVLR
+IVIEDLQQTKCIRFNPDATVWVAKQRILCSLNQSLKDVLNYGLFQPASNGRDGKFLDEER
+LLREYPQPVGKGIPSLEFRYKKRVYRQTNLDEKQLAKLHTKTNLKKCMDHIQHRSVEKIV
+KMLDRGLDPNFHDLETGETPLTLAAQLDDPEEIIKALRNGGAHLDFRAKDGMTALHKAAR
+TRNLLALKTLLELGASPDYKDSYGLTPLYHTAVVGGDPCCCELLLHEHAAVSCKDENGWH
+EIHQACRYGHVQHLEHLLFYGADMGAQNASGNTALHICALYNQDSCARVLLFRGGDKELK
+NYNSQTPFQVAIIAGNFELAEYIKNHKETDVVPFREAPAYSNRRRRPPSTLAAPRLLLRS
+NSDNNLHAGAPDWAVCAATSRLSLSPQLLQQTPGKADGAAKTLGSYTPGPRSRSPSLNRL
+GGAGEDAKRPQQPWHVGPAFPPGANKDVLSAFEYPGPRRKLYSAVPGRLFVVVKPYQPQV
+DGEIPLHRGDRVKVLSIGEGGFWEGSARGHIGWFPAECVEEVHCKPRDSQAETRADRSKK
+LFRHYTVGSYDSFDASSDCVIEEKTVVLQKKDNEGFGFVLRGAKADTPIEEFTPTPAFPA
+LQYLESVDEGGVAWQAGLRTGDFLIEVNNENVVKVGHRQVVTMIRQGGNHLVLKVVTVTR
+SLDPDDTARKKAPPPPKRAPTTALTLRSKSMTSELEELDKPEEIIPASKPSRAAESVALE
+SRVATIRQRPTSRCFPSVADMNSVYERQGIAVMTPTVPGSPKGPFLGLPRGTMRRQKSIG
+ITEEERQFLAPPMLKFTRSLSMPDTSEDIPPPPQSVPPSPPPPSPTAYNCPKSPTPRVYG
+TIKPAFNQNPATKVSPAARSDTVATIVREKGLYHRREVDRYSLDSEDLYSRGTGPQANFR
+GKRGQMPENPYSEVGKIASKAVYVPAKPARRKGMLVKQSNVEDSPEKTCSIPIPTIIVKE
+PSTSSSGKSSQGSSVETDPQASEQPGQLRPDDSLTVSSPFAAAIAGAVRDREKRLEARRN
+SPAFLSTDLGDEDVGLGPPAPRARPSKFPEEGGFGEEDGAEPLSSPAPQEPENHFVGAGE
+AGAQGEAGRLPNAPGQAKVPEGSPAATPRSINIASPENYVHPLTGRLLDPSSPLALALSA
+RDRALKESQQGPKGEAPKADLNKPLYIDTKMRPSGEAGFPPVGRQSGRGPLRRQETENKY
+ETDPGKERRDDKKGVLVSIVDASQQKSAGLLMVHTVDAARPEDFLQEEDEKADPETQPDH
+LPSEAPEGAPETEGAFPTPAGPEPTAAAATAPGRTIVAAGSVEEAVILPFRIPPPPLASV
+DLDEDFIFTEPLPPPLEFANSFDIPDDRVASVPALSDLVKQKKNDTSQSPSLNSSQPTNS
+ADSKKPAGLSNCLPASFLPPPESFDAVTDSGIEEVDSRGSSDHHLETTSTISTVSSISTL
+SSEGGENADTCTVYADGQAFMVDKPPVPPKPKMKPIIHKGNTLYHDALLEEDVDSFVVPP
+PAPPPPPGGAQPGPTKVIQPRTSRLWGDVSEVKSPILSGPKANVISELNSILQQMNREKS
+AKPGEGLDPTPGTKPAGLAPRGPEVISTVSGTRSTTVTFTVRPGTSQPITLQSRPPDYES
+RNSGPRRAPSPVVSPTELNKEVLPAPLPAATAAPSPTLSDVFSLPSQPPPGDLFGLNPVG
+RSRSPSPSILQQPISNKPFTTKPVHLWTKPDVADWLESLNLGEHKEAFMDNEIDGSHLPN
+LQKEDLIDLGVTRVGHRMNIERALKQLLDR
+>tr|Q2TBL3|Q2TBL3_BOVIN Zinc finger CCHC-type containing 10 OS=Bos taurus OX=9913 GN=ZCCHC10 PE=2 SV=1
+MATPMHRLIARRQAEANKQHVRCQKCLEFGHWTYECTGKRKYLHRPSRTAELKKALKEKE
+NRLLLQQSIGEANVERKTKKKRSKSVTSSSSNSSDSSASDSSSESEETSTSSSSEDSDSD
+ESSSSSSSSASSTSSSSSSDSDSDSSSSSSSSTSTDSSSDDEPPKKKKKK
+>tr|Q2HJ28|Q2HJ28_BOVIN Solute carrier family 11 (Proton-coupled divalent metal ion transporters), member 2 OS=Bos taurus OX=9913 GN=SLC11A2 PE=2 SV=1
+MKKQSKKEAAPHCELKSCSKNSDTQVSSIVLGPASSVQIPVPDEDAFGDHGDSASLGALN
+PAYSNSSIPQSSGGLSEEHFTTYLDENNAVLEEKHSCFSFRKLWVFTGPGLLMSVVDPGN
+IECDLQSGALAGFKVPRILLWLMVELAIIGVDMQEVIGSAIAINLLSAGRIPLWGGVLIT
+IADTFAFLFLDNYGLRKLEAFFGFIFTVMALTFGYEYVTVKPSQTQVLKGMFLPSCSGCR
+TPQIMQAVAIVGAIIMPHNIYLHSALVKSRHIDRAKRQEVREANKYFFIDCCIALFVSFI
+INTCVISVFAEAFFEKTNEQVVAVCKNSSSPHTHLFPDDNSTLAVDIYKGGVVLGCYFGP
+AALYIWAVGILAAGQSSTVTGTYSGQFVMEGFLNLKWSRFARVSLTRSIAIIPALLVAVF
+QDMEHLTGLNDILNVLQSLQLPFALIPILTYTSLRPVMSEFANGLGLRIVGGIVVLIICS
+INMYFVVVYVQDVGHMVLYVVAAVVSVAYLSFVFYLGWQCFIALGMSFLDSGHTRL
+>tr|F1MZ88|F1MZ88_BOVIN Fanconi-associated nuclease OS=Bos taurus OX=9913 GN=FAN1 PE=3 SV=2
+MMSVGKSSKKRPRTSLSSSKTKKNESNSIISFFNNAPPAKLACPVCSKMVPRYDLNRHLD
+EMCADHDDVTPGGPRHVSLSSNVPTIDLTNIALEDITPERSSPSTINLTPGQSDSAKMGK
+KQQTSPYFKSKGDSVCRNQDELRHHNVKVISLGSLSSKLSRRYIKAKRSLNQNEEFTNQS
+LQRSSCTRVKSLVDQHLEVEGQDALLENSSQKENVFTGDSQEELSAPAHTVEGTKVEEAE
+GQTAAQECEQSALTPTCTGDAPLLFSSDLILGHRKPASEDHLAKQESIKGVDGEVTEKCE
+TGHSEEVKMTIVSEVTNQGSHREAKPPSSTLGASIQTNSQTLPSEPDSGLEDEITHQMPL
+EKRSSCDVSWVTSPELPDHPYYLRSFLVVLKAVFENEEDRMLFDEHEKGIVTKFHQLSAN
+GQKLYVRLFQRKFSWIKVNKLDYEEISADLTPVVGELTHAGFLQTESELQELPEVLELLS
+APELKALAKTFHLASAHGQKQQLVDAFLRLAKQPSVCTWGRNQPGIGAVILKRAKDVAGR
+SLRVSRGPRAVFSRALLLFSLSDMVEDEEAACGGQGQLSTVLLVNLGRLEFPRYTVNRKT
+LIFQDRDDLIRYAVAAHTLNDISTAMASGAWEEARELARCAKQDWDGLKDHPSLRYHENL
+PLFLRCFTVGWVYTRILSRNVEILQRLHLYEEAVKELENLLSQDVYCSDSRGRWWDRLAL
+NLHQHLKRLEPAVQCIAAGLADPHVRTGHRLALYQRAARLRASPSGQKYMHLLRQLPEVT
+VEDVRHVTVTGRLCPQRGSGKSVFVLEAGGASPATVLCSVEELALAHYRHCGFDQGIHGE
+GSTFSTLFGLLLWDIIFMDGVPDAFRNAYQAAPLDLCTDSFFESRASAMEARLQQIHSAP
+EESLRAWVAAVWQAQEGRVSSLVSWDRFSSLQQAQDLVSCLGGSILSGVCRRLAMDFRHC
+RGGLPDLVVWSSQSHHVKLVEVKGPHDRLSQKQMIWLDELRRLGADVEVCHVAAVGARSR
+GPD
+>tr|A5D9F9|A5D9F9_BOVIN Transducer of ERBB2, 1 (Fragment) OS=Bos taurus OX=9913 GN=TOB1 PE=2 SV=1
+MQLEIQVALNFIISYLYNKLPRRRVNIFGEELERLLKKKYEGHWYPEKPYKGSGFRCIHV
+GEKVDPVIEQASKESGLDIDDVRGNLPQDLSVWIDPFEVSYQIGEKGPVKVLYVDDNNEN
+GCELDKEIKNSFNPEAQVFMPVSDPASSVSSSPSPPFGHSAAVSPTFMPRSTQPLTFTTA
+TFAATKFGSTKMKNSGRSNKVARTSPINLGLNVNDLLKQKAISSSMHSLYGLGLGNQQQP
+QQQQQPSQPPPPPQQQQQQKTSALSPNAKEFIFPNMQGQGSTNGMFPGDSPLNLSPLQYS
+NAFDVFAAYGGLNEKSFVDGLNFSLNNMQYSNQQFQPVMAN
+>tr|F1MKY4|F1MKY4_BOVIN Zinc finger FYVE domain-containing protein OS=Bos taurus OX=9913 GN=ZFYVE9 PE=4 SV=3
+MENYFQAEAYNLDKVLDEFEQNEDETVSPILLDTKWNKILDPSSRQLSFNSALTSVNEPT
+VSESQPQLKVFSLAHSAPPDSEGEDHCANGQDCSLNPEINTMWIDENAVTEDQLIKRNCS
+RDDQCSTVEVGEKKCGNLACLPDEKNVLVVAVMHNCDKRTFQSDLQDCNNYNSQSLKNAF
+SCSLDNENRQTDQFSFSINGSTEKDMNSEKQMDPLNRPNAEGDSDKYICTTSDNLASVCS
+PSQLQDDENIDRDPSMSVITSLTLDSVISSQRTEEGPDVKQEDSTPDEVLTGKTSSPRTD
+LGSPNSFSHLSEEILMKKEPSEERTTEESTQSALPLLLKTDMPNGSGRDDNSEQVSDCLV
+PSEGKADDKEGCKHEEILGTKEFLNMTEHFSESQEMTNWKLTKPNKMNDSQVKKDNEKFL
+QMNQPEDTCDDSGECDRMAEAGLDLKGTCVNESEGCDFSTVMDTPAANSLSNCCDSYGMQ
+SPVVCFVPKTLPSKEDSVTEEKEIEESKSECYSNIYEQRGNEATEGSGLLLNSTGDLTKK
+NYLHNFCSQVPSVLGQSSPKIVANLQSISVPFGGARPKQPSNLKLQIPKPLSDHLQNDLP
+ANSGNTAKNKNDVLGKAKLGENSATNVCNASSGNISIADTNGDHLESYESGISSRPCLAL
+APESPDNDLRAGQFGISARKPFTTLGEVAPVWVPDSQAPNCMKCEARFTFTKRRHHCRAC
+GKVFCASCCSLKCKLLYMDRKEARVCVICHSVLMNAQAWENMMSASSQSPNPNNPAEYCS
+TIPPLQQAQASGALSSPPPTVMVPVGVLKHPGAEVAQPREQRRVWFADGILPNGEVADAA
+KLTMNGTSSAGTLAVAHDPVKPAATSPLPAETNISLFSGSITQVGSPVGSAMNLIPEDGL
+PPILISTGVKGDYAVEEKPSQISVMQQLEDGGPDPLVFVLNANLLSMVKIVNYVNRKCWC
+FTTKGMHAVGQSEIVILLQCLPDEKCLPKDIFNHFVQLYRDALAGNVVGNLGHSFFSQSF
+LGSKEHGGFLYVTSTYQSLQDLVLPTPPYLFGILIQKWETPWAKVFPIRLMLRLGAEYRL
+YPCPLFSVRFRKPLFGETGHTIMNLLADFRNYQYTLPVVQGLVVDMEVRKTSIKIPSNRY
+NEMIKAMNKSNEHVLAGGACFNEKADSHLVCVQNDDGNYQTQAISIHNQPRKVTGASFFV
+FSGALKSSSGYLAKSSIVEDGVMVQITAENMDALRQALREMKDFTITCGKAEAEDPQEQI
+HIQWVDDDKDVNKGVLSPIDGKSMESITSVKIFHGSEYKANGKVIRWTEVFFLENDDQHN
+CLSDPADHSRLTEHVAKAFCLALCPHLKLLKEDGMTKLGLRVTLDSDQVGYQAGSNGQPL
+PSQYMNDLDSALVPVIHGGACQLSEGPIVMELIFYILENIA
+>tr|A6QLD7|A6QLD7_BOVIN ADNP homeobox 2 OS=Bos taurus OX=9913 GN=ADNP2 PE=2 SV=1
+MFQIPVENLDNIRKVRKKVKSILVDIGLDSCKELLKDLKGFDPGEKYFYNTSWGDISLWE
+PSGKKVRYRTKPYCCSLCKYSTKVLTSFKNHLHRYHEDEIDQELVIPCPNCVFSSQPRVV
+GRHFRMFHAPARKVQNYTVNILGETKSSRSDVISFTCLKCNFSNTLYYSMKKHVLVAHFH
+YLINSYFGLRTEEMGEHPGADDTLCAEKLPLSDRYYCKKCNANASSQDALMYHILTSDIH
+RDLENKLRSVISEHIKKTGLLKQMHIAPKPAAHVAIPPNSSAPGIPASSPCFHLALPQNG
+QSQTVVQPVQGAAPPATVGAGAVGSVTHSPPAVAQPHMTLVSSPLPVGQSSLTLPPSTPQ
+PVFLSHGVPLNQAANPPVLPLSQPVGPVNKSVGTSILPINQTIRPGVLPLNQPVGPISRP
+VGPGVLSVNRPVGSGVLPVNPSVTPGVLQAVSPGVISVSRTVPSGVLPAGQVTPAGVIPS
+GQTATSGVLPAGQVVQSGVLPIGQTAPSGALPTGLTVPLRVLPPGQVVPPGLLPPNQTVS
+SAVLPVNQGINSGVLQLSQPVMSGVLPVGQPVRPGVLQLNQSVNTNILPANQTIRPGASP
+NTTFLTSGSILRQLIPTGKQVNGIPTYTLAPVSVTLPVPPGSVATVAPPQMPIQLLQSGP
+AAQMASSMAGMPSPPVVVNATQNMFVQASSSVAEANQVLKQAKQWKTCPVCNELFPSNVY
+QVHMEVAHKHSESKAADKLEPEKLAACAPFLKWMREKTVRCLSCKCLVSEEELIHHLLMH
+GLGCLFCPCTFHDIRGLSEHSRTMHLGKKKLPMDYSNKGFQLDIDANGNLLFPHLDFITI
+LPREELGEREVYLAILAGIHSKSLVPVYIKVRPQAEGASGRPGKQVLTCPFCFGTFVTTE
+AYELHLKERHHITPTVHTILKSPAFKCIHCCGVYTGNMTLAAIAIHLLRCRSAPKDSSPD
+LQGQPGLLENSELLLVNGEVIHDPSFSVKRKLPDGHSGAEDQRDGTERPLIIDADTDPAP
+EKAASAAPVKRQRSEGRTEGPPVSDDALQILALNPKKYEDRSYEDKKQFLRDYFHKRPYP
+SKKEIELLSSLLWVWKIDVASFFGKRRYICMKAIKNHKPSVLLGFDMCELKNVKHRLNFE
+HEPQNL
+>tr|A6QQL6|A6QQL6_BOVIN C11H9ORF142 protein OS=Bos taurus OX=9913 GN=PAXX PE=2 SV=1
+MVPPPLCTLPPGPGPPRFVCYCEGEGGGPGERGGFNLCVTDAAELWSTCFTPDRLAALED
+RASLTLSGGPSALELDLSKVPGPEAASRLQTLTLGLAERVCSLERRLAAAAAEETATSPR
+KSARQAGPRLFLPDPDPQRGGPGPGVKRRCPGESLINPGFKSKKPASGVDFDDP
+>tr|A3KMY8|A3KMY8_BOVIN Beta-glucuronidase OS=Bos taurus OX=9913 GN=GUSB PE=2 SV=1
+MLRGSAGAWAVLGPLLWGCGLSLLQGGMLYPRESRSRERKELDGLWSFRADFSDNRRQGF
+EQQWYRAPLRESGPTLDMPVPSSFNDVGQDGQLRSFVGWVWYEREITLPQRWTEDLGTRV
+VLRIGSAHYYAIVWVNGVHVLEHEGGHLPFEADISKLVQSGPLSSCRITIAINNTLSPHT
+LPPGTILYKTDPSMYPKGYFVQNTKFDFFNYAGLHRSVLLYTTPTTYIDDITVTTDMDQD
+IGLVNYQIIVQGSDHFQVDVSLLDEEGKVMAKGAGAEGQLQVPSAHLWWPYLMHEHPAYL
+YSLEVKLTAQTAVGPVSDFYTLPVGIRTVAVTKSQFLINGKPFYFRGVNKHEDADIRGKG
+FDWPLLVKDFNLLRWLGANAFRTSHYPYSEEVLQLCDRYGIVVIDESPGVGIVLVESFSN
+VSLQHHLEVMEEMIRRDKNHPAVVMWSLANEPASFLKPAGYYFKTLIAHTKALDPSRPVT
+FVTNTNYEADLGAPYVDIICVNSYYSWYHDYGHMEVIQLQLATQFENWYKAYQKPMIQSE
+YGADAIEGFHEDPPLMFSEEYQKGLLQQYHVVLDQKRKEYVVGELIWNFADFMTNQSPVR
+MIGNRKGIFTRQRQPKSAAFLLRERYWKLANETRYQRSAVTSQCVGSGLFTV
+>tr|Q0VD40|Q0VD40_BOVIN G protein-coupled receptor 162 OS=Bos taurus OX=9913 GN=GPR162 PE=2 SV=1
+MARGGAGAEEASLRSNALSWLACGLLALLANAWIILSISAKQQKHKPLELLLCFLAGTHI
+LMAAVPLTTFAVVQLRRQASSDYDWNESICKVFVSTYYTLALATCFTVASLSYHRMWMVR
+WPVNYRLSNAKKQALHAVMGIWMVSFILSTLPSIGWHNNGERYYARGCQFIVSKIGLGFG
+VCFSLLLLGGIVMGLVCVAITFYQTLWARPRRARQARRAGGGGGARGGGPGGLGTRPAFE
+VPAIVVEDARGKRRSSLDGSESAKTSLQVTNLVSAIVFLYDSLTGVPILVVSFFSLKSDS
+APPWMVLAVLWCSMAQTLLLPSFIWSCERYRADVRTVWEQCVAIMSEEDGEDDEGCDDYA
+DGRVCKVRFDANGATGPGGRDPTQVKLLPGRHMLFPPLERVHYLQVPLSRRLSHDETNIF
+STPRAPGSFLHKWSSSDDIRVLPAQSRALGGPPEHLGPRQRLEDEEDEEEAGGGGLATLR
+QFLEGGVLGSGGGPPRGPGFFREEITTFIDETPLPSPAASPGPSPRRPRPLGVSPRRLSL
+GSPDSRAIGLPLGLSAGRRCSLTGGEGSTKAWGGSWGPGNPIFPQLTL
+>tr|A7MB26|A7MB26_BOVIN LARP4 protein OS=Bos taurus OX=9913 GN=LARP4 PE=2 SV=1
+MLLFVEQVTSKGTGLNPNAKVWQEIPPGNTDATPVAHGTESSWHETAATSGSLPEGNREL
+ADDMCKEYEVMYSSCETTRNITGIEESTDGMILGPEDLNYQIYDVSGESNSAISTEELKE
+CLKKQLEFCFSRENLSKDLYLISQMDSDQFVPIWTVANMEEIKKLTTDPDLILEVLRSSP
+MVQVDEKGEKVRPSHKRCIVILREIPETTPIEEVKALFKNENCPKVISCEFAHNSNWYIT
+FQSDTDAQQAFKYLREEVKTFQGKPIMARIKAINTFFAKNGYRLMDSSMYSQPIQTQAQY
+ASPVFMQPVYNPHQQYSVYSIVPQSWSPNPAPYFETPLAPFPNGSFVNGFNSPGSYKTNA
+AAAAAAAAAAAAVNMGRPFQKNRVKTHFRSGGSEHSTEGSVSLGDGPLTRSGSRNFATER
+HNPAVTGHQEQSYLPKETPTLQMEQNGDYGRGRRTLFRGRRRREDERIPRPHPSTAEAKV
+PTPKFDLLASNFPPLPGSSSRTPGELVLESRMSDVVKGVCKEKDNEEFRVSCPVPAEDGQ
+TDCTSAQQLSMSPSPPCTAELSALSTTQPEKDLVEDSTVQKDVPSQPTVPVSSPSTAKPS
+RTNTASPCNSNISAAVAVALQEPRKLSYAEVCQKPPKEPAPALAQPLRELRSNAVSPTKN
+EENGAPEKSFEKQHEKPEARASKDYSGFRGNAIPRGAAGKIREQRRQFGHRSIPQGVTRR
+NGKEQYVPPRSPK
+>tr|Q3SX32|Q3SX32_BOVIN Perilipin OS=Bos taurus OX=9913 GN=PLIN3 PE=2 SV=1
+MSADETEATASTQVTAEEPVQQPSVVDRVAGMPLISSTCNMVTAAYTSTKESYPHVKTVC
+DAAEKGVKTLTAAAVSGAQPILSKLEPQLTSASEYAHRGLDKLEENLPILQQPPEKVLAD
+TKELVSSKVSGARQAVSSTVSSAKDTVASRVTEAVDVTRGAVQSGVDLTKSMVTSGVHSV
+VGSRVGQMVLSGVDTVLGKSEEWVDNHLPMTDAELARLATSLEGFDIASVAQQRQEQSYF
+VRLGSLSERLRQRAYEHSLGKLQNTRQRAQEALHQLSQTLILMETIKQGVDQKLVEGQEK
+LHQMWLGWNQKRLQGPEENAAKPEQVESQTLAMFRDITQQLQTTCASLGSGLQGLPAHIK
+DQALQARQQVEDLQATFSGIHSFQDLSSSVLTRSREQVARAREALDHLVEYVAQNTPIMW
+LVGPFAPGIVEKAPEKKK
+>tr|Q0VD43|Q0VD43_BOVIN G protein-coupled receptor 37 OS=Bos taurus OX=9913 GN=GPR37 PE=2 SV=1
+MRAAGAPLARTSRLLLLLLLKVSASPALGSALAPRKENCLGERCSPTLIQRRSRDAWGPG
+DSAGDLLPTREPREEEQEAVVRSAPPWDLPAGPGGDPGVGRGAEALAAEPSGPPARPPGA
+WRWKGARGQEPPETLGRGNPTALQFFLHTSEEKKGSRGAGLSGRSQEQSARKEPGASDLY
+YWPRRAGKLQGSHHDPQPKTVHGPSGYEGRTIAPPGRALAQNGSSLEGVHERGGPRRGNS
+THPRLRLKNPFYPLTQESYGAYAVMCLSVVIFGTGIIGNLAVMCIVCHNYYMRSISNSLL
+ANLAFWDFLIIFFCLPLVIFHELTKKWLLEDFSCKIVPYIEVASLGVTTFTLCALCIDRF
+RAATNVQMYYEMIENCSSTTAKLAVIWVGALLLALPEVVLRQLSKEDLGLSGQAPAERCV
+IKISPDLPDTIYVLALTYDSARLWWYFGCYFCLPTLFTITCSLVTARKIRKAEKACTRGN
+KRQIQLESQMNCTVVALTILYGFCIIPENICNIVTAYMATGVSQQTMDLLNIISQFLLFF
+KSCVTPVLLFCLCKPFSRAFMDCCCCCCDECIQKSSTVTSDDNDNEYTTELELSPFSTIR
+REMSTFASVGTHC
+>tr|A5D7R0|A5D7R0_BOVIN FAS protein OS=Bos taurus OX=9913 GN=FAS PE=2 SV=1
+MSGIWVHLSLIFISVSGPLSKGENAHMAGINSEGLKLNITEANSCQEGLYREHQFCCQPC
+PPGKRKNGDCKRDGDTPECVLCSEGNEYTDKSHHSDKCIRCSICDEEHGLEVEQNCTRTR
+NTKCRCKSNFFCNSSPCEHCNPCTTCEHGIIEKCTPTSNTKCKGSRSHANSLWALLILLI
+PIVLIIYKVAKSRERNKKNDYCNSAASNDEGRQLNLTDVDLGKYIPSIAEQMRITEVKEF
+VRKNGMEEAKIDDIMHDNVHETAEQKVQLLRNWYQSHGKKNAYCTLTKSLPKALAEKICD
+IVMKDITNERENANLQNENENLV
+>tr|A0A3Q1MIK2|A0A3Q1MIK2_BOVIN Glycosyltransferase-like domain-containing protein 1 OS=Bos taurus OX=9913 GN=GTDC1 PE=4 SV=1
+MSILILEAFYGGSHKQLVDLLQEELEDSVLYTLPAKKWHWRARTSALYFSQNIPTSEHYR
+ILFASSVLNLTELAALRPDLGKLKKILYFHENQLVYPVKKCQERDFQYGYNQILSCLVAD
+VVVFNSVFNMESFLTSIGKFMKLIPDHRPKDLESIIRPKCQVIYFPIRFPDVSRFMPKHK
+AAHLQKILSLKENGGNAPSTALPFQQQLRGSENLLKSFDSKSGPCDAAQQESLGCSVRQA
+SYLKTFNSSDDSSTHHGEHKQNRCDVLAEADDQQRPLHIVWPHRWEHDKDPESFFKVLMH
+LKDLGLNFHVSVLGETFTDVPDIFSESRKALGSSVLHWGYLPSKDDYFQVLCMADVVIST
+AKHEFFGVAMLEAVYCGCYPLCPKDLVYPEIFPAEYLYSTPEQLSKRLQNFCKRPDITRK
+HLYKGEMAPFSWAALHGKFRSLLTAEPREDL
+>tr|Q56JW3|Q56JW3_BOVIN Nucleoside-diphosphate kinase NBR-A OS=Bos taurus OX=9913 GN=LOC574091 PE=2 SV=1
+MIIPGEKRASSLHGPIPLLQGDVKAKEMTLCGLFKRSGSLCFHLCFQPRISEIENLRTHL
+VITIQRTYLAIKHMPIYAIVLGWFPLFFLHGQCCVSVDRETPNCLHTSTPELRFLLHR
+>tr|E1BFF4|E1BFF4_BOVIN Kinesin family member 19 OS=Bos taurus OX=9913 GN=KIF19 PE=3 SV=3
+MKDSGDSKDQQLMVALRIRPISVAELEEGATLIAHKVDEQMVVLMDPMEDPDDILRAHRS
+REKSYLFDVAFDFTATQEMVYQATTKSLIEGVISGYNATVFAYGPTGCGKTYTMLGTDHE
+PGIYVRTLNDLFRAIEETSDDMEYEVSMSYLEIYNEMIRDLLNPSLGYLELREDSKGVIQ
+VAGITEVSTINAKEIMQLLMRGNRQRTQEPTAANQTSSRSHAVLQVAVRQRSRVRDVLQE
+VRQGRLFMIDLAGSERASQTQNRGQRMKEGAHINRSLLALGNCINALSDKGTNKYVNYRD
+SKLTRLLKDSLGGNSRTVMIAHISPASSAFEESRNTLTYASRAKSIKTRVKRNLLSVSYH
+IAQYTNIIADLRGEIQRLKRKIEERGGRSLDRAQLGRGDIRHIQAEVQLHSGQGEQAAME
+HLREQLISTFQEQMDTRRRLLELENRGMELETDTSHHLLTIASWKHEKSRRALKWREERR
+KESFTKDDTRKDSDTGDNQPDIEEPAEVVSARESIATLMTEQKKLRKQKLALEQRCRELR
+ARGRRLEETLPRRVSSEQQREALGLLCRVHELELEKAEMQSQALLRDGALRHRREALRRL
+EQRLSLCEEIIRAQRQLIQDCNLAVPQHLKELYEVYLREHEEGNLERATMMDRLASRALQ
+DSALPKISPPGTVPTPEESDLESVKMPNSESPHQHGSFLPLLGTESEANHPFKTSSRAWQ
+AKGFCLPTPPPIRGGNLVTQEATTQVGLNGQINSSPESIENLSEIPLSHKGRKETAADTK
+SIAGKAARHHLQALGAKGRQLLAPTIKQSSLSLHLKGQTDDVRPPGPLACKRPPSPTLQH
+TASEDSLSSSTGEAPSRAVECHGNGPCPPLQGQEKSPRNKREESLEAKRKRRSQAFEVTG
+QGIPTQLPAHLALQPTQLSRPKMHVAGPRPVENHTEEQRMPVVGHLTPTIRPLGKTTLPM
+AKVKLPPCQSLGPEDTTPVAAPSNPGDVSERVARMPRLPYGTSTQNKNGHFGHN
+>tr|A0A3Q1MD98|A0A3Q1MD98_BOVIN DNA replication licensing factor MCM7 OS=Bos taurus OX=9913 GN=MCM7 PE=3 SV=1
+MYVDLDDIAEDDPELVDSICENTKRYARLFADAVQELLPQYKEREVVNKDVLDVYIEHRL
+MMEQRSRDPGAARSPQNQYPPELMRRFELYFQGPSSNKPRVIREVRADSVGKLVTVRGIV
+TRVSEVKPRMVVATYTCDQCGAETYQPIQSPTFMPLIMCPSQECQTNRSGGRLYLQTRGS
+KFIKFQEMKMQEHSDQVPVGNIPRSITVLVEGENTRIAQPGDHVSVTGIFLPILRTGFRQ
+MVQGLLSETYLEAHRIVKMSKSEEDESGAGELTREELRQITEEDFYEKLAASIAPEIYGH
+EDVKKALLLLLVGGVDQSPRGMKIRGNINICLMGDPGVAKSQLLSYIDRLAPRSQYTTGR
+GSSGVGLTAAVLRDSVSGELTLEGGALVLADQGVCCIDEFDKMAEADRTAIHEVMEQQTI
+SIAKAGILTTLNARCSILAAANPAYGRYNPRRSLEQNIQLPAALLSRFDLLWLIQDRPDR
+DNDLRLAQHITYVHQHSRQPPAQFEPLDMKLMRRYIAMCREKQPAVPESLADYITAAYVE
+MRREAWASKDATYTSARTLLAILRLSTALARLRMVDTVEKEDVNEAIRLMEMSKDSLLGD
+KGQTARTQRPADVIFATVRELVSEGQSVRFSEAEQRCISRGFTPAQFQAALDEYEELNVW
+QVNTARTRITFV
+>tr|A6QPI2|A6QPI2_BOVIN GAS7 protein OS=Bos taurus OX=9913 GN=GAS7 PE=2 SV=1
+MARGCASPRASSSRCCRSRTAAGGKARRRTDSAAGSRRATCSCWRSLEWSPLRRERKARL
+LSFHRAGKATCPLRAGATMSTRPPMRPPGSVPAVLLGFQPALALTGALCLQQNLGSSSPS
+KKQSKENTITINCVTFPHPDTMPEQQLLKPTEWSYCDYFWADKKDSQGNGTVAGFELLLQ
+KQLKGKQMQKEMSEFIRERIKIEEEYAKNLAKLSQNSLAAQEEGSLGEAWAQVKKSLADE
+AEVHLKFSAKLHSEVEKPLMNFRENFKKDMKKCDHHIADLRKQLASRYAAVEKARKALTE
+RQRDLEMKTQQLEIKLSNKTEEDIKKARRKSTQAGDDLMRCVDLYNQAQSKWFEEMVTTT
+LELERLEVERVEMIRQHLCQYTQLRHETDMFNQSTVEPVDQLLRKVDPAKDRELWVREHK
+TGNIRPVDMEI
+>tr|F1MYS0|F1MYS0_BOVIN BBX, HMG-box containing OS=Bos taurus OX=9913 GN=BBX PE=4 SV=2
+MKGSNRNKDHSAEGEGTGKRPKRKCLQWHPLLAKKLLDFSEEEEEEDEEEDIDKVQLLGA
+DGLEQDVGETEDDESPEQRARRPMNAFLLFCKRHRSLVRQEHPRLDNRGATKILADWWAV
+LDPKEKQKYTDMAKEYKDAFMKANPGYKWCPTTNKPVKSPAPTTVNPRKKLWAFPSDSSR
+DLPSPKKTKTEEMPQLNFGMADPTQMGGLSMLLLAGEHALGTPEISSGTCRPDVPESSEL
+RQKSPLFQFAEISSSTSHPDAPSKQCQASALFQFAEISSNTSHLGGAEPVKRCGKSALFQ
+LAEMCLASEGVKMEESKLIRTKELDGGRIKELEKGKEERDTKMEKTDEARLQKEAEFEKS
+AKENVRDSKEIRNFEELRMDDIIGIKMEAPKEIKKEELEEDQKCGHFPDFSYSASSKIII
+SDVPSRKDHICHPHGIMIIEDPTALSKPEKLKKKKKKNKMDRHGNDKSTPKKACKKRQSS
+ESDIESVMYTIEAVAKGDWGIEKLGDTPRKKVRPSSSGKGSILDAKPPKKKVKSREKKMS
+KEKSSDPTKESRPPDFISISASKNISGEVPEGIKAEPLTPTEDALPPTLSGQAKPEDSDC
+HRKIETCGSRKSERSCKGALYKTLVSEGMLTSLRANVDRGKRSSGKGNSSDHEGCWNEES
+WTFSQSGTSGSKKFKKTKPKEDSVLGSTKLDEEFEKKFNSLPQYSPVTFDRKCVPVPRKK
+KKTGNMSAEPTKTSKGPFQSQKKNLFHKIVSKYKHKKEKPNVPEKGSGDKWSSKQLFLDA
+IHPTEAIFSEDKNTTEPAYKVKNALSIPNTPEPTTTQEPLVGSQKRKARKTKITHLVRTA
+DGRVSPAGGTLDDKPREQLQRSLPKVTETGCSDECSHNREAAETRSSTPEMPAVSAFFSL
+AALAEVAAMENVHRGQRSTPLTHDGQPKEMPQAPVLISCADQ
+>tr|A5D7S4|A5D7S4_BOVIN IL27RA protein OS=Bos taurus OX=9913 GN=IL27RA PE=2 SV=1
+MRGTPAAPFPPRPKPMLLLLLLLLFSRTRPQGSPGPLQCYGVGPLGDLNCSWEPHGDLGA
+PSMLYVQSQKYHSNKTWTVAVPTGQNWVTIPREQLTMSDELLVWAAKAGQLLWSPVFVNL
+ETRMKPDAPHLYPDVDFSDDHPLEAVVQWAPPTWPPHKVLVCRFYYRRCRMPWVMLEPEV
+KSIPLMPIEIQDLELATGYEMFGRCQVDKEEDLWGEWSPILPFQTPPSAPKDVWIMGNIC
+GAPGGQEPLLLWKASGLCMQVTYRVWIQVKDQEVIQEETPYCNFSIPTQAEWVGVSAVNA
+TSWELPTNLSLTCLGPDSAPHGVVVRSISGNTELLVTWQQEPGELQEHVVDWIRDGDPVE
+DLNWVRLPPGNLSAVLPVDFKEGVPYRITVTAISPWGLAPAPSVWKFREELVPLSGPVVW
+RIQDAPSGTPAVAWGEVPRQQLRGHLTHYTLCTQSGTRPSVCKNVTGSTRTITLPDLHWG
+PCKLWMTASTIAGQGPPGPSLQFHLPDNTLNWKVLSVVFLLWGLLLTGCGVSLATCGRCV
+HLQHKVLPHWVWEKIPDPANSNFSLPHMKEVPQAQPPGDSPILEVEEIEQVPVKEPPQPL
+APLDSGYEKHFLPTPEELGLLSPTAGSRLRPELTSGGERGAPLTDEDIEAQS
+>tr|A6QNY8|A6QNY8_BOVIN ZNF266 protein OS=Bos taurus OX=9913 GN=ZNF266 PE=2 SV=1
+MVTECLRNCSQDSVTFADVAVNFTQEEWTLLNRFQRKLYRDVMLENYKNLTTAGYQVFKP
+TLISWLEEEELSTVERGVLQGDTYYPGEENGNPLQHSCLESPMDRGAWRTVVHAVARVGH
+DLATKPPQVYCFF
+>tr|A6QPL1|A6QPL1_BOVIN LOC514011 protein OS=Bos taurus OX=9913 GN=LOC514011 PE=2 SV=1
+MSAKAIESGEGDALGPFLPELLSEEEEEPSQSSNQASDGSSPGEAPQGPRQGSDGSGSDP
+TDPPKSKGSNVYHYAGKTAAAVIGGALAVGSVPVVLGAMGFTGAGIAASSIAAKMMSMAA
+VANGGGVAAGSLVATLQSVGAAGLSTSSNILLGSAGAKIGASCWDAIKKAFSPSGSSTEE
+ESDSHAGDDPPGPQDVSPPNDKPSASQNASKNHKK
+>tr|Q2KJ89|Q2KJ89_BOVIN Fibulin-5 OS=Bos taurus OX=9913 GN=FBLN5 PE=2 SV=1
+MPGFKRILTVTVLALCLPTPGNAQQQCTNGFDLDRSSGQCLDVDECRTIPEACRGDMMCV
+NQNGGYLCIPRTNPVYRGPYSNPYSNPYSASYPAAAPPLSAPNYPTISRPLICRFGYQMD
+ESNQCVDVDECATDSHQCNPTQICINTEGGYTCSCTDGYWLLEGQCLDIDECRYGYCQQL
+CANVPGSYSCTCNPGFTLNEDGRSCQDVNECATENPCVQTCVNTYGSFICRCDPGYELED
+DGVHCSDMDECSFSEFLCQHECVNQPGTYFCSCPAGYILLDDNRSCQDINECEHRNHTCI
+LQQTCYNLQGGFKCIDPIRCEEPYLRISDNRCMCPAENPGCRDQPFTILYRDMDVVSGRS
+VPADIFQMQATTRYPGAYYIFQIKSGNEGREFYMRQTGPISATLVMTRPIKGPRDIQLDL
+EMITVNTVINFRGSSVIRLRIYVSQYPF
+>tr|A6QR32|A6QR32_BOVIN ADP-ribosylation factor GTPase-activating protein 2 OS=Bos taurus OX=9913 GN=ARFGAP2 PE=2 SV=1
+MAAEPNKTEIQTFFKRLRAIPTNKACFDCGAKNPSWASITYGVFLCIDCSGVHRSLGVHL
+SFIRSTELDSTWSWFQLRCMQVGGNANATAFFRQHGCTANDANTKYNSRAAQMYREKIRQ
+LGSAALARHGTDLWTDSVSTAPSHSPEKKESDFFLEHTQPPAWNAPVTDLSETQQPAPSA
+ESSGLAQPEHGPNMDLLGTSPKASLEPKTSLIGKKKPAAAKKGLGAKKGLGAQKVSSQSF
+SEIERQAQVAEKLREQQVADAKKQAEESMVASMRLAYQELQIDRKKEEKKLQNLEGKKRE
+QAERLGMGLVSRSSVSHSVLSEMQVIEQETPVSAKSSRSQLDLFDDVGTFASGPPKYKDN
+PFSLGESFGSRWDTDTAWESPTGGKWRAGARAWSRVKHVRNLQELKPSPPTCSSGGRWIL
+SMKPGPGSSSSRAAAPSARQTSSGTWMELMEQAACPWGMCCPRLTSPSLSRASSLWPGRW
+PCWPMA
+>tr|A6QLP0|A6QLP0_BOVIN FOXO4 protein OS=Bos taurus OX=9913 GN=FOXO4 PE=2 SV=1
+MDPVNENSAREAAAIVDLDPDFEPQSRPRSCTWPLPRPELAPEPSEASEVESGLGEKVHP
+EGRSDGRTQPTLLPARLPDSAGGPQPGILGAVTGPRKGGSRRNAWGNQSYAELISQAIES
+APEKRLTLAQIYEWMVRTVPYFKDKGDSNSSAGWKNSIRHNLSLHSKFIKVHNEATGKSS
+WWMLNPEGGKSGKAPRRRAASMDSSSRLLRGRSKTPKKKPAVLPAPREGATPRSPAGHFA
+KWSGSPCSRNREEADAWSTFRPRSSSNASTVSTRPSPREPEPEVLAEEEMPASASGYAGG
+VPPTLKEDLELLDGLNLTSPHSLLSRSSLSGFSLQHPGVPGPLHTYSTSLFSPAEGPLSA
+GEGCFSSSQSLEALLTSDTPPPPADVLMTQVDPILSQAPTLLLLGGIPSSSKLGTGGGLC
+PKPLEAAGPSGLVPTLPMIAPAPPPVMGGAPVPKPLGAPVLTPPTEAPSQDRMPQDLDLD
+IYMENLECDMDNIINDLMDGDEGLDFNFEPDP
+>tr|E1BM32|E1BM32_BOVIN Solute carrier family 8 member A3 OS=Bos taurus OX=9913 GN=SLC8A3 PE=3 SV=2
+MAWLRLQPLTSAFLHFGLITFVLFLNGLRAEAGGSGDVPSTGQNNESCTGSSDCKEGVIL
+PIWYPENPSLGDKIARVIVYFVALIYMFLGVSIIADRFMASIEVITSQEREVTIKKPNGE
+TSTTTIRVWNETVSNLTLMALGSSAPEILLSLIEVCGHGFIAGDLGPSTIVGSAAFNMFI
+IIGICVYVIPDGETRKIKHLRVFFVTAAWSIFAYIWLYMILAVFSPGVVQVWEGLLTLFF
+FPVCVLLAWVADKRLLFYKYMHKKYRTDKHRGIIIETEGDHPKGIEMDGKMMNSHFLDGH
+LVPLEGKEVDESRREMIRILKDLKQKHPEKDLDQLVEMANYYALSHQQKSRAFYRIQATR
+MMTGAGNILKKHAAEQAKKTSSMSEVHADEPEDFVSKVFFDPCSYQCLENCGAVLLTVVR
+KGGDTSKTLYVDYKTEDGSANAGADYEFTEGTVVLKPGETQKEFSVGIIDDDIFEEDEHF
+FVRLSNVRMEEEQPEEGMSPRALNSPPLPRAVLVSPCVATVTILDDDHAGIFTFECDTIH
+VSESIGVMEVKVLRTSGARGTVIVPFRTVEGTAKGGGEDFEDIYGELEFKNDETVKTVRV
+KIVDEEEYERQENFFIVLGEPKWMERGISEVTDRKLTVEEEEAKRIAEMGKPILGEHPKL
+EVIIEESYEFKTTVDKLIRKTNLALVVGTHSWRDQFMEAITVSAAGDEDEDESGEERLPS
+CFDYVMHFLTVFWKVLFACVPPTEYCHGWACFVVSILIIGMLTAIIGDLASHFGCTIGLK
+DSVTAVIFVAFGTSVPDTFASKAAAIQDVYADASIGNVTGSNAVNVFLGIGLAWSVAAIY
+WALQGQEFHVSAGTLAFSVTLFTIFAFVCISVLLYRRRPHLGGELGGPRGCKLATTWLFV
+SLWLLYILFATLEAYCYIKGF
+>tr|Q2KJ71|Q2KJ71_BOVIN Transformer 2 alpha homolog OS=Bos taurus OX=9913 GN=TRA2A PE=2 SV=1
+MSDVEENNFEGRESRSQSKSPTGTPARVKSESRSGSRSPSRVSKHSESHSRSRSKSRSRS
+RRHSHRRYTRSRSHSHSHRRRSRSRSYTPEYRRRRSRSHSPMSNRRRHTGSRANPDPNTC
+LGVFGLSLYTTERDLREVFSRYGPLSGVNVVYDQRTGRSRGFAFVYFERIDDSKEAMERA
+NGMELDGRRIRVDYSITKRAHTPTPGIYMGRPTHSGGGGGGGGGGGGGGGRRRDSYYDRG
+YDRGYDRYEDYDYRYRRRSPSPYYSRYRSRSRSRSYSPRRY
+>tr|Q2KJ85|Q2KJ85_BOVIN Solute carrier family 10 (Sodium/bile acid cotransporter family), member 1 OS=Bos taurus OX=9913 GN=SLC10A1 PE=2 SV=1
+MEAFNESSPFNFSLPHNFGKRPTDRALSVILVIMLLTIMLSLGCTMEFSKIKAHFWRPKG
+LAVALVAQFGIMPLTAFGLGKFFQLNNVEALAILICGCSPGGNLSNVFALAIKGDMNLSI
+VMTTCSTFFALGMMPLLLYLYSRGIYDGSLKDKVPYGGIMISLILILIPCTIGIILKSKR
+PQYVRYVTKGGIILLLLCSVAVVVLSAINVGKSILFVMTPHLLATSSLMPFIGFLLGYLL
+SALFCLNGRCKRTVSMETGCQNIQLCSTILNVTFPPEVIGPLFFFPLLYMIFQVGEGLLL
+VAIFRCYEKFKTPRDDTKMTYKVDATEETLPTALGNGTYKGEECNIGFTSGFPKELDSGQ
+KATEQLNMAN
+>tr|E1BBB7|E1BBB7_BOVIN LIM homeobox 8 OS=Bos taurus OX=9913 GN=LHX8 PE=2 SV=3
+MYWKSDQMFVCKLEEKDVLELAVPLEKCQGLMSEECGRTAALAAGRTRKGAGEEGLVSPE
+GVGDEDSCSSSAPLSPSSSPRSMASGSVCPPGKCVCSSCGLEIVDKYLLKVNDLCWHVRC
+LSCSVCRTSLGRHTSCYIKDKDIFCKLDYFRRYGTRCSRCGRHIHSTDWVRRAKGNVYHL
+ACFACFSCKRQLSTGEEFALVEEKVLCRVHYDCMLDNLKREVENGNGISVEGALLTEQDV
+NHPKPAKRARTSFTADQLQVMQAQFAQDNNPDAQTLQKLAERTGLSRRVIQVWFQNCRAR
+HKKHVSPNHSSSAPVTAVPPSRLSPPMLEEMAYSAYVPQDGTMLTALHSYMDAHSPTTLG
+LQPLLPHSMTQLPISHT
+>tr|A6QLJ9|A6QLJ9_BOVIN C22H3ORF18 protein OS=Bos taurus OX=9913 GN=C22H3orf18 PE=2 SV=1
+MDSRIPSARGWISSRPPTSESDLEPATDGPASETTTLSPEATSFNDTRIPDVAGGTTGVG
+TMLLSFGIITVIGLTVAMVLYIRKKKRLEKLRHQLMPMYNFDPTEEQDELEQELLEHGRD
+AASVQAAAAGQAMQGKTTLPSQGPVQRPSRLVFTDVANAIHA
+>tr|F1MN35|F1MN35_BOVIN PDLIM1 interacting kinase 1 like OS=Bos taurus OX=9913 GN=PDIK1L PE=3 SV=3
+MVSSQPKYDLIREVGRGSYGVVYEAVIRKTSARVAVKKIRCHAPENVELALREFWALSSI
+KSQHPNVIHLEECILQKDGMVQKMSHGSNSSLYLQLVETSLKGEIAFDPRSAYYLWFVMD
+FCDGGDMNEYLLSRKPNRKTNTSFMLQLSSALAFLHKNQIIHRDLKPDNILISQSRLDTS
+DLEPTLKVADFGLSKVCSASGQNPEEPVSVNKCFLSTACGTDFYMAPEVWEGHYTAKADI
+FALGIIIWAMLERITFIDTETKKELLGSYVKQGTEIVPVGEALLENPKMELLIPVKKKSM
+NGRMKQLIKEMLAANPQDRPDAFELELRLVQIAFKDNSWET
+>tr|A0A3Q1LSP1|A0A3Q1LSP1_BOVIN KRAB domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MTHSEGRLMFQDVAIDFTQEEWESLDPSQRKLYRDVMVENYRNLASLGEDICLPESLIYP
+LHFCSVISRMSSEIFCFPQYFQICAL
+>tr|Q0VBZ6|Q0VBZ6_BOVIN TIA1 cytotoxic granule-associated RNA binding protein OS=Bos taurus OX=9913 GN=TIA1 PE=2 SV=1
+MEDEMPKTLYVGNLSRDVTEALILQLFSQIGPCKNCKMIMDTAGNDPYCFVEFYEHRHAA
+AALAAMNGRKIMGKEVKVNWATTPSSQKKDTSSSTVVSTQRSQDHFHVFVGDLSPEITTE
+DIKAAFAPFGRISDARVVKDMATGKSKGYGFVSFFNKWDAENAIQQMGGQWLGGRQIRTN
+WATRKPPAPKSTYESNTKQLSYDEVVNQSSPSNCTVYCGGVTSGLTEQLMRQTFSPFGQI
+MEIRVFPDKGYSFVRFNSHESAAHAIVSVNGTTIEGHVVKCYWGKETLDMINPVQQQNQI
+GYPQAYGQWGQWYGNAQQIGQYMPNGWQVPAYGMYGQPWNQQGFNQTQSSPPWMGPNYGV
+QPPPGQNGSMMPNQPAGYRVAGFF
+>tr|T1W2G0|T1W2G0_BOVIN Factor in the germline alpha isoform 2 OS=Bos taurus OX=9913 GN=FIGLA PE=2 SV=1
+MDAAPELLRVPPAELLDDVLREQFGPLPQQATICRLKRLPSSTQDVQLVLERRRVANAKE
+RERIKNLNHGLAKLKALVPFLPQNRKPSKVDILRGATEYIQVLSDILEEAKDSEKRDPDH
+QSYSSNTSELHTSSARELSRIIQRAGCAMGLKNEKEGSWADGGSGSLKYEK
+>tr|Q2TBT6|Q2TBT6_BOVIN Multiple inositol polyphosphate histidine phosphatase, 1 OS=Bos taurus OX=9913 GN=MINPP1 PE=2 SV=1
+MPRGPCSLVRVSATPVAVLAVALVSSLSRCSLLEPENSVVSALSPYFGTKTRYEDANPGL
+LPDPEVPRRDPELLEETCTPVQLVALIRHGTRYPTTKQIRKLRQLHGLLQARGAEDDRTR
+AAGRGDLGAALADWPLWYADWMDGQLVEKGRQDMRQLALRLASLFPALFSRENYGRLQLV
+TSSKHRCVDSGAAFLQGLWQHYHPGLPPPDIADMECGPPRINDKLMRFFDHCEKFLTQVE
+RNATALYHVEAFKTGPEMQNILKKVADILQVPVNNLNADLIQVAFFTCSFDLAIKGVKSP
+WCDVFDIDDAKVLEYLNDLKQYWKRGYGYSINSRSSCTLFQDIFQHLDKAVKQKQRRSCV
+PDSEMEK
+>tr|F1MW04|F1MW04_BOVIN Epithelial membrane protein 2 OS=Bos taurus OX=9913 GN=EMP2 PE=3 SV=1
+MLVLLAFIIVFHITSAALLFVATIDNAWWVGEEFFADIWKVCVNNTNCTELNDSVQDFST
+VQAVQATMILSTILCCIAFLIFLLQLFRLKQGERFVLTSIIQLMACLCVMIAASIYTDRR
+KDIHEKNEELYAQTSGGSFGYSFILAWVAFAFTFISGLMYLILRKRK
+>tr|B5AGT0|B5AGT0_BOVIN Hypothetical LOC100174923 protein OS=Bos taurus OX=9913 PE=2 SV=1
+MMAEESFRILKKSLTQGSSNRVKRVPHDTRLGLRVRHSAARQRRANYNSHHSARRAAPIV
+RHNCEGRGPYKPLPVSQASFYPAVARRSSCLSWVLCLQWSTPILRHTRPQPGCHGRRTCR
+GCL
+>tr|A5PJ77|A5PJ77_BOVIN MPPED2 protein OS=Bos taurus OX=9913 GN=MPPED2 PE=2 SV=1
+MAHGIPSQGKVTITVDEYSSNPTQAFTHYNINQSRFQPPHVHMVDPIPYDTPKPAGHTRF
+VCISDTHSRTDGIQMPYGDILLHTGDFTELGLPSEVKKFNDWLGNLPYEYKIVIAGNHEL
+TFDKEFMADLVKQDYYRFPSVSKLKPEDFDNVQSLLTNSIYLQDSEVTVKGFRIYGAPWT
+PWFNGWGFNLPRGQSLLDKWNLIPEGIDILMTHGPPLGFRDWVPKELQRVGCVELLNTVQ
+RRVRPKLHVFGGIHEGYGIMTDGYTTYINASTCTVSFQPTNPPIIFDLPNPQGS
+>tr|A6QQI5|A6QQI5_BOVIN Cysteine protease OS=Bos taurus OX=9913 GN=ATG4D PE=2 SV=1
+MNSVSPAAAQYRSGSPEDARRPEGRRPRGPRTPDPHGLGPSGASGPALASPGTGPGEPDE
+VDKFKAKFLTAWNNVKYGWAVKSRTSFSKISSVHLCGRRYRFEGEGDIQRFQRDFVSRLW
+LTYRRDFPPLAGGSLTSDCGWGCMLRSGQMMLAQGLLLHFLPRVYKADVARLVARPDPTA
+EWKSVVILVPVRLGGETLNPVYVPCVKELLRSELCLGIMGGKPRHSLYFIGYQDDFLLYL
+DPHYCQPTVDVSQADFPLESFHCTSPRKMAFAKMDPSCTVGFYAGDRKEFETLCSELTRV
+LSSSSATERYPMFTLVEGHAQDHSLDDLCSPPSQQTLRLPRSGRLLKAKRPSSEDFVFL
+>tr|E1BGZ7|E1BGZ7_BOVIN Solute carrier family 45 member 4 OS=Bos taurus OX=9913 GN=SLC45A4 PE=4 SV=3
+MKMAPQNADAESMQVQELPVPLPDLQKPGRAEAETRDETVSEGSIDRIPVRLWVMHGAVM
+FGREFCYAMETALVTPVLLQIGLPEQYYSLTWFLSPILGLIFTPLIGSASDRCTLSWGRR
+RPFILALCVGVLFGVALFLNGSAIGLALGDVPTRQPIGIVLTVLGVVVLDFSADATEGPI
+RAYLLDVVDSEEQDMALNIHAFSAGLGGAIGYVLGGLDWTQTFLGSWFRTQNQVLFFFAA
+IIFTVSVALHLSSIEEEQYSPQQERGGDPASAPASGPRACVLDGSVLFPDEVQSEHELAL
+DFLDEGLVRSKSDSVLHVPEAALGLEPELFLQDIEPSIFHDASCPSTPRSTSQEHAPARP
+PRRPLPLRAPAGEDETLLEEPLSAARLPNGGSAGLRDGPGTCAYSRAALKAPATAGPARR
+RRHVFRRQASSTFSYYGKIGSHRYRHRRANAVVLIKPSRSMSDLYDLQARPRQHRRRHQS
+GATTSSGDSESEEGEGETTVRLLWLSMLKMPPELVRLCLCHLLTWFSVIAEAVFYTDFMG
+QVIFEGDPKAPSNSTAWQAYSAGVKMGCWGLVIYAATGATCSALLQKYLDSYDLSIRVVY
+VLGTLGFSLGTAVMAMFANVYVAMIMISTMGVVSMSISYCPYALLGQYHDIREYVHHSPG
+TSKRGFGIDCAILSCQVYISQILVASALGSVVDAVGTVRVIPMVASVGSFLGFLTAAFLV
+IYPEVSEEAKEEQKGLSSQPLGEGASSSAEKPTMLKLSRKEAPQGLVETESMVFGRSVRS
+RHILLVLQLLESIFLYDYFRKKNFCLYFPKLWFS
+>tr|Q17R05|Q17R05_BOVIN Ephrin-A5 OS=Bos taurus OX=9913 GN=EFNA5 PE=2 SV=1
+MLHVEMLTPLFLALWMCVFSQDPGSKAVADRYAVYWNSSNPRFQRGDYHIDVCINDYLDV
+FCPHYEDSVPEDKTERYVLYMVNFDGYSACDHTSKGFKRWECNRPHSPNGPLKFSEKFQL
+FTPFSLGFEFRPGREYFYISSAIPDNGRRSCLKLKVFVRPTNSCMKTIGVHDRVFDVNDK
+VENSLEPADDTVHESAEPSRGENAAQTPRIPSRLLAILLFLLAMLLTL
+>tr|A7E309|A7E309_BOVIN MDFIC protein OS=Bos taurus OX=9913 GN=MDFIC PE=2 SV=1
+MSGAGEALAPGPQRGAEAGGGRLGAPAQEKCDKENTEREITQATDSCFTHGEMQDQSIWG
+NCSDDELIRTQPQRLPQLQTAAQESEEEISKIKNGHPSLSNGNGIHHGAKHVSAENRRLS
+APVSQKMHRKIHSSLSVNSDISKKSKVNAVFSQKTGSSPEDCCVHCILACLFCEFLTLCN
+IVLGQASCGICTSEACCCCCGDEVGDDCNCPCDMDCGIMDACCESSDCLEICMECCGICF
+PS
+>tr|A6QLD1|A6QLD1_BOVIN EXOC4 protein OS=Bos taurus OX=9913 GN=EXOC4 PE=2 SV=1
+MAAEGAGGKYRSTVSKSKDPSGLLISVIRTLSTSDDVEDRENEKGRLEEAYERCDRDLDE
+LIVQHYTELTTAIRTYQSITERITNSRNKIKQVKENLLSCKMLLHCKRDELRKLWIEGIE
+HKHVLNLLDEIENIKQVPQKLEQCMASKHYLSATDMLVSAVESLEGPLLQVEGLSDLRLE
+LHSKKMNLHLVLIDELHRHLYIKSTSRVVQQNKEKGRMSSLVKDASPVPLLDVTNLPTPR
+KFLDTSQYSTPGSSSVKEISLQDIKEDLELDPEENSTLFMGILIKGLAKLKKIPETVKAI
+TERLEQELKQIVKRSTTQVADSDYQRGENLTAENQPRLLLELLELLFDKFNAVATAHSVV
+LGYLQDTVVTPLSQQEDVKLYDMADVWVKIQDVLQMLLTEYLDMKNTRTASEPSAQLSYA
+SSGRDCAAFFAKKKPQRPKNSLFKFESSSHAISMSAYLREQRRELYSRSGELQGGPDDNL
+IEGGGTKFVCKPGARNITVIFHPLLRFIQEIEHALGLGPAKQCPLREFLTMYIKNIFLNQ
+VLAEINKEIEGVTKTSDPLKILANADTMKVLGVQRPLLQSTIIVEKTVQDLMNLMHDLSA
+YSDQFLNMVCVKLQEYKDTCCAAYRGIVQSEEKLVISASWAKDDDISRLLKSLPNWMNMA
+QPKQLRSKREEEEDFIRAAFGKESEVLIGNLGDKLIPPQDILCDVSDLKALANMHESLEW
+LAGRTKSAFSSLSTSQNLSPAQDNPVNVDLPPVSEQIMQTLSELAKSFQDMADRCLLVLH
+LEVRVHCFHYLIPLAKEGNYAIVANVESMDYDPLVVKLNKDISAIEEAMSASLQQHKFQY
+IFEGLGHLISCILINGAQYFRRISESGIKKMCRNIFVLQQNLTNITMSREADLDFARQYY
+EMLYNTADELLNLVVDQGVKYTELEYIHALTLLHRSQTGVGDQTTQNMRLQRLKEIICEQ
+AAIKQATKDKKITTV
+>tr|A7YWK8|A7YWK8_BOVIN VPS54 protein OS=Bos taurus OX=9913 GN=VPS54 PE=2 SV=1
+MASSHSSSPVPQGSSSDVFFKKEVDPTKHIRPVQSLPDVCPKEPTGDSSSLCVAPSLVTD
+QHRWTIYHSKVNLPAALNDPRLAKRESDFFTKTWGLDFVDTEVIPSFYLPQISKEHFTVY
+QQEISQREKIHERCKNICPPKDTFDRTLLHTHDKSRTDLEQVPKIFMKPDFALDDSLTFN
+SVLPWSHFNTAGGKGNRDAASSKLLQEKLSHYLDIVEVNIAHQISLRSEAFFHAMTSQHE
+LQDYLKKTSQAVKMLRDKIAQIDKVMCEGSLHILRLALTRNNCVKVYNKLKLMATVHQTQ
+PTVQVLLSTSEFVGALDLIATTQEVLQQELQGIHSFRHLGSQLCELEKLIDKMMIAEFST
+YSHSDLNRPLEDDCHILEEERLISLVFGLLKQRKLNFLEIYSEEMIITAKNIIKQCVINK
+VSQIEDIDTDVVLKLADQMRMLNFPQWFDLLTDIFSKFTIFLQRVKATLNIIHSVVLSVL
+DKNQRTRELEEISQQKNAAKDNSLDTEVAYLIHEGMFISDAFSESELTPIAIDTTSQRNA
+SPNSEPCSSDSVSEPECTTDSSSSKEQISSSVTPGAVDIMVNEDVKLSDLELGKLANNIQ
+ELLYSASDICHDRAVKFLMSRAKDGFLEKLNSTEFISLSRLMETFILETEQICGRKSMSL
+LGALQSQANKFVNRFHEERKTKLSLLLDNERWKQADVPAEFQDLVDSISHGKIALPEKKS
+GATEERKPAEVLTVEGQQYAVVGTVLLLIRIILEYCQCVDNIPSVTTDMLTRLSDLLKYF
+NSRSCQLVLGAGALQVVGLKTITTKNLALSSRCLQLIVHYIPVIRAHFEARLQPKQYSML
+RHFDHITKDYHDHIAEISAKLVAIMDSLFDKLLSKYEVKAPVPSACFRNICKQMAKMHEA
+IFDLLPEEQTQMLFLRINASYKLHLKKQLSHLNVINDGGPQNGLVTADVAFYTGNLQALK
+GLQDLDLNMAEIWEQKR
+>tr|A6YM52|A6YM52_BOVIN C-X-C chemokine receptor type 2 OS=Bos taurus OX=9913 GN=CXCR2 PE=2 SV=1
+MAETKFTSNIEGFNWENYSDEDFGNYSYNTDLPSILTDSAPCRPEILDINKHAVVVIYAL
+VFLLSLLGNSLVMLVILYSRIGRSVTDVYLLNLAMADLLFAMTLPIWAASKAKGWVFGTP
+LCKVVSLLKEVNFYSGILLLACISMDRYLAIVHATRTLTQKRHWVKFICLGIWALSVILA
+LPVFIFRRAIHPPYSSAVCYEDMGANTTKWRMVMRVLPQTFGFLLPLLVMLFCYGFTLRT
+LFSAQMGQKHRAMRVIFAVVLVFLLCWLPYNLVLIVDTLMRAHVIAETCQRRNDIGRALD
+ATEILGFLHSCLNPLIYVFIGQKFRHGLLKIMAIHGLISKEFLAKDGRPSFVGSSSGNTS
+TTL
+>tr|A4IFU3|A4IFU3_BOVIN COMTD1 protein OS=Bos taurus OX=9913 GN=COMTD1 PE=2 SV=1
+MTQPVPRISVPAALALGSAALGAAFASGLFLGRWFPPWRSRQEKRLLPPEDSPLWQYLLS
+RSIREHPALRSLRLLTLEQPQGDSMMTREQAQLLANLARLIKAKKALDLGTFTGYSALAL
+ALALPPAGCVVTCEVDAGPPELGRPLWRQAEEEHKIDLRLKPALETLDELLAAGEAGTFD
+VAVVDADKENCTAYYERCLQLLRPGGVLAVLSVLCQGEVLQPKPQDKAAQCVRNLNERIL
+RDARVHISLLPLGDGLTLAFKI
+>tr|Q0V7L8|Q0V7L8_BOVIN Delta-like protein OS=Bos taurus OX=9913 GN=DLL4 PE=2 SV=1
+MAVASRRASGWALLLLVALWQQPAAGSGVFQLQLQEFANERGVLASGRPCEPGCRTFFRV
+CLKHFQAVVSPGPCTFGSVSTPVLGTNSFAVGDNSSGGGRNPLQLPFNFTWPGTFSLIIE
+AWHAPGDDLRPEALPPDALISKIAIQGSLAVGQNWLLDEQTSPLTRLRYSYRVICSDNYY
+GDSCSRLCKKRNDHFGHYVCQPDGSLSCLPGWTGEYCEQPICLSGCHEQNGYCSKPAECI
+CRPGWQGRLCNECIPHNGCRHGTCSTPWQCTCDEGWGGLFCDQDLNYCTHHSPCKNGATC
+SNSGQRSYTCTCRPGYTGVDCELELSECDSNPCRNGGSCKDQEDGYHCLCPPGYYGLHCE
+HSTLSCADSPCFNGGSCRERNQGTSYACECPPNFTGSNCEKKVDRCTSNPCANGGQCLNR
+GPNRVCRCRPGFTGAHCEINISDCARSPCVHGGTCHDLENGFVCTCPAGFSGRRCEVRMP
+AEACASGPCFNGATCYPGLPPDNFVCNCPYGFVGSRCEFRMSMPPTFPWVAVSLGVGLVV
+VLVLLCMVAVAVRQLRLRRPDGGSREAMNNLSDFQKDNLIPTAQLKNTNQKKELEVDCGL
+DKSNCGKQQNHTLDYNLAPGLLGRGILPGKYSHSDKSLGEKAPLRIHSEKPECRISAICS
+PRDSMYQSVCLISEERNECVIATEV
+>tr|A6H7J5|A6H7J5_BOVIN JAMP protein OS=Bos taurus OX=9913 GN=JKAMP PE=2 SV=1
+MAVDIQPACLGLYCGKTLLFKNGSTEIYGECGVCPRGQRTNAQKYCQPCTESPELYDWLY
+LGFMAMLPLVLHWFFIEWYSGKKSSSALFQHITALFECTVAALVTLLVSEPVGVLHIRSC
+RVLMLSDWYTMLYNPSPDYVTTVHCTHEAVYPLYTIVFIYYAFCLVLMMLLRPLLVKKIA
+CGLGKSDRFKSIYAALYFFPILTVLQAVGGGLLYYAFPYIILVLSLVTLAVYMSASEIEN
+CYDLLVRKKRLIVLFSHWLLHAYGIISISRVDKLEQDLPLLALVPTPALFYLFTAKFTEP
+SRILSEGANGH
+>tr|A4IFF4|A4IFF4_BOVIN Ribosomal protein S6 kinase OS=Bos taurus OX=9913 GN=RPS6KA1 PE=2 SV=1
+MPLAQLKEPWPLMELVPLDPENGQASGEEAGLQPSKDEGVLKEISITHHVKAGSEKADPS
+HFELLKVLGQGSFGKVFLVRKVTRPDSGHLYAMKVLKKATLKVRDRVRTKMERDILADVN
+HPFVVKLHYAFQTEGKLYLILDFLRGGDLFTRLSKEVMFTEEDVKFYLAELALGLDHLHS
+LGIIYRDLKPENILLDEEGHIKLTDFGLSKEAIDHEKKAYSFCGTVEYMAPEVVNRQGHT
+HSADWWSYGVLMFEMLTGSLPFQGKDRKETMTLILKAKLGMPQFLSTEAQSLLRALFKRN
+PANRLGSGPDGAEEIKRHVFYSTIDWNKLYRREIKPPFKPAVAQPDDTFYFDTEFTSRTP
+KDSPGIPPSAGAHQLFRGFSFVATGLMEDDGKPRATQAPLHAVVQQLHGKNLVFSDGYVV
+KETIGVGSYSECKRCVHKATNMEYAVKVIDKSKRDPSEEIEILLRYGQHPNIITLKDVYD
+DGKHVYLVTELMRGGELLDKILRQKFFSEREASFVLHTISKTVEYLHSQGVVHRDLKPSN
+ILYVDESGNPECLRICDFGFAKQLRAENGLLMTPCYTANFVAPEVLKRQGYDEGCDIWSL
+GILLYTMLAGYTPFANGPSDTPEEILTRIGSGKFTLSGGNWNTVSDTAKDLVSKMLHVDP
+HQRLTAKQVLQHPWITQKDKLPQSQLSHQDLQLVKGAMAATYSALNSSKPTPQLKPIESS
+ILAQRRVRKLPSTTL
+>tr|Q2HJ64|Q2HJ64_BOVIN Zinc finger FYVE-type containing 19 OS=Bos taurus OX=9913 GN=ZFYVE19 PE=2 SV=1
+MESRCYGCAVKFTLFKKEYGCKNCGRAFCSGCLSFSAAVPRAGNTQQKVCKQCHEVLTRG
+SSPASASKWSPPQNYKKRVAALEAKQKPSTPRSHGLTQQDQVIAERLARLRRENKPKSVP
+SQAEIEARLAALKDAPQGPVPSTKEMEARLAVLQGRVPSSQAPQLAHQPPDPRTQVQQAQ
+DLLTQLTAEVAIDESWEQEGPAASIQNDLNRGGPGAQSTSSRGQATWSLEEEKSRLLAEA
+AVELREENTRQERILALAKRLAVLQGRDPDRVTLQDYYLPDSDDEEEEEKAIQRVLRQLT
+EEAALDEASGFNIPVEPTPQPQDQSCRAEPKAQAMATRPEEEEEELPWCCICNEDATLRC
+AGCDGDLYCNRCFREGHDAFELKEHQTSAYQPLHKGQGH
+>tr|E1BN65|E1BN65_BOVIN Zinc finger protein 217 OS=Bos taurus OX=9913 GN=ZNF217 PE=4 SV=3
+MPTQSLLVYMDGPEVIGNSLGTQMELDDAMTIKGAAAVPFRAAQEKSITQMEGCMPLDCM
+FCSQSFTRSEDLSKHVLLQHRPTLCEPAVLRVEAEYLSPLDKVQVRSEPPKDKSCKENEE
+LSCEVCGQTFRVAFDVEIHMKKHKDSFTYGCHMCGRRFKEPWFLKNHMRTHTGKSGAKSR
+LQPGLESPATINEVVQEPAAEGVSSPYKICMVCGFLFPNKESLIDHRKMHTKEAASGPRG
+PQIEAPQEGMLSPGAELLQFLNLRPTSPAEIAKKPAKWIPQLDPFTTYQAWQLATKGKVA
+VCREVKEQQPGQEGSTDNDESCSDKEEPGEIWSGSKSQPEGSGKPRTSKSGCPGLSQDKE
+KSRHPHGEVPSVDADPKLASSKDKPTHCAECGKAFRTYHQLVLHSRVHKKERRPDAGSPP
+TAADGRQPRTCSPELPPALEDSGALDREAGSEDGSEDGLPDGLHLDKNDDGGKMKHLTSS
+RECSYCGKFFRSNYYLNIHLRTHTGEKPYKCEFCDYAAAQKTSLRYHLERHHRDKQTDAA
+ADAKSDGRPPEAEDALLTADGAQTKNLKRCFDGAKDVKGSPPAKQLKGMAPASQSVLGGA
+VLAPVHRETQDFSKDAADDGAEKLSKAPGPAYLDALKKRPPADPQASPLVCRTEAATAAR
+HAQLGPREKRAADAGCQEKPLNLSLAPHGGAGAPLGRSVTASSTCPFCTFKTFYPEVLTM
+HQRLEHKYHPDAHKSCRGRAALRSRRTGCPPALLGKDVPPLPGAFRPKPRPAAPAQPKPA
+PAERPAPGAPGPSRAPPPPPPAGADSTLAPSNLKALRPLPPPGLGVSGPGPARTPPEAPP
+CKAGPEKVRRPEPQPPADAARSDGPWVPTRDYACGRGGAEPGEPLPKRPRVPPEPPCAPC
+RRPPELPQYRVVRGLASLLPPECACAPPAPPARPRPAPGPAFAALLPCAPAAALEGKRPV
+SYQHLSSSMLQKRNYENFIGNAHYRPNDKKT
+>tr|A0A3Q1M8D8|A0A3Q1M8D8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC100851913 PE=4 SV=1
+MSQIEEKLFPEQIMMSPVKAPACLYPHVEVLQGTKRSVKESSNKSKKSSPQMGSLHPESA
+RQHFRSFCYHDTPGPYEAVSHLQELCSQWLRPEIHSKEQILELLVLEQFLDVLPSHIQNW
+VQKYHPQNVKEAVALVDRFHRECGGISNEVTTHQLGNDTALLGGTAVAPGFKWKPAEPQP
+MGVFQGESSNIYQVLQEPRWNTHKESQPVDEGAVPAEESPTFSEEKSTPSCKLASKLTLP
+KSQSPLTFEDVALYFSEEEWRIMTPEQKTLYFDVMGELYEDVTFLGLKLTNDTGNDPPVS
+LPTLEIQPSGCEVLGKATMKDAEITVGNENHGDTCRVRKRPRSPEAPALASHFVPGDKLH
+>tr|A5PJR0|A5PJR0_BOVIN TTC9B protein OS=Bos taurus OX=9913 GN=TTC9B PE=2 SV=1
+MQRGALSPVLMLSAAPEPPPRPPPALSPPGPSPRHGSARSSPTPEASGALGAALDSSLRA
+AVAFKAEGQRCYREKKFREAIGKYHRALLQLKAAQGARPGGLPAPTPGPATSPGPARLSE
+EQRRLVENTEVECYDSLTACLLQSELVNYERVREYCLKVLEKQQGNFKATYRAGIAFYHL
+GDYAHALRYLQEARSREPTDTNVLRYIQLTQLKMNRCSLQREDSGAGPGTRDVVG
+>tr|Q0II56|Q0II56_BOVIN Zinc finger protein 154 OS=Bos taurus OX=9913 GN=ZNF154 PE=2 SV=1
+MAAAALRDPPQGGVTFEDVALSFSWKEWKLLDAAQRRLYHDVMVENYALISSLASCVVFW
+LALRGVRSRIQDADLHKPLPTLTLPWIQVRCLEDPVELFISLVIPDHGVLGLRCLSQEPG
+TCLLPLQTGK
+>tr|Q5KTP6|Q5KTP6_BOVIN MHC class II DO-beta chain OS=Bos taurus OX=9913 GN=BoLA-DOB PE=2 SV=1
+MSPSWVPWVVTFLSTALRLDASVTQGRDSPEDFVTQAKADCYFTNGTEKVRFVVRFIFNL
+EEYARFDSDLGMFVALTELGKPDAERWNNRPDILARSRASVDMLCRRNYYLGAPFTVGRR
+VQPEVTVYPEKTPALQHRNLLLCLVTGFYPGDIKVTWFRNGQEQREGIMSTGLIRNGDWT
+FQMTVMLAMTPELGEVYTCLVDHPSLLSPVSVEWRAQSEYSWRKILSGAAAFLVGLVFFL
+VGIVVHIRAWKGRVETPLPGNEVPRAVLPPP
+>tr|E1BLX2|E1BLX2_BOVIN Histone-lysine N-methyltransferase OS=Bos taurus OX=9913 GN=SETD1A PE=4 SV=3
+MDQEGGGDGQKAPSFQWRNYKLIVDPALDPALRRPSQKVYRYDGIHFSVNDSKYIPVEDL
+QDPRCHVRSKNRDFSLPVPKFKLDEFYIGQIPLKEVTFARLNDNVRETFLKDMCRKYGEV
+EEVEILLHPRTRKHLGLARVLFTSTRGAKETVKNLHLTSVMGNIIHAQLDIKGQQRMKYY
+ELIVNGSYTPQTVPTGGKALSEKFQGSGAATETTDSRRRSSSDTAAFPAGSAVVGTPGNG
+TPCSQDTSFSSSRQDTPSSFGQFTPQSSQGTPYTSRGSTPYSQDSAYSSSTTSTSFKPRR
+SENSYQDSFSRRHFSASSAPTTTSAAICATTAATASASSSSSLSSSSSSSSSSSSSHFRG
+SDSNYPAYYESWNRYQRHASYPPRRATRDEPPGASFAENTAERFTPSYTSYLPPEPNRPA
+DQDYRPPASEAPPPEPPEPGGGGGGGGPSPEREEARTSPRPASPARSGSPAPETTNESVP
+FAQHSSLDSRIEMLLKEQRSKFSFLASDTEEEEENSSTGPGARDTGSEAPSGSGHGPCTP
+PPAPASFEDVAPTGSGEPGATRESPKANGQNQASPCSSGEDMEISDDDRGGSPPPAPTPP
+QQPPPPPPPPPPPPPYLASLPLGYPPHQPAYLLPPRPDGPPPPEYPPPPPPPPHIYDFVN
+SLELMDRLGAQWGGMPMSFQMQTQMLTRLHQLRQGKGLTAASAGPPGGAFGEAFLPFPPH
+QEAAYGLPYALYAQGQEGRGAYSREAYHLPLPMAAEPLPSSVSGEEARLPPREEAELAEG
+KALPSAGTVGRVLATLVQEMKSIMQRDLNRKMVENVAFGAFDQWWESKEEKAKPFQNAAK
+QQAKEEDKEKTKLKEPGLLSLVDWAKSGGTTGIEAFAFGSGLRGALRLPSFKVKRKEPSE
+ISEASEEKRPRPSTPAEEDEDDAEREKEVGEPGRPGTKPPKRDEERSKTQGKHRKSFALD
+SEGEEASQESSSEKDEEDEEEDEEDEEREEAMDAAKKETEASDGEDGESDSSSKCSLYAD
+SDGENDSTSDSESSGSSSSSSSSSSSSSSSSSSSSSESSSEEEEEEEQPAIILAALSPPR
+DVPTPLSAPAEEPEPERVEDSPVTPLPEQEKSPVRPAGSTEEPPSSAPQPPPEPPAGPLA
+PTPRPDDRPSSPIPLLPPPKKRRKTVSFSAVEEAPAPEPPPAALPQAKSSGPASRKAPRA
+VERTIRNLPLDHASLVKSWPEEVSRGGRNRAGGRGRSAEEEEAEPATEVDLAVLADLALT
+PARRGLPALPAADDSEAAETPDEAERPGPLLSHILLEHNYALAVRPPLPPPPPPSTPAPR
+PLEPVPAPAALFSSPADEVLEAPEVVVAEVEEPKQPPQQQEDGEEEEEEEEESESSESSS
+SSSSDGEGATRRRSLRSHTRRRRPPPPPPPPPPPTFDPRSEFEQMTILYDIWNSGLDLED
+MSYLRLTYERLLQQTSGADWLNDTHWVQHTITNLSTPKRKRRPQDGPREHQTGSARSEGY
+YPISKKEKDRYLDVCPVSARQLEGVDTQGTNRVLSERRSEQRRLLSAIGTSAIMDSDLLK
+LNQLKFRKKKLRFGRSRIHEWGLFAMEPIAADEMVIEYVGQNIRQMVADMREKRYVQEGI
+GSSYLFRVDHDTIIDATKCGNLARFINHCCTPNCYAKVITIESQKKIVIYSKQPIGVDEE
+ITYDYKFPLEDNKIPCLCGTESCRGSLN
+>tr|A0A3Q1MHG9|A0A3Q1MHG9_BOVIN Tyrosine-protein kinase OS=Bos taurus OX=9913 GN=SYK PE=3 SV=1
+MGDGANNLPFFFGNITREEAEDYLVQGGMSDGLYLLRQSRNYLGGFALSVAHDRKAHHYT
+IERELNGTYAITGGRAHGSPAELCHYHTQELDGLVCLLKKPFNRPPGVQPKTGPFEDLKE
+NLIREYVKQTWNLQGQALEQAIISQKPQLEKLIATTAHEKMPWFHGKISRDESEQIVLIG
+SKTNGKFLIRAKDNGSYALCLLHEGKVLHYRIDKDKTGKLSIPGGKNFDTLWQLVEHYSY
+KSDGLLRVLTVPCQKIGGQSGNINFRPQLPSSHPGTWSAGGIISRIKSYSFPKRDHRKTR
+TSSGNQVDSSTTFNPYEPDRGPWANEREAQREALPMDTEVYESPYADPEEIRPKEVYLDR
+KLLTLEDKELGSGNFGTVKKGYYQMKKVVKTVAVKILKNEANDPALKDELLAEANVMQQL
+DNPYIVRMIGICEAESWMLVMEMAELGPLNKYLQQNRHVKDKNIIELVHQVSMGMKYLEE
+CNFVHRDLAARNVLLVTQHYAKISDFGLSKALRADENYYKAQTHGKWPVKWYAPECINYY
+KFSSKSDVWSFGVLMWEAFSYGQKPYRGMKGSEVSAMLEKGERMGCPPGCPREMYELMTL
+CWTYDVENRPGFVAVELRLRNYYYDVVN
+>tr|Q5BIP4|Q5BIP4_BOVIN Target of myb1 OS=Bos taurus OX=9913 GN=TOM1 PE=2 SV=1
+MDFLLGNPFSSPVGQRIEKATDGSLQSEDWALNMEICDIINETEEGPKDAFRAVKKRIVG
+NKNFHEVMLALTVLETCVKNCGHRFHVLVASQDFVEGVLVRTILPKNNPPTIVHDKVLTL
+IQSWADAFRSSPDLTGVVAVYEDLRRKGLEFPMTDLDMLSPIHTPQRTVFNSETQSGQNS
+VGTDTSHRGDSNQQTTPLHTSALLPSDTPITPTPEQIGKLRSELEMVSGNVRVMSEMLTE
+LVPTQTEPADLELLQELNRTCRSMQQRVLELIPRIANEQLTEELLIVNDNLNNVFLRHER
+FERLRTGQTTKAPSEAEAAPDLIDMGPDPVATGNLSSQLAEMNLGSSSVRAGLQSLEASG
+RLEDEFDMFALTRGSSLADQRKEVKYEAPQATDGLAGALDARQQSTGAIPVTQACLMEDI
+EKWLSTDVGNDVEEPKGVTSEEFDKFLEERAKVADRLPNLSSPSTEGPPGPPPGTALRKK
+TQEKDDDMLFAL
+>tr|A6QPV4|A6QPV4_BOVIN Leucine rich repeat protein 1 OS=Bos taurus OX=9913 GN=LRR1 PE=2 SV=1
+MKLHCEVEVVSRHLPALGLRNRGKGVRAVLSLCQQAPRTPPGPRAGGERGGRHPACLFIS
+TLKDKRGTRYELKENIEQFFTKFVDEGKATVRLKEPPVDICLSKANSSSLKGFLSAVRLA
+HRGCDVEAPLSTLTPVKTSEFEKFKTKMVITSKKDYPLSKNFPYSLEHLQTSYCGLVRVD
+MRMLCLKNLRKLDLSHNHIKKLPATIGDLIHLQELNLNDNHLESFSVALCQSTLQKSLQS
+LDISKNKIKALPVQFCQLRELTYLKLDDNELIRLPFKMGQLRNLRFLSAARNKLPFLPSE
+FKNLSLEYLDLFGNTFEQPKVLPVIHLQMPLTLLESSARTILYNRIPYGSRIIPFHLCQD
+LDTAKTCVCGRYSISSFIQGTTTMNLHSVAHTVVLVDNMGGTEAPIISYFCSLACYVNSC
+DMLK
+>tr|E1B838|E1B838_BOVIN PIWIL3 OS=Bos taurus OX=9913 GN=PIWIL3 PE=2 SV=3
+MTGRARVHARGRRRDTPPSEPAQPEAARGHPMPPVPRTDPPLSFADLVRRGTAAQQPRQI
+QPRLQSLTGVRHVAEAGPPAGAEATSQPSEELKPASESQESLLRKKRDVGRDYRDFVVNT
+RQNLVHVRESTKGTQGSPVMLFSNHVRLKSCSQRHLYKYNVIYTPDIEDGRKREALLSEL
+EKLLGNRCIYDGNSLLLPHSLGETKKEVVSKLKNEPVKITFELSRELQTTSPDCLRYYNI
+LFRKMLEKMDLNQIGRNYYNKNKKTEFNEYRLEIWPGYVTSILPYETGLTLCADVSHKLL
+RMETAYDLISHTREKARGEDAKEKILRKLVGSSVLTKYNNRTYRVDDIIWEMSPSSTFTK
+SDGSEISFVDYYKERYGTVVTTLNQPLLITKGKWKKSRQDTPHQPIMLVPELCHLTGLTD
+DMRKDYRMMRDLSTHTRMDPDRRQHKLLTFMDALRKNNTVQKELRDWNLELEEGFLSFSG
+RTLQDVRIHQGRRMVRFDNHKADWSKNTREAPLLRAMSLDHWLIVYTKGNYETALTLQQN
+LQRVTPKMGITVRNAKLLEAADTVQSYIRTLEKHASQKTQMVLCLLPTDNKEIYDGIKRY
+LCINYPIPSQCVLKRTLDRPKTPVTIATKIALQMNCKLGGALWKVDIGLQNAMFIGIDCF
+HDIERRRKSVAGFVASIDPDLTRWFSQCIIQESGQELVKGLTTCLYTALKLWSEQNSSPP
+RSIIVYRDGVGDGQLQALIDQEVKQMESYLENSYRGQKVRLTFIVVKKRINTRFFIEKDG
+GRLNNPSPGTVIDLKVTRTEWYDFFIVSQSVREGTGTVTPTHYNVIHDTLYLSPDAVQGL
+TYRLCHMYYNFSGIIRVPAPCHYAHKLAYLVGQSLHQQPHESLSKSLFYL
+>tr|A0A3Q1MYB1|A0A3Q1MYB1_BOVIN Non-SMC condensin I complex subunit G OS=Bos taurus OX=9913 GN=NCAPG PE=4 SV=1
+MVVYKREPAVERVIEFAAKFVTSFHQSDMENDEEEEEDGGILNYLLTFLLKSHEANSNAV
+RFRACQLINKLLGNMPENAQIDDDLFDKINEAMLIRLKDKVPNVRIQAVLALSRLQDPKD
+DECPVVNAYATLIENDSNPEVRRAVLSCIAPSAKTLPKIVGRTKDVKETVRKLAYQVLAE
+KVHMRALSIAQRVMLLQQGLNDRSDAVKQAMQKHLLQGWLRFTEGNILELLHRLDVENSS
+EVAVSVLNALFSMTPLNELAEICKNNDGRKLIPADTLTPEFALYWRVLCEHLKSKGEEGE
+EFLEQILPEPVVYAEYLLSYIQSIPVVTEEQRGDFSYIGNLMTKEFIGQQLILIIKSLDT
+NEEGGRKRLLGILQEILTLPTTPISLISFLVERLLHIIIDDNKRIQIVTEIISEIRAPIV
+TVAVNNDPADARKKELKMAEIKVKLIEAKDSLENCITLQDFHRASELKEEIKALEDAKIN
+LLKETEQHEMKEVHIEKNDAETLQKCLILCYELLKQMSTSTGIGATMDGIIESLILPGII
+NVHPVVRNLAVLCLGCCGLQNQDFASKHFVLLLQVLQIDDVTIKISALKAIFDQLMTFGF
+EPFKTKKIKATQKEGAEINSSEEQESKESEEETAIAKNVLKLLSDFLDSEVSELRTGAAE
+GLAKLMFSGLLVSSRILSHLVLLWYNPVTEEDIRLRHCLGVFFPMFAYASRTNQECFEEA
+FLPTLQTLANAPASSPLAEIDITNVAELLVDLTRPSGLNPQAKNPPDYQALTVHDNLAMK
+ICNEILTCPHSPEVRVYTKALSSLELSSDLAKDLLVVLNEILEQVKDRTCLRALEKIKIQ
+IEKGIKEHSDQAVAAQDDITTMTVLQSEDEKNKDVYITPVKEVKATRMKSTQQKTNRGRR
+KVVASARTNRRRQTIEAEANSESDHEVPEPESEMKMRLPRRAKTAALEKSKLNLAQFLNE
+DTS
+>tr|Q2NKS5|Q2NKS5_BOVIN Chromosome 22 C3orf84 homolog OS=Bos taurus OX=9913 GN=C22H3orf84 PE=2 SV=1
+MQSALVGSWHNSGFYGHYRGQFRSDSAREYRLAAKPQPPAVFLQRCQEPPQQHFFSKHDN
+RTSFDKGPYCLLQGIGRRKDLERLWQQHTFLRWAPCELELRQPRPLESSYQTEFRSGPGL
+GDLPQRLVHFVQIQPLRVNTTYQQNFCQPSRGGHCGSDNMGPQTPVTNPLPDLPGIPRPK
+LLQHYLHAGVSECLNWSRTLNKDG
+>tr|Q2KIR5|Q2KIR5_BOVIN PCYT1A protein OS=Bos taurus OX=9913 GN=PCYT1A PE=2 SV=1
+MDTQNSAKVNTRKRRKETPGPNGATEEDGIPSKMPRCAIGLRQPAPFSDEIEVDFSKPYV
+RVTMEEASRGTPCERPVRVYADGIFDLFHSGHARALMQAKNLFPNTYLIVGVCSDELTHN
+FKGFTVMNENERYDAVQHCRYVDEVVRNAPWTLTPEFLAEHRIDFVAHDDIPYSSAGSDD
+VYKHIKEAGMFAPTQRTEGISTSDIITRIVRDYDVYARRNLQRGYTAKELNVSFINEKKY
+HLQERVDKVKKKVKDVEEKSKEFVQKVEEKSIDLIQKWEEKSREFIGSFLEMFGPEGALK
+HMLKEGKGRMLQAISPRQSPSNSPTRERSPSPSFRWPFSGKTSPPSSPTNLSRHKSAAYD
+IRYSYLEQNKFGSYVLLAQVQPGLTKNDAYGPQSRQGGHTEESVDP
+>tr|A5PKE7|A5PKE7_BOVIN Alpha and gamma adaptin binding protein OS=Bos taurus OX=9913 GN=AAGAB PE=2 SV=1
+MAAGVPCALVTSCSSTFSADRLVQHILGTEDVVVEVTANDAVRFYPWTIDNKYYSADINL
+CVVPNKFLVTAEIAESVQAFVVYFDSTQKSGLDSVSSWLPLAESWLPEVMILVCDRVSEN
+GVNRQKAQEWCIKHGFELVELSPEELPEEDDDFPESTGVKRIVQALNANVWSNVVMKNER
+NQGLNLLSSLTGASHSIGSAESCHSEQPCVPAAERTESLLDHRGAASNTADVQVDSIVDP
+MLDLDIQELASLTTGGGDLENFERLFSKLKEMKDKAATLPHEQRKMHAEKVAKAFWMAIG
+GDRDEIEGLSSDEEH
+>tr|F1MVP3|F1MVP3_BOVIN Protein Jade-1 OS=Bos taurus OX=9913 GN=JADE1 PE=4 SV=2
+MKRGRLPSSSEDSDDNGSLSTTWSQNSRSQHRRSSCSRPEDRKPSEVFRTDLITAMKLHD
+SYQLNPDEYYVLADPWRQEWEKGVQVPVSPGTIPQPVARVVSEEKSLMFIRPKKYIVSSG
+SEPPELGYVDIRTLADSVCRYDLNDMDAAWLELTNEEFKEMGMPELDEYTMERVLEEFEQ
+RCYDNMNHAIETEEGLGIEYDEDVVCDVCQSPDGEDGNEMVFCDKCNICVHQACYGILKV
+PEGSWLCRTCALGVQPKCLLCPKKGGAMKPTRSGTKWVHVSCALWIPEVSIGSPEKMEPI
+TKVSHIPSSRWALVCSLCNEKFGASIQCSVKNCRTAFHVTCAFDRGLEMKTILAENDEVK
+FKSYCPKHSSHRKAEEGLGEGTAQENGAPECSPRDPLEPFAGLEQNREEAHRVSVRKQKL
+QQLEDEFYTFVNLLDVARALRLPEEVVDFLYQYWKLKRKVNFNKPLITPKKDEEDNLAKR
+EQDVLFRRLQLFTHLRQDLERVRNLTYMVTRREKIKRSVCKVQEQIFNLYTKLLEQERVS
+GVPSSSCSSSSLENMLLFNSPSVGPDAPKIEDLKWHSAFFRKQMGTSLVHSLKKPHKRDP
+LQNSPGSEGKTQLKQPDLGGRREGTVGPESFLSFEKTFAEARLVSAQQKNGVVMPDHGNR
+RDHRFQCDLSKGDVKDRPLKQSHKPLRSTDVSQRHVDHARAAASPGAGQSAPGTRKEVVP
+KCNGSLIRVNYNQSAVKVPTTPASPVKNWGGFRIPKKGERQQQGEAPEAACHQHSDYSYL
+GLGRVPAKERAKSKLKADNENDGYVPDVEMSDSESEASEKKCIHASSSISRRTDIIRRSI
+LAS
+>tr|A6QNZ1|A6QNZ1_BOVIN FIZ1 protein OS=Bos taurus OX=9913 GN=FIZ1 PE=2 SV=1
+MDDAPLPAPPLPARAPAPGPAPPAAAPRVPFHCSECGKSFRYRSDLRRHFARHTALKPHA
+CPRCGKGFKHSFNLANHLRSHTGERPYRCSACPKGFRDSTGLLHHQVVHTGEKPYCCLVC
+ELRFSSRSSLGRHLKRQHRGALPSPLQPGAGLPALSAPCSVCCNVGPCSVCGGSGAGGGG
+AGGEGPEGAGAGAGGWGLAEAAAAAAAASLPPFACGACARRFDQGRELAAHWAAHTDVKP
+FKCPRCERDFNAPALLERHKLTHDLQGPGAPPAQAWAPGAAGEGGEAQPAWDGGLLLGRA
+GGGVPELGVLLPEGGGEAPAEPSEDTLYQCDCGTFFASAAALASHLEAHSGPAAYGCGHC
+GALYAALAALEEHRRASHGEGAGAGAGAGGAEAVAAPAREGESPSGEPASASGRGKKIFG
+CSECEKLFRSPRDLERHVLVHTGEKPFPCLECGKFFRHECYLKRHRLLHGAERPFPCHVC
+GKGFITLSNLSRHLKLHRGMD
+>tr|A0A3Q1MJG7|A0A3Q1MJG7_BOVIN Enhancer of polycomb homolog 1 OS=Bos taurus OX=9913 GN=EPC1 PE=4 SV=1
+MEHHLQRAISAQQVYGEKRDNMVIPVPEAESNIAYYESIYPGEFKMPKQLIHIQPFSLDA
+EQPDYDLDSEDEVFVNKLKKKMDICPLQFEEMIDRLEKGSGQQPVSLQEAKLLLKEDDEL
+IREVYEYWIKKRKNCRGPSLIPSVKQEKRDGSSTNDPYVAFRRRTEKMQTRKNRKNDEAS
+YEKMLKLRRDLSRAVTILEMIKRREKSKRELLHLTLEIMEKRYNLGDYSGEIMSEVMAQR
+QPVKPTYTIPLIPIANSSQFKHQEAMDVKEFKVNKQDKADLIRPKRKYEKKPKVLPSSAA
+AAPQQASPTTLPVFNAKDLNQYDFPSSDEEPLSQVLSGSSEAEEENDPDGPFAFRRKAGC
+QYYAPHLDQTGNWPWTSPSDGGIGDVRYRYCLTTLTVPQRCIGFARRRVGRGGRVLLDRA
+HSDYDSVFRHLDLDMLSSPQHSPVNQSANTSETNTSDKSFSKDLSQILVNIKSCRWRHFR
+PRTPSLHDSDNDELSCRKLYRSINRTGTAQPGTQTCSTSTQSKSSSGSAHFAFTAEQYQQ
+HQQQLALMQKQQLAQIQQQQATSNSSTTMPQNLASNQQKSGFRLNPHHSHSIQGLERTLQ
+GFVSKTLDSASAQFAASALVTSEQLMGFKMKEDVVLGIGVNGVLPASGVYKGLHLSSTTP
+TALVHTSPSTAGPALLQPASVTQTAGSHSAPGHPATAANSATTQVLIGNNIRLTVPSSVA
+TVNSITPINARHIPRTLSAVPSSALKLAAAANCQVSKVPSSSSVDAAPRENHDSEKPALN
+NIADNTVAMEVT
+>tr|A0A3Q1LZ87|A0A3Q1LZ87_BOVIN ATP binding cassette subfamily B member 9 OS=Bos taurus OX=9913 GN=ABCB9 PE=4 SV=1
+MRLCKAVVVTLAFMSLDIGMTTAIYILSHLDRSLLEDIRHFNIFDSVLDLWAACVYRSCL
+LLGATIGVAKNSTLGPRRLRASWTVIALVCLFGGIYTMVKLLLFSEVRKPVRDPWFWALF
+VWTYISLTASFLLWWLLSTVRPDTKALGRGAGAEGEGEGEGFPGEDRPAPEQASGATLQK
+LLSYTKPDIAFLVAASFFLIVAALGETFLPYYTGRAIDGIVIQKSMEQFSAAVIVMCVLA
+IGSSFAAGIRGGIFTLIFARLNIRLRNRLFRSLVSQETSFFDENRTGDLISRLTSDTTMV
+SDLVSQNINIFLRNTVKVTGVVVFMFSLSWQLSLVTFMGFPIIMMVSDIYGKYYKRLSKE
+VQSALARASSTAEETISAMKTVRSFANEEEEAEVYSRKLQQVYKLNRKEAAAYMYYVWGS
+GLTLLVVQVSILYYGGHLVISGQMTSGNLISFIIYEFVLGDCMESVGSVYSGLMQGVGAA
+EKVFEFIDRQPTMVHDGSLAPDHLEGRVDFENVTFTYRTRPHTQVLQNVSFSLSPGKVTA
+LVGPSGSGKSSCVNILENFYPLEGGQVLLDGKPIGAYDHKYLHRVISLVSQEPVLFARSI
+TDNISYGLPTVPFETVVEAAQKANAHGFIMELQDGYNTETGEKGAQLSGGQKQRVAMARA
+LVRNPPVLILDEATSALDAESEYLIQQAIHGNLQKHTVLIIAHRLSTVERAHLIVVLDKG
+RVVQQGTHQQLLAQGGLYARLVQRQMLGLEPTGDFTAGHREPPGNGSHKA
+>tr|Q2KJJ1|Q2KJJ1_BOVIN Solute carrier family 25 (Mitochondrial carrier; peroxisomal membrane protein, 34kDa), member 17 OS=Bos taurus OX=9913 GN=SLC25A17 PE=2 SV=1
+MSSVLSYESLVHAVAGAVGSMTAMTVFFPLDTARLRLQVDEKRKSKTTHMVLLEIIKEEG
+LLAPYRGWFPVISSLCCSNFVYFYTFNSLKAVWVKGQHSTTGKDLVVGFVAGVVNVLLTT
+PLWVVNTRLKLQGAKFRNEDIVPTNYSGIIDAFHQIIRDEGILALWNGTFPSLLLVFNPA
+IQFMFYEGLKRQLLKKRTKLSSLDVFLIGAIAKAVATTVTYPMQTVQSILRFGRHRLNPE
+NRTLGSLRNILYLLHQRVRRFGIAGLYKGLEAKLLQTVLTAALMFLVYEKLMAATFMVMG
+LKSTRKH
+>tr|E1BGH6|E1BGH6_BOVIN Acetyl-CoA carboxylase 1 OS=Bos taurus OX=9913 GN=ACACA PE=4 SV=2
+MDEPSSLAKPLELNQHSRFIIGSVSEDNSEDEISNLVKLDLLEEKEGSLSPASVSSDTLS
+DLGISSLQDGLALHMRSSMSGLHLVKQGRDRKKIDSQRDFTVASPAEFVTRFGGNKVIEK
+VLIANNGIAAVKCMRSIRRWSYEMFRNERAIRFVVMVTPEDLKANAEYIKMADHYVPVPG
+GPNNNNYANVELILDIAKRIPVQAVWAGWGHASENPKLPELLLKNGIAFMGPPSQAMWAL
+GDKIASSIVAQTAGIPTLPWSGSGLCVDWHENDFSKRILNVPQELYEKGYVKDVDDGLKA
+AEEVGYPVMIKASEGGGGKGIRKVNNADDFPNLFRQVQAEVPGSPIFVMRLAKQSRHLEV
+QILADQYGNAISLFGRDCSVQRRHQKIIEEAPAAIATPAVFEHMEQCAVKLARMVGYVSA
+GTVEYLYSQDGSFYFLELNPRLQVEHPCTEMVADVNLPAAQLQIAMGIPLYRIKDIRMMY
+GVSPWGDAPIDFENSAHVPCPRGHVIAARITSENPDEGFKPSSGTVQELNFRSNKNVWGY
+FSVAAAGGLHEFADSQFGHCFSWGENREEAISNMVVALKELSIRGDFRTTVEYLIKLLET
+ESFQLNRIDTGWLDRLIAEKVQAERPDTMLGVVCGALHVADVSLRNSISNFLHSLERGQV
+LSAHTLLNTVDVELIYEGVKYVLKVTRQSPNSYVVIMNGSCVEVDVHRLSDGGLLLSYDG
+SSYTTYMKEEVDRYRITIGNKTCVFEKENDPSVLRSPSAGKLIQYIVEDGGHVFAGQCYA
+EIEVMKMVMTLTAAESGCIHYVKRPGAALDPGCVIAKMQLDNPSKVQQAELHTGSLPRIQ
+STALRGEKLHRVFHYVLDNLVNVMNGYCLPDPFFSSRVKDWVERLMKTLRDPSLPLLELQ
+DIMTSVSGRIPPNVEKSIKKEMAQYASNITSVLCQFPSQQIANILDSHAATLNRKSEREV
+FFMNTQSIVQLVQRYRSGIRGHMKAVVMDLLRQYLRVETQFQNGHYDKCVFALREENKSD
+MNTVLNYIFSHAQVTRKNLLVTMLIDQLCGRDPTLTDELLNILTELTQLSKTTNAKVALR
+ARQVLIASHLPSYELRHNQVESIFLSAIDMYGHQFCIENLQKLILSETSIFDVLPNFFYH
+SNQVVRMAALEVYVRRAYIAYELNSVQHRQLKDNTCVVEFQFMLPTSHPNRGNIPTLNRM
+SFSSNLNHYGMTHVASVSDVLLDNAFTPPCQRMGGMVSFRTFEDFVRIFDEVMGCFCDSP
+PQSPTFPEAGHTSLYDEDKVPRDEPIHILNVAIKTDCDIEDDSLAAMFREFTQQNKATLV
+EHGIRRLTFLVAQKDFRKQVNYEVDQRFHREFPKFFTFRARDKFEEDRIYRHLEPALAFQ
+LELNRMRNFDLTAIPCANHKMHLYLGAAKVEVGTEVTDYRFFVRAIIRHSDLVTKEASFE
+YLQNEGERLLLEAMDELEVAFNNTNVRTDCNHIFLNFVPTVIMDPSKIEESVRSMVMRYG
+SRLWKLRVLQAELKINIRLTPTGKAIPIRLFLTNESGYYLDISLYKEVTDSRTAQIMFQA
+YGDKQGPLHGMLINTPYVTKDLLQSKRFQAQSLGTTYIYDIPEMFRQSLIKLWESMSSQA
+FLPPPPLPSDILTYTELVLDDQGQLVHMNRLPGGNEIGMVAWKMTLKSPEYPDGRDIIVI
+GNDITYRIGSFGPQEDLLFLRASELARAEGIPRIYVAANSGARIGLAEEIRHMFHVAWVD
+PEDPYKGYKYLYLTPQDYKRVSALNSVHCEHVEDEGESRYKITDIIGKEEGLGAENLRGS
+GMIAGESSLAYDEIITISLVTCRAIGIGAYLVRLGQRTIQVENSHLILTGAGALNKVLGR
+EVYTSNNQLGGIQIMHNNGVTHSTVCDDFEGVFTVLHWLSYMPKSVYSSVPLLNSKDPID
+RVIEFVPTKAPYDPRWMLAGRPHPTQKGQWLSGFFDYGSFSEIMQPWAQTVVVGRARLGG
+IPVGVVAVETRTVELSIPADPANLDSEAKIIQQAGQVWFPDSAFKTYQAIKDFNREGLPL
+MVFANWRGFSGGMKDMYDQVLKFGAYIVDGLRECSQPVMVYIPPQAELRGGSWVVIDPTI
+NPRHMEMYADRESRGSVLEPEGTVEIKFRRKDLVKTMRRVDPVYIHLAERLGTPELSVAE
+RKELESKLKEREEFLLPIYHQVAVQFADLHDTPGRMQEKGVINDILDWKTSRTFFYWRLR
+RLLLEDLVKKKIHNANPELTDGQIQAMLRRWFVEVEGTVKAYVWDNNKDLVEWLEKQLTE
+EDGVRSVIEENIKYISRDYVLKQIRSLVQANPEVAMDSIVHMTQHISPTQRAEVVRILST
+MDSPST
+>tr|A0A3Q1LWS6|A0A3Q1LWS6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=1 SV=1
+MNGRSCSMNLQRTSGTPQGPGMVSGQHIPPIQAHSGTPGPSSCGSTTSSAIGSLANSLHL
+KMPSGGGMAPQSNVNESPIHLPALSPRRQVLTSGKPRFQVTQAGGVSGPHTLKPKQQQFG
+SPFPPNSGKGALGFGPQCKSIGKGSCNNLVVTSSPMMVQRLGPISPPASQVSTACNQISP
+SLQRAMNAANLNIPPSDTRSLISRESLASSNLSLTESQSTLNVKQEWSHGYRALPSLSSN
+HNSQNGTDLGDLISLPPGTSMSSNSVSNSLPPYLFGMENSHSPYPSPRHSSARSHSARSK
+KRALSLSPLSDGIGIDFNTIIRTSPTSLVAYINGSRASPANMSPQPEVYGHFLGVRGSCI
+PQPCSMPSSQKGVRVASGGLALPAYDEDGALEYERMQQLEHGGLQPGLVNNMVVQHGLPD
+PAGHAAGLLKTERLDDFPGSVLDLPPAPSLPPLPPPPQPQGPPPPYHAHPHLHHPELVHQ
+AQPLALPQAALEEDGEMDDVGGKHCCRWIDCSALYDQQEELVRHIEKVHIDQRKGEDFTC
+FWAGCPRRYKPFNARYKLLIHMRVHSGEKPNKCSVSLLKPPGAIGGVCGFPNSATSWGVF
+SFMEVGCWRSRYP
+>tr|F1MQ60|F1MQ60_BOVIN Galactosylgalactosylxylosylprotein 3-beta-glucuronosyltransferase OS=Bos taurus OX=9913 GN=B3GAT1 PE=3 SV=3
+MAHEELWAQPALEMPKRRDVLAVVLIVLPWTLLVTVWHQSTVAPLLAARKDDSGDPRREA
+PPGTDPREYCMSDRDIVEVVRTEYVYTRPPPWSDTLPTIHVVTPTYSRPVQKAELTRLAN
+TLLHVPNLHWLVVEDAPRRTPLTARLLRDTGLNYTHLHVETPRNYKLRGDARDPRIPRGT
+MQRNLALRWLRETFPRNSSQPGVVYFADDDNTYSLELFEEMRSTRRVSVWPVAFVGGLRY
+EAPRVNGAGKVVGWKTVFDPHRPFAIDMAGFAVNLRLILQRSQAYFKLRGVKGGYQESSL
+LRELVTLSDLEPKAANCTKILVWHTRTEKPVLVNEGKKGFTDPTVEI
+>tr|F1MGZ7|F1MGZ7_BOVIN Zinc finger protein 384 OS=Bos taurus OX=9913 GN=ZNF384 PE=4 SV=2
+MEESHFNSNPYFWPSIPTVSGQIENTMFINKMKDQLLPEKGCGLAPPHYPTLLTVPASVS
+LPSGISMDTESKSDQLTPHSQASVTQNITVVPVPSTGLMTAGVSCSQRWRREGSQSRGPG
+LVITSPSGSLVTTATSAQTFPISAPMIVSALPPGSQALQVVPDLSKKVASTLTEEGGGGG
+GGGGGTVVAAKPPRGRKKKRMLESGLPEMNDPYVLSPEDDDDHQKDGKTYRCRMCSLTFY
+SKSEMQIHSKSHTETKPHKCPHCSKTFANSSYLAQHIRIHSGAKPYSCNFCEKSFRQLSH
+LQQHTRIHSKVHTEIIKPHKCPHCSKTFANTSYLAQHLRIHSGAKPYNCSYCQKAFRQLS
+HLQQHTRIHTGDRPYKCAHPGCEKAFTQLSNLQSHRRQHNKDKPFKCHNCHRAYTDATSL
+EVHLSTHTVKHAKVYTCTICSRAYTSETYLMKHMRKHNPPDLQQQVQAAAAAAAVAQAQA
+QAQAQAQAQAQAQAQAQAQAQASQASQQPQQQQPQPPHFQSPGAAPQGGGGGDSNPNPPP
+QCSFDLTPYKTAEHHKDICLTVTTSTIQVEHLASS
+>tr|A0A3Q1N6B9|A0A3Q1N6B9_BOVIN Rac GTPase activating protein 1 OS=Bos taurus OX=9913 GN=RACGAP1 PE=4 SV=1
+MDTTMLNMRNLFEQLVRRVEILSEGNELQFIQLAKDFEDFRKKWQRTDHELGKYKDLLMK
+AETERSALDVKLKHARNQVDVEIKRRQRAEADCEKLERQIQLIREMLMCDTSGSIQLSEE
+QKSALAFLNRGQPASGNAGNKRLSTIDESGSILSDISFDKTDESLDWDSSLVKTFKLKKR
+EKRRSNSRQFVDGPPGPVKKTRSIGSTADQGNESIVAKTTVTVPNDGGPIEAVSTIETVP
+YWTRSRRKTGTLQPWNSDSTLSSRQPEPKTETDGSSTPQSNGGMRLHDFVSKTVIKPESC
+VPCGKRIKFGKPSLKCRDCRVVSHPECRDRCPLPCIPTLIGTPVKIGDGMLADYVSQTSP
+MIPSIVVHCVNEIEQRGLTETGLYRISGCDRTVKELKEKFLRVKTVPLLSKVDDIHAICS
+LLKDFLRNLKEPLLTFRLNKTFMDAAEITDDDNSIAAMYQAVGELPQANRDTLAFLMIHL
+QRVAQSPNTKMDVANLAKVFGPTIVGHAVSNPDPVTLLQDIKHQPKVVERLLSLPLEYWS
+QFMMVEQENIDPMHVIENANAFSTPQTPDVKVSLLGPVTTPEHQLLKTPSSSSLSQRVRS
+TLTRNTPRFGSKSKSATNLGRQGNFFASPMLK
+>tr|E1BIB9|E1BIB9_BOVIN Two pore segment channel 2 OS=Bos taurus OX=9913 GN=TPCN2 PE=4 SV=2
+MDARSLWLYRWYYSSSSQWILSFTIFLILALAFVETPSSLTRTSDVRYRPAPWEPPCGLT
+EGVEALCLLVFVADVSVKSYLIGWAQFRRNPWLLAYLVVLVASLLDWTVSLSLVCQEPLR
+VRRLLRPFFLMQNSSMMKKTLKCIRRSLPEMASVGLLLAVHLCLFTVFGMLLFTGEKDAG
+QDRERLTYFFNLPEALTTLLVLLTTANNPDVMIPVYSRNRAYAIFFIAFTLIGSLFLMNL
+MTAIIYNQFRGYLMMSLQTSLLRRRLGTRAAYEVLSSVTAEGEAHPKRLGVKPEDFLQVL
+QKVQLDSDHKQAITEKLHSRGDGLLSTDEFQKLFYEFDKRVIKEHPPRPEYQSPFLRSTQ
+FLFGHHYFDYLGNLMALGNLVTICVFLVLDAHVLPKDRDDFVLGILNCIFILYYLLEMLL
+KVFSLGLQGYLSYSSNVFDGLLTIVLLVLEISTLAEYGFPHRGWKPATLGLLSLWDMTRL
+VNVLIVFRFLRIIPSMQLMSLVASTILDLIKNMRAFGGILVVIYYVFAIIGISLFQGVIV
+APRNSSLASVNGSAPCGSFEQLEYWPNNFDDFAAALVTLWDVMIVNNWQVFLDAFRRYAG
+PWSEVYFVLWWLVSSVIWVNLFLALILENFLHKWDRRSHLQSFTQELEDTSEMTQELPFR
+DVLEEPTEEELVEKLSRHPHLQLCR
+>tr|A0JNP7|A0JNP7_BOVIN HIG1 domain family, member 1B OS=Bos taurus OX=9913 GN=HIGD1B PE=2 SV=1
+MSANRGWWAPPEGEDSVSEKLLRKTRESPLVPIGLGGCLLVAAYRIYRLKARGSTKMSIH
+LIHTRVAAQACAVGAIMLGAVYTMYRDYIKKTAQDTREK
+>tr|F1MPM0|F1MPM0_BOVIN HESX homeobox 1 OS=Bos taurus OX=9913 GN=HESX1 PE=4 SV=2
+MSPSLQEGARLGESKPSPCPFSIESILGLDQKKDCVPSTKPHRPWADTCGSSGKEVNLCL
+HVPTLPSGISLPQTVDHSVPEESVWKYEDYFAPSERLSLKRELSWYRGRRPRTAFTQNQI
+EVLENVFRVNCYPGIDIREDLAQKLNLEEDRIQIWFQNRRAKLKRSHRESQFLMAKKNFN
+TDLLE
+>tr|A1L551|A1L551_BOVIN Uncharacterized protein CXorf45 OS=Bos taurus OX=9913 GN=CXorf45 PE=2 SV=1
+MPSRKGRSYQKISGGYIPEIAMSEMDMKQRKKMFKKVRGKEVYMTMAYSRAEPLLPPRLQ
+HSMHYGHGPPMHYSQTTGNVMSNEHFHPQHSSQRQGRGYGMPRDSSRFINRHNMAGPKVG
+FYPGPGKRCCQSYDNFYRSRSFRRSHRQMHCMNKECQFSFAPEMPRGLEETITFYEVEEG
+DETAYPALPNHGGPSTMVPASSRYCVARRGHSSGKQPLNSEEGDGQSDSGGYHEDYIYPS
+EPDYDTSGVYSTAESTANLVQCMLVAGFCSSESLKNPS
+>tr|Q2LDY9|Q2LDY9_BOVIN Prothymosin alpha OS=Bos taurus OX=9913 GN=PTMA PE=2 SV=1
+MSDAAVDNSSEITTKDLKEKKEVVEEAENGREAPANGNANEENGEQEADNEVDEEEEEGG
+EEEEEEEGDGEEEDGDEDEEAEAATGKRAAEDDEDNDVDTKKQKTDKDD
+>tr|A6QQU1|A6QQU1_BOVIN Katanin p80 WD40 repeat-containing subunit B1 OS=Bos taurus OX=9913 GN=KATNB1 PE=2 SV=1
+MATPVVTKTAWKLQEIVAHASNVSSLVLGKASGRLLATGGDDCRVNLWSINKPNCIMSLT
+GHTSPVESVRLNTPEELIVAGSQSGSIRVWDLEAAKILRTLMGHKANICSLDFHPYGEFV
+ASGSQDTNIKLWDIRRKGCVFRYRGHSQAVRCLRFSPDGKWLASAADDHTVKLWDLTAGK
+MMSEFPGHTGPVNVVEFHPNEYLLASGSSDRTIRFWDLEKFQVVSCIEGEPGPVRSILFN
+PDGCCLYSGCQDSLRVYGWEPERCFDVVLVHWGKVADLAICNNQLIGVAFSQSNVSSYVV
+DLTRVTRTGTVARDPVQDSRPPTQQPPHPSAPLRRIYERPSTACSKPQRVKQNSESERRS
+PSSEDDRDERESRAEIQNAEDYNEIFQPKNSISRTPPRRSEPFPAPPEDDMATAKEAAKP
+SPATDAQFPVPNICPGGAGTLEVLSRPPVVTSTPAPKAEPAIFPATRNEPIGLKASDFLP
+AVKIPQQAELVDEDAMSQIRKGHDTMCVVLTSRHKNLDTVRGVWTTGDIKTSVDSAVAIN
+DLSVVVDLLNIVNQKASLWKLDLCTTVLPQIEKLLQSKYESYVQTGCTSLKLILQRFLPL
+ITDILAAPPSVGVDISREERLHKCRLCYKQLKSISNLVKSKSGLSGRHGSAFRELHLLMA
+SLD
+>tr|A4IFF6|A4IFF6_BOVIN NEK3 protein OS=Bos taurus OX=9913 GN=NEK3 PE=2 SV=1
+MDGYRVLRVIGEGSFGRALLVQQESSNRMFAMKEIRLPKSLSDTRISRKEAVLLAKMKHP
+NIVAFKESFEAEGHLYIVMEYCDGGDLMQKIKHQKGKLFPEDTILHWFTQMCLGVNHIHK
+KRVLHRDIKSKNIFLTQDGKVKLGDFGSARLLSSPMAFACTYVGTPYYVPPEIWENMPYN
+NKSDIWSLGCILYELCTLKHPFQANSWKSLILKICQGSMNPLPSHYSYELQHLIKQMFKK
+NPSHRPSATTLLSRGSLARLIQKCLPPEIITEYGEQVLEETKKSMHSTPRKKDPSRTRIT
+LENEASTVQREEPGGKCSHTDLESINKNLVESALRRVNREEKARSPGPLRRQWEKHVPGT
+ALRALENASILTSSSTAEDDRGGSVIKYSENNTRKQWLKETSETLLNILKNADLSLAFQT
+YTIYRPGAEGFLKGPLSEETEASDDVDGGWDSVILDPERLEHGLDEEDTDFEEDDSADWV
+SELKQRTGWQGVSDG
+>tr|A5D7H7|A5D7H7_BOVIN LOC528833 protein OS=Bos taurus OX=9913 GN=NOP9 PE=2 SV=1
+MGLGPRSPHKQGRRFPAGGKRGRGAKGAGRPPPGRQRHPCSPPDGRSEPAPDAHPHLSPE
+ALGYFRQALSALKEAPETGEERELMVHNVLKEVEAQALALATNRTGSEMLQELLGFSPRK
+PMCRVWAALHSNLRFVACHRCGVHVLQSALLQLPRLLGSPEEEEEEEEEDGKDGHVETLE
+ELVLGLAAEVCDDFLFYCGDTHGSFVVRTLLQVLGGTLLESERARHRGSQSPEAQKAPSR
+ECKPTDFEVPETFLNCLQNLSSCFLKDIAVFITDKISSFCLQVALQILHRKLPQFCAHLC
+SAVIGYLSSRNSSADGSPLLLFLRDQTSSRLLEQVLLVSEPPRLQSLFEDHLQGHLQALA
+AHSIANFPLQRFLDAITTPELLSPVFEELSPALEAVLAQGHPGVVIALVGACRRVGTHQA
+QVLQLLLEAFHCAEPSSRQVACVPLFAALMAYEVYYGLAEEEGAVPMEHQVEMAAARGLG
+EVAVLGSLLLQHLLHFSSPCLILQSLSALTGPQLLTLAQSPAGSHVLDAVLTSPSVTRKQ
+RRRVLKTLKGHYVTLACSRHGSRVLDAIWSGAALGARKEIAAELGERNQELIRDPFGHHV
+ARNVALTTFLKRREAWEQEQGAVAKRRRALNSILED
+>tr|Q8SPM1|Q8SPM1_BOVIN Putative UDP-galactose translocator OS=Bos taurus OX=9913 GN=SLC35A2 PE=2 SV=1
+MAAVGSGGSTATAGPGAVSAGALEPGTSSAAHRRLKYISLAVLVVQNASLILSIRYARTL
+PGDRFFATTAVVMAEVLKGLTCLLLLFAQKRGNVKHLVLFLHEAVLVQYMDTLKLAVPSL
+IYTLQNNLQYVAISNLPAATFQVTYQLKILTTALFSVLMLNRSLSRLQWASLLLLFTGVA
+IVQAQQAGGGGPRPLDQNPGVGLAAVVASCLSSGFAGVYFEKILKGSSGSVWLRNLQLGL
+FGTALGLVGLWWAEGTAVAHRGFFFGYTPAVWGVVLNQAFGGLLVAVVVKYADNILKGFA
+TSLSIVLSTVASIRLFGFHVDPLFALGAGLVIGAVYLYSLPRGAAKAIASASAPTSGPCT
+HQQPPGQPPPPQLSSHHGDLSTEPFLPKLLTKVKGS
+>tr|Q2KI47|Q2KI47_BOVIN Zinc finger protein 32 OS=Bos taurus OX=9913 GN=ZNF32 PE=2 SV=1
+MFGFPTATLLDCHGRYAQNVAFFNVMTEAHHKYDQSEATGSSSWDFQSSFRREKLEQKSP
+DSKTLQEDSPGVRQRAYECQECGKSFRQKGSLTLHERIHTGQKPFECTHCGKSFRAKGNL
+VTHQRIHTGEKPYQCKECGKSFSQRGSLAVHERLHTGQKPYECAICQRSFRNQSNLAVHR
+RVHSGEKPYRCDQCGKAFSQKGSLIVHIRVHTGLKPYACAQCRKSFHTRGNCVLHGKIHT
+GETPYLCGQCGKSFTQRGSLAVHQRSCSQRLTL
+>tr|Q0VCH0|Q0VCH0_BOVIN Acidic (Leucine-rich) nuclear phosphoprotein 32 family, member E OS=Bos taurus OX=9913 GN=ANP32E PE=1 SV=1
+MEMKKRINLELRDRAPEEVTELVLDNCLCVNGEIEGLNDTFKKLEFLSMANVELSSLARL
+PSLNKLRKLELSDNIISGGLEVLAEKCPNLTYLNLSGNKIKDLSTVEALQNLKNLKSLDL
+FNCEITNLEDYRESIFELLQQITYLDGFDQEDNEAPDSEEEDDEDGDEDDEEEEDEAGPP
+EGYEEEEEEEEEDEDEDEAGSELGEGEEEVGLSYLMKEEIQDEEDDDDYVEEGEEEEEEE
+EEEGLRGEKRKRDAEDDGEEEDD
+>tr|A7MBH4|A7MBH4_BOVIN CYSLTR2 protein OS=Bos taurus OX=9913 GN=CYSLTR2 PE=2 SV=1
+MERKLVSLSPSISASEMETNSTFSDHHSNRNCTAEDFKREFYPIVYLVIFIWGALGNGFS
+IYVFVQPYRKSTSVNIFMLNLATSDLLFTATLPFRVDYYLRGSNWIFGDLSCRIMSYSMY
+VNMYSSIYFLTVLSVVRFLATVHPFRLLHATSVRNAWIVCGIIWIFIMASSTTLLNNGSE
+LKGNVTLCLELNRNKAPKLKTMNYIALVVGFLLPFCTLSICYMLIIRALLKAEVPELGLR
+LSHRKALTTIIIALVIFLLCFLPYHILRTLHLLQWTADICRDSLHKAVAITLALAAANSC
+FNPLLYYFAGENFKDRLKSALRKDHPQEPKCSFPFCVWLKNETRGTLQESLLLQNKRINQ
+DKGKHDI
+>tr|A6QNP1|A6QNP1_BOVIN AHCYL1 protein OS=Bos taurus OX=9913 GN=AHCYL1 PE=2 SV=1
+MGGKPIWLYQLSKAGKLCVPAMNVNDSVTKQKFDNLYCCRESILDGLKRTTDVMFGGKQV
+VVCGYGEVGKGCCAALKALGAIVYITEIDPICALQACMDGFRVVKLNEVIRQVDVVITCT
+GNKNVVTREHLDRMKNSCIVCNMGHSNTEIDVTSLRTPELTWERVRSQVDHVIWPDGKRV
+VLLAEGRLLNLSCSTVPTFVLSITATTQALALIELYNAPEGRYKQDVYLLPKKMDEYVAS
+LHLPSFDAHLTELTDDQAKYLGLNKNGPFKPNYYRY
+>tr|Q2KJ57|Q2KJ57_BOVIN ATP binding cassette subfamily D member 1 OS=Bos taurus OX=9913 GN=ABCD1 PE=2 SV=1
+MTVLSTPRASRVTTLKRTAVVLALVAYGAHKLYPLVRQCLAPARGPQAPAVEGEPTPDVS
+GAPVASAGKAGVNQVFLQRLLWLLRLLFPRILCRETGLLALHSAALVSRTFLSVYVARLD
+GRLARCIVRKDPQAFSWQLVQWLLIALPATFINSAIRYLEGQLALAFRSRLVAHAYSLYF
+SQQTYYRVSNMDGRLRNPDQSLTEDVVAFAASVAHLYSNLTKPLLDVAVTTYTLVRAARS
+RGAGTAWPSAIAGLVVFLTANVLRAFSPKFGELVAEEARRKGELRYMHSRVVANSEEIAF
+YGGHEVELALLQHSYQDLASQINLILLERLWYVMLEQFLMKYVWSASGLLMVAVPIITAT
+GYSESDSETVKKAAQAMKEGELVSERTEAFTIARNLLTAAADAIERVMSSYKEVTELAGY
+TARVYEMFQVFEDVQQCRFKRPGEPEDAHTGSGAIMRSGIRMEGPLQIRGQVVDVEQGIV
+CENIPIITPTGEVVVASLNIRVEEGMHLLITGPNGCGKSSLFRILGGLWPTYGGVLYKPP
+PQRMFYIPQRPYMSVGSLRDQVIYPDSVEDMRRKGYSEEHLEGILDIVHLNHILQREGGW
+EAVCDWKDVLSGGEKQRVGMARMFYHRPKYALLDECTSAVSIDVEGKIFQAAKDAGIALL
+SITHRPSLWKYHTHLLQFDGEGGWKFEKLDSAARLSLTEEKQRLEQQLAGIPKMQRRLQE
+LCQILGQGPGANQGTAT
+>tr|A0A3Q1NMI3|A0A3Q1NMI3_BOVIN Rho guanine nucleotide exchange factor 10-like protein OS=Bos taurus OX=9913 GN=ARHGEF10L PE=4 SV=1
+MASSRPLQAAAGDRLLPGGPGPSPEAEDDPGEGFEFDDSDDDEDTNAGPDVPRSALETEA
+DTPLIHLDSAPVIDLEPKPEPAQPQTQRPAAVSNGDAVDAAFSEVQRSGWRRKSSRRIER
+FTFPAVEEDVIYDDVPCENLDAQQPEAERNQPYEDARQDRAPQEPEDLGWSSSEFESYSE
+DSGEEAKPEAEPTKHRVSFQPKMTQLMKAAKSGTKDGLEKTRIAVMRKVSFLHRKDVLGD
+SEEEDMGLLEVSVSDIKPPAPELGPMPAGLTPQQVVRRHILGSIVQSEGSYVDSLKRVLQ
+DYRNPLMEMEPKALSARKCRAVFFRVKEILHCHSMFQIALSSRVAEWDSTEKIGDLFVAS
+FSKSMVLDVYSDYVNNFTNAMSIIKKACLTKPAFLEFLKRRQVCSPDRVTLYGLMVKPIQ
+RFPQFILLLQDMLKNTPRGHPDRLSLQLALTELETLAEKLNEQKRLADQVAEIQQLTKSV
+SDRSSLNKLLTSGQRQLLLCETLTETVYGDRGQLIKSKERRVFLLNDMLVCANINFKGQL
+EISSLAPLGPKYVVKWSTALPQVQVVEVGQEGGPYDKDNALIQHAGAKKASAAGQAQNKV
+YLGPPRLFQELQDLQKDLAVVEQITLLVSTLHGTYQNLNMTVAQDWCLALQRLMRVKEEE
+IHSANKCRLRLLLPGKPDKSGRPISFMVVFITPNPLSKISWVNRLHLAKIGLREENQPGW
+LCPDEDKQSRAPFWCPILACCVPAFSFRGLSLQLGALVHSPVSCPLLGFSAVSTSLPQGY
+LWVGGGQEGAGGQVEIFSLNRPSPRTVKSFPLAAPVLCMEYIPEPEEGDGRNGDKGCPAA
+DASAGVHPTICLGLQDGSILLYSSVDTGTQCLAACRSPGLQPVLCLRHSPFHLLAGLQDG
+TLAAYPRTSGSVPWDLESPPMCLPVGPGPVRALLSLEEAVWASCGPRVTVLDATSLQTQQ
+SFEAHQDEAVSVTHMVKAGSGVWMAFSSGSSIRLFHTETLEHLQEINIATRTTFLLPGQK
+HLCVTSLLICQGLLWVGTDQGVIVLLPVPRLEGIPKITGKGMVSLNGHCGPVAFLAVATS
+ILAPDILRSDQEEAEGQQAEEDKPDGPAPEPAPVPASHVGGELIRKKGILLQYRLRSTSH
+LPGPLLSVREPEPADGSALEHSEEDGSIYEMVDDPDVWVHNRPCARDAHRKEICSVAIIS
+GGRGYRNFGSAAGSPGKPAPCGETDSTLLIWQAPLTL
+>tr|A0A3Q1NMB1|A0A3Q1NMB1_BOVIN SCHIP-1 domain-containing protein OS=Bos taurus OX=9913 GN=SCHIP1 PE=4 SV=1
+MDLGSDAGSSSSNSSRASSQSNSTKVTPCSECKSSSSPGGSLDLVSALEDYEEPFPVYQK
+KVIDEWAPEEDGEEKEEEDGRHERGYRDDRCPAREPGDVSARTRSGGGRSATTAMPPPVP
+NGNLHPQEPQDLRHNGNVVVAGRPSGSRAPRRAVQKPQPAGGRRGGRGPAARGLCLQPTD
+SGTCVPEEPPVPPMDWEALEKHLAGLQFREQEVRNQGQARTNSTSAQKNERESIRQKLAL
+GSFFDDGPGIYTSCSKSGKPSLSSRLQSGMNLQICFVNDSGSDKDSDADDSKTETSLDTP
+LSPMSKQSSSYSDRDTTEEESESLDDMDFLTRQKKLQAEAKMALAMAKPMAKMQVEVEKQ
+NRKKSPVADLLPHLPHISECLMKRSLKPTDLRDMTLGQLQVIVNDLHSQIESLNEELVQL
+LLIRDELHTEQDAMLVDIEDLTRHAESQQKHLAEKMPAK
+>tr|Q9TTV9|Q9TTV9_BOVIN Pregnancy-associated glycoprotein-15 OS=Bos taurus OX=9913 GN=PAG-15 PE=2 SV=1
+MKWLVLLGLVAFSECVVKIPLRRVKTMTKTLSGKNMLNNFLKEHAYRLSQISFHGSNLTI
+HPLRNIRDLFYMGNITIGTPPQEFLVVFDTGSSDLWVPSDFCTSPACSKHFRFRHLQSST
+FRLTNKTFSIEYGSGTMEGIVAHDTVRIGDLVSTDQPFGLSMTESGFEGIPFDGVLGLNY
+PNISFSGAIPIFDKLKNQGAISEPVFAFYLSKDEQEGSVVMFGGVDHRYYKGELKWVPLI
+EAGDWIVHMDCISMRRKVIACSGGCEAVVDTGVSMIKGPKTLVDNIQKLIGATLRGFKHY
+VSCSAVDTLPSITFTINGINYRVPARAYILKDSRGCCYSSFQETTVSPSTETWILGDVFL
+RLYFSVFDRGNDRIGLARAV
+>tr|A5D7C6|A5D7C6_BOVIN PREP protein OS=Bos taurus OX=9913 GN=PREP PE=2 SV=1
+MLSFQYPDVYRDETAVQDYHGHKICDPYAWLEDPDSEQTKAFVEAQNKITVPFLEQCPIR
+GLYKERMTELYDYPKYSCNFKKGKRYFYFYNTGLQNQRVLYVQDSLEGEARVFLDPNTLS
+DDGTVALRGYAFSEDGEYFAYGLSASGSDWVTIKFMKVDGAKELPDVLERVKFSCMAWTH
+DGKGMFYNAYPQQDGKSDGTETSTNLHQKLCYHVLGTDQSEDILCAEFPDEPKWMGGAEL
+SDDGRYVLLSIREGCDPVNRLWYCDLHQEPNGITGILKWVKLIDNFEGEYDYVTNEGTVF
+TFKTNRHSPNYRLINIDFTDPEESRWKVLVPEHEKDVLEWVACVRSNFLVLCYLHDVKNT
+LQLHDLATGALLKTFPLEVGSVVGYSGQKKDTEIFYQFTSFLSPGIIYHCDLTKEELEPR
+VFREVTVKGIDASDYQTVQIFYPSKDGTKIPMFIVHKKGIKLDGSHPAFLYGYGGFNISI
+TPNYSVSRLIFVRHMGGVLAVANIRGGGEYGETWHKGGILANKQNCFDDFQCAAEYLIKE
+GYTSPKRLTINGGSNGGLLVATCANQRPDLFGCVIAQVGVMDMLKFHKYTIGHAWTTDYG
+CSDNKQHFEWLIKYSPLHNVKLPEADDIQYPSMLLLTADHDDRVVPLHSLKFIATLQHLV
+GRSRKQNNPLLIHVDTKAGHGAGKPTAKVIEEVSDMFAFIARCLNIDWIQ
+>tr|Q1RMI4|Q1RMI4_BOVIN GTPase, IMAP family member 4 OS=Bos taurus OX=9913 GN=GIMAP4 PE=2 SV=1
+MAAQYLREPRTSHGLANPRDSQLRLVLVGKTGAGKSATGNSILREEVFPSSFSAVSITKH
+CEKGSSTWKGREVVIVDTPGLFDTEVPDSETLKEITRCMVLTSPGPHALLLVIPVGRYTL
+EDQKATEKILTMFGERAREHIILLFTWKDDLKGMDFRDYLKHAPTAIRELIREFRDRYCV
+FNNKATGAEQENQREQLLALVQDVVDKCNGRYYTNSLYQKTEEEIQKQIQVLQEYYRAEL
+ERVKAQIKQELEEEIRKLKDELEQQKRKVEMERQLAEMEAHWVSRQQTARDDVLRQNKIF
+EIIYTLLQVASFVFPLFRD
+>tr|A6QQH4|A6QQH4_BOVIN ZNF383 protein OS=Bos taurus OX=9913 GN=ZNF383 PE=2 SV=1
+MWGHIEAGMTSEAKEKALPSEDSVLLQEEEEMPRLQELVTFKDVAVDFTEEEWQHLDPSQ
+RHLYREVMLENFGNLISLAGHLLSEPEMIFHFEQEDDVWMEETIPRSSYSGVRDENDGIL
+SWPVSPGEKLYNCPQHGQDFSQLRNLLIHQQTHIGEKPSPYAMCGKAFSERTADLNLPVL
+LPGDEPYRCMEYSGVFHSGSALRRHKKEHMGKKPHTCEECGKDFSRSSNLSIHRRVHTGE
+KPYRCSVCGKDFSRSSNLSIHQRIHTGEKPFSCHECGKDFSRRAALQIHQSVHTGRKPHA
+CEVCDRRFTSRSALARHQQGHAGDKAYLCDTCGRGFSQRANLYLHQRVHTGERPFRCEAC
+GKCFSWSKDLGIHRRVHTGERPYRCAACGRDFRHHSALKRHQRVHTGEKPYLCAICGKGF
+SQRVHLQMHQKVH
+>tr|A5PJM6|A5PJM6_BOVIN CDH19 protein OS=Bos taurus OX=9913 GN=CDH19 PE=2 SV=1
+MNCWLLLPFMLGIPLLWPCIIAAENSKTEEVRHPAGSRLRIRRGWMWNQFFVPEEMNKTR
+HHIGQLRSDLDNGNNSFQYKLLGVGAESLFVIDERTGDIYAVQKLDREERSLYTLRAQVI
+DTTTGRAVEPESEFVIRVSDINDNEPKFLDEPYEAIVPEMSPEGIAY
+>tr|A5PKI6|A5PKI6_BOVIN CC2D1A protein OS=Bos taurus OX=9913 GN=CC2D1A PE=2 SV=1
+MHKKKGPPGPPGRGAATARQLGLLVDLSPDGLMIPEDGVNDEELEAEFLALVGGQPQALE
+KLKGKGPLPMEAIEKMASLCMRDPDEDEEEGTDEEDVEADDDLLAELNEVLGEEQKASES
+HPPVAQPKATTPSPGLEATLQERLALYQTAIESARQAGDSAKMRRYDRGLKTLENLLASV
+RKGKAIDEGDIPPPVAVGKGPVATPSHTPAPTQPAPLNPLAPDPRVIVESPPSAAPSSSL
+ASAKPQLPPGPCSPGPLAQLQSRQREYKLAALHAKQQGDTAAAAKHFRVAKSFDAVLEAL
+SRGEPVDLSRLPPPPDQLPQGPPSPPVQPPTPAVVPSTPEAPPPPKTLLEALEQRMERYH
+VAAAQAKTKGDQRKARMHERIVKQYQDAIRAHKAGRAVDVAELPVPPGFPPIQGLEATEP
+TQQSLVGVLETAMKLANQDEGPEDEEDEEPKKLSSPAAPTAQPKAPPSKAPPSGSAPIAK
+AAPKGTSTRAQQQLAFLEGRKKQLLQAALRAKQKNDVEGAKMHLRQAKGLEPMLEASRNG
+LPVDITKVPPAPVNKDDFALVQRPGPGLSQESARRYGELTKLIRQQHEMCLNHSNQFTQL
+GNIAETSKFEKLAEDCKRSMEILKQAFARGLPTPTARFEQRTFSVIKIFPDLSSNDMLLF
+IVKGISLPTPPGLSPGDLDVFVRFDFPYPNVEEAQKDKTSVIKNTDSPEFKEQFKLCINR
+SHRGFRRAIQTKGIKFEVVHKGGLFKTDRVLGTAQLKLDALETACEVREVLEVLDGRRPT
+GGRLEVMVRIREPLTAQQLETTTERWLVIDPVPASVPTQVVGPKGKAPPIPAPTREPGNR
+SARPLHSLSVLAFDQERLERKILGLRQARRPVPPEVAQQYQDIMQRSQWQRAQLEQGGPG
+MRREYMAQLERQLQFYTEAARRLGNDGSREAAKEALYRRNLVESELQRLRR
+>tr|A6QQN8|A6QQN8_BOVIN ITGA3 protein OS=Bos taurus OX=9913 GN=ITGA3 PE=2 SV=1
+MGPGPSRAAGVLRPLLGMLALMVAASNRAASAFNLDTRFLVVKEAGNPGSLFGYSVALHR
+QTERQQRYLLLAGAPRDLAVPDGYTNRTGAVYLCPLTAHKNDCERMDIKEKSNPNHIIED
+MWLGVTVASQGPAGRVLVCAHRYTQVLWSGSEDQRRMVGKCYVRGNDLELDARDDWQTYH
+NEMCNSNTDYLETGMCQLGTSGGFTQNTVYFGAPGAYNWKGNSYMIQRKDWDLSEYSYKD
+PEDQGNLYIGYTMQVGSAILHPTNITIVTGAPRHQHVGAVFLLSQEAGGDLRRRQVLEGT
+QVGAYFGSAIALADLNNDGWQDLLVGAPYYFERKEEVGGAIYIFMNQAGTSFPDHPSLLL
+HGPSRSAFGFSVASIGDVNQDGFQGNPWRAAGTAWLGHLWLLPEWADGRG
+>tr|Q0VD04|Q0VD04_BOVIN Keratin 85 OS=Bos taurus OX=9913 GN=KRT85 PE=2 SV=1
+MSCRSYRISPGYSVTRTFSSCSAVAPKTGSRCCITAAPYRGVSCYRGLTGFGSRSVSALG
+SCGPRIAVGGFRAGSCNRSFGYRSGGVGGLSPPCITTVSVNESLLTPLNLEIDPNAQCVK
+HEEKEQIKNLNSRFAAFIDKVRFLEQQNKLLETKWQFYQNQRCCESNLEPLFNGYIETLR
+REAEHVEADGGRLASELNHVQEVLEGYKKKYEEEVALRATAENEFVVLKKDVDCAYLRKS
+DLEANVEALVEESNFLKRLYDEEIQILNAHISDTSVIVKMDNSRDLNMDCVVAEIKAQYD
+DIASRSRAEAESWYRNKCEEMKATVIRHGETLRRTKEEINELNRIIQRLTAEIENAKCQR
+TKLEAAVAEAEQQGEAALNDARCKLAGLEEALQKAKQDMACLLKEYQEVMNSKLGLDIEI
+ATYRRLLEGEEQRLCEGVGSVNVCVSSSRGGVTCGGLTYSTTAGRQIASGPVATGGSITV
+LAPDSCVPCQPRASSFSCGSSRSVRFA
+>tr|E1BIW7|E1BIW7_BOVIN Transmembrane protein 71 OS=Bos taurus OX=9913 GN=TMEM71 PE=4 SV=3
+MYQISQLMSTPVASKYSSQSEKEYAVEPSPPCILPSSVCDFLNGDSPFEGHSGDPLTDSP
+FTCRRSPRLLTNGYYVWTEDSFLCDEDGNITLSPSQTRVLYKENLVRIFRKKRRIRRSFS
+SLFNLSASESWLRGSIFDDVDSSPSEDVWLEGVRRLETNHCKENGGDFDCSLTDDWESQK
+LNAESVKASFSGPVASQRPRENSYDLSPQSQWTASERFQEEALDHPKTSLLREVSFQAIL
+LAACLIISACARWFLGGIIASVFSCSLVIIIAYVVKSSFFSLANYFKATTGTCQDLKTRT
+IDFISVSDALKRVCQNLTTIHEGL
+>tr|Q0VD41|Q0VD41_BOVIN Chimaerin OS=Bos taurus OX=9913 GN=CHN2 PE=2 SV=1
+MAASSNSSLSGSSVSSDAEEYQPPIWKSYLYQLQQEAPRPKRIICPGEVENRPKYYGREF
+HGIISREKADELLGGVEGAYILRESQRQPGCYTLALRFGNQTLNYRLFYDGKHFVGEKRF
+ESIHDLVTDGLITLYIETKASEYISKMTTNPIYEHIGYATLLREKVSRRLSRSKNESRKA
+SVTNEEHTPVEKISSLVRRAALTHNDNHFNYEKTHNFKVHTFRGPHWCEYCANFMWGLIA
+QGVRCSDCGLNVHKQCSKHVPNDCQPDLKRIKKVYCCDLTTLVKAHNTQRPMVVDICIRE
+IEARGLKSEGLYRVSGFTEHIEDVKMAFDRDGEKADISANIYPDINIITGALKLYFRDLP
+IPVITYDTYSKFIEAAKISNADERLEAVHEVLMLLPPAHYETLRYLMIHLKKVTMNEKDN
+FMNAENLGIVFGPTLMRPPEDSTLTTLHDMRYQKLIVQILIENEDVLF
+>tr|Q2TBU1|Q2TBU1_BOVIN Uncharacterized protein MGC134282 OS=Bos taurus OX=9913 GN=MGC134282 PE=2 SV=1
+MGAPSGKINRPRTELKKKLFKRRRVLNRERRLKHRVVGAVIDEGLITRHHLKKRASSARA
+NITLSGKKRRKLLQQIRLAQKEKAAMEVEAPPKPTRTSDPQPRSKKKTKAPQDVDMEDLE
+DKS
+>tr|Q3ZBG2|Q3ZBG2_BOVIN AKR1C4 protein OS=Bos taurus OX=9913 GN=AKR1C4 PE=1 SV=1
+MDPKGQKVKLNDGHFIPVLGFGTYAPQEVAKRDALEFTPFAIEVGFRHIDCAHAYQNEEQ
+IGQAIRSKMADGTVKREDIFCTSKLWCTSFRPELVRPALEKSLKSLQLDYVDLYIMHYPL
+ALKPGEELYPKDENGKLIADSVDFCLTWEALEKCKDAGLAKSIGVSNFNHKQLEKILNKP
+GLKYKPVCNQVECHPYLNQRKLLDFCKSHDIVLVAYSALGSQRVKGWVNPNHPVLLEDPV
+LSAIAQKHKKTAALVALRYQIQRGVVVLAKGNNKEWIKENMQVFDFELTPEDMKAIDGLN
+RNIRYCDFHPGVGHPEFPFSEEY
+>tr|A5PJT2|A5PJT2_BOVIN INTS10 protein OS=Bos taurus OX=9913 GN=INTS10 PE=2 SV=1
+MSAQGDCEFLVQRARELVPQDLWAAKAWLITARSLYPADFNIQYEMYTIERNAERTATAG
+RLLYDMFVNFPDQPVVWREISIITSALRNDSQDKQTQFLRSLFETLPGRIQCEMLLKVTE
+QCFNTLERSEMLLLLLRRFPETVVQHGVGLGEALLEAETIEEQESPVNCFRKLFVCDVLP
+LIINNHDVRLPANLLYKYLNKAAEFYINYVTRSTQIENQHQGAQETSDLMSPSKRSSQKY
+IIEGLTEKSSQIVDPWERLFKILNVVGMRCDWQMDKGRRSYGDILHRMKDLCRYMNNFDN
+EAHAKYKNQVVYSTMLVFFKNAFQYVNSIQPSLFQGPNAPSQVPLVLLEDVSNVYGDVEI
+DRSKHIHKKRKLAEGREKPMQSSDDEDCSAKGRNRHIVINKAELANSIEVLESFKLARES
+WELLYSLEFLDKEFTRICLAWKTDTWLWLRIFLNDMVIYQGQYKKAIASLHHLAALQGSL
+PQPQISGQGTLEHQRALIQLATCHFALGDYKMTCEKVLDLMCYMVLPIQDGGKSQEEPSK
+VKPKFRKGSDLKLLPCTSKAIMPYCLHLMLACFKLRAFTDSRDDMALGHAIVLLQHEWPR
+GEALFLKAVSKICQQGNFQYENFFNYVTNIDMLEEFAYLRTQEGGKIHLELLPNQGMLIK
+HHTVTRGITKGVKEDFRLAMERQVSRCGESLMLVLHRFCVNEKILLLQTLA
+>tr|Q32L43|Q32L43_BOVIN ZNF575 protein OS=Bos taurus OX=9913 GN=ZNF575 PE=2 SV=1
+MLERETESAAGGAEPSPTDKEPVIKREAPDQGPPQKPSQSVPEPAASTGAPSRPRRRPPP
+QRPHRCPDCDKAFSYPSKLATHRLAHGGARPHPCPDCPKAFSYPSKLAAHRLTHSGARPH
+PCPHCPKAFGHRSKLAAHLWTHAPTRPYPCPDCPKSFCYPSKLAAHRHTHHATDARPYPC
+PHCPKAFSFPSKLAAHRLCHDPPTAPGSQATARHRCSSCSQAFGQRRLLLVHQRSHQPES
+PGERE
+>tr|G5E523|G5E523_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=STAU2 PE=4 SV=2
+MANPKEKTPMCLVNELARFNRVQPQYKLLKERGPAHSKMFSVQLSLGEQTWESEGSSIKK
+AQQAVANKALTESTLPKPVQKPPKSNVNNNPGSITPTVELNGLAMKRGEPAIYRPLDPKP
+FPNYRANYNFRGMYNQRYHCPVPKIFYVQLTVGNNEFFGEGKTRQAARHNAAMKALQALQ
+NEPIPEKSAQNCESGKEMDDDKDANKSEISLVFEIALKRNMPVSFEVIKESGPPHMKSFV
+TRVSVGEFSAEGEGNSKKLSKKRAATTVLQELKKLPPLPVVEKPKLFFKKRPKTIVKAGP
+EYGQGMNPISRLAQIQQAKKEKEPDYVLLSERGMPRRREFVMQVKVGNEVATGTGPNKKI
+AKKNAAEAMLLQLGYKASTSLQDQLDKTGENKGWSGPKAGFPEPTNNTPKGILHLSPDVY
+QEMEASRHKVISGTTLGYLSPKDMNQPSSSFFSISPTSSSSATIARELLMNGTSSTAEAI
+GLKGSSPTPPCSPVQPSKQLEYLARIQGFQVHYCDRQSGKECVTCLTLAPVQTTFHAIGS
+STEASHDQAALSALKQFSEQGLDSMEGAMNIEKGSLEKQAQHLGEKANNNQTHPGSIAQD
+CKKSRSVI
+>tr|Q5E9S5|Q5E9S5_BOVIN Necdin OS=Bos taurus OX=9913 GN=NDN PE=2 SV=1
+MSEQSKDVCNPNFAAEASNSEVHSSPGIPGEPSSPGSQAATLTESESPPLSPPDAPLVSL
+PPQAPGEEGDPKALQQAAEEGRPHQAPSTTQLSPAPPAPAQLVQKAHELMWYVLVKDQKR
+MIIWFPDMVKDVIGSYKKWCRSILRRTSLILARVFGLHLRLTSLHTMEFSLVKALEPEEL
+DRVALSNRMPMTGLLLMILSLIYVKGRGARESAVWNVLRILGLRPWKKHSTFGDVRKLIT
+EEFVQQNYLKYQRVPHVEPPEYEFFWGSRASREITKMQIMEFLARVFKKTPQAWPSRYRE
+ALEEARALRAAHPTAHCPRNSVSED
+>tr|Q1RML9|Q1RML9_BOVIN Platelet-activating factor acetylhydrolase OS=Bos taurus OX=9913 GN=PLA2G7 PE=2 SV=1
+MLPSKLHALFCLCTCLALVYPFDWQDLNPVAYIESPAWVSKIQALMAAANIGQSKIPRGN
+GSYSVGCTDLMFDYTNKGTFLRLYYPSQDDDHSDTLWIPNKEYFLGLSKFLGTHWLVGKI
+MGLFFGSMTTPAAWNAHLRTGEKYPLIIFSHGLGAFRTIYSAIGIDLASHGFIVAAVEHR
+DGSASSTYYFKDQSAVEIGNKSWLYLRTLKRGEEEFPLRNEQLRQRAKECSQALSLILDI
+DHGRPVMNVLDLEFDVEQLKDSIDRDKIAIIGHSFGGATVIQTLSEDQRFRCGIALDAWM
+FPVGDEVYSRIPQPLFFINSERFQYPSNIIRMKKCFLPDRERKMITIRGSVHQNFVDFTF
+ATSKIIGYLFTLKGDIDSNVAISLSNKASLAFLQKHLGLQKDFDQWDSLVEGEDHNLIPG
+TNINTTNHQATLQNSTGIERPNLD
+>tr|Q1RMJ1|Q1RMJ1_BOVIN Protein tyrosine phosphatase receptor type C-associated protein OS=Bos taurus OX=9913 GN=PTPRCAP PE=2 SV=1
+MDLPCALGLWTLLALPGALGSSSSDSGSSSSVTVVLLLLLLLLLVAGLALAWRRLSRDSG
+GYYHPARLGAALWGRTRRLLWASPPGRWLRAELGSPDEDPEQQEDEQDVEDDYDLLSGQE
+ERRSQEEERRGEGPSPPQATEPAGAVHNDDTEGSLGLSCQGPAGSGGSAEALLSDLHAFA
+GSAAWDDSSRAASGQGLHVTAL
+>tr|A0A3Q1MUD4|A0A3Q1MUD4_BOVIN High density lipoprotein binding protein OS=Bos taurus OX=9913 GN=HDLBP PE=1 SV=1
+MSSVAVLTQESFAEHRSGLVPQQIKVATLTSEEESDPPTYKDAFPPLPEKAACLENAQEP
+AGAWSNKIRPIKASVITQVFHVPLEERKYKDMNQFGEGEQAKICLEIMQRTGAHLELSLA
+KDQGLSIMVSGKLDAVMKARKDIVARLQTQASATVAIPKEHHRFVIGKNGEKLQDLELKT
+ATKIQIPRPDDASNQIRITGTKEGIEKARHEVLLISAEQDKRAVERLEVEKAFHPFIAGP
+YNRLVSEIMQETGTRINIPPPSVSRTEIVFTGEKEQLAQAVARVKKIYEEKKKKTTTIAV
+EVKKSQHKYVIGPKGNSLQEILERTGVSVEIPPSDSASETVILRGEPEKLGQALTEVYAK
+ANSFTVSSVSAPSWLHRFIIGKKGQNLAKITQQMPKVHIEFTEGEDRITLEGPTEDVSVA
+QEQIEAMVKDLINRMDYVEINIDHRFHRHLIGKSGANINRIKDQCKVSVRIPPDSEKSSL
+IRIEGDPQGVRQAKRELLELASRMENERTKDLIIEQRFHRTIIGQKGERIREIRDKFPEV
+IINFPDPAQKSDIVQLRGPKNEVEKCTKYMQKMVADLVENSYSISVPIFKQFHKNIIGKG
+GANIKKIREESNTKIDLPAENSNSETIVITGKRANCEAARSRILSIQKDLANIAEVEVSI
+PARLHNSLIGTKGRLIRSIMEECGGVHIHFPVEGSGSDTVVIRGPSSDVEKARKQLLHLA
+EEKQTKSFTVDIRAKPEYHKFLIGKGGGKIRKVRDSTGARIVFPAAEDREQDLITIIGKE
+DAVREAQRELEALIQNLDNVVEDCMLVDPKHHRHFVIRRGQVLREIAEEYGGVMVSFPRS
+GTQSDKVTLKGAKDCVEAAKKRIQEIIEDLEAQVTIECTIPQKFHRSVMGPKGSKIQQIT
+RDYNVQIKFPDREENPGHSVEPAVHENGEDAAEGREGKEAEPGSPRRCDIIVISGRKEKC
+EAAKEALEALVPVTIEVEVPFDLHRYIIGQKGSGIRKMMDEFEVNIHVPAPELQSDIIAI
+TGLAAHLDRAKAGLLERVKELQAEQEDRALRSFKLSVTVDPKYHPKIIGRKGAVITQIRL
+EHDVNIQFPDKDDGSQPQDQITITGYEKNTEAARDAILKIVGELEQMVSEDVPLDHRVHA
+RIIGARGKAIRKIMDEFKVDIRFPQSGAPDPNCVTVTGLPENVEEAIDHILNLEEEYLAD
+VVDSEALQLYLKPPAHEESKAPSKGFVVRDAPWTASSSEKAPDMSSSEEFPSFGAQVAPK
+TLPWGPKR
+>tr|F1MFC6|F1MFC6_BOVIN FERM, ARH/RhoGEF and pleckstrin domain protein 1 OS=Bos taurus OX=9913 GN=FARP1 PE=4 SV=3
+MGEIEQRPTPGSRLGAPENSGISTLEHGQKPPPTPSGKLMSIKIQMLDDTQEAFEVPQRA
+PGKVLLDAVCNHLNLVEGDYFGLEFPDHKKITVWLDLLKPIVKQIRRPKHVVVKFVVKFF
+PPDHTQLQEELTRYLFALQVKQDLAQGRLACNDNSAALLISHIVQSEIGDFDEASDREHL
+AKNKYIPQQDALEDKIVEFHHNHIGQTPAESDFQLLEIARKLEMYGVRLHPAKDREGTKI
+NLAVANTGILVFQGFTKINAFNWAKVRKLSFKRKRFLIKLRPDVNSSYQDTLEFLMASRD
+FCKSFWKICVEHHAFFRLFEEPKPKPKPVLFSRGSSFRFSGRTQKQVLDYVKEGGHKKVQ
+FERKHSKIHSIRSLATQPSEPNSEVPKQAQQSVSLTLGEGSEPPGGQSCPQGGDLTAASA
+EDAERQRGPGPSRSPAGSAKGDGASGAAAEEDEELVKDRPQQSRPRPPQPSTGSLTGSPH
+LSELSINSQGGTAPTNAILSPNLSPDTKQASPLVSPLLNDQAGPRAEDEDDGRRKRFPTD
+KAYFIAKEVSTTERTYLKDLEVITSWFQSTVSKEDSMPETLKSLIFPNFEPLHKFHTNFL
+KEIEQRLALWEGRSNAHIRGDYQRIGDVMLKNIQGMKQLAAHLWKHSEALEALETGIRGS
+RRLESLCRDFELQKVCYLPLNTFLLRPLHRLMHYKQVLERLCKHYPPSHSDFRDCRAALA
+EITEMVAQLHGTMIKMENFQKLHELKKDLIGIDNLVIPGREFIRLGSLSKLSGKGLQQRM
+FFLFNDVLLYTSRGLTASNQFKVHGQLPLYGMTMEESEEEWGVPHCLTLRGQRQSIVVAA
+SSRPEMDKWVEDIQMAIDLAEKSSGPAPEFLASSPPDNKSPEEAAGDQESEDDLSASRTS
+LERQAPHRGNTMVHVCWHRNTSVSMVDFSVAVENQLSGNLLRKFKNSNGWQKLWVVFTNF
+CLFFYKSHQDNHPLASLPLLGYSLTIPSESEDIHKDYVFKLHFKSHVYYFRAESEYTFER
+WMEVIRSATSSASRAHAPSHKESHVY
+>tr|F1MVE1|F1MVE1_BOVIN SCY1 like pseudokinase 3 OS=Bos taurus OX=9913 GN=SCYL3 PE=4 SV=3
+MGSENSALKSYALKEPPFTLPSGLAVYPAVLQDGKLASVFVYKRENEDKVNKAAKHLKTL
+RHPCLLRFLSCTVEADGIHLVTERVQPLEVVLETLSSAEVCAGIYDILLALIFLHDRGHL
+THNNVCVSSVFVSEDGHWKLGGMETVCKVAQATPEFLKSIRSVRDPASIPPEEMSPEFTT
+LPASHGHARDAYSFGTLVESLLTVLSEQVAADILSSFQHTLRSALLSPSPHCRPALCSLL
+CHDFFRNDFLEVVNFLKSLTLKSEEEKTEFFKFLLDRVSCLSEELIASRLVPLLLNQLVF
+AEPVAVKSFLPYLLGPKKDNTHGEAPRLLSPALFQARVIPVLLRLFEVHEEHVRVVLLSH
+LEAYVEHFTQEQLKKVILPQVLLGLRDTSDSIVAITLHSLAVLVSLLGPEVVVGGERTKI
+FKRTAPSFTKTVDLSPEDSPVHVTCSQRSQSLPILKNAPPGIFPKCFSGNMPINSKKHIP
+QDYYSTFLQTDDQFSQPIKFPINGISEVKITSGDSENFPSSSKKAEEWPDWSEPEEPENK
+TVNAEVCPADPHAAAQSPLTNLNAEEEPWDDFEPGSLDTEVNLEGGMMPTRPVTSGEQKA
+TPALPSKSSLPPKTSLAQSRDDPDQTKPPKVLSQERRLKVPSELGLGEEFTIQVKKKPVK
+DPELDWFADMIPEIKPSAAILILPELRTETVVPSKDNVSSVMHFSSKFAAAEITEGESSG
+WGEDGDLNWDDNSW
+>tr|Q9TTV3|Q9TTV3_BOVIN Pregnancy-associated glycoprotein-21 OS=Bos taurus OX=9913 GN=PAG-21 PE=2 SV=1
+MKWVVLLGLVAFSECIVKIPLRRVKTMRKTLSGKNMLNNFLKEHGNRLSKISFRGSNLTT
+LPLRNIEDLMYVGNITIGTPPQEFQVVFDTGSSDFWVPSDFCTSPDCITHVRFRQHQSST
+FRPTNKTFSITYGSGRMRGVVVHDTVRIGDLVSTDQPFGLSVSEYGFKDRAYDGILGLNY
+PDESFSEAIPIFDKLKNEGAISEPIFAFYLSKKKREGSVVMFGGVDHRYYKGELNWVPLI
+EEGDWSVRMDGISMKTKVVACSDGCEAVVDTGTSLIKGPRKLVNKIQKLIGATPRGSKHY
+VYCSAVNALPSIIFTINGINYPVPARAYILKDSRGRCYTAFKKQRFSSSTETWLLGDAFL
+RVYFSVFDRGNGRIGLAQAV
+>tr|A4FUC7|A4FUC7_BOVIN CCDC127 protein OS=Bos taurus OX=9913 GN=CCDC127 PE=2 SV=1
+MNNLNDPPNWNIRPNSRADGGDGSRWNYALLVPMLGLAAFRWIWSRESRKEIEKEREAYR
+QRTAAFQRDLEARYHATIAESRRAVAHLSLELEKEQNRTTSYREALISQGRKLVEEKKLL
+EQERAQVLQERRQPLRSAYLRCLGQEEDWQRRARLLLSEFEAALTERQSIYCSLVLPRRR
+RLELEKSLLVRASTDPVAADLEMAAGLTDIFKHDTHCGDVWNTNKRQNGRLMWLYLRYWE
+LIIELKKFKQVEKAILEK
+>tr|A5PJT1|A5PJT1_BOVIN Endothelial PAS domain protein 1 OS=Bos taurus OX=9913 GN=EPAS1 PE=2 SV=1
+MTADKEKKRSSSERRKEKSRDAARCRRSKETEVFYELAHELPLPHSVSSHLDKASIMRLA
+ISFLRTHKLLSSVCSENESEADADQQMDNLYLKALEGFIAVVTQDGDMIFLSENISKFMG
+LTQVELTGHSIFDFTHPCDHEEIRENLSLKNGSGFGKKSKDMSTERDFFMRMKCTVTNRG
+RTVNLKSATWKVLHCTGQVKVYNNCPPHSSLCGCKEPLLSCLIIMCEPIQHPSHMDIPLD
+SKTFLSRHSMDMKFTYCDDRITELVGYHPQELLGRSAYEFYHALDSENMTKSHQNLCTKG
+QVVSGQYRMLAKHGGYVWLETQGTVIYNPRNLQPQCIMCVNYVLSEIEKNDVVFSMDQTE
+SPFKPHLLTMNSIFDNSGKVAVSEKSNFLFTKLKEEPEELAQLAPTAGDTIISLDFGTPN
+FEESSAYGKGILPPGQQWTGEVKSHGTHSEAGSLPAFTVPQAAALGNSTPSASSSSSCST
+PSSPGDYYTSLDDNLKIEAIEKLFAMDTEAKDQCGTQTDFNELDLETLAPYIPMDGEDFQ
+LSPICPEESLLPETPQSAPQHCFSTMSNIFQPLAPMASHSTFLLDKYQQQLESKKTEPEQ
+RRVSFAFFDGGSRVSLLQCCGQTYTPLSSMGGISNTQWPPDPPLQLGPTKWPGEDRHAEA
+VGAAPLGLPPATPHLAMLKKRSAKGFGPQGPDVMSPAMIALSNKLKLKRQLEYEEQAFQD
+MSGGDPPGSSTSHLMWKRMKSLRGGGTCSLMPDKLPNANVPNDEFIQNPVRGRSQPLRHL
+SPPQPPSATSPGEPTKSGFPAQCYAPQYQDYSLPAAHKMSGMASRLLGPSFEPYLLPELT
+RYDCEVNVPVPGTSTLLQGGDLLRALDQAT
+>tr|Q08DQ9|Q08DQ9_BOVIN DLG associated protein 5 OS=Bos taurus OX=9913 GN=DLGAP5 PE=2 SV=1
+MSSSHFASRHRKDLSTDMIRTKIAHRKSLSQKENRHKEYERNRHFGLKDVNIPTLESRSL
+LELDETSQELVPEKASIKPKSTKTVVFDQRKQMLQKYKEEKQLQKLKEQREKTKRGVFKV
+GLYKPDMPCFLFSNQNAMKAEPKKDVPSSVRITRSKARNQMELTKSGNGIDVRAIRPGQR
+QTSEKKVLDKEKKAVQPVMLPVRMTRSSTQAAKQIVKEIPSTAARKPESRAVNDNEADRK
+APNQGKPAKKIGTKPDKVISFKVDNEENTLDSQTSATNGMNPDGVLSKMENVSKTNSAKM
+KGKNSFAPKDFIFQPLDGLKTYQVTPMTPRSANAFLTPSYTWTPLNTEVDKTEATKEILA
+QKCKTFSAKTVPQDSSKSQCPLGSLTVWNKEHVSNKNETTNENSNGLSGKEEVPSLEVNE
+DQLSQPQHDVPYFRNILRSETEKLTSHCLEWDRKLDLDIPDDAKDLIRTAVGQTRLLMKE
+RFKQFEGLVDNCEYKRGEKETTCTDLDGFWDMVSFQIEDVNQKFSNLTKLEESGWQNNNN
+TSKKVFRKKVVSGIASKPKQDDGGRIAARNRLAAIKNAMRERIKQEEQAEAATSVMPKEV
+DKIVFDAGFFRIESPIKSFSGLSSECLSQRLKTPQSVSKTVSETRAEMGLIRQTTSPENP
+GPQGAKSEHVDKTLISCIPGNRNSIGEDAKCLGLQDLIEINHGINKINFEIDCLSSERMN
+SALHAGKVADDINTNKKEEISDIVEGMELNSVTTQDVLMISPEKNIQSQNNISWEEETKT
+SQPVLCDNKSLTTECHLLDSPGLNYSNTFIQVERRHQEQTRHISFGGNLISFSPLRPLSG
+EQPEEF
+>tr|Q0VCS2|Q0VCS2_BOVIN Death effector domain containing 2 OS=Bos taurus OX=9913 GN=DEDD2 PE=2 SV=1
+MALTGSTPAPSWEEDECLDYYGMLSLHRMFEVVGGQLTECELELLAFLLDEVPGAPGGLA
+RARSGLELLLELERRGQCDESNLRLLGQLLRLLSRHDLLPHLARKRRRPVSPERYSYGLS
+SSSSRRMEGSCRRRRQSSSSSNAEQGQWETGSPPTKRQRRSRGRPSGGARRRRRGATTTP
+QQQQEPARPTSEGKVTCDIRLRVRAEYCEHGPALEQGVASRRPQALARQLDVFGQATAVL
+RSRDLGSVVCDIKFSELSYLDAFWGDYLSGALLQALRGVFLTEALREAVGREAVRLLVSV
+DEADYEAGRRRLLLMEEEGGRRSPEAS
+>tr|A2VE25|A2VE25_BOVIN ANK1 protein OS=Bos taurus OX=9913 GN=ANK1 PE=2 SV=1
+MWTFVTQLLVTLVLLGFFLVSCQNVAHIVRGSLGFMLKHVHQELDKELGESEGLSDDEET
+ISTRVVRRRVLLKGNELQNIPGEQVTEEHFTDEQGNVITKKIVRKVVRQIDPSGADDTQE
+LEEVELRGSGLQPDLIEGRKGAQIVKRASLKRGKQ
+>tr|Q08D97|Q08D97_BOVIN Cilia and flagella associated protein 100 OS=Bos taurus OX=9913 GN=CFAP100 PE=2 SV=1
+MSENLPPMVSKSVTFNKHGPEPTDNSASGSEEKKKHAKNGKASKNVPDQPANPFHMSGDV
+DFFLLREQERNKSLLDREQKKQLRVHEKMTYSSKVSAKHTSLRRELQLEDETEDQEERAE
+QLRSFHEQVAWKLAMTREKKLEPNNINSYVDQNRQMFLIQYALDMKRSEIQRLESLAAQE
+EAELERAEKFLEKDAALFDEFLRENDRSSVQALRMADKESKAKMEKILEIRELTTQIMNI
+KSEISKFEDMLQQYKVYKDFLYKLSPKEWLEEQEKKHLALKQAKEVVEAFKESLLLSSVG
+DRAHRLWEVGQLSEQSCRLGRPWASTWDPEPLLASHRTRDQGQAELQRSSSTLPVQDDLD
+SDDEEEPELYFTDPQQLLDVFTKLEEQNLSLVQNTQEMEEALEELSFTLKNTQTRMASEA
+GALGPQHAAGPGGSEVLVPFRDREVNQLKQWITTLMMSIAKEEETAAELELKARVFHFGE
+FKGDQEDKLLESLNCKVLDVYRHCVGGQQESSLGTVQMLATIEHQLDELLENLERVPPAR
+IEQAEKAKEKERRLRLREEKAKLQKQLQEERLLRAQARAQAEVKKKRGRRLVCRSRPPAL
+KTKEQSKHELMDKDREEHLFFFT
+>tr|A0A3Q1NL77|A0A3Q1NL77_BOVIN Pleckstrin homology and RhoGEF domain containing G5 OS=Bos taurus OX=9913 GN=PLEKHG5 PE=4 SV=1
+MHYDGHVRFDLPPQGSVLARNVSTRSCPPRTSPAVDLEEEEESCMDGKGDRKSTGLKLSK
+KKARRRHTDDPSKECFTLKFDLNVDIETEIVPAMKKKSLGEVLLPVFERKGIALGKVDIY
+LDQSNTPLSLTFEAYRFGGHYLRVKAKPGDEGKVEQGVKDSKSLSLPILRQAGAGPPAQE
+RVDLQSRRESLDILAPGRRRKNMSEFLGEASIPGQEPPTPSSCSLPSSSSSGSSSSSSGS
+DSWKNRAASRFSGFFSSGPSTSTYGREIDKMEQLEGKLHAYGLFGLPRLPRRLRFDHDSW
+EEEGDEEEDEDDAGLRLEDSWRELIDGHEKLTRRQCHQQEAVWELLHTEASYIKKLRVIT
+NLFLCCLLNLQESGLLCEVEADRLFSNIPEIARLHRGLWGSVMAPVLEKARRTRALLQPG
+DFLKGFKMFGSLFKPYVRYCMEEEGCMEYMRGLLRDNDLFRTYITWAEKHQQCQRLKLSD
+MLAKPHQRLTKYPLLLKSVLRKTDEPRAKEAVVTMIGSVERFIHHVNACMRQRQERQRLA
+AVVSRIDAYEVVEGSNDEVDKLLKEFLHLDLTAPIPGASPEETRQLLLEGSLRMKEGKDS
+KMDVYCFLFTDLLLVTKPVKKAERTKVIRPPLLVDKIVCRELRDPGSFLLIYLNEFHSAV
+GAYTFQASGQALCRGWVDAIYNAQNQLQQLRVQEHPGDQQHLQSLEEEEDEREEEEEEEE
+GGGSSTSAASSPTILRKSSHSLDSQHCASDGSTETLAMVVVEPGELLSSPEFRGDPFSSQ
+SDETSLSTTASSVTPTSELLPLGPVDGRSCSMDSAYGTLSPTSLQDFMAPAPTVESAPRP
+PELPPAPSPPPSPRLRRRTPVQLLPCLPHLLKSKSEASILQLLSGATTRRVPPAPSRSLS
+EVCLAATVPGTRTRGSCQEAGPSWYCQGAPGPGHQLAELEGRAHCPGGEPEGPTRRSREL
+SSGASTRVQPEPPPGISAQHRKLTLAQLYRIRTTLLLNSTLTASEV
+>tr|A6H796|A6H796_BOVIN ISL LIM homeobox 1 OS=Bos taurus OX=9913 GN=ISL1 PE=2 SV=1
+MGDMGDPPKKKRLISLCVGCGNQIHDQYILRVSPDLEWHAACLKCAECNQYLDESCTCFV
+RDGKTYCKRDYIRLYGIKCAKCSIGFSKNDFVMRARSKVYHIECFRCVACSRQLIPGDEF
+ALREDGLFCRADHDVVERASLGAGDPLSPLHPARPLQMAAEPISARQPALRPHVHKQPEK
+TTRVRTVLNEKQLHTLRTCYAANPRPDALMKEQLVEMTGLSPRVIRVWFQNKRCKDKKRS
+IMMKQLQQQQPNDKTNIQGMTGTPMVAASPERHDGGLQANPVEVQSYQPPWKVLSDFALQ
+SDIDQPAFQQLVNFSEGGPGSNSTGSEVASMSSQLPDTPNSMVASPIEA
+>tr|A5PKK4|A5PKK4_BOVIN MBNL2 protein OS=Bos taurus OX=9913 GN=MBNL2 PE=2 SV=1
+MALNVAPVRDTKWLTLEVCRQFQRGTCSRSDEECKFAHPPKSCQVENGRVIACFDSLKGR
+CSRENCKYLHPPTHLKTQLEINGRNNLIQQKTAAAMLAQQMQFMFPGTPLHPVPTFPVGP
+AIGTNTAISFAPYLAPVTPGVGLVPTEILPTTPVIVPGSPPVTVPGSTATQKLLRTDKLE
+VCREFQRGNCARGETDCRFAHPADSTMVDTNDNSVTVCMDYIKGRCMREKCKYFHPPAHL
+QAKIKAAQHQANQAAVAAQAAAAAATVMTQSTAKAMKRPLEASVDLAFPPGALHPLPKRQ
+ALEKSNGASTVFNPSVLQYQQALTSAQLQQHTAFIPTDNSEIISRNGMECQESALRITKH
+CYCTYYPVPSSIELPQTAC
+>tr|A0A3Q1MIK5|A0A3Q1MIK5_BOVIN Transporter OS=Bos taurus OX=9913 GN=SLC6A14 PE=3 SV=1
+MVGYAVGLGNVWRFPYLTYNNGGGAFLIPYVIMLALAGLPLFFLECSMGQFSSLGPVSVW
+RILPLFQGVGITMVLISLFVTIYYNVIIAYSLYYLFASFQRELPWSKCSISWADGNCSRS
+PFVTHCNVSISINEIFQANKSWVDANNLTCINGSEVYQTGQLPSEQYWNKVALQRSSGLD
+ETGVIVWYLALCLLLAWLIVGAALFKGIKSSGKVVYFTALFPYVVLLILVIRGATLEGAS
+KGISYYIGAQSNFAKLKDAEVWKDAATQIFYSLSVAWGGLVALSSYNKFNNNCYSDAIVV
+CVTNCLTSVFAGFAIFSILGHMAHISGKEVTQVVKSGFDLAFIAYPEALAQLPGGPFWSV
+LFFFMLLTLGLDSQFASIETITTTIQDLFPKVMKKMRAPITLGCCLVLFFLGLICVTQAG
+IYWVNLIDHFCAGWGILIAAILEIIGVVWIYGGNRFIDDIEMMIGAKRWIFWLWWRTCWF
+VITPILLMSILIWSVIQFRRPKYGQIPYPNWGVALGWCMITFCIIWIPVMAIINIIQAKG
+NIFQRIATCCRPAANWGPYLEKHRGERYENMSDSKKETNHEISNISDSRKPE
+>tr|A2VE26|A2VE26_BOVIN EXTL3 protein OS=Bos taurus OX=9913 GN=EXTL3 PE=2 SV=1
+MTGYTMLRNGGAGNGGQTCMLRWSNRIRLTWLSFTLFIILVFFPLIAHYYLTTLDEADEA
+GKRIFGPRAGSELCEVKHVLDLCRIRESVSEELLQLEAKRQELNSEIAKLNLKIEACKKS
+IENAKQDLLQLKNVISQTEHSYKELMAQNQPKLSLPIRLLPEKDDAGLPPPKAQRGCRLH
+NCFDYSRCPLTSGFPVYVYDSDQFAFGSYLDPLVKQAFQATARANVYVTDNADIACLYVI
+LVGEMQEPAVLRPADLEKQLLSLPHWRTDGHNHVIISLSRKSDTQNLLYNVSTGRAMVAQ
+STFYAAQYRPGFDLVVSPLVHAMSEPNFMEIPPQVPVKRKYLFTFQGEKIESLRSSLQEA
+RSFEEEMEGDPPADYDDRIIATLKAVQDSKLDQVLVEFTCKSQPRASLPTEWALCGERED
+RLELLKLSTFALIITPGDPRLLVSSGCATRLFEALEVGAVPVVLGEHVQLPYQDVLQWSE
+AALVVPKPRVTEVHFLLRSLSDSDLLAMRRQGRFLWETYFSTTDSIFSTVLAVIRTRIQI
+PAAPIREEAAAEIPHRSGKAAGTDPNMADTGDLDLGPVETEPPYASPKYLRNFTLTVTDL
+YRSWNSAPGPFHLFPHTPFDPVLPSEAKFLGSGTGFRPIGGGAGGSGKEFQAALGGNVPR
+EQFTVVMLTYEREEVLMNSLERLNGLPYLNKVVVVWNSPKLPSEDLVWPDIGVPIMVVRT
+EKNSLNNRFLPWNEIETEAILSIDDDAHLRHDEIMFGFRVWREARDRIVGFPGRYHAWDI
+PHQSWLYNSNYSCELSMVLTGAAFFHKYYAYLYSYVMPQAIRDMVDEYINCEDIAMNFLV
+SHITRKPPIKVTSRWTFRCPGCPQALSHDDSHFHERHKCINFFVKVYGYMPLLYTQFRVD
+SVLFKTRLPHDKTKCFKFI
+>tr|E1BLE7|E1BLE7_BOVIN NIPA like domain containing 3 OS=Bos taurus OX=9913 GN=NIPAL3 PE=4 SV=1
+MDGAHGAALQLQQLLPTSSANPVREASFSYKENLIGALLAIFGHLVVSIALNLQKYCHIR
+LAGSKDPRAYFKTKTWWLGLFLMLLGELGVFASYAFAPLSLIVPLSAVSVIASAIIGIIF
+IKEKWKPKDFLRRYILSFVGCGLAIVGTYLLVTFGPNSHEKMTGDNIIRHLVSWPFLLYM
+LVEIILFCLLLYFYKERNANNIVVILLLVALLGSMTVVTVKAVAGMLVLSIQGNLQLDYP
+IFYVMFVCMVATAIYQAAFLGQASQMYDSSLIASVGYILSTTVAITAGAVFYLDFLGQDV
+LHVCMFALGCLIAFLGVFLITRNRKKAIPFEPYISMDAMPGMQNMHDKGMTVQPDLKASF
+SYGALENNDNISEIYAPATLPVMQEEHGSRNASGVPYRVLEHTKKE
+>tr|Q5EA56|Q5EA56_BOVIN Ring finger and WD repeat domain 1 isoform 1 OS=Bos taurus OX=9913 GN=TRAF7 PE=2 SV=1
+MSSGKGARYNRFSGGPSNLPTPDTAGTRMETTFGPAFSAVTTITKADGTSTYKQHRRTPS
+SSSSLAYSPRDEEDSMPPISTPRRSDSAISVRSLHSESSMSLRSTFSLPEEEEEPEPLVF
+AEQPSVKLCCQLCCSVFKDPVITTCGHTFCRRCALKSEKCPVDNAKLTVVVNNIAVAEQI
+GELFIHCRHGCRAVGGGKPSVFEVDPRGCPFTIKLSARKDHEGSCDYRPVRCPNNPSCPP
+LLKMNLEAHLRECEHIKCPHSKYGCTFIGNQDTYETHLETCRFEGLKEFLQQTDDRFHEM
+HVALAQKDQEIAFLRSMLGKLSEKIDQLEKSLELKFDVLDENQSKLSEDLMEFRRDASML
+NDELSHINARLNMGILGSYDPQQIFKCKGTFVGHQGPVWCLCVYSMGDLLFSGSSDKTIK
+VWDTCTTYKCQKTLEGHDGIVLALCIQGCKLYSGSADCTIIVWDIQNLQKVNTIRAHDNP
+VCTLVSSHNMLFSGSLKAIKVWDIVGTELKLKKELTGLNHWVRALVAAQTYLYSGSYQTI
+KIWDIRTLDCIHVLQTSGGSVYSIAVTNHHIVCGTYENLIHVWDIESKEQVRTLTGHVGT
+VYALAVISTPDQTKVFSASYDRSLRVWSMDNMICTQTLLRHQGSVTALAVSRGRLFSGAV
+DSTVKVWTC
+>tr|Q29RM0|Q29RM0_BOVIN Solute carrier family 35 member C2 OS=Bos taurus OX=9913 GN=SLC35C2 PE=2 SV=1
+MGRCAPDVAFVWRAVLTLGLVLLYYCFSIGITFYNKWLTKSFHFPLFMTMLHLAVIFLFS
+ALSRALAQCSSHRARVVLSWPDYLRRVAPTALATALDVGLSNWSFLYITVSLYTMTKSSA
+VLFILIFSLIFKLEELRAALVLVVLLIAGGLFMFTYKSTQFNIEGFALVLGASFIGGIRW
+TLTQMLLQKAELGLHLSTSEKIFRFQDTGLLLRVLGSLFLGGILAFGLGFSEFLLVSRTS
+SLTLSIAGIFKEVCTLLLAAHLLGDQISLLNWLGFALCLSGISLHIALKALHARGDGAPK
+PLKGLGSNPDLELLLRSSQPEDEDNEEEEYFVAQGQQ
+>tr|A6QQE4|A6QQE4_BOVIN CHRM4 protein OS=Bos taurus OX=9913 GN=CHRM4 PE=2 SV=1
+MTVLYVHISLASRSRVHKHRPEGPKEKKAKTLAFLKSPLMKQSVKKPPPPGDTTARGELR
+NGKLEEAPPPVLPPPPRPMADKDTSNESSSGSATQNTKERPPTELSTTEATTPATPAPPL
+QPRTLNPASKWSKIQIVTKQTGNECVTAIEIVPATPAGMRPAANVARKFASIARSQVRKK
+RQMAARERKVTRTIFAILLAFILTWTPYNVMVLVNTFCQSCIPETVWSIGYWLCYVNSTI
+NPACYALCNATFKKTFRHLLLCQYRNIGTAR
+>tr|F1MX94|F1MX94_BOVIN 6-pyruvoyl tetrahydrobiopterin synthase OS=Bos taurus OX=9913 GN=PTS PE=3 SV=2
+MNGAGSGPRRRARVSRLVSFSATHRLHSKSLSNEENLKLFGKCNNPNGHGHNYKVVVTVH
+GEVDPVTGMVMNLTDLKKYMEEAIMKPLDHKNLDLDVPYFADIVSTTENVAVYIWENLQK
+FLPVGVLYKVKVYETDNNIVVYKGE
+>tr|B6VAE1|B6VAE1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=2 SV=1
+MWCQRGCARSLPPAGRTPNPQNDADPRRQFPPWGADEAPGNRTSGIIPSIEIGCWAEEAK
+LRHQERGEGLQ
+>tr|A6H7E6|A6H7E6_BOVIN CCRK protein OS=Bos taurus OX=9913 GN=CDK20 PE=2 SV=1
+MEQYCILGRIGEGAHGIVFKAKHVETGEIVALKKVALRRLEDGIPNQVLREIKALQEIED
+SQYVVQLKAVFPHGAGFVLAFEFMLSDLAEVVRHTQRPLAQAQVKSYLQMLLKGVAFCHA
+NNIVHRDLKPANLLISASGQLKIADFGLARVFSPDGNRLYTHQVATRWYRAPELLYGARQ
+YDQGVDLWAVGCILGELLNGSPLFPGENDIEQLCCVLRILGTPSPQVWPEITELPDYNKI
+SFKEQAPVPLEEVLPDASPQALDLLGRFLLYPPQQRISASQALLHHYFFTAPLPVHPSEL
+PIPQRPGGPAPKAHPGPPHVHDFHVDRPLEESLLNPELIRPFIPEG
+>tr|A5D7D9|A5D7D9_BOVIN DEAH-box helicase 15 OS=Bos taurus OX=9913 GN=DHX15 PE=1 SV=1
+MSKRHRLDLGEDYPSGKKRAGTDGKDRDRDRDREDRSKDRDRERDRGDREREREKEKEKE
+LRASTNAMLISAGLPPLKASHSAHSTHSAHSTHSTHSAHSAHTGHAGHTSLPQCINPFTN
+LPHTPRYYDILKKRLQLPVWEYKDRFTDILVRHQSFVLVGETGSGKTTQIPQWCVEYMRS
+LPGPKRGVACTQPRRVAAMSVAQRVADEMDVMLGQEVGYSIRFEDCSSAKTILKYMTDGM
+LLREAMNDPLLERYGVIILDEAHERTLATDILMGVLKEVVRQRSDLKVIVMSATLDAGKF
+QIYFDNCPLLTIPGRTHPVEIFYTPEPERDYLEAAIRTVIQIHMCEEEEGDLLLFLTGQE
+EIDEACKRIKREVDDLGPEVGDIKIIPLYSTLPPQQQQRIFEPPPPKKQNGAIGRKVVVS
+TNIAETSLTIDGVVFVIDPGFAKQKVYNPRIRVESLLVTAISKASAQQRAGRAGRTRPGK
+CFRLYTEKAYKTEMQDNTYPEILRSNLGSVVLQLKKLGIDDLVHFDFMDPPAPETLMRAL
+ELLNYLAALNDDGDLTELGSMMAEFPLDPQLAKMVIASCDYNCSNEVLSITAMLSVPQCF
+VRPTEAKKAADEAKMRFAHIDGDHLTLLNVYHAFKQNHESVQWCYDNFINYRSLMSADNV
+RQQLSRIMDRFNLPRRSTDFTSRDYYINIRKALVTGYFMQVAHLERTGHYLTVKDNQVVQ
+LHPSTVLDHKPEWVLYNEFVLTTKNYIRTCTDIKPEWLVKIAPQYYDMSNFPQCEAKRQL
+DRIIAKLQSKEYSQY
+>tr|A6QLQ5|A6QLQ5_BOVIN PHD finger protein 14 OS=Bos taurus OX=9913 GN=PHF14 PE=2 SV=1
+MDRSSKRRQVKPLAASLLEALDYDSSDDSDFKVGDASDSEGSGNGSEDPSKDSGEGSCSD
+SEENILEEELNEDVKVKEEQLKNSAEEEVLSSEKQLIKVEKKEEEENGERPRKKKEKEKE
+REKEKEKEREKEKEKATVSDNVAAAASTTPATSPPAAHTCPSGPAATAAEEQVSEPKKWN
+LRRNRPLLDFVSMEELNDMDDYDSEDDNDWRPTVVKRKGRSASQKEGSDGDNEDDEDEGS
+GSDEDENDEGNDEEHSSPASEGGCKKKKSKVLSRNSADDEELTNDSLTLSQSKSNEVDQP
+NFYDICLSGEKGILL
+>tr|A0A3Q1MBT5|A0A3Q1MBT5_BOVIN Nucleotide binding oligomerization domain containing 1 OS=Bos taurus OX=9913 GN=NOD1 PE=4 SV=1
+MEKHGRSKMEIVPSESHSFIKLLKVNREHLVTHIRNTQCLLDNLLQNDYFSNEDAEIVCA
+CPTQPDKVRRILDLVQSKGEEVSEFFLHVIQQLADAYVDLRPWLSEIGFSPSPLIQSKAV
+VNTDPVSGYSQKLQQQLGRDSKFILCYAQKEELLLEQMYTDTVVELVNFSNESLGSLDSL
+ACLLDASTGVLNEQGEIIFVFGDAGMGKSMLLQRLQSLWAAGQLDPGIKFFFHFRCRTLS
+CFKKSAALCLQDLLFKHYCYPEQDPGEVFAFLLRFPHTALFTFDGLDELHSDFDLSSEPD
+TSSPWEPAHPLALLASLLSGKLLKGARKLLTARTGVEVPRHLLWKKVLLRGFSPSHLRAY
+TGRMFPEHAVRQRLLDQLEANPNLCSLCAVPLFCWIIFRCFQHFHDAFESSPQLPDLTVT
+LTDIFLLVTEVHLNRTQPTSLVQQNTRSQTETLRAGRDTLCSLGRVAHRGMEKSLFVFNQ
+DEVQASEVREGDLQLGFLRTMPELGLGGEQQSYEFFHLTLQAFFAALFLVVDDKVGTREL
+LRFFQEWTPPEEAVATSTCYPPFLPVHCLGGHDLHGEDPFKNKDHFHFTNLFLCGLLSKG
+KQKLLQHLVPTAALRRKRKALWTHLFASLRSHLKSLPRVQSGGFNQVQALPTFIWMLRCI
+YETQSEKVGQLAARGICANYLKLTFCNACSADCSALSFVLHHLRKRLALDLDNNNLNDFG
+VRELQPCFSRLTVIRLSVNQITDSGVKVLYEELTKYKILTFLGLYNNQITDVGARYIARI
+LDECKGLTHLKLGKNKITSEGGKSLALAVKNSKSIFEVGMWGNQIGDEGAKAFAEALRNH
+PSLTNLRLTKNELDDEVAESFAEMLKVNQTLKHLWLIQNQITAKGIAQLAEALQKNTGIM
+EICLNGNLIKPEEAKVFEDEKRIICF
+>tr|A0A3Q1M6P1|A0A3Q1M6P1_BOVIN MOB kinase activator 3A OS=Bos taurus OX=9913 GN=MOB3A PE=4 SV=1
+MSNPFLKQVFNKDKTFRPKRKFEPGTQRFELHKKAQASLNAGLDLKLAVQLPAGEELNDW
+VAVHVVDFFNRVNLIYGTISDGCTERSCPIMSGGPKYEYRWQDENKFRRPTALSAPRYMD
+LLMDWIEVQINNEDVFPTNVGTPFPKNFLQVVKKILSRLFRVFVHVYIHHFDRIAQLGSE
+AHVNTCYKHFYYFVTEFGLIDTKELEPLVSMERRGVGSGCAGSPHYSGPEGLLPAVGVPI
+PPASGRRARSYFFFWF
+>tr|A6QPT8|A6QPT8_BOVIN BTNL9 protein OS=Bos taurus OX=9913 GN=BTNL9 PE=2 SV=1
+MDFSVFLNSYQRGRLPSSLFFLTHLLLLLQPRVLCSEEIRVVGHEEPILAHVGDEVEFSC
+HLSPYRDAQHMEILWFWSQASNVVHLYREQQEFYGWQMKQFQNRTQLIRDDIIDGSVTLR
+LHLVVPADQGLYGCRFLSSDFTGEATWELEVAGLGSDPHISIEGFKEGGIQLRCHSNGWY
+PKPQAQWRDRHGRCLPPETENITEDVQGLFSLETSVVVQEGAHSNVSCSIQNHLLGQKKE
+FVVHIADVFLPGTSPWKRAFLGTLVGLPLLLALLMMLVLYFFRKQRKSQEKLKKQAERDK
+GKLTVELGKLQVELDWRRAEGQAEWRAAQRHAVDVTLDPGSAHPSLEVSEDGKSVSSLRT
+APGSENPQWLSEQTCVLSRELFSTGRHYWEVHVGRRSRWFLGVCLAAVPRAGHARMSPAT
+GYWVMGLWNSCEYFVLDQHRVPLSVRVPPRCVGIFLDIEAGKLSFFNVSDGSHIFTFTDT
+FPGMLCAYFRPRAHDGSEHPDPLTICPLPVGEKHVLEEEDSDAWLQPYESSNTDLGL
+>tr|Q2YDK6|Q2YDK6_BOVIN Dickkopf like acrosomal protein 1 OS=Bos taurus OX=9913 GN=DKKL1 PE=2 SV=1
+MWHQLFPLLLLSSASVPPSTAAPIRDGDVQESSSGFLGLQSLLQGFTRLFLKDDLLRGMD
+SFFSAPMDFRGLPRNYHQEENQERRLGNNTLSSHLQIDKVTDNKTGEVVISEKVVASIEP
+GEGSLEGDWKVPKIEEKEALAPVPKAVDSFHPEPHPRVAVWIMKLPRRRSHQNTQEGGHW
+LSEKRHRLQAIRDGLREGTREDGLEESVQSSSHSRLPARKAHFLYILRPSQQL
+>tr|D0PWG1|D0PWG1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=2 SV=1
+MHSEIEGKLTLGLTPISKGPYTGLVYKEDWLTSNSNEDDAQTAKYTVLGREEDDIRSRQL
+AQDARPDS
+>tr|A0A3Q1M5Q0|A0A3Q1M5Q0_BOVIN Kruppel like factor 15 OS=Bos taurus OX=9913 GN=KLF15 PE=4 SV=1
+MVDHLLPVDETFSSLKRPICFLGDGRAYHMLPSPLSEDDSDASSLCSCASPDSQTLCSCY
+GGGRGAEGQDSILDFLLSQATLGSGVAAHGSPMAWGTWRKTPGPVKGEHFSFPEFPVGNP
+DDVPRPFQPTLEEIEEFLEENMEPAVKQAPEGSGKDLDTCGQLSTGPHRGHLHPGASGRE
+RCAPPPGAGAAGSQGPAGAPAPDPPVPLLLQIQPVPVKQESGAEPASPGQPPEAVRVAQL
+LVSIQGQTFTLVPQVLPSSSLSLPSKFVRIAPVPIAAKPLGSGPLGPGSTGLLVGQKFPK
+NPAAELIKMHRCTFPGCSKMYTKSSHLKAHLRRHTGEKPFACTWPGCGWRFSRSDELSRH
+RRSHSGVKPYQCPVCEKKFARSDHLSKHVKVHRFPRSSRLPRP
+>tr|A5D9H3|A5D9H3_BOVIN Transmembrane protein 184C OS=Bos taurus OX=9913 GN=TMEM184C PE=2 SV=1
+MRDEKTAQEQGSALPSTSSFFSFLLGADLCGNMPCTCTWRNWRQWIRPLAVVLYLLSIVV
+AVPLCVWELQKLEVGIHTKAWFIAGIFLLLTIPISLWVILQHLVHYTQPELQKPIIRILW
+MVPIYSLDSWIALKYPSIAIYVDTCRECYEAYVIYNFMGFLTNYLTNRYPNLVLIIEAKD
+QQKHFPPLCCCPPWTMGEVLLFRCKLGVLQYTVVRPFTTIIALVCELLDIYDEGNFSFSN
+AWTYLVIINNMSQLFAMYCLLLFYKVLKEELSPIQPVGKFLCVKLVVFVSFWQAVVIALL
+VKVGVISEKHTWEWQTVEAVATGLQDFIICIEMFLAAIAHHYTFSYKPYVQEAEEGSCFD
+SFLAMWDVSDIRDDISEQVRHVGRTVMGHPRKKFFPEDQDQNEHTSLLSSSSQDALSVAS
+SVPPSPVGHYQGFGHTVTPQTTPTTANASDDTGNDAAGVREEPSEKPVAS
+>tr|Q0P5H6|Q0P5H6_BOVIN Transducin (Beta)-like 2 OS=Bos taurus OX=9913 GN=TBL2 PE=2 SV=1
+MELPQMPELMGLSLLLGLLALVATAAVARGWLRAEEETSGRSAGQKANGCPPDKSLKSKK
+QKLQQRIRKEKPQQHNFTHRLLAAALKSHSGNITCMDFSSNGKYLATCADDRTVRIWSTK
+DFLQREHRSMRANVELDHAILVRFSPDCRAFIVWLANGDTLRVFKMMKRDDGGYTFTATP
+EDFPKKHKAPIVNIGIADTGKFIMTASSDTTVLIWNLKGRVLSTINTNHMSNTYAAISPC
+SRFVASCGFTPDVKVWEVCFGKKGDFQEVVRAFELKGHSAAVHFFAFSNDSRRMASVSKD
+GTWKLWDTDVEYKKQQDPYLLRTGRFEEASTVPCRLALSPDAQVLALASGSSIHLYNTRR
+GEKEESFEQVHGECISDLSFDITGRLLASCGDRAVRLFHNTPGYRAVVEEMQALLKRASN
+ESTRQRLQQQLTQAQEALKNLGALKK
+>tr|Q2KIR6|Q2KIR6_BOVIN Brain expressed X-linked 2 OS=Bos taurus OX=9913 GN=BEX2 PE=2 SV=1
+MASKEEQAVKNRNMKDANQENEKKDGKDQDANKREPMALLSGASEYYVPRGNRRRFRVRQ
+PILHYRWDVTQRLGEPQARMREENMERIGEEVRQLMEKLREKQLSHSLRAVSTDPPYHEH
+HDEFCLMP
+>tr|F1MBF2|F1MBF2_BOVIN Upstream transcription factor family member 3 OS=Bos taurus OX=9913 GN=USF3 PE=4 SV=3
+MPEMTENETPTKKQHRKKNRETHNAVERHRKKKINAGINRIGELIPCSPALKQSKNMILD
+QAFKYITELKRQNDELLLNGGNNEQAEEIKKLRKQLEEIQKENGRYIELLKANDICLYDD
+PTIHWKGNLKNSKVSVVIPSDQVQKNIIVYSNGSQPGGNSQGTAVQGITFNVGHNLQKQT
+ANVVPVQRTCNLVTPVSISGVYPSENKPWHQTTVSALAANQPVPLCLPAALSAQNILELS
+TSESESSVLGPTSSSLVAVPVGPEPPQHCSSHTCLNDQNSSENKKGQESPQVLKKTVPCA
+TSISSSCSATATQGQPGSESCRSTQDHRSDLQTTLVVSVTTAVCSQPPRPAGDSCMASSS
+KGADSASVTMVVAPSVPGGGMITMPVSTLSANPMDSGWTLSCSLPSSAVSTADLKNMNSL
+TRISSAGNTQTTWTTLQLAGNTIQPLSQTPSSAVTPVLNESGTSPTTANHSRCVAPGISL
+NNSFPAEGQPVEQVVVTLPSCPPLPMQPLITQPQVKSQPPKSILPLNSAMQVIQMAQPVG
+SAVNAAPANQNVIILQPPSTTPCPTVMRAEVPNQTVGQQIVIIQAANQNTLPLLSAPPPG
+SVRLPVNGASAIIGSNNSVQNVSTPQTFGGKHLVHILPRPTSLSASNSTQTFSVTVSSQQ
+QPQTISLNGQLFALQPVMSSSGTTNQTPMQIIQPTTSEDPNTNVALNTFGALASLNQSIS
+QMAGQSCVQLSVSQPVHPQTAANSQITPANCVSLTTVAPPMTTDNSATLPSTYNLVTTPS
+VNTVACVPNTKAKRLNKKPGVKKHLATNKSACSLNAVRDVGKSDGPSTEGSAEPSCNDGL
+LESLPGVLPSAIVSQTNSVSVSGSHSLNVLNSESVMPESVPKSKSAEESSPPSQESVTSE
+QFTMAPAKSKDFLPISQRETSQDTPPSSLALPDAAKSCTSANVLISSADDAPVLVSQVSH
+LSSTTSTTSTDCVSEVGVIADPCRVQQASSDTVPTTGLLKGQGLTALLSGLAKEKDPQKS
+SLSVQVDHPDFSSENSKIVDSNVELHPKQELLLMSSDDRDPGQPHSCIPDQEVINGSLLT
+SRQADSPMSTSSGSSRSFSVASMLPETAREDVTSNAATNTCDSCTFVEQTDIVALAARAI
+FDQENLEKGRAGTQVPADIREVPSKPSEASSLEGDQPFKTQISKESGPGQAEATPNEFNS
+QDSIEATVDRPLEKPSCSVGMKTSNASLQVSTSQPPSITSLSVNNLIHQSTLSHPLVSCT
+GLSQTSEQTAVPTTVNLTVSSGSYGNQPPGPSLMTEYSQEHLNTMAGTVPNTPIQEPLLK
+PSHESRKDSTKRAVQDDLLLSSAKRQKHCQPAALRLEGLSLMSRTPDSISDQTQMMVGQI
+PPNSSNSVVPISNPAHGDGLTRLFPPSNNFVVPALRQTEVQCGSQASIAEQQPAQAGQHL
+QALQQHVPAQGVPHLHSNHVYLKQQQQAGQLRERHHLYQLQRHVPHAESSVHSQAHSVHQ
+QRTLQQEVQMQKKRNLVQGTQASQLSLQPKHHGTDQSRPKSGQPHPHHQQMQQQMQQHFG
+SSQAEKSCENPSTSRNHQNHLSQDILHQQEVGSRQQAPGVSSEHVSGHNPMQRLLTSRGI
+EQQMASQSSIVTRPSDMTCAPHRPERNRVSSYSAEALIGKTSSNSEQRMGISIQGSRVSD
+QLEMRSYLDVPRNKSLAIHNMQGRVDHTASDIRLSDCQTFKPSGASQQPQNNFEVQSSRN
+NEIGNPVSSLRSMQPQAFRISQNPGPPPVDRQKRLPYPPVQSIPTGNAIPTRDNENTCHQ
+SFMQSLLAPHLGDQVIGSQRSLSEHQRNTQCGPSSAVEYNCPPSHESVHIRRDSESQSRE
+SCDMSLGAINTRNSTLNIPFSSSSSSGDIQGRNTSPNVSVQKSNPMRITDSHGTKGHMNP
+PVTTNMHGVARPALPHPSASHGNADQGPPVRQTSSSVPQRSRHPLPDSGGSKIRPPERTR
+SGNPRHSNVFDPSLPHLPLSTGGSMILGRQQPAAEKRGSIVRFMPDSPQVPNDNSVPDQH
+TLSQNFGFPFIPEGGMNPPINANASFIPQVTQPSATRTPALIPVDPQNTLPSFYPPYSPA
+HPTLSNDISIPYFPNQMFSNPSTEKVNSGSLNNRFGSILSPPRPVGFAQPSFPLLPDMPP
+MHMTNSHLSNFNMTSLFPEIATALPDGSAMSPLLTIANSSASDSSKQSSNRPAHNISHIL
+GHDCSSAV
+>tr|F1MG12|F1MG12_BOVIN Cyclin-H OS=Bos taurus OX=9913 GN=CCNH PE=3 SV=2
+MYHNSSQKRHWTFASEEQLARLRADANRKFKCKAVANGKVLPNDPVFLEPHEEITLCKYY
+EKRLLEFCSVFKPAMPRSVVGTACMYFKRFYLNNSVMEYHPRIIMLTCAFLACKVDEFNV
+SSPQFVGNLRESPLGQEKALEQILEYELLLIQQLNFHLIVHNPYRPFEGFLIDLKTRYPL
+LENPEILRKTADDFLNRVALTDAHLLYTPSQIALTAILSSASRAGITMESYLSESLMLKE
+NRTSLSQLLDIMKSMRNLVKKYEPPRPEEVAALKQKLERCHSAELALNVVTKKRKGYEDD
+DYVSKKSKHEEGSFM
+>tr|G5E6J3|G5E6J3_BOVIN Mediator of RNA polymerase II transcription subunit 16 OS=Bos taurus OX=9913 GN=MED16 PE=3 SV=2
+MDLAYICEWEKWPKSTHCPSGPLACTWSCRNLIAFTTDLRSDDQDLTRMIHILDTEHPWD
+VHSIRSEHSEAITCLEWDQSGSRLLSADADGQIKCWSMADHLANSWESPVGSVVEGDPIV
+ALSWLHNGVKLALHVEKSGASSFGEKFSRVKFSPSLTLFGGKPMEGWIAVTVSGLVTVSL
+LKPSGQVLTSTESLCRLRGRVALADIAFTGGGNIVVATADGSSASPVQLYKVCVSVVNEK
+CRIDTELLPSLFMRCTTDLSRRDRLPAITHLKFLARDMSEQVLLCASSQTSSVVECWSLR
+KEGLPVNNIFQQLSPAVGDKQPTILKWRILSATNDLDRVSAVALPKLPISLTNTDLKVAS
+DTQFYPGLGLALAFHDGSVHIVHRLSLQTMAIFYSSAAPRPIDEPALKRPRTTGPAVHFK
+AMQLSWTSLALVGIDNHGRLSMLRISPSMGHSLDVGLALRHLLFLLEYCMVTGYDWWDIL
+LHVQPGMVQSLVEKLHEEYTRQKAELQQVLSTRILAMKASLCKLSPCTVTRVCDYHAKLF
+LIAISSTLKSLLRPHVLNTPDKSPGDRLTEICAKITDVDIDKVMINLKTEEFVLDMNTLQ
+ALQQLLQWVGDFVLYLLASLPNQGSPLRPGHSFLRDGTSLGMLRELMVVIRIWGLLKPSC
+LPVYTATSDTQDSMSLLFRLLTKLWICCRDEGPTSEPDEALVDECCLLPSQLLIPSLDWL
+PVSDGLVSRLQPKQPLRLHFGKAPALPGSSTGLQLDGLIRAPGQPKMDHLRRLHLGAYPT
+EACKACTRCGCVTMLKSPNKTTAVKQWEQRWIKNCLCGGLWWRMPLSYP
+>tr|Q3MHX9|Q3MHX9_BOVIN Nudix (Nucleoside diphosphate linked moiety X)-type motif 16-like 1 OS=Bos taurus OX=9913 GN=NUDT16L1 PE=2 SV=1
+MSAAAVPELKRISRVEAMRLGPGWSHSCHAMLYAANPGQLFGRIPMRFSVLMQMRFDGLL
+GFPGGFVDRRFWSLEDGLNRVLGLGLGCLRLTEADYLSSHLTEGPHRVVAHLYARQLTLE
+QLHAVEISAVHSRDHGLEVLGLVRVPLYTQKDRVGGFPNFLSNAFISTAKYQLLFALKVL
+NMMPEEKLAEALAAATEKQKKALEKLLPSSS
+>tr|Q3ZBN3|Q3ZBN3_BOVIN C-C motif chemokine OS=Bos taurus OX=9913 GN=CCL19 PE=2 SV=1
+MAFQTASLLAVSLLILWVSPALGGANDAEDCCLSVTQRPIPVFLVRAYRYLLLKDGCRLP
+AVVFTTQRGHELCAPPDQPWVGRIIRRLKKNSARRSVPAVTL
+>tr|Q2KIS4|Q2KIS4_BOVIN Dehydrogenase/reductase (SDR family) member 1 OS=Bos taurus OX=9913 GN=DHRS1 PE=2 SV=1
+MPATMKGQVCVVTGASRGIGRGIALQLCQAGATVYITGRHLDTLQATAQEAQSRGGRCVP
+VVCDSSQESEVRNLFEQVDREQQGRLDVLVNNAYAGVQPILNNSKKSFWESPASIWDDIN
+NVGLRGHYLCSVYGARLMVPAGRGLIVIISSTGGLHYLFNVPYGVGKAACDRMAADCAQE
+LRRHGVSYVSLWPGLVQTELLKEHMMKENASDPLIKQFKFRFSSAETTEMSGKCVVALAT
+DPNILSLSGKVLPSCDLARRYGLQDVDGRPVQDYLSLSSALSHVSNLGWLASYLPGFLRV
+PKWVMTLYASKF
+>tr|A6QQN3|A6QQN3_BOVIN MKS1 protein OS=Bos taurus OX=9913 GN=MKS1 PE=2 SV=1
+MQVAGRTDGFLPTLTLIDTPIWRRYHSFPRRQTQHCQKMTTAASEMPSFLVERMANVRRR
+RQDRRGTEGGILKSRIVTWEPSEEFIRNNHVINTPLQTMYIMADLGPYGKLGYKKHEHVL
+CTLKVDSNGVITVKPDFTGSKGPYRIETEGEKQELWKYTVDNVSSLAQPEEEEREQRVFK
+DLYGRHKEYLNSLVGTDFEMTAPGALRLFVNGEVVSAQGYEYDNLYVHFFVELPTSNWSS
+PAFQQLSGITQTCATKSRGMDNVAYFSYPFTFEASFLHEDESVDALPEWPVLYCEVLSLD
+FWQRYRVEGYGAVVLPATPGSHTLTVSTWRPLELSPVAELRRFFIGGSLELEDLSYVWIP
+GTFKGERLSRFGLRTETTGSVTFRLHCLQQSRAFMESSSLRKRMRSVLDRLEGFSQQSSI
+QNVLEAFRRARRRMQEARESLPQDLVSPSVTSVS
+>tr|Q6QTG9|Q6QTG9_BOVIN Cytochrome c oxidase subunit 1 OS=Bos taurus OX=9913 GN=COX1 PE=3 SV=1
+MFINRWLFSTSHKDIGTLYLLFDAWAGMVGTALSLLIRAELGQPGTLLGDDQIYNAVVTA
+HAFVMIFFMVMPIMIGGFGNWLVPLMIGAPDMAFPRMNNMSFWLLPPSFLLLLASSMVEA
+GAGTGWTVYPPLAGNLAHAGASVDLTIFSLHLAGVSSILGAINFITTIINMKPPAMSQYQ
+TPLFVWSVMITAVLLLLSLPVLAAGITMLLTDRNLNTTFFDPAGGGDPILYQHLFWFFGH
+PEVYILILPGFGMISHIVTYYSGKKEPFGYMGMVWAMMSIGFLGFIVWAHHMFTVGMDVD
+TRAYFTSATMIIAIPTGVKVFSWLATLHGGNIKWSPAMMWALGFIFLFTVGGLTGIVLAN
+SSLDIVLHDTYYVVAHFHYVLSMGAVFAIMGGFVHWFPLFSGYTLNDTWAKIHFAIMFVG
+VNMTFFPQHFLGLSGMPRRYSDYPDAYTMWNTISSMGSFISLTAVMLMVFIIWEAFASKR
+EVLTVDLTTTNLEWLNGCPPPYHTFEEPTYVNLK
+>tr|E1BKI3|E1BKI3_BOVIN Nitric oxide synthase OS=Bos taurus OX=9913 GN=NOS1 PE=3 SV=3
+MESHMFSVQQIQPNVISVRLFKRKVGGLGFLVKERVSKPPVIISDLIRGGAAEQSGLIQA
+GDIILAVNGQPLVDLSYDSALEVLRGIASETHVVLILRGPEGFTTHLETTFTGDGTPKTI
+RVTRPLGPPTAAVDLSHQASAGREQTLVVDGATGPGNGPQHAPDPGQEASSLAHANGLAA
+RPPGQDPAKKSTGVALQGSGENNKLLKEIEPVLNLLTSGGKAINGGGPAKTETKDVEIQV
+DRDLDGKSHKPLPLGVENDRVFSDLWGKGSMPVVLNNPYSEKEQPPASGKQSPTKNGSPS
+KCPRFLKVKNWETDVVLSDTLHLKSTLGTGCTEHICMGSIMLPSQQIRKPEDVRTKEQLF
+PLAKEFIDQYYSSIKRFGSKAHMERLEEVNKEIETTSTYQLKDTELIYGAKHAWRNASRC
+VGRIQWSKLQVFDARDCTTAHGMFNYICNHIKYATNKGNLRSAITIFPQRTDGKHDFRVW
+NSQLIRYAGYKQPDGSILGDPANVEFTEICIQQGWKPPRSRFDVLPLLLQANGNDPELFQ
+IPPELVLEVPIRHPKFEWFKDLGLKWYGLPAVSNMLLEIGGLEFSACPFSGWYMGTEIGV
+RDYCDSSRYNILEDVAKKMNLDMRKTSSLWKDQALVEINIAVLYSFQSDKVTIVDHHSAT
+ESFIKHMENEYRCRGGCPADWVWIVPPMSGSITPVFHQEMLNYRLTPSFEYQPDPWNTHV
+WKGTNGTPTKRRAIGFKKLAEAVKFSAKLMGQAMAKRVKATILYATETGKSQAYAKTLCE
+IFKHAFDAKVMSMEEYDIVHLEHETLVLVVTSTFGNGDPPENGEKFGCALMEMRNPNSVH
+EERKSYKVRFNSVSSYSDSRKSSGDGPDLRDNFESTGPLANVRFSVFGLGSRAYPHFCAF
+GHAVDTLLEELGGERILKMREGDELCGQEEAFRTWAKKVFKAACDVFCVGDDVNIEKANN
+SLISNDRSWKRNKFRLTYVAEAPELTQGLSSVHKKRVSAARLLSRQNLQSPKSSRSTIFV
+RLHTNGSQELQYQPGDHLGVFPGNHEDLVNALIERLEDAPPANQLVKVELLEERNTALGV
+ISNWTDEHRLPPCTIFQAFKYYLDITTPPTPLQLQQFASLATSEKEKQRLLVLSKGLQEY
+EEWKWGKNPTIVEVLEEFPSIQMPSTLLLTQLSLLQPRYYSISSSPDMYPDEVHLTVAIV
+SYRTRDGEGPIHHGVCSSWLNRIQADEVVPCFVRGAPSFHLPQNPQVPCILVGPGTGIAP
+FRSFWQQRQFDIQHKGMSPCPMVLVFGCRQSKIDHIYREETLQAKSKGVFRELYTAYSRE
+PDKPK
+>tr|A4IFM5|A4IFM5_BOVIN CDCA8 protein OS=Bos taurus OX=9913 GN=CDCA8 PE=2 SV=1
+MAPSRKGGSRVAKTNALRNRKLASFLKDFDREVQMRSKQIQSDRQNLLKELDNLYNIEIL
+RLPKALREMNWLEYFALGGNKRALEEAATADLDITEINKLTAEATRTPLKLAKTRKVMQV
+DEMIVEEEEEENNSKNPQTARAKRCPPSKKRTQSIQGKGKNKRSSHYATVTPAVGRLELS
+LVKPTPGLTPRFDSRIFKTPGLRTPAARERIYNVSVNGSPLADSKEIFLTVPVGGGESMR
+LLASDLQRIDIAQLDPEALGNIKKLSNRLAQICGTIRTQK
+>tr|A4IFP4|A4IFP4_BOVIN TMEM92 protein OS=Bos taurus OX=9913 GN=TMEM92 PE=2 SV=1
+MSDTWVASLLLFGLLAGLQQAAATCGLLTCPKGFKCCGSDCCQEYQLEQYDFFSHPLRTS
+VIIFLIIILLLCIYGVTKHLYLNCRKSEQEAPTALPEQPPIAPVERVTAPISEPPPPYSE
+IILKPVLGLPPLEPPPPYSFRPEEYAGFCRGIDNSTF
+>tr|A7YY63|A7YY63_BOVIN KH and NYN domain containing OS=Bos taurus OX=9913 GN=KHNYN PE=2 SV=1
+MPTWGAGSPSPDRFAVSAEAEDKVREQLPRVERIFRVGMSVLPKDCPENPHIWLQLEGPK
+ENASRAKEYLKGLCSPELQNEIHYPPKLHCIFLGAQGFFLDCLTWSTSAHLVPGVPGSLM
+VSGLTEAFVMVQSRVEELVERLSWDFRLGPSPGASQCAGVLREFSALLQARGDAHTEALL
+QLPQAVQEELLSLVQEASRGQGPQAFPSWGWGGPGPLGAQQQGVRTPLGDGGVSLDTGPT
+GWQESRGERHAVEKEGTKQGGAREMDLGWKEWPGEEAWERQVAFRPQSGGGEASGGGEAG
+QAGPPKGKALGKEGVPQERGRLGVQGQPPSTQGPYQRASQLRGASLLQRLHNGEASPPRV
+PSPPPAPEPPWHCGDRGDRGDRADKQLVVARGRGSPWKRGTRGGNLVTGTQRFQEALQDP
+FTLCLANVPGKPDLRHIVIDGSNVAMVHGLQHYFSSRGIAIAVQYFWDRGHRDITVFVPQ
+WRFSKDSKVREGHFLHKLYSLSLLSLTPSRVLDGKRISSYDDRFMVKLAEETDGIIVSND
+QFRDLAEESEKWMAIIRERLLPFTFVGNLFMVPDDPLGRNGPTLDEFLKKPVRAPGSSKP
+QQSARGVTEHSNQQQGRKEEEKGNGGIRKTRETERLRRQLLEVFWGQDHKVDFILQREPY
+CRDINQLSEALLSLNF
+>tr|Q0PHW6|Q0PHW6_BOVIN 17-beta hydroxysteroid dehydrogenase 2 OS=Bos taurus OX=9913 GN=HSD17B2 PE=2 SV=1
+MGTFFSEPGWLFLTVTAVLGGTILCKLKTSPGQVGRKVVCLAGLWGGACLISLSLFWGLV
+LFSLSYFVMYTYFSGQELLPVDQKAVLITGCDSGFGHGLAKYLDELGFTVFAGVLDEQGS
+GAEELRRTCSKNLSVLQLNITNTQEIKAAYSKVKEKLQNKGLWAVINNAGVLGLPTDGEL
+IPMTEYKRCMAVNFFGAVEVTKVFLPLLRKSKGRLVNISSMAGGVPMQKMAAYGSSKAAL
+IMFSSILRQELSKWGVKVSVIQPGGFKTNISGTSQMWEKLERTVLDNLSPEVQEDYGQDY
+ILSERSFLNLMKTSTDPDISPVLLDVRHAISAQSPFAFYAPGKLSYPWLCFASISPTGIF
+DYLSRKIHTYSKKMPRVLSTSNWENEAM
+>tr|F1MLV4|F1MLV4_BOVIN Histone H3-like centromeric protein A OS=Bos taurus OX=9913 GN=CENPA PE=3 SV=1
+MGPRRHKRKPETPRRRPASPAPAAPRPTPSLGTSSRPLARRRHTVLKEIRTLQKTTHLLL
+RKSPFCRLAREICVQFTRGVDFNWQAQALLALQEAAEAFLVHLFEDAYLLSLHAGRVTLF
+PKDVQLARRIRGIQEGLG
+>tr|Q9TU26|Q9TU26_BOVIN Blood-brain barrier large neutral amino acid transporter OS=Bos taurus OX=9913 GN=SLC7A5 PE=2 SV=1
+MAGSGPKRRAAAATLPANEKEERQARERMLAARGADGAEGEVTLQRNITLLNGVAIIVGT
+IIGSGIFVTPTGVLKEAGSPGLALVVWAVCGVFSIVGALCYAELGTTITKSGGDYAYMLE
+VYGSLPAFLKLWIELLIIRPSSQYIVALVFATYLLKPIFPTCPVPEEAAKLVACLCVLLL
+TAVNCYSVKAATRVQDAFAAAKLLALALIILLGFIQIGKGDVANLDPKSSFEGTKLDVGN
+IVLALYSGLFAYGGWNYLNFVTEEMINPYRNLPLAIIISLPIVTLVYVLTNLAYFTTLTP
+EQMLTSEAVAVDFGNYHLGVMSWIIPVFVGLSCFGSVNGSLFTSSRLFFVGAREGHLPSI
+LSMIHPRLLTPVPSLVFTCIMTLLYAFSKDIFSVINFFSFFNWLCVALAIAGMLWLRYQK
+PELERPIKVHLALPVFFILACLFLIAVSFWKTPVECGIGFTIILSGLPVYFLGVWWRDKP
+KWLLQSIFSTTVLCQKLMHVVPQET
+>tr|A1A4I8|A1A4I8_BOVIN Exportin 7 OS=Bos taurus OX=9913 GN=XPO7 PE=2 SV=1
+MADHVQSLAQLENLCKQLYETTDTTTRLQAEKALVEFTNSPDCLSKCQLLLERGSSSYSQ
+LLAATCLTKLVSRTNNPLPLEQRIDIRNYVLNYLATRPKLATFVTQALIQLYARITKLGW
+FDCQKDDYVFRNAITDVTRFLQDSVEYCIIGVTILSQLTNEINQADTTHPLTKHRKIASS
+FRDSSLFDIFTLSCNLLKQASGKNLNLNDESQHGLLMQLLKLTHNCLNFDFIGTSTDESS
+DDLCTVQIPTSWRSAFLDSSTLQLFFDLYHSIPPSFSPLVLSCLVQIASVRRSLFNNAER
+AKFLSHLVDGVKRILENPQSLSDPNNYHEFCRLLARLKSNYQLGELVKVENYPEVIRLIA
+NFTVTSLQHWEFAPNSVHYLLSLWQRLAASVPYVKATEPHMLETYTPEVTKAYITSRLES
+VHIILRDGLEDPLEDTGLVQQQLDQLSTIGRCEYEKTCALLVQLFDQSAQSYQELLQSAS
+ASPMDIAVQEGRLTWLVYIIGAVIGGRVSFASTDEQDAMDGELVCRVLQLMNLTDSRLAQ
+AGNEKLELAMLSFFEQFRKIYIGDQVQKSSKLYRRLSEVLGLNDETMVLSVFIGKIITNL
+KYWGRCEPITSKTLQLLNDLSIGYSSVRKLVKLSAVQFMLNNHTSEHFSFLGINNQSNLT
+DMRCRTTFYTALGRLLMVDLGEDEDQYEQFMLPLTAAFEAVAQMFSTNSFNEQEAKRTLV
+GLVRDLRGIAFAFNAKTSFMMLFEWIYPSYMPILQRAIELWYHDPACTTPVLKLMAELVH
+NRSQRLQFDVSSPNGILLFRETSKMITMYGNRILTLGEVPKDQVYALKLKGISICFSMLK
+AALSGSYVNFGVFRLYGDDALDNALQTFIKLLLSIPHSDLLDYPKLSQSYYSLLEVLTQD
+HMNFIASLEPHVIMYILSSISEGLTALDTMVCTGCCSCLDHIVTYLFKQLSRSTKKRTTP
+LTQESDRFLHIMQQHPEMIQQMLSTVLNIIIFEDCRNQWSMSRPLLGLILLNEKYFSDLR
+NSIVNSQPPEKQQAMHLCFENLMEGIERNLLTKNRDRFTQNLSAFRREVNDSMKNSTYGV
+NSNDMMS
+>tr|A0A3Q1LQY7|A0A3Q1LQY7_BOVIN STEAP3 metalloreductase OS=Bos taurus OX=9913 GN=STEAP3 PE=4 SV=1
+MDKPLISHHLVDSDGSLAEAPSEVPKVGILGSGDFARSLATRLVGSGFSVVVGSRNPKRM
+AGLFPSAAQVTLQEEAVGSPEVIFVAMFREHYSTLCGLSDQLAGKILVDVSNPTEQEHLQ
+HHQSNAEYLASLFPTCAVVKAFNVISAWTLQSGPRDGNRQVPICSDQPEAKRTVLEMVRA
+MGFTPVDMGSLASAREVEAMPLRLFPGWKVPALLALGLFIFFYAYNFVRDVLEPYVQEGK
+NKFYKLPVFVVNTTLPCVAYVLLSLVYLPGVLAAALQLRRGTKYQRFPDWLDHWLQHRKQ
+IGLLSFFCAALHALYSLCLPLRRSHRYDLVNLAIKQVLTNKSHLWNEEEVWRMEIYLSLG
+VLALGMLSLLAVTSLPSIANSLNWREFSFVQSTLGFVALVLSTLHTLTYGWTRAFEESRY
+KFFLPPTFTLTLLVPCVIILAKGLFLLPCISRRLTKIRRGWEKDGSVRFTLPVDHALAQK
+TSHV
+>tr|A7MBK0|A7MBK0_BOVIN CDYL protein OS=Bos taurus OX=9913 GN=CDYL PE=2 SV=1
+MASEELYEVERIVDKRKNKKGKTEYLVRWKGYDSEDDTWEPEQHLVNCEEYIHDFNRRHT
+EKQKEGTFTRTNRTSPNNARKQISRSTNSSFSKAAPKALVVGKEHEAKSSPLFAASQKFR
+KSPAPGLAARKNMDLARSGIKILVPKSPIKSRTAVDGFQDESPEKPDPVEQGPEDTAAPE
+AAAEKPVGALLGPGAERARMGSRPRIHPLVPQASGPVTAAMATGLAVNGKGTSPFMDALT
+ANGTTTLQTSVTGVTAGKRKFIDDRRDQPFDKRLRFSVRQTESAYRYRDIVVRKQDGFTH
+ILLSTKSSENNSLNPEVMKELQSALSTAAADDSKLVLLSAVGSVFCCGLDFIYFIRRLTD
+DRKRESARMAEAIRNFVNTFIQFKKPIIVAVNGPAIGLGASILPLCDVVWANEKAWFQTP
+YTTFGQSPDGCSTVMFPKIMGGASANEMLLSGRKLTAQEACGKGLVSQVFWPGTFTQEVM
+VRIKELASCNPVVLEESKALVRCNMRLELEQANERECEVLKKIWGSAQGMDSMLKYLQRK
+IDEF
+>tr|A6QLW3|A6QLW3_BOVIN RPRD1B protein OS=Bos taurus OX=9913 GN=RPRD1B PE=2 SV=1
+MSSFSESALEKKLSELSNSQQSVQTLSLWLIHHRKHAGPIVSVWHRELRKAKSNRKLTFL
+YLANDVIQNSKRKGPEFTREFESVLVDAFSHVAREADEGCKKPLERLLNIWQERSVYGGE
+FIQQLKLSMEDSKSPPPKATEEKKSLKRTFQQIQEEEDDDYPGSYSPQDPSAGPLLTEEL
+IKALQDLENAASGDATVRQKIASLPQEVQDVSLLEKITDKEAAERLSKTVDEACLLLAEY
+NGRLAAELEDRRQLARMLVEYTQNQKDVLSEKEKKLEEYKQKLARVTQVRKELKSHIQSL
+PDLSLLPNVTGGLAPLPSAGDLFSTD
+>tr|A8QQK1|A8QQK1_BOVIN TMEM145 protein OS=Bos taurus OX=9913 GN=TMEM145 PE=2 SV=1
+MEPPRAPALRRLLPPLLLLMLPLPPRARAKYVRGNLSSKEDWVFLTRFCFLSDYGRLDFR
+FRYPEAKCCQNILLYFDDPSQWPAVYKAGDKDCLAKESVIRPENNQVINLTTQYAWSGCQ
+VVSEEGTRYLSCSSGRSFRSVRERWWYIALSKCGGDGLQLEYEMVLTNGKSFWTRHFSAD
+EFGILETDVTFLLIFILIFLLSCYFGYLLKGRQLLHTTYKMFMAAAGMEVLSLLFFCIYW
+GQYATDGIGNESLKILAKLLFSSSFLIFLLMLILLGKGFTVTRGRISHSGSVKLSVYMTL
+YTLTHVALLIYEAEFFDPGQVLYTYESPAGYGLIGLQVAAYVWFSYAVLVSLRHFPEKQP
+FYVPFFAAYTLWFFAVPVMALIANFGIPKWAREKIVNGIQLGIHLYAHGVFLIMTRPSAA
+NKNFPYHVRTSQIASAGAPGPGGSQSADKAFPQHVYGNVTFISDSVPNFTELFSIPPPAS
+CAGKQVEETAVAAAVAPRGRVVTMAEPGAASPPPSSRFPKAADPSWDGPTPPYQPLVPQT
+AAPHTGFTEYFSMHTAGGTAPPV
+>tr|A8E4L9|A8E4L9_BOVIN SYNC protein OS=Bos taurus OX=9913 GN=SYNC PE=2 SV=1
+MASPEPRRGGNGSAQAARATRPEVISPLQEENSASLYELGTWNPEVTLSLEGTLNLEDIL
+YLGDTGDLDEALYVEETEPPEETLHIEETRMPDEALYLEEPVRPEAALYVEEPVKLEGVL
+YVEEPVKTASPEQIVHGGDRVLSEAKSKPKESLQAGPSPSTEGSLSIEDLELLEGRFQQC
+IEAVAQLEEERDQLIHELVLLREPALQEVQQVHRDILAAYKQHAQAELERDGLREEIRLV
+KQKLFKVTKECVAYQYQLECRRQDVAQFADFREALTTRAAQLSEELTQLREAYQKQKEQL
+RQQLEAPQSQRDGHFLQESRRLSAQFESLMAESRQGLEEEYEPQLLRLLERKEAAAKALQ
+KTQAEIQEMKEALRPLQAEAQKLHLQNRNLEDQITLVRQKRDEEVQQYREQLEEMEERQR
+QLRSGVQLQQQKNKEMEQLRVSLAEELATYKAMLPKSLEQANAPTSEAGGIETPSQGAV
+>tr|A6QNP4|A6QNP4_BOVIN BTNL2 protein OS=Bos taurus OX=9913 GN=BTNL2 PE=2 SV=1
+MVELPGYSLSGVFASFFFMLLSMKQSDDFRVTGSAHPILAMVGEDALLTCQLLPKRTAMH
+MEVRWYRSEPSTPVFLYRDGDEVTEIQMEEYRGRVEWIEDGITEGSVSLKIHSLQPSDHG
+QYWCRVKDDNYLAETSLLLQVASLGSDPYIHMDGSVESGLQLVCTAKGWFPEPQVSWQDI
+KGEKLLTFSNYNFQDEDGLFYVESTLVVRDASTEAVSCFIHNPSLTEEKGSDVSIPEKLQ
+TEMASLKVIGPSQPILVRVGEDIQLTCSLAPKTDAQSMEVRWVRSHRHPAVYVYTDGARV
+AGEQMAEYRGRTALLSDAISEGRLTLQINDARTSDDGKYQCLFEKDGVYQEADLDLKIIG
+LGSSPQISMEGPKDGEVKLKCTSEGWFPQPQVQWRDMEGNTIPSSSQDLTQGSQGLFQVE
+AFLLVTNSSIVNVTCSISSPLLGEEKKTTFYASESRMIYSWNILLIWGLLLLLLVGVVGL
+IWRKNCRKGSSESFLHPKESQQHLQGEHKDLEKVLKSSEVRLRDTSE
+>tr|A2VDR4|A2VDR4_BOVIN SAM pointed domain containing ETS transcription factor OS=Bos taurus OX=9913 GN=SPDEF PE=2 SV=1
+MGSASPGLSPGPPGRLLLPPDSALRTGLEKAAAAGTGPERRDWSPSPPATPEQGLPTFYL
+SYFDMLYPEDSSWVAKGPGASTRVEPPDEPEQCPVIDSQAPGGSLDLAPGGLTLEEHSLE
+QVQSMVVGEVLKDIETACKLLNITADPVDWSPGNVQKWLLWTEHQYRLPPVGKAFQELGG
+KELCAMSEEQFRQRSPLGGDVLHAHLDIWKSAAWMKERTSPGAIHFCASTSEESWTDSEV
+DSSCSGQPIHLWQFLKELLLKPHSYGRFIRWLNKEKGIFKIEDSAQVARLWGIRKNRPAM
+NYDKLSRSIRQYYKKGIIRKPDISQRLVYQFVHPI
+>tr|A7MBH2|A7MBH2_BOVIN Diphosphoinositol polyphosphate phosphohydrolase 3-beta OS=Bos taurus OX=9913 GN=NUDT11 PE=2 SV=1
+MKCKPNQTRTYDPEGFKKRAACLCFRSEREDEVLLVSSSRYPDRWIVPGGGMEPEEEPGG
+AAVREVFEEAGVKGKLGRLLGIFEQNQDRKHRTYVYVLTVTEILEDWEDSVSIGRKREWF
+KVEDAIKVLQCHKPVHAEYLQKLKLGGSPTNGNSVAPSPPEGDP
+>tr|A6QM11|A6QM11_BOVIN Pro-thyrotropin-releasing hormone OS=Bos taurus OX=9913 GN=TRH PE=2 SV=1
+MPGSWFLLAMALTLTLTGVPGGRAQLDVAQQEADLSEQQGLEDLLRQAERLLLLREDLQR
+LREDQDDSVSESQIFQPDWFSKRQHPGKREEEEADEAVEGEEEGGAAGLHKRQHPGRRGD
+VAAWAVDAGQQKRQHPGRRASWLGYAVTKRQHPGRRLVDPRAQRSWEEAGEDEDEGGELM
+SEKRQHPGKRALGSLCGPRGPCGQASLLLGLLDDLSRGQGAEEKRQHPGRRAAWASEPLE
+E
+>tr|F1MYN2|F1MYN2_BOVIN Cingulin OS=Bos taurus OX=9913 GN=CGN PE=4 SV=2
+MEQASTMAEPRGPVDHGVQIRFITEPVGEAEIGTLRRGGRRPAKDARASTYGVAVRVQGI
+AGQPFVVLNSGEKGGDSFGVQIKGANNRGAPGALSSDSELAESPYSQARELPAPSQGSTS
+DEEPGAHWNGRLLRSQSQASLAGPSLMDPDNRSTSLLELAPQRTSPGNTIDTAPLSSVDS
+LINKFDSHHGGQSRGRTGRRTRMLPPEQRKRSQSLDNRLPRATLQERERQSPDHWIRGTK
+HDQRTGSSKPSAQSSLGSFSRARQTQDWVLQSFEEPRGRVQDPAVLQFKSTPDLLRDQQE
+AAPPGSMDHVKATIYGILREGSSESETSVRRKVSLVLEQLQPLVMASPGSAKVGQAELTQ
+KVEELQKKLDEEVKKRQKLEPSQVGLERQLEEKAEECSRLQELLERRKGEAQQSTKELQN
+MKLLLDQGERVRHGLETQVMELQDKLKQAHGPEAAKEVLMKDLIETRELLEEVLEGKQRV
+EEQLRLRERELTALKGALKEEVASRDQEVEHVRQQYQRDTEQLRRSMQDVTQDHAALEAE
+KQKMSALVRGLQKELEETSEETGHWQNMFQKNKEELRATKQQLLQLRMEKDELEEELGEK
+IEALQRELGQARTGAGDTRQVEELKKELRRTQEELKELQEEQQSQEVAGRHRERELEKQL
+RVEAERSRGLEEQNLQLQKTLQQLRQDCEEASKAQMAAETEAAVLGQRRTAVETTLRETQ
+EENDEFRRRILGLEQQLKEARGLAEGGEVAEARLRDKVQRLEAEKQRLQEALNAAQEEEG
+SLAAAKRALEARLEEAQRGLTRLGQEQQALNRALEEEGKQREVLRRSKAELEEQKRLLDR
+TVDRLNKELEQIGDDSKQALQQLQAQLEDYKEKARREVADAQRQAKEWASEAEKTSGGLS
+RLQDETQRLRQALQASQADRDTARLDKELLVQRLQGLEQEAENKKRSQDDKSRQLKSLEE
+KVSRLEAELDEEKSTVELLTDRMNRGRDQVDQLRTELMQERSARQDLECDKISLERQNKD
+LKSRLASSEGFQKPSASLSQLESQNRELQERLQAEEREKTVLQSTNRKLERRVKELSIQI
+DDERQHVNDQKDQLSLRVKALKRQVDEAEEEIERLDSLRKKAQRELEEQHEVNEQLQARI
+KALEKDSWRKASRSAAESTLQHEGLSSDEEFDSVYDPSSIASLLTESNLQTSSC
+>tr|F1MWQ3|F1MWQ3_BOVIN Retinoic acid receptor alpha OS=Bos taurus OX=9913 GN=RARA PE=3 SV=1
+MASNSSSCPTPGGGHLNGYPVPPYAFFFPPMLGGLSPPGALTTLQHQLPVSGYSTPSPAT
+IETQSSSSEEIVPSPPSPPPLPRIYKPCFVCQDKSSGYHYGVSACEGCKGFFRRSIQKNM
+VYTCHRDKNCIINKVTRNRCQYCRLQKCFEVGMSKESVRNDRNKKKKEVPKPECSESYTL
+TPEVGELIEKVRKAHQETFPALCQLGKYTTNNSSEQRVSLDIDLWDKFSELSTKCIIKTV
+EFAKQLPGFTTLTIADQITLLKAACLDILILRICTRYTPEQDTMTFSDGLTLNRTQMHNA
+GFGPLTDLVFAFANQLLPLEMDDAETGLLSAICLICGDRQDLEQPDRVDMLQEPLLEALK
+VYVRKRRPSRPHMFPKMLMKITDLRSISAKGAERVITLKMEIPGSMPPLIQEMLENSEGL
+DTLSGQAGSGGRDGGGLAPPPGSCSPSLSPSSNRSSPATHSP
+>tr|A7YY24|A7YY24_BOVIN IGBP1 protein OS=Bos taurus OX=9913 GN=IGBP1 PE=1 SV=1
+MAAAEDELLLPRLPELFETSKQLLDEVEIATEPTGSRIIQDKVFKGLDLLKKAAEMLSQL
+ELFSQNEDLEEIASTDLKYLMVPAFQGAFALKQVNPSKRLDHLQWAREHFLNYLTQCQYY
+HVAEFELPKTKTNSAENNTANSSMAYPSIVAMASHRQAKIERYKQKKEVEHRLSALKSAV
+ESGQADDEHVREYYLLHLRRWIGISLEEIESIDQEIKILREKDSAKEASTSQSSRQDRPP
+MKPFVLTRDMAQAKVFGAGYPSLASMTVNDWYEQHRKFGALPDQGIAKTTSEFKRTAQQQ
+EDQEHEEEEDDQAVRRAREWDDWKDTHPRGYGNRQNMG
+>tr|F1MTK2|F1MTK2_BOVIN MTOR associated protein, eak-7 homolog OS=Bos taurus OX=9913 GN=MEAK7 PE=4 SV=3
+MGNNKSCPGQECSSRFLPAEQAEVNRLFDALSSEKLGSSASPRSFSLQALKGHVGDALPP
+EMVTRLFEGMRRVDGSGKAKGPSERVSQEQFTASMSHLLKGTAEEKSLVILNMISASGGP
+VKARDVHKFTEDLVGSVVHVLSYRRQLRGWSQKPPPGSPSRVQVLAAQLCSEMRLQGGGK
+LLGPQWLDRDCDQAVLEDWVFRAHHVATFLSLVIHQGFLLLRSSLKLATLVPERQVDPQR
+EFASVLDVLSVIYVNSHLPQERRLSWRLLFADRAPREQLCAALRAHRTRGALPGAARGQR
+RPRVRRLRLLLLGSQASVSRGRQVLPVLRLPPHGRVHLHGLQRPLHVPESRAADHPQRPG
+HGRTARLLWAVDRRRFRERTQQGQAHVHHVRQPSAVGPGGLPVPEDGGVGGGRGPKGRVG
+QENQEHSGHRP
+>tr|Q1RMN2|Q1RMN2_BOVIN MutL homolog 1 OS=Bos taurus OX=9913 GN=MLH1 PE=2 SV=1
+MLHNHSFVGCVNPQWALAQHQTKLYLLNTTRLSEELFYQILVYDFANFGVLRLSEPAPLF
+DLAMLALDSPESGWTEEDGPKEGLAEYIVEFLKKKAEMLADYFSLEIDEEGNLVGLPLLI
+DNYVPPLEGLPIFILRLATEVNWDEEKECFESLSKECAMFYSIRKQYVSAESTLSGQQSE
+VPGSTANPWKWTVEHVIYKAFRSHLLPPKHFTEDGNILQLANLPDLYKVFERC
+>tr|A5D7A1|A5D7A1_BOVIN SS18 protein OS=Bos taurus OX=9913 GN=SS18 PE=2 SV=1
+MSVAFAAPRQRGKGEITPAAIQKMLDENNHLIQCIMDYQNKGKTSECSQYQQMLHTNLVY
+LATIADSNQNMQSLLPAPPTQNMPMGPGGMSPSGPPPPPRAHNMPSDGMVGGGPPAPHMQ
+NQMNGQMPGPNHIPMQGPGPNQLNMTNSSMNMPSSSHGSMGGYNHSVPSSQSMPVQNQMT
+MSQGQPMGNYGPRPNMSMQPNQGPVMHQQPPSQQYNMPQGGGQHYQGQQPPMGMMSQVNQ
+GNHMLGQRQIPPYRPPQQGPPQQYSGQEDYYGEQYSHGGQGPPEGMNQQYYPDGNSQYGQ
+QQDAYQGPPPQQGYPPQQQYPGQQGYPGQQQGYGPSQGGPGPQYPNYPQGQGQQYGGYRP
+AQPGPPQPPQQRPYGYDQGQYGNYQQ
+>tr|A4FUX1|A4FUX1_BOVIN CIDEA protein OS=Bos taurus OX=9913 GN=CIDEA PE=2 SV=1
+METARDCAGALLRPLTFMGSQTKKVLFTPFMHPARPFRVSNHDRSSRRGVMASSLQELLS
+KTLDALVVASQLVTLVLEEDGTVVDTEEFFQTLGDNTHLMVLEQGQKWTPAGHQTPARRP
+PQRRGIAKVTFDLYKLSPKDVIGCLNVKATMYEMYSVSYDIHCTGFKAMLRSLLRFLSHA
+AQVTGQCLIHMGTYMLRVLAETEEQAVPGSRPWRGIKRG
+>tr|A6QQZ4|A6QQZ4_BOVIN TTC16 protein OS=Bos taurus OX=9913 GN=TTC16 PE=2 SV=1
+MTDSGEDGLEHDKAPSQHIPKPWVIPVPKGTLQRIFGTSQIFQNFDDIKPKATGLTVPLK
+VREYYHRAHQCLEQEDWEMAVLFFSRALHLDPQLVEFYALRAEAYIQLCDFSSAAQNLRK
+AYHSQPENSNYLERLALVLYLKGQCLFEQCAFLDALTVFSQASELQPEKFCFRYRCMACL
+LALKKHRECLSFVTKEVKQGTTNADVYILRARLYNFFQKPNLCYRDLHSALLLDPKHPQA
+KVLLQVMVGQAEQARQDAGVLAVQGKLQHALQCINCAIENNPLDPSFFLFRGTMYRRLQD
+FDLAVEDFLKALDMMSDHQEELVQQAQRQLLLAYNDFAVHCYMQGAYQESVLLLNKALKD
+EQQEKGLYINRGDCFFQLGNLTFAEADYQQALALSPKDEGAHLRMGLLQEKLGFCEHRSR
+QFQKAENHFSMAIQHNPQKPQYYLYRARSRQLMQNIFGARQDVATVLLLDPKQPKLLPLM
+ANLFPGMSVEEVLSSQVANLARLQLERVVEHSMYTRAPQDILGQLKDREQRARAVILSWK
+LEPPLLETPKEMETPLQFPQAKPEGPEEEVQVPGKKEKLKPAPSKESSLTDSYISQTSLG
+SILGFRTRSTSETETSSTGQEYRSTSTTVVTVSDSSLLRTQSSDSGDSRKDLSLNHSPRE
+TKSTPGHHQRPSKTEAALIHSQRPSKTEAALIHSQRPSKTDTTQIQSQRPSKMDATQIRS
+QRPSKTDTTQIQSQRPSKMEASLIHSQRPSKTDTTQIQSQRPSKTDATQIQSQRPSKTDT
+TQIQSQRPSKTDTAQIQNQRPSKTDTTQIQSQRPSKTDTTQIQSQRPSRTEATLIHSQRP
+SRTDATQIQNQRPSRTEAAWSPRQKLNKTQATQGSRRRLRKSKGADGQSWRLRKAAATQD
+QSWRMIQSPSKINTSYDSSWSPSNTEATKGQAQSQRPSQSKAAQSASPGSSKTEVTWGLN
+PSLSNMQTAQGPRQKPSPSSEAAL
+>tr|A6QLF9|A6QLF9_BOVIN Bardet-Biedl syndrome 5 protein homolog OS=Bos taurus OX=9913 GN=BBS5 PE=2 SV=1
+MSVLDALWEDRDVRFDVSSQQMKTRPGEVLIDCLDSVEDTKGNNGDRGRLLVTNLRIVWH
+SLALPRVNLSIGYNCILNITTRTANSKLRGQTEALYVLTKCNSTRFEFIFTNLVPGSPRL
+YTSLIAVHRAYETSKMYRDFKLRSALIQNKQLRLLPQENVYNKINGVWNLSSDQGNLGTF
+FITNVRIVWHANMNDSFNVSIPYLQIRSVKIRDSKFGLALVIESSQQSGGYVLGFKIDPV
+EKLQESVKEINSLHKVYSANPIFGVDYEMEEKPQPLEALTVKQIQDDVEIDSDDHTDAFV
+AYFADGNKQQDREPVFSEELGLAIEKLKDGFTLQGLWEVMN
+>tr|Q2KIR9|Q2KIR9_BOVIN Leucine rich repeat containing 46 OS=Bos taurus OX=9913 GN=LRRC46 PE=2 SV=1
+MPGAKLAQSPEEISGVCITEALITRRNLAFPEDEDLSEKMFHTLAELQTVRLDREGITTI
+RNLEGLQNLHSLYLQGNKIQRIENLACVPSLRFLSLAGNQIRQVENLRDLPHLQFLDLSE
+NLIETLKLDEFPESLLILNLTGNSCTNQDGYRKLLTEALPLLLDLDGQPVAERWTSDEED
+TALSDEDEEFPELRGPFCSERGFLKELEQGMSRHRELRQQTALLEHQLRVETQPTLTDLP
+PLPGAPMAGDSSPSVTPTQEKETTPEPASLPEASSTTKRLCPLAPRGQQSTMQARKGARA
+ATAPKASLAGAPSTTKTVTKKIKK
+>tr|Q0VC17|Q0VC17_BOVIN Phosphomannomutase OS=Bos taurus OX=9913 GN=PMM1 PE=2 SV=1
+MAVTAEGARRKERVLCLFDVDGTLTPARQKIDPEVAAFLQKLRSRVQIGVVGGSDYSKIA
+EQLGEGDEVIEKFDYVFAENGTVQYKHGRLLSKQTIQNHLGEELLQDLINFCLRYMALLR
+LPKKRGTFIEFRNGMLNISPIGRSCTLEERIEFSELDKKEKIREKFVEALKTEFAGKGLR
+FSRGGMISFDVFPEGWDKRYCLDSLDQDCFDTIHFFGNETSPGGNDFEIYTDPRTVGHSV
+VSPQDTVQRCRELFFPETAHKA
+>tr|K0FD10|K0FD10_BOVIN Probable ATP-dependent RNA helicase DDX4 OS=Bos taurus OX=9913 GN=DDX4 PE=2 SV=1
+MGDEDWEAEIIKPHISSYVPVFEKDRYSSGANGDTFNRTPASSSDPGESNKRENTSTVGG
+FGVGKSFGNRGFSNNKFEEGDSSGFWRESSIDCEDNQTRNRGFSKRGGYQDGNDSEALGS
+SRRGGRGSFRGCRGGFGRGSPNSDYEQDEGTQRSGGIFGSRRSALSGAGNGDTFQSRSGG
+GSGRGGYKGLNEEVITGSGKNSWKSEAEGGESGDTQGPKVTYIPPPPPEDEDSIFAHYQT
+GINFDKYDTILVEVSGHDPPPAILTFEEANLCQTLNNNIAKAGYTKLTPVQKYSIPIIQG
+GRDLMACAQTGSGKTAAFLLPILAHMMRDGITASRFKELQEPECIIVAPTRELINQIYLE
+ARKFSFGTCVRAVVIYGGTQLGHSIRQIVQGCNILCATPGRLMDVIGKEKIGLRQVKYLV
+LDEADRMLDMGFGPEMKKLISCPGMPSKEQRQTLMFSATFPEEIQRLAGEFLKSNYLFVA
+VGQVGGACRDVQQTILQVGQYSKREKLVEILRNIGDERTMVFVETKKKADFIATFLCQEK
+ISTTSIHGDREQREREQALGDFRCGKCPVLVATSVAARGLDIENVQHVINFDLPSTIDEY
+VHRIGRTGRCGNTGRAISFFDLESDSQLAQPLVKVLSDAQQDVPAWLEEIAFSTYGPGFS
+GNARGNVFASVDTRKNYHGKSSLNTAGFSSTQAPNPVDDESWD
+>tr|F1MU62|F1MU62_BOVIN Cleavage and polyadenylation specific factor 7 OS=Bos taurus OX=9913 GN=CPSF7 PE=4 SV=2
+MSEGVDLIDIYADEEFNQDPEFNNTDQIDLYDDVLTATSQPSDDRSSSTEPPPPVRQEPS
+PKPNNKTPAILYTYSGLRNRRAAVYVGSFSWWTTDQQLIQVIRSIGVYDVVELKFAENRA
+NGQSKGYAEVVVASENSVHKLLELLPGKVLNGEKVDVRPATRQNLSQFEAQARKRECVRV
+PRGGIPPRAHSRDSSDSADGRATPSENLVPSSARVDKPPSVLPYFNRPPSALPLMGLPPP
+PIPPPPPLSSSFGVPPPPPGIHYQHLMPPPPRLPPHLAVPPPGAIPPALHLNPAFFPPPN
+ATVGPPPDTYMKASAPYNHHGSRDSGPPPSTVSEAEFEDIMKRNRAISSSAISKAVSGAS
+AGDYSDAIETLLTAIAVIKQSRVANDERCRVLISSLKDCLHGIEAKSYSVGASGSSSRKR
+HRSRERSPSRSRESSRRHRDLLHNEDRHDDYFQERSREHERHRDRERDRHH
+>tr|Q5EA13|Q5EA13_BOVIN Peroxisome proliferative activated receptor, alpha isoform 1 OS=Bos taurus OX=9913 GN=PPARA PE=2 SV=1
+MEMVDTESPIGPLSPLEADDLESPLSADFLQEMGTIQEISQSIGEDSSGSFSFTEYQYLG
+SGPGSDGSVITDTLSPASSPSSVSYPAVPGSAEESSSIALNIECRICGDKASGYHYGVHA
+CEGCKGFFRRTIRLKLVYDKCDRSCKIQKKNRNKCQYCRFHKCLSVGMSHNAIRFGRMPR
+SEKAKLKAEILTCEHDLEDSETADLKSLAKRIYEAYLKNFNMNKIKARVILAGKTNNNPP
+FVIHDMETLCMAEKTLVAKLVANGIQNKEAEVRIFHCCQCTSVETVTELTEFAKSIPGFA
+NLDLNDQVTLLKYGVYEAIFAMLSSVMNKDGMLVAYGNGFITREFLKSLRKPFCDIMEPK
+FDFAMKFNALELDDSDISLFVAAIICCGDRPGLLNVGHIEKMQEGIVHVLKLHLQNNHPD
+DVFLFPKLLQKMADLRQLVTEHAQLVQVIKKTESDAALHPLLQEIYRDMY
+>tr|F1MWY6|F1MWY6_BOVIN Etoposide-induced protein 2.4 homolog OS=Bos taurus OX=9913 GN=EI24 PE=4 SV=2
+MADSVKTFLQDLARGIKDSIWGICTISKLDARIQQKREEQRRRRASSILAQRRAQSIERK
+QESEPRIVSRIFQCCAWNGGVFWFSLLLFYRVFIPVLQSVTAQIIGDPLLHGDVWSWLEF
+FLTSIFSALWVLPLFVLSKVVNAIWFQDIADLAFEVSGRKPHPFPSVSKIIADMLFNLLL
+QALFLLQGMFVSLFPIHLVGQLVSLLHMSLLYSLYCFEYRWFNKGIEMHQRLSNIERNWP
+YYFGFGLPLAFLTAMQSSYIVSGCLFSILFPLFIISANEAKTPGKAYLFQLRLFSLVVFL
+SNRLFHKTVYLQSALSSSTSAEKLPSPHPSPAKLKAATGR
+>tr|A7YY52|A7YY52_BOVIN CD4 molecule OS=Bos taurus OX=9913 GN=CD4 PE=2 SV=1
+MGPGTSLRHLFLVLQLAMLPAGTQGKTVVLGEAGDKAELPCQASQKKNMVFSWKDSSQSN
+ILGKRGLFFYKGTTELSHRVESKKNLWDQGSFPLIIKNLQVTDSGTYTCEVDKKTLEVEL
+QVFRLTASSDTHVLLGQSLTLTLESPSGSNPSVQWKGPGDNNKRDVKSLSLAQVGLQDSG
+TWTCTISQSQQTLEIKIPIVVLAFQKAPETVYVKEGEQAEFSFPLTFEYENLSGELTWQL
+ANGDSSSQSWVTFTVKNREVKVNKIHNDPKLLVGEKLPLRLTLPRTLPQHAGSGTLTLDL
+TKGKLQQKVKLVVMKVTKSPNSLTCEVLGPSPPKLTLNLKMGNQSMKGSNQPKLVTQPEP
+QAGMWQCLLSDNGKVLLEAKIEAPGRTDVSNQEAP
+>tr|E1BB46|E1BB46_BOVIN SH3 domain binding protein 4 OS=Bos taurus OX=9913 GN=SH3BP4 PE=4 SV=1
+MAAQRIRAANSSGLPRCKSEGTLIDLSEGFSESSFNDVKVPSPSALLVDNPTPFGNAKEV
+IAIKDYCPTNFTTLKFSKGDHLYVLDTSGGEWWYAHNTTEMGYIPSSYVQPLNYRNSTLS
+DSGMIDNLPDSPDEVAKELDLLGGWTDAKKESSKPYSNNPFWNGVQTNPFLNGNVPAMPS
+VDELMPRSAVDLLLFDTGTSSFTESSSATTNSTGNIFDELPAAGRLPTEPPVKRDNPFFR
+SKRSYSLSELSVLQAKSDAPASSGFFTGLKSPAPEQFQSREDFRAAWLSHRKLARSCHDL
+DLLGQSPGWGQTQAVETNIVCKLDSSGGAVQLPDANISIHVPEGHVAPGEMQQISMKALL
+DPPLELNSDRCSSISPVLEVKLSTLEVKTHLILEMKVSAEVKSDIFSKSTVGLQCLRSDS
+KEGPYVPIPLAYSYGDTVQVQLDNLEPCMYLAIVAHGPNILYPSTVWDFINKKVTVGLYG
+PKHIHPSFKTVVTIFGHDCAPKTLLVSEVTRQAPTPAPVALQLWGKHQFVLSRPQDLQVC
+VFSNMTNYEVKASEQAKAVRGFQVKLGKVSRLIFPITCQNPSELSDFTLRVQVKDDQEAI
+LTQFCVQTPQPPPKSAIKPSGQRRFLKKNEVGKIILSPLAATTKYPTFQDRPVSSLKFGK
+LLKTVVRQSKNHYLLEYKKGDAIALLSEEKIRLKGQLWTKEWYIGYHQGRVGLVHAKNVL
+VVGKARPSLLAGPELSTSVLLEQILRPCKFLTYIYASVRTLLMENVSSWRSFADALGYGD
+LPLTFFCRAELDSEPERVASVLEKLKEDCNNTDNKDRKSFQKELMMALLKMDCQGLVVRL
+IQDFVLLTTAVEVAQRWRELAEKLAKVSKQQMDAYESPHRDRNGVVDSEAMWKPAYDFLL
+TWSHQIGDSYRDVIQELHIGLDKMKNPITKRWKHLTGTLILVNSLDILRASAFSPVDHDD
+FVI
+>tr|A0A3Q1M071|A0A3Q1M071_BOVIN Histone H2B OS=Bos taurus OX=9913 GN=LOC112445465 PE=3 SV=1
+MSIMNSFVNDIFERIAAEASRLAHYNKRSTITSREIQTAVRLLLPGELAKHAVSEGTKAV
+TKYTSSK
+>tr|Q08DJ1|Q08DJ1_BOVIN TBC1 domain family member 19 OS=Bos taurus OX=9913 GN=TBC1D19 PE=2 SV=1
+MLQEESDLSLIIAQIVQKLKGSNLYAQLERQAWASLQRPEIKLESLKEDIKEFFKISGWE
+KKLQNAVYSELSVFPLPSHPAAPPEHLKEPLVYMRKAQGSWEKRILKSLNSMCTELSIPL
+ARKRPVGEQKELLNKWNEMGTDEPDLSLFRPVYAPKDFLEVLINLRNPNYESGDSLSFRT
+HLGLIQVPLKVKDIPELKEFFVELGLTTGQLGIDDSTQVPPELFENEHVRIGQKVLTQQD
+SAAAQQYIRQGSPTALRAELWALILNISSHPEDILYYEQLKTNVIQHDLLVDSLIYKDVK
+LTASNDDYYFVFEDYLYQVLLCFSRDTSVLSHFAYNSASPPKSYIRGKLGLEEYAVFYPP
+NGVIPFHGFSMYVAPLCFLYHEPSKLYQIFREMYVRFFFRLHSISSHPSGIVSLCLLFET
+LLQTYLPQLFYHLREIGAQPLRISFKWMVRAFSGYLATDQLLLLWDRILGYNSLEILAVL
+AAAVFAFRAVNLMEVTSLAAAEAVLADLSTLKVMPLLQIFLFATVT
+>tr|E1BIJ8|E1BIJ8_BOVIN Zinc finger and BTB domain containing 7A OS=Bos taurus OX=9913 GN=ZBTB7A PE=4 SV=3
+MAGGVDGPIGIPFPDHSSEILSGLNEQRTQGLLCDVVILVEGREFPTHRSVLAACSQYFK
+KLFTSGAVVDQQNVYEIDFVSAEALTALMDFAYTATLTVSTANVGDILSAARLLEIPAVS
+HVCADLLDRQILAADAGADAGQLDLVDQIDQRNLLRAKEYLEFFQTNPMNSLPATAAASF
+PWSTFGASDDDLDATKEAVAAAVAAVAAGDCNGLDFYGPGPPAERPPAGDGDEGDSNPGL
+WPERDEDAPAGGLFPTPVVPPSTATQNGHYGRGGEEEAASLSEAAPEPGDSPGFLSGAAE
+GEDADGADADGLAASTLLQQMMSSVGRAGATTAGDSDEESRADDKGVVDYYLKYFSGAHD
+GDVYPAWSQKVEKKIRAKAFQKCPICEKVIQGAGKLPRHIRTHTGEKPYECNICKVRFTR
+QDKLKVHMRKHTGEKPYLCQQCGAAFAHNYDLKNHMRVHTGLRPYQCDSCCKTFVRSDHL
+HRHLKKDGCNGVPSRRGRKPRVRGGALGGPDPAPAAGAPAPPPPGAPAPPGSPEDDTATA
+ARRNGQEKHFKDEDEEEDEASPDGLGRLNVASAAGGGDGGSRATTADGSFAAGLA
+>tr|A0A3Q1MHI5|A0A3Q1MHI5_BOVIN G3BP stress granule assembly factor 2 OS=Bos taurus OX=9913 GN=G3BP2 PE=1 SV=1
+MVMEKPSPLLVGREFVRQYYTLLNKAPEYLHRFYGRNSSYVHGGVDASGKPQEAVYGQND
+IHHKVLSLNFSECHTKIRHVDAHATLSDGVVVQVMGLLSNSGQPERKFMQTFVLAPEGSV
+PNKFYVHNDMFRYEDEVFGDSEPELDEESEDEVEEEQEERQPSPEPVQENANSGYYEAHP
+VTNGIEEPLEESSHEPEPEPESETKTEELKPPVEEKNLEELEEKSASPPPAEPVSLPQEP
+PKAFSWASVTSKNLPPSGTVSSSGIPPHVKAPVSQPRVEAKPEVQSQPPRVREQRPRERP
+GFPPRGPRPGRGDIEQNESDNRRIIRYPDSHQLFVGNLPHDIDENELKEFFMSFGNVVEL
+RINTKGVGGKLPNFGFVVFDDSEPVQRILIAKPIMFRGEVRLNVEEKKTRAARERETRGG
+GDDRRDIRRSDRGPGGPRGIVGGGMMRDRDGRGPPPRGGMAQKLGSGRGAGQMEGRFTGQ
+RR
+>tr|A4FV07|A4FV07_BOVIN UBASH3A protein OS=Bos taurus OX=9913 GN=UBASH3A PE=2 SV=1
+MAAGETQLYAKVFNKLKGRSTPSLLDPLLGMGFPAHTALKALAATGRKTAEEASNWLHCH
+CNDPSLDDPIPQEYALFLCPTGPLLDKLQDFWRESRRQCAKNRAHEVFPHITLCDFFTCE
+DQKVEYLYEALKRAGDRTLRAFPSAVPLVLHSSISYLGFFVDDSPADIIREFAVMFATEA
+SVLADCTVKPCTKQLHLTLAHKFYPHHQRTLEQLARAINPSHSCQWTATLYSRDMRFVHY
+QTLRVLFQYKPQNVDELTLSPGDYIFVDPTQQEEASEGWVIGISQRTGCRGFLPENYTER
+ASECDTWVRHRTYTFSVTPDLSSRKDGEASSRRNGDPNPPSSSRSLSSLQSLQATIVRRS
+VLVVRHGERVDQIFGKSWLQQCTTPDGRYYRPDLNFPRSLPKRSRGIKDFENDPPLSSCG
+IFQSRMAGSKLPSEVAPLGGYSDEEGTFPTAVFQGKLCWTAASGSPQSSAPPPSAVCRRP
+NIYWESSNWRKKLR
+>tr|F1N4Y2|F1N4Y2_BOVIN 5-hydroxytryptamine (Serotonin) receptor 3A OS=Bos taurus OX=9913 GN=HTR3A PE=3 SV=2
+MLPWLPRALLGLLLPTLLAPWGEAKHRGDPQPPNASRPALLRLSDHLLANYKKGVRPVRD
+WRTPTTVSIDVIVYAILSVDEKNQVLTTYIWYRQYWTDEFLQWDPEDFDNITKLSIPTDS
+IWVPDILINEFVDVGKSPNIPYVYVRHHGEVQNYKPLQVMTACTLDIYNFPFDIQNCSLT
+FTSWLHTIQDINISLWRLPEKVKFDRSVFMNQGEWELLGVLTQFQEFSIESSDSYAEMKF
+YVVIRRRPLFYAVSLLLPSIFLMVVDIVGFYLPPDSGERVSFKITLLLGYSVFLIIVSDT
+LPATAIGTPLIGVYFVVCMALLVISLAETILIVRLVHKQDLQQPVPAWLRHLVLERVTLL
+LCLGDQSTARRPAATSQAAKTDDCSDMGNHYSHLGGPRDLEKTPRGRGSPPPPPREASLA
+VRGLLQELTSIRHFLEKREGSREVARDWLRVGSVLDRLLFRIYLLAVLAYSITLVTLWSI
+WQYS
+>tr|A5PJP2|A5PJP2_BOVIN SAFB protein OS=Bos taurus OX=9913 GN=SAFB PE=2 SV=1
+MAESLSGLGDSGAAGAAALSSASSETGTRRLTDLRVIDLRAELKKRNLDSSGNKSALMER
+LRKAIEDEGGNPDEIEITSEGNKKTSKRSSKGRKPEEEGVEDNGLEENSGDGQEDVETSL
+ENLQDIDMMDISVLDEAEIDNGSVADCVEDDDAENLQESLSDSRELVEGEMKELPEQLQE
+HAVEDKESINNLDTSSSDFTILQEIEEPSLEPENEKILDILGETCKSEPVKEEGSELEQP
+FAQETSSVGPDRKLAEEEDLFDSAHPEEGDLDLANESTAHAQSSKADTLLAVVKREPVEQ
+TGDDERTDCEPIGLEKPIEQSSKASEHTEACSEEAAEAPPEASSPDPGDSKEDVKKFAFE
+ACNEVPPAPKESSASEGADQKMSSVEDDSDTKKLSKEEKGRSSCGRNFWVSGLSSTTRAT
+DLKNLFSKYGKVVGAKVVTNARSPGARCYGFVTMSTAEEATKCINHLHKTELHGKMISVE
+KAKNEPAGKKSSERREGEGKKEKSSNTDRSANLKREEKADRKDDAKKGEDGSGEKSEDQD
+DQKPGSSERSRATKSGSRGTERTVVMDKSKGVPVISVKTLGSKERVSKSQDRKSASREKR
+SVVSFDEVKESRKSRDSESRRLREHSEREQSMQAELERQERKKLLIARERLAFHRHRLER
+ERMERERLERERRRVEHERRREQERIHHEREELRRQQELRYEQERRPAVRRPYDYGRRDD
+VYWPEAKRAALDERYHSDFNRQDRFHDFDHRDRGRYPDHSVDRREGSRSIMGEREGQHYP
+ERHGGPERHGRDSRDGWGGYGSDKRMSEGRGLPPPPRGRREWGDHGRRIEEDRAWQGAAD
+GGLMDRDHRRWQGGERSMSGHMMNRGGMSGRGSFAPGGASRGHVIPRGGFGSRPTDAHFT
+RRY
+>tr|A6QQ29|A6QQ29_BOVIN FA complementation group G OS=Bos taurus OX=9913 GN=FANCG PE=2 SV=1
+MAHQTPLGSSASHVSCLDLWREKNDQLVRQAKVAQDSSLPMRRQQLAQDALEGLRGLLCS
+LRGLPATVSVLPLELTVICNFITLRANLAQGFTEDLAQDIQQGLERVLETQGQLEARLEH
+GLWGLWDSTLRVSSLLPELLPALHHLAGLQAALWLTTNRLGDLTLLLQTLTVSQSRASED
+MLLLLKTWRPPAEESDAPLTLQDARGLRDVLLTAFAYRQGLQELITGSLPKALSSLHEAA
+SGLCPRSLLVQVYTALGTCLRKMGSPQRALLYLVAALKEGSTWGPPLLEASRLYQQLGNI
+AAEIESLELLVEALSITRIPEARQLLIEVELLLPQPDPASPLHCGTQSQAKYLLASRCLQ
+TGRAADAAEHYLDLLALLLDGSEPKFSPPPCPPGPCVPELFLEAAAALIQAGRAQDALTV
+CEELLSRTSFLLPKRPRLWEDARRRTKESPHCSHWVSATHLLQGQAWVQLGAQKEAISEY
+SRCLELLFRATPKDKEQGNQDASYHLLQTLRRLDRRDEATALWRRLEAQTELPQENTAWS
+LPLYLETCLGWIRPPDRETLCEEFQTSGDS
+>tr|B2D1N9|B2D1N9_BOVIN ATP-binding cassette sub-family G member 2 OS=Bos taurus OX=9913 GN=ABCG2 PE=2 SV=1
+MLKMSSNSYEVSIPMSKKLNGIPETTSKDLQTLTEGAVLSFHNICYRVKVKTGFLLCRKT
+IEKEILANINGVMKPGLNAILGPTGGGKSSLLDILAARKDPHGLSGDVLINGAPRPANFK
+CNSGYVVQDDVVMGTLTVRENLQFSAALRLPTTMTSYEKNERINKVIQELGLDKVADSKV
+GTQFIRGVSGGERKRTSIAMELITDPSILFLDEPTTGLDSSTANAVLLLLKRMSKQGRTI
+IFSIHQPRYSIFKLFDSLTLLASGRLMFHGPAQEALGYFGAIGFRCEPYNNPADFFLDII
+NGDSSAVVLNREDIGDEANETEEPSKKDTPLIEKLAEFYVNSSFFKETKVELDKFSGDQR
+RKKLPSYKEVTYATSFCHQLKWISRRSFKNLLGNPQASIAQLIVTVFLGLVIGAIFYDLK
+NDPAGIQNRAGVLFFLTTNQCFSSVSAVELLVVEKKLFIHEYISGYYRVSSYFFGKLLSD
+LLPMRMLPSIIFTCITYFLLGLKPKVEAFFIMMLTLMMVAYSASSMALAIAAGQSVVSIA
+TLLMTISFVFMMIFSGLLVNLKTVVPWLSWLQYLSIPRYGYAALQHNEFLGQNFCPGLNV
+TTNNTCSYAICTGEEFLTNQGIDISPWGLWKNHVALACMIVIFLTIAYLKLLFLKKFS
+>tr|Q2LGB6|Q2LGB6_BOVIN TIR domain containing adaptor protein OS=Bos taurus OX=9913 GN=TIRAP PE=2 SV=1
+MASSTSSPAPGSRSKKPLGKMADWFRQALARKPTKMPVSPESALSDVSHPSSPDSPPSLG
+SSSEVSPIPAPSHGSADGGGGSGNSGNSSGGRWSKDYDVCVCHSEEDLAAAQELVSYLEG
+GAASLRCFLQLRDATPGGAIVSELCHALSSSHCRVLLITPGFLRDPWCRYQMLQALSEAP
+GAEGRTIPLMSGLSRAAYPAELRYMYFVDGRGPEGGFRQVKEAVMRYLQTLG
+>tr|Q0P572|Q0P572_BOVIN MAP3K12 binding inhibitory protein 1 OS=Bos taurus OX=9913 GN=MBIP PE=2 SV=1
+MAAAAELSRPTIGDRGLERSCSPSLSREIVCEVFRSLHNLAGQLNLRDDVVKITIDWNKL
+QSLSALQPAFLFSALEQHVLYLQPFLAKLQPLIKEENTTVAEEIGKTETGNKNEVNANFP
+IGDLEEEEKHKDSDLGDVKKTQVHFDPEVVQIKAGKAEIDRRISAFIERKQAEINENNVR
+EFCNVIDCNQENSCARTDAIFTPYPGFKSHVKVSRVVNTYGPQTRSEGIPGSGHNPNSML
+RDCGNQAVEERLQNIEAHLRLQTGGPVPRDIYQRIKKLEDKILELEGISPEYFQSVNFSG
+KRRKVQPPQQNYSLAELDEKISALKQALLRKSRETESMATRHLP
+>tr|A2VE18|A2VE18_BOVIN STX6 protein OS=Bos taurus OX=9913 GN=STX6 PE=2 SV=1
+MSMEDPFFVVKGEVQKAVNTAQGLFQRWTELLQDPSTATREEIDWTTNELRNNLRSIEWD
+LEDLDETISIVEANPRKFNLDATELSIRKAFITSTRQVVRDMKDQMSASSVQALAERKNR
+QALLGDSGGQNWSSGTTDKYGRLDRELQLANSHFIEEQQAQQQLIVEQQDEQLELVSGSI
+GVLKNMSQRIGGELEEQAVMLDDFSHELESTQSRLDNVMKKLAKVSHMTSDRRQWCAIAV
+LFVVLLIVLILFLVL
+>tr|F1MJU6|F1MJU6_BOVIN WSC domain containing 1 OS=Bos taurus OX=9913 GN=WSCD1 PE=4 SV=2
+MAKPFFRLQKFLRRTQFLLFFLTAAYLMTGSLLLLQRARVGLPPGPRAPGPLQALPVAAV
+ALGVGLLDSRALRDGRVSPELLLGVDVLRGPLARPRPGPRWLRSRNSELRQLRRRWFHHF
+MADPPGPPALSPEAPQPATSSRGTYVGCFSDDGQERTLKGAVFFDLRKMTVSHCQDACAE
+RSYIYAGLEAGAECYCGNRLPAMSVGPEECNHECKGEKSSVCGGVGRLSVYRVEELQPGS
+RKRRTVTYRGCFRLPENITHAFPDSLVQANVTVETCSGFCSQKEFPLAILRGWECYCAYS
+TPQFNLRDAVDSSLCGQESEAQRLAEYCEVYQTPVQDTRCTDRRFLPTKSKVFVALSSFP
+GAGNTWARHLIEHATGFYTGSYYFDGTLYNKGFKGEKDHWRSRRTICVKTHESGRREIEM
+FDSAILLIRNPYRSLVAEFNRKCAGHLGYAADRNWKSKEWPDFVNSYASWWSSHVLDWLK
+YGKRLLVVHYEELRRSLVPTLREMVAFLNVSVSEERLLCVENNKEGSFRRRGRRPHDPEP
+FTPEMKDLINGYIRTVDQALRDHNWAGLPREYVPR
+>tr|Q3T173|Q3T173_BOVIN Four and a half LIM domains 1 OS=Bos taurus OX=9913 GN=FHL1 PE=1 SV=1
+MAEKFDCHYCRDNLQGKKYVQKDGHHCCLKCFDKFCANTCVQCRKPIGADSKEVHYKNRY
+WHDTCFRCSKCLQPLASETFVAKDNKILCNKCTTREDNPKCKGCLKPIVAGDQNVEYKGT
+VWHKDCFTCSNCKQVIGTGSFFPKGEDFYCVTCHEAKFAKHCVKCNKGLVKAPVWWPMKD
+NPGTTTASTAKNAP
+>tr|A5PJX0|A5PJX0_BOVIN F-box protein 22 OS=Bos taurus OX=9913 GN=FBXO22 PE=2 SV=1
+MERVGGGGDCCGSSSADPRSTFVLSNLAEVVERVFTFLPAKALLRVAGVCRLWRECVRRV
+LRTHRNVTWISALSADPCHLAQHCLVRVVAEELENVHILPQTVLYMADSENFINLEECRG
+HKRARKRTTMEAAFALEKLFPKQCQVLGIVTPGIVVTPIGSRSNRPQEIEIGESGFALLF
+PQIEGIKIKPFHFIKDPKKLTLERHQLTEVGLLDNPELRVVLVFGYNCCKVGASNYLQRV
+VSTFSDMNVILAGGQVDNLASLTSEKSPLDIDATGVVGLSFSGHQVQGATVLLNEDVNDE
+KTADAAMQRLKAANIPEQNTIGFMFACVGRGSQYYRAKKNVEADAFRKFFPSVPLFGFFG
+NGEIGCDRIVTGNFILKKCNEFNYNDLFHSYTTIMALIHLGSSK
+>tr|B6VAE0|B6VAE0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC100196897 PE=2 SV=1
+MLARLLKKSADSRVPASFAEPREPAASRRCHCARIQGQSSSSAGWRLLSGTVRFVVTSME
+TERPTKAATAHLPRSWEVRNRYTEEGGQTSHVVLNALHELGRLPEVSLQGENWLHALNLL
+FRSSTLPGS
+>tr|E1BFC1|E1BFC1_BOVIN Transcriptional regulating factor 1 OS=Bos taurus OX=9913 GN=TRERF1 PE=4 SV=3
+MGDQQLYKTNHVAHGGENLFYQQPPLGVHGGLNHSYGNTVPGAGMDAPQASPISPHFPQD
+TRDGLGLAVGSKNLGQVDTSRQGGWGGHAGPGNHVQLRGNLTNSNMMWGAPAQAEPTDGY
+QYTYSQASEIRTQKLTSGVLHKLDSFTQVFANQNLRIQVNNMAQVLHTQSAVMDGAPDSA
+LRQLLSQKPMEAPAAALPSRYQQASQQPHPGFTGGLSKPALQVGQHPSQGHLYYDYQQPL
+AQMPVQGGQSLQAPQMLSQHMQQLQPHQYYPPQQQTHAGQQRVSMQEMQPPPQQQIRPPQ
+PQPQPQPRQGSMQIPQYYQSPPMIQPLPEPQQPQMHLQPSSYHRDPHQYTPEQAHAVQLI
+QLGSMPQYYYQEPQQPYGHPVYQQSHLPQHQQPEDGQPKTYPGDRQAQAMLSSHGDLGPP
+DTGMGDAASSDLNRASSALPHRPLLSPGSIHLNNMGSQHQQLSPSAVWPQMHLPDGRAQP
+GSPESSGQPKGVFGEQFDAKNKLTCSICLKEFKSLPALNGHMRSHGGMRASPSLKQEEGE
+KAPAPPPQPQPPLPPPPPPPQLPPEAESLTPMVMPVSVPVKLLPPKPSSQGFANSVAAAP
+SARDKPASSMSDDEMPVLEIPKKHQPGAAKAEEALRSAPAEKKKFRHRPEPLFIPPPPSY
+TPNLAASHSGATLYQSQLRSPRVLGDHLLLDPAHELPPYTPPPMLSPVRQGSGLFSNVLI
+AGHGPGAHPQLPLTPLTPTPRVLLCRSNSIDGSNLTVTPGPGEQTVDVEPRINIGLRFQA
+EIPELQDVSALAQDTHRATLVWKPWPELENHDLQQRVENLLNLCCSSALPGGGTNSEFAL
+HSLFEAKGDVMAALEMLLLRKPVRLKCHPLANYHYAGSDKWTSLERKLFNKALATYSKDF
+IFVQKMVKSKTVAQCVEYYYTWKKIMRLGRKHRTRLSEIIDDCVTSEEDEEVEEEEDPEE
+DRKSTREEESELPKSPEPPQGPVLAPAEGPPLQALGQPSGSFICEMPNCGAVFSSRQALN
+GHARIHGGTNQVTKARGTVPSGKQKPGSAQSGYCSVKSSPSHSTTSGETDPTTIFPCKEC
+GKVFFKIKSRNAHMKTHRQQEEQQRQKAQKAAFAAEMAATIERTTGPVGPQGLLPLDQLS
+LIKPIKDVDLLDDDVVQQLGGVMAEAEVVDTGLLLDDQASVLLQGDTEL
+>tr|A6QNR8|A6QNR8_BOVIN TSC22D3 protein OS=Bos taurus OX=9913 GN=TSC22D3 PE=2 SV=1
+MAFQPPFSPSLFRKRDNASGASVVAIDNKIEQAMDLVKNHLMYAVREEVEILKEQIRELV
+EKNSQLERENTLLKTLASPEQLEKFQSRLSPEEPAPETPETPEAPGGSAV
+>tr|Q32L54|Q32L54_BOVIN Sperm associated antigen 6 OS=Bos taurus OX=9913 GN=SPAG6 PE=2 SV=1
+MSQRQVLQVFEQYQKARTQFVQMVAELATRPQNIETLQNAGVMALLRPLLLDVVPTIQQT
+AALALGRLANYNDDLAEAVVKGDILPQLVYSLAEQNRFYKKAAAFVLRAVGKHSPQLAQA
+IVDCGALDTLVICLEDFDPGVKEAAAWALGYIARHNAELSQAVVDAGAVPLLVLCIQEPE
+IALKRIAVSALSDIAKHSPELAQTVVDAGVIAHLAQMILNPDAKLKRQVLSALSQIAKHS
+VDLAEMVVEAEIFPVVLTCLKDKDEYVKKNASTLIREIAKHTPELSQLIVNAGGVAAVID
+CIGSCKGNIRLPGIMLLGYVAAHSENLAMAVIISKGVPQLSLCLSEEPEDHIKAAAAWAL
+GHIGRHTPEHARAVAVTNTLPVLLSLYMSTESSEDLQVKSKKAIKNILQKCTYLPALEPF
+LYDAPPNILKHVVGQFSKVLPHDSKARRLFVTSGGLKKVQEIKAEPGSLLQEYINSINNC
+YPEEIVRYYSPGYSETLLQRVDSYQPLTN
+>tr|F1MS56|F1MS56_BOVIN Phosphoacetylglucosamine mutase OS=Bos taurus OX=9913 GN=PGM3 PE=3 SV=1
+MDLDAVTKQSVLHAKPDGLILQYGTAGFRTKAEHLDHVMFRMGLLAVLRSKQTKSTIGVM
+VTASHNPEEDNGVKLVDPLGEMLAASWEEHATCLANAEEQHLPRVLVDISEKAAVDLHQD
+AFVVIGRDTRPSSERLSQSVIDGVTVLGGQFYDYGLLTTPQLHYMVFCRNSNGQYGKATV
+EGYYQKLSSAFVELTKQAFCRGDDHRTLKVDCANGIGALKLAEMKHYFSPGLSVQLFNDG
+TKGKLNHLCGADFVKSHQKPPQGIEMRANERCCSFDGDADRIVYYYHDADGQFHLIDGDK
+IATLISSFLKELLLEIGDGLSLGVVQTAYANGSSTRYLEEVMKVPVYCTKTGVKHLHHKA
+QEFDIGVYFEANGHGTVLFSKAAETKIKQLAKESEDEKRKAAKMLENIIDLFNQTIGDAI
+SDMLVIEAILALKGLTVQQWDALYTDLPNRQLKVKVADRQVISTTDAERQVVKPPGLQEA
+INDLVKKYRLSRAFVRPSGTEDIVRVYAEADSQENTDSLAYEVSLAVFQLAGGIGERPQR
+GF
+>tr|Q3SZ11|Q3SZ11_BOVIN Replication protein A3 OS=Bos taurus OX=9913 GN=RPA3 PE=2 SV=1
+MVDVMESPKARINASMLAQFIDQPVCFVGRLEKIHPTGKMFILSDGEGKNVTIELMEPLD
+EEISGIVEVVGRVTAKATIMCASYVQFKEDNHPFDLGLYNEAVKITHEFPQFFPLGVVQY
+G
+>tr|Q5E9D4|Q5E9D4_BOVIN Breast carcinoma amplified sequence 2 OS=Bos taurus OX=9913 GN=BCAS2 PE=2 SV=1
+MAGTGLVAGEVVVDALPYFDQGYEAPGVREAAAALVEEETRRYRPTKNYLSYLTAPDYSA
+FETDIMRNEFERLAARQPIELLSMKRYELPAPSSGQKNDITAWQECVNNSMAQLEHQAVR
+IENLELMSQHGCNAWKVYNENLVHMIEHAQKELQKLRKHIQDLNWQRKNMQLTAGSKLRE
+MESTWVSLVSKNYEIERTIVQLENELFQMKQQHGEANKENIRQDF
+>tr|A0JN47|A0JN47_BOVIN Plexin domain containing 2 OS=Bos taurus OX=9913 GN=PLXDC2 PE=2 SV=1
+MARFRRADLAAAGVVLLCHFLMDRLQFAEGKPGNQVNDGQYQVAQAFPQTEEEVEVDAHA
+FSYRWKRNLDSIKAVDSNRASLGQDSPEPRGFTDLILDDGQDNTTQIEEDTDHNYYISRI
+YGPSDSASRDLWVNIDQMEKDKVKIHGILSNTHRQAARVNLSFDFPFYGHFLREITVATG
+GFIYTGEVVHRMLTATQYIAPLMANFDPSVSRNSTVRYFDNGTALVVQWDHVHLQDNYNL
+GSFTFQATLLMDGRIIFGYKEIPVLVTQISSTNHPVKVGLSDAFVVVHRIQQIPNVRRRT
+IYEYHRVELQMSKITNISAVEMTPLPTCLQFNGCGPCVSSQIGFNCSWCSKLQRCSSGFD
+RHRQDWVDSGCPEEVQSKEKMCDPTEPAESSTRTSTTIRATTTQFRVLTTTRRAATSQLP
+TSLPTEDDTKIALHLKDNGASTDDSAAEKKGGALHAGLIVGILILVLIIAAAILVTVYMY
+HHPTSAASIFFIERRPSRWPAMKFRRGSGHPAYAEVEPVGEKEGFIVSEQC
+>tr|Q1LZC4|Q1LZC4_BOVIN Mitochondrial genome maintenance exonuclease 1 OS=Bos taurus OX=9913 GN=MGME1 PE=2 SV=1
+MEVFQTICRQLQSSKRLFVEAAPRVVFSTSSYLCGRKKRVNPYEQVDQEKYSDLVRSVLS
+FRGHARTPQSLFEEDALLYGPVSKSKAPKQEEEAGVPQNWFPLFNPEKSIKPDPARDPPV
+PLKIPLQRNAIPSVTRVLQQTMTSEQTFYLEKWRQRMILELGEDGFAEYTSNMFLQGKQF
+HEALESILSPQGNLKERDESLLESGYIQSVQHVLKDISGVRALESAVKHETLQYVGLLDC
+VADYQGKLCVIDWKTSEKPKPFIRNTFDNPLQVVAYVGAVNHDANYSFQVQCGLIVVAYK
+NGSPAHPHFMDAELCSQYWAKWLLRLEEYTKKEKNQNIQKLD
+>tr|Q3T107|Q3T107_BOVIN RAB24, member RAS oncogene family OS=Bos taurus OX=9913 GN=RAB24 PE=2 SV=1
+MSGQRVDVKVVMLGKEYVGKTSLVERYVHDRFLVGPYQNTIGAAFVAKVMSVGDRTVTLG
+IWDTAGSERYEAMSRIYYRGAKAAIVCYDLTDSSSFERAKFWVKELRNQEEGCQIYLCGT
+KSDLLEEDRRRRRVDFHDVQDYADSSCSFAPWVGSGVGMDVAAWVGHRKWRLPWQDPPQ
+>tr|A5PK42|A5PK42_BOVIN TTC25 protein OS=Bos taurus OX=9913 GN=TTC25 PE=2 SV=1
+MADPENEVLRSTFPSYMAEGERLYLCGEFAKAAHSFSNALHLQSGDKNCLVARSKCFLKM
+GELEKSLEDAEASLQGDPTFCKGILQKAETLYTMGDFEFALVFYHRGYKLRPDREFKVGI
+QKAQEAINNSVGSPSSIKLENKGDLSFLSKQAESMRAQQKPHPVRQLIHHPKRESKRKGS
+LKSEKIVRQLLGELYVDKEYLEKLLLDEDLIKGTIKHGLTVEDLIMTGINYLETRSDFWR
+QQKPIYARERDRKLMQEKWLRDRKRRPSQTARYILKSLEDIDMLLTSGSAEGSLQKAEKV
+LKKVLEWNKEEVPNKDELVGNLYSCIGNAQIELGQMVAALQSHRKDLEIAKEYDLPDAKS
+RALDNIGRVFARVGKFQQAIDTWEEKIPLAKTTLEKTWLFHEIGRCYLELDQAWEAQSYG
+EKSQQCAEEEGDMEWQLNASVLVAQAQVKLRDFESAVNNFEKALERAKLVHNNEAQQAII
+NALDDANKGIIEELKKTNYREILKEKKEKENATMLDGQTRTAKEKETRKTKDEPEKVMKQ
+WVQEQTEKQLEGVLSKETLGVTARQPEQRQREDPEKASWRKELGAKERGPGDTAKGQFGE
+AGRTEQNREETREIYRRPSELDQNLSDESSPRESEGLEKRLSKTDGGELEALGKTESGEI
+KEMEITENSEKIEKDEKEDEPIE
+>tr|G3N3E5|G3N3E5_BOVIN WD repeat-containing protein 5 OS=Bos taurus OX=9913 GN=WDR5 PE=4 SV=2
+MATEEKKPETEAARAQPTPSSSATQSKPTPVKPNYALKFTLAGHTKAVSSVKFSPNGEWL
+ASSSADKLIKIWGAYDGKFEKTISGHKLGISDVAWSSDSNLLVSASDDKTLKIWDVSSGK
+CLKTLKGHSNYVFCCNFNPQSNLIVSGSFDESVRIWDVKTGKCLKTLPAHSDPVSAVHFN
+RDGSLIVSSSYDGLCRIWDTASGQCLKTLIDDDNPPVSFVKFSPNGKYILAATLDNTLKL
+WDYSKGKCLKTYTGHKNEKYCIFANFSVTGGKWIVSGSEDNLVYIWNLQTKEIVQKLQGH
+TDVVISTACHPTENIIASAALENDKTIKLWKSDC
+>tr|Q08DS9|Q08DS9_BOVIN DEAH (Asp-Glu-Ala-His) box polypeptide 40 OS=Bos taurus OX=9913 GN=DHX40 PE=2 SV=1
+MSRFPAVAGRAPRRQEEGERSRDLQEERPSAGSVADREEKGCTSQEGGTTPTFPIQKQRK
+KLIQAVRDNSFLIVTGNTGSGKTTQLPKYLYEAGFSQHGMIGVTQPRKVAAISVAQRVAE
+EMKCTLGSKVGYQVRFDDCSSKETAIKYMTDGCLLKHILGDPNLTKFSVIILDEAHERTL
+TTDILFGLLKKLFQEKSPNRKEHLKVVVMSATMELAKLSAFFGNCPIFDIPGRLYPVREK
+FCNLIGPRDRENTAYIQAIVKVTMDIHLNEMAGDILVFLTGQFEIEKSCELLFQMAESVD
+YDYDVQDTTLDGLLILPCYGSMTTDQQRRIFLPPPPGIRKCVISTNISATSLTIDGIRYV
+VDGGFVKQLNHNPRLGLDILEVVPISKSEALQRSGRAGRTSSGKCFRIYSKDFWNQCMPD
+HVIPEIKRTSLTSVVLTLKCLAIHDVIRFPYLDPPNERLILEALKQLYQCDAIDRSGHVT
+RLGLSMVEFPLPPHLTCAVIKAASLDCEDLLLPIAAMLSVENVFIRPVDPEYQKEAEQRH
+RELAAKAGGFNDFATLAVIFEQCKSSGAPASWCQKHWIHWRCLFSAFRVEAQLRELIRKL
+KQQSDFPRETFEGPKHEVLRRCLCAGYFKNVARRSVGRTFCTMDGRGSPVHIHPSSALHE
+QETKLEWIIFHEVLVTTKVYARIVCPIRYEWVRDLLPKLHEFNAHDLSSVARREVREDAR
+RRWTNKENVKHRKDGISKEVLKKMQRRNDDKSISDARARFLERKQQRSQDHSDTLKETG
+>tr|A0JND6|A0JND6_BOVIN Terpene cyclase/mutase family member OS=Bos taurus OX=9913 GN=LSS PE=2 SV=1
+MTEGTCLRRRGGPYKTEPATDLSRWRLSNQVGRQTWTYSQEEDPVREQSGLEAHLLGLDT
+KSFFKDLPKAHTACRGALNGVTFYAALQTEDGHWAGDYGGPLFLLPGLLITCHVANIPLP
+AGYREEIIRYLRSVQLPDGGWGLHIEDKSTVFGTALNYVSLRILGVGPDDPDLVRARNLL
+HKKGGAVFIPSWGKFWLAVLNVYSWEGLNTLFPEMWLFPDWMPAHPSTIWCHCRQVYLPM
+AYCYSTRLSAEEGPLVQSLRQELYLEDYSCIDWAAHRNSVAPDDLYTPHSWLLHVVYAIL
+NLYERHHSTSLRQWATQKLYEHIAADDRFTKCISIGPISKTINMLVRWHVDGPASAVFQE
+HVSRIPDYLWLGLDGMKMQGTNGSQIWDTAFAIQALLEARAQHRPEFWSCLRKAHEYLRI
+SQVPDNFPDYQKYYRHMSKLLSLRNPDGGFATYETKRGGHLLELLNPSEVFGDIMIDYTY
+VECTSAVMQALKTFHKQFPDHRAGEIRETLEQGLQFCRQKQRPDGSWEGSWGVCFTYGAW
+FGLEAFACMGHTYHNGVACAEISRACDFLLSRQMADGGWGEDFESCKQRRYVQSAQSQIH
+NTCWALMGLMAVRHPDVAALERGVSYLLEKQLPNGDWPQENISGVFNKSCAISYTSYRNV
+FPIWTLGRFSRLHPESALTGHP
+>tr|A4IFA5|A4IFA5_BOVIN Slit-like 2 OS=Bos taurus OX=9913 GN=VASN PE=2 SV=1
+MHSRAPLRLLLPLLLLLPALGPGVQGCPSGCQCNQPRTVFCTARRGTTVPLDVPPDTVGL
+YIFENGITTLDAGSFAGLPGLQLLDLSQNQIASLPGGVFQPLANLSNLDLTANRLREITN
+ETFRGLRRLERLYLGKNRIRHIQPGAFDVFDRLLELKLQDNELRALPPLRLPRLLLLDLS
+HNGLSALEPGVLDTANVETLRLAGLGLRHLDEGLFGRLRNLHNLDVADNQLERVPPAVRG
+LRGLTRLRLAGNTRIAQLWLEDLAGLAALQELDLSNLSLQALPHELSTLFPRLRLLEAAR
+NPFNCVCPLSWFGPWVRESRLTLASPEETRCHFPPKNAGRLLLDLDYSDFGCPATTTTAT
+APTTRAPVQEPTLPPSSLAPTQLRPTELATEAPSQPPPAPPTAGPVPPPRDCPASICLNG
+GTCHLGARGHLECLCPQGFTGLYCEGRVRPGPRPSPAPATPRPLPLGIEPASPTSLRVGL
+QRFQQGSAVQLKSLRLTYRNLSGPDKRPVTLRLPASLAEYTVTQLRPNATYSICVRALGA
+GRVPEGEEACGEARTPPAVRSNHAPVTQAREGNLPLLIAPALAAVLLAVLAAVGAAFCVR
+RGRAAAAVVQGKGQVGPGAGPLELEGVKAPLEPDPKALEGGGEAPTGGLECEVPLMGYSG
+PGPQGPLPAKPYI
+>tr|A6QR64|A6QR64_BOVIN ZNF131 protein OS=Bos taurus OX=9913 GN=ZNF131 PE=2 SV=1
+MEAEETMECLQEFPEHHKMILDRLNEQREQDRFTDITLIVDGHHFKAHKAVLAACSKFFY
+KFFQEFTQEPLVEIEGVSKMAFRHLIEFTYTAKLMIQGEEEANDVWKAAEFLQMLEAIKA
+LEVRNKENSAPLEENTTGKSEAKKRKIAETSNVITESLPSAESEPVEIEVEIAEGTIEVE
+DEGIETLEEVASAKQSIKYIQSTGSSDDSALALLADITSKYRQGDRKGQIKDEDGCASDP
+TSKQEHMKSHSTESFKCEICNKRYLRESAWKQHLSCYHLEEGGVSKKQRTGKKIHICQYC
+EKQFDHFGHFKEHLRKHTGEKPFECPNCHERFARNSTLKCHLTACQTGVGAKKGRKKLYE
+CQVCNSVFNSWDQFKDHLVIHTGDKPNHCTLCDLWFMQGNELRRHLSDTHNISERLVTEE
+VLSVETRVQTEPVTSMTIIEQVGKVHVLPLLQVQVDSAQVTVEQVHPDLLQDSQVHESHV
+SELPEQVQVSYLEVGRIQTEEGTEVHVEELHVERVNQMPVEVQTELLEADLEQVTPEIMN
+QEEREPTQADAAEAAIEEHEDAEDLETKSTVDSQAEKAGNENRTPMPVLE
+>tr|A6QL49|A6QL49_BOVIN Lysophosphatidic acid receptor 6 OS=Bos taurus OX=9913 GN=LPAR6 PE=2 SV=1
+MVSNNSSDCIYNDSFKYTLYGCMFSMVFVLGLISNCVAIYIFICTLKVRNETTTYMINLA
+MSDLLFVFTLPFRIFYFATRNWPFGDLLCKISVMSFYTNMYGSILFLTCISADRFLAIVY
+PFKSKTLRTKRNAKIVCIAVWLTVMGGSAPAVFVPSPGSNTSATCFENFPAATWKTFLSR
+IVIFIEIVGFFIPLILNVTCSSMVLRTLNKPVTLSRSKINKTKVLRMIFVHLVIFCFCFV
+PYNINLILYSLMRTQTFVNCSAAIAVRTTYPITLCIAVLNCCFDPIIYYFTSDTIQNSIK
+MKNWSTRRSDSRFSEVQGTENFIQHNLQTLKNKIPDNESTI
+>tr|E1B906|E1B906_BOVIN SET domain containing 4 OS=Bos taurus OX=9913 GN=SETD4 PE=4 SV=1
+MKNGGGRTSRIRRRKLFTSSESRGVNESYKPEFIELKKWLKDRRFEDTTLIPAHFPGTGR
+GLMSKTSLQEGQTIISLPESCLLTTDTVIRSYLGAYIAKWQPPPSPLLALCTFLVSEKHA
+GDRSPWKPYLEVLPKAYTCPVCLEPEVVNLLPNPLKTKAWEQRSHVWEFFSSSRGFFSSL
+QPLFSEAVETIFSYRALRWAWCAVNTRAVYMKRPPLLCLSPEPDTCALAPYLDLLNHSPD
+VQVKAAFNEETRCYEIRTATRCGKHKEVFICYGPHDNHRLLLEYGFVCVSNPHACVYVSK
+DILVKYLPSTAKQMNKKISILEDHDFTENLTFGWDGPSWRLLTALKLLCLEAEEFTCWKK
+VLLGEIISDTNEKASLDVAQKICHYFIEETHAVLRKVSRMKDEEVALMNQLTLVETLRTE
+ELKILQASAKALTTLQTAFS
+>tr|Q0VCU9|Q0VCU9_BOVIN Transmembrane channel-like protein OS=Bos taurus OX=9913 GN=TMC6 PE=2 SV=1
+MSQSPAFVLNVLETPEDPEGSQEPSPYDESEVHDSFHQLIQEQSRWVAEEGLELQQRQPE
+TGALGASGSDHETMLGPEGAPVYSMATLRILASMPSRTIGRSRGAIISQYYSRTVKLRRR
+AGRPQLRDMGRSARPSLRLYDLELDSAVLEEEEKRGLLVKELQGLTAAQRDHMLRGMPLS
+LAEKRGLREESRPPRGKHRAQWHRGLLSCCDQLRDSCVLASHNLGLALLSGLQALMPWHY
+ALKRIGGRFGSSVLSYFLFLKTLLAFNTLLLPPLLAFIVGVQAAFPPAPTGPVPAFTGLE
+LLTGGGYFTHSVMYYGYYSNATLNQPCASPLDGSQCTPEAGSLPYSMPLAYLFTLGAAFF
+ITCITLVYSMSRSFGESYRVGSTSGVHAITVFCSWDYKVTQRWATRLQHDNIRTQLKELL
+AEWQSRQHRRSACGQLRRVAVLGLVWLLCLGTTLGCTLAVYTFSELMIKSPVSAEREAVL
+LLLPLVVCLLNLGGPYLFRILAALERHDSPVLEVYVAICRNLILKMVTLGILCYHWLGRR
+VGTLKDQCWENFVGQELYRLMVMDFIFTLLDTLLGELVWRLFSEKQLKRKGKPEFDIAGN
+VLELIYGQTLTWLGVLFSPLLPAMQIIKLLLLFYIKKASLMANCQAPRRPWKASHMSTVF
+ISLLCFPSFLGAAVFLCYAVWQVKPSSTCGPFRTLDTMYEAGKVWVRRLEKAGPRVSWLP
+WIHRYLVEDTFPIYLVSALLLAVIYLNIQVVKGQRKVICLLKEQISNVF
+>tr|A0A3Q1LZA3|A0A3Q1LZA3_BOVIN UEV and lactate/malate dehyrogenase domains OS=Bos taurus OX=9913 GN=UEVLD PE=4 SV=1
+MVQVFKDSSQKDLLNFTGTIPVIYQGNTYNIPIRLWILDSHPFAPPICFLKPTANMGILV
+GKHVDAQGRIYLPYLQNWSHPKSVIVGLIKEMIAKFQDELPLCSLSSSDEARQVDLLAYI
+AKITEGVSDINSKNWANRENKTVNKITVVGGGELGIACTLAISAKGIADKLVLLDFSEGT
+KGGMMDLDIFNLPNVEISKDLSASAHSKVVIFTVNSLGSSQSYLDVVQSNVDMFRALVPA
+LGHYSQHAILLVASQPVEIMTYVTWKLSAFPANRVIGIGCNLDSQRLQYIITNVLKAQTS
+GKEVWVIGEQGEDKVPIWSGQEEVTNHDSQMQLSNRAMELLRVKGQRSWSVGLSVADLLD
+SIVNDKKKVHSVSILAKGYYDINSEVFLSLPCILGTSGVSEVIKTTVKEETVTEKLQSSA
+SSIHGLQQQLKL
+>tr|Q2KJ19|Q2KJ19_BOVIN Down-regulator of transcription 1 OS=Bos taurus OX=9913 GN=DR1 PE=2 SV=1
+MASSSGNDDDLTIPRAAINKMIKETLPNVRVANDARELVVNCCTEFIHLISSEANEICNK
+SEKKTISPEHVIQALESLGFGSYISEVKEVLQECKTVALKRRKASSRLENLGIPEEELLR
+QQQELFAKARQQQAELAQQEWLQMQQAAQQAQLAAASASASNQAGSSQDEEDDDDI
+>tr|A3KN57|A3KN57_BOVIN KLF13 protein OS=Bos taurus OX=9913 GN=KLF13 PE=2 SV=1
+MAAAAYVDHFAAECLVSMSNRAVVHGPREGPEPGPEGAATVAATLPRVEERRDGKDSASL
+FVVARILADLNQQAPAPAPAERREGAAARKARTPCRLPPAPPPAPEPASPGASGAAAAPL
+SPAWSEPEPEPEPEPEAGLEPEREPGPAGSGEPGFRQRGRRGRSRTDLESPQRKHKCHYA
+GCEKVYGKSSHLKAHLRTHTGERPFACSWQDCNKKFARSDELARHYRTHTGEKKFSCPIC
+DKRFMRSDHLTKHARRHANFHPGMLQRRGGGSRTGSLSDYSRSDASSPTISPASSP
+>tr|A0JNE6|A0JNE6_BOVIN Eukaryotic translation initiation factor 2-alpha kinase 2 OS=Bos taurus OX=9913 GN=EIF2AK2 PE=1 SV=1
+MATGRSPCFYIEELNKYQQKNGVEVRYCELAKTGPPHNFRFTYQVIIDDKEYPKAEGRSK
+KEAKNAAAKLALEIINKDRKSVSFSSQLTTNIPEGPPIENYIGRLNTISQKKNLCVTYEE
+CKSKGDGPEGFHYICKIGQEEYGSGVGSTKQEAKQLAAKLAYEKIESETMRDGFSAACGD
+VERNSPAVSTSSSESPSENGFSTNASERSDNNSGTLNSSSVSPGDGSRNNSRKVKRSLAP
+TFVSPVKTEGNIYSVNERLFNDFTEVTPIGSGGFGQVFKAKHRIDKKTYVIKCVKYNSEK
+VEREVKALATLNHPNIVHYHNCWDGHDYDPEQSLNSSRSKTRCLFIQMEYCDKGTLDQWI
+EKRRGKKPDKRLALDFFQQITTGVHYIHSEQLIHRDLKPGNIFLVAMNQIKIGDFGLVTY
+LKNDETRTSKKGTLRYMSPEQLSSVKDYGNEVDIYALGLILAELLHICLTSLETQKFFDD
+LRNGRLDVFDDKEKDLLEKLLSVDPKKRPTASEILKTLKEWNNVTEKKKRNTC
+>tr|E1BDF4|E1BDF4_BOVIN WD repeat and coiled coil containing OS=Bos taurus OX=9913 GN=WDCP PE=4 SV=2
+MELGQGKLLRTGLNALYQAIHPIHGLAWTDGTQVVLTNLQLHSGEAKFGDSTVLGQFEHV
+YGVSWAPPGAADTPTLLAVQHKKCVIVWQLCPSPAETSKWLMSQPCEIRQSCPVLPQGCV
+WHPESAILTVLTAWDVSVFYNIHCDSSQVKVDISTEGLIYCACWTQDGQRLVVAAGSSLH
+SYIWDSAQKTLHRCSLCPIFDVDSYVRSIRATVDSQVAIATELPLDKICGLNASGTFDIP
+PSGEDTCLHALPVTDRVSSETNSETPVSSSYSEPLDLTHIHFNRFKSEGSSLTCLRKKDY
+LTGTGLDSSHLVLLTFEKEVTCNRKVIIPGILVPDLIAFNFKAQAVAVASNTSNVIFIYS
+VIPLLMPNIQQIQLESNERPKGICFLTDKLLLILVGRQKSMDSAFLPSSKSDQYMIRLIV
+REVMLKEDSPVTLSENQSGNSAFSTLINKTNIKKLIEDLSPDFCHQSTGLLFTANSSSQS
+GQPGRTLIKEIESPASSICDGSTFLETLDARPVNLSVTPPRPSGTPAHTSTAEPPNLERE
+KETYQLSKELEVVSRNLSEVQRHLSELSDFLHNGRKSSPVYPLSQDLPYVHITCQKSHFV
+HPVVEKRMMLLCNGKLRLSTVQQTFGLSLVEMLHDSHWILLCADSEGFVPLTFTATQEII
+IRDGRSDDFRNSASEP
+>tr|A4FV15|A4FV15_BOVIN PIAS4 protein OS=Bos taurus OX=9913 GN=PIAS4 PE=2 SV=1
+MAAELVEAKNMVMSFRVSDLQMLLGFVGRSKSGLKHELVTRALQLVQFDCSPELFKKIKE
+LYETRYAKKSSEPVPQPHRPLDPLTMHSTYERASSVPRTPLTGPNIDYPVLYGKYLNGLG
+RLPAKTLKPEVRLVKLPFFNMLDELLKPTELVPQNNEKLQESPCIFALTPRQVELIRNSR
+ELQPGVKAVQVVLRICYSDTSSPQEDQYPPNIAVKVNHSYCSVPGYYPSNKPGVEPKRPC
+RPINLTHLMYLSSATNRITVTWGNYGKSYSVALYLVRQLTSSELLQRLKTIGVKHPELCK
+ALVKEKLRLDPDSEIATTGVRVSLICPLVKMRLSVPCRAETCAHLQCFDAVFYLQMNEKK
+PTWMCPVCDKPAPYDQLIIDGLLSKILSECEDADEIEYLVDGSWCPIRAEKERSCSPQCP
+ILVLGTSDANGLLSTPSVNGGGGSVLGGAGSGGGPVGSVENGKPGADVVDLTLDSSSSSE
+EEEEEEEDEEDEDEEGPRPKRRCPFQKGLVSAC
+>tr|A4IFE2|A4IFE2_BOVIN RCHY1 protein OS=Bos taurus OX=9913 GN=RCHY1 PE=2 SV=1
+MAFSALEDGARGQAQRRRGCEHYDRGCLLKAPCCDKLYTCRLCHDNNEDHQLDRFKVKEV
+QCINCEKIQHAQQTCEECSTLFGEYYCSVCHLFDKDKKQYHCENCGICRIGPKEDFFHCL
+KCNLCLAMNLQGKHKCIENVSRQNCPICLEDIHTSRIAAQVLPCGHLLHRTCYEDMLKEG
+YRCPLCMRSALDMSRSWRQRDDEVAQTPMPSEYQNMTVDILCNDCNGRSTVQFHILGMKC
+NICESYNTAQAGKYRISIDQQ
+>tr|A4IFG5|A4IFG5_BOVIN RAS like family 10 member A OS=Bos taurus OX=9913 GN=RASL10A PE=2 SV=1
+MGGSLRVAVLGAPGVGKTAIIRQFLFGDYPERHRPTDGPRLYRPAVLLDGAVYDLSIRDG
+DGAGPGQSPGGQEEWPDPKDWSLQDTDAFVLVYDICSPDSFDYVKALRQRIAETRPAGAP
+EAPILVVGNKRDRQRLRFGPRRALAALVRRGWRCGYLECSAKYNWHVLRLFRELLRCALV
+RARPAHPALRLQGALHPARCSLM
+>tr|Q58CX1|Q58CX1_BOVIN Galactose-1-phosphate uridylyltransferase OS=Bos taurus OX=9913 GN=GALT PE=2 SV=1
+MATTFRASEHQHIRYNPLQDEWVLVSAHRMKRPWQGQVEHQPLTTVPRHDPHNPLCPGAT
+RANGEVNPDYEGTFLFDNDFPALQPDAPSPGPSDHPLFQAEAAQGVCKVMCFHPWSDVTL
+PLMSVPEIRAVVDAWASVTEELGAQYPWVQIFENKGAMMGCSNPHPHCQVWASSFLPDVA
+QREERCQRAYQSQHGEPLLVEYGRQELLRKERLVLTSEHWLVLVPFWAVWPFQTLLLPRR
+HVRRLPELTPAERDDLSSIMKKLLTKYDNLFETSFPYSMGWHGAPTGSEAGANWDHWQLH
+AHYYPPLLRSATVRKFMVGYEMLAQAQRDLTPEQAAERLRALPEVHYRLGQKDRETAAIA
+>tr|F1MQ84|F1MQ84_BOVIN Phosphodiesterase 4D interacting protein OS=Bos taurus OX=9913 GN=PDE4DIP PE=4 SV=3
+MEQAWTRDYFAEDDGEMVPRTSHAAAFLSDTKDRGPPLQSQTWRSGDKIPLGHSLRAFER
+PLQVQTQALRDFEKHLNDLKKENFSLKLRIYFLEERMQQKYEASRDDIYKRNIELKVEVE
+SLKRELQDKRQHPDKTCADAENINSHNEAELRRQFEERQQETEHVYELLENKIQLLQEES
+RLAKNEAARMAALVEAEKECNLELSEKLKGVTKEREDVPGDRVKSDQYTEALAQRDKKIE
+ELSQSLAAQEKLVEQLSQEKQQLLHLLEEPADMEVQPMTEDLLKQQKLNSNETGTTQQSV
+SDSHLAELQEKIQQTETTNKMLQEKLNEMSYELKSAQESSQKQDDTIQNLKETLKSRESE
+TEELYQVIEGQNDTMAKLREMLHQSQLKQLHNSEGTSPAQQQVALLDLQSALFCSQLEIQ
+KLQRAVRQKERQLADARRCVRFVEAAAQEREQQKEASWKHNQELQKALQQLQGELQSKSQ
+QLHTLEAEKYNEIRTQEQHIQHLHYSLSHKEQLLQEFRELLQYRNNSDKTLEANEMLLEK
+LRQRIQDRDVALERAIDEKFSSLEEKEKELRQLHLAMRERDHDLERLRGILSANEATMQS
+MESLLRSKGLEVEQLSATCQNLQWLKEEMETKFSRWQKEQESIIQQLQTSLHDRNKEVED
+LTATLLCKLGPGQTEIVEELCQRLQQKERMLQDLLSDRNKQAVEYEMEIQGLLQSMSTRE
+QESHAAAEKMVQALMERNSELQALRQYLGGKDFMMSQAPLSNRPAEVTSISPHLGEQTDQ
+GSVHIPSRDDSTSLTAKGDASVPRSSLGEVDTVAGLEKELSNAKEELELMAKKERESRME
+LSALQSMVAVQEQELQVQAADMESLTRTIQIKEDLIKDLQMQLVDPEDIPAMERLTQEVL
+LLREKVASVESQGQETSGNRRQQLLLMLEGLVDERSRLNEALQAERQLYSSLVKFHAHPE
+SSERDRTLQVELEGAQVIRNRLEEVLGRSLERLSRLETLAAIGGTAAGDDTEDASTEFTD
+SIEEEAAHNSHQQLIKVALEKSRAAVETQNVSPAPPTLTGGDGNRGLQEEMLHLRAEIHR
+HLEEKRKAEGELKELKAQIEEAGFSSVAHIRNTMLSLCLENAELKEQMGEAMSDGWEIEE
+DKEKGEAMVETVVAKGVLNENSLQAEFRKVQGKLKNARNIISLLKEQLVLSSKEGTSKLN
+PELLVHLAKEINRMNTELVYSPGKHQCLEEEGVTTRPCPRPQSLDLGAALTVDAHQLDNQ
+PQTHDPGPQSEFSFSGSTKHLRSQLAQCKQRYQDLQEKLLISEATVFAQANQLEKYRVMF
+TGGSSVKQDSKQVQVDLQDLGYETCGRSENEAEREESTSPECEEHDSLRETVLMEGLCSK
+QGHLDSTMTSPPGKKPLESQPGKQEELRAYGKSEDISVLRKDIKDLKAQLQNANKVIQNL
+KSRVRSLSVTSDYSSSLERPRKLKAVGALEGTSPHSVTDEDEGWLSDGTGAFYPPGLQAK
+KDLESLIQRVSQLEAQLPKTGTEGKLAEELRSASWPGKYDSLIQDQARELSYLRQKIREG
+RGICYLLTQHSKDTVKSFEDLLRSNDIDYYLGQSFREHLAQGSQLTERLTSKLSTKDHKS
+EKDQAGLEPLALRLSRELQEKEKVIEVLQAKLDARSLTPCSSHALSDSQRSPSSSSFLSD
+ELEACSDMDIASEYTHYEEKKASPGHSDSIHHSSHSAVLSSKPSATSSSQEVKAEPSSNP
+VSFPAPQNPPKEASQAHPGLHFQSIPTLVSLPQAPLPSAPPSFLPFSSAGPPLPGCCETP
+MVSLAEAQQELQMLRKQLGESVTSVHPASPATLPTNHTEARSSHYLNPPQPLCPPRGTTD
+LGRILEPGYLGSSGQWDVMRPQKGSISGDLSSGSSMYQLNSKPTGADLLEEHLGEIRNLR
+QRLEESICINDRLREQLENRLSSTARESGSTSNFYSPGLESTPQLSNENRVLREENHRLQ
+AQLSHVSREHSQETECLREALLTSRSRLQELEMELEHQKVDRQQLLEDLKEKQQEILHFQ
+EERLSLQEKDSRLQRKLALLQQQCEEKQQLFQSLQSELQIYEALYGDSKKTLKAYTWDAC
+HQVPLSSDLSHLVAEIRALRGQLEQSIQVNNCLRLQLEQQLDGGASKAGLSSSSVNQKFP
+TNTDPGNKQPFFQDSVASPPVRDVGMNSPVLVFPSSSSAAPGPETTTFSRTNELGLDASP
+VMKNPRKLEGDATDGSFANKHGRHVIGHIDDYSALREQIGEGRLLVKKIASLVRPTCSFS
+GLEAPGIEVMGSEGIQELRSSATALHHRLEESASLLTMFWRAALPSSPGPVLVDKVGESM
+KKELLELRTKLSKQESLLQSTSERLKTANQQKESMEQFIFSQLTRTHDVLKKARTNLEVK
+SLRALPCTPVL
+>tr|Q2NKU4|Q2NKU4_BOVIN Calcium regulated heat stable protein 1, 24kDa OS=Bos taurus OX=9913 GN=CARHSP1 PE=1 SV=1
+MSSEPPPPSQPPTHQSSTGLLDTQQARDRSPSPLRGNVVPSPLPTRRTRTFSATVRASQG
+PVYKGVCKCFCRSKGHGFITPADGGPDIFLHISDVEGEYVPMEGDEVTYKMCSIPPKNEK
+LQAVEVVITHLAPGTKHETWSGHVVSS
+>tr|A0A0B6VTF2|A0A0B6VTF2_BOVIN Mucin-like protein 1 OS=Bos taurus OX=9913 GN=MUCL1 PE=2 SV=1
+MKLLAFLTLVAVSTILVSAQDTTTAPQDTPASEAAATNSADPSASTDAPSESTASEAAAT
+SPADPSESTDASSESTDAPSESTDAPSESTDAPSESADTPTTSSAASTSTAARSTSTAST
+TTRYCFFPRFNIFC
+>tr|A0A3Q1NLQ9|A0A3Q1NLQ9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=PALM2 PE=1 SV=1
+MAEAELHKERLQAIAEKRKRQTEIEGKRQELDEQILLLQHSKSKVLREKWLLQGIPAGTA
+EEEEARRRQSEEDEFRVKQLEDNIQRLEQEIQALESEESQISAKEQIILEKLKETEKSFK
+DFQKSFSSADGDAVNHISSQLPDLPILCSRTAEPSPEQDGTSRAAGVGWESVLLTRDDIT
+SEAIASSSADMTVKKPPELSEDDTRLKHERDNCSAKALESITSSLPPDHKNMEIEVSVAE
+CKSVTGITSTPHSMDHPSPFYSTPHNGLLADHHESLDNDVAREIRYLDEVLEANCCDSAV
+DGTYNGTSSPEPGAAILAGSPSPPTPAAVQPEVTETAAGRQAPPHLELHQSDSDTMAEGR
+RANGHPPEQPRDVLGDSLQVPVSPSSSTSSRCSSRDGEVTLTTLKKEAKFELRAFHEDKK
+PSKLFEDDESEKEQYRVRKVRPSEEMLELEKERRELIRSQAVKKNPGIAAKWWNPPQEKT
+IEEQLDEEHLESHKKYKERKERRAQQEQLLMQQQQQQPPPQLCTAPAPSREHPSVTDHPK
+EDIVTEQIDFSAARKQFQQMENSRQTVARGQSTPRLFSIKPFYKPLGSINSDKTLTISRP
+ASVGAPPEDSSAAKGQKAHCAPESQSSGGGGQGSTAPQGKEGPYSEPSKRGPLSKLWAED
+GEFTSARAVLTVVKDDDPGILDQFSRSVNVSLTQEELDSGLDELSVRSQDTTVLETLSND
+FSMDNISDSGASNETTNALQENSLADFSLPQTPQTDNPSEGRGEGVSKSFSDHGFYSPLS
+TLGDSASVDDPLEYQAGLLVQNAIQQAIAEQIDRAGSETTKGGAEQQGPQVSQEKAGPRA
+PSSEKPQSMFEPPQVSSPVQEKRDVLPKILSTEDRVLRERGPSQPLPAVQPSGPINMEET
+RPEGSYFSKYSEAAELRSTASLLATQESDVMVGPFKLRSRKQRTLSMIEEEIPSSPGKGR
+GAEAAEASLAEYPEPQGKECPITALPSIVLQNCSRSLRPHGLIEERAHWLCAGRRGSMPT
+KRKKTMNNQLPSTQEASLVLGLPRNQEINSQSILIEYLLKCKRTQQSLCRPEVIIYSEKA
+VHPPNSNSWESKGKGLCVCVCV
+>tr|A6QR05|A6QR05_BOVIN Decaprenyl diphosphate synthase subunit 2 OS=Bos taurus OX=9913 GN=PDSS2 PE=2 SV=1
+MSLRQLLLRLPRYLGASGPLRGPLDTISSVISCRGQSSRSPAQWNQVVSEAEKIVGYPTS
+FMSLRCLLSDELCNIAMQVRKLVGTQHPLLTTVRGLIHDRQNNLQLRGLVVLLISKAAGP
+NSVNASCQNYDMVSGIYSCQRSLAEITELIHTALLVHRGIVNLNELQSSDGPLKDMQFGN
+KIAILSGDFLLANACNGLALLQNTKVVELLASALMDLVQGVYYENSTLAKENRITDDIGI
+STWKEQTFLSHGALLAKSCQAAMELAKHDAEVQDMAFQYGKHMAMSHKINSDLLPFIKEK
+TGDSLTFNLNSAPVVLHQEFLGRDLWIKQIREAQEKGRLDYAKLRETIKAGKGVTSAIDL
+CRYHGNKALEALESFPPSEARTALENIVFAMTRFS
+>tr|Q8MKI4|Q8MKI4_BOVIN Troponin T fast skeletal muscle type OS=Bos taurus OX=9913 GN=TNNT3 PE=2 SV=1
+MSDEEVEHVEEEYEEEEEAQEEAPPPPAEVPEVHEEVHEVHEPEEVQEEEKPRPRLTAPK
+IPEGEKVDFDDIQKKRQNKDLMELQALIDSHFEARKKEEEELVALKERIEKRRAERAEQQ
+RIRAEKERERQNRLAEEKARREEEDAKRRAEDDLKKKKALSSMGANYSSYLAKADQKRGK
+KQTAREMKKKVLAERRKPLNIDHLSEDKLRDKAKELWDTLYQLETDKFEYGEKLKRQKYD
+IMNVRARVEMLAKFSKKAGTAPKGKVGGRWK
+>tr|Q2KIM4|Q2KIM4_BOVIN Uncharacterized protein MGC137098 OS=Bos taurus OX=9913 GN=MGC137098 PE=2 SV=1
+MELDPTLPVLNQTWLSLGPGTFVLLGVPGMEALHAWLSVPVCLLYLAALVVNTLLLGLVA
+ADKALQRPMYQLLGLLAAADLVLATSTVRKALAVLWGLSGEISFQSCLAQLFVAHVAFTA
+ESLVLLAMAMDRYVAICQPLRYGALMTLRVVGIMAVAAVTRGACVMAPPVALLQRTALLW
+AAGPAPHLL
+>tr|Q0V8M6|Q0V8M6_BOVIN SLC7A7 protein OS=Bos taurus OX=9913 GN=SLC7A7 PE=2 SV=1
+MVDDVQYEVASQHERDATPLANEASPKPEQVKLKKEISLLNGVCLIVGNMIGSGIFVSPK
+GVLIYSASFGLSLVIWAIGGLFSVFGALCYAELGTTIKKSGASYAYILEAFGGLLAYVRL
+WTSLLIIEPTSQAVIAITFANYLVQPIFPNCLAPYAAVRLLAAACICLLTFINCAYVKWG
+TLVQDVFTYAKVLALIAIIIAGIVRLGQGASTHFENSFEGSSFSVGDIALALYSALFSYS
+GWDTLNYVTEEIQNPERNLPLSIGISMPIVTIIYILTNVAYYTVLNMRDILASDAVAVTF
+ADQIFGIFNWTIPLAVALSCFGGLNASIVAASRLFFVGSREGHLPDTICMIHVERFTPVS
+ALLFNGLMALIYLCVEDIFKLINYYSFSYWFFVGLSIVGQLYLRWKEPNRPRPLKLSLFF
+PIVFCLCTVFLVAVPLYSDTINSLIGIGIALSGLPFYFFIIRVPEHKRPLWLRRIVASVT
+WYLQVLCMSVAAEMDLEDGGQQSKQQDPKSK
+>tr|E1BNN5|E1BNN5_BOVIN Kinesin family member 27 OS=Bos taurus OX=9913 GN=KIF27 PE=3 SV=2
+MEEIPVKVAIRIRPLLCKEVLHNHQACVRVIPNTQQIIIGRDRIFTFDFVFGKNSTQDEV
+YNTCIKPLVLSLIEGYNATVFAYGQTGSGKTYTIGGGHVASVVEGQKGIIPRAIQEIFQN
+ISGKPSIDFNIKVSYIEVYKEDLRDLLELETSVKDLHIREDEKGNTVIVGAKECQVESAD
+EVMSLLEMGNAARHTGTTQMNEHSSRSHAIFTISLCQVDKNRKTAEDGSWHSHRHIVSKF
+HFVDLAGSERVTKTGNTGERFKESIQINSGLLALGNVISALGDPRKKSSHIPYRDAKITR
+LLKDSLGGSAKTVMITCVSPSSSDFDESLNSLKYANRARNIRNKPTLNFSPESDRMDEME
+FEIKLLREALQSQQASSSQTSQIHQEGTPDKNKIHSLEEQVTQLQGECLGYQNCLEEAFT
+FLVDLKDSVRLNEKQQHKLQEWFNMTQEVTLFRGHRGIGNLEEGPQHITVLQLKRELKKC
+QCALAADEVVFNQKDLEVKELKNQVQMMVQENKGHVVSLKEAQKVNRLQNEKIIEQQLLV
+DQLSEELTKLNLSMTSSVKETCGDGPDTRILEKRPYTVPFDTRLGHYIYGPVRLDSRKVH
+TSPSVYSLDRLVAGFRTRSQMLLGRLEEQDEVLQCQFSDNSDDEESEGQEKSEIRHRSCS
+WIQKPGSVCSLVELNDIQDQTQKSGLENEDLKIECLQESQELNLQKLRNSELILTEAKQK
+MRELTINIKMKEDLIKELIKTGNDAKSVSKQYSLKVTKLEHEAEQAKVELTETEKQLQEL
+ENKDLSDVALKVKLQKEFRRKMDAAKLRVQVLQKKHQNSKKLASLSIQNEKRANELEQNV
+DHMKYQKVQLQKRLREENEKRKQLDAEIKRDQQKIKELQLKTEQKDLKLKAEDLDAFKMK
+RRKGSFGSIDQLQKLDKQKKWLDEEVEKVLNQRQELEELEEDLKKREAIVSKKEALLQEK
+SHLESKKLRSSQALNTDSLKISTRLSLLDQELSEKNVQLQSSTAEEKIKISEQVQALQRE
+KDQLQRQRNSVDEKLKNGSVLSPEEEHVLFQLEEGIEALEAAIEYKNESIQSRQNLLRAS
+FQNLSHSEANVLEKLICLSPVEIRAVLFRYFNKVVNLREVERKLKLQNEEIKMKVLERDN
+MVRELESALEHLKFQCDRRLILQQKEHEQKMQLLLHHFKERDGEGIVETLKNYEDKIHQL
+ERDLYFYKKTSRDLKKKLKELVGEAIRRQLLPSENHDAGDGVQNPEKAGMVSEELKWASR
+TESMKLSGRERPLDSSTSSLRTQPNPQKLWEDGPELAPMYSSVAPTSGHLLSNEDKTEID
+ENQFTKSNSRPSSHIQPVGNVGQLHGVTPVKLCRKELRQISALELSLRRSNLGVAVGSMT
+ADSLEVARKVSDLKT
+>tr|A7MB65|A7MB65_BOVIN KCTD20 protein OS=Bos taurus OX=9913 GN=KCTD20 PE=2 SV=1
+MSVRRGTESDRLLQQEASCLMDEASAAAQEKEANSPASSGLQNLTYPLGPRNDDLPLDSA
+SQPANLPFPHMMSLPEDVKGSCFPSGNKRSHEPFIAPERFGNSAVGFGCNVHSQAPEKVT
+LLVDGTRFVVNPQIFTAHPDTMLGRMFGPGREYNFTRPNEKGEYEIAEGISATVFRTVLD
+YYKTGIINCPDGISIPDLRDTCDYLCINFDFNTIRCQDLSALLHELSNDGAHKQFDHYLE
+ELILPIMVGCAKKGERECHIVVLTDEDSVDWDEDHPPPMGEEYSQILYSSKLYRFFKYIE
+NRDVAKTVLKERGLKNIRIGIEGYPTCKEKIKRRPGGRSEVIYNYVQRPFIQMSWEKEEG
+KSRHVDFQCVRSKSLTNLVAAGEDVLEDQEILMHHPPQVDELDRLNAPLSQMASNDFQD
+>tr|Q1RMM2|Q1RMM2_BOVIN Lymphocyte antigen 6 complex, locus E OS=Bos taurus OX=9913 GN=LY6E PE=2 SV=1
+MKVFLPLLLAALLGVERAHSLVCFTCQNVQSNWYCLKPTICSNTDSYCVTVSASAGLKNV
+VDLGYSLYKFCSPICGSTSLNFGVASMDTSCCQSFLCNISAAGRGPRASTLVLGLGLLLS
+LLVALLRFGP
+>tr|A6H711|A6H711_BOVIN RBM43 protein OS=Bos taurus OX=9913 GN=RBM43 PE=2 SV=1
+MASVLNVKESNASERTVVVADLPVDLNDQLVTTLVKIHFEDTDNGGGVVEDVTYPTRTKG
+VAYVTFKEKTVAENVVRKKKHSLAGMAGSAQLIVSHFSEKIFSSVKAVLDLSVFRSQIRL
+ESLVMDLKREIPTLCFSPLEANGRISVQGTFLAIEKLKESLLLKASFLLENSRNRQSPRG
+STRRSSHSLKPARSLTPETTKRGESLVLDTDTFLYLKKRTKFYESTLRRFHVLCQETVDG
+EITTLCIKNAQGGSQSNNEKKVKESIEKYSHILHFELRKETFILEGKEDREKENIKLACE
+RLSLSYLQVLVNFYKTHIDVIGSPPDTYLFKKEVMELIRQKVR
+>tr|F1MQ24|F1MQ24_BOVIN T-complex 11 like 1 OS=Bos taurus OX=9913 GN=TCP11L1 PE=4 SV=1
+MSENLDKSNINEAGKSKSSDSEQGLEDAVEVSDEALQKKIKSGSPSTQRVQRPHSSPPRF
+VTVEELLETAKGVTNMALAHEIVINGDFQIKPVELPEDSLEKRVKDIVHKAFWDCLSVQL
+SEVPPTYDRAIKLVGEIKETLLSFLLPGHTRLRNQITEVLDLDLIKQEAENGALDISKLA
+EFIIGMMGTLCAPVRDEEVKKLKDIKEIVPLFRAIFSVLDLMKVDMANFAVSSIRPHLMQ
+QSIEYERKQFQEFLEKQPNSLDFVTQWLQEATDDLMSQKYKNALPAAGGAAGSGDGPQLD
+PVAVQNYAYLKLLKWDHLHRPFPETVLMDHSRFQEFQLQLEQLTVLGAVLLVTFSMAAPG
+IASRADFAEKLKMIVKILLTDMHLPSFHLEDALTAVGEKVCLEVSSCLSLCGLSPLTTDK
+EAVLKGQIQAVASPDNPIRRIVDSRILTFLDAYLASSHQKPSPIAPGGLGPIQKELEEVA
+VKFVRLVNYNKMVFSPYYDAILSKTLARS
+>tr|A7Z015|A7Z015_BOVIN LHX1 protein OS=Bos taurus OX=9913 GN=LHX1 PE=2 SV=1
+MVHCAGCKRPILDRFLLNVLDRAWHVKCVQCCECKCNLTEKCFSREGKLYCKNDFFRCFG
+TKCAGCAQGISPSDLVRRARSKVFHLNCFTCMMCNKQLSTGEELYIIDENKFVCKEDYLS
+NSSVAKENSLHSATTGSDPSLSPDSQDPSQDDAKDSESANVSDKEGGSNENDDQNLGAKR
+RGPRTTIKAKQLETLKAAFAATPKPTRHIREQLAQETGLNMRVIQVWFQNRRSKERRMKQ
+LSALGARRHAFFRSPRRMRPLVDRLEPGELLPNGPFSFYGDYQSEYYGPGGNYDFFPQGP
+PSSQAQTPVDLPFVPSSGPSGTPLGGLEHPLPGHHPSSEAQRFTDILAHPPGDSPSPEPS
+LPGPLHSMSAEVFGPSPPFSSLSVNGGASYGNHLSHPPEMNEAAVW
+>tr|E1BLJ0|E1BLJ0_BOVIN Methyltransferase like 4 OS=Bos taurus OX=9913 GN=METTL4 PE=3 SV=1
+MSVVHELSPGWLLDHLAFINKISYELHQHHEPCCSKSRPTSVHLDSLHMDSVSSFGASAT
+FVPSTSKPENDDRGNCEMFIQKYVFRSELFDISKPYITSANHKECQESKEKEDLVNDVKK
+EIFISINGKKRKRCVAFNQGELDAMEYHRKIRGLILDGSSQLIQEGLTSGFLHSCSEKLN
+KCSEPITLPLDTCNLSELCEMAKHLPFLNETELQTLQLMEDDTSVTEQDLFSRIVENTSS
+FTKIITLMGQKYLLPPKSSFLLSDISCMHPLLNCKKTYDVIVIDPPWQNKSVKRSNRYSY
+LSPLQIKQIPVPKLAAPSCLVVTWVTNRQKHLRFVKEELYPSWSVEVVAEWHWVKITSSG
+EFVFPLDSPHKKPYEGLILGRVREKAALLLSRNADVKELPIPDHKLIVSVPCTLHSHKPP
+LAEVLKDYIKPEGECLELFARNLQPGWTSWGNEVLKFQHMDYFVALKSKS
+>tr|A0JNH7|A0JNH7_BOVIN Protein kinase C OS=Bos taurus OX=9913 GN=PRKCZ PE=2 SV=1
+MPSRTGPKMDGSGGRGRVRLKAHYSGDLLITSLDSATTFDELCAEVREMCWLHPGHPLTL
+KWVDNEGDPCTVSSQMELEEAFRLSCQHKDEGLTLHVFPSIPKEPGMPCPGEDKSIYRRG
+ARRWRKLYRANGHLFQAKRFNRRAYCGQCSERIWGLARQGYRCIHCKLLVHKRCYVLVPL
+TCSRHMDSVMPSQEPAVDDKSDDVDLPSEESDGIAYISSTRKHDNIKDDAEDLKPVIDGM
+DGIKISQGLGLQDFDLIRVIGRGSYAKVLLVRLKKNDQVYAMKVVKKELVHDDEDIDWVQ
+TEKHVFEQASSNPFLVGLHSCFQTTSRLFLVIEYVNGGDLMFHMQRQRKLPEEHARFYAA
+EICIALNFLHERGIIYRDLKLDNVLLDADGHIKLTDYGMCKEGLGPGDTTSTFCGTPNYI
+APEILRGEEYGFSVDWWALGVLMFEMMAGRSPFDIITDNPDMNTEDYLFQVILEKPIRIP
+RFLSVKASHVLKGFLNKDPKERLGCRPQTGFSDIKSHAFFRSIDWDLLGKKQALPPFQPQ
+ITDDYGLDNFDTQFTSEPVQLTPDDEDVIKRIDQSEFEGFEYINPLLLSTEESV
+>tr|A0A0F7RPV8|A0A0F7RPV8_BOVIN Glycoprotein-beta 5 OS=Bos taurus OX=9913 GN=GPB5 PE=3 SV=1
+MRLVYLFLGPMTLLLLAGCGCVISTSSENLRTFVGCAVREFTFLAKKPGCRGLRITTDAC
+WGRCETWEKPILEPPYIEAHHRVCTYNETKQVTVKLPNCAPGVDPFYSYPVAVRCDCGAC
+STATTECETI
+>tr|A1L585|A1L585_BOVIN Cell recognition molecule CASPR3 OS=Bos taurus OX=9913 GN=CNTNAP3 PE=2 SV=1
+MDPVAGTFLRMLFLLSAQPWSTLAAGNTYNCDAPLASSLPRASFTSSSELSSSHGPGFAS
+LNRRDGAGGWSPLVSNKYQWLQIDLGERMEVTAVATQGGYGSSDWVTSYLLMFSDGGRNW
+KQYRREESIWGFPGNTNADSVVHYRLQPTFEARFLRFLPLTWNPKGRIGMRIEVYGCAYR
+SEMVHFDGKSSLLYTFNHKSMNPIKEVISLKFKTRENNGILLHREGPNDKHITLELVKGK
+LILFLNSGHADLPSPDALMLGSLLDDEHWHSVLIELLSTDVNFTVDTHTHHFRAKGESSY
+VDLDYKISFGGIPGHGKSVAFPHKNFHGCFENLYYNEVDIIDLSKKHKPQVLIMGNVSFS
+CSHTQTVPMTFLSSRSYLVLPGISREDKVSVIFQFRTWNRAGLLLTSQFHHRSGALILVL
+SDGKLKLSLSQPGHPARDITAGAGLNDGQWHSVSLSARGNHLSVMVDGEAASMAHSLRGQ
+IESGDTYYLGGCPDNSSHPGCTNSLGGFQGCLRLISIGDEAVDPISIQQGALGSFRDLQI
+DTCGLTDRCLPSYCEHGGECSQSWNTFSCDCAHTGYMGATCHSSLYEQSCEAHWHRGNSS
+GLYYIDSDGSGPLEPSLVYCNMTDTAWTSVQHNGSHLVKIKSLNGESPHPVFFKYIASMD
+QLQTIIDYADNCQQELTFHCKKSRLSTPQDGTPVSWWVGRTNETHTYWGGSQPDAQKCTC
+GLEGNCIDSQYYCNCDADRNEW
+>tr|E1BNU3|E1BNU3_BOVIN KN motif and ankyrin repeat domains 3 OS=Bos taurus OX=9913 GN=KANK3 PE=4 SV=3
+MAKFALNQNLPDLGGPRLCPSATGGARSPSSPYSVETPYGFHLDLDFLKYVEELERGPAA
+RRSAGPLPARRPRAPRAGLAGARSPGAWTSSESLASDDGAAPGAFSPGAPSTLMLPPLSP
+RAPVRNPRVERTLLETSRRLELAQAQEGAPSPARAAPRSPRGSGHSSPAPNPALASPGPA
+QLQLVREQMAAALRRLRELEEQARALPELQEQVRALRAEKARLLAARRQPESDGEAEGRP
+DKLAQLRRLTERLATSERGVRARASPGADGPDGSASSHSEGAPPGLDRAPQTREAGTQIV
+PETQKAAVQAVPETQEAGVGAAPETLEAEAWVTEALLGLPAAAERELELLRASLEHQRGV
+SELLRGRLCELEEAREAAEEAKAEAEASRPQPCVATTQTSWDYVEKTTQTDAPEEAPAPM
+PENPLGSTDGDRAVAPAGTLKSIMKRKDGKPGGQTSPGPKSLQFVGVLNGEYESSSSEGD
+SEDGAAEPPRSSSSGSGDDSGAASDSETPGAPSGKEDRDAEPEAEQEPQPGAQGRCELSP
+RLREACAALQRQLSRPRGVPRDSGAARLVAQEWFRVSSQRRSQAETVAGVLGAVARLGRE
+LLEHVVNLADGNGNTALHYSVSHGNLAIASLLLDTGVCKIDHQNRAGYSALMLAALTSVG
+REEDMAVVQRLFQMGNVNAKASQTGQTALMLAISHGRQDMVAALLECGADVNVQDADGAT
+ALMCASEYGRLDTVRLLLAQPGCDPALLDNEGTSALAIALEAEQDEVAALLHAHLSSGQP
+GSQLSPDSSTATPGEGGCSDSGEDPLPQ
+>tr|A0JNH5|A0JNH5_BOVIN Gamma-tubulin complex component OS=Bos taurus OX=9913 GN=TUBGCP4 PE=2 SV=1
+MIHELLLALSGYPGSIFTWNKRSGLQVSQDFPFLHPSETSVLNRLCRLGTDYIRFTEFIE
+QYTGHVQQQDHHPSQQGQGGSHGIYLRAFCTGLDSVLQPYRQALLDLEQEFLADPHLSIS
+HVNYSLDQFQLLFPSVMVVVEQIKSQKIHGCQILETVYKHSCGGLPPVRSALEKILAVCH
+GVMYKQLSAWMLHGLLLDQHEEFFIKQGPSSGNVSAQPEEDEEDLGIGGLTGKQLRELQD
+LRLIEEENMLAPSLKQFSLRVEILPSYIPVRVAEKILFVGESVQMFENQNVNLTRKGSIL
+KNQEDTFAAELHRLKQQPLFSLVDFEQVVDRIRSTVAEHLWKLMVEESDLLGQLKIIKDF
+YLLGRGELFQAFIDTAQHMLKTPPTAVTEHDVNVAFQQSAHKVLLDDDNLLPLLHLTIEY
+HGKEHKDAPQAREGPSRETSPREAPASGWAALGLSYKVQWPLHILFTPAVLEKYNVVFKY
+LLSVRRVQAELQHCWALQMQRKHLKSNQSDAVKWRLRNRMAFLVDNLQYYLQVDVLESQF
+SQLLHQINSTRDFESIRLAHDHFLSNLLAQSFILLKPVFHCLNEILDLCHSFCSLVSQNL
+GPLDERGAAQLSILVKGFSRQSSLLFKILSSVRNHQINSDLAQLLLRLDYNKYYTQAGGT
+LGSFGM
+>tr|Q3SYY1|Q3SYY1_BOVIN C-X-C motif chemokine ligand 14 OS=Bos taurus OX=9913 GN=CXCL14 PE=2 SV=1
+MRLLTAALLLLLLALCAARVDGSKCKCSRKGPKIRYSDVKKLEMKPKYPHCEEKMVIITT
+KSMSRYRGQEHCLHPKLQSTKRFIKWYNAWNEKRRVYEE
+>tr|A6QLL5|A6QLL5_BOVIN Sodium/potassium-transporting ATPase subunit beta OS=Bos taurus OX=9913 GN=ATP1B2 PE=2 SV=1
+MVIQKEKKSCGQVVEEWKEFVWNPRTHQFMGRTGTSWAFILLFYLVFYGFLTAMFTLTMW
+VMLQTVSDHTPKYQDRLATPGLMIRPKTENLDVIVNVSDTESWDQHVQKLNKFLEPYNDS
+IQAQKNDVCRPGRYYEQPDNGVLNYPKRACQFNRTQLGDCSGIGDPTHYGYSTGQPCVFI
+KMNRVINFYAGANQSMNVTCVGKRDEDAENLGNFVMFPANGNIDLMYFPYYGKKFHVNYT
+QPLVAVKFLNVTPNVEVNVECRINAANIATDDERDKFAGRVAFKLRINKT
+>tr|A6H741|A6H741_BOVIN TMEM176B protein OS=Bos taurus OX=9913 GN=TMEM176B PE=2 SV=1
+MAQNTLTVNGVDVGSVLSQPTHIDIHIHQESALAQLLKAGSSLVERLSHRPAKARIGYGQ
+LALGVTQLLLGAISCALGGLLYLGPWIQLRASGCALWAGFVAIAAGVGAIVHEKRRGKLS
+GCVSGLLTLAGIATAVAAVVFCVNSFIWQYDGFYDISSVCDSLVPVTPTSWYQRRSSYSS
+WEEENCRRYMQMLMDLFLGIRALLLAICALQVTVSLASLGVGLQSFCGQSSRALQDEEGS
+ERNLLGENSVPPSPSKEKTTAVIVL
+>tr|Q3SYX8|Q3SYX8_BOVIN Sterol-C5-desaturase OS=Bos taurus OX=9913 GN=SC5D PE=2 SV=1
+MDLVLSIADYYFFTPYIYPATWPEDNIFRQAITLLIVTNLGAFILYFFFATLSYYFVYDH
+LLMKHPQFLKNQVSREITHSVQSMPWMSIPTVLLFLLEVRGYSRLYDGIGEFPYGWFQLV
+ASVLSFLFFTDMLIYWIHRGLHHKLVYKRLHKPHHIWKIPTPFASHAFHPLDGFLQSLPY
+HIYPFIFPLHKTSWA
+>tr|Q2TA15|Q2TA15_BOVIN Chromosome 15 open reading frame 23 ortholog OS=Bos taurus OX=9913 GN=KNSTRN PE=2 SV=1
+MAAAEDVAHASDCRTTWPPTESAPHLLPPGRRKFPFETQAADAVGGTAVIAEHLLNQSDE
+ACGQEQQAPGPQPCRLVTMTSVVKTVYTLQPSCVLSSGLPADAQTRATFKSQLPVKSKEV
+DVSRPHSGASENDVTKIIKPRRENGQVKATDTSRRNLRKSYKPLSKQKSEEELKDKNQLL
+EAVNKQLHQKLIETQGELKDLTQKVELLEKFQDNCLAILESKGLNPAAVTNFAR
+>tr|A5PJT8|A5PJT8_BOVIN Deoxyribonuclease OS=Bos taurus OX=9913 GN=DNASE1L2 PE=2 SV=1
+MGGPLTLLAMLWALGASRAQALRIGAFNIQSFGDSKVSDPGCGGVIAQILAGYDVMLVQE
+VRDPDLSAVSVLMEQINSVSRHEYNFVSSEPLGRDQYKEMYLFVYRKDTVSVVDTYQYPD
+AEDAFSREPFVVKVSAPGSAATELVLIPLHAAPHHAVAEIDALYDVYLDVIDKWGTDDIL
+FLGDFNADCKYVREQDWPAIRLRSSEVFKWLIPDSADTTVGNSDCAYDRIVVCGSRLRKS
+LKHQSATVHDFQEEFGLDQTQALAISDHFPVEVTLKSH
+>tr|Q08DJ4|Q08DJ4_BOVIN Hepatocellular carcinoma-associated antigen 127 OS=Bos taurus OX=9913 GN=ZC4H2 PE=2 SV=1
+MADEQEIMCKLESIKEIRNKTLQMEKIKTRLKAEFEALESEERHLKEYKQEMDLLLQEKM
+AHVEELRLIHADINVMENTIKQSENDLNKLLESTRRLHDEYKPLKEHVDALRMTLGLQRL
+PDLCEEEEKLSLDYFEKQKAEWQTEPQEPPIPESLAAAAAAAQQLQVARKQDTRQTATFR
+QQPPPMKACLSCHQQIHRNAPICPLCKAKSRSRNPKKPKRKQDE
+>tr|Q08DR4|Q08DR4_BOVIN UDP-glucose ceramide glucosyltransferase OS=Bos taurus OX=9913 GN=UGCG PE=2 SV=1
+MALLDLALEGMAVFGFVLFLVLWLMHFMAIIYTRLHLNKKATDKQPYSKLPGVSLLKPLK
+GVDPNLINNLETFFELDYPKYEVLLCVQDHDDPAIDVCKKLLGKYPNVDARLFIGGKKVG
+INPKINNLMPGYEVAKYDLIWICDSGIRVIPDTLTDMVNQMTEKVGLVHGLPYVADRQGF
+AATLEQVYFGTSHPRSYISANVTGFKCVTGMSCLMRKDVLDQAGGLIAFAQYIAEDYFMA
+KAIADRGWRFAMSTQVAMQNSGSYSISQFQSRMIRWTKLRINMLPATIICEPISECFVAS
+LIIGWAAHHVFRWDIMVFFMCHCLAWFIFDYIQLRGVQGGTLCFSKLDYAVAWFIRESMT
+IYIFLSALWDPTISWRTGRYRLRCGGTAEEILDV
+>tr|Q2HJ80|Q2HJ80_BOVIN Similar to C-terminal binding protein 1 OS=Bos taurus OX=9913 GN=LOC515578 PE=2 SV=1
+MGDQDLPGVLVSDLEGPHGICEDHAEDLKRHFNLITMQDFLENKAQLGPQIQAVYIWCGR
+PAVSQELLHSLPSLKIVASAGAGLDHLDLGLVASFGVKVANTPHAVSSPTADLGMALLLA
+AARRVVEGHQLAVSPHTENFPTDYMGQQVTGATLGIIGMGSIGYKIAQRARAFEMKIVYH
+NRKRSVTREHCLTWAYRGGISSTQVC
+>tr|Q3ZBI0|Q3ZBI0_BOVIN Transmembrane 4 L six family member 4 OS=Bos taurus OX=9913 GN=TM4SF4 PE=2 SV=1
+MCTGSCAKCLGGTLIPLSVFGLLANILLFFPGGKVIDNNDHLSDEVWYFGGILGSGVLMI
+FPALVFLGLKNNDCCGCCGNEGCGKRFAMFTSTIFAVIGVLGAGYSFIVSAVSINKGPKC
+FMSQNSTWGYPFQDGDYLGDSNLWSRCQKPSDVVPWNLTLFSILLIIGAVQIIICAIQVI
+NGLLGTLCGDCQCCGCCGGDGPV
+>tr|Q3SYU0|Q3SYU0_BOVIN Chloride channel accessory 4 OS=Bos taurus OX=9913 GN=CLCA4 PE=2 SV=1
+MGLFRSFVFLLMLYLLQGSNTSLVRLNNNGYEGIIIAIDPAVPEDETLIEKIQNMVIDAS
+TYLFEATEKRFFFKNVSILIPENWKSITQYKRPKHESYKHADVRVAPPTLPGRDEPYTKQ
+FTACEEKGEYIHFTPDFVLGNKQNEFGPSGRLLVHEWAHLRWGVFDEYNDDEPFYRAKSR
+KIEATRCSTSITGLNRVYMCEGGSCVTNRECRIDSKTKLYEKNCQFFPDKDQTEKTSIMF
+MQGIDSVTEFCNEKSHNQEAPTLQNKMCNYRSTWEVISNSEDFKNTTAMLAPPPPPVFSL
+LKFSERIVCLVLDKSGSMAASNRLNRMNQAAQHFLLQTVENGSWVGMVHFDSSASIKSNL
+IQIISSSERRKLLESLPTAASGGTSICSGIESGFQAIRNADFQIDGSEIVLLTDGEDSTA
+KSCIEKVKQSGAIIHFIALGPDAAQAVKEMSIATGGKYIYASDEGQNNGLIDAFAALASE
+NIDASQQSLQLESKGLKLNSNDWMNGTVIIDSTVGKDTFFLITWNQQLPSIYLFDPDGIS
+MGTFIVDSASKMAYLSIKGATKVGVWTYNIQAKASSETLTITVNSRAANSSVPPITVNAK
+MNKDTNSYPSPLIVYAEILQGYLPILGANVTAFIESKFGETEVLELLDNGAGADSFKNDG
+VYSRYFTTYKENGPYNLKVRAHGGENAVTWNLRHPLNRAAYIPGWVVDGNIEGNPPRPEN
+DEDTQTNVESFTRIATGGAFVVSNVPQSPSFLDLFPPSQITDLEATSNEDEINLTWTAPG
+DDFDVGKVQEYIIRISESILDLRDNFDDALQVNTTALLPKEANSKETFTFKPGNISEENE
+THIFIAIQSVDKSNLTSKVSNIVQVALFVQEDYIPDEIHPDTSPDKNPPKSGLSISALVL
+LVVGSVVIVSLILSITICIVNKRRNPRRPRTGF
+>tr|A0JNP5|A0JNP5_BOVIN OTOS protein OS=Bos taurus OX=9913 GN=OTOS PE=2 SV=1
+MPARLPPGLVLCLLLAPLAGAKPVQEEGDPHAELPAMPYWPFSTSDFWNYVQHFQALGAY
+PQLEDMARTFFAHFPLGTTLGFHVPYREE
+>tr|E1BD35|E1BD35_BOVIN RIC8 guanine nucleotide exchange factor B OS=Bos taurus OX=9913 GN=RIC8B PE=4 SV=3
+MDEERALYIVRAGEAGAIERVLRDYSDKHRATFKFESTDEDKRKKLCEGIFKVLLKDIPT
+TCQVSCLEVLRILSRDKKVLVPVTTKENMQILLRLAKLNESDDSLEKVSEFPVIVESLKC
+LCNIVFNSQMAQQLSLELNLAAKLCNLLRKCKDRKFINDIKCFDLRLLFVLSLLHTDIRS
+QLRYELQGLPLLTQILESAFSIKWTDEYESAIDHNGPPLSPQETDCAIEALKALFNVTVD
+SWKVHKESESHQFRVMAAVLRHCLLIVGPTEDKTEELHSNAVNLLSNVPVSCLDVLICPL
+THEETAQEATTLDELPSDKTAEKETVLKSNTMVYNGMNMEAIHVLLNFMEKRIDKGSSYR
+EGLTPVLSLLTECSRAHRNIRKFLKDQVLPPLRDVTNRPEVGSTVRNKLVRLMTHVDLGV
+KQIAAEFLFVLCKERVDSLLKYTGYGNAAGLLAARGLLAGGRGDNWYSEDEDTDTEEYKN
+AKPNINLITGHLEEPMPNPIDEMTEEQKEYEAMKLVNMLDKLSRRADVKDLHQDGG
+>tr|Q0VD03|Q0VD03_BOVIN CD44 antigen OS=Bos taurus OX=9913 GN=CD44 PE=2 SV=1
+MDTFWWRAAWGLCLVQLSLAQIDLNITCRYAGVFHVEKNGRYSISKTEAADLCKAFNSTL
+PTMAQMEAARNIGFETCRYGFIEGHVVIPRIHPNSICAANNTGVYILTSNTSQYDTYCFN
+ASAPPGEDCTSVTDLPNAFEGPITITIVNRDGTRYTKKGEYRTNPEDINPSVVSPSSPPD
+DEMSSGSPSERSTSGGYSIFHTHLPTVHPSPDQDGPWVSSEPENTSDTRDYGSSHDPSGR
+SYTTHASESAGHSSGSEEHGANTTSGPMRKPQIPEWLIILASLLALALILAVCIAVNSRR
+RCGQKKKLVINNGNGTMEERKPSGLNGEASKSQEMVHLVNKGSSETQDQFMTADETRNLQ
+NVDMKIGV
+>tr|A6QNY6|A6QNY6_BOVIN Alpha-1,6-mannosylglycoprotein 6-beta-N-acetylglucosaminyltransferase B OS=Bos taurus OX=9913 GN=MGAT5B PE=2 SV=1
+MITVNPDGKIMVRRCLVTLRPFRLFVLGIGFFSLCFLMTSLGGQFSARRPGDSPFTIRTE
+VMGGPESRGVLRKMSDLLELMVKRMDTLARLENSSELHRAAGEGRFALDRLPAGPGLMER
+IQAIAQNVSDIAVKVDQILRHSLLLHSKVSEGRRDQCDAPSDPKFPDCSGKVEWMRARWT
+SDPCYAFFGVDGTECSFLIYLSEVEWFCPPLPWRNQTATRRAPKPLPKVQAVFRSNLSHL
+LELMGSGKESLIFMKKRTKRFTAQWALAAQRLAQKLGGVRRDQKQSPCHFMSYLLSVFTV
+VCLFHLDHKRLQGRM
+>tr|Q3ZC57|Q3ZC57_BOVIN Enoyl-CoA delta isomerase 2 OS=Bos taurus OX=9913 GN=ECI2 PE=1 SV=1
+MAGLAGRFARRWFSGLLGSPLQVPALGLHVRGPAMLASQKDFNNAVSQVKLLKEDPGNEV
+KLKLYALYKQATEGPCNVPKPGMLDFINKTKWDAWNALGSLSKEAARQNYVDLVSRLSAS
+SESPSPEAPAADRKQPESDSLVVTSEDGITTIRLNRPAKKNALTTQMYHDIIAALQAASK
+DESAITVLTGGASGEEAACQCKRHKRCGFDPWIRKIP
+>tr|A5PJI8|A5PJI8_BOVIN ZNF784 protein OS=Bos taurus OX=9913 GN=ZNF784 PE=2 SV=1
+MAAARPEPPSPSSAAPEPPSSEPPDVVLVHDDGRPATPPSDLIEIQVVKVTDTTLVPEPP
+EPGSLHCALCPAAFRLVSELLFHEHGHLAGAEGGGQGGDPSRCHVCGHSCPGPASLRAHY
+SLHTGERPYRCALCPRAFKALAPLLRHQHRHGVEPGAPRRPPEAAAATPEQRTGAPPERS
+EVVLAAAAAGAAVGKPFACRFCAKPFRRSSDMLDHERVHTGERPYHCGVCGKGFTQSSVL
+SVHARIHTGERPFRCSLCDRTFNNSSNFRKHQRTHVHGRGRGTLGARWHRGLRGRGAGVG
+QGTLLKRGVGRRPE
+>tr|E1B8Q3|E1B8Q3_BOVIN G protein subunit gamma 13 OS=Bos taurus OX=9913 GN=GNG13 PE=4 SV=1
+MEEWDVPQMKKEVESLKYQLAFKREMSSKTIPELLKWIEEGIPKDPFLNPDLMKNNPWVE
+KGKCAIL
+>tr|Q3ZC85|Q3ZC85_BOVIN Plasmalemma vesicle associated protein OS=Bos taurus OX=9913 GN=PLVAP PE=2 SV=1
+MGLAMEHGSPYSRAGSSSRGCWYYLRYFFLFVSLIQFLIILGLVLFMVYGNVHVSTESNL
+QATERRADGLYGQVVGLTASQANLTKELNLTARAKEAINQMMLSARRDLDRINASFRQCQ
+ADRVIYMNNQRYMAAIILSEKQCQEHLKEANKSCDALALTLTQKAKTLEVELAKEKLVCT
+KDKDGLTVGKRVAEEQLAECGKTRDQQQQERQLAEDRLRKVQAFCIPLDRNKFETDLRNL
+WRDSIIPRTLSTLSFNLYHPLGSELPSIQRACDSLPSIMTSKVEELARSLRAGIDSVTLE
+NEKLQRQKLEVEQSLRASQEAKEKVEKEAQAQAVKLQAECARQTQLALEEKVALQKERDR
+LVKELEEKKREAEHLKLQLAVSNSALDTCIKAKSQLTIPGPRPAVPVPNPPPIDPASLEE
+FKRRILDSQRAPASNVLVSASG
+>tr|A5D7I1|A5D7I1_BOVIN EPS15 protein OS=Bos taurus OX=9913 GN=EPS15 PE=2 SV=1
+MAAAAQLSLTQLSSGNPLYEKYYRQVDTGNTGRVLASDAAVFLKKSGLPDLVLGKIWDLA
+DTDGKGILNKQEFFVALRLVACAQNGLEVSLSSLNLAVPPPRFHDTSSPLLISGTSAAEL
+PWAVKPEDKAKYDAIFDSLCPVNGFLSGDKVKPVLLNSKLPVDILGRVWELSDIDHDGML
+DRDEFAVAMFLVYCALEKEPVPMSLPPALVPPSKRKTWIVSPAEKAKYDEIFLKTDKDMD
+GFVSGLEVREIFLKTGLPSALLAHIWALCDTKNCGKLSKDQFALAFHLINQKLIKGIDPP
+HILTPEMIPPSDRATLQKNIIGSSPVADFSAIKELDTLNNEIVDLQREKNNVEQDLKEKE
+DAVKQRTSEVQDLQDEVQRENTNLQKLQAQKQQVQELLDGLDEQKAQLEEQLQEVRKKCA
+EEAQLISSLKAELTSQESQISTYEEELAKAREELSRLQQETAELEESVESGKAQLGPLQQ
+HLQDSQQEISSMQMKLVEMKELENHSNQLNWCSSPHSILVNGATDYCSLSTSSSETANLN
+EHTEGQSNLESEPIHEESPARSSPEVLPSCVTDENEEVTVAVNEKVCPEFNSDRCSKEED
+PFNVETSSLTNPVADSHLDFFQSDPFVGSDPFKDDPFGKIDPFGGDPFKGSDPFASDCFF
+KQSSTDPFATSSTDPFSEASNSSNTSVEMLKHNDPFAPGGTVVVAASDSATDPFASVFGS
+ESFEDGFADFSTLSKVNSESTSFQTKVNNEDPFSSATSSSISSVAVTKNMLEETSVKNED
+VPPALPPKIGTPTRPCPPPPGKRPINKMDSSDPFKLNDPFQPFPGNDSPKEKDPDMFCDP
+FTSTTTTTNKEADPSNFANFSAYPSEEDMIEWAKRESEREEEQRLARLNQQEQEDLELAI
+ALSKSEISEA
+>tr|A5D7B9|A5D7B9_BOVIN CASK protein OS=Bos taurus OX=9913 GN=CASK PE=2 SV=1
+MADDDVLFEDVYELCEVIGKGPFSVVRRCINRETGQQFAVKIVDVAKFTSSPGLSTEDLK
+REASICHMLKHPHIVELLETYSSDGMLYMVFEFMDGADLCFEIVKRADAGFVYSEAVASH
+YMRQILEALRYCHDNNIIHRDVKPHCVLLASKENSAPVKLGGFGVAIQLGESGLVAGGRV
+GTPHFMAPEVVKREPYGKPVDVWGCGVILFILLSGCLPFYGTKERLFEGIIKGKYKMNPR
+QWSHISESAKDLVRRMLMLDPAERITVYEALNHPWLKERDRYAYKIHLPETVEQLRKFNA
+RRKLKGAVLAAVSSHKFNSFYGDPPEELPDFSEDPTSSGAVSQVLDSLEEIHALTDCSEK
+DLDFLHSVFQDQHLHTLLDLYDKINTKSSPQIRNPPSDAVQRAKEVLEEISCYPENNDAK
+ELKRILTQPHFMALLQTHDVVAHEVYSDEALRVTPPPTSPYLNGDSPESANGDMDMENVT
+RVRLVQFQKNTDEPMGITLKMNELNHCIVARIMHGGMIHRQGTLHVGDEIREINGISVAN
+QTVEQLQKMLREMRGSITFKIVPSYRTQSSSCERDSPSTSRQSPANGHSSTNNSVSIYVR
+AQFEYDPAKDDLIPCKEAGIRFRVGDIIQIISKDDHNWWQGKLENSKNGTAGLIPSPELQ
+EWRVACIAMEKTKQEQQASCTWFGKKKKQYKDKYLAKHNAVFDQLDLVTYEEVVKLPAFK
+RKTLVLLGAHGVGRRHIKNTLITKHPDRFAYPIPHTTRPPKKDEENGKNYYFVSHDQMMQ
+DISNNEYLEYGSHEDAMYGTKLETIRKIHEQGLIAILDVEPQALKVLRTAEFAPFVVFIA
+APTITPGLNEDESLQRLQKESDILQRTYAHYFDLTIINNEIDETIRHLEEAVEHVCTAPQ
+WVPVSWVY
+>tr|A4IFV0|A4IFV0_BOVIN LDLRAP1 protein OS=Bos taurus OX=9913 GN=LDLRAP1 PE=2 SV=1
+MDALKSAGRALIRSPSLAKQSWGCGGGRHRKLPENWTDTRETLLEGMLFSLKYLGMTLVE
+QPKGEELSAAAVKRIVATAKASGKKLQKVTLKVSPRGIILTDNITNQLIENVSIYRISYC
+TADKMHDKVFAYIAQSQHNENLECHAFLCTKRKMAQAVTLTVAQAFKVAFELWQVSKEEK
+EKREKANQEGADVLGGSPQDSAPSLKSLVVTGNLLDFEETAKAPLSTVSANTTKADEPPR
+PQALNSSSVVWELDDGLDEAFSRLAQSRTNPQVLDTGLTAQDIHYAQCLSPVDWDKPDSG
+GAEPDDLFNF
+>tr|E1BPK7|E1BPK7_BOVIN Zinc finger FYVE-type containing 1 OS=Bos taurus OX=9913 GN=ZFYVE1 PE=4 SV=3
+MSAQTSPAEKGLNPGLLCQESYTCSGTDEAVFECDECCSLQCLRCEEELHRQERLRNHER
+IRLKAGHVPYCDPCKGPSGHSPGVKQRAVVRCQTCKINLCLECQKRTHSGGNKRRHPITV
+YHVTKVQELLEEEGMDEETKRKKMTEKVVSFLLVDENEEIQVTNEEDFIRKLDCKPDQHL
+KVVSIFGNTGDGKSHTLNHTFFYGREVFKTSPAQESCTVGVWAAYDPVHKVAVIDTEGLL
+GATVNLSQRTRLLLKVLAISDLVIYRTHADRLHNDLFKFLGDASEAYLKHFTKELKATTA
+RCGLDVPLSTLGPAVIIFHETVHTQLLGSDHPSEVPEKLIQDRFRKLGRFPEAFSSIHYK
+GTRTYNPPTDFSGLRRALEQQLENNTTRSPRHPGVIFKALKALSDRFSGEIPDDQMAHSS
+FFPDEYFTCSSLCLSCGAGCKNSMNHGKEGVPHEAKSRCRYSHQYDNRVFTCKACYERGN
+EVSVVPKTAASTDSPWMGLAKYAWSGYVIECPNCGVVYRSRQYWFGNQDPVDTVVRTEIV
+HVWPGTDGFLKDNNNAAQRLLDGMNFMAQSVSELSLGPTKAVTSWLTDQIAPAYWRPNSQ
+ILSCNKCATSFKDNDTKHHCRACGEGFCDSCSSKTRPVPERGWGPAPVRVCDNCYEARNS
+QLDVTEAQGDDEGGTLIARKVGEAVQNTLGAVVTAIDIPLGLVKDAARPAYWVPDHEILH
+CHSCRKEFSVKLSKHHCRACGQGFCDECSHDRRAVPSRGWDHPVRVCFNCNKKPGDL
+>tr|A6QR68|A6QR68_BOVIN SLC31A1 protein OS=Bos taurus OX=9913 GN=SLC31A1 PE=2 SV=1
+MGHSHIMGMNMDMSGGDNSTMPPHHHPTTSSDHSHDHMMMMMPMTFYFGFKNVELLFSGL
+VINTAGEMAGAFVAVFLLAMFYEGLKIAREGLLRKSQVSIRYNSMPVPGPNGTILMETHK
+TVGQQMLSFPHLLQTVLHIIQVVISYFLMLIFMTYNGYLCIAVAAGAGTGYFLFSWKKAV
+VVDITEHCH
+>tr|Q2YDG5|Q2YDG5_BOVIN Basic FGF-repressed Zic binding protein OS=Bos taurus OX=9913 GN=UQCC1 PE=2 SV=1
+MALLVRVLRNQISISQWVPGCSRLLLASRTQGQQGRTLSGTFQMSQALACGGSVQIPGVD
+IELRRKYHTTSKLSSTKDSPQPVEEKVGAFTKIIEAMGFTGPLKYSKWKIKIAALRMYTS
+CVEKTDFEEFFLRCQMPDTFNSWFLITLLHVWMCLVRMKQEGRSGKYMCRIIVHFMWEDV
+EQRGRIMGVNPYILKKNMMLMTNNFYAAILGYDEGILSDDRGLAAALWRTFFNQKCEDPR
+QLELLVEYVRKQIQYLDSMNGEDLLLTGEVSWRPLVEKNPQSILKPHSPTYNDEGL
+>tr|A4IFI9|A4IFI9_BOVIN JAM2 protein OS=Bos taurus OX=9913 GN=JAM2 PE=2 SV=1
+MARRSRHHLLLLLLRYLVVALDYHKAYGFSASKDHQVVTAIEYQEAILACKYPKKTVSSR
+LEWKKLGRGVSFVYYQQALQGDFKDRAEMIDFSIRIKNVTRNDAGKYRCEVSAPSEQGQN
+LEEDTVTLEVLVAPAVPSCEVPNSALRGTVVELRCQDKEGNPAPEYTWFKNGVPLSENPK
+LGSQITNSSYTMNPKSGTLQFNTVSKMDSGEYSCEARNSVGHRRCPGKRMQVDDLNISGI
+IAAVVIVALVISVCGLGVCYAQRKGYFSKETSFQKSSSASKATTMSENDFKHTKSFII
+>tr|A6QR63|A6QR63_BOVIN HR protein OS=Bos taurus OX=9913 GN=HR PE=2 SV=1
+MEGPPSFLKDSPAWEKTAPENGITGRELDTPPRDGLRPAAMCLGEPAPFWRGVLSTPGSW
+LPPGFPQGPKDTLPLVEGEGPRNGERKAGWPSSKDGLRWKEAMLTRPLAFCGSSCPPRYS
+PLVPEHNGGHPKSEPVAFRPLHCPFLLETKILERAPFWMPTCLPPYLVSSLPPEHPCDWP
+LAPHPWVYSGGQPKVPSTFSLGSKGFYHKDPSILRLAKDPLATVEPGLLGSAPGGHLQRT
+GEVEHPSLHQRDGETGVGRHPNLCPLLLGHPDTVPRTPWPTCSPGLVHTLGNVWAVPGGG
+SLGYQLGPSATTRCPSPGPPTTQAGYCSSHPPARDDPCGQCQEDLEGATSEPSESSEEAC
+KTPGPRACPPSHHTKLKKTWLTRHSEQFGCPDSCPGEEESPAAQLRARKRSSSPEVQGTA
+SSPAAKRPTGPFPGSVGQGARGRQEVLDSLFGNKAETEQCGDHRGTRDDGASLQAPGLQE
+TPRVAPVAGITQCQGCAQAAGETGGPARYSQPLSRLPLGEEQPQEEDLAASSEEGGGSGL
+EAGLSVGLAKHLLSALGDRLCRVLRREREALAWAHQEGQAPVGTEDDPSLPRCCSHCHHG
+LFNTHWRCPRCSHRLCVACGRMAGAGRTREKAGSQGQSTEKCGQEVGHSASSLMLTQFVS
+SQALAELSAAMHQVWVKFDIRGHCPCQADARLWTAGDGGPQKEPMEKTPPTPQPSCNGET
+NRTKDIKEETPDSTETPAEDRASRGPLPCPSLCELLASTAVKLCLGHERIHMAFAPVTPA
+LPSDDRITNILDSIIAQVVERKIQEKALGPGLRAGPGLRAGPGLRKGLGLPLSPVRPRLP
+PPGALLWLQEPRPQRGFHLFQEHWRQGQPVLVSGIQRTLQGHLWGSEALGALGGQVQALT
+PLGPPQPTSLGSATFWEGFSRPESRPKSDEGSVFLLHRALGDEDTSRVENLAASLPLPEY
+CAHHGKLNLASYLPPGPVLRPLEPQLWAAYGVSPHRGHLGTKNLCVEVTDLVSVLVHAEA
+PLPTWRRTQKDFLSGLDGEGLWSPGSQVSTVWHVFRAQDAQRIRRFLQMVCPTGAGNLEP
+GTPGRCYLDAGLRRRLREEWGVSCWTLLQAPGEAVLVPAGAPHQVQCLVSTVSVTQHFLS
+PETSALSAQLCHQGPSLPTAHRLLYAQMDWAVFQAVKVAVGTLQEAK
+>tr|A0A3Q1NDE1|A0A3Q1NDE1_BOVIN Adducin 3 OS=Bos taurus OX=9913 GN=ADD3 PE=4 SV=1
+MSSDASQGVVTTPPPPSMPHKERYFDRINENDPEYIRERNMSPDLRQDFNMMEQRKRVTQ
+ILQSPAFREDLECLIQEQMKKGHNPTGLLALQQIADYIMTSSFSGFTSPPLSLGMVTPIN
+DLPGADTSSYVKGEKLTRCKLASLYRLADLFGWAHLANTYISVRISKEQDHIIIIPRGLS
+FSEATASNLVKVNIIGEVVDQGSTNLKIDHAGFSPHAAIYSTRPDVKCVIHIHTLATAAV
+SSMKCGILPISQESLILGDVAYYDYQGSLDDQEERIQLQKVLGPSCKVLVLRNHGVVALG
+ETVEEAFHYIFNVQIACETQVQALAGAGGVDNLLVLDLQKYKASTHTVAASGGGGVNMGS
+HQKWKVGEIEFEGLMRTLDNLGYRTGYAYRHPLIREKPRHKSDVEIPATVTAFSFEDDTV
+PLSPLKYMAQRQQREKTRWLNSPNTYMKVNVPEESRNGETSPRTKITWMKAEDSSKVSSG
+TPIKIEDPNQFVPLNTNPNEVLEKRNKIREQNRYDLKTAGPQSQLLAGIVVDKPPSTMQF
+EDDDHAPPAPPNPFSHLTEGELEEYKRTVERKQQGLEDAEQELLSDDASSVSQIQSQTQS
+PQNIPEKLEENHELFSKSFISMDVPVLIVNGKDDVHGVEDELAQRVSRLTTSTTIESIEI
+TIKSPEKIEEVLSPEGSPSKSPSKKKKKFRTPSFLKKNKKKEKVEA
+>tr|A0A3Q1MIU8|A0A3Q1MIU8_BOVIN Ubiquitinyl hydrolase 1 OS=Bos taurus OX=9913 GN=USP49 PE=3 SV=1
+MDRCKHVGRLRLAQDHSILNPQKWYCRECATTESVWACLKCSHVACGRYIEDHALKHFEE
+TRHPLAMEVRDLYVFCYLCKDYVLNDNPEGDLKLLRSSLLAVRGQTQDPPLRRGRTLRSM
+AAGEDAVPPQRAPQGQPQMLTALWYRRQRLLARTLRLWFEKTSRGQAKLEQQRREEALER
+KKEAARQRRREVKRRLLEELASAPPRKSARLLLHTPRTAPLRVPAPRAPAGPRPAPRRAP
+AMAPGVTGLRNLGNTCYMNSILQVLSHLRKFRECFLNLDPSKTEQLFPRAANGKAPLSGR
+PASSSATELSSTRSDGAEACEREGLCLNGGTSLSRSLELIQNKEPSSKHISLCHELHTLF
+RVMWSGKWALVSPFAMLHSVWSLIPAFRGYDQQDAQEFLCELLHKVQQELESEGTTRRIL
+IPFSQRKLTKQVLKVVNTIFHGQLLSQVTCVSCNYKSNTIEPFWDLSLEFPERYHCIEKG
+FVPLNQTECLLTEMLAKFTETEALEGRIYACDQCNSKRRKSNPKPLVLSEARKQLMIYRL
+PQVLRLHLKRFRWSGRNHREKIGVHVVFDQVFGSTAMTQS
+>tr|Q05KI7|Q05KI7_BOVIN BCL2-antagonist/killer 1 OS=Bos taurus OX=9913 GN=BAK1 PE=2 SV=1
+MASGQGPGPPGQDCDEPDPSSTSEEQVARDTEEVFRSYVFYRHQQEQEAEGAAAPTDPEM
+VTLHPEPSSTMGQVGRQLAVIGDDINRRYDAEFQAMLQHLQPTADNAYEYFTKIASSLFE
+SGINWGRVVALLGFGYRLALHVYQRGLTGFLGQVTRFVADFMLRRSIARWIAQRGGWVAA
+LDLGNGPIKSVAIVLAVVLLGQFVVRRFFKS
+>tr|Q70IB5|Q70IB5_BOVIN MHC class II antigen OS=Bos taurus OX=9913 GN=BoLA-DIB PE=2 SV=1
+MRVTIPRNPGTVAGMVMAVFLVLRIPEAHCRDAPKNFVYQFKGMCYFTNGTEHVRLVARQ
+IYNKEEILHFDSDLGEFVAVTELGRVCAEIWNTQKDLLAEFRAYVDTLCRHNYKETAGFT
+VQRRVEPTVTVSPASTEALNHHNLLVCSVTDFYPRQVKVKWFRNQQEQTAGVGFTPLTQN
+GDWTYQIHVMLETVPQLGDVYVCHVDHPSLQSPITVEWRAQSESAQSKMQSGIGGFVLGL
+IFLGVGLFVHFWDKRASRS
+>tr|A5PJQ0|A5PJQ0_BOVIN Fatty acyl-CoA reductase OS=Bos taurus OX=9913 GN=FAR1 PE=2 SV=1
+MVSIPEYYEGKNVLLTGATGFLGKVLLEKLLRSCPKVNSVYVLVRQKAGQTPQERVEEVI
+SGKLFDRLRDENPDFREKIIAINSELTQPKLALSEEDKEIIIDSTNIIFHCAATVRFNEN
+LRDAVQLNVIATRQLILLAQQMKNLEVFMHVSTAYAYCNRKHIDEVVYPPPVDPKKLIDS
+LEWMDDGLVNDITPKLIGDRPNTYIYTKALAEYVVQQEGAKLNVVIVRPSIVGASWKEPF
+PGWIDNFNGPSGLFIAAGKGILRTMRASNNALADLVPVDVVVNMSLAAAWYSGVNRPRNI
+MVYNCTTGSTNPFHWGEVEYHVISTFKRNPLEQAFRRPNVNLTSNHLLYYYWIAVSHKAP
+AFLYDIYLRMTGRSPRMMKTITRLHKAMVFLEYFTSNSWVWSTDNVNMLMNQLNPEDKKT
+FNIDVRQLHWAEYIENYCMGTKKYVLNEEMSGLPAARKHLNKLRNIRYGFNTILVILIWR
+IFIARSQMARNIWYFVVSLCYKFLSYFRASSTMRY
+>tr|A6QLJ6|A6QLJ6_BOVIN SCEL protein OS=Bos taurus OX=9913 GN=SCEL PE=1 SV=1
+MSNFTLRKTSPTGNDMRTTTQGTTLRQQGFQEVNKRRTFLQDNSWIKKRPEEERDENYGR
+AVLHRHNSHNALDRKTNEREEPKATISRYRSDDTLDRISNRNDAAKTYKANTLDNRLTNR
+NVSTFQPPEVTKMQPGGLSSAKATSTPTSTSTTTPVKKKRQSWFPPPPPGYTTTPNTGAS
+RREPTVHPPIPPKPSSTVSSPNQPKQDNRQIYPAKPSVHTEANRSAERNIRSQDLENIVK
+VATSLQKTNKGEELDNLIKMNKSLNRNQGLDGLFRANLKSELMEKRAKSLENLIFVNTRT
+DKDGKGNQGLGSLIKVNQRADKIKKGQDLKEVIKANATTDQTSRGKDLDSIIKVNPKESA
+NTTGKQDLNGLIKVNPETHKNIKRGQSLDNLIQVTPEVNRSNPSSKDLDNLIKVNPRTGE
+SVQGDQSLDNVIRVTPETNKTNQGSQDLDSLIKVTPSAKKSSEQGLDELINVSPKAVKNT
+ARNQDLDKLIKVNPAVIRSNQSQALDNLIQVKPSALRNTKRDQNLENLTDINYNVSKNKN
+ERQDGQVTGSTETLISPSSRTAAYSYEARKMLSSNTETRHAGSKDTVVYTRTYMENSKSP
+KDGYQETVSGKYIQTVYSTSDRSVIERDMCTYCRKPLGTETKMILDELQICCHSTCFKCE
+ICKQPLENLQAGDSIWIYRQTIHCESCYSKVVAKWIQ
+>tr|A0JND1|A0JND1_BOVIN Transporter OS=Bos taurus OX=9913 GN=SLC6A1 PE=2 SV=1
+MATDGSKVADGQISTEVSEVPVANDKPKTLVVKVQKKAADLPDRDTWKGRFDFLMSCVGY
+AIGLGNVWRFPYLCGKNGGGAFLIPYFLTLIFAGVPLFLLECSLGQYTSIGGLGVWKLAP
+MFKGVGLAAAVLSFWLNIYYIVIISWAIYYLYNSFTTTLPWKQCGNSWNTDRCFSNYSAL
+NTTNMTSAVVEFWERNMHQMTDGLDKPGQIRWPLAITLAIAWILVYFCIWKGVGWTGKVV
+YFSATYPYIMLIILFFRGVTLPGAKEGILFYITPNFRKLSDSEVWLDAATQIFFSYGLGL
+GSLIALGSYNSFHNNVYRDSIIVCCINSCTSMFAGFVIFSIVGFMAHVTKRSIADVAASG
+PGLAFLAYPEAVTQLPISPLWAILFFSMLLMLGIDSQFCTVEGFITALVDEYPRLLRNRR
+ELFIAAVCLVSYLIGLSNITQGGIYVFKLFDYYSASGMSLLFLVFFECVSISWFYGVNRF
+YDNIQEMVGSRPCIWWKLCWSFFTPIIVAGVFIFSAVQMTPLTMGSYVFPKWGQGVGWLM
+ALSSMVLIPGYMAYMFLTLKGSLKQRIQVMIQPSEDIVRPENGPEQSQASGSASKEAYI
+>tr|A6H7F3|A6H7F3_BOVIN Apoptosis facilitator Bcl-2-like protein 14 OS=Bos taurus OX=9913 GN=BCL2L14 PE=2 SV=1
+MCTASPCDLEEIPLDDEDSDSLEFKILEFYVKHHVFQNTSAILSPKHLRTRSLSQKGPER
+WPVSEAWTQGPWPCRHSQSSEKAINLTKKKSSWRTLFGVAEKEEDSQSSRPEICAQAQRS
+GVPQARPRSPKWPRSRSSMDQRLEHKAADPRVVSIANRVAEIVYSWPPPEEVHSQGGGFK
+SKGVLVFQGPQGQSGAESTKKVDDEGPSWLLSLQHLELQGKRFSSHGGALQPQNQTEGED
+QIIARIVELLKYSGEQLERELKKDKVLMTCFQDVLSYSVVKTITDQFLRGVDTRGESEVK
+AQSFKAALAIDVIAKLTTIDNHPMNRVLGFGTKYLKENFSPWIQQHGGWEKILRMPHEEV
+D
+>tr|A0JN43|A0JN43_BOVIN Extended synaptotagmin 1 OS=Bos taurus OX=9913 GN=ESYT1 PE=1 SV=1
+MEPSLGDSSSSSPSPSPVDQPYPPSEPPDQPTTAHAKPEQSSGDQPAGPGAAGEALAVLT
+SFGRRLLVLVPVYLAGAMGLSVGFVLFGLALYLGWRRVREEKERSLRVARQLLDDEERLT
+AKTLYMSHRELPAWVSFPDVEKAEWLNKIVAQVWPFLGQYMEKLLAETVAPAVRGSNPHL
+QTFTFTRVELGEKPLRILGVKVHTGQSKKQILLDLNISYVGDLQIDVEVKKYFCKAGVKG
+MQLHGVLRVILEPLMGDLPIVGAVSMFFIRRPTLDINWTGMTNLLDIPGLSSLSDTMIMD
+SIAAFLVLPNRLLVPLVPDLQDVAQLRSPLPRGIIRIHLLAARGLSSKDKYVKGLIEGKS
+DPYALVRVGTQAFCSRVINEELNPQWGETYEVMVHEVPGQEIEVEVFDKDPDKDDFLGRM
+KLDVGKVLQAGVMDEWFPLQGGQGQVHLRLEWLSLLPDAEKLEQILQWNRGVSSRPEPPS
+AAILVVYLDRAQDLPLKKGNKEPNPMVQLSIQDVTQESKAVYSTNCPVWEQAFRFFLQDP
+QSQELDVQVKDDSRALTLGALTLPLARLLTAPELTLDQWFQLSSSGLNSRLYMKLVMRLL
+YLDTSEVRFPAMPGIPGAWDLDDSPQTGSSVDVPPRPCHTTPDSSFGTENVLRLHVLEAQ
+DLIAKDRFLGGLVKGKSDPYVKLKLAGRSFHSRVVREDLNPRWNEIFEVIVTSIPGQELE
+VEVFDKDLDKDDFLGRCKVSLTTVLNSGFLDEWLTLEDVPSGRLHLRLERLTPRPTAAEL
+EEVLQVNSLIQTQKSAELAAALLSVYLERAEDLPLRKGTKPPSPYATLAVGDTSHKTKTV
+PQTATPVWNESASFLIRKPNTESLELQVRGEGSGTLGSLSLPLSELLVADRLCLDRWFTL
+INGQGQVLLRAQLGILVSQHSGVEAHSHSYSHSSSSLSELELWSGLPQVTSSAPELRQRL
+THSDSPPEAPAGPLGQVKLTVWYHSEERKLVGFIHSCRALRQNGRDPPDPYVSLLLLPDK
+NRGTKRKTSQKKRTLNPEFNERFEWELPLDEALQRKLDVSVKSSSSFMSRERDLLGKVQL
+DLAEIDLSQGAAQWYDLMDDKDKGSS
+>tr|A0A3Q1MHB7|A0A3Q1MHB7_BOVIN Nyctalopin OS=Bos taurus OX=9913 GN=NYX PE=4 SV=1
+MESCGMLSLLLHAMVLGLPSTWAAEDTCVNACPAACVCSSVERRCSVRCDRAGLLRVPAE
+FPCEAASIDLDRNGLRFLGERAFGTLPSLRRLSLRHNNLSFITPGAFKGLPRLAELSLAH
+NGDLRYLHARTFTALGRLRRLDLTVCRLFSVPERLLAELPALRELAAFDNLFRRVPGALR
+GLANLTHAHLERSRIEAVASSSLLGLRRLRSLSLQGNRVRAVHGGAFRDCSALEHLLLND
+NLLAALPADAFLGLRRLRTLNLGGNALGRVVRAWFAELAELELLYLDRNRIAFVEEGAFQ
+NLSGLLALHLNGNHLTVLAWAAFQPGFFLGRLFLFRNPWRCDCRLEWLRDWMESFGRTAD
+VPCASPGSVAGLDLRQVAFGRSSEGFCVDPDELNLTASSPGPSPEPAATTVSRFSSLLSK
+LLAPRAPVAEAANTTKEGPVNTSLSDSFPSLGVGGSGYKTPFLVGSGLLLSVAQHVLFVL
+QRD
+>tr|Q3T015|Q3T015_BOVIN Protein O-linked mannose beta1,2-N-acetylglucosaminyltransferase OS=Bos taurus OX=9913 GN=POMGNT1 PE=2 SV=1
+MDDWKPSPLIKPFGARKKRSWYLTWKYKLTNQRALRRFCQTGAVLFLLVTVIVNIKLILD
+TRRAISEASEDLEPEQDYDEALGRLEPPRRRGSGPRRVLDVEVYSSRSKVYVAVDGTTVL
+EDEAQEQGRGIHVIVLNQATGHVMAKRVFDTYSPHEDEAMVLFLNMVAPGRVLICTVKDE
+GSFHLKDTAKALLRSLGSQAGPALGWRDTWAFVGRKGGPVLGEKHSKSPALSSWGDPVLL
+KTDVPLSSAEEAECHWADTELNRRRRRFCSKVEGYGSVCSCKDPTPIEFSPDPLPDNKVL
+NVPVAVIAGNRPNYLYRMLRSLLSAQGVSPQMITVFIDGYYEEPMDVVALFGLRGIQHTP
+ISIKNARVSQHYKASLTATFNLFPEAKFAVVLEEDLDIAVDFFSFLSQSIHLLEEDDSLY
+CISAWNDQGYEHTAEDPALLYRVETMPGLGWVLRKSLYKEELEPKWPTPEKLWDWDMWMR
+MPEQRRGRECIIPDVSRSYHFGIVGLNMNGYFHEAYFKKHKFNTVPGVQLRNVDSLKKDA
+YEVEVHRLLSEAEVLDHSKNPCEDSFLPDTEGHTYVAFIRMEKDDDFTTWTQLAKCLRIW
+DLDVRGNHRGLWRLFRKKNHFLVVGVPASPYSMKKPPSITPIFLESPPKEEGGPGAAEQT
+>tr|A6QQ54|A6QQ54_BOVIN LOC616319 protein OS=Bos taurus OX=9913 GN=LOC616319 PE=2 SV=1
+MCDAGGYQALRGRSAGQLNGRPWAAALEESSYEYGSTKYLLLCGLGGMLSCGLTHTAIVP
+LDLVKCRMQVDPSKYKGILSGFGVTLRDDGLRGLARGWAPTFLGYSMQGLFKFGLYEVFK
+IRYAELLGQEKAYEWRTGLYLAASASAEFFADVALAPMEAVKVRMQTQQGYARTLRAAAP
+KMYGEEGLWAFYKGVAPLWMRQIPYTMMKFACFERTVEALYKYVVPKPQSHCTKSEQLAV
+TFVAGYIAGVFCAVVSHPADSVVSVLNKEKGSTAFDVLQRLGFKGVWKGLFARIIMIGTL
+TALQWFIYDSVKVYFKLPRPPVPQAPKSRK
+>tr|Q2TBH2|Q2TBH2_BOVIN RAS related OS=Bos taurus OX=9913 GN=RRAS PE=1 SV=1
+MSSGAASGTGRGRPRGGVPGPGDPPPSETHKLVVVGGGGVGKSALTIQFIQSYFVSDYDP
+TIEDSYTKICTVDGVPARLDILDTAGQEEFGAMREQYMRAGHGFLLVFAINDRQSFNEVG
+KLFTQILRVKDRDDFPVVLVGNKADLETQRQVPRSEASTFSASHHVAYFEASAKLRLNVD
+EAFEQLVRAVRKYQEQELPPSPPSAPRKKAGGCPCVLL
+>tr|A7E3Q2|A7E3Q2_BOVIN Heat shock 70kDa protein 1A OS=Bos taurus OX=9913 GN=HSPA2 PE=2 SV=1
+MSARGPAIGIDLGTTYSCVGVFQHGKVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQV
+AMNPTNTIFDAKRLIGRKFEDATVQSDMKHWPFRVVSEGGKPKVQVEYKGEIKTFFPEEI
+SSMVLTKMKEIAEAYLGGKVQSAVITVPAYFNDSQRQATKDAGTITGLNVLRIINEPTAA
+AIAYGLDKKGCAGGEKNVLIFDLGGGTFDVSILTIEDGIFEVKSTAGDTHLGGEDFDNRM
+VSHLAEEFKRKHKKDIAPNKRAVRRLRTACERAKRTLSSSTQASIEIDSLYEGVDFYTSI
+TRARFEELNADLFRGTLEPVEKALRDAKLDKGQIQEIVLVGGSTRIPKIQKLLQDFFNGK
+ELNKSINPDEAVAYGAAVQAAILIGDKSENVQDLLLLDVTPLSLGIETAGGVMTPLIKRN
+TTIPTKQTQTFTTYSDNQSSVLVQVYEGERAMTKDNNLLGKFDLTGIPPAPRGVPQIEVT
+FDIDANGILNVTAADKSTGKENKITITNDKGRLSKDDIDRMVQEAERYKSEDEANRDRVA
+AKNAVESYTYNIKQTVEDEKLRGKISDQDKNKILDKCQEVINWLDRNQMAEKDEYEHKQK
+ELERVCNPIISKLYQGGPGGGGGSGASGGPTIEEVD
+>tr|E1BAP5|E1BAP5_BOVIN FAM20B, glycosaminoglycan xylosylkinase OS=Bos taurus OX=9913 GN=FAM20B PE=4 SV=1
+MKLKQRVVLLAILLVIFIFTKVFLIDNLDTSAANREDQRAFHRMMAGLRVELVPKLDHTL
+QSPWEIAAQWVVPREVYPEETPELGAILHAMATKKIIKADVGYKGTQLKALLILEGGQKV
+VFKPKRYNRDYVVEGEPYAGYDRHNAEVAAFHLDRILGFRRAPLVVGRFVNLRTEIKPVA
+TEQLLSTFLTVGNNTCFYGKCYYCRETEPACADGDTMEGSVTLWLPDVWPLQKHRHPWGR
+TYREGKLARWEYDESYCDAVKKTSPYDSGPRLLDIIDTAVFDYLIGNADRHHYESFQDDE
+GASMLILLDNAKSFGNPSLDERSILAPLYQCCIIRVSTWNRLNSLKNGVLKSALKSAMAH
+DPISPVLSDPHLDAVEQRLLSVLATVKQCTDQFGMDTVLVEDRMPLSHL
+>tr|A6QLU4|A6QLU4_BOVIN Transporter OS=Bos taurus OX=9913 GN=SLC6A7 PE=2 SV=1
+MKKLQGAHLRKPVTPDLLMTPSDQGDVDLDVDFAADRGNWTGKLDFLLSCIGYCVGLGNV
+WRFPYRAYTNGGGAFLVPYFLMLAICGIPLFFLELSLGQFSSLGPLAVWKISPLFKGAGA
+AMLLIVGLVAIYYNMIIAYVLFYLFASLTSNLPWEHCGNWWNTDLCLEHRGSKDGNGALP
+LNLTSTVSPSEEYWSRYVLHIQGSQGIGSPGHIRWNLCLCLLLAWVIVFLCILKGVKSSG
+KVVYFTATFPYLILLMLLVRGVTLPGAWKGIQFYLTPQFHHLLSSKVWIEAALQIFYSLG
+VGFGGLLTFASYNTFHQNIYRDTFIVTLGNAITSILAGFAIFSVLGYMSQELGVPVDQVA
+KAGPGLAFVVYPQAMTMLPLSPFWSFLFFFMLLTLGLDSQFAFLETIVTAVTDEFPYYLR
+PKKAVFSGLICVAMYLMGLVLTTDGGMYWLVLLDDYSASFGLMVVVITTCLAVTRVYGIQ
+RFCRDIHMMLGFKPGFYFRACWLFLSPATLLALLVYSIVKYQPSEYGSYRFPAWAELLGI
+LMGLLSCLMIPAGMLVAVLREEGSLWERLQQASRPAMDWGPSLEENRTGMYVATLAGSQS
+PKPLMVHMRKYGGITSFENTAIEVDREIAEEEESMM
+>tr|Q32L46|Q32L46_BOVIN 5'-nucleotidase OS=Bos taurus OX=9913 GN=NT5C3A PE=2 SV=1
+MLNQDSAVHVKMMPEIQKNSVHIKNPTRVEEIICGLIKGGAAKLQIITDFDMTLSRFSYK
+GKRCPSCHNVIDNCKLITDECREKLLQLKEKYYAIEVDPLLTIEEKYPYIVEWYTKSHSL
+LVEQALPKAKLKEIVEESDIMLKEGYENFFDKLQQYGIPVFIFSAGIGDVLEEVIRQAGV
+YYPNVKVVSNFMDFDDNGLLKGFKGELIHVFNKHDGSLKNTEYFNQLKNNSNIILLGDSQ
+GDLKMADGVANVEHILKIGYLNDRVDELLEKYMDSYDIVLVKDESLDVANSILQKIL
+>tr|Q08DB9|Q08DB9_BOVIN ALS2CR12 protein OS=Bos taurus OX=9913 GN=FLACC1 PE=2 SV=1
+MYPNPLVYCTCWDPWNLGPRKLIKTPQPPSKTSSVKPKLSPLPPAGKKQNYAQLITKPVV
+SPKMKVHLGKQEESNKLPNEVINVSPGYCLIRNREQISVTLGDEMFDRKKHSESEDVGKV
+KISRTDIIADLKEQISELTVIIEQMNRDHRSAQKLLSNEMDLRCAEMQKNFESKTRELEE
+AHAAQLSELEKNYKAALKAEKLAAQDKLGQHL
+>tr|Q2KJ45|Q2KJ45_BOVIN TAR DNA binding protein OS=Bos taurus OX=9913 GN=TARDBP PE=2 SV=1
+MSEYIRVTEDENDEPIEIPSEDDGTVLLSTVTAQFPGACGLRYRNPVSQCMRGVRLVEGI
+LHAPDAGWGNLVYVVNYPKDNKRKMDETDASSAVKVKRAVQKTSDLIVLGLPWKTTEQDL
+KDYFSTFGEVLMVQVKKDIKTGHSKGFGFVRFTEYETQVKVMSQRHMIDGRWCDCKLPNS
+KQSPDEPLRSRKVFVGRCTEDMTADELRQFFCQYGEVVDVFIPKPFRAFAFVTFADDQVA
+QSLCGEDLIIKGISVHISNAEPKHNSNRQLERSGRFGGNPVHLISNVYGRSTSLKVVL
+>tr|A6QLJ2|A6QLJ2_BOVIN HSPA12B protein OS=Bos taurus OX=9913 GN=HSPA12B PE=2 SV=1
+MLAVPEMDLQGLYIGSSPQRSPVPSPPASPRTQESCGIAPLTPSQSPKPEARGPQQAPFS
+VVVAIDFGTTSSGYAFSFASDPEAIHMMRKWEGGDPGVAHQKTPTCLLLTPEGAFHSFGY
+TARDYYHDLDPEEARDWLYFEKFKMKIHSTTDLTLKTQLEAVNGKKMPALEVFAHALRFF
+KEHALQELSEQCPSLLEKDTVRWVLTVPAIWKQPAKQFMREAAYLAGLVSRENAEQLLIA
+LEPEAASVYCRKLRLHQLVDLSSRAPGRGCLGERRSIDSSFRQGDRYVVADCGGGTVDLT
+VHQLEQPHGTLKELYKASGGPCGAVGVDLAFEQLLGRIFGEDFITTFKRQRPAAWVDLTI
+AFEARKRTAGPHRTGALNISLPFSFIDFYRKQGGHNVETALRKSSVNFVKWSSHGMLRMT
+CEAMNELFQPTVSGIIQHIEALLERPEVQGVKLLFLVGGFAESAMLQHAVQAALGARGLR
+VVVPHDVALTILKGAVLFGQAPGVVRVRRSPLTYGVGVLNRFVAGVHPPDKLLVRDGRRW
+CTDVFERFVAAEQSVALGEEVRRSYCPARPGQRRVLINLYCCAAEDARFITDPGVRKCGA
+LSLELEPAEGGPDAAGAPPGRREIRAAMQFGDTEIKVTAIDVSTNRSVRAAIDFLSN
+>tr|A4IFL8|A4IFL8_BOVIN NFE2L3 protein OS=Bos taurus OX=9913 GN=NFE2L3 PE=2 SV=1
+MKYLKPWWSDGGSLFHLTILLSLAELRLDLDLDLDLDLYLLFPPRTLLGDELLLRNGQTS
+HISALSPFPASGGWGRAELLHPKCWERDPAAPPEGRLLREVRALGVPFIPSIRVDAWLVH
+SVATGDADGAHALLGAAAASSAGGVGAGVEGVSQAAPGGGGGPRAVQSSPLAAGEEEEKA
+PAERTAQVSDADGHASEENEVLRGVSHSPQHEENEQRSSTQKEKSLQQKNEDENKVADKP
+AWEAEKTTESRSERYQNGTDAFFSLEDLFQLLSSQPENLLEGVSLGDIAPLPRSINDGMN
+SPTHYNVNFSEAVSHDVSLHEAMLRCSDNTFRRDPVARTSQPQEQILQLSSITNTEQTLP
+GTNLTGFLPFVDNQMRNLSQDHLYDLDINILDETNLMSLATGFDPMEVSWLLEEPGYDSG
+LSLDSSNNSTSVIKSNSSHSICEGATGSSSDLSHHDLEGAVGGYCPEPSKLCYMNDKSDS
+HFHGDLVFQHVSHNHTYHLEPSALESTSESFSMPGKSQKISRYLNDTDRNLSRDEQRAKA
+LHIPFSVDEIIRMPVDSFNSMLSRHYLTDLQVSLIRDIRRRGKNKVAAQNCRKRKLDVIL
+NLEDDVCSLQAKKETLKREQSQCHKAINIMKQKLHHLYCDVFSRLRDDQGRPVSPNQYAL
+QCTHDGSVVIVPKELIIPGQKKENQKGKRKNERKN
+>tr|A7MBG1|A7MBG1_BOVIN RBP2 protein OS=Bos taurus OX=9913 GN=RBP2 PE=2 SV=1
+MTRDQNGTWEMESNDNFEGYMKALDIDFATRKIALHLTQTKIIEQDGDKFKTQTKSTFRN
+YNVDFTVGVEFEEHTKGLDDRKVKTLVVWEGDALVCVQKGEKENRGWKQWVEGDKLYLEL
+TCGDQVCRQVFKKK
+>tr|B6VAE5|B6VAE5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=2 SV=1
+MASSLWFQQGQQLRLLGRGKAVEAFFGICRDGEQQTCLEVTNGSLK
+>tr|A6QQ16|A6QQ16_BOVIN NSL1 protein OS=Bos taurus OX=9913 GN=NSL1 PE=2 SV=1
+MERALRSVARSPSGDVGRVAGTEDRASVPATYRKDFRVRCTSKRAVTEMLELCSSFVQKL
+GDALPEEIREPALRDAQWTFESAVQENVSINGQAWQEASDDCFMDSDIKVLEDQFDEIIV
+DLATKRKQYPRKILEYVIKIIKAQQEILKQYHPVVHLLDLKYDPDPAPRLENLRCRGGAV
+AKEISEAMKSLPALIEQGDGFSQVLKMQPIIQLQRVHQEVFSSCCRKPDVKPESFIAQIE
+TTPAETSTRTATDLVLRRKRTKDCPQRKWYPLRRKRINLDT
+>tr|Q0VC41|Q0VC41_BOVIN Proline-rich transmembrane protein 3 OS=Bos taurus OX=9913 GN=PRRT3 PE=2 SV=1
+MAPSPWGCVHGLLLLLPLLSLGASPALGRGLPRPLEDSEPHLAPGAQGKGPLDTERQAFD
+FFWENPRDESPWNASVPQVPAEEMPERPEDALGPALHGPKAAHGAQKGGLPVTDDLQMAR
+GPISQGWTGPPDSQEPMEQEAPVPYPVGAPHLPFFPTTPRLHLGLATVPPTSGGPGGRVG
+QLPPRDEGLLAKGKTRVSETFPEDHKGPSPTLVPHPGIVARPGMEEQGGGEEDFQEAVQG
+PLSTQQGPAAPDTGSVSPAEGASSQEPESQPDLALARSLPPAEEQPMELPKTAGAGEAWE
+VSSSSPSPKEAHLPDVRGSPGPQPSDLPSSETSDGQPKPELAAMNGADPISPQRVRGAVE
+APGTPKSLIPGPLDLGPTANRTESPVGSLKPGEGMAGGLARAGTLE
+>tr|F1MLB5|F1MLB5_BOVIN Kallikrein B1 OS=Bos taurus OX=9913 GN=KLK4 PE=3 SV=2
+MTTAGNSWGWFLGHLLLSVTGSLAWGGSSRIINGEDCRPHSQPWQAALFLENEFFCGGVL
+VHPQWVLSAAHCFQKSYTIGLGLHSLEADQEPGSQMIEAHLSIQHPEYNKPSLANDLMLI
+KLEESVPPSDTIQDISIASQCPAAGGDSCLVSGWGRLVNGKLPKVLQCVNISVVSEKICS
+ELYAHVYHPSMFCAGGGQDQKDSCHGDSGGPLVCNGSLQGLVSFGQAQCGQPYVPSVYTN
+LCKFTDWIQKTIQAS
+>tr|A0A1L6ZA09|A0A1L6ZA09_BOVIN Lymphocyte antigen 6 complex locus G6F OS=Bos taurus OX=9913 GN=ly6g6f PE=2 SV=1
+MAVLFLLLLFLCGLPQAETDSIQAIYVVLGEALELPCPSPPALNGDEFLSWFHSPAAGSS
+TALVAHIQVARPSRDPGKPRRESRLTLLGNYSLWLEGSKAGDAGRYWCAVLGQRYRYQNW
+RVYDVSVLRDASFPQFKPEIQVYENIHLAHLSPPAPKTR
+>tr|A7MB95|A7MB95_BOVIN CUGBP Elav-like family member 1 OS=Bos taurus OX=9913 GN=CELF1 PE=2 SV=1
+MNGTLDHPDQPDLDAIKMFVGQVPRTWSEKDLRELFEQYGAVYEINVLRDRSQNPPQSKG
+CCFVTFYTRKAALEAQNALHNMKVLPGMHHPIQMKPADSEKNNAVEDRKLFIGMISKKCT
+ENDIRVMFSSFGQIEECRILRGPDGLSRGCAFVTFTTRAMAQTAIKAMHQAQTMEGCSSP
+MVVKFADTQKDKEQKRMAQQLQQQMQQISAASVWGNLAGLNTLGPQYLALYLQLLQQTAS
+SGNLNTLSSLHPMGGLNAVQLQNLAALAAAASAAQSTPSGTSALTTSSSPLSVLTSSGSS
+PSSSSSSSVNPIASLGALQTLAGATAGLNVSSLAGMAALNGGLGSSGLSNGTGSTMEALT
+QAYSGIQQYAAAALPTLYNQNLLAQQSIGAAGSQKEGPEGANLFIYHLPQEFGDQDLLQM
+FMPFGNVVSAKVFIDKQTNLSKCFGFVSYDNPVSAQAAIQSMNGFQIGMKRLKVQLKRSK
+NDSKPY
+>tr|A3KMY1|A3KMY1_BOVIN KRT82 protein OS=Bos taurus OX=9913 GN=KRT82 PE=2 SV=1
+MSCRSFQQGFRCGGQSFSSCSAVLPRVVTHYAVSQGPCRTGGSGSFRALGCLGSRSLCNV
+GFGQPRVASRCGLPGFGYRAGTTCGSSACIAPVTINESLLVPLELEVDPTVQRVKRDEKE
+QIKCLNNRFASFINKVRFLEQKNKLLETKWNFMQQQKCCSSNIEPIFEGYISTLRRQLDF
+LTGDRDRLESEFHCLHDTLEGYKKKYEEELSLRPTAENEFVALKKDVDTAFLINSDLEIN
+VEALIHEINFLKTLYTEETNLLQSQISDTSVTVKMDNSRELDTDGIIAQIKAQYDEIANR
+SKAEAEAWYQSRYEELQLTAGNHCDNLRNRKNEILEINKLIQRLQQDIENVKAQRCKLEA
+AVTQAEQQGEAALNDAKCKLAGLEEALQKAKQDMACLLKEYQEVMNSKLGLDIEIATYRR
+LLEGEEQRLCEGVGPVNISVSSSKGAILYEPCVVSTPVYCPGSTSVLKSSGGCGIVGTSE
+IYIPCEPQGLLVCGSRRSSGVKLGAGGSASCHKC
+>tr|Q2NKU1|Q2NKU1_BOVIN Deoxyuridine triphosphatase OS=Bos taurus OX=9913 GN=DUT PE=2 SV=1
+MAAGGAAPGKPAERRARTSESRRQMKEAQVVSPSKRARATEAGDMRLRFARLSEHATAPT
+KGSARAAGYDLYSAYDYTVPPMEKVLVKTDIQIALPSGCYGRVAPRSGLAAKHFIDVGAG
+VIDEDYRGNVGVVLFNFGKEKFEVKKGDRIAQLICERIFYPEIEEVQVLDDTERGSGGFG
+STGSN
+>tr|Q0P598|Q0P598_BOVIN Glutaminyl-peptide cyclotransferase OS=Bos taurus OX=9913 GN=QPCT PE=2 SV=1
+MAGCRDPRVVDTLHLLLLVAVLPLAVSGVRRGAVDWTQEKNYHQPALLNVSSLRQVAEGT
+SISEMWQNDLRPLLIERYPGSPGSFAARQHIMQRIQRLQADWVLEVDTFLSQTPYGYRSF
+SNIISTLNPTAKRHLVLACHYDSKYFPHWDDRVFVGATDSAVPCAMMLELARALDKQLFS
+LKNISDSRPDLSLQLIFFDGEEAFHLWSPQDSLYGSRHLASKMASTPHPPGARDTNQLHG
+MDLLVLLDLIGAPFPTFPNFFPNTARWFGRLEAIEHGLHELGLLKDHSSERWYFRNYGYG
+GVIQDDHIPFLRRGVPVLHLISSPFPEVWHTMDDNEENLDRTTIDNLNKILQVFVLEYLH
+L
+>tr|A0A3Q1M9D1|A0A3Q1M9D1_BOVIN Stathmin 4 OS=Bos taurus OX=9913 GN=STMN4 PE=4 SV=1
+MTLAAYKEKMKELPLVSLFCSCFLADPLNKSSYKYEGWCGRQCRRKDESQRRDSADWRER
+REQADTVDLNWCVISDMEVIELNKCTSGQSFEVILKPPSFDGVPEFNASLPRRRDPSLEE
+IQKKLEAAEERRKYQEAELLKHLAEKREHEREVIQKAIEENNNFIKMAKEKLAQKMESNK
+ENREAHLAAMLERLQEKAPPAAR
+>tr|Q2LGB8|Q2LGB8_BOVIN Caspase 8 OS=Bos taurus OX=9913 GN=CASP8 PE=2 SV=1
+MALTQCLYNIGEQLGSDDLAALKFLSRDHIPYRKQEPIKDALMLFQRLQEKRMLEENNLS
+FLKELLFRVNRLDLLLNYLYTSEEEMKRELQIPGRAQISAYRILLFQISEDVNKVELKDF
+KFFLSQEIAKCKLDDDMTLLDIFVEMEKRTILGEENLDTLKRICEQVNKSLLKKIYDYEE
+LRKERRMSLERDPYAFSNDMSQSLPEEGYSKMPAMSDSPEQDSELQTSDTVYRMTSKPRG
+YCLIFNNYDFSIARKQVPELHDLKDRTGTDFDADALDKTFRELHFEIVHYKDLTAKGICE
+VLESYQKKDHKNKDCFICCILTHGNKGIIYGSDGQEASIYELTSYFTGLKCPSLIGKPKI
+FFIQACQGDKYQKGVAVETDSEQMEAYLEVDSSPQKRYIPDEADFLLGMATVKNYVSYRN
+IWNGAWYIQSLCQNLRERCPRGEDILTILTKVNFEVSKLDDKQRMAKQMPQPTFTLRKKL
+FFPLN
+>tr|E1BNU4|E1BNU4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC530342 PE=4 SV=1
+MEQDDPAEALTELRERRPGPLELLQVAAGSGLAVYAVWALLLQPGFRRVPLRLQVPYVGA
+SARQVEHVLSLLRGRPGKTVDLGSGDGRIVLAAHRCGLRPAVGYELNPWLVGLARLRAWR
+AGCAGSVRYHREDLWKVSLRDCHNVSVFLAPSVLPLLEDKLQAELPAGARVVSGRFPLPT
+WQPVAVVGEGLDRVWAYDIHRGGPAGQAVPGPSSASVLGTPNSQNG
+>tr|G3MWG6|G3MWG6_BOVIN Zinc finger and SCAN domain containing 25 OS=Bos taurus OX=9913 GN=ZSCAN25 PE=4 SV=2
+MLVERPGMAEEPQQQMGGHVVKLEKELPWGRTREDPSPETFRLRFRQFRYQEAAGPQEAL
+RELQELCRQWLRPELHTKEQILELLVLEQFLTILPREFYAWIWEHGPESGKALVAMVEDF
+TQRALEAKAVPCHVQGQREETTLCRDPWEPSVHLGPVEVKPEWGMPHGEGIQDLDRGTEE
+QLSQDPGEGTQAFQEQALPVLQAGAGLPAVSTRDQEVAASFLTAGSQGLGPFKDMALAFP
+EEEWRHVTPAQIDCFGEYVEPQDCGVSPPGLGSKDKEAKTQLADPKGQLACGRAERCGEA
+ALQGPESGRPSEQQAGSSVGNAPGPLLPQHSVAPLPDDLQTHSSFWKPFQCPECGKGFSR
+SSNLVRHQRTHEEEKSYSCGECGKGFALREYLLKHQRTHLGRRPHVCSECWKTFSQRHHL
+AVHQRSHTGEKPFQCADCWRSFSRRQHLQVHRRTHTGEKPYTCECGKRFSRNANLAVHRR
+THTGEKPYGCQVCGKRFSKGERLVRHQRIHTGEKPYRCPACGRSFNQRSILNRHQKTQHR
+QEPPESPEVEPVDTPRYCPDLGIWACASVLSALAPQCIRDHLPLVSESSLSSVHLGPCHL
+RGAWNPQATWR
+>tr|Q2HJ25|Q2HJ25_BOVIN Methionine aminopeptidase OS=Bos taurus OX=9913 GN=METAP1D PE=2 SV=1
+MTTEEIDALVHQEIISHDAYPSPLGYGGFPKSVCTSVNNVLCHGIPDSRPLQDGDIINID
+VTVYYNGYHGDTSETFLVGNVDECGKKLVEVARRCRDEAIAACRAGAPFSVIGNTISQIT
+HQNGLQVCPHFVGHGIGSYFHGHPEIWHHANDSNLLMEEGMAFTIEPIVTEGSPEFKVLE
+DSWTVVSLDNQRSAQFEHTVLITSRGAQILTKLPYEA
+>tr|E1BAU0|E1BAU0_BOVIN TAL bHLH transcription factor 1, erythroid differentiation factor OS=Bos taurus OX=9913 GN=TAL1 PE=4 SV=1
+MTEQPPSEAAHSDPPLEGRDAAEARMAPPHLVLLNGVAKETSRATPAEPPVIELGTRGGG
+PGGGPAGGGGAARDLKGREAAAAEARHRVPTTELCRPPGPAPASAPAELPGDGRMVQLSP
+PALAAPAAPGRALLYSLSQPLASLGSGFFGEPDAFPMFATNNRVKRRPSPYEMEITDGPH
+TKVVRRIFTNSRERWRQQNVNGAFAELRKLIPTHPPDKKLSKNEILRLAMKYINFLAKLL
+NDQEEEGTQRAKPGKDPVVGAGGGGGGGGGSAPPEDLLQDVLSPNSSCGSSLDGAASPDS
+YTEEPAPKHTARSLHPAMLPAADGAGPR
+>tr|A6QNS0|A6QNS0_BOVIN RFXANK protein OS=Bos taurus OX=9913 GN=RFXANK PE=2 SV=1
+MEHTQPAEDLSLTQQPLTPEFGDPEDPRDEAPDGSDTVVLSLFPCTPEPGNPESDAGSSS
+PQAGNSLKHSTTLTNRQRGNEVSALPATLDSLSIHQLAAQGELSQLKEHLRKGDNLINKP
+DERGFTPLIWASAFGEIETVRFLLEWGADPHILAKERESALSLASTGGYTDIVGLLLERD
+VDINIYDWNGGTPLLYAVRGNHVKCVEALLGKWNRGWPCRNPGGQGGV
+>tr|Q3ZCE1|Q3ZCE1_BOVIN Signal transducing adaptor family member 2 OS=Bos taurus OX=9913 GN=STAP2 PE=2 SV=1
+MALALSPPRVPKPKSALPLHYYESFLEKKGPRDRDYKKFWAGLQGLTLYFYNSNRDTKHV
+EKLDLGGFVKLTDEAPWGNSHDPSTHFCLVLRNQEIKFKVESLESREMWKGFILTVVELR
+VPSNLTLLPGHLYMMSEALAKEEARRVLEMPSCFLKVSRLEAQLLLERYPECGNLLLRPS
+GDGTDGVSVTTLQTLNGTPMVRHYKVKREGAKYVIDVEEPFSCTSLEAVVNYFVSYSNKA
+LVPFLLDEDYDKVIGPAPPKGGPKQLPPTSITPAPSLDKLPPLPDQDNNYVIPIGDTPAA
+SYMNEDVPSPSQLVVPKPRRLVKLQAKLPKPPTVPKSEPKGLNSGPAKKLAASSAQAFSP
+TTGLADVTAELEEKLQKRRALE
+>tr|Q3T0W1|Q3T0W1_BOVIN Enoyl-CoA hydratase and 3-hydroxyacyl CoA dehydrogenase OS=Bos taurus OX=9913 GN=EHHADH PE=1 SV=1
+MAEFTRLQNCLALIRLRNPPVNAISTTVARGIKESLQKAITDDTVKAIVICGADGIFCAG
+ADIREFKVHKTFDIQLGDIVDEIQRNKKPVVAAIQKLALGGGLELALGCHYRIAHAEAQV
+GFPEVTLGILPGARGTQLLPRLVGVPAALDLIISGRHISANEALKLGIIDKIVNSDPIEE
+SIKFAQRISGSHRRELGKQDPPCLVVWPKHLKKKKKRIRKAMQTNISAIRRQAA
+>tr|Q0VCQ3|Q0VCQ3_BOVIN MAX interactor 1 OS=Bos taurus OX=9913 GN=MXI1 PE=2 SV=1
+MGKRGRPRKEARCEGAGLAPAAPPAVTAPQPPAQPEEPAGAKLRCPFSDIFNTSENSMEK
+HINTFLQNVQILLEAASYLEQIEKENKKCEHGYASTFPSMPSPRLQHSKPPRRLSRAQKH
+SSGSSNTSTANRSTHNELEKNRRAHLRLCLERLKVLIPLGPDCTRHTTLGLLNKAKAHIK
+KLEEAERKSQHQLENLEREQRFLKRRLEQLQGPQEMERIRMDSIGSTISSDRSDSEREEI
+EVDVESTEFSHGEVDNISTTSISDIDDHSSLQSIGSDEGYSSASVKLSFTS
+>tr|Q3SYY3|Q3SYY3_BOVIN Prolyl 4-hydroxylase subunit alpha 2 OS=Bos taurus OX=9913 GN=P4HA2 PE=2 SV=1
+MKPWESTLLVAWFGVLSCVQAEFFTSIGHMTDLIYAEKDLVQSLKEYILVEEAKLSKIKS
+WADKMEALTSKSAADPEGYLAHPVNAYKLVKRLNTDWPALEDLVLQNSAAGTKYQAMLSV
+DDCFGMGRSAYNEGDYYHTVLWMEQVLKQLDAGEEATTSKAQVLDYLSYAVFQLGDLHRA
+VELTRRLLSLDPSHERAGGNLHYFERLLEEEREKMLSNHTEAELASQQGIYERPVDYLPE
+RDVYESLCRGEGVKLTPRRQKRLFCRYHHGNRVPQLLIAPFKEEDEWDSPHIVRYYDVMS
+DEEIERIKEIAKPKLARATVRDPKTGVLTVASYRVSKSSWLEEDDDPVVARVNLRMQHIT
+GLTVKTAELLQVANYGMGGQYEPHFDFSRRPFDSGLKTEGNRLATFLNYMSDVEAGGATV
+FPDLGAAIWPKKGTAVFWYNLLRSGEGDYRTRHAACPVLVGCKWVSNKWFHERGQEFLRP
+CGSTEVD
+>tr|Q0VC77|Q0VC77_BOVIN CCDC49 protein OS=Bos taurus OX=9913 GN=CWC25 PE=2 SV=1
+MGGGDLNLKKSWHPQTLRNVEKVWKAEQKHEAERKKIEELQRELREERAREEMQRYAEDV
+GAVKKKEEKLDWMYQGPGGMVNRDEYLLGRPIDKYVFEKMEEKEAGCSSETGLLPGSIFA
+PSGANSLLDMASKIREDPLFIIRVHHEKRWAGRSTSWSQDCWEKYQ
+>tr|A6QLG6|A6QLG6_BOVIN FXR1 protein OS=Bos taurus OX=9913 GN=FXR1 PE=2 SV=1
+MAELTVEVRGSNGAFYKGFIKDVHEDSLTVVFENNWQPERQVPFNEVRLPPPPDIKKEIS
+EGDEVEVYSRANDQEPCGWWLAKVRMMKGEFYVIEYAACDATYNEIVTFERLRPVNQNKT
+VKKNTFFKCTVDVPEDLREACANENAHKDFKKAVGACRIFYHPETTQLMILSASEATVKR
+VNILSDMHLRSIRTKLMLMSRNEEATKHLECTKQLAAAFHEEFVVREDLMGLAIGTHGSN
+IQQARKVPGVTAIELDEDTGTFRIYGESADAVKKARGFLEFVEDFIQVPRNLVGKVIGKN
+GKVIQEIVDKSGVVRVRIEGDNENKLPREDGMVPFVFVGTKESIGNVQVLLEYHIAYLKE
+VEQLRMERLQIDEQLRQIGSRSYSGRGRGRRGPNYTSGYGTNSELSNPSETESERKDELS
+DWSLAGEDDRESRHQRDSRRRPGGRGRSVSGGRGRGGPRGGKSSISSVLKDPDSNPYSLL
+DNTESDQTADTDASESHHSTNRRRRSRRRRTDEDAVLMDGMTESDTASVNENGLGKRCD
+>tr|Q2PEE7|Q2PEE7_BOVIN Growth hormone E2 OS=Bos taurus OX=9913 GN=PRP6 PE=2 SV=1
+MAPAPSFRGHQWTYNPVRGSCLLLLLVMSNLLLCQGNSCPSCCPDVSDIPLDLLRELFLN
+ATLLSQSILKHSRIMLNEFDEKYAQGKLYYLTATKSCHTNSLHTTEDMDKAEKIDNEDLS
+KWILMLLYSWHRPLNHLVTDMRGMIEVSDTILSNAKENVKKVEELQAFIERQFCQIIIPV
+MRKMLENNIYWSGLPSLLSSDEDVRHSAFYSLFHCLHRDTLEIDIYTKILARRMINT
+>tr|A8YXY4|A8YXY4_BOVIN NADH dehydrogenase [ubiquinone] iron-sulfur protein 6, mitochondrial OS=Bos taurus OX=9913 GN=NDUFS6 PE=2 SV=1
+MAAVLTFLRFLGRGGAVTRGLPGGARCFGVRTSPTGEKVTHTGQVYDDGDYRKVRFVGRQ
+KEVNENFAIDLIAEQPVSQVGSRVISCDGGGGALGHPRVYINLVMCCPAPSPSPGSCPVL
+SPLAAHARLGPAHESSARAEQRAGTHRASRTG
+>tr|F1N4H9|F1N4H9_BOVIN Alpha-(1,6)-fucosyltransferase OS=Bos taurus OX=9913 GN=FUT8 PE=3 SV=1
+MRPWTGSWRWIMLILFAWGTLLFYIGGHLVRDNDHPDHSSRELSKILAKLERLKQQNEDL
+RRMAESLRIPEGPIDQGPASGRIRALEEQLVKAKEQIENYKKQTRNGLGKDHEILRRRIE
+NGAKELWFFLQSELKKLKNLEGNELQRHADEFLSDLGHHERSIMTDLYYLSQTDGAGDWR
+EKEAKDLTELVQRRITYLQNPKDCSKAKKLVCNINKGCGYGCQLHHVVYCFMIAYGTQRT
+LILESHNWRYATGGWETVFRPVSETCTDRSGVSTGHWSGEIKDKNVQVVELPIVDSLHPR
+PPYLPLAVPEDLADRLVRVHGDPAVWWVSQFVKYLIRPQPWLEKEIEEATKKLGFKHPVI
+GVHVRRTDKVGTEAAFHPIEEYMVHVEEHFQLLARRMQVDKKRVYLATDDPSLLKEAKTK
+YPHYEFISDNSISWSAGLHNRYTENSLRGVILDIHFLSQADFLVCTFSSQVCRVAYEIMQ
+TLHPDASANFHSLDDIYYFGGQNAHNQIAIYPHEPRTADEIPMEPGDIIGVAGNHWDGYS
+KGVNRKLGRTGLYPSYKVREKIETVKYPTYPEAEK
+>tr|A7YY30|A7YY30_BOVIN MGC157043 protein OS=Bos taurus OX=9913 GN=MGC157043 PE=2 SV=1
+MEEGVPCPAPAAKLTAPVKKSQDMHDERSKLVNEYACRVLELLGMGHRLFVPRLLATSKE
+DLLQADFEGALKFFRVQLPKRYRAEENARRLMEQACNIKVPTKKLKKYEKEYQTMRESQL
+QQEDPMDRYKRENRRLQEASMRLEQENDDLAHELVTSKIALRNDLDQAEDKADVLNKELL
+LTKQRLVETEEEKRKQEEETAQLKEVFRKQLEKAEYEIKKTTAIIAEYKQICSQLSTRLE
+KQQAASKEELEVVKGKMMACKHCSDIFSKEGALKAAAVSREDQGIESDDEKDSLKKQLRE
+MELELAQTKLQLVEAKCKIQELEHQRGALMNEIQAAKNSWFSKTLNSIKTATGTQPLQPP
+QATQPPKEST
+>tr|Q29RS9|Q29RS9_BOVIN Replication factor C (Activator 1) 4, 37kDa OS=Bos taurus OX=9913 GN=RFC4 PE=2 SV=1
+MQAFLKGASISTKPPPTKDRGVTATARSSGENKKAKPVPWVEKYRPKCVDEVAFQEEVVA
+VLKKSLEGADLPNLLFYGPPGTGKTSTILAAARELFGPELFRLRVLELNASDERGIQVVR
+EKVKNFAQLTVSGSRSDGKPCPPFKIVILDEADSMTSAAQAALRRTMEKESKTTRFCLIC
+NYVSRIIEPLTSRCSKFRFKPLSDKIQQQRLLDIADKEHVKISNEGIAYLVQVSEGDLRK
+AITFLQSATRLTGGKEVTEKVITDIAGDLINEGHAATQLVNQLHDVVVENDNLSDKQKSI
+ITEKLAEVDKCLADGADEHLQLISLCATVMQQLTQNC
+>tr|B3FXL3|B3FXL3_BOVIN Interleukin 1 receptor associated kinase 3 OS=Bos taurus OX=9913 GN=IRAK3 PE=2 SV=1
+MSQWIMFLFLNVMKKGGKMQCKKQWKRFLSELEVLLLFRHPNILELAAYFTESEKFCLVY
+PYMRNGSLFDRLQCVGDTAPLSWHIRIGVLIGTSKAIQYLHNTELCSVICGSISSANILL
+DDQFQPKLTDFAMAHFRPHLEPQSSAISMTSSSSKHVWYMPEEYIRQGKLSIKTDIYSFG
+IVIMEVLTGCKVVLDEPKHIQLRDLLIELMEKRGLDSCISFLDKKVPPCPQNFSAKLFSL
+AGRCAATRAKLRPSMDEVLNILESTQASLYFAEDPPTSLKSFRSPSPLFFDNVPSIPVED
+DENQNNPSPPHDQSLRKDRMTQKTPFECSQSEVTFLGFDKKTGSRGNEDSSSRSGSPCEE
+SWSPKCATPSLDLRAPSVHTDTSAEAPGHSYRSRPVETSCSSIFSWNECKLYKKASVSDE
+DKEESKNC
+>tr|E1BN04|E1BN04_BOVIN Zinc finger MYND-type containing 11 OS=Bos taurus OX=9913 GN=ZMYND11 PE=4 SV=2
+MARLTKRRQADTKAIQHLWAAIEIIRNQKQIANIDRITKYMSRVHGMHPKETTRQLSLAV
+KDGLIVETLTVGCKGSKAGIEQEGYWLPGDEIDWETENHDWYCFECHLPGEVLICDLCFR
+VYHSKCLSDEYRLRDSSSHWQCPVCRSIKKKNTNKQEMSTYLRFIVSRMKERAIDLNKKG
+KDNKHPMYRRLVHSAVDVPAIQEKVNEGKYRSYEEFKADAQLLLHNTVIFYGADSEQADI
+ARMLYKDTCHELDELQLCKNCFYLSNARPDNWFCYPCIPNHELVWAKMKGFGFWPAKVMQ
+KEDNQVDVRFFGHHHQRAWIPSENIQDITVNVHRLHVKRSMGWKKACDELELHQRFLREG
+RFWKSKNEDRGEEEAESSISSTSNEQLKVTQEPRAKKGRRNQSVEPKKEEPEPETEAVSS
+SQEIPTMPQPIEKVSVSTQTKKLSASSPRMLHRSTQTTSDGVCQSMCHDKYTKIFNDFKD
+RMKSDHKRETERVVREALEKLRSEMEEEKRQAVNKAVANMQGEMDRKCKQVKEKCKEEFV
+EEIKKLATQHKQLISQTKKKQWCYNCEEEAMYHCCWNTSYCSIKCQQEHWHAEHKRTCRR
+KR
+>tr|A0A3S5ZPN1|A0A3S5ZPN1_BOVIN Angiopoietin like 6 OS=Bos taurus OX=9913 GN=ANGPTL6 PE=2 SV=1
+MSAPRLLTMQLLLLLGAWWARAAAPRCTYTFVLPPQKFTGAVCWSGPAAARPTPEAVNAS
+EVAALRVRVSRHEELLRELQRLATADGAVAGEVRALRKESRGLSARLGQLRAQLQHEAGP
+GAAPSPGAEPASALALLGDRVLNASAEAQRAAARFHQLDVKFRELAQLVSQQSGLIARLE
+RLCPGSAGGQQQVLPPPLVPVVPVSLVGGTDDTGRRLNSAAEPQRDQTLRQPGPLASPLP
+LGHPAVPPKPAGPWQDCAEARQAGHGQSGVYELRVGRHVVSAWCEQQLEGGGWTVIQRRQ
+DGSVNFFTTWQHYKVGFGQPDGEYWLGLEPVHQLTSRGDHELLVLLEDWGGRGARAHYDG
+FSLEPESDHYRLRLGQYHGDAGDSLSWHNDKPFSTVDRDRDSYSGNCALYQRGGWWYHAC
+AHSNLNGVWHRGGHYRSRYQDGVYWAEFRGGAYSLKKAAMLIRPLRP
+>tr|A7E3S8|A7E3S8_BOVIN Heat shock 70kD protein binding protein OS=Bos taurus OX=9913 GN=ST13 PE=2 SV=1
+MDPRKVSELRAFVKMCKQDPSVLHTEEMRFLREWVESMGGKIPPAAHKTKLEENTKEEKT
+DSKKTEENVKTDEPSSEESDLEIDNEGVIEPDTDAPQEMGDENVEITEEMMDQANDKKVA
+AIDALNDGELQKAIDLFTDAIKLNPRLAILYAKRASVFIKLQKPNAAIRDCDRAIEINPD
+SAQPYKWRGKAHRLLGHWEEAAHDLALACKLDYDEDASAMLKEVQPRAQKIAEHRRKYER
+KREEREIKERIERVKKAREEHERAQREEEARRQSGSQYGSFPGGFPGGMPGNFPGGMPGM
+AGGMPGMPGMPGLNEILSDPEVLAAMQDPEVMVAFQDVAQNPANMSKYQSNPKVMNLISK
+LSAKFGGQA
+>tr|A3KN51|A3KN51_BOVIN TSG101 protein OS=Bos taurus OX=9913 GN=TSG101 PE=2 SV=1
+MAGSESQLKKMVSKYKYRDLTVRETVNVISLYKDLKPVLDSYVFNDGSSRELMNLTGTIP
+VPYRGNTYNIPICLWLLDTYPYNPPICFVKPTSSMTIKTGKHVDANGKIYLPYLHEWKHP
+QSDLLGLIQVMIVVFGDEPPVFSRPTVSASYPPYQATGPPNTSYMPGMPSGISAYPSGYP
+PNPSGYTGCPYPPGGQYPATTSSQYPSQPPVTTVGPSRDGTISEDTIRASLISAVSDKLR
+WRMKEEMDRAQAELNALKRTEEDLKKGHQKLEEMVNRLDQEVAEVDKNIELLRKKDEELS
+SALEKMENQSENNDIDEVIIPTAPLYKQILNLYAEENAIEDTIFYLGEALRRGVIDLDVF
+LKHVRLLSRKQFQLRALMQKARKTAGLSDLY
+>tr|A6QPW6|A6QPW6_BOVIN SERPINI2 protein OS=Bos taurus OX=9913 GN=SERPINI2 PE=2 SV=1
+MDKILLWSLLLTFSGSQASSPWAQRNAEFVVDLYQAVGLSHKNNIIISPLGTTLALGMVQ
+LGAKGKAQQQIRKTLKFQDTSTGEEFSELKSFFSAISEKKQEFTFNLANALYLQEGFTVK
+EQYLHGNKEFFQSAIKLVDFQNAKACAETISNWVESKTDGKIKDMFSGEEFGPLTRLVLV
+NAIYFKGDWKQKFNKEDTQLMNFTLKDGTAVKIPMMKALLRTKYGYFSESSINYQVLELP
+YKGDEFSLIIILPAEHTNIEEMEKLITAPQILQWFSEMQEQEVEISLPRFKVEQKLDFKE
+ALYSLNITEIFSGGCDLSGITDSSEVYVSRVMQQVFFEINEEGSEVATSTGINTPVIMSL
+SRNQFIANHPFLFIMKNNPTDSILLMGRVTNPDTQRMKGRDLDSL
+>tr|Q2KIZ6|Q2KIZ6_BOVIN Influenza virus NS1A binding protein OS=Bos taurus OX=9913 GN=IVNS1ABP PE=2 SV=1
+MIPNGYLMFEDENFIESSVAKLNALRKSGQFCDVRLQVCGHEMLAHRAVLACCSPYLFEI
+FNSDSDPHRVSHVKFDDLNPEAVEVLLNYAYTAQLKADKELVKDVYSAAKKLKMDRVKQV
+CGDYLLSRMDVTSCISYRNFASCMGDSRLLNKVDAYIQEHLLQISEEEEFLKLPRLKLEV
+MLEDTVCLPSNGKLYTKVINWVQRSIWENGDSLEELMEEVY
+>tr|Q5E9Z3|Q5E9Z3_BOVIN Villin-1 OS=Bos taurus OX=9913 GN=VIL1 PE=2 SV=1
+MTKLSAQVKGSLNITTPGVQIWRIEAMQMVPVPSNSFGSFFDGDCYVILAIHKTGSNLSY
+DIHYWIGQASSQDEQGAAAIYTTQMDDFLKGRAVQHREVQGNESDTFRGYFKKGIVIRKG
+GVASGMKQVETNSYDIQRLLHVKGKRNVVAGEVEMSWKSFNRGDVFLLDLGKLIIQWNGP
+ESNHMERLRGMNLAKEIRDQERGGRTYVGVVDGEDEKASPQLMEIMNHVLGQRKELKAAV
+ADTVVEPALKAALKLYHVSDSEGKVVVREIATQPLTQDLLSHEDCYILDQGGLKIYVWKG
+KNANAQEKKEAMNQALNFIKAKQYPPSTQVELQNDGAESAVFQQLFQKWTVPNRTTGLGK
+THTVGSVAKVEQVKFDAMSMHVQPQVAAQQKMVDDGSGEVQMWRIENLELVPVNTKWLGH
+FFGGDCYLLLYTYFINEKPHYLLYIWQGSQASQDEITASAYQAVILDQEYNNEPVQIRVP
+MGKEPPHLMSIFKGCMVVYQGGTSRANSVEPVPSTRLFQVRGTSANNTKAFEVSPRAASL
+NSNDVFILKTQSCCYLWCGKGCSGDEREMAKMVADTVSRTEKQVVVEGQEPANFWLALGG
+KAPYASTKRLQEENLVITPRLFECSNQTGRFLATEIPDFNQDDLEEDDVFLLDVWDQVFF
+WIGKNANEDEKKAAATTVQEYLKTHPGGRDLETPIIVVKQGHEPPTFTGWFLAWDPFKWN
+NSKSYEDLKAELGNSGDWSQITAELTSSKPEAFNANSNLSSGPLPIFPLEQLVNKPTEEL
+PEGVDPSRREEHLSIEDFTRALGMTPSAFWALPRWKQQNLKKEKGLF
+>tr|A6QLS5|A6QLS5_BOVIN MGC143209 protein OS=Bos taurus OX=9913 GN=OTULINL PE=2 SV=1
+MAARKSPAPERDRPRNHASGNDQVHSWTLVTSQALDTAWRVVKGSVTLAVSLLAAILSYF
+RRLHMHLGHQLKWWIGYLQRRFKRNLSVEAEVDLLSYCAREWKGETPHAKLMRKAYEELF
+WRRHIKCVRQVRRDNYDALRSVLFQIFSRGLAFPSWMKEKDIVKLPEKLLFSQGCNWIQQ
+YSFGPEKYTGSNVFGKLRKCVELLKTQWTEFSGIKDYHKRGSMCNILFSDALLECKLYEA
+LKFIMLYQVTEVYEQMKTKKVIPSLFRLLFTRETSSDPLSFMMNHLNSVGDTCGLEQIDM
+FILGYSLEVKIKVFRLFKFNSRDFEVCYPEESLREWPEISLLTENDRHYHIPVF
+>tr|A6QQH7|A6QQH7_BOVIN ULK2 protein OS=Bos taurus OX=9913 GN=ULK2 PE=2 SV=1
+MGTAGRRASNEFLVFGGQCQPSVSPHSTAAPIPVPTQVRNYQRIEQNLTSTAGSSTNLHG
+SPRSAVVRRSNTSPLGFLRPGSCSPAPADAVPAIGRRLSTGSSRPYSPSPLVGTIPEQFR
+QCCCGHLQGHESRSRNSSGSPVPQAQSPQPVLLGARLQSAPTLTDIYQNKQKLRKQHSDP
+VCPSQAGAGYSCSPQPSRPGSLGTSPTKHTGSSPRSSDWFFRTPLPTIIGSPTKTTAPFK
+IPKTQASSNLLALATRHGPSEGQLKDGNNPRKCTHCVLVQGGERQKSEHQVKAAFGRSVS
+TGKLSDQQVKTPFGGHQGSTDSLNTERPMDTAPAGACGVALAPAIGAAASSRAVMFTVGS
+PPHRAAATTCTHTGLRIRTTSGGSSGSGGSLCSIGGRVCGGSPPGLCSGSPPPGAEAAPS
+LRHVPCGASPPSLEGLVTFEAPELPEETLMEVGRDPTIQVGFALARTCRHLTPPELNAAV
+HGVCAGPDGCARGEPGALRIRRLRVPDPGECGGGPDQPAEQGLGAGRAAGVVHESCTTPG
+SLSASRQRADQVREAEPVLRCEASCQKSE
+>tr|A6QLK9|A6QLK9_BOVIN PXMP3 protein OS=Bos taurus OX=9913 GN=PEX2 PE=2 SV=1
+MASGDENAERTNRVLRISQLDALELNKALEQLVWSQFTQCFHGFKPGLLARFEPEVKAFL
+WLFLWRFTIYSKNATVGQSVLNIQYQNDLSPNLSYQPPSRNQKLWYAVCTIGGKWLEERC
+YDLFRNRHIASFRKAKQCMNLVVGLLKLGGLINFLIFLQRGKFATLTERLLGIHSVFHKP
+QSVREVGFEYMNRELLWHGFAEFLIFLLPLINVQKLRAKLSSWCVPLTGAPGSNSTLATS
+GRQCSLCGEWPTMPHTVGCEHVFCYYCVKSSFLFDMSFTCPKCGTEVHSLQPLKSGIEMA
+EVSVP
+>tr|Q1RMN9|Q1RMN9_BOVIN C4b-binding protein alpha-like OS=Bos taurus OX=9913 GN=LOC510860 PE=2 SV=1
+MSPKLQRIFPALCLLGVLSLLHCPPVLCDCESPPVIAHGQRKVVSKFFTFDHQAVYECDE
+GYILVGAKELSCTSSGWSPAVPQCKALCLKPEIEYGRLSVEKVRYVEPERITIQCESGFS
+VVGSENITCSEDRTWYPEVPKCEWEYPEGCEQVVTGRKLLKCLSRPEEVKLALEVYKLSL
+EIALLELQIDKPKDAS
+>tr|E1BE85|E1BE85_BOVIN Palmitoyltransferase OS=Bos taurus OX=9913 GN=ZDHHC1 PE=3 SV=3
+MYKMNICNKPSNKTAPEKNVWTAPAQASGPSPELQGQRSRRNGWSWPPHPLQIVAWLLYL
+FFAVIGFGVLVPLLPHHWVPAGYACMGAIFAGHLVVHLTAVSIDPADANVRDKSYAGPLP
+IFNRSQHAHVIEDLHCNLCDVDVSARSKHCSACNKCVCGFDHHCKWLNNCVGERNYRLFL
+HSVASALLGVLLLVLVATYVFVEFFVNPMRLRTNRHFEVLKNHTDVWFVFLPAAPVETQA
+PAILALAALLILLGLLSTALLGHLLCFHIYLMWHKLTTYEYIVQHRPPQEAKGAHRELES
+CPPKMRPIQSLPIPCYPRPSGASTALLPRDSRSAPRIQPQKKRKRRMYKVPTSGTLNREP
+QLPRLPGPRTPGRRSSSSSDSADASPAEAYHSASGESLDEIPVAQTRLGSAALAAPVGRP
+REPGLALQARAPAVFVSPSSGEPRARGGPEADLA
+>tr|Q1JQ91|Q1JQ91_BOVIN Polymerase (RNA) II (DNA directed) polypeptide D OS=Bos taurus OX=9913 GN=POLR2D PE=1 SV=1
+MAAGGSDPRSGDVEEDASQLIFPKEFETAETLLNSEVHMLLEHRKQQNESAEDEQELSEV
+FMKTLNYTARFSRFKNRETIASVRSLLLQKKLHKFELACLANLCPETAEESKALIPSLEG
+RFEDEELQQILDDIQTKRSFQY
+>tr|E1BC45|E1BC45_BOVIN AMMECR1 like OS=Bos taurus OX=9913 GN=AMMECR1L PE=4 SV=1
+MGKRRCVPPLEPKLAAGCCGVKKPKLSGSGTHSHGNQSTTVPGSSSGPLQNHQHVDGSSG
+RENVSDLTLGPGNSPITRMNPASGALSPLPRPNGTANTTKNLVVTAEMCCYCFDVLYCHL
+YGFPQPRLPRFTNDPYPLFVTWKTGRDKRLRGCIGTFSAMNLHSGLREYTLTSALKDSRF
+PPLTREELPKLFCSVSLLTNFEDASDYLDWEVGVHGIRIEFINEKGVKRTATYLPEVAKE
+QDWDQIQTIDSLLRKGGFKAPITSEFRKTIKLTRYRSEKVTISYAEYIASRQHCFQNGTL
+HAPPLYNHYS
+>tr|A0A3Q1M9E0|A0A3Q1M9E0_BOVIN MAP kinase activating death domain OS=Bos taurus OX=9913 GN=MADD PE=4 SV=1
+MVQKKKSCPRLLDYLVIVGARHPSSDSVAQTPELLRRYPLEDHSEFPLPPDVVFFCQPEG
+CLSVRQRRMTLRDDTSFVFTLTDKDTGVTRYGICVNFYRSFQKRVPKEKGEAGPGSRGKE
+GPRAPFVSEEVGPKTSESGPSLQPPSADSTPDVSQSPRARRRAKAGSRSRNSTLTSLCVL
+SHYPFFSTFRECLYTLKRLVDCCSERLLGKKLGLPRGIQRDTMWRIFTGSLLVEEKSSAL
+LHDLREIEAWIYRLLRSPVPVSGQKRVDIEVLPQELQQALTFALPDPSRFTLVDFPLHLP
+LELLGVDACLQVLTCILLEHKVVLQSRDYNALSMSVMAFVAMIYPLEYMFPVIPLLPTCM
+ASAEQLLLAPTPYIIGVPASFFLYKLDFKMPDDVWLVDLDSNRVIAPTNAEVLPILPEPE
+SLELKKHLKQALASMSLNTQPILNLEKFHEGQEIPLLLGRPSNDLQSTPSTEFNPLIYGN
+DVDSVDVATRVAMVRFFNSPNVLQGFQMHTRTLRLFPRPVVAFQAGSFLASRPRQTPFAE
+KLARTQAVEYFGEWILNPTNYAFQRIHNNMFDPALIGDKPKWYAHQLQPIHYRVYDSGSQ
+LAEALSVPPERDSDSDPTDDSGSDSMDYDDSSSSYSSLGDFVSEMMKCDINGDTPNVDPL
+THAALGDASEVAIEELQNQKEAEEPGPDGESSQENPPLRSSPSTTVSSSPSTIIHGASSE
+PVDSAETDDKAAGGVPKSVPTVPPGMGKCSMDRHQTETGEGSVRQRASDSPCLQPQCGFP
+PEEDDEQGESYTPRFSQHVSGHRAQKLLRPSSLKLASDSDAESDSRASSPTSTVSSNSTE
+GFGGIMSLASSLYRNHSTSFSLSNLTLPTKGAREKSTPFPSLKVFGLNTLMEIVTEAGPG
+SGEGHRRALVDQKSSVIKHSPTVKREPPSPQGRASNSSENQQFLKEVVHSVLDGQGVGWL
+NMKKVRRLLESEQLRVFVLSKLNRTVQSEDEARQDVIPDVEISRKVYKGMLDLLKCTVLS
+LEQSFAHAGLGGMASIFGLLEIAQTHYYSKEPDKRKRSPTESISTPVGKDPGLAGRGDPK
+AMAQLRVPQLGPLAPSAPGKSPKELDTRSLKEENFVASIGPEVIKPTFDLGETDEKKSQV
+SADSGVSLTSGSQRTDPDSVISVSPAVMIRSSSQDSEVSNSSGETLGADSDLSSSAGDGP
+GGEGSAYLAGSRGTLSDSEIETNSATSAIFGKAHSLKPSVKEKLVGSPVRFSEDVSQRVY
+LYEGLLGRDKGSMWDQLEDAAMETFSISKERSTLWDQMQFWEDAFLDAVMLEREGMGMDQ
+GPQEMIDRYLSLGEHDRKRLEDDEDRLLATLLHNLISYMLLMKVNKNDIRKKVRRLMGKS
+HIGLVYSQQINEVLDQLANLVSTSQPFFRLLPSHWSAA
+>tr|E1BIU6|E1BIU6_BOVIN Protein phosphatase 2 regulatory subunit B''alpha OS=Bos taurus OX=9913 GN=PPP2R3A PE=4 SV=2
+MAATYRLVVSTVNHYSSVVIDRRFEQAIHYCTGTCHTFTHGVDCIVIHHSVCADLLHIPV
+SQFKDTDLDSLFLPHENGFSSAEGDYPHQALTGIPKVRKGSTFQSTCNLKDIAGEAISFA
+SGKIKEFSLEKLKNSNHAAYKKGRKVKSDSFNRRSVDFDLLCGHYNHDGNSPSFGLLRSS
+SVEEKSLSRRNSLDTNLTSVFLHNFSEEDLVTQILEKHKIDNFSSGTDIKMCLDILLKCS
+EDLKKCTDIIKQCIKKKTGSSINEGNGNDAISSSETVYMNVMTRLASYLKKLPFEFMQSG
+NNEAIDLTELVSNMPNLQLTPFSPVFGTEQPPKYEDVVQLSPLDSGQFQTIELQDDQHSY
+RKTDTIKPIPNNSTNSLYNLEISDPRTLKDVQLQSQPLTVNPLENVSSGNLMETLYIEEE
+SDGKKALYHEQKTENGPSQELIKVNEDRAELSDHGTHLKKCPASMQNEIGKIFEKSVVSL
+PEEDLKSKVPKVEEENHRDFINSNSQEEIDKLLMDLESFSQKMETSLREPLAKGKSSNSL
+NSQSQLIDQLPDLEPKSKVSSPTEKVSPSCLTRIIETNGHKIEEEDRALLLRILESIEDF
+AQELVECKSGRGSLSQEKEMMQILQETLTTSSQANLSVCRSPVGDKVKDTTSVVLIQQTP
+EVIKIQNKPEKKPGTPLPPPAPFPSSPRPPSPVPHVNNVVSATLSINIPRFYFPEGLPDA
+CSNHEQTLSRIEAAFMDIEDQKADVYEMGKIAKACGCPLYWKAPMFRAAGGERTGFVSAQ
+SFITIWRKLLSNHHDDASKFICLLAKPSCSSLEQDDFIPLLQDVVDTHPGLTFLKDAPEF
+HSRYITTVIQRIFYTVNRSWSGKITATEIRKSNFLQTLALLEEEEDINQITDYFSYEHFY
+VIYCKFWELDSDHDLYISQADLSRYNDQASSNRIIERIFSGAVTRGKTVQKEGRMSYADF
+VWFLISEEDKRNPTSIEYWFRCMDVDGDGVLSMYELEYFYEEQCERMEAMGIEPLPFHDL
+LCQMLDLVKPASDGKITLRDLKRCRMAHIFYDTFFNLEKYLDHEQRDPFAVQKDVENDSP
+EPSDWDRFAAEEYETLVAEESAQAQFQEGSFEDYETEEPPSPSEIGNKGNKIVTSSLSEK
+CGKLQSVDEE
+>tr|E1BAT0|E1BAT0_BOVIN Ataxin-7-like protein 3 OS=Bos taurus OX=9913 GN=ATXN7L3 PE=3 SV=1
+MKMEEMSLSGLDNSKLEAIAQEIYADLVEDSCLGFCFEVHRAVKCGYFFLDDTDPESMKD
+FEIVDQPGLDIFGQVFNQWKSKECVCPNCSRSIAASRFAPHLEKCLGMGRNSSRIANRRI
+ANSNNMNKSESDQEDNDDINDNDWSYGSEKKAKKRKSDKNPNSPRRSKSLKHKNGELSNS
+DPFKYNNSTGISYETLGPEELRSLLTTQCGVISEHTKKMCTRSLRCPQHTDEQRRTVRIY
+FLGSSAVLPEVESSLDNDSFDMTDSQALISRLQWDGSSDLSPSDSGSSKTSENQGWGLGT
+NSSESRKTKKKKSHLSLVGTASGLGSNKKKKPKPPAPPTPSIYDDIN
+>tr|A6H7H8|A6H7H8_BOVIN SYT11 protein OS=Bos taurus OX=9913 GN=SYT11 PE=2 SV=1
+MAEITNIRPSFDVSPVVAGLIGASVLVVCVSVTVFVWTCCHQQAEKKHKNPPYKFIHMLK
+GISIYPETLSNKKKIIKVRRDKDGPGREGGRGNLLVDAAEAGLLGQDKSPKGPSSGSCVD
+QLPIKVDYGEELRSPIASLTPGESKTTSPSSPEEDVMLGSLTFSVDYNFPKKALVVTIQE
+AHGLPVMDDQTQGSDPYIKMTILPDKRHRVKTRVLRKTLDPVFDETFTFYGIPYSQLQDL
+VLHFLVLSFDRFSRDDVIGEVMVPLAGVDPSTGKVQLTRDIIKRNIQKCISRGELQVSLS
+YQPVAQRMTVVVLKARHLPKMDITGLSGNPYVKVNVYYGRKRIAKKKTHVKKCTLNPVFN
+ESFIYDIPTDLLPDISIEFLVIDFDRTTKNEVVGRLILGAHSVTASGAEHWREVCESPRK
+PVAKWHSLSEY
+>tr|F1MDM3|F1MDM3_BOVIN Sushi domain containing 6 OS=Bos taurus OX=9913 GN=SUSD6 PE=4 SV=2
+MCHGRIAPKSTSAFAVASVGHGVFLPLVILSTLLGDGLASVCSLPPEPENGGYICHPRPC
+RDPLNSGSVIEYLCAEGYMLKGDYKYLTCKNGEWKPAMEISCRLNEDKDIHTSLGVPTLS
+IVASTASSVALILLLVVLFVLLQPKLKSFHHSRRDQGVSGDQVSIMVDGVQVALPSYEEA
+VYGSSGHCVPPADPRVQIVLSEGSGPSGRSGMREPHPQDQGACSSAGSEEEAPGQSGLCE
+AWGSRGSETVMVHQATTSSWVAGSGNSRAAHKEAPDSENSDIQSLASEDYTDGRWSVPVP
+RALAGSEGGQ
+>tr|F1MPR9|F1MPR9_BOVIN Nuclear factor related to kappaB binding protein OS=Bos taurus OX=9913 GN=NFRKB PE=4 SV=3
+MDSLDHMLTDPLELGPCGDGQGSRIMEDCLLGGTRVSLPEDLLEDPEIFFDVVSLSTWQE
+VLSDSQREHLQQFLPLFPEDSMEQQNQLILALFSGENFRFGNPLHIAQKLFRDGHFNPEV
+VKYRQLCFRSQYKRYLSSQQQYFHRLLKQILASRSDLLEMARRSGPAFPSRQKRPWPSRA
+PEEREWRTQQRYLKVLREVKEECGDTALSSDEEDLSSWLPSSPARSPSPAVPLRVVPTLS
+TTDMKTADKIELGDSDLKIMLKKHHEKRKYQPDHPDLLTGDLTLNDIMTRVNAGRKGSLA
+ALYDLAVLKKKVKEKEERKKKKIKLIKSEVEDLAEPLSGPEGLPPLSQAPSPLAIPAIKE
+EPLEDLKPCLGINEISSSFFSLLLEILLLEGQASLPLLEERVLDWQSSPASSLNSWFSAA
+PNWAELVLPALQYLAGESRAVPSSFSPFVEFKEKTQHWKLLGQSQDNEKELAALFQLWLE
+TKDQAFCKQETEDSSDATTPVPRVRTDYVVRPSTGEEKRVFQEQERYRYSQPHKAFTFRM
+HGFESVVGPVKGVFDKETSLNKAREHSLLRSDRPAYVTILSLVRDAAARLPNGEGTRAEI
+CELLKDSQFLAPDVTSTQVNTVVSGALDRLHYEKDPCVKYDIGRKLWIYLHRDRSEEEFE
+RIHQAQAAAAKARKALQQKPKPPSKVKSSSKDGPVKVLSGGPSEQSQLSLSDSSMPPTPV
+TPVTPTTPALPATPISPPPVPPVNKNGPTTVSEPAKSTSGVLLVSSPTMPQLGTMLSPAS
+SQTPPSSQAAARVVSHSGSAGLPQVRVVAPPSLPAVTQPAGPAPPLPPMPAGTQIRMPAT
+GAQAKGGPQTVMATVPVKAQTATATVQRPGPGSAGLTVTSLPAAAKPTSKPATSSPGGPT
+PSTPTAAVLQSVAGQNIIKQVAITGQLGVKPQTGSSVPLTATNFRIQGKDVLRLPPSSIT
+TDAKGQTVLRITPDMMATLAKSQVTTVKLTQDLFGTGAGTTGKGISATLHVTSNPVHATD
+SPAKAGVATAPSSTPTGTTVVKVTPDLKPARLMPALGVSVADQKGKNTVASSEAKPAATI
+RIVQGLGVMPPTAGQTITVAAHTKQGPSVAGGSGTVHTSAVSMPSVNAAVSKTVAVASGA
+ASAPISIGTGAPAVRQVPVSTAVVSTSQAGKLPTRITVPLSVISQPMKGKSVVTAPIIKG
+NLGANLGGLGRNIILTTMPAGAKLIAGNKPVSFLTAQQLQQLQQQGQATQVRIQTVPASH
+LQQGTASGSSKAVSTVVVTTAPSPKQAPEQQ
+>tr|Q08DQ3|Q08DQ3_BOVIN Cyclin-dependent kinase 2-associated protein OS=Bos taurus OX=9913 GN=CDK2AP1 PE=2 SV=1
+MSYKPNLTTHMPAASLNAAGSVHPPSTSMATSSQYRQLLSDYGPPSLGYTQGTGNSQVPQ
+SKYAELLAIIEELGKEIRPTYAGSKSAMERLKRGIIHARGLVRECLAETERNARS
+>tr|A3KMW5|A3KMW5_BOVIN C1QTNF1 protein OS=Bos taurus OX=9913 GN=C1QTNF1 PE=2 SV=1
+MGSRGLRLALACCLLLAFACGLVLGRVPHGQQEQQEQEGTREPPMDHAERDEEEHEKYGP
+RQDEEAPASRCLRCCDPGTPVYQAIPVPQINITILKGEKGDRGDRGLQGKYGKTGSVGAR
+GHTGPKGQKGSMGAPGDRCKNHYAAFSVGRKKPLHSNDYYQTVIFDTEFVNLYSHFNMFT
+GKFYCYVPGIYFFSLNVHTWNQKETYLHIMKNAEEVVILYAQVSDRSIMQSQSLMLELRD
+QDEVWVRLFKGERENAIFSDEFDTYITFSGYLVKPANEP
+>tr|A6QQR7|A6QQR7_BOVIN Glycerol-3-phosphate dehydrogenase [NAD(+)] OS=Bos taurus OX=9913 GN=GPD1L PE=2 SV=1
+MAAAPLKVCIVGSGNWGSAVAKIIGNNVRKLQKFASTVKMWVFEETVNGRKLTDIINNDH
+ENVKYLPGHKLPENVVAVANLSEAVQDADLLVFVIPHQFIHRICDEITGRVPKDALGITL
+IKGIDEGPEGLKLISDIIREKMGIDISVLMGANIANEVAAGKFCETTIGSKIMENGLLFK
+ELLQTPNFRITVVDDADTVELCGALKNIVAVGAGFCDGLLCGDNTKAAVIRLGLMEMIAF
+ARIFCKGQVSTATFLESCGVADLITTCYGGRNRKVAEAFARTGKTIEELEKEMLNGQKLQ
+GPQTSAEVYRILKQKGLLDKFPLFTAVYQICYEGRPVQEMLSCLQSHPEHI
+>tr|G3N348|G3N348_BOVIN Wolframin ER transmembrane glycoprotein OS=Bos taurus OX=9913 GN=WFS1 PE=4 SV=1
+MASSASPPSSSGPQLPPPPQSQARSRLNATTSVEQEFSGAPPAPGPQVGPGSDVRDPAAP
+AMPQASRARSRERMDGTGPAKGDVDTPFEEVLEKAKAGDPKAQTEVGKHYLQLAGDGDEE
+LNNCTAVDWLTLAAKQGRREAVKLLRRCLADRRGITSENEQEVRQLSSETDLERAVRKAA
+LVMYWKLNPKKKKQVAVAELLENVGQVNEHDGGVQPGPVPKSLQKQRRVLERLVSSESKS
+YIALDDFVEITKKYAKGIIPTDLFLQDDDDDEVAGKSPEDLPLRLKVVKYPLHVIMELKE
+YLIDLASRAGVHWLSTLVPTHHINALVFFFIISNLTIDFFAFFVPLVVFYLSFISMVICT
+LKVFQDSKAWESFRALTSLLLRFEPNLDVEQAEGNFGWNHLEPYVHFLLSVFFVIISFPI
+ASKDCMPCSELAVVSVFFTATSYMSLSTSAEPYTRRALVTEVAAGLLSLLPTMPVNWRYL
+KLLGQTFFTVPVGHLVVLNVSVPCLLYVYLLYLFFRMAQLRNFKGTYCYLVPYLVCFMWC
+ELSVVILLESTGLGLVRASVGYFLFLFALPILVFALALMGVVQLARWFVSLELTKIAVTL
+VLCSVPLLVRWWTKANFSVVGMVKSLTRSSIVKLILVWLTAIVLFCWFYLYRSEGMKVYN
+STLTWPQYGFLCGPRAWKETNMARTQILCSHLEGHRVTWTGRFKYVRVTEIDNSAESAVH
+MLPLFLGDWLRCLYGEAYPSCSPGNVSTAEEELCRLKLLAKHPCHMKRFDRYKFEITVGM
+PYSGANGSRGPEEDDVTKDIVLRASSEFKDVLLHLRQGSVIEFSTVLEGRLGSKWPVFEL
+KAISCLNCMAHLVPARRHVKVERDWRSAVHGAVKFAFDFFFFPFLSAA
+>tr|A6H7D6|A6H7D6_BOVIN MRAP2 protein OS=Bos taurus OX=9913 GN=MRAP2 PE=2 SV=1
+MSAQRLISNRTAQQSASNSDYTWEYEYYEIGPVSFEGLKAHKYSIVIGFWVGLAVFVIFM
+FFVLTLLTKTGAPHQDNAESSEKRFRMNSFVSDFGKPLEPDKVFSRQGNEESRSFFHCYI
+NEVDHLEKAKACLQTTALDSEVHLQEAIRCSGRPEEELNRLMKFDIPNFVNTDQNSFGED
+DLLISETPIVLENKPVVQTSHKELD
+>tr|A2VDR7|A2VDR7_BOVIN Arrestin domain containing 2 OS=Bos taurus OX=9913 GN=ARRDC2 PE=2 SV=1
+MLFDKVKAFVVLLDGASAGSEPVFSGGQAVAGRVLLELAGPARVSSLKLRARGRAHVHWT
+ESRSAGSSTAYTQSYSERVEVVSHRATLLAPGTGETTTLPPGRHEFPFSFQLPPTLVTSF
+EGKHGSVRYCIKATLHRPWVPARRTRKVFTVIEPVDINTPALLAPQAGAREKIARSWYSN
+RGLVSLSAKIDRKGYTPGEVIPVFAEIDNGSTRPVLPRAAVVQTQTFMARGARKQKRAVV
+ASLSGEPVGPGRRALWQGRALRIPPVGPSILHCRVLHVDYALKVCVDIPGSSKLLLELPL
+VIGTIPLHPFGSRSSSVGSHASFLMDWGLGILPERPEAPPEYSEVVPDEEVAAMEQSLLP
+LLQDPDMSMEGPFFAYIQEFRYRPPPLYSEEDPNPPSEARRPRCMTC
+>tr|A0A3Q1M8Q6|A0A3Q1M8Q6_BOVIN Protein kinase domain-containing protein OS=Bos taurus OX=9913 GN=CDK11B PE=4 SV=1
+MEITIRNSPYRREDSMEDRGEEDDSLAIKPPQQMSRKEKAHHRKDEKRKEKRRHRSHSAE
+GGKHARVKEKEREHERRKRHREEQDKARREWERQKRRELAREHSRRERDRLEQLERKRER
+ERKMREQQKEQREQKERERRAEERRKEREARREVSAHHRTVREDYSDKVKASHWSRSPLR
+PPRERLEPGDSRKPVKEEKVEERDLLSDLQDVSDSERKTSSAESSSAESGSGSEEEEEEE
+EEEEGSSSEESEEEEEEEEEEEEEETGSNSEDVSGQSAEEVSEEEMSEDEERENENHVLV
+VPESRFDHDSGESEEGEEEAGEGTPQSSALTEGDFVPDSPALSPIELKQELPKYLPALQG
+CRSVEEFQCLNRIEEGTYGVVYRAKDKKTDEIVALKRLKMEKEKEGFPITSLREINTILK
+AQHPNIVTVREIVVGSNMDKIYIVMNYVEHDLKSLMETMKQPFLPGEVKTLMIQLLRGVK
+HLHDNWILHRDLKTSNLLLSHAGILKVGDFGLAREYGSPLKAYTPVVVTLWYRAPELLLG
+AKEYSTAVDMWSVGCIFGELLTQKPLFPGKSEIDQINKVFKDLGTPSEKIWPGYNDLPAV
+KKMTFTEYPYNNLRKRFGALLSDQGFDLMNKFLTYFPGRRVNAEDGLKHEYFRETPLPID
+PSMFPTWPAKSEQQRVKRGTSPRPPEGGLGYSQLGDDDLKETGFHLTTTNQGASAAGPGF
+SLKF
+>tr|A0A3Q1MME4|A0A3Q1MME4_BOVIN Heterogeneous nuclear ribonucleoproteins A2/B1 OS=Bos taurus OX=9913 GN=HNRNPA2B1 PE=1 SV=1
+MEKTLETVPLERKKREKEQFRKLFIGGLSFETTEESLRNYYEQWGKLTDCVVMRDPASKR
+SRGFGFVTFSSMAEVDAAMAARPHSIDGRVVEPKRAVAREESGKPGAHVTVKKLFVGGIK
+EDTEEHHLRDYFEEYGKIDTIEIITDRQSGKKRGFGFVTFDDHDPVDKIVLQKYHTINGH
+NAEVRKALSRQEMQEVQSSRSGRGGNFGFGDSRGGGGNFGPGPGSNFRGGSDGYGSGRGF
+GDGYNGYGGGPGGNYGSGNYNDFGNYNQQPSNYGPMKSGNFGGSRNMGGPYGGGNYGPGG
+SGGSGGYGGRSRY
+>tr|A7MB82|A7MB82_BOVIN Adiponectin M OS=Bos taurus OX=9913 GN=C1QTNF3 PE=2 SV=1
+MLGRQLVYWHLLALLFLPFCLCQDEYMESPQTGGLPPDCSKCCHGDYSFRGYQGPPGPPG
+PPGIPGNHGNNGNNGATGHEGAKGEKGDKGDLGPRGERGQHGPKGEKGYPGIPPELQIAF
+MASLATHFTNQNSGIIFSSVETNIGNFFDVMTGRFGAPVSGVYFFTFSMMKHEDVEEVYV
+YLMHNGNTVFSMYSYETKGKSDTSSNHAVLKLAKGDEVWLRMGNGALHGDHQRFSTFAGF
+LLFETK
+>tr|A7MBI4|A7MBI4_BOVIN DEAH-box helicase 32 (putative) OS=Bos taurus OX=9913 GN=DHX32 PE=2 SV=1
+MEAAALECPNSSSEKRYFPESLDSSDGDEEGILACEDLELNPFDGLPYSSRYYKLLKERE
+DLPIWKEKYSFMEKLLQSQIVIVSGDAKCGKSSQVPQWCAEYCLSIDYRHGGVVCTQVHK
+PTAVQLALRVADEMDVNIGHEVGYVIPFENCCTSETILRYCTDDMLQREMMSNPFLGSYG
+VIILDDIHERSMATDVLLGLLKDVLLARPELKLIINSSPHLINKLSSYYGNVPLIQVKNK
+HPVEAVYLSGAQKESFESILRLIFEIHHSGEKGDIVVFLACEQDIEKAYEIVCQEGSNLN
+PDLGELVVVPLYPKEKCALFKPNDETEKRCPVYQRRVVLTASSGESLICSNTVKFVIDVG
+VERRKVYNPRIRADSLVTQPISQSQAETRKQILSASPSGKLFCLYSEEFASRDMQPLKPA
+EMQEANLTSMVLFMKRVDIAGLGHCDFMNRPAPESLMQALEDLDYLAALDNDGNLSEFGI
+IMSEFPLDPQLSKSILASCEFDCVDEMLTIAAMVTAPNCFSHLPRGAEEAALSCWKTFLH
+PDGDHFTLINIYKAYQDMTLNAASEHCVEKWCHDYFLNCSALRTAEVIRAELLEIIKRIE
+LPYTEPAFGSKENTLNIKKALLSGYFMQIARDVDGAGNYLMLTHKQVAQLHPLSCYSVAR
+KMPEWVLFHKFSIANNNYIRTASEIAPELFMQLAPQYYFSNLPPSESKDILQQVMDHLSP
+ISTSKKEQMCDKCPETTEQRCSVQ
+>tr|E1BHI0|E1BHI0_BOVIN WD repeat-containing protein 76 OS=Bos taurus OX=9913 GN=WDR76 PE=3 SV=2
+MSGSRAEAEQKGGSRQRLQVNEYKENQNIADTSLRPVQTTVLGVTAKVCLVPFSLSNYNT
+GQLKRPKSLLDKNSNSEVTCKKSKKAEIKKSCRRLLTPKMEATSSKEESTLQKSSLDVHA
+ESNKWQYKSPSDTVVLSPDTESSQEGDSDEDTTPGLDDFSELSPYERKRLKNISENANFF
+ASLQLSESAARFREMIKKRQPPESKRKKPKKKENETGCRRSMRLLKVDPSGVPLPAAPIQ
+TVLEVDENPLIPPGPLEMTPENQDDDNALFKGFLQTWAEMSKTRSKNTEKELSSIKSYKV
+HLNGMVISEDTVCKVTRGSISSMAIHPSEMRTLVAAGAKSGQVGLWDLTHQPKEDGVYLF
+QPHSQPVSCLYFSPANPAHLLSLSYDGTLRCGDFSRAVFEEVYRDETRSFSSFDFLTEDA
+STLIVGHWDGSMSLVDRRTPGTSCEKLIKTSLSKIRTVHVHPVQRQYLITAGLRDIHVYD
+ARCLNLSRNQPLISLTEHTKSIASAYFSPLTGNRVVTTCADCKLRIFDSSCISSKIPLLT
+TIRHNTITGRWLTRFQAVWDPKQEDCIVVGSMAQPRRVEVFHETGKWVHSFLGGECLASV
+CSINAMHPTRYILAGGNSSGRVHVFMN
+>tr|A4FV47|A4FV47_BOVIN CDC45L protein OS=Bos taurus OX=9913 GN=CDC45 PE=2 SV=1
+MFVSDFRKEFYEVVQSQRVLLFVASDVDALCACKILQALFQCDHVQYTLVPVSGWQELET
+AFLEHKEQFRYFILINCGSNVDLLDILQPDEEAVFFVCDTHRPVNVVNVYSDTQIRLLIK
+QDDDLEVPAYEDIFRDEEEDEEHSGNEGDEGSEPSEKRTRLEEEIAAQTLKRRQRREWEA
+RRRDVLFDYEQYEYHGTSAAMVMFDLAWLMSKDLSDMLWWAIVGLTDQWVQDKITQVKYV
+TDVGVLQRHVSRHSHRREDEAHALSVDCARISFEYDLRLALYQHWSLHDSLCNTCYTAAR
+LQLWSLHGQKRLQEFLADVGLPLKQVKQKFQSMDVSLKENLREMIEESANKFGMKDMRVQ
+TFSVHFGFKHKFLASDVVFATMSLMESPEHGSGTDSFTQALDSLSRGNLDKLYHGLELAK
+RQLRATQQTIASCLCTNLVVSQGPFLYCALMEGTPDVALFSKPASLSLLSRHLLKSFVCS
+TKNRRCKLLPLVMAAPLSAEQGTVTMVGIPPETDSSDRKNFFGRAFEKAAEGTNSRALHN
+HFDLSVIELKAEDRSKFLDALVTLLS
+>tr|C3V9V7|C3V9V7_BOVIN RNP24 OS=Bos taurus OX=9913 GN=TMED2 PE=3 SV=1
+MVTLAELLVLLAALLATASGYFVSIDAHAEECFFERVTSGTKMGLIFEVAEGGFLDIDVE
+ITGPDNKGIYKGDRESSGKYTFAAHMDGTYKFCFSNRMSTMTPKIVMFTIDIGEAPKGQD
+METEAHQNKLEEMINELAVAMTAVKHEQEYMEVRERIHRAINDNTNSRVVLWSFFEALVL
+VAMTLGQIYYLKRFFEVRRVV
+>tr|Q58DG7|Q58DG7_BOVIN TATA-box binding protein associated factor 6 OS=Bos taurus OX=9913 GN=TAF6 PE=2 SV=1
+MAEEKKLKLSNTVLPSESMKVVAESMGIAQIQEETCQLLTDEVSYRIKEIAQDALKFMHM
+GKRQKLTTSDIDYALKLKNVEPLYGFHAQEFIPFRFASGGGRELYFYEEKEVDLSDIINT
+PLPRVPLDVCLKAHWLSIEGCQPAIPENPPPAPKEQQKAEATEPLKSAKPGQEEDGPLKG
+KGQGAAPADGKGKEKKAPPLLEGAPLRLKPRSIHELSVEQQLYYKEITEACVGSCEAKRA
+EALQSIATDPGLYQMLPRFSTFISEGVRVNVVQNNLALLIYLMRMVKALMDNPTLYLEKY
+VHELIPAVMTCIVSRQLCLRPDVDNHWALRDFAARLVAQICKHFSTTTNNIQSRITKTFT
+KSWVDEKTPWTTRYGSIAGLAELGHDVIKTLILPRLQQEGERIRGVLDGPVLSNIDRIGA
+DHVQSLLLKHCAPVLAKLRPPPDNQDAYRAEFGSLGPLLCSHVVKARAQAALQAQQVNRT
+TLTITQPRPTLTLSQAPQPGPRTPGLLKVPGSIALPVQTLVSTRAAAPPQPSPPPTKFIV
+MSSSSSASSTQQVLSLSTSAPGSGSTTTSPVTTTVPSVQPIVKLVSTATTAPPSTAPAGP
+GSVQKYIVVSLPPTGEGKGGPASHPSPVPTPTSAPSPLGGSALCGGKPEAGESPPPAPGT
+PKANGSQPPGTGSPPPAP
+>tr|A4FUB5|A4FUB5_BOVIN COMM domain containing 10 OS=Bos taurus OX=9913 GN=COMMD10 PE=2 SV=1
+MAASAALILRESPSMKKAVSLINEIDIGRFPRLLTRILQKLHLKAESSFSEEEEEKLQVA
+FSLEKQDLHLVLETISFILEQAVYHNVKPAALQQQLESIHLRQDKAEAFASAWSSMGQET
+IEKFRQRILAPHKLETVGWQLNLQMAHSAEAKLKSPQAVLQLGVSNEDSKSLQKVLVEFS
+HKELFDFYNKLETIQAQLDSLT
+>tr|F1MTY9|F1MTY9_BOVIN Heme oxygenase (Decycling) 2 OS=Bos taurus OX=9913 GN=HMOX2 PE=1 SV=2
+MSAELETSEGLDEPEKKISGVPEKENHTRMADLSELLKEGTKESHDRAENTQFVKDFLKG
+NIRKELFKLATTALYFTYSALEEEIDRNKDHPAFAPLYFPTELHRKKALIRDMEYLYGEH
+WEEQAQCSEATRKYVERIHEVGQNEPELLVAHAYTRYMGDLSGGQVLKKVAQRALKLPST
+GEGTQFYLFENVDNAQQFKQLYRARMNALDLNLETKEKIVEEANKAFEFNMQVFNELDQA
+GSLLAKETLEDGLPAHDGLPAHGRLPTQDGLPVHDGKGDLRKCPYYAAQLDKGALEGSSC
+PFGAALAVLRRPGLQFLLAASVALAAGLLAWYSM
+>tr|A7MBK5|A7MBK5_BOVIN KIAA1551 OS=Bos taurus OX=9913 GN=RESF1 PE=2 SV=1
+MNWNAKPESVTLPPQYPKKQASFLEQGLVNTLSTTSQSSFHTGSNQEPCLFLSNSHPVSQ
+PLLNIRNHKTPPQIPISDLHSGTIVTSQTSVERITYANVKGPKQLSHDLQISSGVTPDVW
+LNSPMGSSTLSHTGATVSHQTGFGTNVPNVHALQNQFLTSDTYSMQLHMIPSNSGRVPIT
+YQGNTRLNLPLSEQQVDWAPQRASSGLTYQDYRPLPKQYNYSPRSFLQEPALQKQNAMSS
+VSFQVKNNQSPNPALTFKSKQIAAVPSYQYAVTQTDKRPPPPYDCRYASQSLQSTPRVVK
+QSSMEVPQSQEMHLPEMRKDFCRDFQQQWQNLNENFSMMGGSCNLKVNTSVNHPFNEPVR
+SSVTGAQALAQNNQERTVDSQNLTSNQALDTSATKEKLVRDIKTLVEIKKKFSDLARKIK
+INKSLLMAAGCIKTPNTSYSESAQNSGLSLKQTAKIQSEPQLTLVTPEIVEDKPPTVMES
+AEETNRPQRVLSSNLQDRNFNQVSSVSLNSACSEKLPIPEQVHDLEVVNSLKTSTVEVTQ
+APLNNTQLSSGNSVSIAQNVPTNSEVTFLPHSTSSEEYISKYPNKNRLILSLLTSGSKTQ
+KKLLKDTGECIHDSKLHNFEMNANTENTGNQLKTTETVNLPRTCNRNAKVADTSCLECKS
+FNGVSSNSGSRFSMELLATCLSLWKKQPSEPTKEKQDNESKTNITAIAVSKPAPICESSP
+FSPVGNSQNKIVNSSLETISSVVAQNYESSGTTTTKGIAVVSPLILSDVNTLSVKDTTSE
+ALPEMVYPVIKEGSVCSLQNKLTENTAALKINVNEPVTSTTGIMIFPLIEDKQSESTNTN
+SEGIPNTNQGKHNESEPDIQCPVSDQQTSYISKDSSSVGSDVLQIGSICSLVEGDTSYDS
+QIAEIFNLLPLQKVEPQKPLPNHQMMSSRQQNEHLENITESKDFDFKKDEFVQCTDVSNK
+ITDQSESLQLPALSPLKCVEAKSGILEEGSLEHITENESMANDTCSSAATQQDSYPQEAD
+TSCSYTEQDPTTDESLHDKTSILYLHDQLSELLKEFPYGIEPVNMHESSVVQQMANQISE
+AQTCGKTDCDSKGSTDQIQITILNTDQMKELFPEQDDQPSEVDKLTEPQKEKPVTKEEKQ
+CDPQACRVEERCASVPLDSEKDDIRCCALGWLSMVYEGVPQCQCNSIKKSDSKEKKQINP
+CSPSEAKSYKQGERTSDRDVPVALNSPPNNPPKSPLTSSVEKKHFPETKQSSNIKDKSKT
+ERNSSLRTEQELSGQLLSKGDKKLDSLQSHKRKRNLQFHEVNFNSANKITKFSQESLQRK
+FMAQNLGPLKPKMSFLTSKTKDLNMKNGSSVQSVSPEKRKLKSAGSKQKSLEERKLDEGI
+TLDSEIKRKKHDKQEQNKNVGGGAFKFCNFSTPNERAWIKEKTVSNVKSSGSKDSSSKMN
+RVLSPKEYLSRQKHKEALKKNYLKNSDSQYMRPSKLSVQVESSGKSNERPNGSVQTCKES
+LNIGTGHGKSIKTHHSKESKTYISRNIKGTVGGKQSDKMWIDRTKLDKNLNNINNEGELS
+QMSSQTKDQRKLYLNRVAFKCTERERICLTKLDNSPRKLKEKRPESKCKNPLPVKDTTEK
+LSMLEFKLCPDGVFKNTNTVEDQKDLQHTPRKEQAPVQVSGIKSTKEDWLKCVTEEKRMP
+EANQEIDDNVLANSRLSKRNCSADGFEILQNPVKDSKAMFQTYKKLYMEKRSRSLGSSPL
+E
+>tr|A5PKK1|A5PKK1_BOVIN N(alpha)-acetyltransferase 40, NatD catalytic subunit OS=Bos taurus OX=9913 GN=NAA40 PE=2 SV=1
+MGRKSSKAKEKKQKRLEERAAMDAVCAKVDAANRLGDPLEAFPVFKKYDRNGLNVSIECK
+RVSGLEPATVDWAFDLTKTNMRTMYEQSEWGWKDREKREEMTDDRAWYLIAWENRSVPVA
+FSHFRFDVECGDEVLYCYEVQLESKVRRKGLGKFLIQILQLMANSTQMKKVMLTVFKHNH
+GAYQFFREALQFEIDDSSPSMSGCCGEDCSYEILSRRTKFGDSQHSHSGGHCGGCCH
+>tr|A7YWM6|A7YWM6_BOVIN RNASE13 protein OS=Bos taurus OX=9913 GN=RNASE13 PE=2 SV=1
+MAPLVAQLLFLQVVLGTALLENIKTQLAIKNFRTLHVDYPKVTYAQGFQGYCNGLMSYVR
+GRQESWYCPRIHYVLHAPWTVIWKFCKYSESFCENYNEYCTLTKDSIPLTICSLYPRQPP
+TSCRYNSTLTNQRLYLLCSEKYDGEPIDIIGLY
+>tr|A7Z079|A7Z079_BOVIN POU domain protein OS=Bos taurus OX=9913 GN=POU3F1 PE=2 SV=1
+MATTAQYLPRGPGGGAGGTGPLMHPDAAAAAAAAAAAERLHAGAAYREVQKLMHHEWLGA
+GAGHPVGLAHPQWLPTGGGGGGDWTGGPHLEHGKAGGGGTGRADDGGGGGGFHARLVHQG
+AAHAGAAWAQGGTAHHLGPAMSPSPGAGGGHQPQPLGLYAQAAYPGGGGGGLAGMLAAGG
+GGAGPGLHHALHEDGHEAQLEPSPPPHLGAHGHAHGHAHAGGLHAAAAHLHPGAGGGGSS
+VGEHSDEDAPSSDDLEQFAKQFKQRRIKLGFTQADVGLALGTLYGNVFSQTTICRFEALQ
+LSFKNMCKLKPLLNKWLEETDSSSGSPTNLDKIAAQGRKRKKRTSIEVGVKGALESHFLK
+CPKPSAHEITGLADSLQLEKEVVRVWFCNRRQKEKRMTPAAGAGHPPMDDVYAPGELGPG
+GGSASPPSAPPPPPPAALHHHHHHTLPGSVQ
+>tr|A4IFN8|A4IFN8_BOVIN PLEKHF2 protein OS=Bos taurus OX=9913 GN=PLEKHF2 PE=2 SV=1
+MVDRLANSEANTRRINIVENCFGAAGQPLTIPGRVLIGEGVLTKLCRKKPKARQFFLFND
+ILVYGNIVIQKKKYNKQHIIPLENVTIDSIKDEGDLRNGWLIKTPTKSFAVYAATATEKS
+EWMNHINKCVTDLLSKSGKTPSNEHAAVWVPDSEATVCMRCQKAKFTPVNRRHHCRKCGF
+VVCGPCSEKRFLLPSQSSKPVRICDFCYDLLSTGDMATCQPARSDSYSQSLKPPLNDVSD
+DDDDDDSSD
+>tr|Q3ZBB0|Q3ZBB0_BOVIN Solute carrier family 35 member B2 OS=Bos taurus OX=9913 GN=SLC35B2 PE=2 SV=1
+MDPRWWAVVVLAALPSLGAGGEKNLEAPPESWTQLWFFRFLVNAAGYASFMVPGYLLVQY
+FRRKNYLETGRGLCFPLVKTCVFGNEPKAPDEVPLAARAEPAETSPTWQALKLLFCASGL
+QVSYLTWGVLQERVMTRSYGATATSPGERFSDSQFLVLMNRILALMVAGVYCILCKQPRH
+GAPMYRYSFASLSNVLSSWCQYEALKFVSFPTQVLAKASKVIPVMLMGKLVSRRSYEHWE
+YLTAGLISIGVSMFLLSSGPEPHSSPATTLSGLILLAGYIAFDSFTSNWQDALFAYKMSS
+VQMMFGVNLFSCLFTVGSLLEQGALLEGIRFMGRHSEFAAHTLLLSICSACGQLFIFYTI
+GQFGAAVFTIIMTLRQAFAILLSCLLYGHTVTVVGGLGVAVVFAALLLRVYARGRLKQRG
+KKAMPVESSVQKV
+>tr|A0A3Q1LYV2|A0A3Q1LYV2_BOVIN Oxysterol-binding protein OS=Bos taurus OX=9913 GN=OSBPL6 PE=3 SV=1
+MSSDEKGISPAHKTSTPTHRSASSSTSSQRDSRQSIHILERTSSTSTEPSVSRQLLEPEP
+VPLSKEADSWEIIEGLKIGQTNVQRPDKHEGFMLKKRKWPLKGWHKRFFVLDNGMLKYSK
+APLDIQKGKVHGSIDVGLSVMSIKKKARRIDLDTEEHIYHLKVKSQDWFDAWVSKLRHHR
+LYRQNEIVRSPRDASFHIFPSTSTAESSPAANVSVVDGKVQPNSFPWQSPLPCSSSLPAT
+CTTGQSKVAAWLQDSEEMDRCAEDLAHCQSNLVELSKLLQNLEILQRTQSAPNFTDMQAN
+CVDISKKDKRVTRRWRTKSVSKDTKIQLQEGPSAKGQFSTTRRRQRLAAAVATTVPFSAT
+MSPVRLHSSNPNLCADIEFQTPPSHLTDPLESSTDYTKLQEEFCLIAQKVHSLLKSAFNS
+IAIEKEKLKQMVSEQDHNKGHSTQMARLRQSLSQSEGASKSWALNQNAELRSRLNRIHSE
+SIICDQVVSVNIIPSPDEAGEQIHVSLPLSQQVANESRLSMSESVSEFFDAQEVLLSASS
+SENEASDDESYISDVSDNISEDNTSVADNISRQILNGELTGGAFRNGRRTCLPAPCPDTS
+NINLWNILRNNIGKDLSKVSMPVELNEPLNTLQHLCEEMEYSELLDKASETDSPYERMVL
+IAAFAVSGYCSTYFRAGSKPFNPVLGETYECIREDKGFRFFSEQVSHHPPISACHCESKN
+FVFWQDIRWKNKFWGKSMEILPVGTLNVMLPKYGDCYVWNKVTTCIHNILSGRRWIEHYG
+EVTVRNTKSSVCICKLTFVKVNYWNSNVNEVQGVVMDQEGKVVHRLFGKWHEGLYCGVAP
+SAKCIWRPGSMPTNYELYYGFTRFAIELNELDPVLKDLLPPTDARFRPDQRFLEEGNLEA
+AAAEKQRVEELQRSRRRYMEENNLEHIPKFFKKVIDANQREAWVSNDTYWELRKDPGFSK
+VDSPVLW
+>tr|Q2TBS5|Q2TBS5_BOVIN Serine peptidase inhibitor, Kazal type 2 (Acrosin-trypsin inhibitor) OS=Bos taurus OX=9913 GN=SPINK2B PE=2 SV=1
+MALKALRLVLFLVWWDSAASSNAQFGQPSEYSTPNCRQYTLPGCPRDFNPVCGSDLSTYP
+NECTLCMKIREDGRDIKVIRSGPC
+>tr|Q3ZBW6|Q3ZBW6_BOVIN 2,4-dienoyl CoA reductase 2, peroxisomal OS=Bos taurus OX=9913 GN=DECR2 PE=1 SV=1
+MAQPPADVSEDDCLPEYRHLFHPDLLQDKVAFITGGGSGIGFRIAEIFMRHGCHTVIASR
+SLPRVSMAARKLAAATGQRCLPLSLDVRAPLAIAAAVEQALKEFGKIDILINCAAGNFLC
+PASALSSNAFKTVMDIDTLGTFNVSRVLYEKFFRDHGGVIVNITATLGTRGQVLQVHAGS
+AKAAVDAMTRHLAVEWAPRTSASTALPPAPSVGQRGCGVWVPRRPA
+>tr|A2VDQ2|A2VDQ2_BOVIN CDH8 protein OS=Bos taurus OX=9913 GN=CDH8 PE=2 SV=1
+MPERLAEMLLDLWTPLIILWITLPPCIYSAPMNQSPVLMSGSPLELNRLSEEQRILNRSK
+RGWVWNQMFVLEEFSGPEPILVGRLHTDLDPGSKKIKYILSGDGAGTIFQINDVTGDIHA
+IKRLDREEKAEYTLTAQAVDWETNKPLEPPSEFIIKVQDINDNAPEFLNGPYHATVPEMS
+ILGTSVTNVTATDADDPVYGNSAKLVYSILEGQPYFSIEPETAIIKTALPNMDREAKEEY
+LVVIQAKDMGGHSGGLSGTTTLTVTLTDVNDNPPKFAQSLYHFSVPEDVVLGTAIGRVKA
+NDQDIGENAQSSYDIIDGDGTALFEITSDAQAQDGIIRLRKPLDFETKKSYTLKVEAANV
+HIDPRFSGRGPFKDTATVKIVVEDADEPPVFSSPTYLLEVHENAALNSVIGQVTARDPDI
+TSSPIRLPSSSVCGIFQARRLEWVAISSSKGSSRPRDQTHVSCMGRWILYCSTTREAPTS
+TEWVLINGKN
+>tr|Q0VCK1|Q0VCK1_BOVIN Myeloid-associated differentiation marker OS=Bos taurus OX=9913 GN=MYADM PE=2 SV=1
+MPVTVTRTTVTTTMSSSSGLGSPTIVGSPRLLTQPLGLLRLLQLVSTCVAFSLVASVGAW
+TGPMGNWSMFTWCFCFSVTLIILIVELCGLQVRFPLSWRNFPITYACYAALFCLSSSIIY
+PTTYVQFLSHGRSRDHAIAATAFSCIACVAYATEVAWTRARPGEITGYMATVPGLLKVLE
+TFVACIIFAFISDPGLYQHQSALEWCVAVYSICFILAAVAILLNLGDCTNVLPIAFPTFL
+SGLALISVLLYATALVLWPLYQFDQKHGGEPRRQMDPGCSRRHVHYVCSWDRRLAVAILT
+GINLLAYLADLVYSARLVFVRV
+>tr|A0A3Q1MZR0|A0A3Q1MZR0_BOVIN RING-type domain-containing protein OS=Bos taurus OX=9913 GN=MEX3B PE=4 SV=1
+MPSSLFADLERNGSGGGGGGGGGGGGGGGGGGGETLDDQRALQLALDQLSLLGLDSDEGA
+SLYDSEPRKKSVNMTECVPVPSSEHVAEIVGRQGCKIKALRAKTNTYIKTPVRGEEPVFV
+VTGRKEDVAMARREIISAAEHFSMIRASRNKNTALNGAVPGPPNLPGQTTIQVRVPYRVV
+GLVVGPKGATIKRIQQQTHTYIVTPSRDKEPVFEVTGMPENVDRAREEIEAHIALRTGGI
+IELTDENDFHANGTDVGFDLHHGSGGSGPGSLWSKPTPSITPTPGRKPFSSYRNDSSSSL
+GSASTDSYFGAGTSGSAAATPRLADYSPPSPALSFAHNGNNNNNGNGYTYAAAAAAGGEA
+SVPSPDGCPELPPAFDPAPAPPPGAPLLWAQFERSPGGGPAAPASSSCSSSASSSAASSS
+SVVFPGGGASAPSSANLGLPVHRRLHPGASCPRLSPPLHMAPGAGEHHLARRVRSDPGGG
+GLAYAAYANGLGAQLPAGLQPSSSDASGSSSSSSSSSSSSSSSSSSSGLRRKGSRDCSVC
+FESEVIAALVPCGHNLFCMECANRICEKSEPECPVCHTAVTQAIRIFS
+>tr|F1MM34|F1MM34_BOVIN Catenin alpha-1 OS=Bos taurus OX=9913 GN=CTNNA1 PE=4 SV=2
+MTAVHTGNINFKWDPKSLEIRTLAVERLLEPLVTQVTTLVNTNSKGPSNKKRGRSKKAHV
+LAASVEQATENFLEKGDKIAKESQFLKEELVAAVEDVRKQGDLMKSAAGEFADDPCSSVK
+RGNMVRAARALLSAVTRLLILADMADVYKLLVQLKLVEDGILKLRNAGTEQDLGIQYKAL
+KPEVDKLNIMAAKRQQELKDVGHRDQMAAARGILQKNVPILYTASQACLQHPDVAAYKAN
+RDLIYKQLQQAVTGISNAAQATASDDASQHPGAGGGELAYALNNFDKQIIVDPLSFSEER
+FRPSLEERLESIISGAALMADSSCTRDDRRERIVAECNAVRQALQDLLSEYMGNAGRKER
+SDALNSAIDKMTKKTRDLRRQLRKAVMDHVSDSFLETNVPLLVLIEAAKNGNEKEVKEYA
+QVFREHANKLIEVANLACSISNNEEGVKLVRMSASQLEALCPQVINAALALAAKPQSKLA
+QENMDLFKEQWEKQVRVLTDAVDDITSIDDFLAVSENHILEDVNKCVIALQEKDVDGLDR
+TAGAIRGRAARVIHVVTSEMDNYEPGVYTEKVLEATKLLSNTVMPRFTEQVEAAVEALSS
+DPAQPMDENEFIDASRLVYDGIRDIRKAVLMIRTPEELDDSDFETEDFDVRSRTSVQTED
+DQLIAGQSARAIMAQLPQEQKAKIAEQVASFQEEKSKLDAEVSKWDDSGNDIIVLAKQMC
+MIMMEMTDFTRGKGPLKNTSDVISAAKKIAEAGSRMDKLGRTIADHCPDSACKQDLLAYL
+QRIALYCHQLNICSKVKAEVQNLGGELVVSGVDSAMSLIQAAKNLMNAVVQTVKASYVAS
+TKYQKSQGMASLNLPAVSWKMKAPEKKPLVKREKQDETQTKIKRASQKKHVNPVQALSEF
+KAMDSI
+>tr|F1MTE8|F1MTE8_BOVIN Phosphoinositide phospholipase C OS=Bos taurus OX=9913 GN=PLCE1 PE=4 SV=3
+MTSEEMAGSVLRPVSQRKVISAQSTVDESNDKVSGISVPKSHPVRQSGETSHTISQPTKL
+TEESSGSNAPQIFSVAREKITSDENSNEKCWEKSMPDSVKNLNINCNSILKNHQHGPPQS
+QFYETCNSITEEGLCLETGIPSSLEGKVFPGIQLEIDTSPMGMSPLGTQSAIIETSRAHP
+ESNMAVFHLRYEVDRRMSDSFCPLSDNLILDDCGNCVLPAVGEEQEKNYMAYTCKLMELT
+NNCDNKNRQLQCDHCDPLNDKYLCFEGSCPKADVVCSSDSFCREDFTDSPPAKTFLSHFE
+DFPDNCEEVEEDLFKNKKERSAWLVRRFCKNDKEVKKSVFTGTRAIVRTLPSGHIGLEAL
+SYIDQKKNGLLLPPGRVMERLSTMVVRQDGSQGLSEAQWYPIYSAVRRGEATEQTIRSLL
+HFFAKLPASETAHERISVGPYLKQCVRDTVCEYRATLQRTSISQYITGSLLEATTSLGAR
+SSLLNSFGGSTGRMMLKERQPGTSMANSSALPSSSAGISKELIDLKPLIQFPEEVASILM
+EQEQNIYRRVLPVDYLFFLTRGLGTTERQRSLPCLKASISASILTSQKGEHNALEDLVMR
+FNEVSSWVTWLILAAGSMEEKREVFSYLVHVAKCCWNMGNYNAVMEFLAGLRSRKVLKMW
+QFMDQSDLETMRSLKDAMAQHESSCEYRKVVTRALHIPGCKVVPFCGVFLKELCEVLDGA
+SGLMKLCPRYNSQEETLEFVADYSGQDNFLQRVGQNGLKNSEKESTVNSIFQIIRSCSRS
+LETEEEDSSSEGSSSRKNSLKDKARWQFIIGDLLDSENDIFEQPKEWDSHGSEEPQKAFD
+HGTELIPWYVLSIQADVHQFLLQGATVIHYDQDTHLSARCFLQLQPDNSTLTWIKPTTAS
+PASAKAKLGVLSNTSEPGKFPLLGNAGLSGLVEGILDLFSAKAVYMGHPNIDIHTVCVQN
+KLSNMSLSETGVTLLYGLQTTDNRLLHFVAPKHTAKMLFSGLLELTRAVRKMRKFPDQRQ
+HWLRKQYVSLYQEDGRYEGPTLAQAVELFGGRRWSTRNPSPGTSAKSAEKPNTLSISNTK
+KKKKILMRGESGEVTDDEMATRKARTHKESRSRSGSDPQDVNEQEESEANAIMNPPNPLP
+SRRAHSLTTTGPPTLAAGTASPIRPVSSPVLPASNRSPTSAWNSSSGWPGKIKGGMQGFQ
+SFMVSDSSMSFVEFVELFKSFSVRSRKDLKDLFDIYAVPCDRAGSEAAPLYTNLTIDENI
+SGLQPDLDLLTRNVSDLGLFIKTKQQLSDNQRQISDAIAAASIVTNGTGVESTSLGVFGV
+GILQLNDFLVNCQGEHYTYDEILSIIQKFEPSVSMCHQGLMSFEGFARFLMDKDNFASKN
+DESQENVKEMQLPLSYYYIESSHNTYLTGHQLKGESSVELYSQVLLQGCRSVELDCWDGD
+DGMPIIYHGHTLTTKIPFKEVVEAIDRSAFINSDLPIIISIENHCSLPQQRKMAEIFKTV
+FGEKLVAKFLFESDFSDDPMLPSPDQLRRKVLLKNKKLKAHQTPVDILKQKAHQLASMQA
+QAYNGSNANSPPANNEEEEDEEDEYDYDYESLSDDNILEDRPENKSCNDKLQFEYNEEIP
+KRIKKADNSACNKGKVYDMELGEEFYLPQNKKESRQIAPELSDLVIYCQAVKFPGLSTLN
+ASGSSRGKERKSRKSIFGNNPGRMSPGETAPFNKTSGKSSCEGMRQAWEDSAFSVNPTTS
+LSAIIRTPKCYHISSLNENAAKRLCRRYSQKLIQHTACQLLRTYPAATRIDSSNPNPLLF
+WLHGIQLVALNYQTDDLSLHLNAAMFEANGGCGYVLKPPVLWDKNCSMYQKFSPLERDLD
+SMEPAIYSLTIVSGQNVCPSNSTGSPCIEVDVLGMALDSCHFRTKPIHRNTLNPMWNEQF
+LFRVHFEDLVFLRFAVVENNSSVVTAQRIISLKALKRGYRHLQLRNLHNEVLEISSLFIN
+SRRMEENSSGNTVLASLMFNTEERKCLQTHRVTVHGVPGPEPFTVFSINGGTKAKQLLQQ
+ILVMDQDTKPIATDYFLMEEKYFISKEKNECRKQPFQRAIGPEEEIVQILSSWFPEEGYV
+GRIVLKTQQENLEEKSIAQDDKEMLLSSEEDSFFVQVHDVSPEQPRTVIKAPRVSTAQDV
+IQQTLCKAKYSYSILSNPNPSDYVLLEEVVKDTANRKSSTPKASQRVLLDQECVFQAQSK
+WKGAGKFILKLKEQVQASREDKKKGISFASELKKLTKTKQPRGPTSPSQVLASENVQNKE
+EKPVGGLPSSDTIDYRQ
+>tr|Q32KP8|Q32KP8_BOVIN Serine peptidase inhibitor-like, with Kunitz and WAP domains 1 (Eppin) OS=Bos taurus OX=9913 GN=SPINLW1 PE=2 SV=1
+MESSVLLSLLVLSLLLVNVQGPALTDWFFPQRCPRIQGNCEFKERDECSKNKKCPKHEKC
+CFFSCGRKCLNLQQDICSMPKEAGPCMALFHRWWYDKTNNSCSSFIYGGCRGNNNNFQSQ
+AVCQSACSAKGSNS
+>tr|F1MEB9|F1MEB9_BOVIN Protein arginine methyltransferase 8 OS=Bos taurus OX=9913 GN=PRMT8 PE=3 SV=2
+MGMKHSSRCLLLRRKMAENAAESTEVSSPPSQPPQPVVPAKPVQCVHHVSTQPSCPGRGK
+MSKLLNPEEMTSRDYYFDSYAHFGIHEEMLKDEVRTLTYRNSMYHNKHVFKDKVVLDVGS
+GTGILSMFAAKAGAKKVFGIECSSISDYSEKIIKANHLDNIITIFKGKVEEVELPVEKVD
+IIISEWMGYCLFYESMLATVIFARDKWLKPGGLMFPDRAALYVVAIEDRQYKDFKIHWWE
+NVYGFDMTCIRDVAMKEPLVDIVDPKQVVTNACLIKEVDIYTVKTEELSFTSAFCLQVQR
+NDYVHALVTYFNIEFTKCHKKMGFSTAPDAPYTHWKQTVFYLEDYLTVRRGEEIYGTISM
+KPNAKNVRDLDFTVDLDFKGQLCETSVSNYYKMR
+>tr|A5PJJ0|A5PJJ0_BOVIN LOC618938 protein OS=Bos taurus OX=9913 GN=LOC618938 PE=2 SV=1
+MTVSCCGPTFSSFSCGRGCLQPCCYRDPCCCRPVSCQTTVSRPVTCVPRCTRPICEPCRR
+PVCCDPCSLQEGCCRPITCCPTSCQAVVCRPCCWATTCCQPISVQSPCCRPTCCRPAPCR
+TTCRTFRTSPCC
+>tr|E1BC39|E1BC39_BOVIN Estrogen related receptor gamma OS=Bos taurus OX=9913 GN=ESRRG PE=3 SV=3
+MSNKDRHIDSSCSSFIKTEPSSPASLTDSVNHHSPGGSSDASGSYSSTMNGHQNGLDSPP
+LYPSAPILGGSGPVRKLYDDCSSTIVEDPQTKCEYMLNSMPKRLCLVCGDIASGYHYGVA
+SCEACKAFFKRTIQGNIEYSCPATNECEITKRRRKSCQACRFMKCLKVGMLKEGVRLDRV
+RGGRQKYKRRIDAENSPYLNPQLVQPAKKPYNKIVSHLLVAEPEKIYAMPDPTVPDSDIK
+ALTTLCDLADRELVVIIGWAKHIPGFSTLSLADQMSLLQSAWMEILILGVVYRSLSFEDE
+LVYADDYIMDEDQSKLAGLLDLNNAILQLVKKYKSMKLEKEEFVTLKAIALANSDSMHIE
+DVEAVQKLQDVLHEALQDYEAGQHMEDPRRAGKMLMTLPLLRQTSTKAVQHFYNIKLEGK
+VPMHKLFLEMLEAKV
+>tr|G3N1L4|G3N1L4_BOVIN Microtubule associated scaffold protein 2 OS=Bos taurus OX=9913 GN=MTUS2 PE=4 SV=1
+MSVPVAPKKSCYSELRDSRNGAKNNNDRVLSLGDANANPIMLEVGSSSDEPATCAVPEEV
+GNANLRGPESSPCVPKEFHPLQGFAKASQAVPTGLKDFKFSLMAQRERNEESELERGADH
+LQALRGLQGPGLPSRRTVMGESSLEVPAKREADVPRPVPKDKLAKTLDNEELRRHSLERV
+SSSAAAVDVLTKERAGGLAWPLPQPTGLGSPEAPHLVPGDGKGPPKTGTAPSPGPPCPLA
+DGTSEGKGAHHPKPSTSVTMETVPLEIQPERGRVPKVSAQSTAPSAHPECTLDCSPASKD
+VPRRPEAQVGPVKVEPKTELKPVQEFKSTQVGELGCRQGHGVSPLGRKEPAGEVQPGASA
+AGLSSLAHGVPHPPGAGRDRGEPEKGGEDGSLRTTPKPSPGSSRAADQQPTSRSLPGSAR
+KLGEMVPNSVSPGDGHVAFVPGNSTDSKPSGASDEKGVLGDGNSVNTMVFDSASSVGESE
+TRVPEPPNPSSSRSEAEEGQEVCLSVAETRNHLEPAVKTESTSPVRADVLLGVPASLHPE
+TTVNVARQPPPPSSRFQDLGTSSVDVGYPPAALPPADSTGLSHASPKVPAKNACPGALPR
+PEDTPTSLEGTMGPPVEKREERADPRPVVMPKPKHVRPKIITYIRRSPQALGQVDASLVP
+VGLPYAPPACSVALPKEDKAAGGDLKPAAGLYEKFKPDLQRPRVFSSGLVVSGIKPPGHP
+FSPMSEKFLQEVAERPGKEEFCSPPYTHYEVPPTFYRSAMLLKPQLGLGAMSRLPSAKSR
+ILIASQRSSASAIHPPGTIAAAASLYSSDPAADLKKTSSSNAAKSNLPKSGLRPPGYSRL
+PAAKLAAFGFVRSSSVSSVSSTQSADSTQPEPSRLANRSSFGTEDQPPLKAALPAKDTPK
+GAGRAAPPISSSATTPRRSLLPAPKSTSTPAGAKKEVQKEQDANKPAVSSPKRTAASSTK
+LHSPGYPKQRTAAPRNGFSPKPDPQAREAERLLVQRLKERCEQQARQLGLAQAELRTAVR
+GFQALAVATQLFFGKNESALVKEKELSIELANIRDEVAFHAAKCEKLQREKAELERRCEA
+EVRNLGWQQEAELRDLERRLQLQFETEVARLQEEHHAQLLRIGCQHQEQVEDITASHEAA
+LLEMENSHTLTLAILQDDHEHKARELISTHELEKKELEENFEKLRLSLQDQVDTLTFQSQ
+SLRDRARRFEEALRKNTEEQLEIALAPYQHLEEDMKSLKQVLEMKNQQIHQQEKKILELE
+KLAEKNIILEEKIQVLQQQNEDLKARIDQNTVVTRQLSEENANLQEYVEKETQEKKRLSR
+TNEELLWKLQTGDPTSPIKLSPTSPVYRSSSSGPSSPARVSMTPR
+>tr|E1BC84|E1BC84_BOVIN Trafficking kinesin protein 2 OS=Bos taurus OX=9913 GN=TRAK2 PE=4 SV=2
+MSQSQDATFTAPMGEENLMNINHRDSESITDVCSNEDVPEVELVSLLEEQLPQYKLRVDS
+LFLYENQDWTQSPHQQQHASDTLSPVLAEETFRYMILGTDRVEQMTKTYNDIDMVTHLLA
+ERDRDLELAARIGQALLKRNHALSEQNETLEEQLGQAFDQVNQLQHELSKKDELLRIVSI
+ASEESETDSSCSTPLRFNESFSLSQGLLQLDMLQEKLKELEEENMLLRSKACHIKTETIT
+YEEKEQQLVSDCVKELRETNAQMSRMTEELSGKSDELIRYQEEISSLLSQIVDLQHKLKE
+HVIEKEELRLHLQASKDAQRQLTMELHELQDRNMECLGMLHESQEEIKELRSRSSPAAHL
+YFSQPYGVFSGESLAAEIEGTMRKKLSLDEESSLFKQKAQQKRVFDTVKAANDTRGRSVP
+FPILLPIPGSNRSSVIMTAKPFESGLEPTEGKTTQKSNLEEDPGNIQKADQPGPSTESDL
+ATALHRLSLRRQNYLSEKQFFAEEWQRKIQVLADQKEEGSGCGTPTESLASLYTDQSEIT
+DLSSASCLRGFMPEKLQIVKPLEGSQTLHQWQQLAQPNLGTILDPRPGVITKGFTQLPKD
+AIYHLSDLEEDEEEGITFQVQQPLQVEQKTSISQPVTGIFLPPMTSAGGPVTVATSNPGK
+CLSCTNSTFTFTTCRILHPSDITQVTPSSGFPSLSCASSGSSSSNTAMNSPAVSYRLSVG
+ESITNRRDSTITFSSTVSLAKLLQERGISAKVYHSPISENPLLQPLPRGLSTPSIPPNSP
+SHSPCPSPLPMEPRVHLSENFLASRPAETFLQEMYGLRPSRNPPDVGQLKMNLVDRLKRL
+GIARVIKTPEAQANGRSQKAENGLQRPNSAVYLNSGSNLLSGLRRNQSLPVMLGSFSTPV
+CTSSPKMDILKED
+>tr|A3KMZ9|A3KMZ9_BOVIN SNRNP27 protein OS=Bos taurus OX=9913 GN=SNRNP27 PE=2 SV=1
+MGRSRSRSPRRERRRSRSTSRERERRRRERSRSRERDRRRSRSRSPHRRRSRSPRRHRST
+SPSPSRLKERRDEEKKETKETKSKERQITEEDLEGKTEEEIEMMKLMGFASFDSTKGKKV
+DGSVNAYAINVSQKRKYRQYMNRKGGFNRPLDFIA
+>tr|E1BB44|E1BB44_BOVIN Microfibril associated protein 3 like OS=Bos taurus OX=9913 GN=MFAP3L PE=4 SV=1
+MDGVKRHLPVCFLPPVPLLILVSTLATASSVTSSTLNGTNVAVGLAPVVVARTDHIIVKE
+GNSALINCSVSGLPEPQFKWYNSAGKLLSENEEDQGGGKWQMHDGLLNITKVSFSDRGRY
+TCMASNVHGSVNNTVTLRVVFTSGDMGVYYMVVCLVAFAVVLVLNVTRLCMMSSHLKKTE
+KAINEFFRTEGAEKLQKAFEIAKRIPIITSAKTLELAKVTQFKTMEFARYIEELARSVPL
+PPLIMNCRTIVEELMEVVGLDEHGHQNFVRHTPEGQEAAAADGDEVYTIPDADSDASSLH
+EQPQQIAIEVSVHPPAHREPSDDRAAGALEAGDEEDTEPSAEHSPESAAPSTEVTSAALT
+SEEPTPVEVPDHVLPPGLLEAAEPARPRDGSTHVVYESHV
+>tr|Q0V8I8|Q0V8I8_BOVIN HPS4, biogenesis of lysosomal organelles complex 3 subunit 2 OS=Bos taurus OX=9913 GN=HPS4 PE=2 SV=1
+MAASTSTESKLASWWNYFFLYDGSQVKGEEDPTRAGICYFYPPQTLLDQQELLCGQIAGV
+VHCVSHISGSPPALVRLRKLKFAVKVDGDYLWVSVPG
+>tr|Q32LB4|Q32LB4_BOVIN Solute carrier family 10 (Sodium/bile acid cotransporter family), member 7 OS=Bos taurus OX=9913 GN=SLC10A7 PE=2 SV=1
+MRLLERMRKEWFMIGIVLAIAGAKLEPSIGMNGGPLKPEITVSYIAVATIFFNSGLSLNT
+EELTSALVHIKLHLFIQIFTLAFFPAAVWLFLQLLSITPINEWLLKGLQTVGCMPPPVSS
+AVILTKAVGGNEAAAIFNSAFGSFLSDRVKIKDSHRDNSLLHS
+>tr|A7MB77|A7MB77_BOVIN RBM17 protein OS=Bos taurus OX=9913 GN=RBM17 PE=2 SV=1
+MSLYDDLGVETSDSKTEGWSKNFKLLQSQLQVKKAALTQAKSQRTKQSTVLAPVIDLKRG
+GSSDERQIVDTPPHVAAGLKDPVPSGFSAGEVLIPLADEYDPMFPNDYEKVVKRQREERQ
+RQRELERQKEIEEREKRRKDRHEASGFSRRPDPDSDEDEDYERERRKRSMGGAAIAPPTS
+LVEKDKELPRDFPYEEDSRPRSQSSKAAIPPPVYEEQDRPRSPTGPGNSFLANMGGTVAH
+KIMQKYGFREGQGLGKHEQGLSTALSVEKTSKRGGKIIVGDATEKDAAKKSDSNPLTEIL
+KCPTKVVLLRNMVGAGEVDEDLEVETKEECEKYGKVGKCVIFEIPGAPDDEAVRIFLEFE
+RVESAIKAVVDLNGRYFGGRVVKACFYNLDKFRVLDLAEQV
+>tr|A0A3Q1MQD5|A0A3Q1MQD5_BOVIN Catenin delta 1 OS=Bos taurus OX=9913 GN=CTNND1 PE=1 SV=1
+MDDSEVESPASILASVKEQEAQFEKLTRALEEERRHVSAQLERVRVSPQDASPLLANGTL
+TRRHQNGRFVGDADLERQKFSDLSLNGPQDHSHLLYSTVPRMQEPGQIVETYTEEDPEGA
+MSVVSVETSDDGTTRRTETTVKKVVKTVTTRTVQPVPMGPDGLPVDASAVSNSYIQTLGR
+DFRKNGNGGPGPYVGQAGTATLPRNFHYPPDGYSRHYEDGYPGSGDNYGSLSRVTRIEER
+YRPSMEGYRAPSRQDVYGPQPQVRVGGSSVDLHRFHPEPYGLEDDQRSMGYDDVDYGMMS
+DYGTGRRTGTPSDPRRRLRSYEDMIGEEVPSDQYYWAPLAQHERGSLASLDSLRKGGPPP
+PNWRQPELPEVIAMLGFRLDAVKSNAAAYLQHLCYRNDKVKTDVRKLKGVPVLVGLLDHP
+KKEVHLGACGALKNISFGRDQENKIAIKNCDGVPALVRLLRKARDMDLTEVITGTLWNLS
+SHDSIKMEIVDHALHALTDEVIIPHSGWEREPSEDCKPRHVEWESVLTNTAGCLRNVSSE
+RSEARRKLRECDGLVDALIFIVQAEIGQKDSDSKLVENCVCLLRNLSYQVHREIPQAERY
+QEAPPSVANSTGPHAASCFGAKKGKGKKPTEDPTNDTVDFPKRTSPARGYELLFQPEVVR
+IYISLLKESKNPAILEASAGAIQNLCAGRWTYGRYIRSALRQEKALSAIADLLTNEHERV
+VKAASGALRNLAVDARNKELIGKHAIPNLVKNLPGGQQSSSQNFSEDTVVSILNTINEVI
+AENLEAAKKLRETQGIEKLVLINKSGNRSEKEVRAAALVLQTIWGYKELRKPLEKEGWKK
+SDFQVSLNNASRSQSSHSYDDSTLPLIDRSQRSDKKPDREEIQMSSMGSNTKSLDNNYST
+LNERGDHNRTLDRPGDLGEMEPLKGAPLMQKI
+>tr|E1BG51|E1BG51_BOVIN Solute carrier family 41 member 2 OS=Bos taurus OX=9913 GN=SLC41A2 PE=4 SV=1
+MTNSKGRSIINKSSGGRSSGGGFVDWTLSLNTIQSDKFLNLLLSMVPVIYQKNQEDRHKK
+GNSIWQDGLSPAAQTFSNRSEQHMEYHNFSEQSFHGNSGHAPSSCSQKYDDYANYNYCDG
+REASETTAMLQDDDASSDADEDVIVETGQKLPKESSGVMALQILVPFLLAGFGTVSAGMV
+LDIVQHWEVFKKVTEVFILVPALLGLKGNLEMTLASRLSTAVNIGKMDSPIEKWNLIIGN
+LALKQVQATVVGFLAAVAAIILGWIPEGKYYLDHSILLCSSSVATAFIASLLQGIIMVGV
+IVGSKKTGINPDNVATPIAASFGDLITLAILAWISQGLYSCLETYYYIPPLVGVVFLALT
+PIWIIIAAKHPATRTVLHSGWEPVITAMVISSIGGLILDTTVSDPNLVGIVVYTPVINGI
+GGNLVAIQASRISTYLHLHSIPGELPDEPKGCYHPFRTFFGPGVNNKSAQVLLLLVIPGH
+LIFLYTIHLMKSGHTSLTVIFVVVYLFAAVLQVFTLLWIADWMVHHFWRKGKDPDSFSIP
+YLTALGDLLGTALLALSFHFLWLIGDRDGDVGD
+>tr|E1BGJ9|E1BGJ9_BOVIN Cap binding complex dependent translation initiation factor OS=Bos taurus OX=9913 GN=CTIF PE=4 SV=3
+MENSSAASASSEAGSSRSQEIEELERFIDSYVLEYQVQGLLADKTEGDGESEKTQSHVSQ
+WTADCTEQLDSSCSFSRGRTPLQQNGSKDTSLDMLGTDIWAANTFDSFSGATWDLQPEKL
+DFTQFHRKLRHTPKQPLPHIDREGCGKGKLEDGDGINLNDIEKVLPAWQGYHPMPHEVEI
+AHTKKLFRRRRNDRRRQQRPPGGNKPQQHGDHPPGSAKHNRDHQKSYQGGSAPHPSGRSS
+HHGYSQNRRWHHSNTEHPSSDKGRAGAHRNAKETVPTESPRLEDALGDAGHGGLESPRSP
+DALAPAASERLTLLQPGCPEAEIKLKDSIIPERGGERPKITLLQSSKDRLRRRLKEKDEV
+AVETTSPQQNKMDKLIEILNSMRNNSTDVDAKLTTFMEEAQNSTNSEEMLGEIVRTIYQK
+AVSDRSFAFTAAKLCDKMALFMVEGTKFRSLLLNMLQKDFTVREELQQQDVERWLGFITF
+LCEVFGTMRSSTGEPFRVLVCPIYTCLRELLQSQDVKEDAVLCCSMELQSTGRLLEEQLP
+EMMAELLASARDKMLCPSESMLTRSLLLEVIELHANSWNPLTPPITQYYNRTIQKLTA
+>tr|E1B8A0|E1B8A0_BOVIN Tartrate-resistant acid phosphatase type 5 OS=Bos taurus OX=9913 GN=ACP5 PE=2 SV=2
+MDTWTVLLILQASLVLPRAAAAAAATTPAPMLRFVAVGDWGGVPNAPFYTAREMANAKEI
+ARTVQILGADFVLSLGDNFYFSGVQDVNDKRFQETFEDVFSASPLRSVPWYVLAGNHDHL
+GNVSAQIAYSRVSKRWKFPSPYYRLRFKIPRSNVSVAIFMLDTVTLCGNSDDFASQQPER
+PRNLAMARTQLAWLKKQLAAAKEDYVLVAGHYPVWSIAEHGPTHCLVKQLLPLLNAHKVT
+AYLCGHDHNLQYLQDENGLGFVLSGAGNFMDPSKKHMRKVPNGYLRFHYGAENSLGGFAY
+VEISPKEMSVTYIEASGKSLFKTRLPRRARSEHQHRRGLHAGA
+>tr|A0A3Q1N2B4|A0A3Q1N2B4_BOVIN I-set domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MLDINDPQVQKAAIRIQASYQDHRSWKELREKGPPRVLEPLKDVVLLMEGGAAKLTCRVS
+AFPDLFIRCGKDRKELRDGPKCRYVFKDPDVVALVVHDGEMADLSQYSVNVTNPFGQCSD
+SARILVEGTTRPGGSVTARSSTSWRAPTAWSCCAYRWASPSPPGDVAQPPHSLSRRTGRS
+AGRARTPFLPTEFHPIQPMKSAKGFSHAIPGPQGHCEPEAEAGLEPWSSGSQPRAISEAS
+LDVRTFPDFQP
+>tr|A6QPB4|A6QPB4_BOVIN Vesicle transport protein OS=Bos taurus OX=9913 GN=SFT2D2 PE=2 SV=1
+MDKLKKVLSGQDSEDRGGLSEVVEATSLSSGTRIKGFIACFAAGILCSLLGTLLLWVPRK
+GLYLFAVFYTFGNIASLGRLKSALSSNT
+>tr|E1B7Y2|E1B7Y2_BOVIN Parkin coregulated like OS=Bos taurus OX=9913 GN=PACRGL PE=4 SV=1
+MQKSEFHRGVQMRSRLTDNCDQRTSSSAQVKHGTTVQQSKSSSSTSSPESARKLHPRPSD
+KLNPKTINPFGEQSRAPSAFAAIYSKGGIPCRLVHGSVKHRLQWECPPEKLPFDPLLITL
+AEGLRETKHPYTFVSKEGFRELLLVTGAPEKAVPLLPRLIPVLKAALVHVDDEVFERGLN
+ALVQLSVVVGPALNDHLKHLLTSLSKRLRDKKFKEPITTALQKLEQHGGSGSLIIIKSKI
+PTYCSICC
+>tr|Q0VC14|Q0VC14_BOVIN Transcription factor AP-2 gamma OS=Bos taurus OX=9913 GN=TFAP2C PE=2 SV=1
+MLWKITDNVKYEEDCEDRHDASSNGNPRLPHLSSAGQHLYSPAPPLSHTGVAEYQPPPYF
+PPPYQQLAYSQSADPYSHLGEAYAAAINPLHQPAPTSSQPQAWPGRQSQEGAGLPSHHGR
+PAGLLPHLSGLDGGAVSARREAYRRSDLLLPHSHALDAAGLAENLGLHDMAHQMEEVQNV
+DDQHLLLHDQTVIRKGPISMTKNPLSLPCQKELVGAVMNPSEVFCSVPGRLSLLSSTSKY
+KVTVAEVQRRLSPPECLNASLLGGVLRRAKSKNGGRSLREKLDKIGLNLPAGRRKAAHVT
+LLTSLVEGEAVHLARDFAYVCEAEFPSKSVAEYLTRPHLGGRNEIATRKNMLLAAQQVCK
+EFTDLLNQDRTPNGNNRPTPVLETNIQNCLSHFSLITHGFGSQAICAAVSAVQNYIKEAL
+IVIDKSYMNPGDQSPADSSKTLEKLEKHRK
+>tr|A4FV51|A4FV51_BOVIN Divergent protein kinase domain 1A OS=Bos taurus OX=9913 GN=DIPK1A PE=2 SV=1
+MARSLCPGAWLRKPCYLQARFSYVRMKYLFFSWLAVFVGSWIIYVQYSTYTELCRGKDCK
+KIICDKYKTGVIDGPACNSLCVTETLYFGKCLSTKPNNQMYLGIWDNLPGVVKCQMEQAL
+HLDFGTELEPRKEIVLFDKPTRGTTVQKFKEMVYSLFKAKLGDQGNLSELVNLILTVADG
+DKDGQVSLGEAKSAWALLQLNEFLLMVILQDKEHTPKLMGFCGDLYVMESVEYTSLYGIS
+LPWVIELFIPSGFRRSMDQLFTPSWPRKAKIAIGLLEFVEDVFHGPYGNFLMCDTSAKNL
+GYNDKYDLKMVDMRKIVPETNLKELIKDRHCESDLDCVYGTDCRTSCDQSTMKCTSEVIQ
+PNLAKACQLLKDYLLRGAPSEIREELEKQLYSCIALKVTANQMEMEHSLILNNLKTLLWK
+KISYTNDS
+>tr|B3STV1|B3STV1_BOVIN Chromodomain Y like 2 OS=Bos taurus OX=9913 GN=CDYL2 PE=2 SV=1
+MASGDLYEVERIVDKRKNKKGKWEYLIRWKGYGSTEDTWEPEHHLLHCEEFIDEFNGLHL
+AKDKRLKSGKQPGASKLLRDGRGPSVEKLPHRPSDAGKSKGTSHKRKRVNPSLSKPKKGY
+SAKPPASGDRAAKTVSYRTTPSGLQIMPLKKAQNGMENGDAGSEKDERPFGDGSHQPDLD
+LNDVGEQDLGDCDGSPAVLAENGLGSALTNGGLNLHSPVKRKLEAEKDYVFDKRLRYSVR
+QNESNCRFRDIVVRKEEGFTHILLSSQTSDNNALTPEIMKEVRRALCNAATDDSKLLLLS
+AVGSVFCSGLDYSYLIGRLSSDRRKESTRIAEAIRDFVKAFIQFKKPIVVAINGPALGLG
+ASILPLCDIVWASEKAWFQTPYATIRLTPAGCSSYTFPQILGVALANEMLFCGRKLTAQE
+ACSRGLVSQVFWPTTFSQEVMLRVKEMASCSAVVLEESKCLVRSFLRSVLEDVNEKECIM
+LKQLWSSSKGLDSLFSYLQDKIYEV
+>tr|A6QPK1|A6QPK1_BOVIN RHBDL2 protein OS=Bos taurus OX=9913 GN=RHBDL2 PE=2 SV=1
+MAAAHDLEIEEDVNLNMETEMKEELEEEKMREGRADKDPFKPRKVHRIVSKWMLPESVRR
+TYLERANCLPPPLFIIFISIAELAVFIYYAVWKPQKQWITLDTGILESPFIYRPEKREEA
+WRFISYMLVHAGVQHILGNLIMQLGLGIPLEMVHKGLRVGLVYLAGVIAGSLASSIFDPL
+KSLVGASGGVYALMGGYFMNVLVNFQEMIPAFGVVRLLIIVVIIVSDMGFALYRRFFVPE
+NGSPVSFAAHIAGGFAGMSIGYTVFSCFDQALLKDPRFWTAIAAYLAFVLFAVFFNIFLS
+PAN
+>tr|Q08DE0|Q08DE0_BOVIN Mothers against decapentaplegic homolog OS=Bos taurus OX=9913 GN=SMAD2 PE=2 SV=1
+MSSILPFTPPVVKRLLGWKKSAGGSGGAGGGEQNGQEEKWCEKAVKSLVKKLKKTGRLDE
+LEKAITTQNCNTKCVTIPRSLDGRLQVSHRKGLPHVIYCRLWRWPDLHSHHELKAIENCE
+YAFNLKKDEVCVNPYHYQRVETPVLPPVLVPRHTEILTELPPLDDYTHSIPENTNFPAGI
+EPQSNYIPETPPPGYISEDGETSDQQLNQSMDTGSPAELSPTTLSPVNHSLDLQPVTYSE
+PAFWCSIAYYELNQRVGETFHASQPSLTVDGFTDPSNSERFCLGLLSNVNRNATVEMTRR
+HIGRGVRLYYIGGEVFAECLSDSAIFVQSPNCNQRYGWHPATVCKIPPGCNLKIFNNQEF
+AALLAQSVNQGFEAVYQLTRMCTIRMSFVKGWGAEYRRQTVTSTPCWIELHLNGPLQWLD
+KVLTQMGSPSVRCSSMS
+>tr|H2EQP9|H2EQP9_BOVIN VPREB3 OS=Bos taurus OX=9913 GN=VPREB3 PE=2 SV=1
+MACPPLALFLLGALLAASQPALTKPEALLVFPGQVAQLSCTISPHYAIVGDLGVSWYQQR
+AGSAPRLLLYYRSEEHQHRAPGIPDRFSAAADAAHNTCILTISPVQPEDDADYYCFVGDL
+F
+>tr|A6QPY1|A6QPY1_BOVIN Chromosome 17 C22orf31 homolog OS=Bos taurus OX=9913 GN=C17H22orf31 PE=2 SV=1
+MHPIYVRRDPSIPAYGLRQSILLNTRLQDCYVDSPALTNIWTTRTCAEPNTTAPTPGPTS
+SWEVVKEPVIASSFSLVKLVLRRQLKEKCCPVPRKFGDAKPSKRLKPRDDATMKTTQQGG
+TRNSISCKSKQPAGQRPGSLRRRKPAGGVESKESSKEKKATVCQDLESRYAEHVAATQAL
+PRDMGTASWKGRASLPETRKRQQLSEDALVIHGLPTESYRALYHSVVEPMLWNPSGTPKR
+YSLELGKAIKQKLWGALCRQAAAPEDAQKDPLPGTKQPEDHEEPVEEAVPKK
+>tr|Q29RQ2|Q29RQ2_BOVIN KH RNA binding domain containing, signal transduction associated 1 OS=Bos taurus OX=9913 GN=KHDRBS1 PE=2 SV=1
+MQRRDDPAARMSRSSGRSGSMDPSGAHPSVRQAPPRQPPLPHRSRGGGGGSRGGARASPA
+TQPPPLLPPSATGPDATVGGPAPTPLLPPSATASVKMEPENKYLPELMAEKDSLDPSFTH
+AMQLLTAEIEKIQKGDSKKDDEENYLDLFSHKNMKLKERVLIPVKQYPKFNFVGKILGPQ
+GNTIKRLQEETGAKISVLGKGSMRDKAKEEELRKGGDPKYAHLNMDLHVFIEVFGPPCEA
+YALMAHAMEEVKKFLVPDMMDDICQEQFLELSYLNGVPEPSRGRGVPVRGRGAAPPPPPV
+PRGRGVGPPRGALVRGTPVRGAITRGATVTRGVPPPPTVRGAPAPRARTAGIQRIPLPPP
+PAPETYEEYGYDDTYAEQSYEGYEGYYSQSQGDSEYYDYGHGEVQDSYEAYGQDDWNGTR
+PSLKAPPARPVKGAYREHPYGRY
+>tr|Q2KJ35|Q2KJ35_BOVIN TATA box binding protein (TBP)-associated factor, RNA polymerase I, A, 48kDa OS=Bos taurus OX=9913 GN=TAF1A PE=2 SV=1
+MSDFSEELIRPTTEDEQGEPCVRSGSGMCFPWLQKHIESIASGGKQEKDFAQTTSACLNF
+IQEALLKHQWQRAAEYMHSYLQVLEDTDSNKRQGAPEIVWRLGSEILYYHPKSSVETFNT
+FADRMKNIGITNYLKISLQHALYLLHHGLLEDANRILTQAETWRYGEKSSSQEVLVNLIQ
+AYKGLLQYYSWYKKKMELSKLDKDDYAYNTASQNMLNHSWKTSINLCTLIQIPGVWDPFV
+KSYIEMLEFYGDQDGAREVLNNYAYDEKFPSNPNAHVYLYNFLKREKAPREKLISVLKIL
+YQIVPSHKLMLEFHRLLRKSDKEEHHKLGLEVLFGILDFAGCTKNITAWKYLAKYLKQTL
+MGSHLAWVQEEWNSRKSWWPSFHFSYFWAKSNWKDDKTLACEKALVAGLLLGKGCKYFRY
+ISKQDHQALKKKMKQMKKSVKKYSIVNSGL
+>tr|B0JYL2|B0JYL2_BOVIN Atrial natriuretic peptide receptor 3 OS=Bos taurus OX=9913 GN=NPR3 PE=2 SV=1
+MPSLLVLTFSACVLLGWALLADCTGGGGSGGAGPGRGRREREALPPQKIEVLVLLPQDDS
+YLFSLARVRPAIEYALRSVEGNATGRRLLPAGTRFQVAYEDSDCGNRALFSLVDRVAAAR
+GAKPDLILGPVCEYAAAPVARLASHWDLPMLSAGALAAGFQHKDTEYSHLTRVAPSYAKM
+GEMMLALFRHHQWSRAVLVYSDDKLERNCFFTLEGVHEVFQEEGLHTSAYNFDETKDLDL
+EDIVRHIQASERVVIMCASSDTIRGIMLAAHRHGMTSGDYAFFNIELFNSSFYGDGSWKR
+GDKHDFEAKQAYSSLQTITLLRTVKPEFEKFSMEVKSSVEKQGLSEEDYVNMFVEGFHDA
+ILLYVLALREVLRAGYSKKDGGKIIQQTWNRTFEGIAGQVSIDANGDRYGDFSVIAMTDT
+EAGTQEVIGDYFGKEGRFEMRPNVKYPWGPLKLRIDETRMVEHTNSSPCKASGGLEESAV
+TGIVVGALLGAGLLMAFYFFRKKYRITIERRNQQEESNVGKHRELREDSIRSHFSVA
+>tr|Q0VCQ6|Q0VCQ6_BOVIN Programmed cell death 10 OS=Bos taurus OX=9913 GN=PDCD10 PE=2 SV=1
+MRMTMEEMKNEAETTSMVSMPLYAVMYPVFNELERVNLSAAQTLRAAFIKAEKENPGLTQ
+DIIMKILEKKSVEVNFTESLLRMAADDVEEYMIERPEPEFQDLNEKARALKQILSKIPDE
+INDRVRFLQTIKDIASAIKELLDTVNNVFKKYQYQNRRALEHQKKEFVKYSKSFSDTLKT
+YFKDGKAINVFISANRLIHQTNLILQTFKTVA
+>tr|A0A3Q1MK28|A0A3Q1MK28_BOVIN E3 ubiquitin-protein ligase pellino homolog OS=Bos taurus OX=9913 GN=PELI3 PE=3 SV=1
+MVLEGNPEVGSPRTSDLQHPGNQGSCVLSSPSEDAQPGEEPIKYGELIVLGYNGCLASGD
+KGRRRSRLALSRRPHANGVKPDVIHHISTPLVSKALSNRGQHSISYTLSRSHSVIVEYTH
+DGDTDMFQIGRSTENMIDFVVTDTSPGGGAAEGPSAQSTISRYACRILCDRRPPYTARIY
+AAGFDASSNIFLGERAAKWRTPDGLMDGLTTNGVLVMHPAGGFSEDSAPGVWREISVCGN
+VYTLRDSRSAQQRGKLVENESNVLQDGSLIDLCGATLLWRTPAGLLRAPTLKQLEAQRQE
+ANAARPQCPVGLSTLAFPSPARGRTAPDKQQPWVYVRCGHVHGYHGWGCRRERGPQEREC
+PLCRLVGPYVPLWLGQEAGLCLDPGPPSHAFAPCGHVCSEKTARYWAQTPLPHGTHAFHA
+ACPFCGAWLTGEHGCVRLIFQGPLD
+>tr|E1BKL9|E1BKL9_BOVIN FAT atypical cadherin 1 OS=Bos taurus OX=9913 GN=FAT1 PE=4 SV=3
+MGRHLASLLLLLRLLQHFRDGDGSGTLEEMPLQFTHFQYNVTVHENSAAKTYVGHPVKMG
+IYMTNPLWELRYKIISGDNENLFKAEEYVLGDFCFLRIRTKGGNTAILNREVKDHYTLIV
+KAVEKNTNAEARTQVRVQVLDTNDLRPLFSPTSYSVSLPENTAIRTSIARVSATDADIGT
+NGEFYYSFKDRTDMFAIHPTSGTIVLTGRLDYTETSVYEMEILAVDRGMKLYGSSGISSM
+AKLTVHVEQANACAPVITAVTSSPSELDRDPTYAIVTVDDCDQGANGEVASLSIVAGDLL
+QQFRTVRSSPGSREYKLKATGAIDWDSHPFGYNLTLQAKDKGTPPQFSSVKVIHVISPRF
+KAGPVRFEKEVYRAEISEFAPPHTPVVMVKATPSYPHLKYVFKSTPGKAKFSLNHNTGLI
+SILEPLKRQQASHFELEVTTSDRKASTRVLVKVLSANSHPPEFTQTAYKASFDENVPIGT
+TVMSVSAVDPDEGENGYVTYSIANLNHVPFVINHFTGAVSTSENLDYELMPRVYTLRIRA
+SDWGSPYRREIEILATLTLNNLNDNTPLFEKINCEGTIPRDLGVGEQITTVSAIDADELQ
+LVRYQIEAGNELDLFSLNPNSGVLSLKQSLMDGLGAKVSFHSLRITATDGENFATPLYIN
+MTVAALRKPVSLQCEETGVAKMLAEKLLQANKLHSQGEVEDVFFDSHSVNAHAPQFRSTL
+PAGIQVKENQPVGSSLLVMNATDLDTGFNGKLVYAVSGGNEDSCFIMDMETGMLKILSPL
+DRETTDRYTLNITVSDLGLPQRAAWHLLEIRVLDANDNPPEFLQESYFVEVSEDKEINSE
+IIQVEATDKDLGPNGHVRYSILTDTDKFSIDSVTGVVKILNPLDREEQQVHYLKVEARDQ
+AREEPQLLSTVILKVSLDDVNDNPPKFIPPNYRVKVREDLPEGTIIMWLEAHDPDLGQSS
+QVRYSLLDHGEGNFDVDKLSGAVRIIQQLDFEKKQVYNLTVRAKDKGKPISLSSTCYVEV
+EVIDVNENLHPPVFPSFVEKGAVKENAPLGSSVMKVSARDEDMGRDGEIHYSIRDGSGVG
+VFRIDEETGVIETSDRLDRESTSHYWLTVYASDQGVVPLSSFVEVYIEVEDVNDNAPQTS
+EPVYYPEIMENSPKDVSVVQIEAFDPDSSSDDKLTYKITSGNPQGFFSINSKTGLITTTA
+RKLDREQQDEHILEVTVTDNGSPPKSTITRVIVKILDENDNKPQFLQKFYKIRLPEREKP
+ERERNAKREPLYRVIATDKDEGPNAEISYSIEEGNEHGKFFIEPKTGVVLSKKFSGAGEY
+DILSIKAVDNGRPQKSSTARLHIEWISKPKPSLEPISFEESFFTFTVMESDPVAHMIGVI
+SVEPPGIPLWFDIIGGNYDSHFDVDRGTGTIIIAKPLDAEQKSNYNLTVEATDGTTTILT
+QVFIKVIDTNDHRPQFSTSKYEVVIPEDTVPETEILQISAVDKDEKNKLIYTLQSSIDPL
+SLKKFRLDPATGSLYTSEKLDHEAIHQHILTVMVRDQDVPVKRNFARIVVNVSDTNDHAP
+WFTSSSYEGRVYESAAVGSVVLQVTALDKDKGKNAEVLYSIESGNIGNSFTIDPILGSIK
+TARELDRSNQVAYDLMVKATDRGDPPMSEITSVRVFVTIADNASPKFTSKEYSVEISETV
+GIGSFVGMVTAHSQSSVVYEIKDGNVADAFDINPHSGSIITQKALDFETLPIYTLTIQGT
+NMAGLSTNTTVLVHLLDENDNLPVFVQAEYTGLISESASINSVVLTDRNVPLVIRATDAD
+RESNALLVYHIVEPSVHKYFTIDSSTGAIHTVLSLDYEETSTFHFTVQVHDMGTPRLFAE
+YAANVTIHVIDINDCPPVFSTSLYEASLLLPTYRGVKVVAVNATDADSSAFSQLMYSITE
+GNIGEKFLMDRKTGTITVQNTTQLRSRYELTVRASDGRFASFAAIKINVKESKESQLKFT
+QDFYSAIVKENSTEARTLAVITALGNPINEPLFYHILNPDPRFRISRTSGVLSTTGIPFD
+REQQEAFDVVVEVTRERKPPAVAHVIVKVVIEDQNDNAPVFVNLPYYALVKVDAAVGQVI
+RHVTAVDRDSGRNGEVHYFLKERHEHFQIGSSGEISLKKPFEPDTLNKEYLITVVARDGG
+DPAFSAEVIVPITVMNKAMPVFEKPFYSAEIPENAQLHSPVVHVQANSPEGLKVHYSITE
+GDPFSQFTVNFNTGVISVVAPLDFESHPAYKLSIRATDSLTGAHAEVFVDIIVEDINDNP
+PVFAQQSYATTLSEASVIGTSVVQVRATDADSEPNRGISYHMVGNHSKSHDHFHVDSGTG
+LISLVRTLDYEQFRQHQISVRAVDGGMPPLSSDVVVTVDVTDLNDHPPLFDQQLYEARIS
+EHAAHGHFVTCVRAYDADSSDADKLEYSILSGNDHKNFVIDGKTGIITLSNLRRHALKPS
+YSLQVSASDGVFRSSAQVHVTVIGGNLHSPLFLQNEYEVELAENAPLHTLVTEVKASDGD
+SGIYGHVTYHIVNDFAKDRFYTNERGQIFTLEKLDRETPAEKVIPIRFMAKDAGGKVAFC
+TINVILTDDNDNAPQFRATKFEVNIGSSAPKGTSVIKVLASDADEGSNADITYAIEADSE
+SVKENLEINRASGVITTKESLIGLENEVFTFFVRAVDNGSPQRESVVPVYVKVLPPEMRL
+PRFSEPFYTYTVSEDVPIGTEIDLIRAEHSGTVLYSLVKGNTPESNRDEFFVIDRQSGRL
+KLEKSLDHETTKWFQFSVLARCTHGDYELVASVDVSIQVKDTNDNSPVLESSPYEAFIVE
+NLPAGSRVIQIRASDLDSGSNGQVMYNLDQSQSVDVIESFAVNMETGWITTLRELDHEKR
+DSYQIKVVASDHGEKVQLSSTAIVDVTVTDVNDSPPRFTAEIYKGTVSEDDPPGGVIAIV
+STTDADSEEINRQVTYYITGGDPLGQFAIENIQNEWKVYVKKPLDREERDSYLLTITATD
+GTFSSKAIVEVKVLDANDNTPVCEKTLYSDTIPEDAFPGKLIMQVSATDADIRSNAEITY
+TLFGPGAEKFKLNPDTGELKTSAPLDREEQAAYHLLVKATDGGGRFCQASVVLTLEDVND
+NAPEFSADPYTITVFENTEPGTLLTRVQATDADAGLNRKISYSLLNSADGQFSINELSGI
+IQLEKPLDRELQAVYTLTLKAVDHGLPRRLTATGTIVISVLDINDNPPVFEYREYGATVS
+EDILIGTEVLQVYAASRDIEANAEITYSIISGNEHGKFSIDSKTGAIFIIENLDYESSHE
+YYLTVEATDGGTPSLSDVATVSINVTDINDNAPVFSQDTYTAVVSEDAVLEQSVITVMAD
+DADGPSNSHIHYAIIDGNQGSPFTIDPARGEVKVTKLLDRETISGYTLTVQASDNGSPPR
+VTTTTVNIDVSDVNDNAPVFSRGNYSLIIQENKPVGFSVLQLVVTDRDSSHNGPPFFFSI
+VSGNEEGAFEVNQQGALLTAAAINRKVKDHYLLHVKVADNGKPQLSSLTYIDIRVIEESV
+YPPAILPLEIFITAFGEEYSGGVIGKIHATDQDVYDTLTYSLDPQMDSLFSVSSTGGKLI
+AHKKLDIGQYLLNVSVTDGKFTTAADITVQVRQVTQEMLNQTVAVRFANLTPEEFVGDYW
+RNFQRALRNILGVRRNDIQIVSLQPAEPHPHLDVLLFVERSGVTHVSTRQLLQKINSSVS
+DVEEIIGVRILEVFRKLCAGLDCPWKFCDEKVSVDESVMSTHSTARLSFVTPRHRRMAVC
+LCREGKCPLVHHGCEDNPCPEGSECVTDPREETYTCVCPGGKSGQCPGSASVTFTGNSFV
+KYRLMENENKLEMKLTMRLRTYSAHAVVMYARGTDYSILEIHNGRLQYKFDCGSGPGIVS
+VQSIQVNDGLWHAVSLEVNGNYARLVLDQVHTASGTAPGTLKTLNLDSHVYFGGHVRQQG
+SRHGRSPQVGNGFRGCMDSIYLNGQELPLNNRPRSYAHIEESVDVSPGCLLTATEDCSSS
+PCQNGGVCHPSPTGGYYCKCNTLYVGTYCEVSVNPCSSNPCLYGGTCIVDNGDFVCQCRG
+LYSGQRCQLSPYCKDEPCKNGGTCFDSLDGAVCQCDSGFRGERCQSDVDECAGNPCRNGA
+LCENTHGSYHCNCSHEYKGKHCEDVAPNQYVSTPWNIGLAEGIGIVVFITGIFLLVLVFV
+FCRKMISRKKKPQPEPEDKHLGPSAAFLQRPYFDSKLNKNIYSDIPPQVPVRPISYTPSI
+PSDSRNNLDRNSFEGSAIPEHPEFSTFNPESMHGHRKAVAVCSVAPNLPPPPPSNSPSDS
+DSIQKPSWDFDYDTKVVDLDPCLSKKPLEEKPSQPYSARESLSEVHSLSSFQSESCDDNG
+YHWDTSDWMPSVPLPDIQEFPNYEVIDEQTPLYSADPNAIDTDYYPGGYDIESDFPPPPE
+DFPGADELPPLPPEFSDQFESIHPPRDAPAAGSPGSASRGRQRFHPNQYLPSFYAVDLSE
+PQKAGAGDGSARREPYAPYPAGYPRTFEAPAVESLPLSVYASTASCSDVSACCEAESEVM
+MSDYESGDDGPFEEVTVPPLDSQQHTEV
+>tr|F1MFB7|F1MFB7_BOVIN 4-aminobutyrate aminotransferase OS=Bos taurus OX=9913 GN=ABAT PE=3 SV=1
+MASMLLTQRLVCSFQHNYRLLVPGSRHISQAAAKVDVEFDYDGPLMKTEVPGPRSRELMK
+QLNIIQNAEAVHFFCNYEESRGNYLVDVDGNRMLDLYSQISSVPIGYNHPALMKLVQQPQ
+NMSTFINRPALGILPPENFVEKLRESLLSVAPKGMSQLITMACGSCSNENAFKTIFMWYR
+SKERGQSSFSKEELETCMVNQAPGCPDYSILSFMGAFHGRTMGCLATTHSKAIHKIDIPS
+FDWPIAPFPRLKYPLEEFVKENQQEEARCLEEVEDLIVKYRKKKRTVAGIIVEPIQSEGG
+DNHASDDFFRKLRDISRKHGCAFLVDEVQTGGGCTGKFWAHEHWGLDDPADVMTFSKKMM
+TGGFFHKEELRPNAPYRIFNTWLGDPSKNLLLAEVINVIKREDLLNNAAHAGKVLLTGLL
+DLQAQFPQLISRVRGRGTFCSFDTPDESVRNKLISIARNKGLMLGGCGDKSIRFRPTLVF
+RDHHAHLFLNIFSDILADFK
+>tr|A7MB98|A7MB98_BOVIN ZFP36 ring finger protein like 1 OS=Bos taurus OX=9913 GN=ZFP36L1 PE=2 SV=1
+MTTTLVSATIFDLSEVLCKGNKMLNYSTPSAGGCLLDRKAVGTPAGGGFPRRHSVTLPSS
+KFHQNQLLSSLKGEPAPALSSRDSRFRDRSFSEGGERLLPPQKQPGSGQVNSSRYKTELC
+RPFEENGACKYGDKCQFAHGIHELRSLTRHPKYKTELCRTFHTIGFCPYGPRCHFIHNAE
+ERRALAGARDLSADRPRLQHSFSFAGFPSAAATAAATGLLDSPTSITPPPILSADDLLGS
+PTLPDGTNNPFAFSSQELASLFAPSMGLPGGGSPTTFLFRPMSESPHMFDSPPSPQDSLS
+DQEGYLSSSSSSHSGSDSPTLDNSRRLPIFSRLSISDD
+>tr|A7MBJ0|A7MBJ0_BOVIN DNAJC16 protein OS=Bos taurus OX=9913 GN=DNAJC16 PE=2 SV=1
+MEVKKLGISWQFLIVLVLILQILSALDFDPYRVLGVSRTASQADIKKAYKKLAREWHPDK
+NKDPGAEDKFIQISKAYEILSNEEKRSHYDHYGDAGESQGYQKQQQQREYRFRHFHENFY
+FDESFFHFPFNSERRDSIDEKYLLHFSHYVNEVVPDSFKKPYLIKITSDWCFSCIHIEPV
+WKEAVQELEGLGVGIGVVHAGYERRLAHHLGAHSTPSILGIINGKVSFFHNAVVSENLWQ
+FVESLLPGNLVEKVTNKNYVRFLSGWQQENKPRVLLFDQMPTVPLLYKLTAFAYKDYLSF
+GYVYVGLRGAEEMTRQYDVNVYTPTILIFKEHIHKPADVIQARGMKRQVIDDFITQNKYL
+LAARLTSQKLFHELCPVKRSHRQRKYCVVLLTAEATKLSKPFEAFLSFALANTQDTVRFV
+HVYSNRQQEFASTLLPDSDTFQGKSAVSILERRNTAGRVVYKTLEDPWTGSESDKFILLG
+YLDQLRKDPALLSSEAVLPDLTDELAPIFLLRWLYSASDYISDCWDSIFHNNWREMMPLL
+SLVFSALFILFGTVIVQAFSDSNEERESSPPDKEEAREKTGKTEPSFTKEPSSKIPKKGF
+VEVTELTDITYTSNLVRLRPGHMNVVLILSNSTKTSLLQKFALEVYSFTASSCLHFSFLS
+LDKHREWLEYLLEFAQDAAPIPNQYDKHFMERDYTGYVLALNGHKKYFCLFKPQKAVEEE
+EAMGPCSDFDSSLHLGECRGKSSSGLGPRPIKGKLSKLSLWMERLLEGSLQRFYIPSWPD
+LD
+>tr|E1BDY7|E1BDY7_BOVIN Plexin C1 OS=Bos taurus OX=9913 GN=PLXNC1 PE=4 SV=2
+MEVSRRKVPPRPPRPAALLPLLAYLLALAAPGQGADEPVWRSEQAIGAIAVSREDGVFVA
+SGSCLDQLDYSLEHRLSRLYRDQAGNCSEPVPLTPPARPRPGSSFSKLLLPYREGAAGLE
+GLLLTGWTFDRGACEVRPLGNLSRSSLRNGTEVVSCHPQGSTAGVVYYANDTQSWYLAVA
+ATYVLPESETASRCNPAASDRETAIALKDTGKRSLATKETGRLKLRDGGGSLHFVDAFLW
+NGSVYFPYYPYNYSTGAATGWPSMARIAKSSEMLLFQGQAALNCGHGRPDGRRLLLSSSL
+VEALDVWAGVFSAATGEDQEKRSPTTTALCLFRMSEIQARTKICRWDFEIDNNENNCKNE
+DEPEKVEPIASSTLIHSDLTSVYGTVVMNRTVLFLGTGDGQLLKVILSENLASNCPEVIY
+EIKEETPVFYKLVPHPKKNIYIYLTAGKEVRRIRVANCGKHKSCWECLAATDPHCGWCHS
+LQRCTFKEDCEHLNNSENWLDVLSGAKKCPQIHLFRSSKDKTTVTVVGSFSPRHSECVVK
+NVDTGRMLCKGKSAHNETCTCIIPTRTAYKDVLVVNMTFSFGSWRVSKRFNFTNCSSLRE
+CPGCIRTGCAWCKSERKCIHPFTACDPLDYKRNQDLCPVAFQKWTPPKTAGEGRFKENMS
+NRTTWGLQVFSVTSIEPQKISTLGKSNVTVTGANFTEASNITMILKGTSTCDKDVIQVSH
+VVNATHMQFSLPSSRKEMKDVCIQFDGGNCASVGPLSYVALPHCSLIYPATTWISGGQNI
+TIMGRNFDVIDNLIFSQEVKEKNVSEYCMANSCRFLAPSLKSSKGPINVTVKLRVQETAL
+DCGSLQYLDDPRFTGYRAESEVDTELEVKIQKENDNFNISKEDIEITLFYGKNKSLNCSF
+ENITRNQDLTTIFCKIKHITAANSIATSSKKVDVKLGNLKLSVERESVLSPWYFLIGLPI
+LLVFVIFVAVGVTRHKSKELSRKQSQQLELLESELRKEIRDGFAELQMDKLDVVDSFGTV
+PFLDYKHFALRTFFPESGGFTHIFTEDMHNRDASDKNESLTALDALICNKSFLVTVIHTL
+EKQKNFSVKDRCLFASFLTIALQTKLVYLTSILEVLTRDLMEQCSNMQPKLMLRRTESVV
+EKLLTNWMSVCLSGFLRETVGEPFYLLVTTLNQKINKGPVDVITCKALYTLNEDWLLWQV
+PEFSTVALTVTFEKIPENESADVCRNISVNVLDCDTIGQAKEKIFQAFLSKNGSPYGLQL
+NEIGLELQVGTRHKELLDIDSSSVILEDGITKLNTIGHYEISNGSTIKVFKKIANFTSDV
+EYTEDHCHLILPDSEAFQDVQGKRHRGKHKFKVKEMYLTKLLSTKVAIHSVLEKLFRSIW
+SLPNSRAPFAIKYFFDFLDAQAENKKITDPDVVHIWKTNSLPLRFWVNILKNPQFVFDIK
+KTPHIDGCLSVIAQAFMDAFSLTEQQLGKEAPTNKLLYAKDIPTYKEEVKSYYKAIRDLP
+PLSSSEMEEFLTQESKKHENEFNEEVALTEIYKYIIKYFDEILNKLERERGLEEAQKQLL
+HVKVLFEEKKKCKWM
+>tr|A3KMX1|A3KMX1_BOVIN Carbohydrate sulfotransferase OS=Bos taurus OX=9913 GN=CHST14 PE=2 SV=1
+MFPRPLTPLAAPEGAEPLGRALRRAPLGRARPGLGGPPLLLPSMLMFAVIVASSGLLLMI
+ERGILAEMKPLPLHPPNREDAVWRGTVPRPGRLSLDAGNSDLQVRQDVRNRTLRAVCGQP
+GMPRDPWDLPVGQRRTLLRHILVSDRYRFLYCYVPKVACSNWKRVLKVLAGVLDNVDVRL
+KMDHRSDLVFLADLRPDEIRYRLQHYFKFLFVRDPLERLLSAYRNKFGEIREYQQRYGVE
+IVKRYRAGAGPSPAGDDVTFPEFLRYLADEDPERMNEHWMPVYHLCQPCAVRYDFVGSYE
+RLEADANQVLEWVRAPPHVRFPARQAWYRPASPESLHYHLCSAPRALLQDVLPKYILDFS
+LFVYPLPNVTREACHQ
+>tr|B9UM28|B9UM28_BOVIN G-protein coupled receptor 81 OS=Bos taurus OX=9913 GN=HCAR1 PE=2 SV=1
+MANRSCCLIQGYHMPEVMPSLLILAFVLGILGNGVALCGFCFHMKTWKPSTIYLFNLAVA
+DFLLMICLPFRTDYYLRQRQWAFEDIPCRVVLFMLAMNRAGSIVFLTVVAVDRYFKVVHP
+HHMVNTISNWTAVGIVCVLWTLVILGTLYLLLENHLCVQEKIIACESFIMVSANGWHDVM
+FQLEFFLPLGIILFCSFKIIWSLKQRQRLARQSRMKKPVRFIMMVAVVFIACYLPSALAR
+LYFLWTVPSSACNPSVHVALHVTLSFTYINSMLDPLVYYFSSPSFPKFYTKLKICSVRPR
+CPGCFKRPEGMPTSNLCCKSCISVANSFQSQSEGQ
+>tr|E1BCY7|E1BCY7_BOVIN Protein kinase domain-containing protein OS=Bos taurus OX=9913 GN=PRAG1 PE=4 SV=2
+MLETIPLNPESPKMSACSDFVEHIWKPGSCKNCFCLRSDHQLVPGRPQPRVGCLPPPPRL
+HPRPESDRPEDDGLSGSPYSKPTIAVKPTMMSSEASDGWTEAGMSADVAQVIWRRAPGKL
+PLPKQEDGPVVYLGSFRGVQKPAGPSGPTDGGHPRSPPAYAMVGLHSLEPRGDRSAAFHP
+VSFPDEKLGRDDKPVIPYQELNSPQESFRQKLAAFSGMTSGCLKGLGPYPSAQPMRESLP
+SEDDSDQRCSPSGDSEGGEYCSILDCCPGSPGAEDAARAGGSRHRQGGRDCSAACWEPRV
+CARPPEEEKQALSFPRDCCSQGPTESPPRLGPKKQSLASEAASSSDGLSCGSANSRASSP
+LAPHPESDYCSLRKEPGLGKQQDSGCHGVASSRRLGQTGETQPPAHSREAAQSEPIYAES
+TKRKKAVPVPSRPQAKAEQGAGAPGQAQVRTVNTWAQKAASGWGQVREGPDAAPQMAATI
+TVMAAHPEEDHRTIYLSSPDSSVGVQWPRGPPSQQAPEAGGEEPSAGQGLGSRESRHRSA
+SETTAKGRPAIPPKMSRSSPGGSPVSPSAYPLSDLSEGNSGTPGPQPPSKGPADPASSCR
+ANGIPSSDSARGPPPATSTSALEQRRPRPQAGAWSRQCRIEEEDEVEQDLLSQSWGREVE
+NGPLDPASSSAWHRLRPTDGSSGQNSKAGTGMSKSASFAFEFPKDRSGIETFSPPPPPPK
+SRHLLKMNKSSSDLEKVSQGSAESLSPSFRGVHVSFTTGSTDSLASDSRTCSDGGPSSEP
+AHSPTNSRKKLFAPVPFPSGSTEDVSPGDPLQPPPLPQKKLVSRAASSPDGFFWTQGSPK
+PRTASPKLNLSHSETNVCAHEDSHFSYASGPGGRHQHVFSASEPLEKTSKGSGHRGPVLG
+LGGSKGLQGKGVSSASSSQLSVSSQASAGSTQLQLHSLLNSISSKEGTYAKLGGLYAQSL
+VRLVARCEDLFMGGQKKELHFSENNWSLFKLTCNKPCCDSGDAIYYCATCSEDPGSTYAV
+KICKTPEPKAASYCSPSVPVHFNIQQDCGHFVASVPSSMLTSPDAHKDPLSALPSHPPAQ
+EQDCVVVITREVPHQTASDFVRDLAASHQSEPEVYERRVCFLLLQLCNGLEHLKEHGIIH
+RDLCLENLLLVHCAPQASPEPSTAASLPPTTTSPAPAATPSSGPSATVPTSVNPTPPAAP
+AFQGGSSEKHLPRLIISNFLKAKQKPGGTTNLQQKKSQARLAPEIVSASQYRKFDEFQTG
+ILIYELLHQPNPFEVRAQLREQDYRQEDLPPLPALSLYSPGLQRLAHLLLEADPIKRIRI
+GEAKRVLQCLLWGPRRELVEPPGASEEVLCTTLHNWIDMKRALMMMKFAEKAVDRRRGVE
+LEDWLCCQYLASAEPTALLQSLKLLQLL
+>tr|F1MRZ9|F1MRZ9_BOVIN Lysophosphatidic acid receptor 1 OS=Bos taurus OX=9913 GN=LPAR1 PE=3 SV=2
+MNEPQCFYNESIAFFYNRSGKYLATEWNTVSKLVMGLGITVCIFIMLANLLVMVAIYVNR
+RFHFPIYYLMANLAAADFFAGLAYFYLMFNTGPNTRRLTVSTWLLRQGLIDTSLTASVAN
+LLAIAIERHITVFRMQLHTRMSNRRVVVVIVVIWTMAIVMGAIPSVGWNCICDIENCSNM
+APLYSDSYLVFWAIFNLVTFVVMVVLYAHIFGYVRQRTMRMSRHSSGPRRNRDTMMSLLK
+TVVIVLGAFIICWTPGLVLLLLDVCCPQCDVLAYEKFFLLLAEFNSAMNPIIYSYRDKEM
+SATFRQILCCQRSENTSGPTEGSDRSASSLNHTILAGVHSNDHSVV
+>tr|A5PK95|A5PK95_BOVIN CD7 molecule OS=Bos taurus OX=9913 GN=CD7 PE=2 SV=1
+MVGLLGLLLFPLLQAAGEVWQSPRKTIALEGDSVNITCSTPGTLHGIYLKKTWPNNSDVI
+YYEDGSEPTVDPQFQGRIAYSGLQSNLTISLYHLQLADTGGYTCVAIMDDKIFGPGTLVM
+VTDQLPQAANTCQESWPIHFALPTALAVGFFLIGLGLGAVCVLIRTQIQKLCCAKDKSPV
+FVIYEDMSHSRCNTMSIPNQYQ
+>tr|A0A3Q1MJS7|A0A3Q1MJS7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MSLRLFSDENVTGDKSRENCDFLFSPPELTGRPSVLRLSQKENVPPRSTAKTVKVTFQTP
+LRDPQTYRILSPSVGSKLKFKKK
+>tr|Q3SZS9|Q3SZS9_BOVIN Ring finger protein 38 OS=Bos taurus OX=9913 GN=RNF38 PE=2 SV=1
+MRPWEMTSNRQPPSVRPSQHHFSGERCNTPARNRRSPPVRRQRGRRDRQSRLNSISQDEN
+YHHLPYAQQQAIEEPRAFHPPNVSPRLLHPAAHPPQQNAVMVDIHDQLHQGTVPVSYTVT
+TVAPHGIPLCTGQHIPACSTQQVPGCSVVFSGQHLPVCSVPPPMLQACSVQHLPVPYAAF
+PPLISSDPFILHPPHLSPHHPPHLPPPSQFVPFQTQQSRSPLQRIENEVELLGEHLPVGG
+FTYPPSAHPPTLPPSAPLQFLTHDPLHQEVSYGVPYPPFMPRRLTGRSRYRSQQPIPPPP
+YHPSLLPYVLSMLPVPPAVGPTFSFELDVEDGEVENYEALLNLAERLGEAKPRGLTKADI
+EQLPSYRFNPNNHQSEQTLCVVCMCDFESRQLLRVLPCNHEFHAKCVDKWLKANRTCPIC
+RADASEVHRDSE
+>tr|F1MB20|F1MB20_BOVIN Oral-facial-digital syndrome 1 isoform 2 OS=Bos taurus OX=9913 GN=OFD1 PE=2 SV=3
+MHPVLNGKVQSPSISVEESSLLIGASNSLVADHLQRCGYEYSLSVFFPESGLAKEKVFTM
+QNLLHLFKISPESSLYKSLISGFDKENQKGFLIQFLKELAKYHQNKESCNMETQTSSTFP
+VKDSLAEKLQLIDNEFAGACPQQPKLESLEIKLNEYKREVEQQLRAEMSQKLKYFKDTEI
+MKIKMEEKRKYEKELVEFQNEFERTCQAKSEALMSQEKITLERIQKHQENETKEIYAQRQ
+LLLKDIDLLRGREAELKQRIEAFELAQRLQEEKNKSMTDGLRRRELTIKNIEETYDQKLK
+NELLKYQLELKEDYITRTNRLIEDERKNKEKALHLQEELAALNCKKEELDRSVNRVKELE
+LELESVRAQCLALTKQNHMLSEKVKEMSDYSLLKEGKLELQAQNKLLKQQVEDMKSENMH
+LLNRIAQPSPELLIFQKELKKAENAIAFEHKEFETHKQALQKQLQSEIERSAQLKAQVLE
+YDASVKRLTTQVSILKLQLKQTQTALENEVYPNPSHSLLNRSISGLMSTRVEPRDGDISG
+DFLKNPLRQEEVTAGPVTPRIPRYPNASAEGSSPDSDLEFVASTKVRVRELEQEAECLEK
+AFQNYHQRVSQCPTKSRLAARSPPLLCLPGTLKNITAAVPERCVFMDARVTSQQPPVSTR
+GGDDSEVSEVPSSMASRSHKSTASRRLSSTPLPKPRSLDSETYLEGLGRSTVTTTCPDRK
+PQPSPAESRHISVHSLSSPLEQKASLYQRHTELQDKSEFSNPDKLVFKDNEGFEPSFEYG
+WSVPRQFKREGLHHAGDMPHVDGAAAPVPTRPISFQYPGVNQKSLGEQKEEEKLREQQAR
+ERRQGDEQRQSKWQETLQRERRQLEKLDQERRLIEQSLKLEMEKEYESSVQEMKDKSKSV
+SGENPLEKYMKIMQQNPDEDTTDKSSKKVVRESSQLDTLPSSDTKDKSFAAFSHEEPDDI
+W
+>tr|A6H776|A6H776_BOVIN BRUNOL4 protein OS=Bos taurus OX=9913 GN=CELF4 PE=2 SV=1
+MYIKMATLANGQADNASLSTSGLGSSPGSAGHMNGLSHSPGNPSTIPMKDHDAIKLFIGQ
+IPRNLDEKDLKPLFEEFGKIYELTVLKDRFTGMHKGCAFLTYCERESALKAQSALHEQKT
+LPGMNRPIQVKPADSESRGDRKLFVGMLNKQQSEDDVRRLFEAFGNIEECTILRGPDGNS
+KGCAFVKYSSHAEAQAAINALHGSQTMPGASSSLVVKFADTDKERTMRRMQQMAGQMGMF
+NPMAIPFGAYGAYAQALMQQQAALMASVAQGGYLNPMAAFAAAQMQQMAALNMNGLAAAP
+MTPTSGGSTPPGITAPAVPSIPSPIGVNGFTGLPPQANGQPAAEAVFANGIHPYPAQSPT
+AADPLQQAYAGVQQYAGPAAYPAAYGQISQAFPQPPPMIPQQQREGPEGCNLFIYHLPQE
+FGDAELMQMFLPFGFVSFDNPASAQTAIQAMNGFQIGMKRLKVQLKRPKDANRPY
+>tr|Q3ZBM0|Q3ZBM0_BOVIN A proliferation-inducing ligand OS=Bos taurus OX=9913 GN=TNFSF13 PE=2 SV=1
+MPASSPSLLSPKGPQGDMGGPVREPALSVALWLSWGAALGAVACAMVLLTQQTELQTLRR
+EVTRLQRNGGPSEKGEGNPWLNLQEQSPDGTEGQENGERSRRRRAVLTRKHKKKRSVLHL
+VPINITSKEDSDVTEVMWQPALQRGRGLEAQGYVVRVWDAGVYLLYSQVLFHDETFTMGQ
+MVSREGQGRQETLFRCIQSMPSNPDWAYNSCYSAGVFHLHQGDILSVVIPRARAKLSLSP
+HGTFLGLVKL
+>tr|Q7YRE7|Q7YRE7_BOVIN Protein O-fucosyltransferase 1 OS=Bos taurus OX=9913 GN=POFUT1 PE=2 SV=1
+MGAAAWAPSLLPPRVSLLLLLLPLPGLPVGSWDPAGYLLYCPCMGRFGNQADHFLGSLAF
+AKLLNRTLAVPPWIEYQHHKPPFTNVHVSYQKYFKLEPLQAYHRVISLEDFMEKLAPTHW
+PPEKRVAYCFEVAAQRSPDKKTCPMKEGNPFGPFWDQFHVSFNKSELFAGISFSASYKDQ
+WIQRFSPEEHPVLALPGAPAQFPVLEEHRPLQKYMVWSDEMVRTGEAQIHAHLIRPYVGI
+HLRIGSDWKNACAMLKDGTAGAHFMASPQCVGYSRHTTAPLTMTMCLPDLKEIRRALKLW
+VTALNAQSVYIATDSESYLPEIQQLFKGKVKVVSLKPEVAQIDLYILGQADHFIGNCVSS
+FTAFVKRERDLHGRPSSFFGMDRPPQLRDEF
+>tr|A0A3Q1NJ62|A0A3Q1NJ62_BOVIN UDP-N-acetylglucosamine--dolichyl-phosphate N-acetylglucosaminephosphotransferase OS=Bos taurus OX=9913 GN=DPAGT1 PE=4 SV=1
+MIHLSNKGFGLKSHFCPHCYARIKNGRLLPVIVALRTRSGRKQWVSRGREAEPRLLHRGS
+RESRRRLRSELLGFAQAAVEEPACGERLHVTAGACPLAKKAAEWAAWQVTMWAFPELPMP
+LLVNLIGSLMGFVATVTLIPAFRGHFIAARLCGQDLNKSSREQIPESQGVISGAVFLIIL
+FCFIPFPFLNCFVEQQCKAFPHHEFVALIGALLAICCMIFLGFADDVLNLRWRHKLLLPT
+AASLPLLMVYFTNFGNTTIVVPKPLRPILGLHLDLGILYYVYMGLLAVFCTNAINILAGI
+NGLEAGQSLVISASIIVFNLVELDGDYRDDHIFSLYFMIPFFFTTLGLLYHNWYPSRVFV
+GDTFCYFAGMTFAVVGILGHFSKTMLLFFMPQVFNFLYSLPQLLHIIPCPRHRMPRLNTK
+TGKLEMSYSKFKTKSLSFLGTFILKVAENLGLLTVRHSEDEDGAFTECNNMTLINLLLKV
+FGPMHERNLTLLLLLLQVVGSAVTFSIRYQLVRLFYDV
+>tr|E1BFW1|E1BFW1_BOVIN Coiled-coil domain containing 134 OS=Bos taurus OX=9913 GN=CCDC134 PE=4 SV=1
+MDLLQFLTSLSVLLLSGTGVTDTLRTSLDPSLEIYKKMFEVKRREQLLALKNLAQLNDVH
+QQYKILDVMLKGLFKVLEDSRTVLTAAGVLPDGPFPQDEKLKDAFSQVVENTAFFGDVVL
+RFPRIVHHYFDHNSNWNLLIRWGISFCNQSGVFDQGPHSPILGLMAQELGISEKHSDFQN
+PFKVDRTEFISSTDPFQKALREEEKRRKKEEKRKEIRKGPRISRSQSEL
+>tr|A6QQF2|A6QQF2_BOVIN SLC7A10 protein OS=Bos taurus OX=9913 GN=SLC7A10 PE=2 SV=1
+MAGHTQQPSGRGNPGPAPSPSPGRGPGPGASERVALKKEIGLVSACTIIIGNIIGSGIFI
+SPKGVLEHSGSVGLALFVWVLGGGITALGSLCYAELGVAIPKSGGDYAYVTEIFGGLAGF
+LLLWSAVLIMYPTSLAVISMTFSNYVLQPVFPNCIPPAAASRVLSMACLMLLTWVNSSSV
+RWATRIQDVFTGGKLLALSLIIGVGFVQIFQGHFEELRPSNAFDFWMTPSVGHLALAFLQ
+GSFAFSGWNFLNYVTEELVDPRKNLPRAIFISIPLVTFVYTFTNVAYFTAMSPQELLASN
+AVAVTFGEKLLGYFSWVMPVSVALSTFGGINGYLFTSSSVGPRRSSCSWETRTRSSTTCP
+SSTTSATASPSWACSCCAGGGQRSTGPSR
+>tr|A4IFS9|A4IFS9_BOVIN TMEM107 protein OS=Bos taurus OX=9913 GN=TMEM107 PE=2 SV=1
+MGRISGLVPSRFLTLLAHLVVVITLFWSRDSNIQACLPLKFTPEEYEKQDIQLVAALSVT
+LALFAVELAGFFLGVSMFNSTQSLISIGAHCGASVALSFFIFERWECTTYWYIFVFCSAL
+PAVTEITLFISVFGLKKKPF
+>tr|A7YWC2|A7YWC2_BOVIN CRY1 protein OS=Bos taurus OX=9913 GN=CRY1 PE=2 SV=1
+MGVNAVHWFRKGLRLHDNPALKECIQGADTIRCVYILDPWFAGSSNVGINRWRFLLQCLE
+DLDANLRKLNSRLFVIRGQPADVFPRLFKEWNITKLSIEYDSEPFGKERDAAIKKLATEA
+GVEVIVRISHTLYDLDKIIELNGGQPPLTYKRFQTLISKMEPLEIPVETITSEVIEKCTT
+PLSDDHDEKYGVPSLEELGFDTDGLPSAVWPGGETEALTRLERHLERKAWVANFERPRMN
+ANSLLASPTGLSPYLRFGCLSCRLFYFKLTDLYKKVKKNSSPPLSLYGQLLWREFFYTAA
+TNNPRFDKMEGNPICVQIPWDKNPEALAKWAEGRTGFPWIDAIMTQLRQEGWIHHLARHA
+VACFLTRGDLWISWEEGMKVFEELLLDADWSINAGSWMWLSCSSFFQQFFHCYCPVGFGR
+RTDPNGDYIRRYLPVLRGFPAKYIYDPWNAPEGIQKVAKCLIGVNYPKPMVNHAEASRLN
+IERMKQIYQQLSRYRGLGLLASVPSNPNGNGGLMGYSPGENIPGCSSNASCTQGSGILHY
+AHGDSQQTHLLKQGRSSTGAGLGSGKRPSQEEDTQSIGPKVQRQSTN
+>tr|Q1RMG6|Q1RMG6_BOVIN G protein-coupled receptor 176 OS=Bos taurus OX=9913 GN=GPR176 PE=2 SV=1
+MGHNGSWISRNASEPRNASSAEVAGANRSALGEFGEAQLYRQFTTTVQVVIFIGSLLVLV
+TCIGFKNS
+>tr|A7E3T7|A7E3T7_BOVIN S-adenosylmethionine synthase OS=Bos taurus OX=9913 GN=MAT2A PE=1 SV=1
+MNGQLNGFHDAFIEEGTFLFTSESVGEGHPDKICDQISDAVLDAHLQQDPDAKVACETVA
+KTGMILLAGEITSRAAVDYQKVVRETIKHIGYDDSSKGFDYKTCNVLVALEQQSPDIAQG
+VHLDRNEEDIGAGDQGLMFGYATDETEECMPLTIVLAHKLNAKLAELRRNGTLPWLRPDS
+KTQVTVQYMQDRGAVLPIRVHTIVISVQHDEEVCLDEMRDALKEKVIKAVVPAKYLDEDT
+IYHLQPSGRFVIGGPQGDAGLTGRKIIVDTYGGWGAHGGGAFSGKDYTKVDRSAAYAARW
+VAKSLVKGGLCRRVLVQVSYAIGVSHPLSISIFHYGTSQKSERELLEIVKKNFDLRPGVI
+VRDLDLKKPIYQRTAAYGHFGRDSFPWEVPKKLKY
+>tr|A6QQP3|A6QQP3_BOVIN CCDC90A protein OS=Bos taurus OX=9913 GN=MCUR1 PE=2 SV=1
+MDCGSIAAERPKCPPSRRRLLPLLAAGRVGGPGGRGNPARRLLPALPGGPGALRPGAQAA
+RGGAARASPLLLLLLVPCPRRAAAASRRPPADWERPRAGPPAPPGPPAGRGGVWRSQPGL
+APGLAGAAGALPRCLGRVAALASSRRELSLSAGNLQLDSRRREFTSSGNRKLYFDTHALV
+CVLEENGFTTQQAEVVVSALIKIMEANMDIIYKDMVTKMQQEITLQQIMSQIANVKKDMI
+ILEKSEFSALRSENEKIKLELHRLKQQVMDEVIKVRTDTKLDFNLEKSRVKELYSLNERK
+LLEIKTEMVSLHAQQDRAVTQTDRKIDTEVAGLKTMLESHKLDNIKYLAGSVFTCLTVAL
+GFYRLWI
+>tr|A6QPK5|A6QPK5_BOVIN TCF7L2 protein OS=Bos taurus OX=9913 GN=TCF4 PE=2 SV=1
+MFSPPVSSGKNGPTSLASGHFTGSNVEDRSSSGSWGSGGHPSPSRNYGDGTPYDHMTSRD
+LGSHDNLSPPFVNSRIQSKTERGSYSSYGRESNLQGCHQQSLLGGDMDMGNPGTLSPTKP
+GSQYYQYSSNNPRRRPLHGSTLEVQTKKVRKVPPGLPSSVYAPSASTADYNRDSPGYPSS
+KPAASTFPSSFFMQDGHHSSDPWSSSSGMNQPGYGGMLGNSSHIPQSSSYCSLHPHERLG
+YPSHSSADINSSLPPMSTFHRSGTNHYSSSSCTPPANGTDSIMANRGSGAAGSSQTGDAL
+GKALASIYSPDHTNNSFSSNPSTPVGSPPSLSAGTAVWSRSGGQASSSPNYEGPLHSLQS
+RIEDRLERLDDAIHVLRNHAVGPSTAMPGGHGDMHGIIGPSHNGAMGGLASGYGTGLLSA
+NRHSLMVGAHREDGVALRGSHSLVPNQVPVPQLPVQSATSPDLNPPQDPYRGMPPGLQGQ
+SVSSGSSEIKSDDEGDENLQDTKSSEDKKLDDDKKDIKSITRSRSSNNDDEDLTPEQKAE
+REKERRMANNARERLRVRDINEAFKELGRMVQLHLKSDKPQTKLLILHQAVAVILSLEQQ
+VRERNLNPKAACLKRREEEKVSSEPPPLSLAGPHPGMGDASNHMGQM
+>tr|A4FUW5|A4FUW5_BOVIN LEO1 homolog, Paf1/RNA polymerase II complex component OS=Bos taurus OX=9913 GN=LEO1 PE=2 SV=1
+MADMEDLFGSDADSDPERKDSDSGSGSDSDQENVASGSNASGSESDQDERDDAGKPSNKE
+LFGDDSEDEGASHHSGSDNHSEGSDNRSEASEHSDHEDNDPSDVDQHSGSETRNDNDDED
+EGHRSDGGSHHSEAEGSEKAHSDDEKWGREDKSDQSDDEKIQNSDDEERAQGSDEDKLQN
+SDDDEKMQNTDDEERPQLSEDERQQLSEEEKANSDDERPVASDNDDEKQNSDDEERPQIS
+DEEKMQNSDDERPQPSDEEHRHSDDEEEQDHKSESARGSDSEDEVLRMKRKNAIASDSEA
+ESDTEVPKDNSGTMDLFGGADDISSGSDGEDKPPTPGQPVDENGLPQDQQEEEPIPETRI
+EVEIPKVNTDLGNDLYFVKLPNFLSVEPRPFDPQYYEDEFEDEEMLDEEGRTRLKLKVEN
+TIRWRIRRDEEGNEIKESNARIVKWSDGSMSLHLGNEVFDVYKAPLQGDHNHLFIRQGTG
+LQGQAVFKTKLTFRPHSTDSATHRKMTLSLADRCSKTQKIRILPMAGRDPECQRTEMIKK
+EEERLRASIRRESQQRRMREKQHQRGLSASYLEPDRYDEEEEGG
+>tr|A6QLV1|A6QLV1_BOVIN Sad1 and UNC84 domain containing 2 OS=Bos taurus OX=9913 GN=SUN2 PE=2 SV=1
+MSRRSQRLTRYSSQGEDDGGSSSSGGSSVMGSQSTLFKDSPLRTLKKKTNNSKRLSPAPQ
+LGPSSDTHTYYSESVVRESYIGSPRAASIAASLTRHSLLDDPYWSEDLRVRRRRGTGGTD
+SSKPNGLPENKLSEDFLGSSSGYSSEDDYVGYSETDHQGSGSRLRNAVSRVGSLLWMVVT
+SPGRLFGLLYWWIGTTWYRLTTAASLLDVFVLTRRFSSLKMFLWFLLLLLLLTGLTYGAW
+YFYPFGLQTLHPAVVSWWASKGSIGQREVWESRDSSPHFQAEQRILSRVHSLERRLDALA
+AEFSSSWQKEAMRLERLELQQGAGGQGGGGGGLSHEDTLALLEGLVSRREAALKEDLRRD
+TAARIQEELVTLRSEHQQDSEDLFKKIVQASQESEARLQQLKSEWQSRMTQESFQENAMK
+ELGRLEGQLAGLRQELAALTLKQSLVEDQVGLLPQQLQAVRDDVESQFPAWVSQFLLRGG
+GTRTGLLQQEEMEAQLRDLESRILTHVAEMQGKSAREAVASLGLTLQREGVIGVTEEQVH
+RIVNQALKRYSEDRIGMVDYALESGGASVISTRCSETYETKTALLSLFGIPLWYHSQSPR
+VILQPDVHPGNCWAFQGPQGFAVVRLSARIRPTAVTLEHVPKSLSPNSTISSAPKDFAIF
+GLDEDLQQEGTPLGQFTYDQDGEPIQTFYFQDPKMATYQVVELRILTNWGHPEYTCIYRF
+RVHGEPAH
+>tr|Q32S38|Q32S38_BOVIN Glutamate-cysteine ligase catalytic subunit OS=Bos taurus OX=9913 GN=GCLC PE=1 SV=1
+MGLLSQGSPLSWEETQRHADHVRRHGILQFLHIYHAVKDRHKDVLKWGDEVEYMLVSFDH
+EKKKAQLVLSGEELLETLQEKGERTNPNHPTLWRPEYGSYMIEGTPGQPYGGTMSEFNTV
+EDNMRKRRKEATSLLGENQALCTITSFPRLGCPGFTLPEFKPNPVEGGASKSLFFPDEAI
+NKHPRFSTLTRNIRHRRGEKVVINVPTPHLPKDHPGPAQVTFQACSISEARYLYDQLATI
+CPIVMALSAASPFYRGYVSDIDCRWGVISASVDDRTREERGLEPLKNNHYRISKSRYDSI
+DSYLSECGEKYNDIDLTKDKEIYEQLLQEGLDHLLAQHVAHLFIRDPLTLFEEKIHLDDA
+NESDHFENIQSTNWQTMRFKPPPPNSDIGWRVEFRPMEVQLTDFENSAYVVFVVLLTRVI
+LSYKLDFLIPLSKVDENMKVAQKRDAVLQELFYFRKDICKGGNAVVDGCGKAAGRAEPAA
+EEYALMTIDTIINGKEGVFPGLIPVLNSYLESMEVDVDTRCSILNYLKLIKKRASGELMT
+VAKWMREFIAKHPDYKQDSVITDQMNYSLLLKCNQIANESCECPELLGPAFRKVRYSGSK
+TDSST
+>tr|A6QQT5|A6QQT5_BOVIN FACT complex subunit SSRP1 OS=Bos taurus OX=9913 GN=SSRP1 PE=2 SV=1
+MAETLEFNDVYQEVKGSMNDGRLRLSRQGIIFKNSKTGKVDNIQAGELTEGIWRRVALGH
+GLKLLTKNGHVYKYDGFRESEFEKLSDFFKTHYRLELMEKDLCVKGWNWGTVKFGGQLLS
+FDIGDQPVFEIPLSNVSQCTTGKNEVTLEFHQNDDAEVSLMEVRFYVPPTQEDGVDPVEA
+FAQNVLSKADVIQATGDAICIFRELQCLTPRGRYDIRIYPTFLHLHGKTFDYKIPYTTVL
+RLFLLPHKDQRQMFFVISLDPPIKQGQTRYHFLILLFSKDEDISLTLNMNEEEVEKRFEG
+RLTKNMSGSLYEMVSRVMKALVNRKITVPGNFQGHSGAQCITCSYKASSGLLYPLERGFI
+YVHKPPVHIRFDEISFVNFARGTTTTRSFDFEIETKQGTQYTFSSIEREEYGKLFDFVNA
+KKLNIKNRGLKEGMNPSYDEYADSDEDQHDAYLERMKEEGKIREENANDSSDDSGEETDE
+SFNPGEEEEDVAEEFDSNASASSSSNEGDSDREEKKRKQLKKAKMAKDRKSRKKPLEVKK
+GKDPNAPKRPMSAYMLWLNASREKIKSDHPGISVTDLSKKAGEIWKGMSKEKKEEWDRKA
+EDARREYEKAMKEYEGGRGESSKRDKSKKKKKVKVKMEKKSTPSRGSSSKSSSRQLSESF
+KSKEFVSSDESSSGENKGKKKRRRSEDSEEEELASTPPSSEDSASGSDE
+>tr|Q3ZBC9|Q3ZBC9_BOVIN LIM domain binding 3 OS=Bos taurus OX=9913 GN=LDB3 PE=2 SV=1
+MSYSVTLTGPGPWGFRLQGGKDFNMPLTISRITPGSKAAQSQLSQGDLVVAIDGVNTDTM
+THLEAQNKIKSASYNLSLTLQKSKRPIPISTAAPPIQSPLPVIPHQKDPALDTNSSLAAA
+SPHPEARAGPGTPGTPELGQIFSSSFSQTPVFSSHTEASDPGPPRGGPGAKISLEGALDS
+LSPKAPLGSSQPRQYNNPIGLYSAETLREMAQMYQMSRRGKASGAGLLGGSLPIKDLTVD
+SASPVYQAVIKNQNKLEDEADDWARRSSNLQSRSFRILAQMTGTEYMQDPDEEALRRSRE
+RFETERNSPRFAKLRNWHHGLSAQILNVKS
+>tr|F1N1B0|F1N1B0_BOVIN Methyltransferase like 27 OS=Bos taurus OX=9913 GN=METTL27 PE=4 SV=3
+MAEGRSLPEVRALVGASHGITDLAHKLHFYDQWAPNYDQDVAALQYRAPRLAVDCLMQAL
+PGPHHAAPILDAACGTGLVAAELQARGFHQLHGVDGSPGMLDQARARGLYQCLNLCILGQ
+EPLPSAEGTFDAVLMVGALSDGQVPCSAIPELLRVTKPGGLVCLTTRTNPSNLRYKEVLE
+ATLDRLEQAGAWERLVARPVDQWELATSELEVRPGTSDSDGFISGIIYLYRKQAAAQAEG
+GRPGA
+>tr|Q58DD3|Q58DD3_BOVIN DnaJ (Hsp40) homolog, subfamily B, member 2 OS=Bos taurus OX=9913 GN=DNAJB2 PE=2 SV=1
+MASYYEILDVPRSASADDIKKAYRKKALQWHPDKNPDNKEFAEKKFKEVAEAYEVLSDKH
+KREIYDLYGREGLTGAGTGPSRAEAGSGGPGFTFTFRSPEEVFREFFGSGDPFAELFDDL
+GPFSELQNRGSRHSGPFFTFSSSFPGHSDFSSSSFSFSPGAGAFRSVSTSTTFVQGRRIT
+TRRIMENGQERVEVEEDGQLKSVTINGIPDDLALGLELSRREQQQSVTSRSGATQVRQTP
+VSRPLDGSLSEEDEDLQLAMAYSLSEMEAAGKKPADVF
+>tr|A4IF92|A4IF92_BOVIN C-C motif chemokine receptor 9 OS=Bos taurus OX=9913 GN=CCR9 PE=2 SV=1
+MVPTEATSLIPNLSDDYGYDGTLPMEYDGNFTDYFCEKSHVRQFAGHFLPPLYWLVFIVG
+GVGNSLVILVYWYCTRVKTMTDMFLLNLAIADLLFLATLPFWAIAAADQWKFQTFMCKVV
+NSMYKMNFYSCVLLIMCISVDRYIAIAQAMRAQMWRQKRLLYSKMVCFTIWVTAAALCLP
+ELLYSQVKEEHGIAICTMVYSSDDSTKLKSAVLTLKVILGFFLPFVVMACCYTIIIHTLI
+QAKKSSKHKALKVTITVLTVFVLSQFPHNCVLLVQTIDAYAMFISSCALSIKIDICFQVT
+QTVAFFHSCLNPVLYVFVGERFRRDLVKTLKNLGCISQAQWVSFTRREGSLKLSSMLLET
+TSGALSF
+>tr|A0A3Q1LS67|A0A3Q1LS67_BOVIN Enoyl-CoA hydratase 1 OS=Bos taurus OX=9913 GN=ECH1 PE=1 SV=1
+MAAAFAAVRRFGNLLIPRLTASSNLGLNLRPMSAFTQEEASKASPEEAPGHSYESLRVTA
+AQKHVLHVQLNRPEKRNAMNKAFWREMVVCFNKIAEDSDCRVVVISGAGKMFSSGIDLMD
+MASDLLQPTGDDSARISWHLHSLLTRYQETFSVIEKCPKPVIAAIHGGCIGGGVDLITAC
+DIRYSTRDSFFQVKEVDVGLAADVGTLQRLPKVIGNQSLVNELAYTARKMMADEALESGL
+VSRLFPDKESMLDAAFTLAAEISSKSPVAVQSTKINLIYSRDHSVMESLNYMKSWNMSML
+QTKDIMKSVQAAIEKKDLKTVSFSKL
+>tr|Q1JPJ6|Q1JPJ6_BOVIN Protein-serine/threonine kinase OS=Bos taurus OX=9913 GN=PDK2 PE=2 SV=1
+MKEINLLPDRVLSTPSVQLVQSWYVQSLLDIMEFLDKDPEDHRTLSQFTDALVTIRNRHN
+DVVPTMAQGVLEYKDTYGDDPVSNQNIQYFLDRFYLSRISIRMLINQHTLLFDGRTNPAH
+PKHIGSIDPNCNVSEVVKDAYDMAKLLCDKYYMASPDLEIQEINASNSKQPIHMVYVPSH
+LYHMLFELFKNAMRATVESHESSVTLPPVKVMVALGEEDLSIKMSDRGGGVPLRKIDRLF
+SYMYSTAPTPQPGTGGTPLAGFGYGLPISRLYAKYFQGDLQLFSMEGFGTDAVIYLKALS
+TDSVERLPVYNKSAWRHYQTIQEAGDWCVPSTEPKNTSTYRVS
+>tr|Q1LZD7|Q1LZD7_BOVIN Beta-1,3-N-acetylglucosaminyltransferase OS=Bos taurus OX=9913 GN=MFNG PE=2 SV=2
+MQCRLLRGLAGVLLTLLCMGLLSLRYRSSPSPQRVPDTPELSPPSPRPSELQLHDVFIAV
+KTTQAFHHSRLELLLNTWVSRTREQTFIFTDSPDEGLQERLGSHLVVTNCSAEHSHPALS
+CKMAAEFDAFLASGLRWFCHVDDDNYLNPRALLKLLKTFPQTRDVYVGRPSLNRPIHASE
+PQPHNRTKLVQFWFATGGAGFCINRKLALKMAPWASGSHFMDTSALIRLPDDCTVGYIVE
+CKLGGHLQSCPLFHSHLETLQLLEAAQLPEQVTLSYGVFEGKLNVIKLHGPFSPEEDPSR
+FHSLHCLLYPDTPWCPQLVA
+>tr|A6QLQ1|A6QLQ1_BOVIN Protein sprouty homolog 1 OS=Bos taurus OX=9913 GN=SPRY1 PE=2 SV=1
+MDPQNQHGSGSSLVVIQQPALDNRQRLDYEREIQPAAILSLDQIKAIRGSNEYTEGPSVV
+KRPAPRTTPRQEKHERTHEIIPINVNNNYEHRPTSHLGHAGLSNNTRGPILSRSTSTGSA
+ASSGSNSSASSEQGLLGRSPPTRPIPGHRSERAIRTQPKQLIVDDLKGSLKEDLTQHKFI
+CEQCGKCKCGECTAPRTLPSCLACNRQCLCSAESMVEYGTCMCLVKGIFYHCSNDDEGDS
+YSDNPCSCSQSQCCSRYLCMGAMSLFLPCLLCYPPAKGCLKLCRGCYDWIHRPGCRCKNS
+NTVYCKLESCPSRGLGKPS
+>tr|A0A3Q1LX68|A0A3Q1LX68_BOVIN Forkhead box N3 OS=Bos taurus OX=9913 GN=FOXN3 PE=4 SV=1
+MGPVMPPSKKPESSGISVSSGLSQCYRGSGFSKALQEDDDLDFPLPDIRLEEGAMEDEEL
+TNLNWLHESKNLLKSFGDSVLRSVSPVQDPDDDPPPSPAHSDVPYDARQNPNCKPPYSFS
+CLIFMAIEDSPTKRLPVKDIYNWILEHFPYFANAPTGWKNSVRHNLSLNKCFKKVDKERS
+QSIGKGSLWCIDPEYRQNLIQALKKTPYHPHSNVFNTPPASPQAYQSTSGPPLWPGSTFF
+KRNGALLQDPDIDAATAMMLLNTPPEIHAGFPPGVIQNGTRVLSRGLFPGVRPLPVTPIG
+MTAAVRNGLPGCRLRTESEPACGSPVVSGDPKEDHTYSSAKAAAARSASPASDCASSSSA
+DEPDEVAAKGGPEGSEGSCPSRESHSEPEEDGRPRGPREAPGDGGPAHKKRPPAAKARKV
+PSDTLPLKKRRTEKPPESDDEEMKEAAGSLLHLAGIRSCLNNITNRTAKGQKEQKETAKN
+>tr|F1MET1|F1MET1_BOVIN Progestin and adipoQ receptor family member 6 OS=Bos taurus OX=9913 GN=PAQR6 PE=4 SV=3
+MLSLKLPQLLRVHQVPRVFWEDGIMSGYRRPTSSALDCVLSSFQMTNETVNIWTHFLPTW
+YFLWRLLALAAGLGFRSEPYHWPLLVFLLPACLYPFASCCAHTFSSMSPRVRHICYFLDY
+GALSLYSLGCAFPYAAYSMPASWLHSRLHQLFVPAAALNSFLCTGLSCYSRFPELESPGL
+SKILRSAAFIYPFLFDNLPLFYRLGLCWGRGRSCGQEALSTSHGYHLFCALLTGFLFASH
+LPERLAPGRFDYIGHSHQLFHICAVLGTHFQLEAVLTDMGSRRAWLATQEPPLGLAGTVA
+TLGLAVAGNLLIIAAFTASLFRAPSTCTLLQGGSLERGTKAKLQ
+>tr|A0A3Q1M467|A0A3Q1M467_BOVIN Ring finger protein 180 OS=Bos taurus OX=9913 GN=RNF180 PE=4 SV=1
+MKRSKELVTKNHNQEDISILRCWKCRKCIASSGCFMEYLENQVTKNTNDSADDENICHVW
+HMNIEALPEWIHCLIQKAQWTVGKLNCPFCGARLGGFNFVSTPKCSCGQLAAVHLSKSRT
+DYQPTRSGRLMRPSLKYLSHPRVQSGCDKETLLTGGASRNRNHRFLNMGPNNNGPGRLTE
+ALCLEVRSTYFKMKNEKLLFKASDPKYQLFVPQLVTTRCAPRAFHRKSHSLDLSISEKLT
+LLPTLYEIHSKTTVYPGLNETQTVDLPSLPLESNKNNCSFQISSSFDPNMLLQRFSVAPR
+ETQTQRGGEFQRGLEASAVYSGHGSPNSLTFLMDLPAAGRSTLEAEDQEEHLSPLNFLHS
+GSFSLGAINQRLSKREKSKLKNLRRKQRRHERWLQKQTLDNEMSTDDDNEYAEEKESYIC
+AVCLDVYFNPYMCYPCHHIFCEPCLRTLAKDNPASTPCPLCRTIISRVFFQTELNNATKT
+FFTKEYLKRKQSFQKSSSAKWPLPNCRKAFHLFGGFHRRAAPVTRRQFPHGAHRMDYLHF
+EDDSRGWWFDMDMVIIYIYSVNWVIGFIVFCFLCYFFFPF
+>tr|F1N2L7|F1N2L7_BOVIN Cysteine rich secretory protein 2 OS=Bos taurus OX=9913 GN=CRISP2 PE=3 SV=1
+MALLPVVLFLTAVLLPSFPTEGKDPSFTALITTQTQVQREIVNKHNELRKSVSPPASNML
+KMEWSREVTANAQKWANKCTLEHSSPNERKTSTKCGENLYMSSDPTAWSDAIQSWFNEHH
+DFIYGSGPKSTGAIVGHYTQLVWYSSFRVGCGIAYCPNQESLKYYYVCQYCPAGNNVSKK
+NTPYQQGAPCGSCPGNCDNGLCTNTCEYEDLLSNCDSLKKTAGCEHELLKEKCKATCLCE
+GKIY
+>tr|A5PK83|A5PK83_BOVIN CYP4A22 protein OS=Bos taurus OX=9913 GN=CYP4A22 PE=2 SV=1
+MSVSVLSPTRALGGVSGLLQVVSLLGLVLLLLKAAQLYLRRQWLLKALHQFPSPPSHWFY
+GHKKEFQKESELPPLLERVEKYPKACVRWLWGTKALVLVYDPDYMKVFLGRSDPKPHRTY
+KYLAPWIGTGLLLLEGQKWFQHRRMLTPAFRYDILKAYVGIMADSVRVMLDKWEELVSQD
+SHLEIFGHVSLMTLDTIMKCAFSHQGSVQMDRSSQSYIQAIRDLSHLIVSRLRNAFHQND
+LIYRLTPEGRWNHRACQLTHQHTDAVIKERKAHLQKEGELEKVRSRRHLDFLDILLLARM
+ENGSSLSDEDLRAEVDTFMFEGHDTTASGISWILYALASHPEHQQRCREEIQSLLGDGAS
+ITWDHLDQMRYTTMCIKEAMRLYPPVPFIGRELRKPITFPDGRSLPAGILVSLSFYGLHH
+NPNVWPNPEVFDPTRFSPGSTQHSYAFLPFSGGSRNCIGKQFAMNELKVAVALTLLRFEL
+SPDPSRVPVPTPIMVLRSKNGIHLQLRKLSDPGLL
+>tr|F1N2H2|F1N2H2_BOVIN SR-related CTD associated factor 11 OS=Bos taurus OX=9913 GN=SCAF11 PE=4 SV=2
+MKKKTIYTLNVGDQDYEDMQGEENKDNTATTGVLYSEADRCPICLSCLLEKEIGFPESCN
+HVFCLTCILKWAETLPSCPIDRKPFQAVVKFSVSEGCVKVQVKRQLRETKDKKNESSFKK
+QLSCQENSKSCMRKKIIGEDLLSVKFYDLKMIHRNSKYSEMGGKKNSIIKTNKPRRSNPY
+TNQCFRNFFSNVFSSSSHTGESSFSRTAYCTEFIEVNEISALIRQKRQELELSWFPDTLP
+GIGRISFIPWNIEIEVLPLISSVLPRTILPTSTISLENFGTSCKGYTLAHTQEGEEKKQT
+SGTSNTRGSRRKPAAATPTRRSTRNTRAEPVSQSQRSPVSNVSGCDAPDNNNPSVSVSSS
+GESEKQTRQAPKRKSVRRGRKLPLLKKKLRSSVPPPEKSSSSDSVDEEVAEPDIPPELEK
+EHQSDVESINTVQINIESESANGLRSCSEPLKESEECTETHDTEERVETLHSESDTQDPP
+VLLGGEEEVQKVENTSIEANVLCLESEISASTSKKGSDPLENQDPIAEPSESEIKADICI
+DHVLNDSLTCSGSEIEVYQSASNLCELPENAEPIVNEEKVMESPIVKIIDPRDSTVKTEQ
+LIDSPKLESSEGGVIQAVDTKSIESSEVLLLGHVENEDAEIIATCDTSGNETLSSIQDSE
+NSLFKKNLNTKLDKSLEEKTESLVEYPRSTELPKTHLALIQKHFSEDNNEMIPMECDSFC
+SDQNESEIEPSVNADAKQVNENSVEHSSQKNMSSSDPANEKVETVSQPSENPVDATDKAK
+KPRTRRSRFHSPSTTWSPNKDTAREKKRSQSPSPKRETGKDSRKSRSPSPKKESVRGRRK
+SRSQSPKKDSARERRRSQSRSPKRDSAKEGKRSESLSPKRENRRSQSRVKDSSPREKSRS
+QSRERESDRDGSRRDRDRERRMRRWSRSRSRSRSPSRSRTKSKGSSFGRNDRDSYSPRWK
+ERWANDGWRCPRGNDRYRKSDSEKQNENTRKEKNDINTDADDSNSADKHRNDCPTWVTEK
+INSGPDPRIRNPEKLKDSHWEENRNENSGNSWNKNFSSAWMSNRGRGNRGRGNHRGGFAY
+TDQNENRWQNRKPLSGNSNSSGNETFKFVEQQPYKRKSEQEFSFDTPADRSGWTSASSWA
+VRKTLPADVQNYYSRRGRSSSGPQSGWMRQDEETAEQESNLKDQTNQQGDGSQLPMNMMQ
+PQMNAMQQHMSAHQPVNMFPYPVGVPAPLMNIQRSPFSIHPQLPLHLHTGVPLMQVAAPG
+GVSQGLPPPPPPPPPSQQVGYIASQPDGKQLQGIPSASHVSNNMSTPVLPAPTAAPGNMG
+TVQGPSSGNTASSSHSKASNAAVKLAESKVSVTVEASADSSKTDKKLQIQEKAAQEVKLA
+IKPFYQNKDITKEEYKEIVRKAVDKVCHSKSGEVNSTKVANLVKAYVDKYKYSRKGSQKK
+TLEEPMSADKNMC
+>tr|G3MZY8|G3MZY8_BOVIN DNA-directed RNA polymerase subunit OS=Bos taurus OX=9913 GN=POLR2A PE=1 SV=2
+MHGGGPPSGDSACPLRTIKRVQFGVLSPDELKRMSVTEGGIKYPETTEGGRPKLGGLMDP
+RQGVIERTGRCQTCAGNMTECPGHFGHIELAKPVFHVGFLVKTMKVLRCVCFFCSKLLVD
+SNNPKIKDILAKSKGQPKKRLTHVYDLCKGKNICEGGEEMDNKFGVEQPEGDEDLTKEKG
+HGGCGRYQPRIRRSGLELYAEWKHVNEDSQEKKILLSPERVHEIFKRISDEECFVLGMEP
+RYARPEWMIVTVLPVPPLSVRPAVVMQGSARNQDDLTHKLADIVKINNQLRRNEQNGAAA
+HVIAEDVKLLQFHVATMVDNELPGLPRAMQKSGRPLKSLKQRLKGKEGRVRGNLMGKRVD
+FSARTVITPDPNLSIDQVGVPRSIAANMTFAEIVTPFNIDRLQELVRRGNSQYPGAKYII
+RDNGDRIDLRFHPKPSDLHLQTGYKVERHMCDGDIVIFNRQPTLHKMSMMGHRVRILPWS
+TFRLNLSVTTPYNADFDGDEMNLHLPQSLETRAEIQELAMVPRMIVTPQSNRPVMGIVQD
+TLTAVRKFTKRDVFLERGEVMNLLMFLSTWDGKVPQPAILKPRPLWTGKQIFSLIIPGHI
+NCIRTHSTHPDDEDSGPYKHISPGDTKVVVENGELIMGILCKKSLGTSAGSLVHISYLEM
+GHDITRLFYSNIQTVINNWLLIEGHTIGIGDSIADSKTYQDIQNTIKKAKQDVIEVIEKA
+HNNELEPTPGNTLRQTFENQVNRILNDARDKTGSSAQKSLSEYNNFKSMVVSGAKGSKIN
+ISQVIAVVGQQNVEGKRIPFGFKHRTLPHFIKDDYGPESRGFVENSYLAGLTPTEFFFHA
+MGGREGLIDTAVKTAETGYIQRRLIKSMESVMVKYDATVRNSINQVVQLRYGEDGLAGES
+VEFQNLATLKPSNKAFEKKFRFDYTNERALRRTLQEDLVKDVLSNAHIQNELEREFERMR
+EDREVLRVIFPTGDSKVVLPCNLLRMIWNAQKIFHINPRLPSDLHPIKVVEGVKELSKKL
+VIVNGDDPLSRQAQENATLLFNIHLRSTLCSRRMAEEFRLSGEAFDWLLGEIESKFNQAI
+AHPGEMVGALAAQSLGEPATQMTLNTFHYAGVSAKNVTLGVPRLKELINISKKPKTPSLT
+VFLLGQSARDAERAKDILCRLEHTTLRKVTANTAIYYDPNPQSTVVAEDQEWVNVYYEMP
+DFDVARISPWLLRVELDRKHMTDRKLTMEQIAEKINAGFGDDLNCIFNDDNAEKLVLRIR
+IMNSDENKMQEEEEVVDKMDDDVFLRCIESNMLTDMTLQGIEQISKVYMHLPQTDNKKKI
+IITEDGEFKALQEWILETDGVSLMRVLSEKDVDPVRTTSNDIVEIFTVLGIEAVRKALER
+ELYHVISFDGSYVNYRHLALLCDTMTCRGHLMAITRHGVNRQDTGPLMKCSFEETVDVLM
+EAAAHGESDPMKGVSENIMLGQLAPAGTGCFDLLLDAEKCKYGMEIPTNIPGLGAAGPTG
+MFFGSAPSPMGGISPAMTPWNQGATPAYGAWSPSVGSGMTPGAAGFSPSAASDASGFSPG
+YSPAWSPTPGSPGSPGPSSPYIPSPGGAMSPSYSPTSPAYEPRSPGGYTPQSPSYSPTSP
+SYSPTSPSYSPTSPNYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSP
+TSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPS
+YSPTSPNYSPTSPNYTPTSPSYSPTSPSYSPTSPNYTPTSPNYSPTSPSYSPTSPSYSPT
+SPSYSPSSPRYTPQSPTYTPSSPSYSPSSPSYSPTSPKYTPTSPSYSPSSPEYTPTSPKY
+SPTSPKYSPTSPKYSPTSPTYSPTTPKYSPTSPTYSPTSPVYTPTSPKYSPTSPTYSPTS
+PKYSPTSPTYSPTSPKGSTYSPTSPGYSPTSPTYSLTSPAISPDDSDDEN
+>tr|Q2TBG4|Q2TBG4_BOVIN XPA, DNA damage recognition and repair factor OS=Bos taurus OX=9913 GN=XPA PE=2 SV=1
+MAAAGEASSEPAASEQPTELSASVRASIERKRQRALMLRQARLAARPYPATAAATTGGMA
+NVKAAPKIIDTGGGFILEEEEEEEHTVGKVVHQPGPVMEFDYVICEECGKEFMDSYLMNH
+FDLATCDNCRDADDKHKLITKTEAKQEYLLKDCDLEKREPALKFIVKKNPHHSQWGDMKL
+YLRLQIVKRSLEVWGSQEALEEAKEVRQKNREKMKQKKFDKKVKELRRAVRSSVWKKETA
+AHQHEYGAEEKLEDDMYRKTCTVCGHELTYEKM
+>tr|Q3T074|Q3T074_BOVIN NAD(P)(+)--arginine ADP-ribosyltransferase OS=Bos taurus OX=9913 GN=ART3 PE=2 SV=1
+MKTGHFEMVTLLLAPMILMDILQVKAEMLDMADNAFDDEYLKCANRMEIKYVPQLFKEEK
+ASLQLLQDVWENAEAKWETRKPQLFLPVNFKDNYGIALMAYISEAQEQSSFYHLFNEAME
+MAGQSRKDYVYGFQFKAFHFYLTKALQLLRRSCENSYKNVVYSVRLNTSFTFGGVNQARL
+GHFTLAYSSKPQATDDQHVLLTIKTCFGVAVEKFFDKESEGIVLIPLNEVFHVSQDEAGN
+NLILQSTNKTCSHYECAFLGGLKTESCVENMDYFQPVYNPGEENQKLENPEMKSQEPTVF
+PGMKSQEATQIPGMKIPESFSLPGIKMLQPDEKPEDKSQDIKQPTPAPAVPVPGPKSHPS
+ASSGKMLLPQCGTFIILISVSAINLGRSVV
+>tr|Q0IIF3|Q0IIF3_BOVIN AARSD1 protein OS=Bos taurus OX=9913 GN=AARSD1 PE=2 SV=1
+MEFCVEDSTDVHVLIEDHRIVFSCKNPDGVEFYNEIEFYAKVNCKDSQDKRSGRSITCFV
+RKWKEKVAWPRLTKEDIKPVWLSVDFDNWRDWEGEEEVELAQVEHYAELLKKVSTKGPPP
+AMDDLDDDSDSADATSN
+>tr|Q00KA9|Q00KA9_BOVIN LDL receptor related protein 4 OS=Bos taurus OX=9913 GN=LRP4 PE=2 SV=1
+MRRPCGALLLGALLCAHGLASSPECACGRSHFTCAVSALGECTCIPAQWQCDGDNDCGDH
+SDEDGCKLPTCSPLDFHCDNGKCIRRSWVCDGDNDCEDDSDEQDCPPRECEEDEFPCQNG
+YCIRSLWHCDGDNDCGDNSDEQCDMRKCSDKEFRCSDGSCIAEHWYCDGDTDCKDGSDEE
+SCPSAVPAPPCNLEEFQCAYGRCILDIYHCDGDDDCGDWSDESDCSSHQPCRSGEFMCDS
+GLCINAGWRCDGDADCDDQSDERNCTTSVCTAEQFRCRSGRCVRLSWRCDGEDDCADNSD
+EENCENTGSPQCASDQFLCWNGRCIGQRKLCNGVNDCGDNSDESPQQNCRPRTGEENCSV
+NNGGCAQKCQMVRGAIQCTCHTGYRLTEDGRTCQDVNECAEEGYCSQGCTNSEGAFQCWC
+EAGYELRPDRRSCKALGPEPVLLFANRIDIRQVLPHRSEYTLLLNNLENAIALDFHHRRE
+LVFWSDVTLDRILRANLNGSNVEEVVSTGLESPGGLAVDWVHDKLYWTDSGTSRIEVANL
+DGAHRKVLLWQNLEKPRAIALHPMEGTIYWTDWGNTPRIEASSMDGSGRRIIADTHLFWP
+NGLTIDYAGRRMYWVDAKHHVIERANLDGSHRKAVISQGLPHPFAITVFEDSLYWTDWHT
+KSINSANKFTGKNQEIIRNKLHFPMDIHTLHPQRQPAGKNRCGDNNGGCTHLCLPSGQNY
+TCACPTGFRKISSHACAQSLDKFLLFARRMDIRRISFDTEDLSDDVIPLADVRSAVALDW
+DSRDDHVYWTDVSTDTISRAKWDGTGQEVVVDTSLESPAGLAIDWATNKLYWTDAGTDRI
+EVANTDGSMRTVLLWENLDRPRDIVVEPMGGYMYWTDWGASPKIERAGMDASGRQVIISS
+NLTWPNGLAIDYGSQRLYWADAGMKTIEFAGLDGSKRKVLIGSQLPHPFGLTLYGERIYW
+TDWQTKSIQSADRLTGLDRETLQENLENLMDIHVFHRRRPPVSTPCATENGGCSHLCLRS
+PNPSGFSCTCPTGINVMPDGKTCSPGMNSFLIFARRIDIRMVSLDIPYFADVVVPINITM
+KNTIAIGVDPQEGRVYWSDSTLHRISRARLDGSQHEDLITTGLQTTDGLAVDAIGRKVYW
+TDTGTNRIEVGNLDGSMRKVLVWQNLDSPRAIVLYHEMGFMYWTDWGEHAKLERSGMDGS
+DRAVLINSNLGWPNGLTVDKASSQLLWADAHTERIEAADLNGANRHTLVSPVQHPYGLTL
+LDAYIYWTDWQTRSIHRADKGTGSNVILVRSNLPGLMDIQAVDRSQPLGVNKCGPRNGGC
+SHLCLPRPSGFSCACPTGIQLKGDGKTCDPAPETYLLFSSRGSIRRISLDTSDHTDVHVP
+VPELNNVISLDYDSVDGKVYYTDVFLDVIRRADLNGSNMETVIGRGLKTTDGLAVDWVAR
+NLYWTDTGRNTIEASRLDGSCRKVLINNSLDEPRAIAVFPRKGYLFWTDWGHIAKIERAN
+LDGSERKVLINTDLGWPNGLTLDYDTRRIYWVDAHLDRIESADLSGKLRQVLVSHVSHPF
+ALTQQDRWIYWTDWQTKSIQRVDKYSGRNKETVLANVEGLMDIIVVSPQRQTGTNACGVN
+NGGCTHLCFARTSDFVCACPDEPDGRPCSLVPGLVPPAPRATSMSDRSPVLPNTLPTTLR
+SSTTRTRTSLEEVEGRCSEKDARLGLCAHSNEAVPAAPGEGLHASYIIGGLLSVLLILVV
+IAALMLYRHKKSKLTDPGMGNLTYSNPSYRTSTQEVKIEATPKPAMYNQLCYKKEGGPDH
+NYTKEKVKIVEGICLLSGDDAEWDDLKQLRSSRGGLLRDHVCMKTDTVSIQASSGSLDDM
+ETEQLLQEEQSECSSVHTAATPERRGSLPDTGWRHERKLSSESQV
+>tr|E1BFC5|E1BFC5_BOVIN Family with sequence similarity 222 member B OS=Bos taurus OX=9913 GN=FAM222B PE=4 SV=1
+MLACLPGPGDLSFQLLSHTQMNTGLQKWDTTQKMRTAHYPTPAELDAYAKKVANNPLTIK
+IFPNSVKVPQRKHVRRTVNGLDTSAQRYSPYPTQAATRAGLLAIVKVPAKSILKDFDGTR
+ARLLPEAIMNPPVAPYATVAPSTLAHPQAQALARQQALQHAQTLAHAPPQTLQHPQGIPP
+PQALSHPQSLQQPQGLGHPQPMAQTQGLVHPQALSHQGLQHPPTPLLHGGRKMPDSDAPP
+NVTVSTSTIPLSMAATLQHSQPPDLSSIVHQINQFCQTRAGVSTTSVCEGQIANPSPISR
+SLLINASTRVSTHSVPTPMPSCVVNPMEHTHAASAALPPAGPVNLPAGLSRAPTGYPSDL
+KPVAWNQHQLAHLQQMCGEAGGTPAPGLTGKHAAGRELAGPGLVGKTPAYPQELCLAQSF
+HLKPPLEKPTPSPPVNGLAAPLAYPNGHYFQPLWNNILPTPNSDSSGSQDLAVPFHGGQP
+TGAPLDCAAVAAGAHFRAGTGGGPVASQNSLIQTVDYLSGDFQQACFREQSLAMLSKAHR
+APGNRAPDPTDSRSLHVQHPGYR
+>tr|Q1LZF5|Q1LZF5_BOVIN DDB1- and CUL4-associated factor 4 OS=Bos taurus OX=9913 GN=WDR21A PE=2 SV=1
+MHQSSWKSRRHRRRGHRHNAWFRPHGSNESYSCRKDAGVQQSPQGSSDGHGEAPSTSSTA
+GSSSVPDLPGYYFDPEKKRYFRLLPGHNNCNPLTKESIRQKEMERKRLRLLEEEEQQGKK
+IARLGFNASSLLQKSKLGFLNATSYCRLAQELRVSCMQRKKVQIQSSDPSALASDQFNLI
+MADTNSDRLFTVNDVKVGGSKYGIISLHGLKTPTFRVHMHENLYFTNRKVNAMCWASLNH
+LDSHVLLCLMGIAETPGCATLLPTSLFVSNHAAGDRPGMLCSFRIPGAWSCAWSLNIQAN
+NCFSTGLSRRVLVTSVVTGHRQSFGTSSDVLTQQFAVTAPLLFNGCRSGEIFAIDLRCQN
+QGKGWKATCLFHDSAVTSVQILQEEQCLMASDMAGTIKLWDLRTTKCIRQYEGHVNEYAH
+LPLHVHEEEGIMVAVGQDCYTRIWSLHDGQLLRTIPSPYPTSKADIPSVAFSSRLGGARG
+APGLLMAVRQDLYCFSYS
+>tr|A4FV69|A4FV69_BOVIN SERPINB5 protein OS=Bos taurus OX=9913 GN=SERPINB5 PE=1 SV=1
+MEALRLANSAFAVDLYKQLCEKEPADNILFSPICLSTSLSLAQVGAKGDTADEIGQVLHF
+ENVKDVPFGFQTVTSDVNKLSSFYSLKLIKRLYVEKSLNLSTEFISSTKRPYAKEMETVD
+FKDKLEETKGQINNSIKELTDGHFENILADNSVNDQTKILVVNAAYFVGKWMKKFPESET
+KECPFRINQTDTKPVQMMNMEATFCMGHIDGVNCKIIELPFQNKHLSMLILLPKDVEDGP
+TGLEQAVLRSA
+>tr|A7MBE2|A7MBE2_BOVIN SEC14 like lipid binding 1 OS=Bos taurus OX=9913 GN=SEC14L1 PE=2 SV=1
+MVQKYQSPVRVYKHPFELIMAAYERRFPTCPLIPMFVDSDTVNEFRSEDGAIHVIERRCK
+LDIDAPRLLKKIAGVDYVYFIQKNSLNSRERTLHIEAHNETFSNRVIINELCCYSVHPEN
+EDWTCFEQSASLDIKSFFGFESTVEKIAMKQYTSNIKKGKEIIEYYLRQLEEEGITFVPR
+WTPPAAAPSLETSLSCKKPAASLTVVVPDPTLKEGLSGEPLSTPSGAAEPTAGTPDDKLD
+ADYIKRYLGDLTPLQESCLIRLRQWLQETHKGKIPKDEHILRFLRARDFNIDKARETMCQ
+SLTWRKQHQVDYILDTWHPPQVLQDYYAGGWHHHDKDGRPLYVLRLGQMDTKGLVRALGE
+EALLRYVLSINEEGLRRCEENTKVFGRPISSWTCLVDLEGLNMRHLWRPGVKALLRIIEV
+VEANYPETLGRLLILRAPRVFPVLWTLVSPFIDDNTRRKFLIYAGNDYQGPGGLLDYIDK
+EIIPDFLSGECMCEVPEGGLVPKSLYRTAEELENEDLKLWTETIYQSASVFKGAPHEILI
+QIVDASSVITWDFDVCKGDIVFNIYHSKRSPQPPKKDSLGAHSITSPGGNNVQLIDRVWQ
+LGRDYSMVESPLICKEGESVQGSHVTRWPGFYILQWKFHSTPACAATNLPRVDDVLASLQ
+VSSHKCKVMYYTEVIGSEDFRGSMTSLESSHSGFSQLSAATTSSSQSHSSSMISR
+>tr|E1BEG8|E1BEG8_BOVIN Phospholipase A(2) OS=Bos taurus OX=9913 GN=PLA2G5 PE=3 SV=1
+MKGLLMLAWFLACSVPAVPGSLLDLKSMIEKVTGKPALKYYGFYGCYCGWGGHGTPMDGT
+DWCCWKHDHCYAQMETQDCDVLTQAYRYRVAWGFIICEHGSRCQQQLCACDQKFVYCLKR
+NMRSYNPLYQYFPNFLCT
+>tr|A7MBA3|A7MBA3_BOVIN Corticotropin-releasing factor-binding protein OS=Bos taurus OX=9913 GN=CRHBP PE=2 SV=1
+MAPTFKLQCHFILICLLALRGESRYLELREAVDHDPFPHLAGGASPKRELEGEPLYSRAL
+RCVDMLSLQGQFTFTADRPQLHCATFFIAEPEEFITIRYDLVSIDCQRGDILQVFDGWIL
+KGEKFPSSQDHPLPTTERYIDFCESGLSRRTIRSSQNVAMIFFRVHEPGNGFTVTMKTEP
+NLFPCNIISQTPNGRFTLVVPHQHRNCSFSIIYPVAIKISDLTLGHLNGLQLKKSSAGCG
+GIGDFVELLGGTGLDPSKMLLLADLCYPLRGPAQMKVGCDHTVLRMVSSGKHINRVTFEY
+RQLEPYELENPNGNSIQEFCLSTL
+>tr|A6QPM5|A6QPM5_BOVIN ILDR2 protein OS=Bos taurus OX=9913 GN=ILDR2 PE=2 SV=1
+MDRVVMAWVAVLWLTAMAEGLQVTVPDKKKVAMLFQPTVLHCRFSTSSHQPAVVQWKFKS
+YCQDRMGESLGMSSPRTQSLSKRNLEWDPYLDCLDSRRTVRVVASKQGSTVTLGDFYRGR
+EITIVHDADLQIGKLMWGDSGLYYCIITTPDDLEGKNEDSVEVLVLGRTGLLADLLPSFA
+VEIMPEWVFVGLVILGVFLFFVLVGICWCQCCPHSCCCYIRCPCCPDSCCCPQALYEAGK
+AAKAGYPPSVSGVPGPYSIPSVPLGGAPSSGMLMDKPHPPPLAPSDSTGGSHSVRKGYRI
+QADKERDSMKVLYYVEKELAQFDPARRMRSRYNNTISELSSLHEEDSSLRQSYHQMRNKQ
+FPVSGDLESNPDYWSGVMGGSSGASRGPSAMEYNKEDRESFRHSQQRSKSEMLSRKNFAT
+GVPAVSMDELAAFADSYGPRSRRADGNKQDLRGGSRFERSEARAHGGLYQDGSLEEYYGP
+RSRSREPLTDADRGWSYSPPRRRPPDDAHLPRLVSRTPGTTPKYDHSFRGSGLERQVRPE
+GASRGGSLETPSKLSSQLGPLSASYYAWSPPATYEAGAPPDDEEDTPDDTLPPYSELELS
+RGPSYRGRDLPYHSNSEKKRKKETPAKKTSDFPTRMSLVV
+>tr|A0JN94|A0JN94_BOVIN Zinc finger and BTB domain containing 5 OS=Bos taurus OX=9913 GN=ZBTB5 PE=2 SV=1
+MDFPGHFEQIFQQLNYQRLHGQLCDCVIVVGNRHFKAHRSVLAACSTHFRALFSVAEGDQ
+TMNMIQLDSEVVTAEAFAALIDMMYTSTLMLGESNVMDVLLAASHLHLNSVVKACKHYLT
+TRTLPMSPPSERVQEQSARMQRSFMLQQLGLSIVSSALSSSQSGEEQPAPMSSSLRGNLD
+QRTPFPMRRLHKRKQSAEERARQRLRPTLDESAISDVTPENGPGVHSREEFFSPDSLKIV
+DNPKADGMADNPDDSTIMFDQSFSAQEDAQVPSQSDNSASNMAQLSMASRATQVETSFEQ
+ETTAEKSAFQCENPEVGLGEKEHMRVVVKSEPLSSPEPQDEVSDVTSQAEGSESVEVEGV
+VVSAEKIDLSPESSDRSFSDPQSSTDRVGDIHILEVTNNLEHKSTFSISNFLNKSRGSNF
+STNQNNDDNLPNTTSDCRLEGEAPYLLSPEAGPASGPSSAPGAHVENPFSEPSDSHFVRP
+MQDVMGLPCVQTSGYQGGEQFGMDFSRSGLGLHSSFSRVMMGSPRGGASNFPYYRRIAPK
+MPVVTSVRSSQIPENSASSQLMMNAATSSFENGLPSQPGPPQLTRASADVLSKCKKALSE
+HNVLVVEGARKYACKICCKTFLTLTDCKKHIRVHTGEKPYACLKCGKRFSQSSHLYKHSK
+TTCLRWQSSNLPSTLL
+>tr|A3KN00|A3KN00_BOVIN DUSP23 protein OS=Bos taurus OX=9913 GN=DUSP23 PE=2 SV=1
+MGVQPPNFSWVLPRRLAGLALPRLPAHYQFLLDQGVRHLVSLTERGPPHSDSCPGLTLHR
+LRIPDFCPPGPEQIDRFVKIVDEANARGEAVAVHCALGFGRTGTMLACYLVKERGLAAGD
+AIAEIRRLRPGSIETYEQEKAVFQFYQRTK
+>tr|A6H701|A6H701_BOVIN LRRTM2 protein OS=Bos taurus OX=9913 GN=LRRTM2 PE=2 SV=1
+MGLHFKWPLGAPMLAAIYAMSMVLKMLPALGMACPPKCRCEKLLFYCDSQGFHSVPNTTD
+KGSLGLSLRHNHITELERDQFASFSQLTWLHLDHNQISTVKEDAFQGLYKLKELILSSNK
+IFYLPNTTFTQLINLQNLDLSFNQLSSLHPELFYGLRKLQTLHLRSNSLRTIPVRLFWDC
+RSLEFLDLSTNRLRSLARNGFAGLIKLRELHLEHNQLTKINFAHFLRLSSLHTLFLQWNK
+ISNLTCGMEWTWGTLEKLDLTGNEIKAIDLTVFETMPNLKILLMDNNKLNSLDSKILNSL
+RSLTTVGLSGNLWECSPRICALASWLGSFQGRWEHSILCHSPDHTQGEDILDAVHGFQLC
+WNLSTTVTAMATTYKDPTTEYTKRISSSSYHVGDKEIPTTAGIAVTTEEHFPEPDNAIFT
+QRVITGTMALLFSFFFIIFIVFISRKCCPPTLRRIRQCSMIQNHRQLRSQTRLHMSNMSD
+QGPYNEYEPTHEGPFIIINGYGQCKCQQLPYKECEV
+>tr|F1MDE0|F1MDE0_BOVIN Glutamate metabotropic receptor 1 OS=Bos taurus OX=9913 GN=GRM1 PE=3 SV=3
+MVRFLLVFFPTIFLEMTLLPRGPGRKVLLAGASSQRSVARMDGDVIIGALFSVHHQPPAE
+KVPERKCGEIREQYGIQRVEAMFHTLDKINADPVLLPNITLGSEIRDSCWHSSVALEQSI
+EFIRDSLISIRDDRDGLNRCLPDGQTLPPGRTKKPIAGVIGPGSSSVAIQVQNLLQLFDI
+PQIAYSATSIDLSDKTLYKYFLRVVPSDTLQARAMLDIVKRYNWTYVSAVHTEGNYGESG
+MDAFKELAAQEGLCIAHSDKIYSNAGEKSFDRLLRKLRERLPKARVVVCFCEGMTVRGLL
+SAMRRLGVVGEFSLIGSDGWADRDEVIEGYEVEANGGITIKLQSPEVRSFDDYFLKLRLD
+TNTRNPWFPEFWQHRFQCRLPGHILENPNFKRICTGNESLEENYVQDSKMGFVINAIYAM
+AHGLQNMHQALCPGHVGLCDAMKPIDGSKLLEFLIKSTFIGVSGEEVWFDEKGDAPGRYD
+IMNLQYTEANRYDYVHVGTWHEGVLNIDDYKIQMNKSGVVRSVCSEPCLKGQIKVIRKGE
+VSCCWICTACKENEYVQDEFTCKACDLGWWPNADLTGCEPIPVRYLEWSNIESIIAIVFS
+CLGILVTMFVTLIFVLYRDTPVVKSSSRELCYIILAGIFLGYVCPFTLIAKPTTTSCYLQ
+RLLVGLSSAMCYSALVTKTNRIARILAGSKKKICTRKPRFMSAWAQVIIASILISVQLTL
+VITLIIMEPPMPILSYPSIKEVYLICNTSNLGVVAPLGYNGLLIMSCTYYAFKTRNVPAN
+FNEAKYIAFTMYTTCIIWLAFVPIYFGSNYKIITTCFAVSLSVTVALGCMFTPKMYIIIA
+KPERNVRSAFTTSDVVRMHVGDGKLPCRSNTLLSIFRRKKPGAGNANSNGKSVSWSEPGG
+RQVPKGQHMWHRLSVHVKANETACNQTAVIKPLTKSYQGSGKSLTFSDTSTKTLYNVEEE
+DAQPVGFSPPSSPSRAAPRRVPTAASTPPLPPHLTAEETPLFLAEPAIPKGLPAPLQQPP
+LPQKSFLDQLQGVVNNFSAGIPDFSSVLAVPGAAGNGGRSLFPPPAPPQHLPLLPLPLSP
+FAEEPASPPGEDDDEDDSERFKLLQEYLSEREGNTEEDELEEEEEEGDLPAPSKPTPEAS
+PALTPPSPFRDSVASGSSVPSSPVSESVLCTPPNVTYASVILRDYKQSSSTL
+>tr|Q8I026|Q8I026_BOVIN Prostaglandin F2-alpha receptor OS=Bos taurus OX=9913 GN=PTGFR PE=2 SV=1
+MSTNSSIQPVSPESELLSNTTCQLEEDLSISFSIIFMTVGILSNSLAIAILMKAYQRFRQ
+KYKSSFLLLASALVITDFFGHLINGTIAVFVYASDKDWIYFDKSNILCSIFGICMVFSGL
+CPLFLGSLMAIERCIGVTKPIFHSTKITTKHVKMMLSGVCFFAVFVALLPILGHRDYKIQ
+ASRTWCFYKTDEIKDWEDRFYLLLFAFLGLLALGISFVCNAITGISLLKVKFRSQQHRQG
+RSHHFEMVIQLLGIMCVSCICWSPFLVTMASIGMNIQDFKDSCERTLFTLRMATWNQILD
+PWVYILLRKAVLRNLYEHLKTKRIN
+>tr|Q2KIV8|Q2KIV8_BOVIN Glutathione S-transferase OS=Bos taurus OX=9913 GN=GSTM3 PE=1 SV=1
+MASSKSMVLGYWDIRGLAHAIRMLLEFTDTSYEEKRYTCGEAPDYDKSQWLDVKFKLDLD
+FPNLPYLMDGKNRLTQSNAILRYIARKHNMCGDTEEERIRVDIMENQIMDFRMQLVQLCY
+NPDHEKLKPRYLEQLPGQLKQFSLFLGKYSWFAGEKLTFVDFLTYDVLDQNRMFEPKCLD
+EFPNLKAFMCRFEALEKIATYMQSDRFLKMPVNNKMAQWGNRRIC
+>tr|A2VDN3|A2VDN3_BOVIN Distal-less homeobox 3 OS=Bos taurus OX=9913 GN=DLX3 PE=2 SV=1
+MSGSFDRKLSSILTDISSSLSCHAGSKDSPTLPESSVTDLGYYSAPQHDYYSGQPYGQTV
+NPYTYHHQFNLNGLGGTGAYSPKSEYTYGTSYRQYGAYREQPLPAQDPVSVKEEPEAEVR
+MVNGKPKKVRKPRTIYSSYQLAALQRRFQKAQYLALPERAELAAQLGLTQTQVKIWFQNR
+RSKFKKLYKNGEVPLEHSPNNSDSMACNSPPSPALWDTSSHSTPAPARSQLPPPLPYSAS
+PSYLDDPTNSWYHAQNLSGPHLQQQPPQPATLHHASPGPPPNPGAVY
+>tr|Q3SZH2|Q3SZH2_BOVIN Zinc finger C3HC-type containing 1 OS=Bos taurus OX=9913 GN=ZC3HC1 PE=2 SV=1
+MAAPSEGTSFTAGVEKNWSAVVRSPEGTPQKVRQLIDEGIAPEEGGAEAKDTSATFQSVN
+GSPQAEEPPLESTSKEAFFSRVETYSSLKWAGKPSELSPLVCAKYGWVTVECDMLKCSSC
+QAFLCATLQPAFDFDRYKERCAELKKALCTAHEKFCFWPDSPSPDRFGMLPLDEPTVLVS
+EFLDRFQSLCHLDLQLPSLRPEDLKTMCLTEDKISLLLHLLEDELDHRTDERKTATKLGS
+DIQVHVTACILSVCGWACSSLLEPMQLSLITCSQCMRKVGLWGFQQIESSMTDLDASLGL
+TPSPVPGSEGRPERSPLVPESPRRMVTRSQDTIFSPGSEQAEKSPGPIVSRTRSGDSSSP
+VDRPELEAASPATRTRPVTRSMGTGDSAGLEVPSSPLRKAKRARLCSSSSLDASSRSFFD
+PTSQHRDWCPWVNITLGKETKENGGTEVDASTPAEPGWKAVLNILLAHKQSNQPAETNSM
+SLSEKSRKVFRIFRQWESLCSS
+>tr|A5D7C5|A5D7C5_BOVIN MEOX2 protein OS=Bos taurus OX=9913 GN=MEOX2 PE=2 SV=1
+MEHPLFGCLRSPHATAQGLHPFSQSSLALHGRSDHMSYPELSTSSSSCIIAGYPNEEGMF
+ASQHHRGHHHHHHHHHHHHQQQQHQALQTNWHLPQMSSPPSAARHSLCLQPDSGGPPELG
+SSPPVLCSNSSSLGSSTPTGAACPPGDYGRQALSPAEAEKRSGSKRKSDSSDSQEGNYKS
+EVNSKPRKERTAFTKEQIRELEAEFAHHNYLTRLRRYEIAVNLDLTERQVKVWFQNRRMK
+WKRVKGGQQGAAAREKELVNVKKGTLLPSELSGIGAATLQQTGDSLANEDSHDSDHSSEH
+AHL
+>tr|Q0VD11|Q0VD11_BOVIN KIAA1715 OS=Bos taurus OX=9913 GN=LNPK PE=2 SV=1
+MGGLFSRWRTKPSTVEVLENIDKEIQALEEFREKNQRLQKLWVGRLILYSSVLYLFTCLI
+VYLWYLPDELTARLAMTLPFFAFPLIIWSIRTVLIFFFSKRTERNNEALDDLKSQKKKIL
+EEVMEKETYKTAKLILERFDPDSKKAKEFEPPSAGATVTPRPGQEIRQRTAAQRNLSPTP
+AGSSQGPPLQIPVSPGPPKDTSAPGGPPERTVTPALSSNVLPRRFGSPATSVPGMGLHPP
+GPPLARPILPRERGALDRIVEYLVGDGPQNRYALICQQCFSHNGMALKEEFEYIAFRCAY
+CFFLNPARKTRPQAPRLPEFSFEKRQALEVSNTVGSLPSESVISSENQINEESLEEQDVL
+DNSTEQTDDKIPDTEQTNPVIEQASGIEEPEEKQEETENEETSMNEDKSAVPRASAVPNP
+ELSGESLTM
+>tr|E1BDB0|E1BDB0_BOVIN Erythrocyte membrane protein band 4.1 like 2 OS=Bos taurus OX=9913 GN=EPB41L2 PE=2 SV=2
+MTTEVGSASEVKKESDQLGADATKEKPKEVAENQQNQTSDPEEEKGSQSSPPAESQSSPR
+RQKKEKDPSESRGISRFIPPWLKKQKSYTLVAAKDGGDKKEPAQPVVGEQVLDKEESLPE
+EERRAKGDAEETAQRKQQEIKVDVKEEKPLQLVEEVSKEREEEKVKEIQEAEFMDEAAKR
+ETKEVQTNELRAEKASLKAPKKTKTVQCKVTLLDGSEYSCDLEKRAKGQVLFDKVCEHLN
+LLEKDYFGLVFQENPEQKNWLDPAKEIKRQLRNLPWLFSFNVKFYPPDPSQLTEDITRYF
+LCLQLRQDIASGRLPCSFVTHALLGSYTLQAELGDYDPEEHASHDLSDFQFAPTQTKELE
+EKVAELHKTHRGLSPAQADSQFLENAKRLSMYGVDLHHAKDSEGVDIKLGVCANGLLIYK
+DRLRINRFAWPKILKISYKRSNFYIKVRPAELEQFESTIGFKLPNHRAAKRLWKVCVEHH
+TFYRLVSPEQPPKAKFLTLGSKFRYSGRTQAQTRQASSLIDRPAPHFERTSSKRVSRSLD
+GAPIDAVDQSLMKDFPGPAGEVSAPGPGVVSTAVVQDGDGRRDLRSPAKVPHMPLIEGKD
+LDKAQEEILKHQASISELKRNFMESTPEPRPNEWEKRRITPLSLQTQGSSLETLNVVEEK
+KQAEVGKDERVIPEEMNGKELSPGRGPGEMRKVEPGTQKDSTSLSSESSSSSSESEEEED
+VGEYRPHHRVTEGTIREEQEEDEEEVEEAPGQAAKVVDREDPVPAASPVTHAGASVGPVE
+TVPQEKVVAPQIPAEKSVNEGAVKQDTGEETEEEPQKVNGEVAHVDTDVLPQIICCSEPP
+VVKTEMVTISDASQRTEISTKEVPIVQTETKTITYESPQIDGGAGGDSGTLLTAQTITSE
+SVSTTTTTHITKTVKGGISETRIEKRIVITGDADIDHDQALAQAIREAREQHPDMSVTRV
+VVHKETELEEGEE
+>tr|Q2TBX9|Q2TBX9_BOVIN Integrin alpha FG-GAP repeat containing 1 OS=Bos taurus OX=9913 GN=ITFG1 PE=2 SV=1
+MAAASRLPDSWVLLALLLGRLALLGVGPVPARALHNVTAELFGAEAWGTLAAFGDLNSDK
+QTDLFVLRERNDLIVFLADQNAPYFKPKVNVSLNNYSALITSVVPGDYDGDSQMDVLLTY
+LPKNHANGELGAVIFWGQNQTLDPSNMTILNRTFQDEPLIMDFNGDLIPDIFGITNESSQ
+PQILLGKNLSWHPALTTRNKMRIPHSHAFIDLTEDFTADLFLTTLSASSTFQFEIWENLD
+GNFSLSTVLEKPQNMMVVGQSAFADFDGDGNMDHLLPGCEDKNCQKSVIYLARSGAKQWI
+PVLQDFSSKGTLWGFVPFVHEQQPTEIPIPITLHIGDYNMDGYPDALAILKNTSGSNQQA
+FLLENVPCTNASCEGAHRMFKVYWELMDLNQIRDAVVATFFDIYEDGILDIVVLSKGYTK
+SDFAIHTLKNNFEADAYFVKVIVLSGLCSNDCPRKITPFGVNQPGPYIMYTTVDANGYLK
+NGSAGQLSQSAHLALQLPYNVLGLGRSANFLDHLYVGIPRPSGEKSVRKQEWTAIIPNSQ
+LIVIPYPPNVPRSWSAKLYLTPSNIVLLTAIALIGVCVFILAIIGILHWQEKKADDREKR
+QEAHRFHFDAM
+>tr|Q3MHK9|Q3MHK9_BOVIN Fascin OS=Bos taurus OX=9913 GN=FSCN1 PE=1 SV=1
+MTANGTAEALQIQFGLINCSNKYLTAETFGFKVNASASSLKKKQIWTLEQPPDEAGSAAV
+CLRSHLGRYLAADKDGNVTCEHEAPGADCRFLIVAHDDGRWSLQSEVHRRYFGGTEDRLS
+CFAQTVSPAEKWSVHIAMHPQVNIYSLARKRYAHLGARPADEISVDRDVPWGVDSLITLA
+FQDQRYSLQTADHRFLRHDGRLVAHPEPATGYTLEFRSGKVAFRDCEGRYLAPSGPSGTL
+KAGKATKVGKDELFALEQSCAQVVLQAANERNVSTRQGMDLSANQDEETDQETFQLEIDR
+DTKKCAFRTHSGKYWTLTTTGGVQSTASTKDARCYFDIEWRDRRIILRASNGKFVTAKKN
+GQLAASVETAGDSELFLMKLINRPIIVFRGEHGFIGCRKVTGTLDANRSNYDVFQLEFRD
+GAYNIKDSTGKYWMVGNDSSVTSSSDSPVDFFLEFCDYNKVAIKVGGHYLKGDHAGVLKA
+CADTIDPTTLWEY
+>tr|A6QLN7|A6QLN7_BOVIN Dimethylaniline monooxygenase [N-oxide-forming] OS=Bos taurus OX=9913 GN=FMO5 PE=2 SV=1
+MAKKRIAVIGAGVSGLTSIKCCLEEGLEPLCFERSDDIGGLWRFQEEPEEGRASIYKSVI
+INTSKEMMCFSDYPIPDHYPNFMHNSQVLEYFRMYAKEFDLLKYIQFKTTVCSVKKQPDF
+PTSGQWEVVTESEGKKEVYVFDGVMVCTGHHTYAHLPLESFPGIEKFKGQYFHSRDYKNP
+DSFTGKRVIIIGIGNSGGDLAVEISHRAKQVFLSTRRGAWVLNRVGDYGYPFDTILSSRF
+NHLLKKIIGESATNRYLEKKINQRFNHEMFGLKPKHRALSQHPTINDDLPNRIISGFVKV
+KTNVKEFTETAAIFEDGSREDDIDAVIFATGYSFAFPFLEDSVKVVKNKVSLYKKVFPPN
+LEKPTLAIIGLIQPLGAIMPISELQGRWATQVFKGLKTLPPQSEMMTEIAKVQEDMAKQF
+VESQRHTIQGDYIGTMDELADLVGVKPNLLSLAFTDPKLAFQLFGGPCTPVQYRLQGPGK
+WDGARKVILTTQDRIKKPLTTRILETDNSNTSTITMAKFVLAVVFFAMVMTYL
+>tr|Q29RV2|Q29RV2_BOVIN Cytochrome P450, subfamily IIIA (Niphedipine oxidase), polypeptide 4 OS=Bos taurus OX=9913 GN=CYP3A4 PE=2 SV=1
+MELIPSFSMETWVLLATSLVLLYIYGTYSYGLFKKLGIPGPRPVPYFGSTMAYHKGIPEF
+DNQCFKKYGKMWGFYEGRQPMLAITDPDIIKTVLVKECYSVFTNRRIFGPMGIMKYAISL
+AWDEQWKRIRTLLSPAFTSGKLKEMFPIIGQYGDMLVRNLRKEAEKGNPVNMKDMFGAYS
+MDVITGTAFGVNIDSLNNPHDPFVEHSKNLLRFRPFDPFILSIILFPFLNPVFEILNITL
+FPKSTVDFFTKSVKKIKESRLTDKQMNRVDLLQLMINSQNSKEIDNHKALSDIELVAQST
+IFIFGGYETTSSTLSFIIYELTTHPHVQQKVQEEIDATFPNKAPPTYDALVQMEYLDMVV
+NETLRMFPIAGRLERVCKKDVEIHGVTIPKGTTVLVPLFVLHNNPELWPEPEEFRPERFS
+KNNKDSINPYVYLPFGTGPRNCLGMRFAIMNIKLALVRILQNFSFKPCKETQIPLKLYTQ
+GLTQPEQPVILKVVPRGLGPQVEPDFL
+>tr|A5D7A5|A5D7A5_BOVIN GOLGA5 protein OS=Bos taurus OX=9913 GN=GOLGA5 PE=2 SV=1
+MSWFADLAGKAEDLLNRVDQGAATALSRKENTSNIIYSKNTDYSELHQQNTDLTYQTGSK
+STYISSAADNIRNQKATILAGTANVKVGARTLGETSYPVENASAPRPSSQFVRRKKSEPD
+DELLFDFLNSSQKEPTGKVEIKKEKAKTPVLQSSRTSSVNSVNTSVTTIKAVEENPSGSQ
+SHAASHDSDSGHETHEDSSKENVLSNAACTDPNPVPNDDGRSHELSNLRLENQLLRNEVQ
+NLNQEMASLLQRSKETQEELNKARARVEKWNVDHSKTDRITRELRAQVDDLTEAVAAKDS
+QLAVLKVRLQEADQLLNTRTEALEALQSEKSRIIQDHSEGSSLQNQALQTLQERLHEADA
+TLKREQESYKQMQSEFAARLNKMEVERQNLAEAVTLAERKHSDEKKRVDELQQQVKVLKS
+SLDSSKQELADYKQKATRILQSKEKLINSLKEGSGFEGLDSSTANSVELEELRHEKETQR
+EEIQKLMGQIHQLRSELQDIEAQQVSEAESAREQLQDLQDQIAGQRAAKQELEAELDRQK
+QEFHYIEEDLYRTKNTLQSRIKDREDEIQKLRNQLTNKTLSNSSQSELENRLHQLTETLI
+QKQTMLESLSTEKNSLVFQLERLEQQINSAAGSSSNGSSINMAGVDSGEGTRLRNVPVLF
+NDTETNLAGMYGKVRKAASSIDQFSIRLGIFLRRYPIARVFVIIYMALLHLWVMIVLLTY
+TPEMHHDQPRGK
+>tr|Q1PSA0|Q1PSA0_BOVIN Zygote arrest 1 OS=Bos taurus OX=9913 GN=ZAR1 PE=2 SV=1
+MAALGDVVLDGYLYPACALYSYRCLYPAAAAAKGKSGADEGGWRPRGGGYPPVSSSSDGA
+ASSSFPGHGQLAAAEYVHSYQRAQLMALLSQVGPRPASTRDAAVQVNPFRDVSVQCSLGR
+RTLGHRARESGPSPDPEGAADAGGSCPASPQRARRGPEQDSPPSRAPRRVRFLRTLAVYS
+PVTSRCLATLLEGAEAVAGQQRPGEPETERGPPPARPRGPEEGDGSARKVSLQLQPEEDE
+AQAAVPASREQPPPVARVPDTAGERSSPRSPQPSKERLRFQFLEQKYGYYHCKDCNIRWE
+SAYVWCVQGTNKVYYKQFCRTCQKSYNPYRVEDITCQNCKQTRCSCPVKLRHVDPKRPHR
+QDLCGRCKGKRLSCDSTFSFKYII
+>tr|A0JN36|A0JN36_BOVIN ATP-dependent DNA helicase OS=Bos taurus OX=9913 GN=RECQL PE=2 SV=1
+MASISALSEELDSITSELHAVDIQIQELLERQQELIQKKNVLTKRIKLCLEDSDAGESSE
+CDSSPASWNKEDFPWSGKVKDVLQNVFKLQKFRPLQLETINVTMSGKEVFLVMPTGGGKS
+LCYQLPALCSDGFTLVICPLISLMEDQLMVLKQLGISATMLNASSPKEHVKWVHAEMVNK
+NSKLKLIYVTPEKIAKSKMFMSRLEKAYEARRFTRIAVDEVHCCSQWGHDFRPDYKALGI
+LKRQFPNASLIGLTATATSHVLKDAQKILCVEKCFTFTASFNRPNLYYEVRQKPSNTEDF
+IEDIVKLINGRYKGQSGIIYCFSQKDSEQVTISLQKLGIPAGAYHANMEPEDKTKVHRRW
+AANEIQVVVATVAFGMGIDKPDVRFVIHHSMSKSMENYYQESGRAGRDDMKADCILYYGF
+GDIFRISSMVVMENVGQQKLYEMVSYCQNINKCRRVLIAQHFDEVWSPEACNKMCDNCCK
+EISFERKNVTAYCRDLIKILKQAEDLNEKLTPLKLIDSWMGKGASKLRVAGLAPPTLPRE
+DLEKIIAHFLIQQYLKEDYSFTAYATISYLKVGPKANLLNNEAHVITMRVKKPTQNCFRT
+ESPQTCHSEGTNKKREEKTPRNFLKRSANMLQQPDCKNTGAKKRKIDNA
+>tr|A7YSY7|A7YSY7_BOVIN AUH protein OS=Bos taurus OX=9913 GN=AUH PE=2 SV=1
+MAVAASAAPGALGTLQASRARLVAACCARLGPRWRLPGSWTCPRVDSTIWARGWTPAAGG
+AAWQRGYSAEVKTEDELRVRYLEEENRGIVVLGINRAYAKNSLSKNLIKMLSKAVDALKS
+DKKVRTIIVRSEVPGIFCAETYCKRRDWKTERAALLRSLAY
+>tr|Q3SYS5|Q3SYS5_BOVIN Distal membrane arm assembly complex 1 OS=Bos taurus OX=9913 GN=DMAC1 PE=2 SV=1
+MGSFVSQPLEPVKFVAPPETTSTPKPAQVTAPGAPASPAQAPLFNNCWSCRVLSGSGLIG
+AGGYVYWMARKPMKLGYPPGPGTIAQMIFGISCGERLSEGT
+>tr|A5D9E9|A5D9E9_BOVIN Complement C1r OS=Bos taurus OX=9913 GN=C1R PE=2 SV=1
+MWLLYLLLPVLFYRVGGATPTPQKLYGEVMSPLFPKPYPNNFERTTVITVPTGYKVKLVF
+WQFDLEPSEGCLYDYVKISADKKTMGRFCGQLGSPLSNPPGRKEFMSQGNKMLLTFHSDF
+SNEENGTIMFYKGFLAYYQAVDVDECALQLNSVEEDPPAGCQHLCHNYVGGYFCSCRPGY
+ELQPDGRSCQAECSSELFTEPSGYISSLEYPRPYPPDLRCNYSIRVERGHTLHLQFLEPF
+EIDDHQQIHCPYDQLQVYVSGRNIGEFCGKQRPERIDAQSNSVDLLFFTDESGDSRGWKL
+YYTSEVIKCPQPKTLDSFTIIQDLQPQYQYRDYFIATCKKGYELVEGNKALLSFTAVCQD
+DGTWHRAMPRCRIKDCGQPRSLLNGAFNYTTREGVNTYEARIQYYCHEPFYKMHTKGGTS
+ESERGLYTCTAQGIWKNELVGEKMPRCLPVCGKPLHPVEQRQRIIGGLKAKLGNFPWQAY
+TNIHGPGGGALLGDRWILTAAHTINPKDHEAQGSPNIDVFLGHINVEDITKLSNHPVRRV
+IIHPDYRQEESHNFEGDIALLELENSVTLGPNLLPICLPDNETLYDPGLMGYVSGFGIME
+ERISHNLRFVRLPVAKREICERWLRQKNRNDVFSENMFCAGNPATRQDSCQGDSGGVFAV
+SDKRNDRWVATGIVSWGIGCGEGYGFYTNVLKYVDWIKKVMEVED
+>tr|F6RSE9|F6RSE9_BOVIN Acyloxyacyl hydrolase OS=Bos taurus OX=9913 GN=AOAH PE=4 SV=2
+MKSPRKLIMAAPVFFLLTLHTLASSGYGNWPDTRHSNGHACIGCVLVVSMIEQLTQVHNS
+TVQISMERLCSYLPEKLFLKTTCYLVVHIFGPDITKLFRADMNADVVCHTLEFCKQDPGQ
+PLCHLYPLPKEAWTFTLEKARQIVKKSPALTYSRSGAGICSLPFLAKICQKIKLSIQNSV
+PFKDADSDKYSDFPTLRGFYWRGRDCNDSNKMAYPGRRPDNWDSYQDSNCNGIWGVDPKD
+GIPYEKKFCEGSQPRGVILLGDSAGAHFHIPPDWITASQMSLKSFLNLPIALTNELDWPQ
+LSGTTGFLYSTSRIKENSIYLRLRKRNHCNHRDYQNISKNGASSQNLERFIESLSRNQLL
+DYPAIVIYAMIGNDVCSGNIDPLPEMTTPEKLYSKVMQTLQYLDSHLPNDSHVILYGLPD
+GRFLWDHLHDRYHPLGQLNKDVTYAHFYSFLNCLQVSPCHGWMSSNKTLRTLTSERAEQL
+SKTLKKIATSQKFTNFDLFYLDFDFQEITEEWQRRGGQPWQLIEPVDGFHPNEVVLQLLA
+DHFWKKMQHQWPHVLGKENPFNPQIEQVFGDQGGH
+>tr|Q09YM2|Q09YM2_BOVIN ULBP21 OS=Bos taurus OX=9913 GN=ULBP21 PE=4 SV=1
+MDRKAGPGARLGFAAQVLLVALRFCTALGGPPCAVSQRRTLCNAHSLCYNFTVYPHPSPG
+EPWCVVQGQVDGNVFLSYHCGGTKIQSTSPLGEEVKTTNTWETQTETLRDIGNFLKGQLP
+DIIPEKHTARDPLTLQGRMTCRCEEDGHISGSWQFGFNGEMCLRFDSENGHWTIDHSRGR
+QIKEKWENDRAVTDFFKKVSMGDCRAWLQDFMVCWEKMLKTSASPTTGPPTVQPMAPPIK
+SKPWILPVVLTSFIITVFLG
+>tr|Q3ZC32|Q3ZC32_BOVIN Optineurin OS=Bos taurus OX=9913 GN=OPTN PE=2 SV=1
+MSHQPLSCLTEKGDSPSETTGNGPPNLAHPNLDTFTPHELLQQMRELLIENHQLKEAMKL
+NNQAMKGRFEELSAWTEKQKEERLYFETQSKEAKERLTALSLENEKLKQELGTLTGKTER
+AFEDIIGDPKVPKAEVEQEVEQLKTQVARLQAEKADLLGIVSELQLKLNSSGSSEDSFVE
+IRMAEGEAAVAAKEIKPSPGPTRTDSVDTSKSAEGTRNYVEFEELTVSQLLHCLREGNQK
+VERLEVALKEAKERISDFEKKAKDHSETETQTEEHTEQEKEEEKDTKTIGSEVETLNLQV
+TTLFKELQEAHTKLSEAELMKKRLQEKCQALERKNSASPSELNEKQELVYKNKKLELQVE
+SMRSEIKMEQAKTEDEKSRLGTLQLTHNRLLQEYNNALKTIEELKRRESEKVDKVVLQEL
+SGKLELAEKALASKQLQMDGMKQTIAKQEKDLETMAVLRAQMEVYCSDFHAERAAREKIH
+EEKEQLALQLAVLLKENNAFEDGGSRQTLMEMQSRHGARASDADQQAYLVQRGAEDRNWL
+QQQQNIPIHSCPKCGEVLPDIDTLLIHVTDCII
+>tr|A6QP07|A6QP07_BOVIN ZNF180 protein OS=Bos taurus OX=9913 GN=ZNF180 PE=2 SV=1
+MEEQDEKPQGSLKVCVQDSLLPQEIIIKVEGEDARSLAVPSQEGVNFKIVTVDFTQEEEG
+TLSSAQRTLDRDVILENHGDSWDLATALGRRESRLKQSVFDDKLSHSVKIEKLTRDDPWL
+SSCKEFQDCKELLEKQQEKQERLLKEVTFTHRNAITHERDCKNDSPEEKAGLNSNLLSSQ
+MIPIRNHFHKHASHVKKLHYNSMVNTHQMITDTEKLCENNEFGNLPQSIHFIQFTRTQTE
+DKSYGFSDSIQPFNHGTPLNIHEKTHAQGRSFDFKECGQILNHSISHNEQQRNSIGESQY
+KCHKTSQSSSLAQNMRNHSEEKPFECNQCGKSFSWSSHLVAHQRTHTGEKPYECNECGKS
+FSRSSHLVSHQRTHTGEKPYRCNQCGKSFSQSYVLVVHQRTHTGEKPYECNQCGKSFRQS
+YKLIAHQRTHTGEKPYECNQCGKSFIQSYKLIAHQRIHTGEKPYECNQCGKSFSQSYKLV
+AHQRTHTGEKPFECNQCGKSFSWSSQLVAHQRTHTGEKPYECNECGKSFNRSSHLVMHQR
+THTGEKPYECNQCGKSFSQSYVLVVHQRTHTGEKPYECSECGKSFRQSSCLTQHQRTHTG
+EKPYECNQCGKTFSLSARLIVHQRTHTGEKPFTCNQCGKAFINSSKLIRHQATHTEEKPY
+GCN
+>tr|A0A3Q1NNA0|A0A3Q1NNA0_BOVIN Seipin OS=Bos taurus OX=9913 GN=BSCL2 PE=4 SV=1
+MSEEMADQREEAGEKEACRDQIKESDKEEEPPAASSHGQEWRPGGKAPRNPKPEPGARPP
+ALPVMVNDPPVPALLWAQEMGHVMAGRARKLLLQFGVFFCTILLLLWVSVFLYGSFYYSY
+MPTVSHLSPVHFHYRTDCESSTSLLCSFPVANVTLAKGGRDRVLMYGQPYRVTLELELPE
+SPVNQDLGMFLVTISCYTRGGRIISTSSRSVMLHYRSSLLQMLDTLVFSSLLLFGFAEQK
+QLLEVELYPEYRENSYVPTTGAIIEIHSKRIQMYGAYLRIHAHFTGLRYLLYNFPMTCAF
+VGVASNFTFLSVIVLFSYMQWVWGGIWPRQRLSLQVNIRNRKRSRKDIQRKVSAHQPGPQ
+GQEESPQLSPVTEDGESHADPSGTEGQLSEEEKTEQQPLSGEEELEPEASDGSGSWEDAA
+LLTEANLAASGSAPAPETVGSSEPSAGSVRQRPICSSS
+>tr|A6QLN8|A6QLN8_BOVIN SCY1 like pseudokinase 2 OS=Bos taurus OX=9913 GN=SCYL2 PE=2 SV=1
+MESMLNKLKSTVTKVTADVTSAVMGNPVTREFDVGRHIASGGNGLAWKIFNGTKKSTKQE
+VAVFVFDKKLIDKYQKFEKDQIIDSLKRGVQQLTRLRHPRLLTVQHPLEESRDCLAFCTE
+PVFASLANVLGNWENLPSPVSPDIKDYKLYDVETKYGLLQVSEGLSFLHSSVKMVHGNIT
+PENIILNKSGAWKIMGFDFCVSSTNPSEQEPKFPCKEWDPNLPSLCLPNPEYLAPEYILS
+VTCETASDMYSLGTVMYAVFNKGKPVFEVNKQDIYKSFSRQLDQLSRLGSSSLTNIPEEV
+REHVKLLLNVTPTVRPDADQMTKIPFFDDVGAVTLQYFDTLFQRDNLQKSQFFKGLPKVL
+PKLPKRVIVQRILPCLTSEFVNPDMVPFVLPNVLLIAEECTKEEYVKLILPELGPVFKQQ
+EPIQILLIFLQKMDLLLTKTPPDEIKNSVLPMVYRALEAPSIQIQELCLNIIPTFANLID
+YPSMKNALIPRIKNACLQTSSLAVRVNSLVCLGKILEYLDKWFVLDDILPFLQQIPSKEP
+AVLMGILGIYKCTFTHKKLGITKEQLAGKVLPHLIPLSIENNLNLNQFNSFISVIKEMLN
+RLESEHKTKLEQLHIMQEQQKSLDIGNQMNASEETKVTNVGSQQIDKVFNNIGADLLTGG
+ESENKEDGLQNKQKRASLTLEEKQKLAKEQEQAQKLKSQQPLKPQVHTPIAPVKQTKDLT
+DTLMDNMSSLTSLSVSTPKISASSSFTSVPSTGLGMMFSTPIDNTKRNLTNGLNANMGFQ
+TSGFNMPVNTNQNFFSSPSTPGVTKMALGTPSTLPNFSAVSVPPAGGKQTQQRPTDMSAL
+NNLFGPQKPKVSMNQLSQQKPNQWLNQFVPPQGSPGMGSSVMGTQVNVMGQSAFGMQANP
+FFNPQNFAQPPTTMTSSSSASNDLKDLFG
+>tr|A6QR14|A6QR14_BOVIN 24-dehydrocholesterol reductase OS=Bos taurus OX=9913 GN=DHCR24 PE=2 SV=1
+MEPAVSLAVCALLFLLWVRVKGLEFVLIHQRWVFVCLFLLPLSLIFDIYYYVRAWVVFKL
+SSAPRLHEQRVRDIQKQVREWKEQGSKTFMCTGRPGWLTVSLRVGKYKKTHKNIMINLMD
+ILEVDTKKQIVRVEPLVTMGQVTALLTSIGWTLPVLPELDDLTVGGLIMGTGIESSSHRY
+GLFQHICTAYELVLADGSFVRCTPMENSDLFYAVPWSCGTLGFLVAAEIRIIPAKKYIKL
+RFEPVRGLEAICDKFTHESQQPENHFVEGLLYSLHEAVIMTGVMTDEAEPSKLNSIGNYY
+KPWFFKHVENYLKTNREGLEYIPLRHYYHRHTRSIFWELQDIIPFGNNPIFRYLFGWMVP
+PKISLLKLTQGETLRKLYEQHHVVQDMLVPMKCLPQALHTFHNDIHVYPIWLCPFILPSQ
+PGLVHPKGDEAELYVDIGAYGEPRVKHFEARSCMRQLEKFVRSVHGFQMLYADCYMDREE
+FWEMFDGSLYHRLRKQLGCQDAFPEVYDKICKAARH
+>tr|Q32KX6|Q32KX6_BOVIN Transcription factor OS=Bos taurus OX=9913 GN=TFDP2 PE=2 SV=1
+MIISTPQRLTSSGSVLIGSPYTPAPAMVTQTHIAEAAGWVPGDRKRAREFIDSDFSESKR
+SKKGDKNGKGLRHFSMKVCEKVQRKGTTSYNEVADELVSEFTNSNNHLAADSAYDQKNIR
+RRVYDALNVLMAMNIISKEKKEIKWIGLPTNSAQECQNLEIEKQRRIERIKQKRAQLQEL
+LLQQIAFKNLVQRNRQNEQQNQGPPALNSTIQLPFIIINTSRKTVIDCSISSDKFEYLFN
+FDNTFEIHDDIEVLKRMGMSFGLESGKCSLEDLKLAKSLVPKALEGYITDISTGPSWLNQ
+GLLLNSTQSVSNLDLTTGATLSQSSVNQGLCLDAEVALATGQLLAPNSHQSSSAASHCSE
+SRGETPCSFNDEDEEEDEEDSSSPE
+>tr|F1MFD5|F1MFD5_BOVIN Ran GTPase activating protein 1 OS=Bos taurus OX=9913 GN=RANGAP1 PE=4 SV=1
+MASEDIAKLAETLAKTQVAGGQLSFKGKSLKLNTADDAKDVIKEIEDFDGLEALRLEGNT
+VGVEAARVIAKALEKKPELKRCHWSDMFTGRLRSEIPPALISLGEGLITAGAQLVELDLS
+DNAFGPDGVRGFEALLKSSACFTLHELKLNNCGMGIGGGKILAAALTECHRKSSAQGKPL
+ALKVFVAGRNRLENDGATALAEAFGIIGTLEEVHMPQNGINHPGVTALAQAFAINPLLRV
+INLNDNTFTEKGAVAMAKTLKTLRQVEVINFGDCLVRSKGAIAIADAIHGGLPKLKELNL
+SFGEIKREAALSVAEAVADKTELEKLDLNGNMLGEEGCEQLQEVLEGFNMAAVLASLSDD
+EGEDDEDEDEEEEEEEEEEEGEEEEEDEEEEEEEEPQQEEQGEESSTPSRKGQGPNSGEP
+APVLSSPPPADVSTFLAFPSPEKLLRLGPKSSMLIAQQTDTSDPEKVVSAFLKVSSVFKD
+EASVRTAVQDAVDALMKKAFSSSAFNSNAFLTRLLIHMGLLKSEDKIKAVANLYGPLMAL
+THVVQQDYFPKALAPLLLAFMTKPNGALESCSFARHNLLQTLYKV
+>tr|Q2KJJ2|Q2KJJ2_BOVIN Uncharacterized protein MGC127055 OS=Bos taurus OX=9913 GN=MGC127055 PE=2 SV=1
+MGLLSGDTLGPLAVALLIFLLLLDLMHRRSRWAPRYPPGPMPLPVLGNLLQVDFEDPRPS
+FNQLRRRFGNVFSLQQVWTPVVVLNGLAAVREALVYRSQDTADRPPQALYKHLGFGPRAE
+GVILARYGNAWREQRRFSLSTLRNFGLGKKSLEQWVTEEASCLCAAFADQAGHPFSPMDL
+LNKAVSNVIASLTFGCRFEYNDPRIVKLLDVMEDGLKEEMKIMRQVVEAVPVLLSIPGLA
+AKVVPGQKAFMTLVDELIAEQKMTRDPTQPPRHLTDAFLDEVKEAKGNPESSFSDENLRL
+VVADLFSAGMVTTSTTLAWALLLMILHPDVQRRVQQEIDEVIGQVRRPEMGDQALMPFTV
+AVVHEVQRFADIVPLGLPHMTSRDIEVQGFHIPKGTTLITNLSSVLKDETVWEKPFRFHP
+EHFLDAQGRFVKQEAFIPFSAGRRACLGEPLARMELFLFFTSLLQHFSFSVPAGQPRPSD
+HGVFVALVTPAPYQLCAVPR
+>tr|F1N7J2|F1N7J2_BOVIN Calcium-transporting ATPase OS=Bos taurus OX=9913 GN=ATP2B1 PE=1 SV=1
+MGDMANNSVAYGGVKNSLKEANHDGDFGITLAELRALMELRSTDALRKIQESYGDVYGIC
+TRLKTSPNEGLSGNPVDIERREAVFGKNFIPPKKPKTFLQLVWEALQDVTLIILEIAAIV
+SLGLSFYQPPEGDNALCGDVSVGEEEGEGETGWIEGAAILLSVVCVVLVTAFNDWSKEKQ
+FRGLQSRIEQEQKFTVIRGGQVIQIPVADITVGDIAQVKYGDLLPADGILIQGNDLKIDE
+SSLTGESDHVKKSLDKDPLLLSGTHVMEGSGRMVVTAVGINSQTGIIFTLLGAGGEEEEK
+KDEKKKEKKNKKQDGAIENRNKAKAQDGAAMEMQPLKSEEGGDGDEKDKKKANLPKKEKS
+VLQGKLTKLAVQIGKAGLLMSAITVIILVLYFVIDTFWVQKRPWLAECTPIYIQYFVKFF
+IIGVTVLVVAVPEGLPLAVTISLAYSVKKMMKDNNLVRHLDACETMGNATAICSDKTGTL
+TMNRMTVVQAYINEKHYKKIPDPEAIPPNILSYLVTGISVNCAYTSKILPPEKEGGLPRH
+VGNKTECALLGFLLDLKRDYQDVRNEIPEEALYKVYTFNSVRKSMSTVLKNSDGSYRIFS
+KGASEIILKKCFKILSANGEAKVFRPRDRDDIVKTVIEPMASEGLRTICLAFRDFPAGEP
+EPEWDNENDIVTGLTCIAVVGIEDPVRPEVPDAIKKCQRAGITVRMVTGDNINTARAIAT
+KCGILHPGEDFLCLEGKDFNRRIRNEKGEIEQERIDKIWPKLRVLARSSPTDKHTLVKGI
+IDSTVSEQRQVVAVTGDGTNDGPALKKADVGFAMGIAGTDVAKEASDIILTDDNFTSIVK
+AVMWGRNVYDSISKFLQFQLTVNVVAVIVAFTGACITQDSPLKAVQMLWVNLIMDTLASL
+ALATEPPTESLLLRKPYGRNKPLISRTMMKNILGHAFYQLVVVFTLLFAGEKFFDIDSGR
+NAPLHAPPSEHYTIVFNTFVLMQLFNEINARKIHGERNVFEGIFNNAIFCTIVLGTFVVQ
+IIIVQFGGKPFSCSELSIEQWLWSIFLGMGTLLWGQLISTIPTSRLKFLKEAGHGTQKEE
+IPEEELAEDVEEIDHAERELRRGQILWFRGLNRIQTQIRVVNAFRSSLYEGLEKPESRSS
+IHNFMTHPEFRIEDSEPHIPLIDDTDAEDDAPTKRNSSPPPSPNKNNNAVDSGIYLTIEM
+NKSATSSSPGSPLHSLETSL
+>tr|G3N0E4|G3N0E4_BOVIN IFN-Chi1 OS=Bos taurus OX=9913 GN=LOC787343 PE=3 SV=2
+MTLPVSVLLALVMLCCSPTCSLGCELPASHHSNLESFTRWSQMERLPVVSCLRDRTDFRF
+PQTLVHGTRLEKTEAIAVVHELLQQTFQLFSTTGSSAGRDESLLDRFLVGLDQQLEDLDT
+CLREGRTPEQSPLGSENSRLAVKSYFQRMSVYLKEKEYSRCAWEVVSVEIRRCLVFASKL
+IGKLRK
+>tr|A9Q1J9|A9Q1J9_BOVIN Breast cancer anti-estrogen resistance 4 OS=Bos taurus OX=9913 GN=BCAR4 PE=2 SV=1
+MIPAFPANSQWTWFQRISQEEVSRRCWLCFYLFYAVTLALLLGGVFITLSSDHPPFALVT
+TMIATGIVFFILTGLMYLCEANKVSAFIQEKFSRRRIAQDPCKALERKTTNGCHLTVISS
+>tr|A6QQR1|A6QQR1_BOVIN NSUN5 protein OS=Bos taurus OX=9913 GN=NSUN5 PE=2 SV=1
+MAVYSAAAAVLAGVESRQGSLKGLVYASNFQNVKQLYALVCETQRYSAVLDAVIANAGLL
+RAEKKLRPHLAKVLVYDLLLGKGFRGRGGRWKPLLERHQARLKAELARLKVRQGVSRNED
+LLEVGSKPGSASQVPRFVRVNILKTCAEDAIDYFKRQGFSYQGRASSLNDIRALKGKCFL
+LDPLLPELLVFPAQTDLHNHPLYQAGHLILQDKASCLPAMLLAPPPGSHVIDACAAPGNK
+TSHLAALLKNQGKIFAFDQDAKRLASMATLLARAGVSCCELAEQDFLAVSPSDQRYCQVQ
+YILLDPSCSGSGMPSRQLEEPKSCTPSPERLRALAAFQQRALSHALTFPALRRLVYSTCS
+VCQEENEDVVRAALQQSPGAFRLAPVLPSWPHRGLSAFPGAEHCLRASPETTLTGGFFIA
+VLERVEEPSSGSQAKAAASELTPSPAPKGKKRRRKAAAAPGT
+>tr|Q1JPI0|Q1JPI0_BOVIN Protein SYS1 homolog OS=Bos taurus OX=9913 GN=C20orf35 PE=2 SV=1
+MSFVLNALTCALGLLYFIRRGKQCLDFTVTVHFFHLLGCWLYSSRFPSALTWWLVQAVCI
+ALMAVIGEYLCMRSELKEIPLNSAPKSSV
+>tr|E1B8E6|E1B8E6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=BCL6 PE=4 SV=1
+MASPADSCIQFTRHASDVLLNLNRLRSRDILTDVVIVVSREQFRAHKTVLMACSGLFYSI
+FTDQLKCNLSVINLDPEINPEGFCILLDFMYTSRLNLREGNIMAVMATAMYLQMEHVVDT
+CRKFIKASEAEMVPAIKPPREDFLNSRMLMPQDIMAYRGREVVENSLPLRNAPGCESRAF
+APSLYNGLSTPPASYPVYGHLPVSSFLFSDEELRDARMPIANPFPKDRALPCDSARPVPS
+EYSRPAMEISPSVCHSTIYSPKEAAPEEARSDMHYSVAEGPKPAAPSARSAPYFPCDKAG
+KEEERPSSEDEIALHFEPPNAPLNRKGLVSPQSPQKSDCQPNSPTESCSSKNACILQTSG
+SPPAKSPTDPKACNWKKYKFIVLNSLNQNAKPEGPEQAELGRLSPRAYAAPPACQPPMEP
+DSLDLQSPTKLSTSAEDSTIPQASRLNNIVNRSLTGSPRSSSESHSPLYLHPPKCTSCGS
+QSPQHAEMCLHAAGPTFPEELGETQSEYSDSSCENGAFFCNECDCRFSEEASLKRHTLQT
+HSDKPYKCDRCQASFRYKGNLASHKTVHTGEKPYRCNICGAQFNRPANLKTHTRIHSGEK
+PYKCETCGARFVQVAHLRAHVLIHTGEKPYPCEICGTRFRHLQTLKSHLRIHTGEKPYHC
+EKCNLHFRHKSQLRLHLRQKHGAITNTKVQYRVSATDLPPELPKAC
+>tr|Q08E14|Q08E14_BOVIN Collagen alpha-1(III) chain OS=Bos taurus OX=9913 GN=COL3A1 PE=2 SV=1
+MMSFVQKGTWLLFALLHPTVILAQQEAVDGGCSHLGQSYADRDVWKPEPCQICVCDSGSV
+LCDDIICDDQELDCPNPEIPFGECCAVCPQPPTAPTRPPNGQGPQGPKGDPGPPGIPGRN
+GDPGPPGSPGSPGSPGPPGICESCPTGGQNYSPQYEAYDVKSGVAGGGIAGYPGPAGPPG
+PPGPPGTSGHPGAPGAPGYQGPPGEPGQAGPAGPPGPPGAIGPSGPAGKDGESGRPGRPG
+ERGFPGPPGMKGPAGMPGFPGMKGHRGFDGRNGEKGETGAPGLKGENGVPGENGAPGPMG
+PRGAPGERGRPGLPGAAGARGNDGARGSDGQPGPPGPPGTAGFPGSPGAKGEVGPAGSPG
+SSGAPGQRGEPGPQGHAGAPGPPGPPGSNGSPGGKGEMGPAGIPGAPGLIGARGPPGPPG
+TNGVPGQRGAAGEPGKNGAKGDPGPRGERGEAGSPGIAGPKGEDGKDGSPGEPGANGLPG
+AAGERGVPGFRGPAGANGLPGEKGPPGDRGGPGPAGPRGVAGEPGRDGLPGGPGLRGIPG
+SPGGPGSDGKPGPPGSQGETGRPGPPGSPGPRGQPGVMGFPGPKGNDGAPGKNGERGGPG
+GPGPQGPAGKNGETGPQGPPGPTGPSGDKGDTGPPGPQGLQGLPGTSGPPGENGKPGEPG
+PKGEAGAPGIPGGKGDSGAPGERGPPGAGGPPGPRGGAGPPGPEGGKGAAGPPGPPGSAG
+TPGLQGMPGERGGPGGPGPKGDKGEPGSSGVDGAPGKDGPRGPTGPIGPPGPAGQPGDKG
+ESGAPGVPGIAGPRGGPGERGEQGPPGPAGFPGAPGQNGEPGAKGERGAPGEKGEGGPPG
+AAGPAGGSGPAGPPGPQGVKGERGSPGGPGAAGFPGGRGPPGPPGSNGNPGPPGSSGAPG
+KDGPPGPPGSNGAPGSPGISGPKGDSGPPGERGAPGPQGPPGAPGPLGIAGLTGARGLAG
+PPGMPGARGSPGPQGIKGENGKPGPSGQNGERGPPGPQGLPGLAGTAGEPGRDGNPGSDG
+LPGRDGAPGAKGDRGENGSPGAPGAPGHPGPPGPVGPAGKSGDRGETGPAGPSGAPGPAG
+SRGPPGPQGPRGDKGETGERGAMGIKGHRGFPGNPGAPGSPGPAGHQGAVGSPGPAGPRG
+PVGPSGPPGKDGASGHPGPIGPPGPRGNRGERGSEGSPGHPGQPGPPGPPGAPGPCCGAG
+GVAAIAGVGAEKAGGFAPYYGDEPIDFKINTDEIMTSLKSVNGQIESLISPDGSRKNPAR
+NCRDLKFCHPELQSGEYWVDPNQGCKLDAIKVYCNMETGETCISASPLTIPQKNWWTDSG
+AEKKHVWFGESMEGGFQFSYGNPELPEDVLDVQLAFLRLLSSRASQNITYHCKNSIAYMD
+HASGNVKKALKLMGSNEGEFKAEGNSKFTYTVLEDGCTKHTGEWGKTVFQYQTRKAVRLP
+IVDIAPYDIGGPDQEFGADIGPVCFL
+>tr|A0A3Q1LXZ0|A0A3Q1LXZ0_BOVIN Lysozyme-like protein 6 OS=Bos taurus OX=9913 GN=LYZL6 PE=3 SV=1
+MTSPLLISLASCLVAVNQASLIGRCDLAKVLHQEDLDGFEGYSLTDWLCLAFVESDFNIT
+KVNENTDGSFDYGIFQINSHYWCNDYQSHTENNCQVDCQGLARAPGWERTAEPQSSRNYQ
+LCKKDCVRSWGHEELGKMEVALCWPAPLLLDDRLSPGMRQGAGL
+>tr|A0A3Q1N6S1|A0A3Q1N6S1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=C18H16orf74 PE=4 SV=1
+MGLKLTCLKGLKMCVSSSGSHDEAPVLSDKHLDVPNIIITPPTPTGVALPRDTRRAGEVL
+LSGWGAGVGVCSCALLKIYTWICTHSTLGPCPWHQPQQVMVYGIISSIASSGSWVSHGWG
+RVGLPRW
+>tr|C7FFR6|C7FFR6_BOVIN Dolichol-phosphate mannosyltransferase subunit 1 OS=Bos taurus OX=9913 GN=DPM1 PE=2 SV=1
+MAAEEASRSSPRFRREPKGRVSRQDKYSVLLPTYNERENLPFIVWLLVKSFSESGFNYEI
+IIIDDGSPDGTRDIAEQLEKIYGSDRILLRPREKKLGLGTAYIHGMKHATGNYIIIMDAD
+LSHHPKFIPEFIRKQKEGNFDIVSGTRYKGNGGVYGWDLKRKIISRVANFITQILLRPGA
+SDLTGSFRLYRKEVLQKLIGKCISKGYVFQMEMIVRARQLNYTIGEVPISFVDRVYGESK
+LGGNEIVSFLKGLLTLFATT
+>tr|A6QQG2|A6QQG2_BOVIN ZNF192 protein OS=Bos taurus OX=9913 GN=ZNF192 PE=2 SV=1
+MAAESRKSLAPSPPDQVPEEDLVIVKVEEDHGWDQESSLHENNPPGQELFRLRFRKLCYQ
+ETLGPREALIQLRALCHQWLRPDLNTKEQILELLVLEQFLTILPEELQTLVKEHQLENGE
+EVVTLLEDLERQIDILGRPVPARPHGHRVLWEELMHSESAPEPPDTQLQPVAMQHKSAVL
+QGPHDRAISVSQSPTPSQKGSPRDQEMTATLLTAGFQTLEKIEDMAVSLIREEWLLDSSQ
+KDPSRDNRPENYRNMFSLGGETRNENRELTSKQVISTGIQPHGETAAKCNGDVIGGLEPG
+EARDLLGSLERQRGNPTQERRHKCDECGKSFAQSSGLVRHWRIHTGEKPYQCNVCGKAFS
+YRSALLSHQDIHNKVKRYHCKECGKAFSQNTGLILHQRIHTGEKPYQCNQCGKAFSQSAG
+LILHQRIHSGERPYECNECGKAFSHSSHLIGHQRIHTGEKPYECDECGKTFRRSSHLIGH
+QRSHTGEKPYKCNECGRAFSQKSGLIEHQRIHTGERPYKCKECGKAFNGNTGLIQHLRIH
+TGEKPYQCNECGKAFIQRSSLIRHQRIHSGEKSESTGV
+>tr|A4FUX7|A4FUX7_BOVIN ADAM metallopeptidase domain 23 OS=Bos taurus OX=9913 GN=ADAM23 PE=2 SV=1
+MKPPGNSSRRPPLAGCSLARASSGPRGGSAAPAPIRALARAPPCRLLLVLFLLPPLAASS
+RPRAWGAAAPSAPYWNETAGKKLGVLADENNTLQQNSSSNSSSSHAMQKEITLPSRLIYY
+INQDSESPYHVLDTKARHQQKHNKAVHLAQASFQIEAFGSKFILDLTLNNGLLSSDYVEI
+HYENGKPQYSKGGEHCYYHGNIRGVKDSRVALSTCNGLHGMFEDHTFVYMIEPLDLVHNE
+KSTGRPHIIQKILAGKYSKQMKNLSMESSDRWPFLSELQWLKRRQKRAVNPSRGVFEEMK
+YLELMIVNDHKTYKKCRSSLAHANNFAKSVVNLVDSIYKEQLNTRVVLVAVETWTERDHI
+DITTNLVQMLHEFSKYRQRIRQHADAVHLISRVTFHYKRSSLSYFGGVCSRTRGVGVNEY
+GLPMAVAQVLSQSLAQNLGIQWEPSSRKPKCDCTESWGGCIMEETGVSHSRKFSKCSILE
+YRDFLLRGGGACLFNRPTKLFEPTECGNGYVEAGEECDCGIHVECYGLCCKKCSLSNGAH
+CSDGPCCNNTSCLFQPRGYECRDAVNGCDITEYCTGDSGQCPPNLHKQDGYACNQNQGRC
+YDGECKTRDNQCQYIWGTKASGSDKFCYEKLNTEGTEKGNCGKDGDRWIQCSKHDVFCGF
+LLCTNLTRAPRIGQLQGEIIPTSFYHQGRVIDCSGAHVVLDDDTDVGYVEDGTPCGPSMM
+CLERKCLQIQALNMSSCPLDSKGKVCSGHGVCSNEATCICDFTWAGTDCSIRDPVRNLHP
+PKDEGPKGPSATNLIIGSIAGAILVAAIVLGGTGWGFKNVKKRRFDPTQQGPI
+>tr|A5PJJ2|A5PJJ2_BOVIN Mannosyltransferase OS=Bos taurus OX=9913 GN=ALG12 PE=2 SV=1
+MAGRRPSGLGGQRQLLGLLVAVAAAHLAACPYTKVEESFNLQATHDLLYHRSDVEKFDHL
+EFPGVVPRTFLGPLLIAALSSPAVYTLSLLETSKFYSQLVVRAVVGLGVILGLWTLQKEV
+RRQFGATVAGLFCWVTASQFHLMFYCTRTLPNVLALPVVLLALAAWLQQRWARFIWLSAF
+VILVFRAELSLLLGLALLLPLCWRKLSLTRALRCAVPAGILCLGLTVAVDSYFWRYLVWP
+EGKVLWYNTVLNKSSNWGTSPLLWYFYSALPRGLGCSLLFVPLGAVDRRALALLLPALGF
+VALYSLLPHKELRFIIYTFPLLNVVAARGCARVLNSYRKSWLHRVGSLLVLGHLVVNAVY
+SATGLYVSHFNYPGGVAMQRLHQLVPARTDVVLHIDVAAAQTGVSRFLEINSAWRYEKTE
+DLQPGSERMLAYTHLLMEAAPGPLALYRDTHRVLARVPGTTGLSVNLSRLPPFDVNLQTK
+LVLLERVRGPP
+>tr|F1MFT1|F1MFT1_BOVIN R3H domain and coiled-coil containing 1 OS=Bos taurus OX=9913 GN=R3HCC1 PE=4 SV=3
+NPLSRTSSKEALPPVTLALLCLDGVFLSTAENDFVQRIQEELDRFLLQKELSKVLLFPPL
+SSRLRYLIHRTAENFDLLSSFSVGEGWRRRTVICHLDIRLPSSDGFSGPCRPPASHPSKY
+RGPRKPTASQGAAAGPPGVRAGRWHRGRKPDQALYVPRVLRRQEEGVLPAPAELQGDAPA
+GGLSEEPGDTGAGNPIADQELLVSVTQATEDQKGPGQGCEKELLPDPLAAEPSESESHAG
+TGDRSESAAQPEPGLQLDLEEGDETELERSLAAEEEVEEEEVEEEGPGSCPEDDFSELLQ
+EITNNLTQKEIQVEKIHVDTSSFVDELPGEKDFAHVVEIYDFEPVLKTEDLLATFSEFQE
+KGFKIQWVDDTHALGVFPCPASAAEALTRDFSTLKIRPLTQGARQSKLKALQRPKLLHLA
+KERPQTNTAVARRLVARALGLQHRKKERPAMEPPAVLRP
+>tr|A6QPU0|A6QPU0_BOVIN USP18 protein OS=Bos taurus OX=9913 GN=USP18 PE=2 SV=1
+MGPVGLHNIGQTCCLNSLIQVLVRNVGFAKILKRITVPGGAEEQRRSVPFQLLLLLEKMQ
+DSRKKAVQPTELAYCLQKYNIPMFVQHDAAQLYLTVWNLIKNQITDVDLVARLQALYTIR
+LKESFVCLECTSEMSRNSSMLALPLSVFDMHWKPLKTLEDALHCFFQPQELSSKDKCFCE
+SCGRKTLWKQVLTLTHLPQTLTIHLMRFSIRNLRAEKVCHSLYFPQNLDLTKLLETKGEP
+CSAEEQVSWEDVQCTYGNHSYRWRETAYLLFYVKTES
+>tr|Q3T0G3|Q3T0G3_BOVIN AHA1, activator of heat shock 90kDa protein ATPase homolog 1 (Yeast) OS=Bos taurus OX=9913 GN=AHSA1 PE=2 SV=1
+MAKWGEGDPRWIVEERADATNVNNWHWTERDASNWSTDKLKTLFLAVRVQNEEGKCEVTE
+VSKLDGEASINNRKGKLIFFYEWSVKLNWTGTSKSGVQYKGHVEIPNLSDENSVDEVEIS
+VSLAKDEPDTNLVALMKEEGVKLLREAMGIYISTLKTEFTQGMILPTMNGESVDPAGPPA
+LKTEERKAKSAPSKTQARPVGVKIPTCKITLRESFLTSPEELYRVFTTQELVQAFTHAPA
+MLEADKGGKFHLVDGNVSGEFTDLVPEKYIAMKWRFKSWPEGHFAIITLTFIDKNGETEL
+CMEGRGIPAPEEERTRQGWQRYYFEGIKQTFGYGARLF
+>tr|A8R437|A8R437_BOVIN UROS protein OS=Bos taurus OX=9913 GN=UROS PE=2 SV=1
+MKVLLLKDPKEDDCGQDPYVRELGLYGLEATLIPVLSFEFLSLPSLSEKLSHPEGYGGLI
+FTSPRAVEAVERCLQKDTKAEVWKKSLKEKWNAKSVYVVGNATASLVNRIGLHTEGETCG
+NAEKLAEYICSREPSALPLLFPCGTLKREILPKMLKDKGIPLESVTVYQTIPHPGIQGNL
+TSYYTQQDRLPNALLA
+>tr|Q32PK0|Q32PK0_BOVIN Tyrosine-protein kinase OS=Bos taurus OX=9913 GN=SYK PE=1 SV=1
+MGDGANNLPFFFGNITREEAEDYLVQGGMSDGLYLLRQSRNYLGGFALSVAHDRKAHHYT
+IERELNGTYAITGGRAHGSPAELCHYHTQELDGLVCLLKKPFNRPPGVQPKTGPFEDLKE
+NLIREYVKQTWNLQGQALEQAIISQKPQLEKLIATTAHEKMPWFHGKISRDESEQIVLIG
+SKTNGKFLIRAKDNGSYALCLLHEGKVLHYRIDKDKTGKLSIPGGKNFDTLWQLVEHYSY
+KSDGLLRVLTVPCQKIGGQSGNINFRPQLPSSHPGTRTSSGNQVDSSTTFNPYEPDRGPW
+ANEREAQREALPMDTEVYESPYADPEEIRPKEVYLDRKLLTLEDKELGSGNFGTVKKGYY
+QMKKVVKTVAVKILKNEANDPALKDELLAEANVMQQLDNPYIVRMIGICEAESWMLVMEM
+AELGPLNKYLQQNRHVKDKNIIELVHQVSMGMKYLEECNFVHRDLAARNVLLVTQHYAKI
+SDFGLSKALRADENYYKAQTHGKWPVKWYAPECINYYKFSSKSDVWSFGVLMWEAFSYGQ
+KPYRGMKGSEVSAMLEKGERMGCPPGCPREMYELMTLCWTYDVENRPGFVAVELRLRNYY
+YDVVN
+>tr|A6QLF4|A6QLF4_BOVIN TRIB1 protein OS=Bos taurus OX=9913 GN=TRIB1 PE=2 SV=1
+MRVGPVRSAMNGVSQPRTPALLLAAGRGTPAKRLLDADDAAAVAAKCPRLSECSSPPDYL
+SPPGSPCSPQPPPAAPGAGGGSGSAPGPSRIADYLLLPLAEREHVSRALCIHTGRELRCK
+VFPIKHYQDKIRPYIQLPSHRNITGIVEVILGETKAYVFFERDFGDMHSYVRSRKRLREE
+EAARLFKQIVSAVAHCHQSAIVLGDLKLRKFVFSTEERTQLRLESLEDTHIIKGEDDALS
+DKHGCPAYVSPEILNTTGTYSGKAADVWSLGVMLYTLLVGRYPFHDSDPSALFSKIRRGQ
+FCIPDHISPKARCLIRSLLRREPSERLTAPEILLHPWFESVLEPGYIDSEVGTSDQIVPE
+YQEDSDISSFFC
+>tr|Q3ZBD1|Q3ZBD1_BOVIN RAB1A, member RAS oncogene family OS=Bos taurus OX=9913 GN=RAB1A PE=1 SV=1
+MSSMNPEYDYLFKLLLIGDSGVGKSCLLLRFAWDTAGQERFRTITSSYYRGAHGIIVVYD
+VTDQESFNNVKQWLQEIDRYASENVNKLLVGNKCDLTTKKVVDYTTAKEFADSLGIPFLE
+TSAKNATNVEQSFMTMAAEIKKRMGPGATAGGAEKSNVKIQSTPVKQSGGGCC
+>tr|F1MNG8|F1MNG8_BOVIN Immunoglobulin superfamily member 9 OS=Bos taurus OX=9913 GN=IGSF9 PE=4 SV=2
+MVWCLSLAILSLIISQGADGRGKPEVVSVVGRAGESAVLGCDLLPPAGRPPLHVIEWLRF
+GFLLPIFIQFGLYSPRIDPDYVGRVRLQKGASLQIEELRVEDQGWYECRVLFLDQHTPEE
+DSANGSWVHLTVNSPPQFLETPPQVLEVWEREPVTLRCVAQGNPQPRVTWKLRGQDLGQG
+QSQVQVLNGTLRIRRVERSSAGVYTCQASSTEGSATHATQLLVLGPPVIVVPPKNSTVNA
+SQDVSLACRAEAYPANLTYSWFQDSTNVFHISRLQPRVRILVDGSLRLQAAQPDDAGRYT
+CVPSNGLPRPPSASAYLTVLYPAQVTAMPPETPLPVGMRGVIRCPVRANPPLLFVSWTKD
+GQALQLDKFPGWSQGPEGSLIIALGNEDALGEYSCTPYNSLGTAGPSPVTRVLLKAPPAF
+LERPKEEYFQEVGRELLIPCSARGDPSPTISWAKVGRGPQGQAQVDSNSSLILRPLTKEA
+HGRWECTASNAVARVAASTNVYVLGTSPHVVTNVSVVPLPKGANVSWEPGFDGGYLQRFS
+VWYTPLAKRPDRAHHDWVSLAVPVGTAFLLVPGLQPHTQYQFSVLAQNKLGSGPFSEIVL
+SAPEGLPTTPAAPSPPPTEMSPPLSPPRGLVAVRTPRGVLLHWDPPELVPKRLDGYILEG
+RQGSQGWEVLDRAVAGTEMQLLVPGLIKDVLYEFRLVAFSGSYVSDPSNTANVSTSGLEV
+YPSRTQLPGLLPQPVLAGVVGGVCFLGVAVLVSILAACLMNRRRAARRRRKRLRQDPPLI
+FSPAGKSAPHSAPGSGSPDSVAKLKLQGSPVPSLRQSLLWGDPAGPPSPPPDPPPSRGPL
+PLEPICRGPDGRFVMGPQVGTSREKSGPEQSEPRTPARRQARSYDCSSSSPSGMPQPLCI
+ADISPVGPPRPAPPSPLPGPGPLLQYLSLPFFREMNVDGDWLPVEEPGPAPPPDYMDTRP
+CPTSSNLQPLDCSSESPRAALPGVMVGVGAAPEPPYTALVDWTMRERLLPSLLPAAPRGS
+LTSQSSGRGSASFLRPPSTAPSAGGSYLSPAPGDTSSWASGPERWPRREHVVTVSKRRNT
+SVDENYEWDSEFPGDMELLETLHLGLAGPRSRLEAEPELGVKTPEEGCLLSAAHAPGPEA
+RCAALREEFLAFRRRRDAARSRLPAYRQPVFHPEQATLL
+>tr|Q2KIL6|Q2KIL6_BOVIN Centromere protein R OS=Bos taurus OX=9913 GN=ITGB3BP PE=2 SV=1
+MPVKRSLKLDGMLKADSFGSPKITRKKSAADYSPTTGTCQMSPVASPTSSKEQENRNGPS
+NGKRENLNHLSINKRMESTMQDNEFMVLLSKVEKSSEEFMEIMQNLSSIQALKGSKELEN
+LIGIPHASCVFKREMQKTKKLMTKVIKQKLFKKKNSGLPNKGV
+>tr|A6QQF1|A6QQF1_BOVIN CHD2 protein OS=Bos taurus OX=9913 GN=CHD2 PE=2 SV=1
+MKPTSPSYLSCSTLLTAQILPVETDKKPQGKQLQTRADYLLKLLRKSLEKEGAVTGGEEA
+KLKKRKPRVKKENKAPRLKDEHGIEFSSPRHSDNPSEEGEVKDDGLEKSPTKKKQKKKEN
+KENKEKQMSSRKDKEGDKERKRSKDKKEKPKGGDAKSSSKSKRSQGPVHITAGSEPVPIG
+EDEEDDLDQETFSICKERMRPVKKALKQLDKPDKGLSVQEQLEHTRSCLLKIGDRIAECL
+KAYSDQEHTKLWRRNLWIFVSKFTEFDARKLHKLYKMAHKKRSQEEEEQKKKEDVIGGKK
+PFRPEASGSSRDSLMSQPHTPHNLHPQKPHLPASHGPQMHGHPRDNYSHPNKRHFSNADR
+GDWQRERKFNYGGGNSNLPWGSDRHHQYEQHWYKDHHYGDRRHVDAHRSGSYRPNNLSRK
+RPYDQYSSDRDHRGHRDYYDRHHHDSKRRRSDEFRPQNYHQQDFRRMSDHRPTMGYHGQG
+PSDHHRSFHTDKLGEYKQPLPPLHPAVSDPRSPPSQKSPHDSKSPLDHRSPLERSLEQKN
+NPDYNWNVRKT
+>tr|G5E5T8|G5E5T8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=C21H14orf180 PE=4 SV=1
+MKTAVHALSPDSRPETQHQTRKNEEAAPGSPTPRAGREGRKGPASILRRSPQERCGRGDE
+PRRTTRHVRFREPLEVAVHYIACREPTTAVQAPSRPRPRGGSLLLRLTACILLALALGMC
+CGQAGPMARALEDFRARLLAALLHLRLAALDCWRCLLQL
+>tr|F1MU34|F1MU34_BOVIN Aminopeptidase OS=Bos taurus OX=9913 GN=ERAP1 PE=3 SV=1
+MISPSIKWSLGTRPLLLSTLLILLTVATPSSCQSREPTSPKASNGAPFPWNKIRLPEHII
+PAHYDLMIHANLTTLTFGGTTQIEITASKPTSTIILHSHRLQISKAALRKGGGERQAEEP
+LRVLENPPQEQIALLASEPLVVGLPYTIVIDYAGNLSESFHGFYKSTYRTKEGEVRILAS
+TQFEPTAARMAFPCFDEPALKASFLIKIRREPRHLAISNMPLVKSVTVAEGLIEDHFDVT
+VRMSTYLVAFIVSDFKSVSKMTKSGVKVSVYAVPDKINQADYALDAAVTLLEFYEDYFSI
+PYPLPKQDLAAIPDFQSGAMENWGLTTYRESSLLFDAEKSSASSKLGITMIVSHELAHQW
+FGNLVTMEWWNDLWLNEGFAKFMEFVSVSVTHPELKVEDYFFGKCFNAMEVDALNSSHPV
+STPVENPAQIREMFDEVSYDKGACILNMLRDYLGADAFKSGIVNYLQKYSYKNTKNEDLW
+NSMASICPTDDTQHMDGFCSRGEHASSTAHWRQEGLDVKTMMNTWTLQKGFPLITITVRG
+RNVHMKQEYYVKGAADAPETGFLWHVPLTFITSKSDAVQRFLLKTRTDVLILPEEVEWIK
+FNVGMNGYYIVHYEDDGWDSLTGLLKGTHTAISSNDRASLINNAFQLVSIGKLSIEKALD
+LTLYLKHETEIMPVFQGLNELIPMYKLMEKREMNEVETQFKAFLIRLLRDLIDKQTWTDE
+GSVSERMLRSQLLFLACVRKYQPCVQKAEGYFRQWQEAGGNLSLPNDVTLAVFAVGAQTL
+EGWDFLYSKYQSSLSSTEKNQIEFALCISQNKEKLQWLLDQSFKGDVIKTQEFPDILRAI
+GRNPVGYPLAWQFLRENWNKLVQKFELGSNSIAYMVTGTTDQFSTRARLEEVKEFFSSLK
+ENGSQLRCVQQTIETIEENIRWMDKNFDKIRAWLQNEKLNLL
+>tr|A6QLS4|A6QLS4_BOVIN NDE1 protein OS=Bos taurus OX=9913 GN=NDE1 PE=2 SV=1
+MADSGKTFSSEEEEANYWKDLAMTYKQRAENTQEELREFQEESREYEAELETQLQQTESK
+NRDLLMENNHLRMELETIKEKFKTQHSEGYRQISALEDDLAQTKAIKDKLQKYIRELEQA
+NDDLERAKRATIMSLEDFEQRLNQAIERNAFLESELDEKENLLESVQRLKDEARDLRQEL
+AVQQKQEKPRTPMPSSVDAERTDTAVQATGSVPSTPIAHRGPSSSLNTPGTFRRGLDDST
+GGTPLTPAARISALNIVGDLLRKVGALESKLASCRNFVYDQSPGRASGPASGRGSKNRDS
+VDRRPGGSNVPLGDKGLGKRLEFGKPSSNVSSPSLPSAQGVVKMLL
+>tr|Q17QV6|Q17QV6_BOVIN Cell adhesion molecule 3 OS=Bos taurus OX=9913 GN=CADM3 PE=2 SV=1
+MGAPSALPLLLLFACCWAPGGANLSQDDSQPWTSDETVVAGGTVVLKCQVKDHEDSSLQW
+SNPAQQTLYFGEKRALRDNRIQLVKSTPHELSISISNVALADEGEYTCSIFTMPVRTAKS
+LVTVLGIPQKPIISGYKSSLREKDKTTLSCQSSGSKPAAQLTWRKGDQELHGESTRIQED
+PNGKTFTVSSSVTFQVTREDDGADIVCSVNHESLKGADRSTAQRIEVLYTPTAKIRPDPP
+HPREGQKLLLHCEGRGNPVPQQYVWEKEGSVPPLKMAQESALIFPFLNKSDSGTYGCTAT
+SNMGSYKAYYTLNVNDPSPVPSSSSTYHAIIGGIVAFIVFLLLILLIFLGHYLIRHKGTY
+LTHEAKGSDDAPDADTAIINAEGGQSGGDDKKEYFI
+>tr|Q3MHW1|Q3MHW1_BOVIN Transmembrane protein 222 OS=Bos taurus OX=9913 GN=TMEM222 PE=2 SV=1
+MAFGKPAKYWKLDPAQVYASGPNAWDTAVHDASEEYKHRMHNLCCDNCHSHVALALNLMR
+YNNSTNWNMVTLCFFCLLYGKYVSVGAFVKTWLPFVLLLGIILTISLVFNLR
+>tr|Q3SX29|Q3SX29_BOVIN Secreted frizzled-related protein 2 OS=Bos taurus OX=9913 GN=SFRP2 PE=2 SV=1
+MLQGPGSLLLIVLASHCCLGSARGLFFGQSDFPYKRSNCKPIPANLQLCHGIEYQNMRLP
+NLLGHETMKEVLEQAGAWIPLVMKQCHPDTKKFLCSLFAPVCLDDLDETIQPCHSLCVQV
+KDRCAPVMSAFGFPWPDMLDCDRFPQDNDLCIPLASSDHLLPATEEAPKVCEACKTKNED
+DNDIMETLCKNDFALKIKVKEITYINRDTKIILETKSKTIYKLNGVSEKDLKKSVLWLKD
+SLQCTCEEMNDINAPYLVMGQKLGGELVITSVKRWQKGQREFKRISRSFRKLQC
+>tr|A6H718|A6H718_BOVIN ARMC6 protein OS=Bos taurus OX=9913 GN=ARMC6 PE=2 SV=1
+MASKRITQETFDAAVRENIQEFEMGPEEAVREAVEQFESQGVDLSNIVKMTPKVSADGPQ
+DLIHDILQALDDLQESLARSCPQEVSAHLTRFCEQCKEQKACRFLAAEKGAYSVLLETWK
+LAAAGDQGLLLQALNALSALTDGQPDLLDTQGLQLLVATLAHNADSAHVTCSGIRCVRLA
+CLKHEQNRQSLVKAGVLPLLTGAIARHSRCADVVREACWALRVMTFDDDIRVPFGHAHDH
+AKMIVQENGGLKVLIEAAKVFPDNPSILSELCSTLSRLAVRNEFCQEVVDLGGLSVLVAL
+LADCNDHQELVKQVLSALRAIAGNDDVKDAIVRAGGTESIVAAMTRHLASPQVCEQSCAA
+LCVLALRKPANSRVIMEGGGAQAALQAMKAHPQEAGVQKQACMLIRNLVARSQAFSQPIL
+DLGAEALISRARAAHRDCEDVAKAALRDLGCHVELRELWTGQKGDLAP
+>tr|A0A3Q1M1Q6|A0A3Q1M1Q6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC112444288 PE=4 SV=1
+MSSTLARNEEKKGSRPSQPTTPATSLGCDLKVSRSEEFLTQISTELTDEALFVAAYHMNP
+VPIKEKQTQDRGTQISKHVFFTKTRGTDTR
+>tr|Q56JW8|Q56JW8_BOVIN CD52 molecule OS=Bos taurus OX=9913 GN=CD52 PE=2 SV=1
+MKGFLFLLFTISLLIMIQIQTGVLGNHTISTSKKPRSGSPAFGSLAGGSILFFLTNTLIQ
+LFHLT
+>tr|A6QLP5|A6QLP5_BOVIN HIAT1 protein OS=Bos taurus OX=9913 GN=MFSD14A PE=2 SV=1
+MTQGKKKKRAANRSIMLAKKIIIKDGGTPQGIGSPSVYHAVIVIFLEFFAWGLLTAPTLV
+VLHETFPKHTFLMNGLIQGVKGLLSFLSAPLIGALSDVWGRKSFLLLTVFFTCAPIPLMK
+ISPWWYFAVISVSGVFAVTFSVVFAYVADITQEHERSMAYGLVSATFAASLVTSPAIGAY
+LGRVYGDSLVVVLATAIALLDICFILVAVPESLPEKMRPASWGAPISWEQADPFASLKKV
+GQDSIVLLICITVFLSYLPEAGQYSSFFLYLRQIMKFSPESVAAFIAVLGILSIIAQTIV
+LSLLMRSIGNKNTILLGLGFQILQLAWYGFGSEPWMMWAAGAVAAMSSITFPAVSALVSR
+TADADQQGVVQGMITGIRGLCNGLGPALYGFIFYIFHVELKELPMTGTDLGTNTSPQHHF
+EQNSIIPGPPFLFGACSVLLALLVALFIPEHTNLSLRSSSWRKHCGSHSHPHSTQAPGEA
+KEPLLQDTNV
+>tr|E1B7S7|E1B7S7_BOVIN Zinc finger protein 24 OS=Bos taurus OX=9913 GN=ZNF24 PE=4 SV=1
+MSAQSVEEDSILIIPTPDEEEKILRVKLEEDPDGEEGSSIPWNHLPDPEVFRQRFRQFGY
+QDSPGPREAVSQLRELCRLWLRPETHTKEQILELVVLEQFVAILPKELQTWVREHHPENG
+EEAVTVLEDLESELDDPGQPVSLRRRKREVLVEEIVSQEEAQGLPSSELDAVENQLKWAS
+WELHSLRHCDDDARTENGALAPKQEIPSAGESHEVPGTLNIGVPQIFKYGETCFPKGRFE
+RKRNPSRKKQHICDECGKHFSQGSALILHQRIHSGEKPYGCVECGKAFSRSSILVQHQRV
+HTGEKPYKCLECGKAFSQNSGLINHQRIHTGEKPYECVQCGKSYSQSSNLFRHQRRHNAE
+KLLNVVKV
+>tr|Q58HC3|Q58HC3_BOVIN Potassium voltage-gated channel, shaker-related subfamily, beta member 2, transcript variant 2 OS=Bos taurus OX=9913 GN=KCNAB2 PE=2 SV=1
+MYPESTTGSPARLSLRQTGSPGMIYRNLGKSGLRVSCLGLGTWVTFGGQITDEMAEQLMT
+LAYDNGINLFDTAEVYAAGKAEVVLGNIIKKKGWRRSSLVITTKIFWGGKAETERGLSRK
+HIIEGLKASLERLQLEYVDVVFANRPDPNTPMEETVRAMTHVINQGMAMYWGTSRWSSME
+IMEAYSVARQFNLIPPICEQAEYHMFQREKVEVQLPELFHKIGVGAMTWSPLACGIVSGK
+YDSGIPPYSRASLKGYQWLKDKILSEEGRRQQAKLKELQAIAERLGCTLPQLAIAWCLRN
+EGVSSVLLGASSADQLMENIGAIQVLPKLSSSIIHEIDSILGNKPYSKKDYRS
+>tr|Q3T128|Q3T128_BOVIN Membrane spanning 4-domains A8 OS=Bos taurus OX=9913 GN=MS4A8 PE=2 SV=1
+MNPTTSAGPMANSVFVVTPPNGYTVLPGGVSQVPIYPNQSQVHVIHGNPPCVSQQPTERT
+LKEGKALGATQILIGLIHLGLGSVMGTVLMGNYTAVSFYGGFPFWGGIWFIISGSLSVLA
+EKHSRSSCLLNSSVGFNIVSAIFSMVGITLFIVELIINSTYIYPLYDPPSHTWGRVPGMA
+VSGLLLIFCILEVFIASISAHFGCQLTCYQTNNVGVVIPNVYVTNPAVIPEPENSPPNYS
+DVPRDK
+>tr|Q0VC97|Q0VC97_BOVIN Carbonyl reductase 3 OS=Bos taurus OX=9913 GN=CBR3 PE=2 SV=1
+MSSYTRVALVTGANKGIGFAIARDLCREFPGDVVLTARDKARGRAAVQQLQAEGLSPRFH
+QLDIDDLQSIRALRDFLRKEYGGLNVLVNNAGIAFKTDDPTPFDIQAEMTLKTNFFATRN
+VCTELLPIVKPHGRVVNVSSSQGSQALENCSEDLQEKFRCETLTEEDLVDLMKKFVEDTK
+NEVHEREGWPNSAYGVSKLGVTVLSRILARRLEEKRKADRILLNACCPGWVKTDLGGAHA
+SRTVEEGAETPVYLALLPPDATEPHGQLVRDKVVQNW
+>tr|A5PKF8|A5PKF8_BOVIN PTPRR protein OS=Bos taurus OX=9913 GN=PTPRR PE=2 SV=1
+MRRAVGFPALCLLLSLHAAGCLAKNNDHFLAIRQKKSGKPAFIYHHSQDIEKSLDIAPQK
+IHKHNYHSSSEAQIGRHHQIVNSAFPKPAYDPSLNLLAMAGQDLEVENRPVPAANVIVVT
+LQMDVNRLNITLLRIFRQGVAAALGLLPQQVHINRLIEKKNSVELFVSPINRKAGFSDAL
+PSEEVLRSLNINVLHQSLSQFGITDVSPEKNVLQGQHEADKIWSKEGFYAVVIFLSIFVI
+IVTCLMILYRLKEKFQLSLRQDKEKNQEIHLSPIVLQPAHSEDKTANSMVQPEQAPKVLS
+VVVDPQGRYTPEIKATTSVCPSPFKMKPIGLQERRGSNVSLTLDMSSLGNVEPFVAIPTP
+REKVAMEYLQSASRILTRSQLRDVVASSHLLQSEFMEIPMNFVDPKEIDIPRHGTKNRYK
+TILPNPLSRVCLRPKNVTDSLSTYINANYIRGYSGKEKAFIATQGPMINTVNDFWQMVWQ
+EDSPVIVMITKLKEKNEKCVLYWPEKRGIYGKVEVLVISVNECDNYTVRNLVLKQGSHTQ
+RVKHYWYTSWPDHKTPDSAQPLLQLMLDVEEDRLASAGRGPVVVHCSAGIGRTGCFIATS
+IGCRQLREEGVVDALSIVCQLRVDRGGMVQTSEQYEFVHHALCLYESRLSAETVQ
+>tr|G3X7G7|G3X7G7_BOVIN Pleckstrin homology and RUN domain containing M1 OS=Bos taurus OX=9913 GN=PLEKHM1 PE=4 SV=2
+MLSGVENGLDPRAAIPVIKKKLVGSVKALQKQYVSSDTVVTSEDGDANTMCSALEAVFIH
+GLHAKHIRAEAGGKRKKGAHQKPLPQPVFWPLLKAVTHKHIISELEHLIFVSTDVGRCRA
+WLRLALNDGLMECYLKLLLQEKARLCEYYQPTALLRDAEEGEFLLSFLQGLTSLSFELSY
+KSAILNEWTLTPLALSGLCPLSELDPLTIPGAQLQRKESLDSISHSSGSEDIEVQHSGHK
+IRRNRQLTASSLSLDTASSSQLSCSLNSDSCLLQENGSKSPDRSEEPMSYDSDLGMANAD
+DSDMSLQEVLSEFSKAQVNSVPANGPIRETEVPTLQAPLPFPGLNTSTSLHSEETAEPRH
+AQASPGTGDGDHKREPLAQAPSPLGWPQPGPAQAVLSGSASGQQPPCPVRDATRAASQES
+GQQKPPEDSGPRLSLAVPSPTSPKSKSWISEDDFYRPPREQPRQSPSDAATASPREIPES
+RPGLLVQEPRRSCSMEALDKACAPSPGSGRSRAALPPPEHRNFRVVHRRQMGLSNPFRGL
+LKLGTVERRGAMGIWKEFFCELSPLELRLFLSGKERTCVETCSLLRCESVGPAHSDGRFE
+LLFSGKKLTLRTSSRDEAEDWLDRVREVLQKCRPLQEDDWVTIQYPEEPEDPPEVPQGGH
+PAPSDLHIEAETPQGPQFNWSFSQVPEPDAIKESLLYLYTDRTWTPYIFSLSLESLKCFR
+VRNNEKMLSDSHGVETIRDILPDTSLGGPAFFKIITAKAILKLQAGNAEEAALWRDMLRK
+VLASYLETAEEAVTLGGSLDESCQEVLKFATRENGFLLQYLVAIPTEKGLDSQGCFCAGC
+SRQIGFSFVRPKLCAFSGLYYCDICHQDDVSVIPARIIHNWDLTKRLVCRQALKFLTQIR
+AQPLINLQLVNASLYEHVEQMRLIGRSREQLKLLGDYLGLCRSGALKELSKRLNHRNYLL
+ESPHKYSVADLQQIADGVYEGFLKALIEFASQHVYHCDLCTQRGFICQICHHHDIIFPFE
+FDTTVRCSECKTVFHQTCQAVVKKGCPRCARRRKYQEQNSLT
+>tr|Q5E9L8|Q5E9L8_BOVIN Mitochondrial 2-oxodicarboxylate carrier OS=Bos taurus OX=9913 GN=SLC25A21 PE=2 SV=1
+MSAKPSVGFVNEASRQILAGGSAGLVEICLMHPLDVVKTRFQIQRCTTDPNSYKSLGDSF
+RMIFRTEGLFGFYKGILPPILAETPKRAVKFFTFEQYKKLLGYVSLSPALTFAVAGLGSG
+LTEAIVVNPFEVVKVGLQANRNRFTEDPTLEFLRKFGIGLLSGTIASVINIPFDVAKSRI
+QGPQPVPGEIKYKTCFKTMATVYQEEGILALYKGLLPKIMRLGPGGAVMLLVYEYTYSWL
+QENW
+>tr|A6QPA8|A6QPA8_BOVIN B-cell receptor-associated protein 29 OS=Bos taurus OX=9913 GN=DUS4L PE=2 SV=1
+MKSDYIQTTTCQERRKDPIEMFHSGQLVKICAPMVRYSKLSFRTLVRKYDCDLCYTPMIV
+AADFVRSAKARDSEFTTNQGDCPLIVQFAANDARLLSDAARIVCPYANGIDINCGCPQRW
+ALAEGYGACLINKPELVRDMVKQVRNQVENPRFSVSIKIRIHDDLTRTVDLCRKAEATGV
+SWITVHGRTVEERHQPVHYEAIKIIKESMSIPIVANGDIRSLKEAENVWHTTGTDGKK
+>tr|A6QPR0|A6QPR0_BOVIN COG5 protein OS=Bos taurus OX=9913 GN=COG5 PE=2 SV=1
+MEGGDGGVSMAGRGAPGSGAAAATVRELLQDECYSDFLNEDFDVKTYTSQSIHQAVIAEQ
+LAKLAQGISQLDKELHLQVVARHEDLLAQATGIESLEGVLQMMQTRIGALQGAVDRMKAK
+IIEPYNKIVARTAQLARLQVACDLLRRIIRILYLSKRLQGQLQGGSREITKAAQSLNELG
+KSSCSSIHWLKDICVSSFW
+>tr|Q29RR9|Q29RR9_BOVIN Chemokine (C-C motif) ligand 14 OS=Bos taurus OX=9913 GN=CCL14 PE=2 SV=1
+MKVSMAAVSFLLLLSITVALGSKNGSSSRGPYHPAECCLTYVSRPVPRQRVSSYYETSSQ
+CPKPGIIFITKKGHYICANPRDGWVQDYIKELEE
+>tr|E1BH17|E1BH17_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=GSTM2 PE=4 SV=2
+MPMILGYWDIRGLAHAIRLLLEYTDTNYEERQYSVGDAPDYDRSQWLDEKFKLGLDFPNL
+PYLIDGTHKLTQSNAILRYIARKHNMCGETEEEMIRVDILENQVMDVRFAMARICYSPDF
+EKLKPGFLKEIPEKIKLFSEFLGKRPWFAGDKLTYVDFLVYDVLDMHRIFEPKCLDAFPN
+LKDFISRFEGLKKISAYMKSSRFLPGPLFMKLAVWGNK
+>tr|F1ML83|F1ML83_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC518526 PE=3 SV=3
+MGCDRNCGLVTGAVIGAVVALFGGILMPVGDMLIEKTIKKESVLEEGTIAFKNWVKAGAN
+VYRQFWIFDVQNPEEVAVNSSKIKVKQRGPYTYRVRYLAKDIISQDSKTHTVSFQRPKGA
+IFEPSLSVGTENDTFTVLNLAVAATPHLYPSTFVQIILNVFIKNSNSFMFQKRTLKELLW
+GYKDPFLSSVPYSIPTTVGVFYPYNNTADGVYKVFNGKDDISKVARIDTYKGKKHLSYWP
+SYCGMINGTDGATFPPFIEKNRVLQFFSADICRSFYAVFGAEINLKGIPVYRFVLPSRIF
+ASPLQNPDNHCFCTEKIISKGCTLYGVLDISKCKEGKPVYISLPHFLHASPEIAELIEGL
+SPNEEEHSTYLDVEPITGFTLQFAQRMQINILVKPAKNIVALKDLKHNYLVPILWLNETA
+TIADEKAEIFRNQVTGKINLLGLIEMILMSVGAVMFIAFTISYCACRLKNAK
+>tr|A0A3Q1M095|A0A3Q1M095_BOVIN Cytoplasmic polyadenylation element binding protein 3 OS=Bos taurus OX=9913 GN=CPEB3 PE=4 SV=1
+MQDDLLMDKSKTQPQPQQQRQQQQQPQPEPSTAEAPSTPLSSETPKPEDSSAVPALSPAA
+APPAPNGPDKMQMESPLLPGLGFHQPPQQPPPPPQEPAAPGASLSPSFGSTWSTGTTNAV
+EDSFFQGITPVNGTMLFQNFPHHVNPVFGGTFSPQIGLAQTQHHQQPPPPAPQPAQPAQP
+PQAQPSQQRRSPASPSQAPYAQRGATAAYGHQPIMTSKPSSSSAAAAVAAAAAASSASSS
+WNTHQSVNAAWSAPSNPWGGLQAGRDPRRAVGVGVGVGVGVPSPLNPISPLKKPFSSNVI
+APPKFPRAAPLTSKSWMEDNAFRTDNGNNLLPFQDRSRPYDTFNLHSLENSLMDMIRTDH
+EPLKGRMGINFHHPGTDNIMALNTRSYGRRRGRSSLFPFEDAFLDDSHGDQSLSSGLSSP
+TRCQNGERVERYSRKVFVGGLPPDIDEDEITASFRRFGPLVVDWPHKAESKSYFPPKGYA
+FLLFQEESSVQALIDACLEEDGKLYLCVSSPTIKDKPVQIRPWNLSDSDFVMDGSQPLDP
+RKTIFVGGVPRPLRAVELAMIMDRLYGGVCYAGIDTDPELKYPKGAGRVAFSNQQSYIAA
+ISARFVQLQHNDIDKRVEVKPYVLDDQMCDECQGTRCGGKFAPFFCANVTCLQYYCEYCW
+ASIHSRAGREFHKPLVKEGGDRPRHVPFRWS
+>tr|Q08DE9|Q08DE9_BOVIN CUL2 protein OS=Bos taurus OX=9913 GN=CUL2 PE=2 SV=1
+MSLKPRVVDFDETWSKLLTTIKAVVMLEYVERATWNDRFSDIYALCVAYPEPLGERLYTE
+TKIFLENHVRHLHKRVLESEEQVLVMYHRYWEEYSKGADYMDCLYRYLNTQFIKKNKLTE
+ADLQYGYGGVDMNEPLMEIGELALDMWRKLMVEPLQAILIRMLLREIKNDRGGEDPNQKV
+IHGVINSFVHVEQYKKKFPLKFYQEIFESPFLTETGEYYKQEASNLLQESNCSQYMEKVL
+GRLKDEEIRCRKYLHPSSYTKVIHECQQRMVADHLQFLHAECHNIIRQEKKNDMANMYVL
+LRAVSTGLPHMIQELQNHIHDEGLRATSNLTQENMPTLFVESVLEVHGKFVQLINTVLNG
+DQHFMSALDKALTSVVNYREPKSVCKAPELLAKYCDNLLKKSAKGMTENEVEDKLTSFIT
+VFKYIDDKDVFQKFYARMLAKRLIHGLSMSMDSEEAMINKLKQACGYEFTSKLHRMYTDM
+SVSADLNNKFNNFIKNQDTVIDLGISFQIYVLQAGAWPLTQAPSSTFAIPQELEKSVQMF
+ELFYSQHFSGRKLTWLHYLCTGEVKMNYLGKPYVAMVTTYQMAVLLAFNNSETVSYKELQ
+DSTQMNEKELTKTIKSLLDVKMINHDSEKEDIDVESSFSLNMNFSSKRTKFKITTSMQKD
+TPQEMEQTRSAVDEDRKMYLQAAIVRIMKARKVLRHNALIQEVISQSRARFNPSISMIKK
+CIEVLIDKQYIERSQASADEYSYVA
+>tr|A0A3Q1M3N2|A0A3Q1M3N2_BOVIN [F-actin]-monooxygenase MICAL1 OS=Bos taurus OX=9913 GN=MICAL1 PE=4 SV=1
+MASTISTNPAHAHFESFLQAQLCQDVLSSFQGLCGALGVEPGGGLSQYHKVKAQLNYWNA
+KSLWAKLDKRASQPVYQQGRACTGTKCLVVGAGPCGLRAAVELAMLGARVVLVEKRTKFS
+RHNVLHLWPFTIHDLRALGAKKFYGRFCTGSLDHISIRQLQLLLLKVALLLGVEIHWGIT
+FTGLQPPPKKGSGWRAQLQPSPPAQLAKYEFDVLISAAGGKFVPEGFTVREMRGKLAIGI
+TANFVNGRTVEETQVPEISGVARIYNQSFFQSLLKATGIDLENIVYYKDDTHYFVMTAKK
+QCLLRLGVLHKDWPDTERLLGSANVVPEALQRFARAAADFATHGKLGKLEFARDAHGRPD
+VSAFDFTSMMRAESSARVQERHGTRLLLGLVGDCLVEPFWPLGTGVARGFLAAFDAAWMV
+KRWAEGAGPLEVLAERESLYQLLSQTSPENMHRNVAQYGLDPATRYPNLNLRAVTPSQVR
+DLYDMEAKEPVQRMSDETDSGKAATGAVGSQEELLRWCQEQTAGYPGVHVTDLSSSWADG
+LALCALVHRLRPALLEPSELQGMGALEATSWALKMAEHELGITPVLSAQAMVAGSDPLGL
+IAYLSHFHSAFKSVPHNPGSVSQGSPGTASAVLFLGKLQRTLQRTRTQENGEDAGGKKPR
+LEVKAETPSTEEPPVPKPDEPMTPPSQQQDASAEDLCALCGQHLYILERLCADGRFFHRS
+CFRCHICEATLWPGGYRQHPGDGYLYCLQHLPQTGHEEDSSDRGPESQDLPMSSENNTPS
+GPATPVDLHQGTSPVPNPIQPTRRLIRLSSPERQRLSSLHLTPDPEMEPPPKPPRSCSTL
+AHQALEASFKGWGMPVQSPQVLEAMEMGEEERSSSSEEETEEEEDVPLDSDMEHFLRNLA
+ENSGTMNNYPTWRRTLLRRAKEEEMKRFCKAQAIQRRLNEIEAALRELEARGTELELALR
+SQSSSPEKQKALWVEQLLQLVQKKNSLVAEEAELMITVQELNLEEKQWQLDQELRTYMNR
+EGKQDVGRGWYLHKPRDCRYCPATVFQSEYL
+>tr|A5PKJ7|A5PKJ7_BOVIN RND3 protein OS=Bos taurus OX=9913 GN=RND3 PE=2 SV=1
+MKERRASQKLSSKSIMDPNQNVKCKIVVVGDSQCGKTALLHVFAKDCFPENYVPTVFENY
+TASFEIDTQRIELSLWDTSGSPYYDNVRPLSYPDSDAVLICFDISRPETLDSVLKKWKGE
+IQEFCPNTKMLLVGCKSDLRTDVSTLVELSNHRQTPVSYDQGANMAKQIGAATYIECSAL
+QSENSVRDIFHVATLACVNKTNKNVKRNKSQRATKRISHMPSRPELSAVATDLRKDKAKS
+CTVM
+>tr|A6QNV3|A6QNV3_BOVIN PCDHGB4 protein OS=Bos taurus OX=9913 GN=PCDHGB4 PE=2 SV=1
+MGSGAGKSGWAERRPVLFPFLLSLFCLALSEQIHYRIPEEMPEGSVVGNLSKDLRLSVHE
+LPTRKLRVSSEKPYFAVSAESGELLVSSRLDREQICGKKSACALEFEVVAENPLNFYHVN
+VEIEDVNDHTPKFSQNSFELQISESTKSGARFILGSAHDADIGTNSLQNYQLSPDDHFSL
+VIKEKLDGSKYPELVLMTPLDREEQKSYHLTLTALDFGDPPLSSAAQIQVLVTDANDNPP
+VFSQELYRVELPENVLPGTTVLRVMATDQDDGVNAEITFSFTEAGQITQFDLNSNTGEII
+ILNTLDFEEVKEYSIVLEAKDGGGMIAQCTVEIEVLDINDNAPEVVFQSLPDFIMEDTKL
+GTHIALLKIRDKDSGHNGEVICKLEGDVPFKILTSSRNTYKLVTDGVLDREQTPGYNITI
+TATDKGKPPLSSSSSITLHIGDVNDNMPVFERASYVVHVAENNPPGASITQVSAFDPDLG
+PNGHVSYSIVASDLEPRALSSYVSVNPQSGVVFAQRAFDHEQLRAFELTLQARDHGSPAL
+SSNVSLRVLVGDRNDNAPRVLYPALGPDGSALFDTVPRAAQPGYLVTKVVAVDADSGHNA
+WLSYHVLQANEPGLFSVGLRTGEVRTARALGDRDAARQRLLVAVRDGGQPPLSATATLLL
+VFADSLQEALPDLSDRPSPSDPQAELQFYLVVALALISVLFLLAVILAVALQLRRSSSPA
+AWGCFKPGLCVKSEPGVLPNYSEGTLPYSYNLCVAHTGKTEFNFLKCSEQLNSGQNILSG
+DSSGALFPFCNSNESTSHQQAPPNTDWRFSQAQRPGTSGSQNGDETGTWPNNQFDTEMLQ
+AMILASASEAADGSSTLGGGAGTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATLTNAAG
+KRDGKAPAGGNGNKKKSGKKEKK
+>tr|A4FV70|A4FV70_BOVIN ALOX12E protein OS=Bos taurus OX=9913 GN=ALOX12E PE=2 SV=1
+MGKYRIRVATGDSLLAGSSNLVQLWLVGEHGEKDLGKILRPIRIREVQLEVEVSLYLGRL
+LLVKLRKHKSLVGFDWFCKWIAVQGPGTQGEAFFPCYRWVQGNEIICLPEGTARTVRDDP
+QNQFKKHREKELEERRKVYRWGFWKEGLILPIAGNTQWDLPRNERFLEDKDLDFSLSLAK
+VLKDLALKGSLDLTNSVRRLEDFNKVFPQGKTPLAERVRNCWKDDALFGYQFLNGANPML
+LRRSTSLPSRLVLPPEMEDLKTQLEKELQTGSLFEADFSLLDGVKPNVIIFKQQYVAAPL
+VMLKLQPDGRLLPMVIQLQPPRNGCPPPVLFLPSDPPMAWLLAKTWVRSSDFQLHQLQSH
+LLRGHLIAEVISVATMRSLPSLHPIYKVVSTGSGGHVDILQRATAGLTYRSFCPPDDLAD
+RGLLDVKSSLYGQDALRLWGVISRYVEKMVSLFYKSDGAVKDDPELQAWCREITETGLQG
+AQDRGFPISLESRAQLCHFITMCIFTCTGQHASTHQGQLDWYSWIPNGPCTMQKPPPISK
+DVTEKDIVDSLPSLHQARTQKTFIKFLGRRQPVMVALGQHKENYFSDPGPQAVLKQFQEE
+LAALDKEIEVRNAGLDLPYEYLRPSMVENSVTI
+>tr|B3STU8|B3STU8_BOVIN Chromodomain Y like OS=Bos taurus OX=9913 GN=CDYL PE=2 SV=1
+MDLARSGIKILVPKSPIKSRTAVDGFQDESPEKPDPVEQGPEDTAAPEAAAEKPVGALLG
+PGAERARMGSRPRIHPLVPQASGPVTAAMATGLAVNGKGTSPFMDALTANGTTTLQTSVT
+GVTAGKRKFIDDRRDQPFDKRLRFSVRQTESAYRYRDIVVRKQDGFTHILLSTKSSENNS
+LNPEVMKELQSALSTAAADDSKLVLLSAVGSVFCCGLDFIYFIRRLTDDRKRESARMAEA
+IRNFVNTFIQFKKPIIVAVNGPAIGLGASILPLCDVVWANEKAWFQTPYTTFGQSPDGCS
+TVMFPKIMGGASANEMLLSGRKLTAQEACGKGLVSQVFWPGTFTQEVMVRIKELASCNPV
+VLEESKALVRCNMRLELEQANERECEVLKKIWGSAQGMDSMLKYLQRKIDEF
+>tr|Q32LC5|Q32LC5_BOVIN CKLF-like MARVEL transmembrane domain containing 2 OS=Bos taurus OX=9913 GN=CMTM2 PE=2 SV=1
+MADKKGKPGEAEAPPPQKGEKDPEEPKKKSEQPKDEVGTRKGCRRYKWEFKDSNKEFWSV
+GHAEVKLISLACLIGSLVMFTGSTVHPLLTLIITMEISIFIFFFIIYTFAIQRYLPFILW
+PISDLLNDLFCCGFLVGAAVFALRSRQTVPTNYLAAVVFLCVAALFALVDVCLQKKHFKG
+KKIKRNVLVPPPPKEPEKPVAEVKPVDAEKKKEAGKPKEAKAKGAAGAKGGKK
+>tr|A0A3Q1LFM8|A0A3Q1LFM8_BOVIN FERM domain containing 4B OS=Bos taurus OX=9913 GN=FRMD4B PE=4 SV=1
+MTEGRHCQVCLLDDRRLELLVQPKLLSRELLDLVASHFNLKEKEYFGITFTDDTGQQNWL
+QLDHRVLDHDLPKKPGPTLLYFSVRFYIESISFLKDKTTVELFFLNAKSCVHKGQIEVDS
+ETIFRLAALILQEAKGDYTSDENARKDLKTLPAFPTKTLQEHPSLAYCEDRVIEHYLKIK
+GLTRGQAVVQYMKIVEALPTYGVHYYAVKDKQGLPWWLGISYKGIGQYDLQDKVKPRKLF
+QWKQLENLYFREKKFAVEVHDPRRISVSRRTFGQSGLFVQTWYANSSLIKSIWVMAISQH
+QFYLDRKQSKAKIPSARSLDDIAMDLTETGAPRVSKPVTLEAKSQFIMASNGSLISSGSQ
+DSEVSEEQKREKILELKKKEKLLQEKLLKKVEELKKICLREAELTGKMPKEYPLNVGEKP
+PQVRRRIGTAFKLDDNLLPSEEDPALQELESNFLIQQKLVEAAKKLANEPDLCKTVKKKR
+KQDYTDAVKKLQEIENAINEYRIRCGKKPSQKATVILPEDIIPSESSSLSDTTTYDDPND
+TFTLAGQRSSSVPHSPRILPPKSLGIERIHFRKSSINEQFVDTRQSREMLSTHSSPYKTL
+ERRPQGGRSMPTTPVLTRNAYSSSHLEPEPSSQHCRQRSGSLESQSHLLSEMDHEKPFFS
+LSKSQRSSSTEVLDDGSSYTSQSSTEYYCTTPVAGPYYTTQTLDTRSRGRRRSKKQNAST
+SNSGSMPNLAHKDSLRNGVYPKSQEQPSSSCHIAGYTPYAECDLYYAGGYVYENDTEGQY
+SVNPSYRSSAHYGYDRPRDCSRSFHEDEVDRVPHNPYATLRLPRKAAAKSEHITKNIHKA
+LVAEHLRGWYQRASGQKEQAHSAQTSFDSDRGSQRSLGFAGLQVPCSPSSRASSYSSVSS
+TNASGNWRTQITLGLSEYEIPAHSSYTSCYSNIYNPLPSPGRPYTETGQLDGTDGSRLED
+SLGSSEQRLFWHEDSKPGTLV
+>tr|A5D7A4|A5D7A4_BOVIN RIT1 protein OS=Bos taurus OX=9913 GN=RIT1 PE=2 SV=1
+MDSGTRPIGSCSSPAGLSREYKLVMLGAGGVGKSAMTMQFISHRFPEDHDPTIEDAYKIR
+IRIDDEPANLDILDTAGQAEFTAMRDQYMRAGEGFIICYSITDRRSFHEVREFKQLIYRV
+RRTDDTPVVLVGNKSDLKQLRQVTKEEGLALAREFSCPFFETSAAYRYYIDDVFHALVRE
+IRRKEKEAVLAMEKKSKPKNSVWKRLKSPFRKKKDSVT
+>tr|Q3MHE0|Q3MHE0_BOVIN PDZ domain containing 3 OS=Bos taurus OX=9913 GN=PDZD3 PE=2 SV=1
+MEAAADLQDTATLALKFEFNPKLGIDNPVLSLAEDYNPSDIWSLERPRFYLLNKEEGRTF
+GFHLQQQLGRAGHVVCRVEPGSSAQRQGLREGDWILGVNNHVVEHEDYLMVIRRIRASGP
+RVLLTVLAQHVHEVARAQRGNNTHLCPPLGQGVRPRLCHVVKDEGGFGFSVTQGHRGPFW
+LVLSPGGAAERAGVPPGARLLEVNGVSVEKLTHNQLNRKLWQSGKQVTLLVAGPEVEEQC
+RQLGMPLAAPLAEGWALPTKPRCLHLEKGPQGFGFVLREEKGLDGRLGQFLWEVDPGLPA
+EKAGMQAGDRLVAVAGESVEGLGHEETVSKIRAQGSCVSLIVVDPKADRFFSMVRLSPLL
+FLESTEAPDSPWGSGLASVVETKNPLVKDATVAAVPCNFRQCFLYPGPGGGYGFRLSCVA
+SRPGLFISQVTLGGSAAQAGLQTGDMILEVNGYPMGGEKDQERLQQLAEAKPPLCLKLAA
+QSQQGLEAWIPPGSREDGVLASDLL
+>tr|A7MB42|A7MB42_BOVIN RNF122 protein OS=Bos taurus OX=9913 GN=RNF122 PE=2 SV=1
+MHPFQWCNGCFCGLGLVSTNKSCSMPPISFQDLPLNIYMVIFGTGIFVFMLSLIFCCYFI
+SKLRNQAQSERYGYKEVVLKGDAKKLQLYGTCAVCLEDFRGKDELGVLPCQHAFHRKCLV
+KWLEVRCVCPMCNKPIAGPSEASQSIGILLDELV
+>tr|F1MRL0|F1MRL0_BOVIN Death associated protein kinase 1 OS=Bos taurus OX=9913 GN=DAPK1 PE=4 SV=3
+MTVFRQENVDDYYDTGEELGSGQFAVVKKCREKSTGLQYAAKFIKKRRTKSSRRGVSRED
+IEREVSILKEIQHPNVITLHEVYENKTDVILILELVAGGELFDFLAEKESLTEEEATEFL
+KQILNGVYYLHSLQIAHFDLKPENIMLLDRNVPKPRIKIIDFGLAHKIDFGNEFKNIFGT
+PEFVAPEIVNYEPLGLEADMWSIGVITYILLSGASPFLGDSKQETLANVSAVNYEFEEEY
+FSNTSALAKDFIRRLLVKDPKKRMTIQDSLQHPWIKPKDTQQALSRKASAVNMEKFKKFA
+ARKKWKQSVRLISLCQRLSRSFLSRSNMSVARSDDTLDEEDSFVMKAIIHAINDDNVPGL
+QHLLGSLSNYDVNQPNKHGTPPLLIAAGCGNIQILQLLIKRGSRIDIQDKGGSNAIYWAS
+RHGHVDTLKFLNENKCPLDVKDKSGETALHVAARYGHADVVQLLCSFGSNPNFQDKEEET
+PLHCAAWHGYYSVAKALCEAGCNVNIKNREGETPLLTASARGYQDIVECLAEHGADLNAS
+DKDGHIALHLAVRRCQMEVIQTLISQGSSVDFQDRHGNTPLHVACKDGNVPIVLALCEAN
+CNLDLSNKYGRTPLHLAANNGILDVVRYLCLTGANVEALTSDGKTAEDLAKSEQHEHVAG
+LLTRLRKDTHRGLFIQQLRPTQNLQPRIKLKLFGHSGSGKTTLVESLKCGLLRSFFRRRR
+PRLSSTNSTRFPPSPLASKPAVSVSISNLYPGCENVSVRSRSMMFEPGLTKGVLEVFGAP
+SHHPHCATDDQSTKAIDIQNAYLNGIGDFSVWEFSGNPVYFCCYDYFAANDPTSIHVIVF
+SLEEPYEIQLNQVIFWLSFLKSLVPVEEPIAFGGKLKNPLRVVLVATHADIVNLPRSAGG
+EFGYDKDTSLLKEIRNRFGNDLHISNKLFVLDAGASGSKDMKVLRNHLQEIRSQIVSVCP
+PMTHLCEKIISTLPSWRKLNGPNQLMSLQQFVYDVQDQLNPLASEADLRHIAQQLHSAGE
+INIMQSETVQDVLLLDPRWLCTNVLGKLLSVETPRALHHYRGRYTMEDVQRLVPDSDVEE
+LLQILDAMDICARDLSSGTMVDIPALIKTDSLHRSWTEEEDEARVYGGVRVVPVEHLTPF
+PCGIFHKVQVNLCRWIHQQSNEGDADIRLWVNGCKIANRGAELLVLLVNHGQGIEVQVRG
+LETEKIKCCFLLDSVCSTIENVMATTLPGLLTVKHYLSPQQLREHHEPVMIYQPRDFFRA
+QTLKETSLTNTMGGYKESFSSIMCFGCHDVYSQASLGMDIHASDLNLLTRRKLSRLLDPP
+DPMGKDWCLLAMNLGLPDLVAKYNTNNGSPKEFLPSPVHALLREWTSYPESTVGILMSKL
+RELGRRDAADFLLKASSVFKINLDGNGQEAYASSCNSGTSYNSISSVVSRKNSHVRNPTV
+>tr|A0A3Q1MJJ7|A0A3Q1MJJ7_BOVIN Peptidase_M41 domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MCAMLGGRVAEQLFFGRVTTGAQDDLRKVTQSAYAQIVQFRMSEKLGQVSFNLPRPGEAL
+VEKPFSEATAQLLDEEVRRFIGSTHARTLDLLMRCREQVDKVGRRLLEKEVLEGPDMVEL
+LGPRPFAEKITYEELVEGTGGLEEDTVLPEGLQGWHGERNEEMGPKRKQCPVVDVSGGES
+KV
+>tr|A6QQP0|A6QQP0_BOVIN MYEF2 protein OS=Bos taurus OX=9913 GN=MYEF2 PE=2 SV=1
+MADADKPEVLRAAGDDSPHRQPSEPPGEPRREPHPPEQEKQPPQHSSSSNGVKMENDESV
+KEEKSELKEKSTGNKKANRFHPYSKDKNSGTGEKKGPNRNRVFISNIPYDMKWQAIKDLM
+REKVGEVTYVELFKDAEGKSRGCGVVEFKDEEFVKKALETMNKYDLSGRPLNIKEDPDGE
+NARRALQRTGGSFPGGHVPDMGSGLMNLPPSILNNPNIPPEVISNLQAGRLGSTIFVANL
+DFKVGWKKLKEVFSIAGTVKRADIKEDKDGKSRGMGTVTFEQAIEAVQAISMFNGQFLFD
+RPMHVKMDDKSVPHEDYRSHDSKAPQLPRGLGGIGMGLGPGGQPISASQLNIGGVMGNLG
+PSGMGMDGPGFGGMNRIGGGIGFGGLEAMNSMGGFGGVGRMGGGGMGGMNSVTGGMGMGL
+DRMSSTFDRMGPGIGAILERSIDMDRGFLSGPMGSGMRDRIGSKGNQIFVRNLPFDLTWQ
+KLKEKFSQCGHVMFAEIKMENGKSKGCGTVRFDSPESAEKACRIMNGIKISGREIDVRLD
+RNA
+>tr|A1L5C1|A1L5C1_BOVIN APR3 protein OS=Bos taurus OX=9913 GN=ATRAID PE=2 SV=1
+MAPCGLGSPLSLVPWAAALLFVLSVGRALALPEICTQCPGSVQNLSEVAFYCKRTPELTL
+RARCCLNGEGTILGLDLQNCSLKDPGPNFPQAHTAIIIDLQANPLQGDLVNTFHGFTQLQ
+TLILPQDVNCPGGINAWDTITFYINNQSCEGQRNICNTTEDPEICPENGSCVPDGPGLLQ
+CVCADGFHGYRCMRQGSFPLFMFFGILGSTTLSISILLWGTQRRKAKAS
+>tr|Q2KIM6|Q2KIM6_BOVIN Glutathione S-transferase zeta 1 OS=Bos taurus OX=9913 GN=GSTZ1 PE=1 SV=1
+MAESSKPILYSYFRSSCSWRVRIALALKNIDYETVAINLTKDGGQQFSGEFQALNPMKQV
+PALKIDGITIGQSLAIIEYLEETRPTPRLLPWDPKKRAQVRMVSDLIASGIQPLQNLSVL
+KQVGQENQLTWAQQAITSGFNALEQILQSTAGRYCVGDEVSMADLCLAPQVANADRFKVD
+LTPYPTISRINKSLLALEAFHVSHPCRQPDTPPELRA
+>tr|A0A3Q1MK92|A0A3Q1MK92_BOVIN Zinc finger protein 692 OS=Bos taurus OX=9913 GN=ZNF692 PE=4 SV=1
+MAASPADASRRRREKRRQLDARRSKCRIRLGGHMEQWCLLKEQLGFSLHSQLAKFLLDRY
+TSSGCVLCAGPEPVAPKGLQYLVLLSHAHSRECSLVPGLRGPGGQDGGLVWECSAGHTFS
+WGPSSGPKSPEEPNLTPLPSTDERSWCPEAKSGQEPAGLESNCDERAQEARMPRGAGPPP
+ETFPSLGEDGEEEEEDEEEMLSDASPWTYSSSPDDSEPDVPKPPPSPVTHALKDGEIAPA
+PAAVPAPLASPSSSASSLGSGAPRPVEVRIQPELRGTPQADQQTEPLASPGNQAQSALAS
+AWDEDTAQIGPKRIRKAAKRELLPCDFPGCGRIFSNRQYLNHHKKYQHIHQKSFSCPEPA
+CGKSFNFKKHLKEHVKLHSDLACFKLF
+>tr|A4IFS0|A4IFS0_BOVIN MGC157368 protein OS=Bos taurus OX=9913 GN=MGC157368 PE=2 SV=1
+MMLPLSTIEGQETEEVQTRPPEKGVLLSVKADVPRKKSKRMKGTVSFRVLPFCPVVPWTS
+SEPALPAPPGHAVSKPARSSPDAQTEPGAGGSTCGAMMLPLSTIEGQETEEVQTRPPEKG
+ALLSENPDEPRKKPRGMKGRLSFNVLPFCPMPSVCFTYYVEPDDPEPEPQVPSDGSKSDP
+NCTFVPLPVLEFREVKVCECPLCCLSFVTAS
+>tr|E1B8H2|E1B8H2_BOVIN CCM2 scaffold protein OS=Bos taurus OX=9913 GN=CCM2 PE=4 SV=2
+MHSNCRQRGRNQNSSREIPPQTDFPTGYPMENEPGIVSPFKRVFLKGEKSRDKKAHEKVT
+ERRPLHTVVLSLPERVEPDRLLSDYIEKEVKYLGQLTSIPGYLNPSSRTEILHFIDNAKR
+AHQLPGHLTQEHDAVISLSAYNVKLAWRDGEDTILRVPIHDIAAVSYVRDDASHLVVLKT
+AQDPGISPSQSLCAESSRGLTTGSLSESGVGPVEACCLVILAAESKVAAEELCSLLGQVF
+QIVYTESTIDFLDRAIFDGASTPTHHLSLHSDDSSTKVDMKEPYETEASTFSFPECAHAG
+GVSPLSFCMQTAPHAKTVSESELSATAAELLQDYMLTLRTKLSSQEIQQFAALLHEYRDG
+ASVHEFCINLRQLYGDSRKFLLLGLRPFIPEKDSQHFENFLETIGVKDGRGIITDSFGRY
+RRATSSTSTSTSNGNRAAGSSDDQSVPSEGDEWDRMISDISNDIEALGCSMDQDSA
+>tr|A5PJL4|A5PJL4_BOVIN Ribosomal protein S6 kinase OS=Bos taurus OX=9913 GN=RPS6KA3 PE=2 SV=1
+MPLAQLADPWQKMAVESPSDSAENGQQIMDEPMGEEEINPQTEEGSIKEIAITHHVKEGH
+EKADPSQFELLKVLGQGSFGKVFLVKKISGSDARQLYAMKVLKKATLKVRDRVRTKMERD
+ILVEVNHPFIVKLHYAFQTEGKLYLILDFLRGGDLFTRLSKEVMFTEEDVKFYLAELALA
+LDHLHSLGIIYRDLKPENILLDEEGHIKLTDFGLSKESIDHEKKAYSFCGTVEYMAPEVV
+NRRGHTQSADWWSFGVLMFEMLTGTLPFQGKDRKETMTMILKAKLGMPQFLSPEAQSLLR
+MLFKRNPANRLGAGPDGVEEIKRHSFFSTIDWNKLYRREIHPPFKPATGRPEDTFYFDPE
+FTAKTPKDSPGIPPSANAHQLFRGFSFVAITSDDESQAMQTVGVHSIVQQLHRNSIQFTD
+GYEVKEDIGVGSYSVCKRCIHKATNMEFAVKIIDKSKRDPTEEIEILLRYGQHPNIITLK
+DVYDDGKYVYVVTELMKGGELLDKILRQKFFSEREASAVLFTITKTVEYLHAQGVVHRDL
+KPSNILYVDESGNPESIRICDFGFAKQLRAENGLLMTPCYTANFVAPEVLKRQGYDAACD
+IWSLGVLLYTMLTGYTPFANGPDDTPEEILARIGSGKFSLSGGYWNSVSDTAKDLVSKML
+HVDPHQRLTAALVLRHPWIVHWDQLPQYQLNRQDAPHLVKGAMAATYSALNRNQSPVLEP
+VGRSTLAQRRGIKKITSTAL
+>tr|F1MLU9|F1MLU9_BOVIN MyoD family inhibitor OS=Bos taurus OX=9913 GN=MDFI PE=4 SV=1
+MSQVSGQHPPHCDAPHGAPSAAPGPAQTPSLLPGLEVVTGSAHPAEAALEEGSLEEAAAP
+PMTQGNDPEAPQTLDSTDLDVPIEAVTCQPQGNPLDCTPLVANGSGHPSELGSARRTGNG
+ALGGPKAHRKLQTHPSLASQGSKKSKGSTKSAASQIPLQAQEDCCVHCILSCLFCEFLTL
+CNLVLDCATCGSCSSEDSCLCCCCCGSGECADCDLPCDLDCGILDACCESADCLEICMEC
+CGLCFSS
+>tr|A4FUY3|A4FUY3_BOVIN LOC539976 protein OS=Bos taurus OX=9913 GN=P3H1 PE=2 SV=1
+MAARALRLLTILLAVAATASQAEAESEAGWDLTAPDLLFAEGTAAYARGDWAGVVLSMER
+ALRSRAALRALRLRCRTRCAADLPWEVDPDSPPSLAQASGASALHDLRFFGGLLRRAACL
+RRCLGPSTAHSLSEELELEFRKRSPYNYLQVAYFKINKLEKAVAAAHTFFVGNPEHMEMR
+QNLDYYQTMSGVKEADFKDLEAKPHMHEFRLGVRLYSEEQPQEAVPHLEAALREYFVAAE
+ECRALCEGPYDYDGYNYLEYNADLFQAITDHYIQVLSCKQNCVTELASHPSREKPFEDFL
+PSHYNYLQFAYYNIGNYTQAIECAKTYLLFFPNDEVMSQNLAYYTAMLGEEQARSIGPRE
+SAQEYRQRSLLEKELLFFAYDVFGIPFVDPDSWTPVEVIPKRLQEKQKSERETAARISQE
+IGNLMKEIETLVEEKTKESLDVSRLTREGGPLLYDGIRLTMNSKVLNGSQRVVMDGVISD
+EECQELQRLTNAAATSGDGYRGQTSPHTPSEKFYGVTVFKALKLGQEGKVPLQSAHLYYN
+VTEKVRRVMESYFRLDTPLYFSYSHLVCRTAIEEAQAERKDGSHPVHVDNCILNAEALVC
+IKEPPAYTFRDFSAILYLNEDFDGGNFYFTELDAKTVTAEVQPQCGRAVGFSSGTENPHG
+VKAVTRGQRCAIALWFTLDARHSERERVQADDLVKMLFSPEEMDLPHEQPQEAQEGTPEP
+LQEPVSSSESGHKDEL
+>tr|A6QPE8|A6QPE8_BOVIN Kinesin-like protein OS=Bos taurus OX=9913 GN=KIF2C PE=2 SV=1
+MDSSLQARLFPGLTIKIQRSNGIIHSASISTVNLEKSCVSVEWKEGGDTKGKEVDFDDVA
+AINPELLQLLPLHLKDNLPLQENVTVQKQKRRSVSSRIPAPKEGLRGRSTRMSAVSEVRI
+TTQENDMEVELPASANSRKQFSVAAGPSRPSCPAVSEIPLTMVSKEVEEQVHSVRDSSSA
+NSVKSVRRKSCIVKEMEKMKNKREEKRAQNSEMRIKRAQEYDNSFPNWEFARMIKEFRAT
+LECHPLTAADPIEEHRICVCVRKRPLNKQELAKKEIDVISVPSKCVLLVHEPKLKVDLTK
+YLENQAFCFDFAFDETTSNEVVYRFTARPLVQTIFEGGKATCFAYGQTGSGKTHTMGGDL
+YGKAQNASKGIYAMASRDVFLLKNQPRYRKLDLEVYVTFFEIYNGKLFDLLNKKAKLRVL
+EDGKQQVQVVGLQERLVSCADDVIKMIDIGSACRTSGQTFANSNSSRSHACFQILLRTKG
+RVHGKFSLVDLAGNERGADTSSADRQTRMEGAEINKSLLALKECIRALGQNKAHTPFRES
+KLTQVLRDSFIGENSRTCMIAMISPGISSCEYTLNTLRYADRVKELSPHSGPSGEQPMQM
+ETEEMEAGSNGALSAGNFSKEEDELSSQMSSFNEAMTQIRELEERAMEELKEIIQQGPGW
+LELSEMAEQPDYDLETFVNKAECALAQQAKHFSALRDVIKALRLAMQLEEQASKQISSKK
+RPQ
+>tr|Q1LZA6|Q1LZA6_BOVIN SEPN1 protein OS=Bos taurus OX=9913 GN=SELENON PE=2 SV=1
+MMICLPNGTVVHHINANYFLDITSMKPEDIENHVFSFSSTFEDPSTATYMQFLKEGLRRG
+LPLLQP
+>tr|F1MKW8|F1MKW8_BOVIN Salt-inducible kinase 2 OS=Bos taurus OX=9913 GN=SIK2 PE=4 SV=2
+MVMADGPRHLQRGPVRVGFYEIEGTLGKGNFAVVKLGRHRITRTEVAIKIIDKSQLDEVN
+LEKIYREVKIMKLLDHPHIIKLYQVMETKSMLYLVTEYAKNGEIFDYLANHGRLNESEAR
+RKFWQILSAVDYCHGRQIVHRDLKAENLLLDNNMNIKIADFGFGNFFKSGELLATWCGSP
+PYAAPEVFEGQQYEGPQLDIWSMGVVLYVLVCGALPFDGPTLPILRQRVLEGRFRIPYFM
+SEDCEHLIRRMLVLDPAKRLTIAQIKEHKWMLVEVPVQRPVLYPQEQENEPSIGEFNEQV
+LRLMHGLGIDQQKTIEALQNKSYNHFAAIYYLLVERLKSHRSSFPVEQRLDARQRRPSTI
+AEQTVAKAQTVGLPVTVHSPSVRAMRSALLPQASTVDAFAFPTSGCQAEAAFMEEECVDT
+PKVNGCLLDPVPPILARKGCQSLPSNMMETSIDEGLETEGEAEEDPSQAFDAFQSTRGGQ
+RRHTLSEVTNQLVVVPGSGKIFSMSDNPSLDSVDSEYDMGSVQRDLHFLEDNPSLKDMML
+ANPPSPRMTSPFVSLRPANPAMQALSAQKREAHNRSPVSFREGRRASDTSLTQGIVAFRQ
+HLQNLARTKGILELNKVQLLYEQMGSEADPSLASPAAQLQDLASSCPQEEAPPQQKSVSA
+LPGGSAHPQLSQRHSLEAQYLQHRLQKPSLLSKAQNTCQLYCKEPPRSLEQQLQEHRLQQ
+KRLFLQKQSQLQAYFNQMQIAESSYPQPLPHQETPPQPSQPPPPFSLTQPLSPVLEPASE
+HMPFSPFLSPYPEMRLQPLPASPGARGAPPPPPPPSGGAPAPLQFYQTCELPGAATPEPE
+HPTPGQYPADGTPQSSMDGPDCPRSSGLQEAPSGYDPLALSEFPGLFDCEMLEAVDPQHS
+GYVLVN
+>tr|B0JYP2|B0JYP2_BOVIN Solute carrier family 18 (Vesicular monoamine), member 2 OS=Bos taurus OX=9913 GN=SLC18A2 PE=2 SV=1
+MALSELALLRRLQESRHSRKLILFIVFLALLLDNMLLTVVVPIIPSYLYSIEHEKDALEI
+QTAKPGLTASAPGSFQNIFSYYDNSTMVTGNSTDHLQGALVHEATTQHMATNSSSASSDC
+PSEDKDLLNENVQVGLLFASKATVQLLTNPFIGLLTNRIGYPIPMFTGFCIMFISTVMFA
+FSRSYAFLLIARSLQGIGSSCSSVAGMGMLASVYTDDEERGNAMGIALGGLAMGVLVGPP
+FGSVLYEFVGKTAPFLVLAALVLLDGAIQLFVLQPSRVQPESQKGTPLTTLLRDPYILIA
+AGSICFANMGIAMLEPALPIWMMETMCSHKWQLGVAFLPASVSYLIGTNVFGILAHKMGR
+WLCALLGMIIVGMSILCIPLAKNIYGLIAPNFGVGFAIGMVDSSMMPIMGYLVDLRHVSV
+YGSVYAIADVAFCMGYAIGPSAGGAIAKAIGFPWLMTIIGIIDILFAPLCFFLRSPPAKE
+EKMAILMDHNCPIKTKMYTQNSSQSHPIGEDEESESD
+>tr|Q0V8M2|Q0V8M2_BOVIN 1-aminocyclopropane-1-carboxylate synthase OS=Bos taurus OX=9913 GN=ACS PE=2 SV=1
+MFTLPQKEFRMTTACPGSDSIQDLPSNKGDGLERECSRKPDQKLLKFYGVGDPAAELSSS
+SPYLSSRGSVIKWFWDSAEEGYRTYHMDEYDEDKNPSGIINLGTSENKLCFDLLSRRVSP
+TAPLSGIPSSKGLSSLGFPGMLPPESRLLASVAPVLESGDPPLPWGPRSLPSGLSQSDML
+QVEPALLQYPDWRGHLFLREEVARFLSFYCRSPAPLKPENVVVLNGCASLFSALATVLCE
+AGEAFLIPAPYYGAITQHVYLYGNVRLVCVYLDSEVTGLETRPFQLTVEKLEMALQGANS
+EGVKVKGLILINPQNPLGDIYSPGELQEYLEFAKRHELHVMVDEVYMLSVFEESAGYRSV
+LSLERLPDPQRTHVMWATSKDFGMSGLRFGTLYTENRAVATAVASLCRYHGLSGLVQYQM
+AQLLRDHDWINQVYLPENHARLKAAHTYVSEDLRALGIPFVSRGAGFFIWVDLRKYLPEA
+TFEEEVLLWRRFLENKVLLSFGKAFECKEPGWFRLVFSDKTHRLHLGMQRVRQVLEGQPQ
+LADGAPPHQIQEPQGPHR
+>tr|A6QNQ2|A6QNQ2_BOVIN Clathrin heavy chain linker domain-containing protein 1 OS=Bos taurus OX=9913 GN=CLHC1 PE=2 SV=1
+MSVQEINKHAVLPPIISGSDKEFLERMQRYIITETERVCCNEEGPADEYYIIYRNVFDKV
+IEYVTAYKSILTSIKKEYDTFIETIKKGQRTAFYLHGKLKVLAGEPTALVYHKKRIAQLQ
+AKMGLIENNSSKIQLQINEMKQVRAKYDTKEEQYCTFCKDPLKPIPGMTLQESVNLDALT
+KYLKHLEDKQGIVEELLEEDPSKAKEAEILLYFIERQIF
+>tr|A4IFC2|A4IFC2_BOVIN RNF185 protein OS=Bos taurus OX=9913 GN=RNF185 PE=2 SV=1
+MASKGPSAPASPDSSSAGGPSGSSNGAGESGGQDSTFECNICLDTAKDAVISLCGHLFCW
+PCLHQWLETRPNRQVCPVCKAGISRDKVIPLYGRGSTGQQDPREKTPPRPQGQRPEPENR
+GGFQGFGFGDGGFQMSFGIGAFPFGIFATAFNINDGRPPPAVPGTPQYVDEQFLSRLFLF
+VALVIMFWLLIA
+>tr|A6QPH2|A6QPH2_BOVIN SIPA1 protein OS=Bos taurus OX=9913 GN=SIPA1 PE=2 SV=1
+MWAGGGVGSPRRGPAPAPTDDLFARKLRQPARPPLTPHTFEPRPTRGPLVRSGSDAGEAR
+PPTPASPRARAHSHEEASRPAAPPARFFSDPLALLGLPAEEPEPEFPPVPEPRCFAHYDV
+QSLLFDWSPRPRGPGAQAEASSGTPAAAQDQTASSDLLLEAPGFVSELGGEGELGLGGPV
+SPPVPPSLPNAAVSVLEEPQNRTSAYSLEHADLGAGYYRKYFYGKEHQNFFGLDEALGPV
+AVSLRREEKDSSGGGTLHSYRIIVRTTQLRTLRGTISEDALPPGPPRGLSPRKLLEHVAP
+RLSPTCLRLGSASPKVPRTLLTLDEQVLSFQRKVGILYCRAGQGSEEEMYNNQEAGPAFM
+QFLTLLGDVVRLKGFESYRAQLDTKTDSTGTHSLYTTYQDHEIMFHVSTMLPYTPNNQQQ
+LLRKRHIGNDIVTIVFQEPGSKPFCPTTIRSHFQHIFLVVRAEAPCTPHTSYRVAVSRTQ
+DTPAFGPALPPGGGPFAANADFRALLLAKALNGEQAAGHARQFHAMATRTRQQYLQDLAT
+NEVTTTSLDSASRFGLPSLGGRRRAAPRGPGAELQAAGALVWGVRAAPGARGAAGAEAGS
+SDGAEVPCLLGISAEALVLVAPRDGRVVFNCSCRDVLAWTFSEQRLDLYYGRGEAITLRF
+DGPPGQAVGEVVARLQLVSRGCETRELALPRDGQGRLGFEVDAAGFITHVERFTFAETTG
+LRPGARLLRVCGQTVPSLGPEAAAQLLRSAPKVCVTVLPPDDSGRPRRSFSELYTLSLQE
+PSRRGAAEPVQDEAPAEALLPDTKQLLQVCLNDSGGPPGPGDLVEERTEFLHSQNPPSPS
+SSLSDEAPVLPNTTPDLLLATTAKPAAPSEGRETPLTQDGPGSPGGFEDKDEPAPELRAS
+FLPRTLSLRNSISKIMSEAGSETLEDEWQSISEIASTCNTILESLSREGQPILESGDAKG
+TPKSDAEPEPSSLSEKVSHLESMLRKLQDDLQKEKADRAALEEEVRSLRHNNRRLQAESE
+SAATRLLLASKQLGSLATDLA
+>tr|Q3ZCI0|Q3ZCI0_BOVIN Coiled-coil-helix-coiled-coil-helix domain containing 9 OS=Bos taurus OX=9913 GN=CHCHD9 PE=1 SV=1
+MPRGSRSRTSRVAPPASRAPQMRAAPRPAPAAQPPAMAPPSAVGSPAAAPRQPGLMAQMA
+TTAAGVAVGSAVGHTLGHAITGGFSGGSSAEPSRPDITYQEPQGTQPAQLQQNGPCFYEV
+KQFLECAQSQGDLKLCEGFSEVLKQCRLANGLA
+>tr|C9QNT9|C9QNT9_BOVIN TEPP protein OS=Bos taurus OX=9913 GN=TEPP PE=2 SV=1
+MARIIDLVPWEDGSTHVYASPAILLPIPRRRNQLAGVKQQLYHPALPSLRRMDMDSVKAC
+LSDEHCQSTTYCRKDDFDNAYFTLLGVPNKPLQCLDITETGQRLRNRYHEGKLAPIAPGI
+NRVDWPCFTRAIEDWSRFVSSAGEFKLPCASKKVESFSGYAVRYLKPEVTQSWRFCLNQN
+PSLDRYGQKPLPFDSLNAFRRFGSNYSRVNYLTPWH
+>tr|G3N3K6|G3N3K6_BOVIN C2 domain-containing protein OS=Bos taurus OX=9913 GN=SYT14 PE=4 SV=2
+MLLLFLYINKKFCFENVGGFPDLGSGYSARKNSQDKIYNSYLDKDEPGSSSESEDEALGK
+YHEALSRTHNSRLPLAESRQKSYTWETRQKYSPLSAEYDGYSSEASVDEGNCIQRMRRTP
+PLDELQPPPYQDDSGSPHLSCTPSEIGDSKCEFSHCSNSPRCSYNKCPSEGSTGHEIESF
+HNKGYEEDVPSDSTAVLSPEDVSAQGSSSQLPKPFDPEPEAKYGTLDVTFDYDSQEQKLL
+VTVTAVTDIPTYNRTGGNSWQVHLVLLPIKKQRAKTSIQRGPCPVFTETFKFNHVESEMI
+GNYAVRFRLYGIHRMKKEKIVGEKIFYLTKLNLQGKMSLPVILEPSYNHSGCDSQMSVSE
+MSCSGSVSSCQSLEHGSVPEILIGLLYNATTGRLSAEVIKGSHFKNLAANRPPNTYVKLT
+LLNSMGQEMSKCKTSIRRGQPNPVYKETFVFQVALFQLSDVTLILSVYNKRSMKRKEMIG
+WVSLGLNSSGEEELNHWTEMKESKGQQVCRWHALLES
+>tr|F1MXR3|F1MXR3_BOVIN ADAMTS like 4 OS=Bos taurus OX=9913 GN=ADAMTSL4 PE=4 SV=1
+MEKWAGRPQLCLMLLLSLPQLCLDQEVLSGHSLQTPPEESQGPEGVWGPWDQWASCSQPC
+GVGVQRRSRTCQLPTTQLHQGLPLPPRPPRHPEALLPRGQGTRPQTSRETLPLYRPPPRG
+RGGPLRGPASQLGREEAPETQGARRSRVRDPIKPGMFGYGRVPFALPLHRNRRHPRRPPR
+SELSQTSDLPSLTPRTEPSSSNHTQKTELSPTEPSAHTLPPQAEPPSPEAAQTAVPSRAR
+PAPTGPHPRAQASGTESSFHSPSPGEGSSFHLSLQPRRPSSQGWASPRLADRHPNPFLSV
+PWGRGQQSQEQWRPGGNLHGSLTESAPPQPDGWLPLLSSGPHSSPLWSLFAPSSPVPRCS
+GESEQLRACSQAPCPAEQPDPRALQCAAFDSQEFMGQLYQWEPFTEVQGSQRCELNCRPR
+GFRFYVRHTQKVQDGTLCQPGSLDICVAGRCLSPGCDGILGSGRHPDGCGVCGGDDSTCR
+LVLGNLTERGGPLGYQKILSIPAGASRLQIAQLRPSSNYLALRGPGGRSIINGNWAVDPP
+GSYTAGGTVFRYNRPPREEGAGESLSAEGPTTQPVDVYMIFQEENPGVFYQYVISSPPPN
+LENPTPEPRVPQLQPEILRVEPPPVSAPRPARTPGTLQRQVRIPQMPAPPPPRTPLGSPA
+GYWKRVGHSECSASCGKGVWRPIFLCISRESGEELDERSCAMGARPPASQEPCHGPPCPP
+YWEAGEWTSCSRSCGPGTQHRQLRCRQEFGGGGSSVPLERCGHLPRPNITQPCQLRLCGH
+WEVRSPWSQCSVRCGRGQRSRQVRCVGNNGDEVSEQECASGPPRPPSREACDMGPCTTAW
+FHSDWSSKCSAECGTGIQRRSVVCLGSGEAHGISQEEAGAGAGEQTCAPGSRPPDMRACS
+LGPCEVSWCWYTGPWAECSSECGSGTQRRDVICVSKLGTEFNVTSPSNCSHLPRPPALQP
+CQGQDCQDRWFSTPWSPCSRSCQGGVQTREVQCLTANQTLSIRCPLHLRPARKRSCNSQP
+CSQRPDDQCKDSSPHCPLVVQARLCVYPYYTATCCRSCAHVLERSSPEPA
+>tr|Q5E952|Q5E952_BOVIN RALY heterogeneous nuclear ribonucleoprotein OS=Bos taurus OX=9913 GN=RALY PE=2 SV=1
+MSLKIQTSNVTNKNDPKSINSRVFIGNLNTAVVKKSDVETIFSKYGRVAGCSVHKGYAFV
+QYANERHARAAVVGENGRVLAGQTLDINMAGEPKPNRPKGLKRAASAIYRLFDYRGRLSP
+VPVPRAVPVKRPRVTVPLVRRVKTTIPVKLFARSTAITTGTAKIKLKSSELQTIKTELTQ
+IKSNIDALLGRLEQIAEEQKANPDGKKKGESSSGSGSGGGSGSSGTGGSSRPPAPPEDTA
+SEAGTPQGEAQARDDGDEEGLLTHSEEELEHSQDTDVEDGALQ
+>tr|Q148I8|Q148I8_BOVIN Keratin 31 OS=Bos taurus OX=9913 GN=KRT31 PE=2 SV=1
+MSYNFCLPNLSFRSSCSSRPCVPPSCCGTTLPGACNIPANVGSCNWFCEGSFNGNEKETM
+QFLNDRLASYLEKVRQLERENAELESRILERSQQQEPLLCPNYQSYFRTIEELQQKILCA
+KSENARLVVQIDNAKLAADDFRTKYETELGLRQLVESDINGLRRILDELTLCKSDLEAQV
+ESLKEELICLKSNHEEEVNTLRSQLGDRLNVEVDAAPTVDLNRVLNETRAQYEALVETNR
+RDVEEWYIRQTEELNKQVVSSSEQLQSCQTEIIELRRTVNALEVELQAQHNLRDSLENTL
+TETEARYSCQLAQVQGLIGNVESQLAEIRSDLERQNQEYQVLLDVRARLECEINTYRGLL
+DSEDCKLPCNPCATTNACGKTITPCISSPCTPCAPAAPCTPCVPRSRCGPCNSYVR
+>tr|A7MBB7|A7MBB7_BOVIN NFKB2 protein OS=Bos taurus OX=9913 GN=NFKB2 PE=2 SV=1
+MDSCYDPGLDGIIEYDDFKFNPSIVEPKEPAPETADGPYLVIVEQPKQRGFRFRYGCEGP
+SHGGLPGASSEKGRKTYPTVKICNYEGPAKIEVDLVTHSDPPRAHAHSLVGKQCSELGVC
+AVSVGPKDMTAQFNNLGVLHVTKKNMMEIMIQKLQRQRLRSRPQGLTEAERRELEQEAKE
+LKKVMDLSIVRLRFSAFLRASDGSFSLPLKPVISQPIHDSKSPGASNLKISRMDKTAGSV
+RGGDEVYLLCDKVQKDDIEVRFYEDDENGWQAFGDFSPTDVHKQYAIVFRTPPYHKMKIE
+RPVTVFLQLKRKRGGDVSDSKQFTYYPLVEDKEEVQRKRRKALPTFSQPFGGGSHMGGGS
+GGSAGGYGGAGGGGGSLGFFPSSLAYSPYQSGAAPMGCYPGGGGGAQMAAETPSVDAGEE
+AAEPSAPPGTPQREQQTPELLHRAREYNARLFGLAQRSARALLDYGVTADARSLLAGQRH
+LLTAQDENGDTPLHLAIIHGQTTVIEQIAHVIYHAPHLGVVNITNHLHQTPLHLAVITGQ
+TKVVSFLLQVGADPALLDRHGDSAVHLALRAGASAPDMLCALLRSGVPAMPQLLHVPDFE
+GLYPVHLAVRARSPECLDLLVESGAEVEAAERQGGRTALHLATEMEELGLVTHLVTKLRA
+NVNARTFAGNTPLHLAAGLGSPTLTRLLLKAGADVHAENEEPLCPLPSPPTSGSDSDSES
+PERDTRGSFRGHTPLDLTRSSKVKTLLLNAAQDTMAPPLTPPSPAGPEIPLEDTVLQNLE
+HLLDGPGAQGSWVELAERLGLRSLVDTYRRTASPSGSLLRSYQLAGGDWTGLLNALSDMG
+LEEGVRLLKGPETQEKLPSTEVKEDSAYGSQSVEQETEKLGPPPEPPGGLCHGRPQPQVH
+>tr|A6QP76|A6QP76_BOVIN PEAR1 protein OS=Bos taurus OX=9913 GN=PEAR1 PE=2 SV=1
+MSPPLRALLLLALELGLAATLNPKDPNTCSFWESFTTTTKESLSRPFSLLPSEPCSRPWE
+SPHTCPRPTVVYRTVYRQVVKTEHRMRLQCCRGFYESRGACVPLCAQECVHGRCVAPNQC
+QCVQDWRGDDCSSACAPGVWGPKCDKPCNCGNSSSCDPKSGACSCPSGLQPPHCLQPCSP
+GLYGPACQFSCQCHGAPCNPQTGACLCPPERTGPTCEVSCSPSSVGFSCPSTPPCQNGGV
+FQASQDSCSCPPGWTGTICSLPCPEGFHGPNCSQECRCHNGGLCDRFTGQCRCAPGYTGD
+RCREECPVGRFGQDCAETCDCAPGARCFPANGACLCEHGFTGDRCAERLCPDGLYGLSCQ
+VPCTCDPEHSLSCHPMSGECSCLPGWAGLHCNESCPQDTHGPGCQEHCLCLHGGVCQPDS
+GLCRCAPGYTGPHCASLCPPDTYGVNCSQRCSCENAIACSPIDGTCVCKEGWQRGNCSVP
+CPPGTWGFGCNASCQCAHEAACSPQTGACTCTPGWHGTNCQLPCPKGQFGEGCASRCDCD
+HADGCDPVHGHCQCQAGWTGTRCHQPCPEGFWGANCSNACACRNGGTCIPKNGNCVCAPG
+FRGPFCQKSCQPGRYGKRCVPCKCANHSSCHPSNGTCYCLAGWTGPDCSQPCPLGHWGAN
+CAQLCQCRHGGTCHPQDGSCFCPPGWTGHLCLEGCSPGMFGANCSQPCQCGPGERCHPET
+GACVCPPGHSGAPCRIGSQEPITMMPTPPVAYNSLGAVIGIAVLGSLVVALVALFIGYRH
+WQKGKEHQHLAVGYSSGRLDGSEYVMPDVPPSYSHYYSNPSYHTLSQCSPNPPPAKKVPG
+SQLFASLQASERPGGAHGLDNHATLPADWKHRREPPAGPLDRGSSRLDRSYSCSYSNSNG
+PGPFYSKGPISEEGLGASMASLSSENPYATIRDLPSLLGSPRESSYVEMKGPSSGSPPRQ
+LPQLPDSQRRRHPQPQRDSGTYEQPSPLVHDRDSVGSQPPLPPGLPPGHYDSPKNSHIPV
+HYDLPPVRHPPSPPVRRQDR
+>tr|A0A3Q1MKA2|A0A3Q1MKA2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=C16H1orf21 PE=4 SV=1
+MGCASAKHVATVQNEEEAQKGKNYQNGDVFGDEYRIKPVEEVKYMKNGAEEEQKIAARNQ
+ENLEKSASSNVRLKTNKEIPGLVHQPRANMHISESQQEFFRMLDEKIEKVRSKVTAYFKG
+TVAS
+>tr|Q2YDP2|Q2YDP2_BOVIN Sad1 and UNC84 domain containing 5 OS=Bos taurus OX=9913 GN=SUN5 PE=2 SV=1
+MPRSSRSPEDSCDQPENVAHVSREVRPRRIIQRGRNICRMTEAPLSNASDAFLLPVRINA
+PGLTQCMLECVSWITCLACFLRTRAHRVLFNTCRYKLFIHKLIEKAGVLILCAFGFWMFS
+VHLPSKMDIWQEDSIDSPLQSLRMYQEKVRHHTGEIQDLRGHLNQLIAKLQEMEAMSDEQ
+KMTQKIIKMIQGDYIEKPDFALKSIGASIDFEQTSATYNHDKACSYWNWIRLWNYAQPPD
+VILEPNVTPGNCWAFSGDRGQVTIRLAQKVYLSNLTLQHIPKTISLSGSLDTAPKDFVIY
+GVEGSPKEEVFLGAFQFQPENIIQTFQLQNQPPRTFGAVKVKISSNWGNPRFTCLYRVRV
+HGSVTLPREQPN
+>tr|A7MB23|A7MB23_BOVIN PICALM protein OS=Bos taurus OX=9913 GN=PICALM PE=1 SV=1
+MSGQSLTDRITAAQHSVTGSAVSKTVCKATTHEIMGPKKKHLDYLIQCTNEMNVNIPQLA
+DSLFERTTNSSWVVVFKSLITTHHLMVYGNERFIQYLASRNTLFNLSNFLDKSGLQGYDM
+STFIRRYSRYLNEKAVSYRQVAFDFTKVKRGADGVMRTMNTEKLLKTVPIIQNQMDALLD
+FNVNSNELTNGVINAAFMLLFKDAIRLFAAYNEGIINLLEKYFDMKKNQCKEGLDIYKKF
+LTRMTRISEFLKVAEQVGIDRGDIPDLSQAPSSLLDALEQHLASLEGKKIKDSTAASRAT
+TLSNAVSSLASTGLSLTKVDEREKQAALEEEQARLKALKEQRLKELAKKPHTSLTTAASP
+VSTSAGSVMTAPAIDIFSTPSSSNSTSKLPNDLLDLQQPTFHPPVLSMSTASQVASTWGD
+PFSATVDAVDDAIPSLNPFLTKSSGDVHLPISSDVSTFTTRTPTHEMFVGFTPSPVAQPH
+PSAGLNVDFESVFGNKSTNITVDSGGFDELGGLLKPTVASQNQSLPVAKLPPNKLVSDDL
+DSSLANLVGNLGIGNGTTKNDVNWSQPGEKKLTGGSNWQPKVAPTTAWNAATMNGMHFPQ
+YAPPVMAYPATTPTGMIGYGIPPQMGSVPVMTQPSLIYSQPVMRPPNPFGPVSGAQIQFM
+>tr|G3X7G8|G3X7G8_BOVIN DEAD-box helicase 59 OS=Bos taurus OX=9913 GN=DDX59 PE=4 SV=1
+MFVPRSLKIKRNANDDSGNCVAKKVKAEAEDRGLDAGGDSLADASGTEGAAAAAHQGAQP
+HPLPGPTGPVSEGTLPDSEPGTQAGDLPEEPVKSFSKTQRQAEPGEPICVVCGRYGEYVC
+DETDEDVCSLECKAKHLLQVRGVEAASEPSRPQKAGSEPAAPGPAPYVYTEHAFVSHLRE
+DQIENLRRQLGITVRGRGVPRPIVDFEHCGFPEALNRNLKASGYEVPTPIQMQMIPVGLL
+GRDVLASADTGSGKTAAFLLPVILRALSQSKSPSGLVLTPTRELAIQIERQAKELMSGLP
+CLRTALLVGGLPAPPQRHRLRQRIQVIIATPGRLLDIIKQRSVELGSIKIVVVDEADTML
+KMGFQQQVLDVLEQLPHDCQTVLASATIPASVEQLACQLLRDPVAITAGERNLPCPSVRQ
+IVLWVEEPAKKKKLFEILNDKKLFKPPVLVFVDCKLGADLLSEAVQKITGLKSTSVHSDK
+TQMERKNILKGLLEGDYDVVVSTGVLGRGLDLVGVKLVVNFDMPSSMDEYVHQIGRVGRL
+GQHGTAITFINNNSKRLFWDIAKRVKPTGSLLPPQLLNSPYLHDQKRKEQQKDRQTQDNL
+VTGANLMDIIRKHDRSNAQK
+>tr|Q148L8|Q148L8_BOVIN Myotubularin related protein 7 OS=Bos taurus OX=9913 GN=MTMR7 PE=2 SV=1
+MEHIRTPKVENVRLVDRISSKKAALGTLYLTATHVIFVETAPDTRKETWILHSQIATIEK
+QATTATGCPLLIRCKNFQLLQLIIPQERDCHDVYISLIRLARPVKYEELYCFSFNPKLDR
+EEREQGWMLIELSEEYKRMGLPNEYWQLSDVNREYRICDSYPTELYVPKSATAHIIVGSS
+KFRSRRRFPALSYYYKDNHASICRSSQPLSGFSARCLEDEQMLQAIRKANPGSDFLYVVD
+TRPKLNAMANRAAGKGYENEDNYSNIKFQFIGIENIHVMRNSLQKMLEVCELKSPSMSDF
+LWGLENSGWLRHIKAIMDAGIFIAKAVSEEGASVLVHCSDGWDRTAQVCSVASLLLEPHY
+RTLKGFMVLIEKDWISFGHKFTHRYGNLDGDPREISPVIDQFLECVWQLMEQFPCAFEFN
+ERFLIHIQHHIYSCQFGNFLCNSQKERQELQIQERTYSLWAHLWKNRADYLNPLFRADHS
+QTRGVLHLPTAPCNFMYKFWNGMYNRFEKGLQPRQSVTDYLMAVKEETQQLEEELEALEE
+RLEKIQKVQLNRTKVRSKQSEPSKHSGFSTSDNSAANTPQDYSGNVKSFPSRSPSQGDED
+SALILTQDNLKSSDPDLSANSDQESGVEDLSCRSPSGGECVPSEDSGKDRDSDEAVFLTA
+>tr|A0A3Q1LLV5|A0A3Q1LLV5_BOVIN Transmembrane protein 266 OS=Bos taurus OX=9913 GN=TMEM266 PE=4 SV=1
+MALVASFNMTNPQPATERGLSEVEIISQQVDEETKSIAPVQLVNFAYRDLPLAAVDLSTA
+GSQLLSNLDEDYQREGSTWLKPCCGKRAAVWQVFLLSASVNGFLVTCVILVVILLTLELL
+IDIKLLQFSSAFQFAGVIHWISLAILSVFFSETVLRIVVLGIWDYIENKIEVFDGAVIIL
+SLAPMVASTVANGPRSPWDAISLIIMFRIWRVKRVIDAYVLPVKVEMEMVIQQYEKAKAI
+QDEQLERLTQICQEQGFEIRQLRAHLAQQDLDLAAEREAALQAPHVLSQPRSRYKVVEAG
+TWAEETAAESVVEELQPAQEATVKDDMNSYISQYYNGPSSDSGVPEPAVCVVTTAAIDIH
+QPNVSSDLFSVDVPLKLGSEGTGASASSESATRSTRSSGTRAQSDSSQTLGSSTDCSAAR
+EEPSPRPSPSALPLPPPPSQQHMAEATVQDLLSPLSEDPCPSRRALDSAPLAQPSPEGSA
+QPSPELEHRVSLFNQKNREGFTVFQIKPVIHFQPAAPVLEEKFRSLESKEQKLHRVPEA
+>tr|A0A3Q1M7L9|A0A3Q1M7L9_BOVIN Activating transcription factor 7 interacting protein OS=Bos taurus OX=9913 GN=ATF7IP PE=4 SV=1
+MDSIEEPQKKVFKARKTMRVSDRQQLEAVYKVKEELLKTDVKLLNGNHENGDLDPTSPLE
+NMDYLNDKEEVNGIENVCFDPEKSKAEWKETPCTLNVHVKSKQDDDLKCEPLSANNISPD
+LASKLTAEPSSGDPASDLATGGLGSGDPVSGDLASETLTSGDPASDDPVSGDLASGETVS
+SELVSSEPVSNELVTSEPVPGESVSSEPVSSDSACDDPASGDLASESLASDDPGSGDLPS
+SDPAFGDSASGNPASDEPTSETAFDPTFDPSSVPACEPVPETDSTEPSSNKGDDFLQQNR
+DDEKLDKIFSFDEKNKADGNSDADAEALETDDTIICSDLSPEKKLEEDAITESALGEEAI
+SSSVEIDHSEKNEEENSADLMETISEDVIKDDKNENILENTDSMETDEIIPILEKLAPAE
+DELTCFSKASLLPIDESNPDLEEKMEGSFGSPSKQESSESLPKEAFLVLSDEEDISGEKD
+ESEVISQNETCSPEQVESNEKDSKPEEEEQVIREDERPSEKSEFFRRKRSKSEDMDNIQS
+KRRRYLEEEYEAEFQVKITAKGDINQKLQKVVQWLLEEKLCALQCAVFDKTLAELKTRVE
+KIECNKRHKTVLAELQAKIARLTKRFGAAKEDLKKRQENPPNPPVSPGKSVVDVISNNSV
+PYRNTNTVRQMLESKRNISESAPPSFQTPVNTVSSTNLVTPPTVVSSQPKLQPPVTSGSL
+TATSVLPAPNTATVVASTQVPSGNPQPTISLQSLPVILHVPVAVSSQPQLLQSHPGTLVT
+NQPSGNVEFISVQSPPTVSGLTKNPVSLPSLPNPTKPNNVPSVPSPSIQRNSPASAAPLG
+TTLAVQAVPTAHSIVQATRTSLPTVGPSGLYSPSNNRGPIQMKIPISAFSTSSPAEQSST
+TTTRIENQTNKTVDASVNKKAADSTSQSGKATSNDSGGVIDLTMDDEESGALQDPKKVNH
+TAVSTMSSSQALSRPLQPIQPAPPLQPSGVPTSGPSQTTIHLLPTAPTTVNVTHRPVTQV
+TTRLPVPRAPANHQVVYTTLPAPPAQAPLRGTVMQAPAVRQVNPQNRELTRKKWTGVTVR
+VPQATTYVVNNGLTLGSTGPQLTVHHRPPQVHTEPPRPVHPAPLPEAPQPQRLPPEAAST
+SLPQKPHLKLARVQSQNGIVLSWSVLEVDRSCATVDSYHLYAYHEEPSATVPSQWKKIGE
+VKALPLPMACTLTQFVSGSKYYFAVRAKDIYGRFGPFCDPQSTDVISSSQSS
+>tr|Q58DM6|Q58DM6_BOVIN Osteopontin OS=Bos taurus OX=9913 GN=SPP1 PE=2 SV=1
+MRIAVICFCLLGIASALPVKPTSSGSSEEKQLNNKYPDAVATWLKPDPSQKQTFLAPQNS
+VSSEETDDNKQNTLPSKSNESPEQTDDLDDDDDNSQDVNSNDSDDAETTDDPDHSDESHH
+SDESDEVDFPTDIPTIAVFTPFIPTESANDGRGDSVAYGLKSRSKKFRRSNVQLFVEFFS
+CLCCDSESRCHRGGLHITHRE
+>tr|A1A4I7|A1A4I7_BOVIN Bestrophin OS=Bos taurus OX=9913 GN=BEST1 PE=2 SV=1
+MTVTYSSQVANARLGSFSRLLLCWRGSIYKLLYGEFLIFLLCYYIIRFIYRMALTDEQQV
+IFEKLTLYCDSYIQLIPISFVLGFYVTLVVTRWWNQYENLPWPDRLMNLVSSFVEGKDEQ
+GRMLRRTLMRYANLGNVLILRSVSAAVYKRFPSPQHLVKAGFMTPSEHKHLQKLSLPHNS
+FWMPWVWFANLSTKAWIGGRIRDPILLQSLLNEMNTLRTQCGQLYAYDWISIPLVYTQVV
+TVAVYSFFLACLIGRQFLNPAKAYPGHEMDLVVPLFTFLQFFFYAGWLKVAEQLINPFGE
+DDDDFETNWIVDRSLQVSLLAVDEMHQDLPPMERDMYWNEPEPHPPYTAASAQSRRPSFF
+GSTFNISLDKEDMEFQPDLEEQEGAHSGIIGRFLGLQSLDRQSPRTNSKTKLLWPKKEVL
+SHENQPKNLGGAGQNTSDQEDSKAWKGEDVFKSAVLYGRSGYHSAPQTPLSHTPMVFPPG
+QSAPSSLRRISGIDDPVKDQSLQPATPRSKKSFELLPENAGASTEHPEVIHMRRKTVEFN
+LMDMSEAPEHLREPHLGQSTSNIHTILKDHGDPYWDLENRSVLYSNQGH
+>tr|A5D7C4|A5D7C4_BOVIN TNPO3 protein OS=Bos taurus OX=9913 GN=TNPO3 PE=2 SV=1
+MEGAKPTLQLVYQAVQALYHDPDPSGKERASFWLGELQRSVHAWEISDQLLQIRQDVESC
+YFAAQTMKMKIQTSFYELPTDSHASLRDSLLTHIQNLKDLSPVIVTQLALAIADLALQMP
+SWKGCVQTLVEKYSNDVTSLPFLLEILTVLPEEVHSRSLRIGANRRTEIIEDLAFYSSTV
+VSLLMTCVEKAGTDEKMLMKVFRCLGSWFNLGVLDSNFMANNKLLALLFEVLQQDKTSSN
+LHEAASDCVCSALYAIENVETNLALAMQLFQGVLTLETAYHMAVAREDLDKVLNYCRIFT
+ELCETFLEKIVCTPGQGLGDLRTLELLLICAGHPQYEVVEISFNFWYRLGEHLYKTNDEV
+IHGIFKAYIQRLLHALARHCQLEPDHEGVPEETDDFGEFRMRVSDLVKDLIFLIGSMECF
+AQLYSTLKEGNPPWEVTEAVLFIMAAIAKSVDPENNPTLVEVLEGVVRLPETVHTAVRYT
+SIELVGEMSEVVDRNPQFLDPVLGYLMKGLCEKPLASAAAKAIHNICSVCRDHMAQHFNG
+LLEIARSLDSFMLSPEAAVGLLKGTALVLARLPLDKITECLSELCSVQVLALKKLLSQEP
+SNGISSDPTVFLDRLAVIFRHTNPVVENGQTHPCQKVIQEIWPILSETLNKHRADNRIVE
+RCCRCLRFAVRCVGKGSAALLQPLVTQMVNVYQVHQHSCFLYLGSILVDEYGMEEGCRQG
+LLDMLQALCIPTFQLLEQQNGLQNHPDTVDDLFRLATRFIQRSPVTLLRSQVVIPILQWA
+IASTTLDHRDANCSVMRFLRDLIHTGVANDHEEDFEVRKELIGQVMNQLGQQLVSQLLHT
+CCFCLPPYTLPDVAEVLWEIMQVDRPTFCRWLENSLKGLPKETTGGAVTVTHKQLTDFHK
+QVTSAEECKQVCWALRDFTRLFR
+>tr|A6QLN5|A6QLN5_BOVIN PI4K2A protein OS=Bos taurus OX=9913 GN=PI4K2A PE=2 SV=1
+MDETSPLVSPERAQPPDYTFPSGSSAHFPQVPGGAVRVAAAAGPVSSPPGSPGHDRERQP
+LLDRARGAAAQGQTQTVAAQAQALAAQAAAAAHAAQAHRERNEFPEDPEFEAVVRQAELA
+IERGIFPERIYQGSSGSYFVKDPQGKIIAVFKPKNEEPYGQLNPKWTKWLQKLCCPCCFG
+RDCLVLNQGYLSEAGASLVDQKLELNIVPRTKVVYLASETFNYSAIDRVKSRGKRLALEK
+VPKVGQRFNRIGLPPKVGSFQLFVESYKDADYWLRRFEAEPLPENTNRQLLLQFERLVVL
+DYIIRNTDRGNDNWLIKYDCPMDSSNSRDTDWVVVKEPVIKVAAIDNGLAFPLKHPDSWR
+AYPFYWAWLPQAKVPFSQEIKDLILPKISDPNFVKDLEEDLYELFKKDPGFDRGQFHKQI
+AVMRGQILNLTQALKDNKCPLHLVQMPPVIVETARSHQRSSSESYTQSFQSRKPFFSWW
+>tr|F1LKN1|F1LKN1_BOVIN Stem loop binding protein 2 OS=Bos taurus OX=9913 GN=SLBP2 PE=2 SV=1
+MLRQDRKKVCEESLSSKMEMMSVGVSTEPCHARWELETDATVLQRRQKQIDYGKRTPGYQ
+CFLQQVPKAQRQPGLHPQTPNKNRRYSRRSWDAQIRKWRRALHSWDPPSQPLQAEGCGMD
+NPLGPMDSTPLDDWLQALEPSENQDED
+>tr|A6QQ69|A6QQ69_BOVIN Desumoylating isopeptidase 2 OS=Bos taurus OX=9913 GN=DESI2 PE=2 SV=1
+MNEYTSSIGIGVFHSGIEVYGREFAYGGHPYPFSGIFEISPGNASELGETFKFKEAVVLG
+STDFLEDDIEKIVEELGKEYKGNAYHLMHKNCNHFSSALSEILCGKEIPRWINRLAYFSS
+CIPFLQSCLPKEWLTPAALQSSVSQELQDELEEAEDAAASASMASTTAGSRPGRHTKL
+>tr|A6QQN2|A6QQN2_BOVIN Tyrosine-protein phosphatase non-receptor type OS=Bos taurus OX=9913 GN=PTPN1 PE=2 SV=1
+MEMEKEFEQIDRAGSWAAIYQDIRHEASDFPCRVAKLPKNKNRNRYRDVSPFDHSRIKLH
+QEDNDYINASLIKMEEAQRSYILTQGPLPNTCGHFWEMVWEQKSRGVVMLNRVMEKGSLK
+CAQYWPQKEEREMVFEDTNLKLTLISEDIKSYYTVRQLELENLMSRETREILHFHYTTWP
+DFGVPESPASFLNFLFKVRESGSLSREHGPIVVHCSAGIGRSGTFCLADTCLLLMDKRKD
+PSSVDIKKVLLEMRKFRMGLIQTADQLRFSYLAVIEGAKFIMGDSSVQEQWKELSHEDLE
+PPPEHVPPPPRPPKRVLEPHNGKCKEFFPNHQWVKDDTEEEDKEDGPVQEATRAPLNAPC
+SLESASQDTEVRRRVVGADPAQGEPSLPAEERDQPLSHWKPFLVNVCVATVLTAGAYLCY
+RVCFH
+>tr|A6QQ15|A6QQ15_BOVIN NEK4 protein OS=Bos taurus OX=9913 GN=NEK4 PE=2 SV=1
+MGFCEGGDLYRKLKEQKGRLLPESQVVEWFVQIAMALQYLHEKHILHRDLKTQNVFLTRT
+NIIKVGDLGIARVLENHCDMASTLIGTPYYMSPELFSNKPYNYKSDVWALGCCVYEMATL
+KHAFNAKDMNSLVYRIIEGKLPPMPKDYSPELAELIRTMLSKRPEERPSVRSILRQPYIK
+RQISLFLEATKAKTSKNNVKNGDSKSKPVAAVVPGKAELSHEVVPLQSHSSESSKTYVMG
+EDKCLFQEKPVVIGPLKIPTSLKDHIYKPDISNTVDSLATISRVNIDILPTERRNSMNDG
+LVQENPPGHLDASNELEGKCNVSQVTEMLQNSKSSALPGNRIPTLSSHCVTGERNDPMKP
+LQPLNKDQNLPKDQDQVGGECVTGKQDRIHPALQPHSSGSEPSQSRQRRQKKREHAEHSG
+EKRQFQETPPCLLPSLPTVGKMECTSTQKDAENQSRVVTGSVNSSRGSEIASSKDRPLSA
+RERRRLKQSQEEIFPSGPAVRRASLGPAGPAKPQKDHLSPARRSSSDCSVAQERKLTHCL
+SEDELSSSTSSTDKSDGDSREGKGHTNEMTDLVQLMTQTLKLDSKESYEDLPVPDPVSEF
+KLHRKYRDTLILHGKVAEEAEELHFKELPSAIMPGSEKIRRIVEVLRADVIRGLGIQLLE
+QVYDILEEEDELEREVHLQEHMGEKYVTYSVKARQLKFFEENVNF
+>tr|A0A3Q1MPD6|A0A3Q1MPD6_BOVIN Zinc finger protein 185 with LIM domain OS=Bos taurus OX=9913 GN=ZNF185 PE=4 SV=1
+MNTMSISALGGSSKGKPLPAGEEERNTVLKQMKVRTTLKGDKSWITKQDESEGRTLELPS
+GWTHATSLSSAGEVPKASRPLSTRAPTGYIIRGVFTKPVDSSSQPHQHFPKANGAPKSMA
+SLGKAAPTGPPRPFSSGYKMTTEDYKKLAPYNIRRSSASRAAEEEEVRFSSDEQKRRSEA
+ASSVVRKTAPREHSYVLSAAKKNPGSPAQETLAPFIAKSARGEETVRLQITTPGAGLHLV
+APHLEGIRSSSGSKDKEVPHPREPKRNLTNEGAFRSPDADSERSIAQSSDGNMGSEAMGS
+PDEDLVGADVSSGRGGPCSPAGFTPLLDDQEVPSANSQPLKPAPATTSSDAISASAVLAN
+RKSNSLADLEDMETNPKGTLVVFEEKNVVTKVGEAWQGRPGVLQDDQGDPAVPAQQCTDP
+STSEQSSPRNPEQRLEVDSPANRMRNPSSCMVSVPSPAPPEQHPIHMPASPSELEPNSTS
+KGILFVKEYVNAGEVSSRKPLSSFYGSIGSNEDSCNMEEKLPYDGTPYSERTSGGICTYC
+NREIRDCPKITLEHLGICCHDYCFKCGICSKPMGELLDQIFIHRDTIHCEKCYEKLF
+>tr|E1B9X1|E1B9X1_BOVIN Retinoic acid induced 1 OS=Bos taurus OX=9913 GN=RAI1 PE=4 SV=2
+MQSFRERCGFHGKQQTYQQTSQETSRLENYRQPSQAGLSCDRQRLLTKDYYGPQPFPGYE
+GGGGTPATARAGKGLSSPQAPPGRPAFAGYTVQDGSPYPGRYSGEEGLQAWGGPQPPPPQ
+PQPLPGGVGKYDENLMKKTVVPPSRQYPEQGAQLPFRTHALHVQQQLPQPPPSLAYPKLQ
+RQKPQSDLASPLPFSQGSHFPQQSQSFPTSSTYSSSGQAGGQGAHSYKSCTAPSAQPHDR
+PLTANASLAPGQRVPNLHAYQSSRLSYDPQKQQQQQQALQSRHHAQESLHYQNLAKYQHY
+GQQGPGYCQPDATVRTPEQYYQTFSPSSGHSPARSVGRSPSYSSTPSPLMPNLENFPYNQ
+QPLGPGAFPAGLTDHSHFMPLLNPSPTDAASSVDTQAANCKALQKDKLPESLLSDLSLHS
+LTALTSQVENISNTVQQLLLSKAAGPQKKGLKSLVARTPEQHKSQHCSPEGSGYSAEPAG
+TPLSEPLSSTPQSTHAEPPEADYLSGSEDPLERSFLYCSQARGSPARVHGGSKAKPESVS
+TCSVTSPDDMSTKSDDSFQSLHSSLPLDSFSKLVAGERDCPRLLLSALAQEDLASEILGL
+QEAISEKADKAWAEAPGLAKDTGKPPFSLENHSACLDPVAKGAWPRPGEPEALPEALQLD
+KGSSAKDFSPGLFEDPSVGFTTPDPKKTAGPLSFGAKPTLGAAASDPTVAFDCFPDTTSA
+GSADGTHPFAWPEENLGDACPRWGLHPGELTKGLEQGGKATDSASQDGTREASACLGFQE
+EVPPGEKAAVPRDSQQETAGGVKEEAGGLLQCPEVSKADRWLDDSRHCCSAADFGDLPLL
+PAPGRKEDLEAEEYSSLCELLGSPEQRPGLQDALSPKAPLLCGKEEVEEVLDPKAGWGSP
+CPLSGDSVILLGPTVGAESKVQSWFESSLSHMKPEEEGPEGVLAPGDSAAVTPEASLAQK
+PNKPAVPEAPIAKKEPVPRGKSLRSRRVHRGLPEAEDSPCRAPALPKDLLLPESCTGPPQ
+GQMEGAGAPGRGISEGLPRMCTRSFTALSEPRTPGPPGLPTTPAPPDKLGGKQRAAFKSG
+KRVGKPSPKAASSPSNPAALPVASDSSPMGSKTKETDSLDAPGKDQRSMILRSRSRAQEA
+FHSKRRRPSESRLPNCRAAKKLLANNHLPTTFKVAGSPQKEGRVGQRARVPKPGASGKLS
+DRPLHTLKRKSAFMAPVPTKKRNLVLRSGGLGGDVKEEGAEGSPTLFKRMTSPKKAKPTK
+GNGEPTAKPPPLETPDTGLKLASRASFQGAMKTKVLPPRKGRGLKLEAIVQKITSPSLKK
+FACRAPGAPPGNPVSPSLPEKERGLKSAGGSLLGAEEGLLNVSPGQKFPAALGAEPLCRN
+PTNRSLKGKLVNSKKLSLTDGFKTEAFTSPEALLPGGTALAPKKRSRKGRTGALGLPKGS
+LEKRPHLGPALLLTPRDRANGTQGGSEDSSGVGGKKPKTEELGLAAQPPEGRPCQPQTRA
+QKQPGHANYSSYSKRKRLTRGRAKSTTSSPCKGRAKRRRQQQVLPLDPAEPEIRLKYISS
+CKRLRADSRTPAFSPFVRVEKQGAFTTVCTVVNSPGEEPQPQRKPSSSSSSCSLDAAGAS
+LATLPGGSIPPPRPSLPLSSTMHLGPVVSKALSTSCLVCCLCQNPANFKDLGDLCGPYYP
+EHCLPKKKPKLKEKVRLEGTCEEASLPLERTLKGFECPAAASSAATTTTGKPPRPDGPAD
+PAKQGSLRTSARGLSRRLQSCYCCDGRGDGSEEAAPADKSRKHECSKEPPAEPGGDTQEH
+WVHEACAVWTGGVYLVAGKLFGLQEAMKVALDMTCSSCQEAGATIGCCHKGCLHTYHYPC
+ASDAGCIFIEENFSLKCPKHKRLPL
+>tr|Q0VCC3|Q0VCC3_BOVIN Biogenesis of lysosomal organelles complex 1 subunit 4 OS=Bos taurus OX=9913 GN=BLOC1S4 PE=2 SV=1
+MEDFPPSCGPVAEEEAEAQVAAWSGDSGNVSQSHSSASGPWEDEGPESGAPSRDPPLLRR
+AAAGYASCLLPGAGARPEIEALDASLEDLLTRVDEFVGMLDMLRGDSSHVVGEGVPLIYA
+KATEMRRVYSKIDRLEAFVGMVSASVARLEEQVARAEAELGTFPSTFRKLLHTINVPSFF
+HKAPSSRSQLTGYEPPVVFRTEDHFPCCSERPQV
+>tr|A0A3Q1LT09|A0A3Q1LT09_BOVIN Gse1 coiled-coil protein OS=Bos taurus OX=9913 GN=GSE1 PE=4 SV=1
+MSHEPKSPSLGMLSTATRTTATVNPLTPSPLNGALVPSGSPATSSALSAQAAPSSSFAAA
+LRKLAKQAEEPRGSSLSSESSPVSSPATNHSSPASTPKRVPMGPIIVPPGGHSVPSTPPV
+VTIAPTKTVNGVWRSESRQDAGSRGSGGGRERLIVEPPLPQEKAGGPAIPSHLLSSPYPF
+GISPSSVVQDSRFPPLNLQRPVHHVVPPSTVTEDYLRSFRPYHTAEDLRVSSLPPLGLDP
+ATAAAYYHPGYLAPHPFPHPAFRMDDSYCLSALRSPFYPIPAPGSLPPLHPSAMHLHLSG
+VRYPPELSHSSLAALHSERMSSLSAERLQVDEELRREREREREREADREREKEREREREK
+EREREKELEREKERELERQREQRAREKELLAAKALEPAFLPVAELHALRSHAAEERAKPS
+EQLTPTRAEKLKDAGLQVPKPVQHPLHPAAAPPHAAPGLLAGHGLFSLPGSSAATALLLQ
+RTNEEEKWLARQRRLRQEKEDRQSQVSEFRQQVLEQQLDLGRPPAPADPEHRPESARPGP
+NRHEPGSREPPQHFGGPPPLISPKPQHHSMPTALWNPVSLMDSSLEPRRAPEGHPPHGHP
+APFEPSRPAAVPLVKVERVYCPEKAEEGPRKREATPLDKYPPPPPREPGALEPQAFPPGP
+GPFLAELEPAAPTVLGQPRASLAPPAAFGEPPGPLKPGSPYRPLPPRGPDPAYVYDEFLQ
+QRRRLVSKLDLEERRRREAQEKGYYYDLDDSYDESDEEEVRAHLRCVAEQPPLKLDTSSE
+KLEFLQLFGLTTQQQKEDLLTQKRRKRRRMLRERSPSPPTARSKRQTPSPRLALSTRYSP
+DDMNSSPNFEEKKKFLTIFNLTHISAEKRKDKERLVELLQAMKQKALSAAVADPLRTSPR
+DSPAVSVSEPTTQQASLDTEKPVGIAASLSDVPKATEPGRLEQLRPPERIQEPAPTSGEK
+ARPSEAPGGKKSLSMLHYVRGPAPKDIPVPLSHSINGKSKPWEPFVAEEFAHQFHESVLQ
+STQKALQKHKGSAAVLSAEQNHKVDASVHYNLPELQSSSRLPPPQHNGQQEPPASRKGPL
+AQEMDPDSEEEDDGEDDDEDPPRRKWQGIEAILEAYQEHVEEQDLERQVLQAQCRRLEAQ
+HYSLSLTAEQLSHSMAELRSQKQKIVSERERLQAELDHLRKCLALPAMHWPRGYFKGYPR
+>tr|Q1RMV1|Q1RMV1_BOVIN Endoglin OS=Bos taurus OX=9913 GN=ENG PE=2 SV=1
+MDRGVLCQAMALLLVVCSLGPTSLAETVYCDLQPVDSEVTYVTSQVSEGCVAQIPDATLE
+VHVLFLTFLGDVSRLELTLQTSKQGGIRPREVLLILSVNKSIFLKLQAPGIPLQLAYDSK
+LVFHEALDANITQLPSFTTKDQLLNWANTQGSIASVAELSNPQSIFLRLDQASSSPFSCN
+LEPQKDMGHTLGWTPKAWGCRLEGVAGHKEAHILRILPGPEASPRTVTVKLELSCAWRDT
+DAAVLILQGPPYVSWLIEANHNMQIWTTGEYSLKIFPDRINPGFQLPNTTQGLLGEARRL
+NASVVASFVELPVANDVSLRTHSCGSGLQPSPTPVEITTPNKGCNQELLLTLIQPKCSSD
+GMTLVLKKDLISTLLCTIKSLTFGDSSCQAKETEDEFVLSSGYSSCGMEVMENVVSNEVV
+IRLLSSSSPQRKKVQCINTDSLSLQLGLYLSPHFLQASDTIELGQQGFVQVSVSPSIPEL
+MIQLESCQLNLGPDMEIVELIQGQEAKSSCVSLLSPSPSGDIRFSFLLRGYMVPMPTTGI
+LSCSVTMHPRIRSLEVHKTVSTRLNIISTSLHDKGLVLPAVLGITFGAFLIGALLTAALW
+YIYSHTRHPGKREPVVAVAAPASSESSSTNHSIGSTQSTPCSTSSMA
+>tr|A4IFK8|A4IFK8_BOVIN Polypeptide N-acetylgalactosaminyltransferase 9 OS=Bos taurus OX=9913 GN=GALNT9 PE=2 SV=1
+MAVARKIKTLLTVNILVFVGIILFSVYCRLQDRSEGLVQIVRSADRRVRSRHAKVGALAE
+REAILQRLDHLEEVVYNQLNGLAKPIGLVEGPGGLGQGGMAATLRDDSQETEGKFEEYGY
+NAQLSDRISLDRTIPDYRPKKCRQMTYSDDLPQISVVFIFVNEALSVILRSVHSVVNHTP
+SQLLKEVILVDDNSDNVELKLNLDQYVSKRYPGLVKIVRNSRREGLIRARLQGWKVATAP
+VVGFFDAHVEFSTGWAEPALTRIREDRRRIVLPAIDNIKYDTFEVQQYASAAHGYNWGLW
+CMYIVPPQDWLDRGDEAAPIRTPAMIGCSFVVDREYFGDIGLLDPGMEVYGGENIELGMR
+SPRTHVGIKPTWSCSSAVCTLLVHATQKPREGALSSSP
+>tr|F1MTR4|F1MTR4_BOVIN Immunoglobulin superfamily member 8 OS=Bos taurus OX=9913 GN=IGSF8 PE=4 SV=1
+MGALGPKLPPPPLLLLMLGIGCCAREVLVPQGPLYRVAGTAISISCNVSGYEGSAQQDFE
+WFLYRPEAPEAALGIVSTRDPRFSYAIFGPRVAAGEVQVQRLQGDAAVLRISRLQAQDAG
+IYECYTPSTDARYLGSYSGKVELRVLPDTLQVSASPPGPRGRQAPTSPPRLTVHEGQELA
+LGCLARTSTQKHTHLAVSFGRAVPEAPVGRATLQEVVGLRPDLAVDAGAPYAARLAAGEL
+RLGKEGPERYRMVLGGAQADDAGTYHCTATEWIQDPDGSWAQIAEKRAVLAHVDVQTLSS
+QLAVTVGPGERRVGPGEPLELLCNVSGALPPPGRHAAYSVGWEMAPAGAPGPGRLVAQLD
+TEGVGSLGPGYEGRHIAMEKVASRTYRLRLEAARPADAGTYRCLAKAYVRGSGARLREAA
+SARSRPLPVHVREEGVVLEAVAWLAGGAVYRGETASLLCNISVRGGPPGLRLAASWWVER
+PEEGELSSAPAQLVGGVGQDGVAELGVRPGGGPVSVELVGPRSHRLRLHGLGPEDEGVYH
+CAPSAWVQHADYSWYQAGSARSGPVTVYPYTHALDTLFVPLLVGTGVALVIGATILGSIT
+CCFMKRLRKR
+>tr|Q2HJD4|Q2HJD4_BOVIN CSTF2 protein OS=Bos taurus OX=9913 GN=CSTF2T PE=2 SV=1
+MSSLAVRDPAMDRSLRSVFVGNIPYEATEEQLKDIFSEVGSVVSFRLVYDRETGKPKGYG
+FCEYQDQETALSAMRNLNGREFSGRALRVDNAASEKNKEELKSLGPAAPIIDSPYGDPID
+PEDAPESITRAVASLPPEQMFELMKQMKLCVQNSHQEARNMLLQNPQLAYALLQAQVVMR
+IMDPEIALKILHRKIHVTPLIPGKSQSVSGPGPGLCPGPNVLLNQQNPPAPQPQHLARRP
+VKDIPPLMQTPIQGGIPPQGPMPAAVPGPGPLTPGGTMQPQVGMPGVGPVPLERGQVQIS
+DPRAPMPRGPMTAGGLPPRGLLGDAPNDPRGGTLLSVTGEVEPRGYLGPPHQGPPMHHAA
+GHDSRGPSSHEMRGGPLADPRMLMSEPRGPMIDQRGLPMDGRGSRDSRGMETRAMETEVL
+ETRVMERRGMETCAMETRAMEARGMDARGMEMRGPGPSSRGPMTGGIQGPGPINMGAGGP
+QGPRQVPSISGVGNPGPGIQGAGMQGGGMQGAGLQGAGMQGAGMQGGGMQGPGMQGAGMQ
+GAGMQGAGMQGAGMQGAGMQGPGMQGAGKQGGGQPSSFSPGQSQVTPQDQEKAALIMQVL
+QLTADQIAMLPPEQRQSILILKEQIQKSTGTS
+>tr|W0UVF2|W0UVF2_BOVIN Ribonuclease A G1 OS=Bos taurus OX=9913 GN=RAG1 PE=3 SV=1
+MLLTWTLLLFLLMDQPSFSEAQDLLHHQTDRPRTKISANHKYYCDLMMKARGLATDQSCK
+KNKTFVHSVSPGTRGLCEGPAVTCRKMSEVYNCHLIIFKVTQCNLYPEAVPPHCYYEGVT
+FQMDVRIVCVGKRPIHLDE
+>tr|A7MB59|A7MB59_BOVIN MAD1L1 protein OS=Bos taurus OX=9913 GN=MAD1L1 PE=2 SV=1
+MEDLGENTTVLSTLRSLNNFISQRVEGGSGLDVSTSAQGSLQTQYQQSMQLEERAEQIRS
+KSHLIQVEREKMQMELSHKRARVELERAASTSARNYEREVDRNQELLTRIRQLQEREAEA
+QEKMKEQLERHRLCQQSLDAAGRKLREKEDGLAEAGETITTLKGRLSDLQWSMMNQEMQV
+KRLESEKQELKEQLDLQHQKWQEANQKVQELQASQEVRADQEQRIKDLEQKLSLQEQDAA
+IVKNMRSELVRLPTMERELRQLREENACLRETQDTNGLLREELEGLQRRLGRQEKMQETL
+VDLELEKERLLTKLQSWERLDQTTGLSIRTPEDLSRFIVELQQRELALKDKNSAITSSAR
+ELEKARLQLQDEVRQLGGQLLEERKRRETHEALARRLQKRVLLLTKERDGMRAILGSYDS
+ELTPAEHSPQLTRRMREAEDMMQKVQAHSSEVEAQLSQALEELGGQKQRADMLEMELKIL
+KSQAAPAEQSFLFSREEVSSLRLKVEELEAERSRLEEEKKTLEAQLERLTLQGDYDQSKT
+KVLHLSQNPAGAARQRLREDQQQLQEECARLRELVRALEAGGPVPAHLEAGAGLPSSREV
+AELKKQVESAELKNQRLKEVFQTKIQEFRKVCYTLTGYQVDITTESQYRLTSMYAEQKAD
+CLIFKAAGPSGTKMQLLETAFSRTVPGLIELHLLQQDSIPAFLSALTLDLFSRQTLA
+>tr|Q8HZY1|Q8HZY1_BOVIN Serine protease inhibitor clade E member 2 OS=Bos taurus OX=9913 GN=SERPINE2 PE=2 SV=1
+MNWHFPFFLVASVTLPSVCSQFNPLSLEELGSDTGIQVFNQIVKSQPHDNIIISPHGIAS
+VLGMLQLGADGRTKKQLTTVMRYGVNGVGKMLKKINKAIVSKKNKDIVMVANAVFVKNDS
+KMEVPFVTRNKDVFQCEVRNANFEDPASACASINAWVRNETQGMIDSLLSPDLLNGVLTR
+LVLVNAVYFKGLWRSRFLPENTKKRTFVAADGKSYQVPMLAQLSVFRCGSTSTPSGLWYN
+FIELPYHGESISMLIALPTESSVPLSAIVPHISTKTIDSWVSTMVPKRVQVILPKFTAVA
+QTDLKEPLKVLGITDMFDPSKANFAKITRSENLHVSHILQKAKIEVSEDGTKASAATTAI
+LIARSSPPWFIVDRPFLFFIRHNPTGAVLFMGQINKP
+>tr|Q2KHW3|Q2KHW3_BOVIN LGI1 protein OS=Bos taurus OX=9913 GN=LGI1 PE=2 SV=1
+MESERSQRMGNACIPLKRIAYCLCLLSALLLTEGKKPAKPKCPAVCTCTKDNALCENARS
+IPRTVPPDVISLSFVRSGFTEISEGSFLFTPSLQLLLFTSNSFDVISDDAFIGLPHLEYL
+FIENNNIKSISRHTFRGLKSLIHLSLANNNLQTLPKDIFKGLDSLTNV
+>tr|A5D7B4|A5D7B4_BOVIN ST5 protein OS=Bos taurus OX=9913 GN=ST5 PE=2 SV=1
+MTMTANKNSSITHGAGGTKAPRGTLSRSQSVSPPPVLSPPRSPIYPLSDSETSACRYPSR
+SGSRLLLKDWHHREPSPQHPQAPSPNTSPPVCPLKATSFGYLDRSPVVCKREDQKENIRG
+AAQNVEGIVASPPLAQSTPSLGSAVGPRSVFLNRTGPRAHSLGIREKISAWEGRQEASPR
+MSVCGEKREGSGGEWAASEGCPSVGCPSVVPSPCSSDKTFDFRGLRRMSRTFSECSYPET
+EEEGEALPVRDSFYRLEKRPGRSEPSALLRGHSSRKESSAVLSRIQKIEQALKEQPGRGL
+PQLPSSCYSVDRGRWKTGTLGPLEEPTGNASVSTDSRAGGAAGVGGEAGPPPEREGSGPA
+KPGTPGNSPTSQLLPPKSSPDPAVNPVPKPKRTFEYEADKNPKSKPSNGLPPPPTPAAPP
+PLPSTPAPPVTRRPKKDTRGHRKSQNRKSFEFEDASSLQSLYPSSPTENGTESQPKFGSK
+STLEENAYEDIVGDLPKENPYEDVDLKSRRAGRKPQQLSENSLDSLHRMWSPQDRKYNNP
+PTQLSLKPGSQSLRSGNWSERKSHRLPRLPKRHSHDDMLLLAQLSLPSSPSSLNEDSLST
+TSELLSSRRARRIPKLVQRINSIYNAKRGKKRLKKLSMSSIETSSLRDENSESESDSDDR
+FKAHTQRLVHIQSMLKRAPSYRTLELELLEWQERELFEYFVVVSLKKKPSRNTYLPEVSY
+QFPKLDRPTKQMREAEERLKAIPQFCFPDAKDWLPVSEYSSETFSFMLTGEDGSRRFGYC
+RRLLPSGKGPRLPEVYCVISRLGCFGLFSKVLDEVERRRGISAALVYPFMRSLMESPFPA
+PGKTIKVKTFLPGAGNEVLELRRPTDSRLEHVDFECLFTCLSVRQLIRIFASLLLERRVI
+FVADKLSTLSSCSHAVVALLYPFSWQHTFIPVLPASMIDIVCCPTPFLVGLLSSSLPKLK
+ELPVEEALMVNLGSDRFIRQMDDEDTLLPRKLQAALEQALERKNELISQDSDSDSDDECN
+TLNGLVSEVFIRFFVETVGHYSLFLTQSEKGERAFQREAFRKSVASKSIRRFLEVFMESQ
+MFAGFIQDRELRKCRAKGLFEQRVEQYLEELPDTEQSGMNKFLRGLGNKMKFLHKKN
+>tr|A7YWN9|A7YWN9_BOVIN Integrin beta OS=Bos taurus OX=9913 GN=ITGB7 PE=2 SV=1
+MVALSMVLVFLLALSRGESELDAKSSSPQEATEWRDPNLSLPGSCQPAPSCQKCILSHPS
+CAWCKQLNFTASGEAEARRCARREELLARGCLPGELEEPRGRQEVLQDDPLSQGTRGEGA
+TQLAPQRVRVTLRPGEPQQLRVRFLRAEGYPVDLYYLMDLSYSMKDDLERVRQLGHALLV
+RLQEVTDSVRIGFGSFVDKTVLPFVSTVPSKLRHPCPSRLESCQPPFSFHHVLSLTGDAE
+AFEREVGRQNVSGNLDLPEGGFDAILQAALCQKQIGWRNVSRLLVFTSDDTFHTAGDGKL
+GGIFMPSDGHCHLDSNGLYSRSPEFDYPSVGQVAQALSAANIQPIFAVTSATLPVYRELS
+NLIPKSAVGELSEDSSNVVQLIMDAYNRLSSTVTLEHEHSLLPPGVRISYESQCGDSEKR
+LSEAGDWVQCNQVRINQTVNFWVTFQATHCLPEPHLLRFRARGFSEELTVELHTVCDCNC
+SDAQLQAPHCSDGQGHLQCGVCSCVPGRLGRLCECSEAELSSPDLESGCRVPNGTGPLCS
+GRGQCQCGRCTCSGQSSGRLCECDDASCERHEGILCGGFGRCQCGVCHCHANRTGRACEC
+SGDTDNCVSPDGGFCNGHGHCNCDRCQCDDGYYGALCDQCSGCKTPCETHRDCAECKAFG
+TGPLARNCSTACAHANMTLVLTPTLDDSWCKERTQDNQLFFFLAEDEAGGRVVLTVRPPE
+EGADHTRIIVLGCVGGIVAVGLGLVLAYRLSVEIYDRREFHRFEKERQHLNWKQDHNPLY
+QSAITTTVNPRFQEADSPVL
+>tr|Q2TBV9|Q2TBV9_BOVIN MGC134150 protein OS=Bos taurus OX=9913 GN=MGC134150 PE=2 SV=1
+MPANWTSPQKSLGLAPEEPGGSCEGSVSFRDVAVDFSREEWQQLDLDQKNLYRDVMLETC
+SHLLSIEWSSY
+>tr|A6QQU2|A6QQU2_BOVIN DGCR14 protein OS=Bos taurus OX=9913 GN=ESS2 PE=2 SV=1
+MASPGAPARSQLLPAASGPRRKRAAGDAGAATGRQRVLDEEEYIEGLQTVIQRDFFPDVE
+KLQAQKEYLEAEENGDLERMRQIAIKFGSALGKTSREPPPPYVTPATFETPELHMGPSVV
+GGKARARGRGLEDGDGEAAEEAAAEPLPSLDVFLSRHTSEDNASFREIMEVAKERGRARH
+AWLYQAEEEFEKRQKDSLALPSAEHQAVESGQAGVETWKYKAKNSLMYYPEGVPDEEQLL
+KKPRQVVHKNTRFLRDPFSQALSRSQLQQAAALNAQHKQGKVGPDGKELIPHESPRVGGF
+GFVATPSPAPGVSESPLMTWGEVENTPLRVEGSDTPYVDRTPGPAFKILEPGRRERLGLK
+MANEAAAKNRAKKQEALRRVTENLASLTPKGLSPAMSPALQRLVSRTASKYTDRALRASY
+TPSPARASHLKTPASGPQTPTSTPAPGSATRTPLSQDPACITDNLLQLPARRKASDFF
+>tr|F1N6J2|F1N6J2_BOVIN PRKCA-binding protein OS=Bos taurus OX=9913 GN=PICK1 PE=4 SV=1
+MFADLDYDIEEDKLGIPTVPGKVTLQKDAQNLIGISIGGGAQYCPCLYIVQVFDNTPAAL
+DGTVAAGDEITGVNGRSIKGKTKVEVAKMIQEVKGEVTIHYNKLQADPKQGMSLDIVLKK
+VKHRLVENMSSGTADALGLSRAILCNDGLVKRLEELERTAELYKGMTEHTKNLLRAFYEL
+SQTHRAFGDVFSVIGVREPQPAASEAFVKFADAHRSIEKFGIRLLKTIKPMLTDLNTYLN
+KAIPDTRLTIKKYLDVKFEYLSYCLKVKEMDDEEYSCIALGEPLYRVSTGNYEYRLILRC
+RQEARARFSQMRKDVLEKMELLDQKHVQDIVFQLQRFVSTMSKYYNDCYSVLRDADVFPI
+EVDLAHTTLAYGLSQDEFTDGEDEEDEDEEDTAAGEPPRDSRGAAGPLDKGGSWCNS
+>tr|A7YWU9|A7YWU9_BOVIN PRSS2 protein OS=Bos taurus OX=9913 GN=PRSS2 PE=2 SV=1
+MHSLLILAFVGAAVAFPSDDDDKIVGGYTCAENSVPYQVSLNAGYHFCGGSLINDQWVVS
+AAHCYQYHIQVRLGEYNIDVLEGGEQFIDASKIIRHPKYSSWTLDNDILLIKLSTPAVIN
+ARVSTLALPSACASAGTECLISGWGNTLSSGVNYPDLLQCLEAPLLSHADCEASYPGEIT
+NNMICAGFLEGGKDSCQGDSGGPVACNGQLQGIVSWGYGCAQKGKPGVYTKVCNYVDWIQ
+ETIAANS
+>tr|A0A3Q1MKQ9|A0A3Q1MKQ9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC112441465 PE=4 SV=1
+MAVALGCAIQASLNQGSVFQEYDTDCEVFRQRFRQFQYKEAAGPHEAFNKLWELCCQWLK
+PKMRSKEQILELLVLEQFLTILPTEIETWVREHCPENRERVVSLIEDLQRELEIPEQQLD
+RQEMLLEELAPVGMAHIPPNIHLESPPLQVMGPAPEVPVAEAWIPQAGPQELSFSAAGEG
+QPFLDPGYPIPKLDVSFPLEHREEAWVKELQDSKEIKQLLDSKLGFEIGIENEEDTSEKQ
+KKLENMYPFIVTLEGNALHGPILQKDYVQLENQWETPPEDLQGDLTKLVEHQNPSAGEKP
+ESSNLEEPLNPRPHKKKSPGDKPHRCSQCGKCFARKSQLTGHQRIHSGEEPHKCPECGKR
+FLRSSDLYRHQRLHTGERPYECTVCKKRFTRRSHLIGHQRTHSEEETYKCLECGKSFCHG
+SSLKRHLKTHSGEKPHRCHNCGKSFSRLTALTLHQRTHTEERPFKCNYCGKSFRQRPSLV
+IHLRIHTGEKPYKCSHCSKSFRQRAGLIMHQVTHFRGLL
+>tr|Q0VCH9|Q0VCH9_BOVIN GLI pathogenesis-related 2 OS=Bos taurus OX=9913 GN=GLIPR2 PE=2 SV=1
+MGKSASKQFNDEVLKAHNEYRKQHGVPPLKLCKKLNREAQQYSEALASTRILKHSPESSR
+GQCGENLAWASYDQTGKEVADRWYSEIKNYNFQQPGFTSGTGHFTAMVWKNTKKMGVGKA
+SASDGSSFVVARYFPAGNVVNQGFFEENVLPPKK
+>tr|E1BC36|E1BC36_BOVIN Cyclin dependent kinase 6 OS=Bos taurus OX=9913 GN=CDK6 PE=3 SV=1
+MEKDGLSRADQQYECVAEIGEGAYGKVFKARDLKNGGRFVALKRVRVQTGEEGMPLSTIR
+EVAVLRHLETFEHPNVVRLFDVCTVSRTDRETKLTLVFEHVDQDLTTYLDKVPEPGVPTE
+TIKDMMFQLLRGLDFLHSHRVVHRDLKPQNILVTSSGQIKLADFGLARIYSFQMALTSVV
+VTLWYRAPEVLLQSSYATPVDLWSVGCIFAEMFRRKPLFRGSSDVDQLGKILDVIGLPGE
+EDWPRDVALPRLAFHSKSPQPIEKFVTDIDEQGKDLLLKCLTFNPAKRISAYSALSHPYF
+HDLERRKENLDSHLPPSQNSSEMNTA
+>tr|Q2KIH2|Q2KIH2_BOVIN ApoN protein OS=Bos taurus OX=9913 GN=ApoN PE=2 SV=1
+MMRAVLLLCCVLMSPVAAFPRNAQEGVLTFQPSISEIGHPLNLLSNQILLPDPKTCQDLL
+HVAPSLAPLPEYLSNLALEVVLEEIGCTTEAHILQLQLVKIGGKDTTETLIRESKKHNEG
+EGTGQVKVILKDLGRSPGELRRAQRSVTLPEACRQEDRWVLYETAKMIAEFAEKLPNTEL
+VKEFKAAATDVTQKCTDESWEYLQAVSNQLVKSPEMKDFTMPMQDQLYFIKRSMTILMHI
+VVEFIKTQVQNIFG
+>tr|Q0VBW3|Q0VBW3_BOVIN Calcitonin OS=Bos taurus OX=9913 GN=CALC3 PE=2 SV=1
+MGFWKFLPFLVLSFLAVYHAGMLQAAPFRSALENDFDPAILTEKEMCLLLAAMVNNYVQM
+KTSELKPETEAFRITAQKRSCNRATCVIHKVAGSLSRSGSEIKRNFMSTNAFGRAAGTFR
+TKQ
+>tr|A0JNG5|A0JNG5_BOVIN TNNI3 interacting kinase OS=Bos taurus OX=9913 GN=TNNI3K PE=2 SV=1
+MGNYKSRPTQTCTDEWKKKVSESYVIVIERLEDDLQIKEKELTELRHIFGSDDAFSKVNL
+NYRTENGLSLLHLCCICGGNKSHIRTLMLKGLRPSRLTRNGFTALHLAVYKDSAELITSL
+LHSGADIQQVGYGGLTALHIATIAGHLEAADVLLQHGANVNVQDAVFFTPLHIAAYYGHE
+QVTRLLLKFGADVNVSGEVGDRPLHLASAKGFFNIAKLLVEEGSKADVNAQDNEDHVPLH
+FCSRFGHHNIVKYLLQSDLEVQPHVVNIYGDTPLHLACYNGKFEVAKEIIQISGVESLTK
+ENIFSETAFHSACTYGKSIDLVKFLLDQNVISINHQGRDGHTGLHSACYHGHIRLVQFLL
+DNGADMNLVACDPSRSSGEKDEQTCLMWAYEKGHDAIVTLLKHYKRPQDELPCNEYSQPG
+GDGSYVSVPSPLGKIKSMTKEKADVLLLRAGLPSHFHLQLSEIEFHEIIGSGSFGKVYKG
+RCRNKIVAIKRYRANTYCSKSDVDMFCREVSILCRLNHPCIIQFVGACLNDPSQFAIVTQ
+YISGGSLFSLLHEQKRILDLQSKLIIAVDVAKGMEYLHNLTQPIIHRDLNSHNILLYEDG
+HAVVADFGESRFLQSLDEDNMTKQPGNLRWMAPEVFTQCTRYTIKADVFSYALCLWELLT
+GEIPFAHLKPAAAAADMAYHHIRPPIGYSIPKPIASLLMRGWNACPEGRPEFSEVVTKLE
+ECLCNIELMSPASSNSSGSLSPSSSSDCLVNRGGPGRSHVAALRSRFELEYALNARSYAA
+WSQSAGQCSTQGLSLDDMKKSLQFSTIDKYGYVSDPMSPMHFHSCRNSGSFEDSS
+>tr|A4IFI6|A4IFI6_BOVIN Double C2-like domain-containing protein OS=Bos taurus OX=9913 GN=DOC2A PE=2 SV=1
+MRGRRGDRMAINIQEHMAINVCPGPIRPIRQISDYFPRRGPGLEGGGGGFGEAPAHLAPL
+ALAPPAALLGATTPEEGAEVDSYDSDDTTALGTLEFDLLYDQASCTLHCSILRAKGLKPM
+DFNGLADPYVKLHLLPGACKANKLKTKTQRNTLNPVWNEDLTYSGITVDDITHKVLRISV
+CDEDKLSHNEFIGEIRVPLRRLKPSQKKHFNICLERQVPLASPSSMSAALRGISCYLKEL
+EQAEQGPGLLEERGRILLSLSYSSRRRGLLVGILRCAHLAAMDVNGYSDPYVKTYLRPDV
+DKKSKHKTRVKKKTLNPEFNEEFFYDMELSTLATKTLEVTVWDYDIGKSNDFIGGVSLGP
+GARGEARKHWSDCLQQPDAALERWHTLTSQLPPAAGALPSA
+>tr|Q0II57|Q0II57_BOVIN F-box protein 16 OS=Bos taurus OX=9913 GN=FBXO16 PE=2 SV=1
+MMAFAPPKNTDGPKMQTKMSTWTPLNHQLLNDRVFEERRALLGKWFDKWTDTQRRRILTS
+LLERCSLSQQKFCCRKLQEKIPAEALDFTTKLPRVLSLHIFSFLDPRSLCRCAQVSWHWK
+NLTELDQLWMLKCLRFNWYINFSPTPYEQGVWKKHYIQMVKELHVTKPKVNLGKEATELL
+TSAT
+>tr|Q09TE3|Q09TE3_BOVIN Insulin-like growth factor binding protein acid labile subunit OS=Bos taurus OX=9913 GN=IGFALS PE=2 SV=1
+MAPSRAPLPAGVPALVVLLVSWAALGPHGLEGVEPVAPADPEGLQCPAVCSCGHDDFTDE
+LSVFCSSRNLTQLPGGLPPGTRALWLDGNNFSSIPAAAFRNLSGLGFLNLQGSGLASLEP
+QALLGLRGLCHLHLEHNRLHALAAHTFLHTPGLASLGLSNNLLSRLDEGLFRGLAHLWDL
+NLGWNSLTVLPDTAFQGLAGLRELVLAGNKLAYLQPALFCGLGELRELDLSRNTLRSVKA
+NVFVKLPKLQKLYLDHNLVAAVAPGAFLGMKALRWLDLSHNRVGSLLEDSFPGLLGLHVL
+RLSHNALAGLRPRTFKDLHFLEELQLGHNRLRQLPEEAFAGLGQLEVLALNDNQLQELRP
+GGFLGLRNLAVLNLSSNCLRDLPERAFQGLAKLHSLHLEGGCLARLGPLAFAGLSGLRRL
+FLKGNSIADVDERSLGGLAELLELDLTANQLTHLPGRLFQDLGRLEYLLLARNRLSALPA
+DALGPLQRTFWLDVSHNRLEALPAAALAPLSRLRFLSLRNNSLRTFAPQPPGLERLWLEG
+NPWDCSCALGALRAFALQQPASVPRFVQALAEGNDDRQPPVLAYNNITCASPPSLAGLDL
+RDVGEAHFAHC
+>tr|Q05B69|Q05B69_BOVIN NEDD8 ultimate buster 1 OS=Bos taurus OX=9913 GN=NUB1 PE=2 SV=1
+MAQKKYLQSKLTQFLREDKIQLWKPPYTDENKEVGLALKDLAKKYSDKLECCENEVEEIM
+EEIRCKAVERGTGNEHYKTTGIATIEVFLPARLKKDRKNLLETHLHITGRELRSKIAETF
+GFQENYIKIVINKKQLQLGETLKEQGVTHNVKAMVLELKQSEEDVRKNVQVEEEKRNEAK
+LEEKRIQRTKRGLEILAERAEMVVDPEATPYLDIANQTGRSIRIPPSERKALMLAMGYHE
+KGRAFLKRKEHGIALPCLLDADRYFCECCTELLDTVDNYAVLQLDIVWCYFRLGQLECLD
+DAEKKLKLAQECFRKCYGENHQRLLHIKGNCGKEKVLFLRLYLLQGIRNYHSGNGEEAYE
+NLNKARQLFKELYIDPSKVHNLMQLGFSAQEARLGLRACDGNVDHAAVHIANRREELDQI
+KKEEREKKKRRLENINHLKGMGYSMRAARQALHQAAGNLEEALKILLHNPQLWWLNDSAP
+ESNNRQQSPSQEKIDQLVYMGFDAVAAKAALRVFRDNVQLAAQTLVHNGGRLPPDLQLSA
+EDSSSTPSTSPSDSAGTSSASTDEDMETEAVNEILEDIPEHEEDYLDSTLEDEEIIIAEY
+LSYVENIKSAAKKN
+>tr|A6QQR8|A6QQR8_BOVIN TUBAL3 protein OS=Bos taurus OX=9913 GN=TUBAL3 PE=2 SV=1
+MRECLSIHIGQAGVQIGGACWELYCLEHGIQPDGVVLDGGQDLLAPAKTEPTRASFDSFF
+CETRAGKYVPRALFMDLEPTVVGNVRSHVLNWVHNPNKQVQRLA
+>tr|Q3MHI5|Q3MHI5_BOVIN LOC781645 protein OS=Bos taurus OX=9913 GN=LOC781645 PE=2 SV=1
+MVARVQPDRKQLPLVLLRLLCLLPTGLPVRSVDFNRGTDNITVRQGDTAILR
+>tr|F1MU32|F1MU32_BOVIN Hexosyltransferase OS=Bos taurus OX=9913 GN=CSGALNACT1 PE=3 SV=2
+MLRRGLLAWASRVVVLLVLLCCAASVLYMLACTPRGDDERQGLPRANGPTGRASSQAAVL
+SWEERHRDHVGSLKRQIAQLQEELQERSEQLRAAQQLAGEPAGAPGRAQADLLAFLRSQV
+DRAEVHAGVKQATEYAAVPFDSFTLHKVYQLETGLTRHPEEKPVRKDKRDELVEAIQSAV
+EALNSPAESSPDQRPYTASDFIEGIYRTERDKGTLYELTFRGDHKHQFRRLVLFRPFGPI
+MKVKKEQLNMASTLVNVIVPLARRVDKFRQFMQNFREMCIQQDGRVHLTVVYFGKEEMDE
+VKGILENTSRAANFRNFTFIQLSGEFSRGKGLDVGARSWKGSNVLLFFCDVDIYFTSEFL
+NTCRLNAQPGKKVFYPVLFSQYNPGIIYGHHDAIPALERQLVIKKETGFWRDFGFGMTCQ
+YQSDFINIGGFDLDIRGWGGEDVHLYRKYLHSNLVVVRAPARGLFHLWHEKRCEDELAPE
+QYRMCMQSKAMNEASHGQLGMLVFRHEIEAHLRRQRHKTGSKKS
+>tr|Q24JZ4|Q24JZ4_BOVIN Metadherin OS=Bos taurus OX=9913 GN=MTDH PE=1 SV=1
+MATRSWLDELAQEAEDGSARLQEMLSVGLGFLRTELGLDLGLEPKRYPGWVILVGTGALG
+LLLLFLLGYGWAAACAGARKKRRNPPRKREEAAAAAPAPAADDQGVLKNLRSEEQKKKNR
+KKLPEKPKPNGRTIEVAEEEVVRTPRSVTAKQPIETDKKNEKSKKNKKKSKSDAKAVQNS
+SRHDGKEVDEGAWETKISHREKRQQRKRDKVQSDSGSLDSTIPGIENTITVTTEQVTTAS
+FPVGSKKNKGDSHLNVQVSNFKSGKGDSTLQVSPGLNENLTINGGGWNEKSMKLSSQISA
+GEEKWNSVSPAPAGKRKTEPSAWGQDTGDANANGKDWGRSWNDRSIFSGIGSTAEPVSQS
+TTSDYQWDVSRNQPYIDDEWSGLNGLSSADPSSDWNAPAEEWGNWVDEERASLLKSQEPI
+PDDQKVSDDDKEKGEGALPTGKSKKKKKKKKKQGEDNALAQDTEELEKEIREELPVNTSK
+VRPKQEKAFSLKTISTSDPADALIKNSQPIKTLPPAISTEPSVILSKNDSDKSSSQVPPM
+LQETDKSKSNTKQNSVPPSQTKSETSWESPKQIKKKKKARRET
+>tr|A5PJY3|A5PJY3_BOVIN MCART1 protein OS=Bos taurus OX=9913 GN=MCART1 PE=2 SV=1
+MMDSEAHEKRPPILTSSKQDIAPHIATVSEMKHYLCGCCAAFNNIAITFPIQKVLFRQQL
+YGIKTRDAILQLRRDGFRNLYRGILPPLMQKTTTLALMFGLYEDLSCLLRKHVSTPEFAT
+RSMAAVLAGTTEAIFTPLERVQTLLQDHRHHDKFTNTYQAFKALKCHGIREYYRGLVPVL
+FRNGFSNVLFFGLRGPIKEHLPTATTHSAHLVNDFICGGLLGAMLGILFFPVNVVKTRMQ
+SQIGGEFQSFPKVFQKIWLERDRKLTNLFRGAHLNYHRSLISWGIINATYEFLLKII
+>tr|A8WFL6|A8WFL6_BOVIN DMD protein OS=Bos taurus OX=9913 GN=DMD PE=2 SV=1
+MREQLKGHETQTTCWDHPKMTELYQSLADLNNVRFSAYRTAMKLRRLQKALCLDLLSLSA
+ACDALDQHNLKQNDQPMDILQIINCLTTIYDRLEQEHNNLVNVPLCVDMCLNWLLNVYDT
+GRTGRIRVLSFKTGIISLCKAHLEDKYRYLFKQVASSTGFCDQRRLGLLLHDSIQIPRQL
+GEVASFGGSNIEPSVRSCFQFANNKPEIEAALFLDWMRLEPQSMVWLPVLHRVAAAETAK
+HQAKCNICKECPIIGFRYRSLKHFNYDICQSCFFSGRVAKGHKMHYPMVEYCTPTTSGED
+VRDFAKVLKNKFRTKRYFAKHPRMGYLPVQTVLEGDNMETPASSPQLSHDDTHSRIEHYA
+SRLAEMENSSGSYLNDSISPNESIDDEHLLIQHYCQSLNQDSPLSQPRSPAQILISLESE
+ERGELERILADLEEENRNLQAEYDRLKEQHEHKGLSPLPSPPEMMPTSPQSPRDAELIAE
+AKLLRQHKGRLEARMQILEDHNKQLESQLHRLRQLLEQPQAEAKVNGTTVSSPSTSLQRS
+DSSQPMLLRVVGSQTSESMGEEDLLSPPQDSSTGLEEVMEQLNNSFPSSRGRNTPGKPMR
+EDTM
+>tr|F2Z4E9|F2Z4E9_BOVIN Cleavage and polyadenylation-specificity factor subunit 6 OS=Bos taurus OX=9913 GN=CPSF6 PE=4 SV=2
+MADGVDHIDIYADVGEEFNQEAEYGGHDQIDLYDDVISPSANNGDAPEDRDYMDTLPPTV
+GDDVGKGAAPNVVYTYTGKRIALYIGNLTWWTTDEDLTEAVHSLGVNDILEIKFFENRAN
+GQSKGFALVGVGSEASSKKLMDLLPKRELHGQNPVVTPCNKQFLSQFEMQSRKTTQSGQM
+SGEGKAGPPGGSSRAAFPQGGRGRGRFPGAVPGGDRFPGPAGPGGPPPPFPGNLIKHLVK
+GTRPLFLETRIPWHMGHSIEELPIFGLKAGQTPPRPPLGPPGPPGPPGPPPPGQVLPPPL
+AGPPNRGDRPPPPVLFPGQPFGQPPLGPLPPGPPPPVPGYGPPPGPPPPQQGPPPPPGPF
+PPRPPGPLGPPLTLAPPPHLPGPPPGAPPPAPHVNPAFFPPPTNSGMPTSDSRGPPPTDP
+YGRPPPYDRGDYGPPGREMDTARTPLSEAEFEEIMNRNRAISSSAISRAVSDASAGDYGS
+AIETLVTAISLIKQSKVSADDRCKVLISSLQDCLHGIESKSYGSGSRRERSRERDHSRSR
+EKSRRHKSRSRDRHDDYYRERSRERERHRDRDRDRDRERDREREYRHR
+>tr|Q2KJ20|Q2KJ20_BOVIN Chordin-like 2 OS=Bos taurus OX=9913 GN=CHRDL2 PE=2 SV=1
+MVPEVRVLSSLLGLALLWFPLDSHARARPDMFCLFHGKRYSPGESWHPYLEPQGLMYCLR
+CTCSENAHVSCYRLHCPPVHCPQPVTEPQQCCPRCVEPHTPSGLRAPPRSCQHNGTTYQH
+GEIFSAHELLPSHLPNQCVLCSCTEGQIYCGLMTCPEPGCPAPLPLPASCCQACKDGSSE
+KSAEEDTTQSHQGVKHSQDLCSGDSGRKRDLSTPSPTGPSASLGFIPRHFRPKEAGSTTV
+KIVLKEKHKKACVHGGKTYSHGEVWHPAFRSFGPLPCILCTCQDGRQDCQRVICPTAYPC
+HHPEKVAGKCCKICPEDKADSGHSEISATKCPKAPGRVLVHTSVSPSPDNLRRFALEREA
+SEQVEIYLWKLVKGIFHLIQIKKVRKQDFQKEAQNFRLLTGTHEGHWNIFLAQTPELKVT
+ASPDKMTKTL
+>tr|Q0MVC9|Q0MVC9_BOVIN POMT1 protein OS=Bos taurus OX=9913 GN=POMT1 PE=2 SV=1
+MLGFLQQPVMVTAEVNLNMVALTAVGLLSRLWQLAYPRAVVFDEVYYGQYISFYMKRIFF
+LDGSGPPFGHMLLALGGYLGGFDGNFLWNRIGAEYSSNVPVWSLRLLPALSGALSVPMAY
+EIVWELGFSHCAATGAALLLLIENALITQSRLMLLESVLIFFNLLAVLSYLKFCNSQKHR
+PFSPRWWFWLVLTGVACSCAVGIKYVGVFTYLLVLGVAAVHAWHVIGDQTLSNVRVLCHL
+LARAAALVVLPVLVYLLFFYIHLLLLCRSGPHDQIMSSAFQASLEGGLARVTQGQPLEVA
+FGSQVTLKNVFGQPVPCWLHSHQSTYPMIYENGRGSSHQQQVTCYPFKDVNNWWIVKDPG
+RHQLVVNNPPRPVRHGDVVQLVHGMTTRLLNTHDVAAPLSPHAQEVSCYIDYNISMPPQN
+LWRLDIVNRESDTDVWKTILSEVRFVHVNTSAILKLSGVPLPDWGFRQLEVVGEKLFRGY
+HESTVWNVEEHRYGRSQEQKERELELHSPTQVDISRNLSFMARFSELQWRMLTVRSDDSE
+HKYSSTPLDWVMLDTNIAYWLHPRTSAQIHLLGNVVIWASASLATLVYALLFIWYLLRRR
+RRVCDLPEDRWLRWVLAGALCAGGWAVNYLPFFLMEKTLFLYHYLPALTFQILLLPVVLE
+HISDHLCRSQLQRSLFTALVVAWFTSACHVSNMLRPLTYGDRSLSPSELKALRWKDSWDI
+LIRKY
+>tr|A7YY70|A7YY70_BOVIN SLC34A1 protein OS=Bos taurus OX=9913 GN=SLC34A1 PE=2 SV=1
+MMSYGEKVGGRAVSPLPVRGGHMMHGAAFAYVPSPQVLHRIPGTSAYGFPSVGPVALPEH
+GCPYGEVVEHHDPLPAKLALEDERKPEPGLVQKLHRAGVTLLKVPLMLSFLYLFVCSLDV
+LSSAFQLAGGKVAGDIFKDNAILSNPVAGLVVGILVTVLVQSSSTSTSIVVSMVSSGLLE
+VSSAIPIIMGSNIGTSVTNTIVALMQAGDRTDFRRAFAGATVHDCFNWLSVLVLLPLEAA
+TGYLHCITRLVVASFNIHGGRDAPDLLKIITEPFTKLIIQLDKSVITSLASGDESLRNHS
+LIRVWCYPDPTEVPTPMPRAEANTSRMLRNATLEKCNHIFVDTGLPDLAVGLILLAGSLA
+LLCTCLILLVKMLNSLLKGQVAKVIQKVINTDFPTPFTWATGYFAMVVGASMTFVVQSSS
+VFTSAITPLIGLGVISIERAYPLTLGSNIGTTTTAILAALASPREKLSSAFQIALCHFFF
+NISGILLWYPMPCTRLPIRMAKALGKRTAKYRWFAVLYLLLCFLLLPSMVFGLSMAGWRA
+MVGVGAPFGALLAFVVLVSVLQSRSPGCLPKWLQTWDFLPLWMHSLKPLDRLITRATLCC
+ARAEPRSPPLPARVFLEELPPATPSPHLAMTHHHNATRL
+>tr|A0A0A8JC39|A0A0A8JC39_BOVIN B-cell CLL/lymphoma 11A OS=Bos taurus OX=9913 GN=BCL11A PE=2 SV=1
+MSRRKQGKPQHLSKREFSPEPLEAILTDDEPDHGPLGAPEGDHDLLTCGQCQMNFPLGDI
+LIFIEHKRKQCNGSLCLEKAVDKPPSPSPIEMKKASNPVEVGIQVTPEDDDCLSTSSRGI
+CPKQEHIADKLLHWRGLSSPRSAHGALIPTPGMSAEYAPQGICKDEPSSYTCTTCKQPFT
+SAWFLLQHAQNTHGLRIYLESEHGSPLTPRVGIPSGLGAECPSQPPLHGIHIADNNPFNL
+LRIPGSVSREASGLAEGRFPPTPPLFSPPPRHHLDPHRIERLGAEEMALATHHPSAFDRV
+LRLNPMAMEPPAMDFSRRLRELAGNTSSPPLSPGRPSPMQRLLQPFQPGSKPPFLATPPL
+PPLQSAPPPSQPPVKSKSCEFCGKTFKFQSNLVVHRRSHTGEKPYKCNLCDHACTQASKL
+KRHMKTHMHKSSPMTVKSDDGLSTASSPEPGTSDLVGSASSALKSVVAKFKSENDPNLIP
+ENGDEEDEEDDEEEEEEEEEEEEELTESERVDYGFGLSLEAARHHENSSRGAVVGVGDEG
+RPLPDVMQGMVLSSMQHFSEAFHQVLGEKHKRGHLAEAEGHRDTCDEDSVAGESDRIDDG
+TVNGRGCSPGESASGGLSKKLLLGSPSSLSPFSKRIKLEKEFDLPPAAMPNTENVYSQWL
+AGYAASRQLKDPFLSFGDSRQSPFASSSEHSSENGSLRFSTPPGELDGGISGRSGTGSGG
+STPHISGPGPGRPSSKEGRRSDTCEYCGKVFKNCSNLTVHRRSHTGERPYKCELCNYACA
+QSSKLTRHMKTHGQVGKDVYKCEICKMPFSVYSTLEKHMKKWHSDRVLNNDIKTE
+>tr|A4UAF3|A4UAF3_BOVIN Sperm associated antigen 11 isoform U OS=Bos taurus OX=9913 GN=SPAG11 PE=2 SV=1
+MKQFLAPSTLLFVVLLFPGLSRVTHANRQDPKGPRKQEESLGRGTNRSHPLHHQVKRYLV
+PRKPPFPDE
+>tr|A0A3Q1NK48|A0A3Q1NK48_BOVIN Tet methylcytosine dioxygenase 3 OS=Bos taurus OX=9913 GN=TET3 PE=4 SV=1
+MSQFQVPLAVQPDLPGLYDFPQGQVMVAGYQGPGLPVAGTEPQLRGGGDGRKKRKRCGTC
+EPCRRLENCGACTSCTNRRTHQICKLRKCEVLKKKVGLLKEVEIKAGEGAGPWGQGAAVK
+TGSELSPVDGPVPGQMDSGPVYHGDSRQLSASGAPVNGAREPAGPSLLGTGSPWQADQKP
+DWDTAPGPAHTARLEDAHDLVAFSAVAEAVSSYGALSTRLYETFNREMSREAGDNSRGPR
+PGPESCSASSEDLDTLQTALALARHGMKPPNCNCDGPECPDYLEWLEGKIKSVVVEGGEE
+RPRLPGTLPSGDAGLPAPVTGPLLNSEVPQMPPLEGLPLSQSALSIAKEKNISLQTAIAI
+EALTQLSSALPQPSHATSQASCPLPEALSPPAPFRSPQSYLGAPSWPVVPPEEHPSFAPD
+GPAFPAATPRTEFPEAWGTDTPPATPQSSWPMPRPSPDPMAELEQLLGSASDYIQSVFKR
+PEALPTKPKVKVEVPSSSPSPVLQREAPTPSSEPDTHQKAQTALQQHLHHKRSLFLEQAH
+DASRPPPSELPAPGWWAPPSSPAPRPSDRPPKEKKKKPLTPAGGPLGADRAGPGIKPGVR
+KPVHIKKSRPREAQPLFPPLRQIILEGLRPPASEDVQAHPPAPVPTSQGSVVPLPPEPSL
+ALFAPSPSGDSLLAPTQEMRSPSPVAALQPGSTGPLPPADDKLEELIRQFEAEFGDSFGL
+PGPPSVPIQDPENQPTCLPAPESPFATRSPKQIKIESSGAVTVLSTTCFHSEEGGQEATP
+TKAENPLTPTLSGFLESPLKYLDTPTKSLLDTPAKRAQAEFPTCDCVEQIVEKDEGPYYT
+HLGSGPTVASIRELMEERYGEKGKAIRIEKVIYTGKEGKSSRGCPIAKWVIRRHTLEEKL
+LCLVRHRAGHHCQNAVIVILILAWEGIPRSLGDTLYQELTDTLRKYGNPTSRRCGLNDDR
+TCACQGKDPNTCGASFSFGCSWSMYFNGCKYARSKTPRKFRLAGDNPKEEEVLRKSFQDL
+ATEVAPLYKRLAPQAYQNQVTNEEIAIDCRLGLKEGRPFSGVTACMDFCAHAHKDQHNLY
+NGCTVVCTLTKEDNRCVGKIPEDEQLHVLPLYKMASTDEFGSEENQNAKVGSGAIQVLTA
+FPREVRRLPEPAKSCRQRQLEARKAAAEKKKVQKEKLSTPEKIKQEALELAGITTDPGLS
+LKGGLSQQSLKPSLKVEPQSPFSSFKYSGNTVVESYSVLGSCRPSDPYSVNSVYSYHSHY
+AQPGLAAVNGFHSKYALPAFSYYGFPSSNPVFPSQFLGAGAWGHGGGSSSFEKKPDLHAL
+HNSLSPAYGGAEFAELPGQAVPTDTHHPASHHQQPAYPGPKEYLLPKAPQIHPVSRDPSP
+FAQSSNCYNRSIKQEPVDPLVHTESVTREPGKMGKTPLPEASQNGGPSHLWGQYSGGPSM
+SPKRTNGVGGSWGVFPPVESPAVIPDKLGSFGGSCLTPSHFPDGQHQWGLFPGEGQQPAP
+QPAGRLRGKPWSPCKFGSNASALAGPGLTEKPWGVGAGDFSSALKGGPGFQDKLWSPLKG
+EEGRIPTPGASQLDKAWQSFGMPLGPSEKLFGALKSEEKLWDPFSLEEGTAEGPPNKGTV
+KEEKGAGGAEEEEELWSDSEHNFLDENIGGVAVAPAHGSILIECARRELHATTPLKKPNR
+CHPTRISLVFYQHKNLNQPNHGLALWEAKMKQLAERARARQEEAARLGLGHQEAKLYGKK
+RKWGGAVVPESQHKEKKGMVPTRQALAMPTDSAVTVSSYAYTKVTGPYSRWI
+>tr|A0A3Q1MRK1|A0A3Q1MRK1_BOVIN Muscleblind like splicing regulator 3 OS=Bos taurus OX=9913 GN=MBNL3 PE=4 SV=1
+MTAFSIALVRDAKWLTLEVCREFQRGTCSRADADCKFAHPPRICHVENGRVVACFDSLKG
+RCARENCKYLHPPPHLKTQLEINGRNNLIQQKSAAAMFAQHMQFMFQNAQMPSVNSFPVN
+PAIAANPAMAYNPYLPHHPGMGLVPAELVQNAPVLISGNPPLPLPGPVGPKPIRSDKLEV
+CREFQRGNCTRGENDCRYAHPTDVSMIETSDNTVTICMDYIKGRCSREKCKYFHPPAHLQ
+AKLKAAHYQMNHSAATAMGLQPGTLQLIPKRSALEKVSCAPPVFNPNVFHRQQALPNVPM
+PQPQPQPQFIPTGPILCMAPASSVVPMMHSAAPTTMSAAPPPATSIPFVATAPGNQLKF
+>tr|A2VDS7|A2VDS7_BOVIN DPYSL4 protein OS=Bos taurus OX=9913 GN=DPYSL4 PE=2 SV=1
+MSFQGKKSIPRITSDRLLIRGGKIVNDDQSFHADLYVEDGLIKQIGENLIVPGGIRTIDA
+HGLLVLPGGVDVHTRLLMPVLGMTPADDFCQGTKAALAGGTTMILDHVFPEAGVSLLAAY
+EQWRERADGGACCDYSLHVDIPRWHESTREELEALVRDKGVNSFLVFMAYKDRHQCTDGQ
+IYEIFSVIRDLGAVAQVHAENGDIVDEEQKRLLELGVTGPEGHVLSHPEEVEAEAVYRAI
+TIARQASCPLYVTKVMSKAAADVIARAKRRGVVVFGEPITAGLGADGSHYWSKNWAKAAA
+FVTSPPISPDPSTADHLTALLSSGDLQVTGSAHCTFTTAQKAVGRDNFTLIPEGTNGVEE
+RMSVVWEKCVASGQMDENEFVAVTSTNAAKIFNFYPRKGRVAVGSDADLVIWNPRATRII
+SAKSHSLNVEYNIFEGLECRGAPSVVISQGRVVLEDGALCVTPGTGRFIPRKTFPDFVYK
+RIKARNRLAEIHGVPRGLYDGPVHEVMAPTKPGAGSQARASCPGKISVPPFRNLHQSGFS
+LSGSQADDHIARRTAQKIMAPPGGRSHITSLS
+>tr|A4IFN0|A4IFN0_BOVIN LOC524771 protein OS=Bos taurus OX=9913 GN=LOC524771 PE=2 SV=1
+MGTLGGHLIAGLLFLIVVLYYSVLVSLALLRGQRFLKSPLPPRDKRGHRWWQLVSVEAVV
+KVVFSLIVILPELFYPPGTNRMVMVDWEDPKRPFVFQDTWQHITMYGFFLFSGVVDIVSQ
+VCQARQNMKLERAAEALAFCVLVLLLINHIENKSTLESRVHALFMLPVFLVGLVLIIEVW
+VPDQPSLWVLKAWMGLVLSNWLLHLSVLLYAPPSGQPWSRDDPVELAFVTTFFCWHLGLG
+AVLLAAIYGLCSLWHHRFSSWKRVPGAKYQPCPLHEGSEEPEKFRAGAPQLDGGV
+>tr|A6QLR1|A6QLR1_BOVIN Nectin-4 OS=Bos taurus OX=9913 GN=NECTIN4 PE=2 SV=1
+MPLSLGAEMWGPAAWLLLLLLLASFTGQRLAGELETSDLVTVVLGQDAKLPCFYRGDPGE
+QVEQVAWARVDAGEGGRELALLNSKYGLHVSSAYEGRVEQPPPPRNPLDGAVLLRNAVQA
+DEGEYECRVSTFPAGSFQARLRLRVLVPPLPSLNPGPPLEEGQGLTLAASCTAEGSPAPS
+VTWDTEVKGTASHRSFTHSRSAAVTSEFHLVPSRSMNGQPLTCVVSHPGLLQDQRITHIL
+QVAFLAEASVRGLEDRKLWQVGREGAMLKCLSEGQPPPSYNWTRLDGPLPSGVRAEGDTL
+GFPTLTPEHSGTYVCRVSNALSSRDSQVVVDVLDPEDAPGKQVDLVSASVVVVGVIAALL
+FCLLVVVVVLMSRYHRRKAQQMTQKYEEELTLTRENSIRRLHSHHSDPRNQPEESVGLRA
+EGHPDSLKDNSSCSVMSEEPEGRSYSTLTTVREIETQTELPSPGPGRAEEEEDRDEGIKQ
+AMNHFVQENGTLRAKPTGNGIYINGRGHLV
+>tr|B0JYN4|B0JYN4_BOVIN Cytosolic iron-sulfur assembly component 2A OS=Bos taurus OX=9913 GN=CIAO2A PE=2 SV=1
+MERVSGLLSWTLSRFLWLSGLSERGAARQPRIMEEKALEVYDLIRTIRDPEKPNTLEELE
+VVTESCVEVQEINEDDYLVIIRFTPTVPHCSLATLIGLCLRVKLQRCLPFKHKLEIYISE
+GTHSTEEDINKQINDKERVAAAMENPNLREIVEQCVLEPD
+>tr|Q0VCP0|Q0VCP0_BOVIN Solute carrier family 33 (Acetyl-CoA transporter), member 1 OS=Bos taurus OX=9913 GN=SLC33A1 PE=2 SV=1
+MSPTVSHKDSSRQRRPGTFNHSLDLKSGPLPPGSWDDNLPEVVGGEGDKEALLRDISTVD
+FSKTPRSCRAELSSILLLLFLYVLQGIPLGLAGSIPLILQSKNVSYTDQAFFSFVFWPFS
+LKLLWAPLVDAVYFKNFGRRKSWLVPTQYILGFFMIYLSTQVDHLLGNTDGRTPDVVALT
+VTFFLFEFLAATQDIAVDGWALTMLSRENVGYASTCNSVGQTAGYFLGNVLFLALESADF
+CNKYLRFEPQPRGIVTLSDFLFFWGTVFLITTTLVALLKKENKEVSVGKEETQGITDTYK
+LLFAIVKMPAVLTFCLLILTAKIGFSAADAVTGLKLVEEGVPKEHLALLAVPMVPLQIIL
+PLIISRYTAGPQPLNIFYKAMPYRLLFGLEYALLVWWTPKVEHQGGFPIYYYIVVLLSYA
+LHQVTLYSMYVSIMAFNAKVSDPLIGGTYMTLLNTVSNLGGNWPSTVALWLVDPLTVKEC
+VGASNQNCRTPDAVELCKKLGGSCVTALDGYYVESVICVLIGFGWWFFLGPKLKKLQDEG
+PSSWKCKRDK
+>tr|A6QNZ7|A6QNZ7_BOVIN Keratin 10 (Epidermolytic hyperkeratosis; keratosis palmaris et plantaris) OS=Bos taurus OX=9913 GN=KRT10 PE=2 SV=1
+MSVRYSSSKQYSSSRSGGGGGGGSSLRISSSKGSLGGGYSSGGFSGGSFSRGSSAGGCFG
+GSSSIYGGGLGSGFGGGYGSSFGGSYGGSFGGGYGGGGFGGGSFGGGSFGGGLGGGFGDG
+GLISGNEKITMQNLNDRLASYLDKVRALEESNYELEVKIKEWYEKYGNSRQREPRDYSKY
+YQTIDDLKNQIFNLTTDNANILIQVDNARLAADDFRLKYENEVTLRQSVEADINGLRRVL
+DELTLTKTDLEMQIESLTEELAYLKKNHEEEMRDLQNVSTGDVNVEMNAAPGVDLTELLN
+NMRSQYEQLAEKNRRDAEAWFNEKSKELTTEINSNLEQVSSHKSEITELRRTIQGLEIEL
+QSQLALKQSLEASLAETEGRYCVQLSQIQSQISSLEEQLQQIRAETECQNAEYQQLLDIK
+IRLENEIQTYRSLLEGEGSSGGGSYGGGRGYGGSSGGGGGGYGGGSSSGGYGGGSSSGGG
+HGGSSGGSYGGGSSSGGGHGGGSSSGGHKSTTTGSVGESSSKGPRY
+>tr|A8E4P2|A8E4P2_BOVIN FARSB protein OS=Bos taurus OX=9913 GN=FARSB PE=2 SV=1
+MPTVSVKRDLLFRALGRTYTDEEFDELCFEFGLELDEITSEKEIISKEQGNEKAHGASDV
+VLYKIDVPANRYDLLCLEGLVRGLQVFKERIKTPMYKRVMPKGEIQKLIITEETAKIRPY
+AVAAVLRNIKFTKDRYDSFIELQEKLHQNICRKRALVAIGTHDLDTLSGPFTYTAKKPSD
+IKFKPLNKSKEYTACELMNIYKTDNQLKHYLHIIENKPLYPVIYDSKGVVLSMPPIINGN
+HSKITVNTKNVFIECTGTDFTKAKIVLDVIVTTFSEYCENQFTVEAAEVVFPNGKLHTFP
+ELAYRKEMVRADLINKKVGIRETPENLAKLLTRMCLKSEVIGDGNQIEVEIPPTRADIIH
+ACDIIEDAAIAYGYNNIQMTLPKTYTIANQFPLNKLTELLRQDMAAAGFTEALTFALCSQ
+EDIADKLGMDISATKAVHISNPKTAEFQVARTTLLPGLLKTLAANRKMPLPLKLFEISDI
+VIKDSSRDVGARNYRHLCAVYYNKNPGFEIIHGLLDRIMQLLDVPPGEKKGGYAIKASEG
+PVFFPGRCAEIFARGQSIGKLGVLHPDVITKFELTMPCSCVEINIEPFL
+>tr|A6QLC9|A6QLC9_BOVIN ZZZ3 protein OS=Bos taurus OX=9913 GN=ZZZ3 PE=2 SV=1
+MAASRSTRVTRSTVGLNGLDESFCGRTLRNRSIAHPEEISSHSQVRSRSPKKRPEPVQIQ
+KGNNNGRTTDIKQQSARESWVSPRKRGLPSSEKDNIERQAVENCERRQTEPVSPVLKRIK
+RCLRSEAPNSSEEDSPIKSDKESVEQRSTVVDNDADFQGTKRACRCLILDDCEKREIKKV
+NVSEEGPLDSTVVEEITGYLAVNGVDDSDSAVINCDDCQPDGNTKQNSTGSYVLQEKSVA
+ENGDSDTHASMFLDSRKEDGYINHNVPCTDSEVQVKLEDHKVVTACLPVERVNQLTTEPA
+TGPFAEIHSSLRDSEEEVDVVGDSSASKEQCNESTNNALDTSLESMPVSGEPEPSSVLDC
+VSTQMMSLSEPQEHRYTLRTSPRRAAPTRGSPTKNNSPCRENGQFEENNLNPSETNATVS
+DNISESLTNPGEVSHSEKGICCDSENYGSEGLSKPSSEARLNAGHLPSAKESANPHITEE
+EDDDPDVYYFESDHVALKHNKDYQRLLQTIAVLEAQRSQAVQDLESLGRHQREALKNPIG
+FVEKLQKKADIGLPYPQRVVQLPEIVWDQYTNSLGNFEREFKNRKRHTRRVKLVFDKGLP
+ARPKSPLDPRKDGESLSYSMLPLSDGPEGSNSRPQMIRGRLCDDTKPETFNQLWTVEEQK
+KLEQLLLKYPPEEVESRRWQKIADELGNRTAKQVASRVQKYFIKLTKAGIPVPGRTPNLY
+IYSKKSSTSRRQHPLNKHLFKPSTFMTSHEPPVYMDEDDDRSCFHSHMNTAVEEASDEES
+IPVTYRNLPEYKELLQFKKLKKQKLQQIQAESGFVQHVGFKCDNCGIEPIQGVRWHCQDC
+PPEMSLDFCDSCSDCLHETDIHKEDHQLEPVYRSETFLDRDYCVSQGTSYNYLDPNYFPA
+NR
+>tr|Q148K2|Q148K2_BOVIN TBC1 domain family member 5 OS=Bos taurus OX=9913 GN=TBC1D5 PE=2 SV=1
+MYHSLSETRHPLQPEEQEVGTDPLSSYPDQPGGDSNQNGRTSSLDSDGTFNSYRKEWEEL
+FVNNNYLATIRQKGINGQLRSSRFRSICWKLFLCVLPQDKSQWISKIKELRAWYSSVKEI
+HITNPRKAVGQQDLMINNPLSQDEGSLWNKFFQDKELRSMIEQDVKRTFPEMQFFQQESV
+RKILTDVLFCYARENEQLLYKQGMHELLAPIVFTLHCDHQAFLHASESAQPSEEMKTLLN
+PEYLEHDAYAMFSQLMETAEPWFSTFEHDGQKGKETLMPPIPFARPQDLGPTIAIVTKVN
+QIQDQLLKKHDIELYMHLNRLEIAPQIYGLLMMLI
+>tr|A4FV41|A4FV41_BOVIN UBA domain containing 2 OS=Bos taurus OX=9913 GN=UBAC2 PE=2 SV=1
+MFTSTGSSGLYKAPVSKCLLLVPSALSLLLALVLPHCQRFFVYDLQAVKDDFQIWRLISG
+RIICLDLKDTFCSSLLIYNFRIFERRYGSRKFASFLLGSWVLSVVGDFILVEAVRYSFGV
+TMARNLPSGFLAPVFALFVPFYCSIPRVQVAHILGPLSITNKTLIYILGLQLFTSGSYIW
+IVAISGLASGICYNSRTLQVHRVLYVPSWMAKFCAWTLEPLFSSSEPTSEARVGMGATVD
+IQRQQRMELLDRQLMLSQFAQVRRQRQQQGGMINWDRLFPPLRQRRNVNYQDGRQAEQPA
+SPPLEVPEEQVARLMEMGFSRGDALEALRASNNDLNVATHFLLQH
+>tr|A6QNS2|A6QNS2_BOVIN UBE4B protein OS=Bos taurus OX=9913 GN=UBE4B PE=2 SV=1
+MEELSADEIRRRRLARLAGGQTSQPTTPLTSPQRENPPGPPIAASAPGPSQSLGLNVHNM
+TPATSPIGASGVAHRSQSSEGVSSLSSSPSNSLETQSQSLSRSQSMDIDGVSCEKSMSQV
+DVDSGIENMEVDENDRREKRSLSDKEPSSGSEVSEEQALQLVCKIFRVSWKDRDRDVIFL
+SSLSAQFKQNPKEVFSDFKDLIGQILMEVLMMSTQTRDENPFASLTATSQPIAATARSPD
+RNLMLTTGSNPGTSPMFCNLGSFGASSLSSLYETSPAPNTSVWSSVPMMSPPLASPSRAA
+SQAAVPPASSLCPPGPAGTPAGSQPSSPRYRPYTVTHPSGSTSTPASRSSGTSILSSSPG
+LSAPASSPQAVPTSSSRQRPPGTGPPLLSASPGGSAPSRRPSSLRNSPSMYDSPFSFLFL
+ALSGDSSDEDEEDDDDGDDGDDEGGGGGDEFSCVQFGSSLGASGGASTWDSYSDHFTIET
+CKETDMLNYLIECFDRVGIEEKKAPKMCSQPAVSQLLSNIRSQCISHTALVLQGSLTQPR
+SLQQPSFLVPYMLCRNLPYGFIQELVRTTHQDEEVFKQIFIPILQGLALAAKECSLDSDY
+FKYPLMALGELCETKFGKTHPVCNLVASLPLWLPKSLSPGSGRELQRLSYLGAFFSFSVF
+AEDDAKVVEKYFSGPAITLENTRVVSQSLQHYLELGRQELFKILHSILLNGETREAALSY
+MAAVVNANMKKAQMQTDDRLVSTDGFMLNFLWVLQQLSTKIKLETVDPTYIFHPRCRITL
+PNDETRVNATMEDVNDWLAELYGDQPPFSEPKFPTECFFLTLHAHHLSILPSCRRYIRRL
+RAIRELNRTVEDLKNNESQWKDSPLATRHREMLKRCKTQLKKLVRCKACADAGLLDESFL
+RRCLNFYGLLIQLLLRLLDPAYPDVTLPLNSDVPKVFAALPEFYVEDVAEFLFFIVQYSP
+QVLYEPCTQDIVMFLVVMLCNQNYIRNPYLVAKLVEVMFMTNPAVQPRTQKFFEMIENHP
+LSTKLLVPSLMKFYTDVEHTGATSEFYDKFTIRYHISTIFKSLWQNIAHHGTFMEEFNSG
+KQFVRYINMLINDTTFLLDESLESLKRIHEVQEEMKNKEQWDQLPRDQQQARQSQLAQDE
+RVSRSYLALATETVDMFHILTKQVQKPFLRPELGPRLAAMLNFNLQQLCGPKCRDLKVEN
+PEKYGFEPKKLLDQLTDIYLQLDCARFAKAIADDQRSYSKELFEEVISKMRKAGIKSTIA
+IEKFKLLAEKVEEIVAKNARAEIDYSDAPDEFRDPLMDTLMTDPVRLPSGTIMDRSIILR
+HLLNSPTDPFNRQTLTESMLEPVPELKEQIHAWMREKQNSDH
+>tr|Q08DX3|Q08DX3_BOVIN Transmembrane 7 superfamily member 3 OS=Bos taurus OX=9913 GN=TM7SF3 PE=2 SV=1
+MGLLRLLLIVVLASVTRGACGAEIVGNSSEGLLEFSVGKFRYLELKKPFPEEAILRHISS
+NVTFVIFQIHSQYQNTTISFSETLLPNASETGTDKGLVSILRPEQSMCTWHLETLDPEPV
+QNVAIPLSYSESDPIPGGCNLEFDVDIDPNIYLEYNFFETTIKFAPANLGYARGADPPSC
+DVKMGPDSRWRLQYDVYQYFLPENDLTEEVLLRHLQRMAEVPQVQANAVKVVTLTADDKT
+SVSFSSLRGQGVIYNVIVRDPFLNTSTAYVPTHTYACSFEAEEGNCSSLGRVSTKVFFTL
+FALLGLFICFFGHRFWKTELFFIGFIFMGFFFYILITRLTPIKYDVRLIVTAIAGSIGGI
+FLVAAWWRFGVLTLCMLCVGLVLGFLVASVAFFTPLGNLKIFRVDAVFWVTFSCIAIFIP
+VVFMGCLRILNILSCGFIGSYSVVLAVDSYLYTSLSYITLNILRRALHVDFRRAFVNVPF
+QTNDFIVLAVWGMLAVSGITLQIRRERGRPFFPPHPYKLWKRERERRVTNILDPSYHIPP
+LRERLFSRLAQIEGLFQKEQPAGERTPLLL
+>tr|A2VDS3|A2VDS3_BOVIN Family with sequence similarity 57 member A OS=Bos taurus OX=9913 GN=TLCD3A PE=2 SV=1
+MLLPLAWGSLFFPGLFGVCTWGLRRARPAWTHHDCVMISTRLVSSVQAVLATGSGIIVIR
+SCSDVITDRHWLAREYVWFLIPYMIYDTYAMYLCEWYRAGDQSSKHSLTIFRNFLSKNRL
+MITHHVFILLVLVPIAQKLRGELGDFFVGCIFTAELSTPFVSLGRILIQLQQQHSLLYKV
+NGILTLTTFFMCRILLFPFMYWSYGRQQGLSLLRVPFHIPFHCNVANAFLIAPQIYWFSL
+LCKKAARLFDVPPAKKDS
+>tr|Q0II20|Q0II20_BOVIN Cysteine-rich C-terminal 1 OS=Bos taurus OX=9913 GN=CRCT1 PE=2 SV=1
+MSSQQSAKGSPRGSSQGPTPCPAPCPAPEPDTSSCSSGCCGNGCCGNGCCGSSGDSGCCG
+DCGCGDCGCGGSSSVGCCCFPRRRRRQGRRGCGCCGGSSQRSQYSSSSGCCGC
+>tr|E1BH45|E1BH45_BOVIN RB1 inducible coiled-coil 1 OS=Bos taurus OX=9913 GN=RB1CC1 PE=4 SV=1
+MKLYVFLVNTGTTLTFDTELTVQTVADLKHAIHSKYKIAIQHQVLVVNGGECMAADRRVC
+TYSAGTDTNPIFLFNKEMILSERLPAIPKTTFSTENDMEIKVEESLMMPAVFHTVASRTQ
+LAVEMYEVAKKLCSFCEGLVHDEHLQHQGWAAIMANLEDCSNSYQKLLFKFESIYSNYLQ
+SVEDIKLKLTHLGTAVSVMAKIPLLECLTRHSYRECLGRVDSLPEREGSEKSETKTSTEL
+VLSPDTPAATSKPSFTSFHMSVEHVASDAADAESGKDVREPCPSPAQQDAAAIEAKEGDL
+PFFNVSLLDWINVQDRPNDVESLVRKCFDSMSRLDPRIIRPFMAECRQTIANLDNQNMKA
+IKGLEDRLYALDQMIASCGRLVNEQKELAQGFLANQMRAENLKDASVLPDLCLSHANQLM
+IMLQNHRKLLDIKQKCTTAKQELANNLHVRLKWCCFVMLHADQDGEKLQALLRLVIELLE
+RVKIVEALSTVPQMYCLAVVEVVRRKMFIKHYREWAGALVKDGKQLYEAEKSKRESFGKL
+FRKSFLRNRLFRGLDSWPPSFCTQKPRKFDCELPDISLKDLQFLQSFCPSEVQPFLRVPL
+LCDFEPLHQHVLALHNLVKAAQSLDEMSQTITDLLSEQKASASQTSPQSASSPRLESATG
+ITTTTSPRTPPPLAVQDPLCPAVCPLEELSPDSIDAHTFDFETIPHPNIEHTLHQASLDL
+DSLAESPESDFMSAVNEFVIEEQLSSPNPISDPQSPEMMVESLYSSVINAIDSRRMQDTS
+APGQEDLGDRASLSVQLGRCRASARDSHLSIQTLKEDFCHFRTFVQKEQCDFANSLQCTA
+VEIRSIIDKVKHSLEITLYEKHQKELESLKSEYEGRLATLVKESEENKSKITKLRGDLVC
+LEEVLQNKDNEFALVKHEKEAVICLQKEKDQKLLEMECTVHAQHCEMEALRQSREVALED
+LRKLHVENDEKLQLLRAELQRLEQSHLKELEDTLQVRHTQELEKAMNEHRLCLEKLEMEN
+QQRIEQIQDSHAAVIQEKEQQLQELKLKVSDLSDMRCKLEVELALKEAETDEIKMLLEES
+RTQEKKTLQSLFEKETEQLRAEISKLNQKIHDNNENYQVGLTELRTLMTVEKDQCISELI
+SRHEEESDRLTTDLNKMTSLYQQAFEIEKGLKEELAELQSKLDSELSALEKQKDEKISQQ
+EDMYKAIIQKLEKDKEEFVMRQEQDREQLVQRLNCEKEAAIETARKEMHLEREAVEKELL
+EKVKHLESQLAKSHAMESAREDSSSLVAELQEKLQEEKAKFLEQLEEQEKRKNEEMQNVR
+TSLIAEQQTNFNTVLTREKMRKENIINDLSDKLKSTMQQQERDKDLIESLSEDRARLLEE
+KKRLEEEVSRLRGGAFVPSPGVAAAPELYGACAPEPPVEALDTFAEGRPDSAMETSTMSV
+QENVHVLSEERQRIMLLERTLQLKEEENKRLNQRLMSQSMSSVSSRHSEKIAIRDFQVGD
+LVLIILDERHDNYVLFTVSPTLYFLHAESLPALDLRPGEGASGASRRPWVLGKVMEKEYC
+QAKKAQNRFKVPLGTKFYRVKAVSWNKKV
+>tr|A4FUG5|A4FUG5_BOVIN BIN2 protein OS=Bos taurus OX=9913 GN=BIN2 PE=2 SV=1
+MAEGRAGGAAGLFAKQVQKKFSRAQEKVLQKLGKTVETKDERFEQSASNFHQQQAEGHKL
+YKDLKNFLSAVKVMHESSKRVSETLQEIYSSKWDGHEELKAIVANNDLLWEDYEEKLADQ
+VLRTMENYVAQFSEIKERIAKRGRKLVDYDSARHHLEAVQNAKKKDEAKTAKAEEEFNKA
+QLVFEDLNQELLEELPVLYNSRIGCYVTIFQNISNLRDVFYREMSKLNHNLYEVMSKLEK
+QHSDKVFVVKGLSSSNRRSLVISPPVRTSMVSSPITSPTSPSALSLKSEKDSSSASEEEL
+ASDSAQGEDNSEIKEEPLKDKETEEEAETSSSEEEEPLPACNGPIQSQPSPLTEDRESQE
+EVFPCSPAPSPARALTPSEQASSSSVVVLRTRTSSEGSEELKKKVSVQRASAPPSRPPPP
+RATPSPRGSLGNTPSSPPASPTSPRASSEASPDPQPPEKPVREKENTDNLNPEELCTSPT
+LMNSQNQSLQLHGSAVPEESNVIAPEPEEEVSTIQSAQL
+>tr|Q2TBM0|Q2TBM0_BOVIN F-box protein 21 OS=Bos taurus OX=9913 GN=FBXO21 PE=2 SV=1
+MAAAVVDSAMEVVPALVEEAAPEAAGLSCLVNLPGEVLEYILCSGSLTAADIGRVSSTCR
+RLRELCQGSGKVWKEQFRVRWPSLMKHYSPTDYVNWLEEYKVRQKAGLEARKIVASFSKR
+FFSEHVPCNGFSDIENLEGPEIFFEDELVCILNMEGRKALTWKYYAKKILYYLRQQKILN
+NLKAFLQQPDDYESYLEGAVYIDQYCNPLSDISLKDIQAQIDSIVELVCKTLRGINSRHP
+SLAFKAGESSMIMEIELQSQVLDAMNHVLYDQLKFKGNRMDYYNALNLYMHQVLIRRTGI
+PISMSLLYLTIARQLGVPLEPVNFPSHFLLRWCQGAEGTTLDIFDYIYIDAFGKGKQLTV
+KECEYLIGQHVTAALYGVVNVKKVLQRMVGNLLSLGKREGIDQSYQLLRDSLDLYLAMYP
+DQVQLLLLQARLYFHLGIWPEKAGDAPFGQQKALSALPDHV
+>tr|A5PKD5|A5PKD5_BOVIN TMEM51 protein OS=Bos taurus OX=9913 GN=TMEM51 PE=2 SV=1
+MMAQSKANGSHYALTAIGLGMLVLGIIMAMWNLVPGFSNAEKPAAQGNKTEVGSGILKSK
+TFSVAYVLVGAGVMLLLLSICLSIRDKRKQRQGEELAHIQHPGVEPHAHEEDSQEEEEEA
+SSRYYVPSYEEVMNTNYAEGRDADQNPRMSISLPSYESLTGLDETSPTTTRADVETSPGN
+PPDRQNSKLSKRLKPLKVRRIKSEKLHLKDFRINLPDKNVPPPSIEPLTPPPQYDEVQEK
+APDARPPD
+>tr|A0JNJ7|A0JNJ7_BOVIN SERINC2 protein OS=Bos taurus OX=9913 GN=SERINC2 PE=2 SV=1
+MMRRMRLKEEEAPGPGNTASCLCGSAPCILCSCCPCSHNSTLSRLFFTVFLFLGVLVCVI
+MLSPGVESQLYKLPWVCNEGTGSHVVLQGHIDCGSLLGHRAVYRMCFAMAAFFFLFSLLM
+VCVRSSRDPRAAIQNGFWFFKFLIFVGITVGAFYIPDGSFSNIWFYFGVVGSFIFLLIQL
+LLLIDFAHSWNQRWLCKAEECDSRAWYAGLFFFTLLFYALSITAVALLFVYYTQPGACYE
+GKVFIGLNLTLCVCVSIVAILPKIQDAQPNSGLLQASVITLYTMFVTWLALSNVPDQKCN
+PHLLTHFGNGTVLAGPEGYETHWWDAPSIVGLVVFILCTVFISLRSSDHRQVNSLMQTEE
+CPPVLDATQQQVVSEGRAFDNEQDGVTYSYSFFHLCLVLASVHIMMTLTNWYRPGETRKM
+ISTWTAVWVKICASWTGLLLYLWTLVAPLLLPNRDFS
+>tr|A4IFB0|A4IFB0_BOVIN UDP glycosyltransferase 8 OS=Bos taurus OX=9913 GN=UGT8 PE=2 SV=1
+MKSYTPYFMLLWSAVGIARAAKIIIVPPIMFESHMYIFKTLASALHERGHHTVFLLSEGR
+DIAPSNHYSLQRYPGIFNSTTSDAFLQSKMRNIFSGRLTAIELLDILDHYTKNCDMMVGN
+HALIQGLKQENFDLLLVDPNDMCGFLIAHLLGVKYAVFSTGLWYPAEVGAPAPLAYVPEF
+NSLLTDHMNLLQRMKNTGVYLISRIGISFLVLPKYERIMQKYNLLPEKSMYDLVYGSSLW
+MLCTDVALEFPRPTLPNVVYVGGILTKPASPLPEDLQRWVNGANEHGFVLVSFGAGVKYL
+SEDIATKLAGALGRLPQKVIWRFSGTKPKNLGNNTRLIEWLPQNDLLGHSNIKAFLSHGG
+LNSIFETMYHGVPVVGIPLFGDHYDTMIRVQAKGMGILLEWKTVTEGELYEALVKVINNP
+SYRQRAQKLSEIHKDQPGHPVNRTVYWIDYILRHDGAHHLRAAVHQISFCQYFLLDIVFV
+LLLGAALFYFLLSWVTKFICRRIRSLWSSNKHSTVNGHYHNGILNGKYKRNGHIKHEKKV
+K
+>tr|A0JNK7|A0JNK7_BOVIN LOC504389 protein OS=Bos taurus OX=9913 GN=LOC504389 PE=2 SV=1
+MYDGAAPGKHKLENLESPFFLAAQTMSDKNQIAARVSLIEQLMSQRNFEDLGHHLTVLET
+LHVTPEHLQETGVVRAVYRVLKNCPTGALKQKAKRLLSEWKALYKDTLCKPEGSPKRFPP
+GGSQEENRGLPPDPNQEEEVRGGSRYNCLVTSQDVAGSVETIMPEDSCSRVETKAVPLSA
+WDPQSTDLAESGQLDPIVPVRARCTELLYEALTASSPSQPRAHVWSNLAQEIEAHVFALH
+PKNLQKYKTCIRSKVANLKNPRNSHLQQNLLSGTMSPREFAKMTAMEMASQELKQLRASY
+TKSALREHYLPQVVEGTPTRKIKCERCEKFNCQVTVIPRGTLFLPSWVRNAGPDEEMMTY
+VICNECGEQWYHSKWVCL
+>tr|A5D7T7|A5D7T7_BOVIN MACROD2 protein OS=Bos taurus OX=9913 GN=MACROD2 PE=2 SV=1
+MGEFFPADDDNEEEDVDMKEDSEGLEPKDHSPPHKKSKAKKPEGSKDSSEDENGPEEKPS
+AEETEEQSQEADGTSTASAPSPSSEEAVEVCKDEDSAEDDNITKDSEATDHPVCDQEHPS
+GQENDSTKKEVKPEAESQSSSMETEELSSNQEDAMIVEQPEVTPLTDDQGENEGGKDQGG
+NTPRVPVKSEGSVDTESSTSPDVDMNCQVESVNDPTESQQED
+>tr|F1MRW5|F1MRW5_BOVIN Cell division cycle 20 OS=Bos taurus OX=9913 GN=CDC20 PE=4 SV=2
+MAQFVFESDLHSLLQLDTPIPNAPPARWQRKAKEAAGPAPSPMRAANRSHSAGRTPGRTP
+GKSSSKLQTTPSKPGGDRYIPHRNASQMEVASFLLSKENQPDNSETPTKKEHQKAWALNL
+NGFDMEEAKILRLSGKPQNAPEGYQNRLKVLYSQKATPGSSRKTCRYIPSLPDRILDAPE
+IRNDYYLNLVDWSSGNVLAVALDNSVYLWSASTGDILQLLQMEQPGDYISSVAWIKEGNY
+LAVGTSSAEVQLWDVQQQKRLRNMTSHSARVGSLCWNSYILSSGSRSGHIHHHDVRVAEH
+HVATLSGHSQEVCGLRWAPDGRHLASGGNDNLVNVWPSAPGEGGWVPLQTFTQHQGAVKA
+VAWCPWQSNVLATGGGTSDRHIRIWNVCSGACLSAVDAHSQVCSILWSPHYKELISGHGF
+AQNQLVIWKYPTMAKVAELKGHTARVLSLTMSPDGATVASAAADETLRLWRCFELDPARR
+REREKASAAKSSLIHQGIR
+>tr|A6QQX8|A6QQX8_BOVIN USP39 protein OS=Bos taurus OX=9913 GN=USP39 PE=2 SV=1
+MSSRSKRDSRGSTRGKRESESRSSSARVKRERDREREPEAPSARGSPVRVKREVEPAGAR
+EAPTPVVPVVRVKREREADEDSEPEREVRAKNGRVDSEDRRSRHCPYLDTINRSVLDFDF
+EKLCSISLSHINAYACLVCGKYFQGRGLKSHAYIHSVQFSHHVFLNLHTLKFYCLPDNYE
+IIDSSLEDITYVLKPTFTKQQIANLDKQAKLSRAYDGTTYLPGIVGLNNIKANDYANAVL
+QALSNVPPLRNYFLEEDNYKNIKRPPGDIMFLLVQRFGELMRKLWNPRNFKAHVSPHEML
+QAVVLCSKKTFQITKQGDGVDFLSWFLNALHSALGGTKKKKKTIVTDVFQGSMRIFTKKL
+PHPDLPAEEKEQLLHNDEYQETMVESTFMYLTLDLPTAPLYKDEKEQLIIPQVPLFNILA
+KFNGITEKEYKTYKENFLKRFQLTKLPPYLIFCIKRFTKNNFFVEKNPTIVNFPITNVDL
+REYLSEEVQAVHKNTTYDLIANIVHDGKPSEGSYRIHVLHHGTGKWYELQDLQVTDILPQ
+MITLSEAYIQIWKRRDNDETNQQGA
+>tr|A0A3Q1LT33|A0A3Q1LT33_BOVIN E3 ubiquitin-protein ligase OS=Bos taurus OX=9913 GN=NEDD4 PE=4 SV=1
+MATCAVEVFGLPEDEENSRIVRVKVIAGIGLAKKDILGASDPYVRVTLYDPMNGIFTSVQ
+TKTIKKTLNPKWNEEILFRVHPQQHRLLFEVFDENRLTRDDFLGQVDVPLYPLPTENPRM
+ERPYTFKDFVLHPRSHKSRVKGYLRLKMTYLPKTSGSEDDNTEQAEELEPGWVVLDQPDA
+ACHLQQQQEPSPLPPGWEERQDILGRTYYVNHESRRTQWKRPTLQDNLTDAENGNIQLQA
+QRAFTTRRQISEETESVDNRESSENWEIIREDEATMYGHQAFPSSPPSNNLDVQTHLAEE
+LNARLTVGGNSATGQPVSNSNHSSRRGSLQAYTFEEQPTLPVLLPTSSGLPPGWEEKQDE
+RGRSYYVDHNCRTTTWIKPTVQATVETSQLPSSQGSSAGPQPQASASDAAQQVTQPSEME
+QGFLPKGWEVRHAPNGRPFFIDHNTKTTTWEDPRLKIPAHLRGKTSLDSSSDLGPLPPGW
+EERTHTDGRIFYINHNSKKTQWEDPRLQHVAITGPAVPYSRDYKRKYEFFRRKLKKQNDI
+PNKFEMKLRRATVLEDSYRRIMGVKRADFLKARLWIEFDGEKGLDYGGVAREWFFLISKE
+MFNPYYGLFEYSATDNYTLQINPNSGLCNEDHLSYFKFIGRVAGMAVYHGKLLDGFFIRP
+FYKMMLHKPITLHDMESVDSEYYNSLRWILENDPTELDLRFVIDEELFGQTHQHELKSGG
+SEIVVTNKNKKEYIYLVIQWRFVNRIQKQMAAFKEGFFELIPQDLIKIFDENELELLMCG
+LGDVDVNDWREHTKYKNGYSINHQVIQWFWKAVLMMDSEKRIRLLQFVTGTSRVPMNGFA
+ELYGSNGPQSFTVEQWGTPEKLPRAHTCFNRLDLPPYESFEELWDKLQMAIENTQGFDGV
+D
+>tr|Q3SZL7|Q3SZL7_BOVIN Mitochondrial fission regulator 1 OS=Bos taurus OX=9913 GN=MTFR1 PE=2 SV=1
+MLGWIKRLIRMIFQQVGSMQSVLWSGKPYGSSRSIVRKIGTNLSLIQCPRVQFQLTSPTT
+EWSSSPSGEDAVVSFADVGWVAEEEGECSTRQRIEVRSRPTLQDDLPFFEKPHSRQPSLP
+TLSPEEPPLQTSTLANEEALQKICALESELAALRAQIAKIVTLQEQQNLSAGDLESTRPA
+AAAAPSVPPAPPLPPPPPPLPSPGLQQSTSAIDLIKERRRRNASAGKTPVKNSPKKTDLP
+NMLEILKDMNSVKLRSVKRSEQDTKPKPADAADPAALIAEALKKKFAYRYRSDSQGEAEK
+GIQKSESEATSERVLFGPHMLKSTGKMKALIENASTS
+>tr|A5PJL8|A5PJL8_BOVIN C1QTNF5 protein OS=Bos taurus OX=9913 GN=C1QTNF5 PE=2 SV=1
+MRPLLALLLLGLATGSAPLDDNKIPSLCPGHPGLPGTPGHHGSQGLPGRDGRDGRDGAPG
+APGEKGEGGRPGLPGPRGEPGPRGEAGPMGATGPAGECSVPPRSAFSAKRSESRVPPPSD
+APLPFDRVLVNEQGHYDAVTGKFTCQVPGVYYFAVHATVYRASLQFDLVKNGESIASFFQ
+FFGGWPKPASLSGGAMVRLEPEDQVWVQVGVGDYIGIYASIKTDSTFSGFLVYSDWHNSP
+VFA
+>tr|Q2KIW1|Q2KIW1_BOVIN Paraoxonase 1 OS=Bos taurus OX=9913 GN=PON1 PE=1 SV=1
+MAKLLVLTLLGLGLAFFRDYRSSYLARMNASREVKSVELPNCKLIKGIETGAEDLEILPN
+GLAFISSGLRYPGVKSFEPDKPGKILLMDLNKEDPTVLELKMTGSNFDASSFNPHGISTF
+TDEDNTVYLLVVSHPDVKSTVELFKFQEEEKSLLHLKTIKHELLPNLNDLVAVGREHFYA
+TNDHYFVDQYMRSWELYLGLAWSNVVYYSPDDVRVVASGFDFANGISMSPDRKYVYIAEL
+LAHKIHVYEKHANWTLTPLKSLDCDTLVDNISVDPVTGDLWVGCHPNGMKIFSYDPENPP
+GSEVLQIQNILAEEPKVTVVYAEDGKVLHGSTVASVYKGKMLVGTVFQKALYCEF
+>tr|F1N628|F1N628_BOVIN Zinc finger and SCAN domain containing 20 OS=Bos taurus OX=9913 GN=ZSCAN20 PE=4 SV=2
+MESPAEGRRPGEKMAVALEARASPQQEPEELVIVKLEEDSWTPVSQPKKDRDHVPGPEAS
+RQRFRQFQYREAAGPHEAFSQLWALCCHWLRPEIRLKEQILELLVLEQFLTILPQEAQAW
+VQARHPESGEEAVALVEDWHREARAAGQRELELCAEDTRSLKTTKESQGCQLQPADHWPE
+EQSQKQWVKNSCPDLPKNLDTKMVPQPLKESAVLTPRVPALPKTGSVGDWEVTAESQEAL
+GPDRHAEKEFCTDPPGDNCGNGLSLGVPVSKPTIIAQQEQGPEFWGPRLVNSGKKNSADY
+SVDPEQTKPAQALAWRDCKTWEERCPAPVEDVKVSGVHWSYEETKTFLAILSESPFSEKL
+RTCHQNRQVYRAIAERLRARGFLRTLEQCRYRVKNLLRNYRKAKSSHPPGTCPFYEELEA
+LVRARTAIRASDGPGETVALPRLGDSDMEVDEQEEGGWEPEETADDCNGDDVATESIQGP
+GIPGGPALLQSRIAGVHWGYEETKAFLTILSESPFSEKLRTCHQNSQVYRAIAERLCAQG
+FLRTLEQCRYRFKNLLRSYRKAKSSHPPGTCPFYEELDSLMRARTAVRDMGTGGEAAGLP
+TSDQSSTEVVSQEAWDEMAGEDVIRPPTPSPKTSDTGFEMRCEDEDQIPEDDLFCNLPRA
+LSKCTAGDDCYPHDSGEDSENENEGKGQWGDPSQEQWEECSSEEDLEKLIDHQGLYLAEK
+PYKCDMCVKTFSRNSQLTTHQRIHTGEKPYKCLECGKSFSDRSNFNTHQRIHTGEKPYEC
+LECGKSFSDLSNLITHQRTHMKVKPYKCEECWESFIQSSDLLKHQGIHLGGNSDHCREPG
+ENSGQSPSFSAHWRNSTEGTNPEQPHSASKNANSPGPQSTHAGEKLYQCSECGRSFSKSS
+ALISHQRIHTGEKPYECAECGKSFSKSSTLANHQRTHTGEKPYECMDCGKCFGERSKLIT
+HQRVHTGEKPYKCLECGKFFRDRSNLITHQRIHTGEKPYKCRECGKCFNQSSSLIIHQRI
+HTGEKPYKCTECGKDFNNSSHFSAHRRTHAGGKAS
+>tr|A4IFJ7|A4IFJ7_BOVIN DOK4 protein OS=Bos taurus OX=9913 GN=DOK4 PE=2 SV=1
+MATNFNDIVKQGYVKMKSRKLGIYRRCWLVFRKSSSKGPQRLEKYPDEKSVCLRGCPKVT
+EISNVKCVTRLPKETKRQAVAIIFTDDSARTFTCDSELEAEEWYKTLSVECLGSRLNDIS
+LGEPDLLAPGVQCEQTDRFNVFLLPCPNLDVYGECKLQITHENIYLWDIHNPRVKLVSWP
+LCSLRRYGRDATRFTFEAGRMCDAGEGLYTFQTQEGEQIYQRVHSATLAIAEQHKRVLLE
+MEKNVRLLNKGTEHYSYPCTPTTMLPRSAYWHHITGSQNIAEASSFAGEGYAAAQASSET
+DLLNRFILLKPKPSQGDSSEARAPSQ
+>tr|A6QLL4|A6QLL4_BOVIN DCLRE1C protein OS=Bos taurus OX=9913 GN=DCLRE1C PE=2 SV=1
+MSCFEGQMAEYPTISIDRFDRENLRARAYFLSHCHKDHMKGLRAPSLKRRLECSLKVCLY
+CSPVTKELLLTSPKYRFWEKRIVSIEIETPTQISLVDEASGEKEEIVVTLLPAGHCPGSV
+MFLFQGNNGTVLYTGDFRLAKGEAARMELLHSGGRVKDIQSVYLDTTFCNPKYYQIPSRE
+ECLRGIMELVRSWISRSPYHVVWLNCKAAYGYEYLFTNLSEEFGLQVHVDKLDMFRNMPD
+ILHHLTTDRDTQIHACRHPKAEEYFQWSKLPCGITSKNRIPLHTISIKPSTMWFGERTRK
+TNIIMRTGESSYRACFSFHSSYSEIKDFLSYICPVNVYPNVIPLGTTMDKVKEILKPLCR
+SSQSTEPKYKPLGKLKRARTMHLDSEEEDDDGLFDDLLPAPLRHKVPNQLTLHPEVFPTP
+AISQNQPEKRRESTGCFKAESMPTFLWANFIDCEESNSESEESEILGSAQGDTGPVFQPQ
+QRADGEVPQWEVFFKRDAEGTDDCLENLPSSTEVGGSQSPKLFSDSDGESTHISSQASSQ
+STHISEQGSQGWDSQSDTVLLSSQDRNSGDVTSLNKGVYRPGIKENIPAPQPEQNVLCLK
+DTCSDFKSRDQDVNILPSAGETTTLSSGKQMLQEKRPLTLSSSADSQSSSDFEIPCTPEA
+ELPKQEHLQYLYEKLATGESIVVEKRKGLLHSKATTKKSILSDTSQNHNR
+>tr|A0A3Q1M563|A0A3Q1M563_BOVIN ICAM_N domain-containing protein OS=Bos taurus OX=9913 GN=LOC100140873 PE=4 SV=1
+MRGNTIQLTESWEFRTAAGHPFLTSALHVSPGSPQLFPANLWTPPEMSPFGGWGTLAAFL
+ALLCCRGSGEEQFEVPMEPNHLLVGSGEFQVINFTASCTDPKKLVLETALHKTFLEDQAQ
+WKLFKVISISKNMELMCSFICGGKEGMKVFNITLFYPPKQVLLTLSHTSVAVGTLFTIEC
+RVPAVAPLEGLTVTLLRGTEILYNQTFVGTARFPQDAVVTHHTTAHREDGLHNFSCEAQM
+YLRSPGGGLVHRVSDPQRLEVKEPEPNNQMVTIMILIVLLLLFWFKKRRRSNT
+>tr|A4FV85|A4FV85_BOVIN PDCD1 protein OS=Bos taurus OX=9913 GN=PDCD1 PE=2 SV=1
+MGTPRALWPLVWAVLQLGCWPGWLLEASSRPWSALTFSPPRLVVPEGANATFTCSFSSKP
+ERFVLNWYRKSPSNQMDKLAAFPEDRSQPSRDRRFRVTPLPDGQQFNMSIVAAQRNDSGV
+YFCGAIYLPPRTQINESHSAELMVTEAVLEPPTEPPSPQPRPEGQMQSLVIGVTSVLLGV
+LLLPPLIWVLAAVFLRATRGGCARRSQDQPPKEGCPSVPAVTVDYGELDFQWREKTPEPA
+APCVPEQTEYATIVFPGRRASADSPQGPWPLRTEDGHCSWPL
+>tr|Q1KZG5|Q1KZG5_BOVIN Ca2+-dependent secretion activator OS=Bos taurus OX=9913 GN=CADPS PE=2 SV=1
+MLDPSSSEEESDEIVEEESGKEVLGSAASGARLSPSRTSEGSGGGAGLGGGGGVGAGAGM
+GAGGGGGSGASSGGGAGGLQPSSRAGGGRPSSPSPSVVSEKEKEELERLQKEEEERKKRL
+QLYVFVMRCIAYPFNAKQPTDMARRQQKISKQQLQTVKDRFQAFLNGETQIVADEAFMNA
+VQSYYEVFLKSDRVARMVQSGGCSANDSREVFKKHIEKRVRSLPEIDGLSKETVLSSWMA
+KFDAIYRGEEDPRKQQARMTASAASELILSKEQLYEMFQNILGIKKFEHQLLYNACQLDN
+PDEQAAQIRRELDGRLQMADQIARERKFPKFVSKEMENMYIEELKSSVNLLMANLESMPV
+SKGGEFKLQKLKRSHNASIIDMGEESENQLSKSDVVLSFSLEVVIMEVQGLKSLAPNRIV
+YCTMEVEGGEKLQTDQAEASKPTWGTQGDFSTTHALPAVKVKLFTESTGVLALEDKELGR
+VILHPTPNSPKQSEWHKMTVSKNCPDQDLKIKLAVRMDKPQNMKHSGYLWAIGKNVWKRW
+KKRFFVLVQVSQYTFAMCSYREKKAEPQELLQLDGYTVDYTDPQPGLEGGRAFFNAVKEG
+DTVIFASDDEQDRILWVQAMYRATGQSHKPVPPTQVQKLNAKGGNVPQLDAPISQFYADR
+AQKHGMDEFISSNPCNFDHASLFEMVQRLTLDHRLNDSYSCLGWFSPGQVFVLDEYCARN
+GVRGCHRHLCYLRDLLERAENGAMIDPTLLHYSFAFCASHVHGNRPDGIGTVTVEEKERF
+EEIKERLRVLLENQITHFRYCFPFGRPEGALKATLSLLERVLMKDIVTPVPQEEVKAVIR
+KCLEQAALVNYSRLSEYAKIEENQKDAENVGRLITPAKKLEDTIRLAELVIEVLQQNEEH
+HAEGKEAFAWWSDLMVEHAETFLSLFAVDMDAALEVQPPDTWDSFPLFQLLNDFLRTDYN
+LCNGKFHRHLQDLFAPLVVRYVDLMESSIAQSIHRGFERESWEPVKSLTSNLPNVNLPNV
+NLPKVPNLPVNIPLGIPQMPTFSAPSWMAAIYDADNGSGTSEDLFWKLDALQTFIRDLHW
+PEEEFGKHLEQRLKLMASDMIESCVKRTRIAFEVKLQKTSRSTDFRVPQSICTMFNVMVD
+AKAQSTKLCSMEMGQEHQYHSKIDELIEETVKEMITLLVAKFVTILEGVLAKLSRYDEGT
+LFSSFLSFTVKAASKYVDVPKPGMDVADAYVTFVRHSQDVLRDKVNEEMYIERLFDQWYN
+SSMNVICTWLTDRMDLQLHIYQLKTLIRMVKKTYRDFRLQGVLDSTLNSKTYETIRNRLT
+VEEATASVSEGGGLQGISMKDSDEEDEEDD
+>tr|A6QPF9|A6QPF9_BOVIN P-selectin OS=Bos taurus OX=9913 GN=SELP PE=2 SV=1
+MASCPKAIWNWRFQRAVFRTVQLLCFSVLIFEVINQKEVSAWTYHYSNKTYSWNYSRAFC
+QKYYTDLVAIQNKNEIAYLNETIPYYNSYYWIGIRKINNKWTWVGTNKTLTEEAENWADN
+EPNNKRNNQDCVEIYIKSLSAPGKWNDEPCWKRKRALCYRASCQDMSCSKQGECIETIGN
+YTCSCYPGFYGPECEYVRECGEFDLPQHVHMNCSHPLGNFSFNSHCSFHCAEGYALNGPS
+ELECLASGIWTNSPPQCVAVQCPALKSPEQGSMSCVQSAEAFQHQSSCSFSCEEGFELVG
+PEVVHCTALGVWTAPTPVCKALQCQDLPTSTKARVNCSHPFGDFRYQSTCSFTCDEGSFL
+VGASVLQCLDTGNWDAPFPECQAVTCAALPNPQNGEKTCVQPLGGSSYESTCWFTCHEGF
+SLSGPERLDCTPSGHWTGSPPTCEEVDTVSAPAPGVQCPTLIAPKQGTMSCQHHVRNFGL
+NTTCHFGCKAGFTLLGDSALQCRPSRQWTAAAPTCRAVKCAKLPVTEPIVMNCSNPWGNF
+SYGSTCSFHCPEGQLLNGSERTVCQENGQWSTTMPTCQAGPLTIQETLTYVGGAAAGTTG
+LVTGSILLALLRRRCRQKDDGKSPLNPQSHLGTYGVFTNAAFDPSP
+>tr|E1BNQ1|E1BNQ1_BOVIN PEAK family member 3 OS=Bos taurus OX=9913 GN=PEAK3 PE=4 SV=1
+MSNLEPPTKMPGANTPTCSAQHTYSNLGEVRTHLMPSKACRPRTSEPPATDPQPLPPPLP
+KKTLTRTQSLPTHKASSPSLTRAGPPRKPLVGSRSVDESQAGDDRARPAQPPAEPSFSSL
+DTELGLSLHDLHHPEAVHAALEARQLEGLRSVHARLHTRLLGGRPGPCRPEHGFRLLDSS
+PCVESGDALYYRVVRVHEEAWHLLAAKVPKPGAEETHTWGLELQVSLRPHFNLQGLCGLL
+PEGSLPEAPWSGCVVLVAEVPELTVAQWLVEAGARPPEEFTKTVALLLLQLSAALERLEA
+RGVALAELRPENMLLVAPRGCMATGPPRLLLADFGRVRPRPPGTPGIHARQLSRLFRELL
+GPGAPLATPVAAGLERLAAQLTRVRPSAARTRGALQALLWGPGPELRSHGTPLGSWLQVR
+RTMLVLHLAERAVGGEVPGLEDWLCCEYLMEATAASVDLALSLLWD
+>tr|A0A3Q1MPX4|A0A3Q1MPX4_BOVIN CRIB domain-containing protein OS=Bos taurus OX=9913 GN=CDC42EP4 PE=4 SV=1
+MPILKQLVSSSVHSKRRSRVDLTAEMISAPLGDFRHTMHVGRAGDAFGDTSFLNSKAGEL
+DGESVDEQAPSSSSSSKRSLLSRKFRASKRSQSVTRSDREQRDVLGSLRDSALFVKNAMS
+LPQLNEKEAAEKGSSKLPKSLSSSPVKKASAEGSLEEAAPPRNGAAAPHSPDPLLEEQAF
+GDLADLPMVPKASFGLKHAESIMSFHIDLGPSMLGDVLSVMDKGGEWEPEGAPDDGGYHG
+DGDPAAIPASAPQAAGQEAVAIRINVVPGHLQRGTPWRPSGPKVYTKRDPFRGREQDTER
+KEGSGGLNFRSRRGLPG
+>tr|A0JN78|A0JN78_BOVIN HEAT repeat containing 3 OS=Bos taurus OX=9913 GN=HEATR3 PE=2 SV=1
+MGKSRTKRFKRPQFSPTGDCQAEAAAANGTEPEEDDGPAAELLEKLQHPSAEVRECACAG
+LARLVQQRPVLPSLARRDAVRRLGPLLLDPSLAVRETATGALRNLSACGGFEVCDDMVTK
+DIMTPLVALLKECSTGLDSNEMSPQKKKDQNKNSIENIANEAINVLWNICECSSRAVSIF
+NKEGCLEIVLKYLSRFSTNVDLAISVAYCLQTVTEDNPELLKSFSTPALHVLESAMLSPV
+SSMEYLLLKTLVAGTVWNLKDIIPSKSQAEIINAILKILSEVLEMDAGETVIQMKEAETQ
+RIKTAAETEDTLQSANGDDLMEDEEMEEIPHRRKVRRKTFISDLLPPTDKELRETIALLT
+AQQTALEIIVNMCCSEDPTDDEWEELSSSDESDAFMENALSECGGQLLSPLCLSHEVHTA
+LTHHLLPKKIFDKTAFPNSVAVDVCSRSPTWKPLIRKMNTIQCRALVCLQSLVSLLDVDH
+LGGPAALQTLAQHLSQLLFSQPDFAKHVDFLEAISSALRALLQTMASKNIPQCMTPDQLM
+TLCTAGVRSSNVGVRVNVVSILGITGSVLAKEDGTLDTLKTIGYSLLEVATKDPCLVVAG
+EALDALFDVFADGKEAERASVQIKLLSTLKEFQPVFKMKIRKEGRTKYSPDQLCVLDNVK
+MNLRRFVAYQETVEKRLTT
+>tr|A0A3Q1LMV3|A0A3Q1LMV3_BOVIN Carnitine palmitoyltransferase 1A OS=Bos taurus OX=9913 GN=CPT1A PE=1 SV=1
+MAEAHQAVAFQFTVTPDGIDLRMSHEALRQIYLSGLHSWKKKFIRFKNGIITGVYPASPS
+SWLIVVVGVMSTMYAKIDPSLGIIAKINRTLDTTGCMSSQTKKVVSGVIFGTGLWVALIV
+TMRYSLKVLLSYHGWMFTQHGRLSRTTKIWMFMVKIFSGRKPMLYSFQTSLPRLPVPAVQ
+DTVNRYLESVKPLMKEGDFTRMTALAQDFAVNLGPRLQWYLKLKSWWATNYVSDWWEEYI
+YLRGRGPLMVNSNYFAMDLLYIIPTHIQAARAGNGIHAILLYRRKLDREEIKPILLLGST
+IPLCSAQWERMFNTSRIPGEETDTIQHLRDSKHIVVFHRGRYFKVWLYHDGRLLRPREIE
+QQVQRILEDPSEPQPGEAKLAALTAADRVPWARCRQAYFGHGKNKQSLDAVEKAAFFVTL
+DETEQGYREEDPETSMDSYAKSLLHGRCFDRWFDKSFTFIVFKNGKMGINAEHSWADAPI
+MGHLWEYVMATDSFQLGYTEDGHCKGDTNPNIPYPTRLQWDIPEECQEVIETSLSSANLL
+ANDVDFHSFPFRTFGKGLIKKCKTSPDAFVQLSLQLAHYKDMGKFSLTYEASMTRLFREG
+RTETVRSCTAESCSFVLAMVDPTQTVEQKLKLFRIASEKHQLLYRLAMTGAGIDRHLFCL
+YVVSKYLAVDSPFLKEVLAEPWRLSTSQTPPQQVELFNLDRNPDYVSCGGGFGPVADDGY
+GVSYILVGEDLINFHISSKFSCPETDSHRFGKNLREAMTDIISLFGFSPNSKK
+>tr|Q148J8|Q148J8_BOVIN Isocitrate dehydrogenase [NAD] subunit, mitochondrial OS=Bos taurus OX=9913 GN=IDH3A PE=2 SV=1
+MAGPAWISKVSRLLGAFHNQKQVTRGFAGGVKTVTLIPGDGIGPEISAAVMKIFDAAKAP
+IQWEERNVTAIQGPGGKWMIPPEAKESMDKNKMGLKGPLKTPIAAGHPSMNLLLRKTFDL
+YANVRPCVSIEGYKTPYHDVNIVTIRENTEGEYSGIEHVIVDGVVQSIKLITEAASKRIA
+EFAFEYARNNHRSNVTAVHKANIMRMSDGLFLQKCREVAENCKDIKFNEMYLDTVCLNMV
+QDPSQFDVLVMPNLYGDILSDLCAGLIGGLGVTPSGNIGANGVAIFESVHGTAPDIAGKD
+MANPTALLLSAVMMLRHMGLFDHAAKIETACFATIKDGKSLTKDLGGNSKCSDFTEEICR
+RVKDLD
+>tr|Q1RMW7|Q1RMW7_BOVIN Potassium large conductance calcium-activated channel, subfamily M beta member 3 OS=Bos taurus OX=9913 GN=KCNMB3 PE=2 SV=1
+MFIWTSGRTSSSYRHDEKRNIYQKIRDHDLLDKRKTVTALKAGEDRAILLGLAMMVCSIM
+MYFLLGITLLRSYMQSVWTEEAQCTLLNASITETFNCSFSCGPDCWKLSQYPCLQVYVNL
+TSSGEKLLLYHTEETIKINQKCSYIPKCGKNFEESMSLVNVVMENFRKYQHFSCYSDPEG
+NQKSVILTKLYSSNVLFHSLFWPTCMMAGGVAIVAMVKLTQYLSLLCERIQRINR
+>tr|A7MB68|A7MB68_BOVIN CSNK1D protein OS=Bos taurus OX=9913 GN=CSNK1D PE=2 SV=1
+MELRVGNRYRLGRKIGSGSFGDIYLGTDIAAGEEVAIKLECVKTKHPQLHIESKIYKMMQ
+GGVGIPTIRWCGAEGDYNVMVMELLGPSLEDLFNFCSRKFSLKTVLLLADQMISRIEYIH
+SKNFIHRDVKPDNFLMGLGKKGNLVYIIDFGLAKKYRDARTHQHIPYRENKNLTGTARYA
+SINTHLGIEQSRRDDLESLGYVLMYFNLGSLPWQGLKAATKRQKYERISEKKMSTPIEVL
+CKGYPSEFATYLNFCRSLRFDDKPDYSYLRQLFRNLFHRQGFSYDYVFDWNMLKFGASRA
+ADDAERERRDREERLRHSRNPATRGLPSTASGRLRGTQEVAPPTPLTPTSHTANTSPRPV
+SGMERERKVSMRLHRGAPVNISSSDLTGRQDTSRMSTSQNSIPFEHHGK
+>tr|A0A3Q1LK51|A0A3Q1LK51_BOVIN WAP domain-containing protein OS=Bos taurus OX=9913 GN=WFDC1 PE=4 SV=1
+MGSGRRKVSWTLCFLLLLLLEATSTKNLWKRALPSRLAERARAEEVGGSRQPRADRCPPP
+PRSLPPGACQAARCQADSECPRHRRCCYNGCAYACLEAVPPPPVLDWLVQPKPRWLGGNG
+WLLDGPEEVLQAEACSTTEDGAEPLLCPSGYECHILSPGDLAAGIPNRGQCVKQRKPADG
+RFLRQKFYKEYPEGASKYVAEHGQGQQRHFQ
+>tr|Q1RMK5|Q1RMK5_BOVIN Hepatitis A virus cellular receptor 2 OS=Bos taurus OX=9913 GN=HAVCR2 PE=2 SV=1
+MFSHLLFDCVLLMLLLLTSSLKGAYVSQVGQNADLPCTYSPATTENLVPVCWGKGPCPVF
+ECYSLVLRTDGRNVTYQTSSRYLLKRDLHKGDVTLTIKNVTLADSGTYCCRIQFPGLMND
+RKSNLELIIKPAKVTPAWTPWRDITTAFPRMLTTKGPVSETRTLKTLHDKNQTEISTLAT
+ELQDMGATTRTGLYIGAGVFAGLALILISGGLILKWYSDRKEKIQNSSLITLANLSPSGL
+ANTAAEGMHPVENIYIIEENIYEVEDPYECYCSVNSGHQS
+>tr|Q58CW1|Q58CW1_BOVIN 5-demethoxyubiquinone hydroxylase, mitochondrial OS=Bos taurus OX=9913 GN=COQ7 PE=2 SV=1
+MTLDNINREAVDRIIRVDHAGEYGANRIYAGQMAVLGRTSIGPVIQKMWDQEKDHLKKFN
+ELMVAFRVRPTVLMPFWNVVGFALGAGTALLGKEGAMACTVAVEESIAHHYNNQIRTLME
+KEPEKYEELLQVIKKFRDEELEHHDIGLEHDAELAPAYVVLKSVIQAGCKVAIYLSERL
+>tr|Q08E55|Q08E55_BOVIN MBTPS1 protein OS=Bos taurus OX=9913 GN=MBTPS1 PE=2 SV=1
+MKLVNIWLLLLVVLLCGKKHLGDRLEKKPFEKAPCPGCSHLALKVEFSSTVVEYEYIVAF
+NGYFTAKARNSFISSALKSSAIDNWRIIPRNNPSSDYPSDFEVIQINEKQKAGLLTLEDH
+PNIKRVTPQRKVFRSLKYTEAEPGSPCNETRWSQKWQSSRPLRRASLSLGSGFWHATGRH
+SSRRLLRAIPRQVAQTLQADVLWQMGYTGTNVRVAVFDTGLSEKHPHFKNVKERTNWTNE
+RTLDDGLGHGTFVAGVIASMRECQGFAPDAELHIFRVFTNNQVSYTSWFLDAFNYAILKK
+IDVLNLSIGGPDFMDHPFVDKVWELTANNVIMVSAIGNDGPLYGTLNNPADQMDVIGVGG
+IDFEDNIARFSSRGMTTWELPGGYGRVKPDIVTYGAGVRGSGVKGGCRALSGTSVASPVV
+AGAVTLLVSTVQKRELVNPASMKQALIASARRLPGVNMFEQGHGKLDLLRAYQILNSYKP
+QASLSPSYIDLTECPYMWPYCSQPIYYGGMPTIVNVTILNGMGVTGRIVDKPEWQPYLPQ
+HGDNIEVAFSYSSVLWPWSGYLAISISVTKKAASWEGIAQGHVMVTVASPAEVDSNSGAE
+QTSTVKLPIKVKIIPAPPRSKRVLWDQYHNLRYPPGYFPRDNLRMKNDPLDWNGDHIHTN
+FRDMYQHLRSMGYFVEVLGSPFTCFDANQYGTLLMVDSEEEYFPEEVAKLRRDVDNGLSL
+IVFSDWYNTSVMRKVKFYDENTRQWWMPDTGGANVPALNELLSVWNMAFSDGLYEGDFTL
+ANHDMYYASGCSIAKFPEDGIVITQTFKDQGLEVLKQETVVVENVPILGLYQLPAEGGGR
+VVLYGDSNCLDDSHRQKDCFWLLDALLQFTSYGVTPPSLSHSGARQRPPSGAGSAAPERM
+EGNHLHRYSKVLEARLGGPEARALPACPHLSWAKPQPLNETAPSNLWKHQKLLSIDLDKV
+VLPNFRSNRPQVRPLSPGESGAWDIPGGIMPGRYNQEVGQTIPVFAFLGAMVVLAFFVVQ
+INKAKSRPKRRKPRVKRPQLVQQVHPPKAPSV
+>tr|A2VEA6|A2VEA6_BOVIN F-box protein 5 OS=Bos taurus OX=9913 GN=FBXO5 PE=2 SV=1
+MSRRPCSCSLRPLSGSCRCSYGTLTAAGRPCPSDGCKEESSTLSVKMKCDFNYNHVHSGI
+KPVKPDDSRRKGSYTTAYLEGSYKDCIKDYDRVSDVGSPVVSPRIVELEPESKPLHNKEN
+QHIQQTLDSSNNIQELETSGCYEDSGYSSFSQRSGLSEHEDSSLALVESFNDSPQCCLLQ
+TQSPDQYPNKNLLPALHFEKVVCSTLKKNCKRNPKIDWEKLKEFISSGNFRLQNIIGRKM
+GLECVDILSELFRRGLKHLLANILTQLSEMDLINVSKVSTTWKKILEDDKVALQLYNKAI
+QRITVAKTLKKNESLKACIRCNSPAKYDCYLQRATCKRESCGFDYCTKCLCTYHTTEDCS
+NGKPLKASYKMGPVPGTKKSKKNLRRL
+>tr|Q2KIA8|Q2KIA8_BOVIN RAP1, GTP-GDP dissociation stimulator 1 OS=Bos taurus OX=9913 GN=RAP1GDS1 PE=2 SV=1
+MDNLSDTLKKLKITAVDRTDDSLEGCLDCLLQALTQNNMETSEKIQGSGILQVFASLLIP
+QSSCTAKVANVIAEIAKNEFMRIPCVDAGLISPLVQLLNSKDQEVLLQTGRALGNICYDS
+HSLQAQLINMGVIPTLVKLLGIHCQNAALTEMCLVAFGNLAELESSKEQFASTNIAEELV
+KLFKKQIEHDKREMVFEVLAPLAENDAIKLQLVESGLVECLLEIVQQKVDSDKEEDIAEL
+KTASDLMVLLLLGDESMQKLFEGGKGNVFQRVLSWIPSNNHQLQLAGALAIANFARNDGN
+CIHMVDNGIVEKLMDLLDRHVEDGNVTVQHAALSALRNLAIPVVNKAKMLSAGVTEAVLK
+FLKSEMPPVQFKLLGTLRMLIDAQAEAAEQLGKNVKLVERLVEWCEAKDHAGVMGESNRL
+LSALIRHSKSKDVIKTIVQSGGIKHLVTMATSEHVIMQNEALVALALIAALELGTAEKDL
+ESAQLVQILHRLLADERSAPEIKYNSMVLICALMGSESLHKEVQDLAFLDVVSKLRSHEN
+KSVAQQASLTEQRLAVES
+>tr|A5D7B3|A5D7B3_BOVIN NUPL1 protein OS=Bos taurus OX=9913 GN=NUP58 PE=2 SV=1
+MSTGFSFGSSTLGSSTVAAGGSSTGGGFSFGTGTSSNPTVGLNFGTLGSTATPATTSASG
+GFGTSLFGSKPATGFTLGGTTTGTAATTSASTIGFSLGFSKPAASATPFALPIASTSASS
+LTLSSALTSTPAASTGFTLNNLSGTAATTTTASTGLSLGGALTGLGGSLFQGTSTASSGL
+GQSALGLTLGTTAATSAAGNEGLGGIDFSSSSDKKSDKTGTRPEDSKALKDETLPAVICQ
+DVDNLQKFVKEQKQVQEEISRMSSKAMLKVQEDIKALKQLLSLAASGLQRNTLNIDKLKI
+ETAQELKNAEIALRTQKTPPGLQHENTAPADYFRILVQQFEVQLQQYRQQIEELENHLAT
+QANNSHITPQDLSMAMQKIYQTFVALAAQLQSIHENVKVLKEQYLGYRKMFLGDAVDVFE
+ARRAEAKKWQNAPRVTTGPTPFSNMPNAAAVAMAATLTQQQQPATGPQPSLGVSFGAPFG
+SGIGTGLQSSGLGSSNLGGFGASSGFGCSTTGASTFGFGTTNKPSGSLSAGFGSSSTSGF
+NFSNPGITASAGLTFGVSNPASAGFGTGGQLLQLKKPPAGNKRGKR
+>tr|Q32S21|Q32S21_BOVIN FabG-like protein OS=Bos taurus OX=9913 GN=HSD17B8 PE=2 SV=1
+MASPLRLRSALALVTGAGGGIGRAISVRLAAEGAAVAACDLDGAAARETVQLLGGPGTEE
+GAPRGAHAAFQADVSEAGAARRLLEQVQACFSRPPSVVVSCAGITRDEFLLHMSEDNWDK
+VIAVNLKGIFLVTQAAAQALVSSGCPGSIINISSIIGKVGNMGQTNYAASKAGVIGLTQS
+AARELGRHGIRCNSVLPGFIRTPMTQKVPQKVLDKVTGMIPMAHMGNPEDVADVVAFLAS
+EDSGYITGASVEVTGGLFM
+>tr|A6QPZ5|A6QPZ5_BOVIN CBLC protein OS=Bos taurus OX=9913 GN=CBLC PE=2 SV=1
+MAAAALRGRQWGESRAFGRAVRLLQRLEEQCGDPRLSSSPPSLRDLLPRTAQLLRQVAQA
+RREAGGGVPEGPGGPWDFLMVYLANLEAKSRQVAALLPPHGRKIANDELFREGSRLRRQL
+AKLALIFSYMHAELDALFPGGRYCGHTYQLTKVSAHTFWRERCGARCVLPWAEFEAVLCI
+CHPVEPGSTALALRSTIDLTCSGHVSIFEFDIFTRLFQPWPTLLKNWQLLAVNHPGYMAF
+LTYDEVQARLQTFRDKPGSYIFRPSCTRLGKWAIGYVSSDGSILQTIPLNKPLFQVLLEG
+QKEGFYLYPDGKNHNPDLTELCHMEPHQHIHVSEEQLQLYWAMDSTFELCKICAESNKDV
+KIEPCGHLLCSRCLAAWLCSDSQTCPFCRCEIKGQEAVSIHQFHVSATVEDPEHSSDEEE
+LEQMAPSGPPLPPRLDLCPKSPSSKGHLNVAPPTLPRLRAPLPLPRFWTAASAPWEVTSS
+PQAREGARGNS
+>tr|E3UM65|E3UM65_BOVIN Complement C3d receptor 2 OS=Bos taurus OX=9913 GN=CR2 PE=2 SV=1
+MGAAGPIWVFLTLLAPGVLGQCKFLPRYPFAKPKIQSDQSEFAVGKTWEYECLPGYFKKS
+FFVTCLKTSNWSNAQQFCKRKSCTVPRELLHGSVHTPQGIMFGATITFSCDRGYRLIGDT
+SATCLISDNTVTWDKDLPLCESIPCEPPPAISNGDFQSSNRDYFYYGTVVTYQCHVGQNG
+KKLFDLLGEKSIYCTSKDNQVGIWNSPPPQCIPVVKCPIPEVENGAMESGFRRSFSLNDS
+VMFKCKPGFTMKGSNTVWCQPNSKWNPPLPKCFKGCLPPPHTHHGNYNQLDEEFFAVGQE
+VSYSCEPGYTLIGTNPIQCTSLGTWSHLVPKCEVKSCDDIPNQLLNGRVVAPPNLQLGAE
+VSFVCDKGYRLNGQSSSQCVSEGMRVFWSNKFPVCERIFCDPPPSIKNGRSSYYSGPVAH
+NAVVTYTCQSAFRLIGERRLFCISKDNVNGIWDKAPPICEYYDRNSVCSEPIVPGGYRSK
+TSRPPFRHGDAVTFSCNANFTMKGNKTVWCRANRRWGPTPLPTCESDIPLECPSLPTIAN
+GHHTGESVGSFAPGLTVTYSCEPGYLLLGENTIHCLSSGDWSAVAPTCKEAECEAPGPFL
+NGQIKRPTSFGVGATVNFSCNEGYRLQGPLSSQCVIFGQRTFWTRMPACEEILCPSPPPI
+LNGRHTGTSSVIFRYGSTVSYTCDPGPEKGVNFILVGERTIRCTTDSQKTGTWSGPAPRC
+ELSVSELLCPPPQIPRGQISSGQRDQYSYNDTVVFACMFGFTMKGSRAVRCNAQGTWEPS
+VPVCEKDCQAPPKILNGQKEDRHRVRFDPGTSIKYSCDLGYELVGEESIHCTPDGVWMPT
+APTCKVAECEPVGKQVFKKPKNQFIRPDVNSSCDEGYRLGESVYQQCQGTIPWFMEIRLC
+KDITCPPPPVIYNGVHTGSSSEDVLYGTTVTYTCNPGPERGVKYNLIGESTIRCISNDQE
+TGIWSGPAPLCKLSLPAVQCSHVHVANGYKISGKEAPYFYNDSVIFKCDDGFILKGSSQV
+RCKANNTWDPEIPVCEKEAQCQPLEEDVREPPVDSLVVPANISCQEGYRMMKYAFQKCQD
+DENRVWFQRIPVCEIIHCQDPPVINNGRYRGVLPGGFPYGSEVSYECDQGFDLLGEKSIR
+CISDSKGLVSWSGRAPQCLKSPPVTHCPNPEVMHGHKLNKTYSSYSHNDILHIACNPGFI
+MNGSHLIRCHTNNKWVPGVPTCIKKAFFGCQRPLTISHGNHTGGDITRFSPGMSILYSCN
+QGYLLVGEALLLCTHEGTWNQPAPYCKEVNCSFPEHINGIQNGLEPGRMYQYGAVVTLMC
+EDGYTLEGSPQSQCQEDHRWNPPLAVCKSPSSLAPLIAGFSAGVIALFCLGAVALYMISK
+HRERNYYTNTNYKEDVHLETLDIYSVDPYSPAN
+>tr|A2VDW7|A2VDW7_BOVIN Solute carrier organic anion transporter family member OS=Bos taurus OX=9913 GN=SLCO1A2 PE=2 SV=1
+MGETEERIETHRIRCLSKLKMFLLAITCAFVSKTLSGSYMNSMITQIERQFNIPTSLVGF
+INGSFEIGNLLLIIFVSYFGTKLHRPIMIGVGCVVMGLGCFLQSLPHFLMGRYEYESTVS
+VSGNLSSNSFLCMENGTQIFKPTKDPSECVKEVKSLMWVYVLVGNIIRGIGETPIMPLGI
+SYIEDFAKFENSPLYIGLIKTGGIIGPLIGLSLASFCANVYVDTESVNTDDLTITPSDTR
+WVGAWWIGFLICAGVNVLTAIPFFFLPKTLPKEGLEDNAEIIKNDKEKQEDIKKKRDAIT
+KDFLPFMKSLLCNPIYMLFILISVLQFNAFVSMVSFMPKYMEQHYGKSASEAIFLLGIYN
+FPPICIGYIVGGLFMKKFKITAVQAAHIGCWLSFTEYLLHFLCFFMICDSSSVAGLTTSY
+EGIHQNLHVKNFLANCNMDCNCPTKVWDPVCGNNGLSYTSACLAGCEISSGTGRKMVFQN
+CSCIQTPGNSSAVLGLCDKGKDCSMMLQYFLILSVFSSFIFSLSAIPGYMVLLRCVKPEE
+KSLGVGLHAFCTRVLAGIPAPIYFGALVDSTCLHWGTLKCGESGACRIYDSNSFRYIYLG
+IPAALRGSSYIPAFLILFILRKYRLPGENASSETMLVAKFTGKENEGKEMDQNAKVLNDD
+ELKTKL
+>tr|Q2KHZ6|Q2KHZ6_BOVIN CD48 molecule OS=Bos taurus OX=9913 GN=CD48 PE=2 SV=1
+MCSRRREWSLSLEILLLPHLFLAISIQGHSKYAIPGDNVTLHISNLPKNHKSLTWFYTTD
+QKIVEWEFDEPMYFNTKFKNRATLHPQSGALFIRNIQKEDSSTYLLRVLKDNGDEKEWKI
+SLMVLDPVQKPVITVKKLWEMNNCKLMLSCVIQDQSVNYTWYWESGSFPKQLQGSVLQVV
+HTPENYSKSYTCQVSNPVSSQNSTINFTSPCVQASSSEVARTATWLVIIVTTLLNLLWI
+>tr|A6QPV3|A6QPV3_BOVIN GBP4 protein OS=Bos taurus OX=9913 GN=GBP4 PE=2 SV=1
+MDPIYLVENWKNKLSVNPKALKILDQISQPLVVVAIAGLYRTGKSYLMNRLAGQNHGFCL
+GSTVRSETKGIWMWCVPHPSKENHTLVLLDTEGLGNVEKDSKNDLWIFALAVLLSSTFIY
+NSMNSINDQALQQLHYVTELTELIRTKSSPNSDEVEDSAQFVSFFPDFIWTVRDFMLELE
+LDGNSITEDEYLESALKLIPDEGPQIQNFNLPRECIRKFFPKRKCFVFDRPASNKKQLLH
+LEEMPDNQLDEDFQKQSKDFCSYIYTHAKTKTLKEGITVTGKRLGPLVEAYVNAINSGSV
+PCLENAVTTLALLENSAAVQKAADHYSEQIAQRLSLPTDTLKELLEVHAACEKEAIAVFM
+ERSFKDENQDFQTKLVIMIKKKKDDFLLQNEEASVRYCQAELKKLSEPLMTSISEGTFFV
+PGGYHCYLEARNKFEENYKLIPRKGVKANQVLQSFLQSQAGVEKAILKADQALTDGDKAM
+AEECTKRQEAEMKQDLLTQELNDEKQKMEAQERSCKENVAQLKEKLMMERENLLREQEAV
+LAHKLKMQKEMLTEGFERKASELHIEILQLQKEIKRTKNDRHFEILKILTAFGLMLAFSL
+FKFFMRV
+>tr|Q29RR8|Q29RR8_BOVIN SRY (Sex determining region Y)-box 30 OS=Bos taurus OX=9913 GN=SOX30 PE=2 SV=1
+MERARPEQPPQQRQLPRATPPRPLRPAPPPLPVEGASFRAAATEPSPSPPTPCAAAIATV
+ASSCGEPSASGVQPAARRLLQVKPEQVLLLPPGPPLPQAREESAATSPAQARLLQLRPEL
+LLLPPPPPASEGIPCRPELHPLQPRALHVKAEKQEPGPGLDLLAGPRRAVEACPKTSRTV
+KAEGSGPLNSRRGEEKKGKLEAEEIVSYAAKGEEGKSLAVLREGVIKTEAPERLREDCRL
+STEPASNGLAHGNKDVILTQPSSAFGPHQQDLRIPLTLHTVPPGARIQFQGPPPSELIRL
+TKVPLTPVPIKMQSLLEPSVKIETKDVPLTVLPSDAGIPDTPFSKDRNGHVKRPMNAFMV
+WARIHRPALAKANPAANNAEISVQLGLEWNKLSEEQKKPYYDEAQKIKEKHREEFPGWVY
+QPRPGKRKRFPLSVSSVFSGTTQNIISTNPTIYPYRSPTYSVVIPSLQNAITHPVGESPP
+TIQLPTPAVQRPSPITLFQPSVSSTAQVAVQAPSLPLRPALPPQRFAGPSQADTHQLHSG
+VSRSVKRPTPVSLESTNRIPTSASPAHSRFATSTIQPPKEYPSVSTCPRSTPISQAPPIP
+HSHIYQPSPLGHPAALFGTPPRFSFHHPYFLPGPHYFPSSTCPYSRPPFGYGNFPSSMPE
+CLGYYEDRYQKHEAMFSALNRDYPFRDYPDERAHNEDSRSCENMDGTSYYNSHCHSGEEY
+LNPIPQLDIGALENVFTAPTSTPSSIQQVNVTDSDEEEEEKVLRNL
+>tr|F1N4K0|F1N4K0_BOVIN Meteorin, glial cell differentiation regulator OS=Bos taurus OX=9913 GN=METRN PE=4 SV=2
+MPTSALLCTLCFCLLAAAARAGYSEDRCSWRGSGLTQEPGSVGQLALACADGKIEWLYPA
+GALRLTLGGSEPSAQPGIVCLRPTRPFAGAQVFVERTGGGLELLLAEGQGPAGARCARWG
+PRERRALFLQATPHPDLSRRLASFRFQLREDGRPELPPQARSLGADAACRPCSDAELLLA
+VCTSDFVIYGTILGVAHNAELQESVITVAAARVLRQTLPVFRVGGPGGQGQASIRTPLHC
+GVRPGPGTFLFMGWNRFGEAWLGCAPRLQEFSRAYAAAHADHLHPCEVVLD
+>tr|Q3T0U4|Q3T0U4_BOVIN Adiponectin receptor 1 OS=Bos taurus OX=9913 GN=ADIPOR1 PE=2 SV=1
+MSSHKGPAVAQGNGAPASNRAADTVELAELGPLLEEKGKRAVTSPTKAEEEQACPGPQEE
+EEEVRVLTLPLQAHHAMEKMEEFVYKVWEGRWRVIPYDVLPDWLKDNDYLLHGHRPPMPS
+FRACFRSIFRIHTETGNIWTHLLGFVLFLFLGILTMLRPNMYFMAPLQEKVVFGMFFLGA
+VLCLSFSWLFHTVYCHSEKVSRTFSKLDYSGIALLIMGSFVPWLYYSFYCSPQPRLIYLS
+IVCVLGISAIIVAQWDRFATPKHRQTRAGVFLGLGLSGVVPTMHFTIAEGFVKATTVGQM
+GWFFLMAVMYITGAGLYAARIPERFFPGKFDIWFQSHQIFHVLVVAAAFVHFYGVSNLQE
+FRYGLEGGCTDDSLL
+>tr|F1MEW4|F1MEW4_BOVIN Cystathionine beta-synthase OS=Bos taurus OX=9913 GN=CBS PE=3 SV=1
+MPFETSPADTLCRSAGCPHLSGAHLGRGSLEKEPPEDKEAKELLWIRPDAPSRCSWQLGR
+PVADSPHCHAALVKSPKILPDILKKIGDTPMVRINKIGRNFGLKCELLAKCEFFNAGGSV
+KDRISLRMIEDAEREGTIKPGDTIIEPTSGNTGIGLALAAAVKGYRCIIVMPEKMSTEKV
+DVLRALGAEIVRTPTNARFDSPESHVGVAWRLRNEIPNSHILDQYRNASNPLAHYDITAE
+EILQQCDGRLDMLVASAGTGGTITGVARKLKEKCPGCKIVGVDPEGSILAEPEELNQTEQ
+TAYEVEGIGYDFIPTVLDRTVVDKWFKSNDEEAFAFARMLIAQEGLLCGGSSGSAMSVAV
+KAAQELQEGQRCVVILPDSVRNYMSKFLSDKWMLQKGFMKEEEISVKRPWWWHLQVQELS
+LSAPLTVLPTVTCEHTIEILREKGFDQAPVVDESGVILGMVTLGNMLSSLLAGKVQPSDQ
+VCKVIYKQFKQIYLTDPLGKLSHILEMDHFALVVHEQIQYRCHGESSKRQMVFGVVTAID
+LLNFVATRERNQRTKPESALKLGGVGAEAQL
+>tr|A4IFV1|A4IFV1_BOVIN LPAR4 protein OS=Bos taurus OX=9913 GN=LPAR4 PE=2 SV=1
+MGDRRFIDFQFQDLNSNLRPRLGNATANNTCIVDDSFKYNLNGAVYSVVFILGLITNSAS
+LFVFCFRMKMRSETAIFITNLALSDLLFVCTLPFKIFYNFNRHWPFGDTLCKISGTAFLT
+NIYGSMLFLTCISVDRFLAIVYPFRSRTIRTRRNSAIVCAGVWILVLSGGISASLFSTTN
+VNNATTTCFEGFSKRVWKTYLSKITIFIEVVGFIIPLILNVSCSSVVLKTLRKPATLSQI
+GTNKKKVLKMITVHMAVFVVCFVPYNSVLFLYALVRSQAITNCLLERFAKIMYPITLCLA
+TLNCCFDPFIYYFTLESFQKSFYINTHIKMESLFKTETPLTTKPSLPAIQEEASDQTTHN
+GGELMLESTF
+>tr|A6H7E4|A6H7E4_BOVIN LARP6 protein OS=Bos taurus OX=9913 GN=LARP6 PE=2 SV=1
+MAQPGEETLPGPETAVQIRVAIQEAEDVEEPEDEEEGAEARGAGDPARYLSPGWGSASEE
+EPSRGHSGATTSGGENERDDLEQEWQPPDEELIKKLVDQIEFYFSDENLEKDAFLLKHVR
+RNKLGYVSVKLLTSFKKVKHLTRDWRTTAHALKYSVTLELNEDHRKVRRTTPVPLFPNEN
+LPSKMLLVYDLYLSPKLWALATPQKNGRVQEKVMEHLLKLFGTFGVISSVRMLKPGRELP
+PDIRRISNRYSQVGTQECAIVEFEEVEAAIKAHEFMITESQGRESMKAILIGMKPPKKKP
+SKEKNQDEEPTSSIHLNKSLNRRVEELQYMGDESSANSSSDPESNPTSPMAGRRHAVANK
+LSPSSHQNLFLSPNASPCSSPWSSPLAQRKGVSRKSPLAEEGRPNTSASPEIVRKCADYS
+SDSSVTPSGSPWVRRRRQAEMGPQEKSPRASPLLSRKMQTADGLPVGVLRLPRGPDNTRG
+FHGGHERSRACV
+>tr|Q2T9Z4|Q2T9Z4_BOVIN Family with sequence similarity 118 member A OS=Bos taurus OX=9913 GN=FAM118A PE=2 SV=1
+MDSVEKTTNRSEQKSRKFLKSLIRKQPQELLLVIGTGVSAAVAPGIPALCSWRSCIEAVI
+EAAEQLEVLHPGDVAEFRRKVTKDGDLLVVAHDLIRKMSPRTGDAKPNFFQDCLMEVFDD
+LERHIQNPLVLQSILSLMERGTMVLTTNYDNLLEIFGQQQSKPMESLDLKDKTKVLQWAR
+GNVRYGVLHIHGLYTDPCGMVLDPSGYKDVTQDPEVMEVLQNLYRTKSFLFVGCGETLRD
+QIFQALFLYSVPNKVDLEHYMLVLKESEDHFFKHQADMLLHGIKVVSYGDCFDYFPGCRS
+SGQHHVVGECMPGLCKEEVRRKRN
+>tr|A4FV38|A4FV38_BOVIN EFHA1 protein OS=Bos taurus OX=9913 GN=MICU2 PE=2 SV=1
+MAAAGGSRLAAWGERLRRGFAAGRRAWPGPGPVAAAVAGVAVAGAGAAWYHGRVNVAAPQ
+GSLTVLAQRSVNPGEITGKLSPRKQRFMQFSSLEYGGEYYMTPRDFLFSVMFDHIQRKSS
+VKRLTDKDIEDTLAGIKKAGCGSTFFRDLGDKGLISYTEYLFLLTILTKPHSGFHVAFKM
+LDADGDEMVEKKEFFKLQKIISKQDDLKTAITDETECQEQTVQEPEINTTLQIRFFGKRG
+ERKLHYKEFRRFMENLQAEVQEMEFLQFSKGLSFMRKEDFAEWLLFFTDTENKDVYWKNV
+REKLSAGENISLEEFKSFCHFATHLEDFAIAMQMFSLAHRPVRLAEFKRAVKVATGQELS
+NNILDTVFKIFDLDGDECLSHEEFLGVLKNRMHRGLWVPQHLGIQEYWKCVKKESIKGVK
+EVWKQAGKDL
+>tr|A5PKE6|A5PKE6_BOVIN Elongation of very long chain fatty acids protein 4 OS=Bos taurus OX=9913 GN=ELOVL4 PE=2 SV=1
+MGLLDSEPGSVLNAVSTALNDTVEFYRWTLSITDKRVENWPLMQSPLPTLCISTLYLLFV
+WLGPKWMKDREPFQMRLVLILYNFGMVLLNLFIFRELLMGSYNAGYSYICQTVDYSDNVH
+EVRIAAALWWYFISKGIEYLDTVFFILRKKNNQVSFLHVYHHCTMFTLWWIGIKWVAGGQ
+AFFGAQINSFIHVIMYSYYGLAAFGPWIQKYLWWKRYLTMLQLVQFHVTIGHTALSLYTD
+CPFPKWMHWALIVYAVSFIFLFLNFYVRTYKEPKKAKPGKTATNGISANGVNKSENHLVV
+ENGKKQKNGKAKGE
+>tr|Q0IIK3|Q0IIK3_BOVIN Zinc finger protein 655 OS=Bos taurus OX=9913 GN=ZNF655 PE=2 SV=1
+MEEVSAQEAAESPVVQFQSLETHSEGLSPEPQFVQDTDMEQGLTGAPPVPQVSSLPHEGS
+PGDQAAALLTARYQEFVTFEDVAVHLTREEWGCLDPVQRALYREVMLENYGNLVSLGILL
+RLPTTRIHSVNSCPALSHTQASAFSGETLAILSAGISRRWPRCRLPIDSARPRSEAPFPR
+L
+>tr|Q9N0E6|Q9N0E6_BOVIN Midkine OS=Bos taurus OX=9913 GN=MDK PE=2 SV=1
+MQRRSFLLLALLALLALTSAVAKKKDKMKKGGPGSECAEWTWGPCTPSSKDCGVGFREGT
+CGAQTQRIRCRVPCNWKKEFGADCKYKFETWGACDGGTGTKARQGTLKKARYNAQCQETI
+RVTKPCSPKTKAKAKAKKGKEKD
+>tr|A0A3Q1NK13|A0A3Q1NK13_BOVIN Scavenger receptor cysteine rich family member with 4 domains OS=Bos taurus OX=9913 GN=SSC4D PE=4 SV=1
+PPPPPRARSLLSTELRLVGGPSRCRGRLEVLHGGSWGSVCDDDWDVVDANVVCRQLGCGL
+ALPVPRPLAFGQGRGPILLDNVECRGQEAALSECGSRGWGVHNCFHYEDVAVLCDEFLPT
+QPPTRRVLTSREPPTTPQNGKGEGSVRLVGGAGPCQGRVEILHGGLWGTVCDDDWGLPDA
+AVVCRQLGCGAALAATTNAFFGYGTGHILLDNVHCEGGEPRLAACLSLGWGVHNCGHHED
+AGALCAVLGHSTLTALPPSATRVDWAWHTEPEATGVGALPSREPARLTTAAWAAGKKSGR
+LRLVGGPGPCRGRVEVLYAGGWGTVCDDDWDFADARVACREAGCGPALGATGLGHFGYGR
+GPVLLDNVGCAGTEARLSDCFHLGWGQHNCGHHEDAGAICSGPDELVQQDGAETTRVPTP
+RPRDGHLRLANGAHRCEGRVELFLGQRWGTVCDDAWDLRAAGVLCRQLGCGQALEAPGEA
+HFGPGRGPILLDNVKCRGDESALLLCSHIRWDAHNCDHSEDASVLCQPE
+>tr|A0A3Q1NH21|A0A3Q1NH21_BOVIN Non-specific serine/threonine protein kinase OS=Bos taurus OX=9913 GN=AKT2 PE=3 SV=1
+MNEVSVIKEGWLHKRGEYIKTWRPRYFLLKSDGSFIGYKERPEAPDQTLPPLNNFSVAEC
+QLMKTERPRPNTFVIRCLQWTTVIERTFHVDSPDEREEWMRAIQMVANSLKQRGPGDDPM
+DYKCGSPSDSSAAEEMEVAVSKARAKVTMNDFDYLKLLGKGTFGKVILVREKATGRYYAM
+KILRKEVIIAKDEVAHTVTESRVLQNTRHPFLTALKYAFQTHDRLCFVMEYANGGELFFH
+LSRERVFTEERARFYGAEIVSALEYLHSRDVVYRDIKLENLMLDKDGHIKITDFGLCKEG
+ISDGATMKTFCGTPEYLAPEVLEDNDYGRAVDWWGLGVVMYEMMCGRLPFYNQDHERLFE
+LILMEEIRFPRTLSPEAKSLLAGLLKKDPKQRLGGGPSDAKEVMEHRFFLSINWQDVVQK
+KLLPPFKPQVTSEVDTRYFDDEFTAQSITVTPPDRYDSLGSLELDQRTHFPQFSYSASIR
+E
+>tr|E1BHA0|E1BHA0_BOVIN Leucine zipper tumor suppressor 1 OS=Bos taurus OX=9913 GN=LZTS1 PE=4 SV=3
+MGSVSSLISGHGFHSKHCRASQYKLRKSSHLKKLNRYSDGLLRFGFSQDSGHGKSSSKMG
+KSEDFFYIKVSQKARGSHRPDYTALSSGDLGGQAGVDFDPSTPPKLMPFSNQLEMGSEKG
+AVRPTAFKPVLPRSGAILHSSPESAGHQLHPAPLDKPKEQELKPSLCSGALSDSGRNSMS
+SLPTHSTSSSYQLDPLVTPVGPASRFGGSAHNITQGIVLQDSNMMSLKALSFSDGGSKLA
+HASKDKGSVRSPISTDQCTIQELEKQLLEREGELQRLHRSFEEKELAASQPYEERPRRCK
+DELEGLEAKGKMKVAAQKSQRAQQVLHLQVLQLQQEKRQLRQELESLMKEQDLLETKLRS
+YEKEKTSFAPALEETQWEVCQKSGEISLLKQQLKESQTEINAKASEILNLKAQLKDTRGR
+LESLELKTQDLENALRTKGLELEVCENELQRKKNEAELLREKVNLLEQELLELRAQAALQ
+RSRDAAALGPASADDVPALQRELERLRAELKEERQGHDQMSSGFQHERLVWKEEKEKVIQ
+YQKQLQQSYLAMYQRNQRLEKALQQLARGDGAGEPFEIDLEGADIPYEDIIATEI
+>tr|E1B7C1|E1B7C1_BOVIN Lymphocyte activating 3 OS=Bos taurus OX=9913 GN=LAG3 PE=2 SV=2
+MLWEAWFQVWLFLQLLWAAAVEAPEPGAEVPVVWAQEGAPAQLPCSPTIPLQDLSLPRTR
+QVTWQHVPESGSAAPTPRGPGPRRYTVLRLAPGGLRIGKLPLQPRVQLEEMGLQRGDFSL
+WLRPARRADAGEYHAAVRFGNRALACRLRLRVGQAAVTASPPGPLWTSSWVVLNCSFSRP
+DLPASVHWFRGPGRVPVQESPHHHLVGNFLFLPQVSSLDSGTWGCSLTYRDGFNVSITYN
+LAVLGLEPRATLTVYAGAGSKVELPCRLPPGVGIQSSLTAMWTPPGEGPDLLVAGDRNNF
+TLRLEAVGQAQAGTYTCRVHLQGRQLSATVTLAVITVTPKPYGSSGSLRKPFCEVTPASG
+QERFVWSPLDKRSQRRSPGPWLLTPDARPLSQPWQCHLYQGERLLGTAVYLTELSHPGAQ
+RSGRALGAGRTAHLPLLILGLLFLLLLVTGASSFHLWRRQWRPRRFSALEHGTHPSQASS
+KTGELEPELEPEPDPEVEPEPEPEPESQPQLQPEQP
+>tr|A1A4H7|A1A4H7_BOVIN Netrin G1 OS=Bos taurus OX=9913 GN=NTNG1 PE=2 SV=1
+MYLSRFLSIHALWVTVSSVMQPYPLVWGHYDVCKTQIYTEEGKVWDYMACQPESTDMTKY
+LKVKLDPPDITCGDPPETFCAMGNPYMCNNECDASTPELAHPPELMFDFEGRHPSTFWQS
+ATWKEYPKPLQVNITLSWSKTIELTDNIVITFESGRPDQMILEKSLDYGRTWQPYQYYAT
+DCLDAFHMDPKSVKDLSQHTVLEIICTEEYSTGYTTNSKIIHFEIKDRFAFFAGPWLRNM
+ASLYGQLDTTKKLRDFFTVTDLRIRLLRPAVGEIFVDELRLARYFYAISDIKVHGRCKCN
+LHATVCVYDNSKLTCECEHNTTGPDCGKCKKNYQGRPWSPGSYLPIPKGTANTCIPSISS
+IGNPPKFNRKWPNISSLEVSNPKQANVCDNELLHCQNGGTCHNNVRCLCPAAYTGILCEK
+LRCEEAGSCGPDSGQGAPPRGSPALLSLLAALLGTAGPLGI
+>tr|A2VDU5|A2VDU5_BOVIN RBR-type E3 ubiquitin transferase OS=Bos taurus OX=9913 GN=RNF14 PE=2 SV=1
+MSSEDREAQEDELLALASIYDGDEFRKAESVQGGETRIHLDLPQNFKIFVSGNSNECLQN
+SGFEYTICFLPPLVLNFELPPDYPSSSPPSFTLSGKWLSPTQLSALCKHLDNLWEEHRGS
+VVLFAWMQFLKEETLAYLNIVSPFELTMGSQKKVQRRMAQASSNTELDFGGATGSDIDQE
+EVVDERAVQDVESLSSLIQEILDFDQAQQIKCFNSKLFLCNICFCEKLGSECMYFLECRH
+VYCKACLKDYFEIQIRDGQVQCLNCPEPKCPSVATPGQVKELVEAELFARYDRLLLQSTL
+DLMADVVYCPRPSCQLPVMQEPGCTMGICSSCNFAFCTLCRLTYHGVSPCKVTAEKLMDL
+RNEYLQADEANKRFLEQRYGKRVIQKALEEMESKEWLEKNSKSCPCCGTPIEKLDGCNKM
+TCTGCMQYFCWICMGSLSRANPYKHFTDPASPCFNRLFHAVDVNGDIWEDEIED
+>tr|Q1LZC7|Q1LZC7_BOVIN Substance-K receptor OS=Bos taurus OX=9913 GN=TACR2 PE=2 SV=1
+MGACVVMTDINISSGLDSNATGITAFSMPGWQLALWTAAYLALVLVAVMGNATVIWIILA
+HQRMRTVTNYFIVNLALADLCMAAFNAAFNFVYASHNIWYFGRAFCYFQNLFPITAMFVS
+IYSMTAIAADRYMAIVHPFQPRLSAPGTRAVIAGIWLVALALAFPQCFYSTITTDEGATK
+CVVAWPEDSGGKMLLLYHLIVIALIYFLPLVVMFVAYSVIGLTLWRRSVPGHQAHGANLR
+HLQAKKKFVKTMVLVVVTFAICWLPYHLYFILGTFQEDIYCHKFIQQVYLALFWLAMSST
+MYNPIIYCCLNHRFRSGFRLAFRCCPWVTPTEEDKMELTYTPSLSTRVNRGSSRPRDQTC
+VSCIDTQILYH
+>tr|Q32PC2|Q32PC2_BOVIN WAP four-disulfide core domain 11 OS=Bos taurus OX=9913 GN=WFDC11 PE=2 SV=1
+MVNIMKFGTPLLMMLLCMVLLSVLGGVKERYSSRRELLLQECWGQPTIQECNNRCSRNFR
+CVKINHTCCWTYCGNVCWENTLITEEAKSLASH
+>tr|E1BGQ5|E1BGQ5_BOVIN Centriolar coiled-coil protein 110 OS=Bos taurus OX=9913 GN=CCP110 PE=4 SV=1
+MEEYEKFCEKSLSRIQEASLSTESFLPVQSESISLIRFHGVAVLSPLLNIEKRKEMQQEK
+QKALDVEARRQVNRKKALLSRVQEILENVQVRKAPDASDFHQWETETVYSNSEVRNLNVP
+ATLPNILPSPTEHSTLAEFEKITGILPLNNEDRFKSNRIDLARDSEELSYLKQCDSSNIS
+QAENEASLKTSSTAAQETLTSDVLFPTNEEQDPSLLEEVTPDPYIMSLQNLMKKSKEYIE
+REQSRRSLRSSVKRSVNESHSDKENDAVKVTDNGKEKSPLIGKHCGSVIPDKPSLNKSNV
+LLQGAFTQASSMNTSVLGNFSKVDISIRTGHTTVLETDSNFQGIPTFVTENNVIKSLTGS
+YAKIPSPEPSLSPKMHRRRSRPSSACHILINNPINASELSPKGKEQAVELVVQETDEKTN
+VPETVPKLPVCSSKVYVSKNTEAIQEMVLGKSNQVCQPSGNQLENKVIHGLAIMEGQLIS
+DARGPHRVDSTCTAGERLHEPYATRECVLSQNFGSVSGVKSTNVLEKNSCNLQMELNKSY
+DVKNPSPLLMQNQNTRQQMDTPTVSCGKEQFLDNSFGKVKRRLDLDIDNLQKENYPYVVT
+TGIAEQERQHLPEKRYSKGSVYIKNKMLESSSKEGEEILKSKILAFEEMRKRLEEQHAQQ
+LSLLIAEQEREQERLQKDIEEQEKMLKEKTIITAEASELDISNAVDFGWRKISDSGLLDT
+VLSQVDSLHTSNSNSSGFTSSALQHSFASANEVPFYLWGSSSSGLTKLSVTRPFGRAKTK
+WSQVFSPEVQAKLNKVTAVAKGFLTRRLMQTDKLKQLRQTVKDTMEFIRSFQSEAPLKRG
+VVSAQDASLQERVLAQLRAALYGIHDIFFVMDAAERMSILHHDREVRKEKMLRQMDKMKS
+PRVALSAATQKSLDRKKYMKAAEMGMPSKKFLVKQNPSETRVLQPNQGQNAPVHRLLSRQ
+GTPKTSVKGVVQNRQKSSQSRVPSRAPVSGVYAGKIQRKRPNVATI
+>tr|F1N7M1|F1N7M1_BOVIN Phosphatidylinositol-4-phosphate 5-kinase type 1 beta OS=Bos taurus OX=9913 GN=PIP5K1B PE=4 SV=3
+MSSAAENGDTAPGKQNEEKTYKKTASSAIKGAIQLGIGYTVGNLTSKPDRDVLMQDFYVV
+ESVFLPSEGSNLTPAHHYPDFRFKTYAPLAFRYFRELFGIKPDDYLYSICSEPLIELSNP
+GASGSLFFVTSDDEFIIKTVQHKEAEFLQKLLPGYYMNLNQNPRTLLPKFYGLYCMQSGG
+INIRIVVMNNVLPRSMRMHFTYDLKGSTYKRRASRKEREKSSPTFKDLDFLQDMHEGLYF
+DTETYNALMKTLQRDCRVLESFKIMDYSLLLGIHILDHTLKEKEEEISQNVPDAKRPGTQ
+KVLYSTAMESIQGPGKSGDGIITENPDTMGGIPAKSHKGEKLLLFMGIIDILQSYRLMKK
+LEHSWKALVYDGDTVSVHRPSFYADRFLKFMNSRVFKKIQALKASPSKKRCNSIAALKAT
+SQEIVSSISQEWKDEKHDLLTEGQSFSSLDEEALGSRHRPDLVPSTPSLFEAASLATTIS
+SSSLYVNEHYPHDRTTLYSNSKGLPSSSTFTLEEGTIYLTSEPDALEMQDDASVLDVYL
+>tr|Q5MB00|Q5MB00_BOVIN Spermatogenesis associated 4 OS=Bos taurus OX=9913 GN=SPATA4 PE=2 SV=1
+MAAAGPRGGLLTQPAAARPKTRSVLPCQAAPNGQKPKKCLVYPHPPKSSRLSRSVLRWLQ
+SLDLTFFPRNISRDFSNGFLIAEIFTTYYPWDLKLSSFKNGTSLKVKLDNWAQLEKFLAR
+KKLKLPKELIHGTIHCKAGVPEILIQEVYTLLTHREIKSIQEDLVNFTDYSYQMQLPLVP
+RSTASKSIKDNIRLSELIGNPNKLNNELKVEFLFLLQMLQRKLSRKLNPKWFAVKPTVGE
+STLDHRPAKASGNKSNSVVSKERPVPVCSKQVIESVHLKKLR
+>tr|A0A3S5ZPB2|A0A3S5ZPB2_BOVIN Caspase recruitment domain family member 11 OS=Bos taurus OX=9913 GN=CARD11 PE=4 SV=1
+MPGGAPEMDDYMETLKDEEDALWENVECNRHMLSRYINPAKLTPYLRQCKVIDEQDEDEV
+LNAPMLPSKINRAGRLLDILHTKGQRGYVVFLESLEFYYPELYKLVTGKEPTRRFSTIVV
+EEGHEGLTHFLMNEVIKLQQQMKAKDLQRCELLAKSRQLEDEKKQLTLTRVELLTFQERY
+YKMKEERDSYNDELVKVKDDNYNLAMRYAQLSEEKNMAVMRSRDLQLEIDQLKHRLNKME
+EECKLERNQSLKLKNDIENRPKKEQVLELERENEMLKTKIQELQSIIQAGKRSLPDSDKA
+ILDILEHDRKEALEDRQELVNKIYNLQEEVRQAEELRDKYLEEKEDLELKCSTLGKDCEM
+YKHRMNTVMLQLEEVERERDQAFHSRDEAQTQYSQCLIEKDKYRKQIRELEEKNDEMRIE
+MVRREACIVNLESKLRRLSKDSGTLEQSLPRNLPVTIISQNFGDTSPRTNGQEADDSSTS
+EDSPEDNRYFLPHHPPKRRMNLKGIQLQRAKSPISLKRASDFQGRGQEEDLMDASPSSSR
+SLPITNSFSKMQPHRSRSSIMSITAEPPGNDSIVRRYKEDAPHRSTVEEDNDSGGFDALD
+LDDDSHERCSFGPPSIHSSSSSHQSEGLDAYDLEQVNLMFRKFSLERPFRPSVTSVGHVR
+GPGLSVQHTTLSGDSLISQLSLLGGNASGSFVHSVKPGSLAEKAGLREGHQLLLLEGCIR
+GERQSVPLDACTKEEAHWTIQRCSGPVTLHYKVNQEGYRKLLKDMEEGLITSGDSFYIRL
+NLNICSQLDACSMSLKCDDVVHVRDTMYQDKHEWLCARVDPFTDHDLDMGTIPSYSRAQQ
+LLLVKLQRLMHRGSREEADTAHHTLRALRNTLQPEEPLPTTDPRVSPRLSRASFLFGQLL
+QFVSRSENKYKRMNSHERVRIVSGSPRGSLARSSLDATKLLTEKQEELDPESELGKNLSL
+IPYSLVRAFYCERRRPVLFTPTMLAKALVQKLLNSGGAMEFTMCKPDVVTRDEFLRKQKT
+ETIIHSREKNPNTFECVVPAHIEAVAAKNKHCLLEAGISCTRDLIKSRIYPIVLFVRVSE
+KNIKKFRKMLPRPETEDEFLRLCRLKEKELEALPCLYATVEADMWGSVEELLRVIKDKIA
+EEQRKTVWVDEDQL
+>tr|Q32LD0|Q32LD0_BOVIN Ring finger protein 24 OS=Bos taurus OX=9913 GN=RNF24 PE=2 SV=1
+MSSDFPHYNFRMPNIGFQNLPLNIYIVVFGTAIFVFILSLLFCCYLIRLRHQAHKEFYAY
+KQVILKEKVKELNLHELCAVCLEDFKPRDELGICPCKHAFHRKCLIKWLEVRKVCPLCNM
+PVLQLAQLHSKQDRGPLQGPLPGAENIV
+>tr|A0A3Q1NKI0|A0A3Q1NKI0_BOVIN Sphingosine kinase 2 OS=Bos taurus OX=9913 GN=SPHK2 PE=4 SV=1
+MWLSLSNPLVPPCHTLSQSFQRPEQELTWSWGYRPRSALDRVKAMAPPPPLAPSTPLLHG
+EFGSYPDRGPRFALTLTPQALHIQRLRPKPEARPRGGLVLLTEVSGCCTLRSRSPLDSAA
+YFCVYTYPRGRRGARRRAARTFRADGAATYEENRAEAQRWATALTCLLRGLPLPGDGEIT
+PDLLPRPPRLLLLVNPFGGRGLAWQWCKNHVLPMISEAGLSFNLIQTERQNHARELVQGL
+NLSEWDGIVTVSGDGLLYEVLNGLLQRPDWEEAVKTPVGILPCGSGNALAGAVNRHGGFE
+PALGIDLLLNCSLLLCRGGSHPLDLLSVTLASGSRCFSFLSVAWGFVSDVDIQSERFRAL
+GSARFTLGTVLGLATLHTYRGRFSYLPATVEPASPAPAHGLPRAKSELTLAPAPAPPVAH
+SPLHRSVSDLPLPLPQPALTSPGSPEPLPILSLNGGGPELAGDWGGAGDAPLSPDPLLPS
+PPGTPKAAQLSPISEGASEMAASSGLPPPTPGAPVSISAGGPPDHLLPPLGTPIPPGWVT
+MEGDFVLILAILPSHLGADLVAAPHARFDDGLVHLCWVRSGISRAALLRMFLAMERGTHF
+SLGCPYLGYAAAHAFRLEPLTPRGVLTVDGEQVEYGPLQAQVHPGLGTLLTGPPGCPGRE
+A
+>tr|A0JNB6|A0JNB6_BOVIN Lysyl oxidase homolog 1 OS=Bos taurus OX=9913 GN=LOXL1 PE=2 SV=1
+MALALTGWQLVWGACVCVLVHGQQAPPGQGSDPGRWRQLIQWENNGQVYSLLNSGAEYVP
+PGPQGSEANSRVLLAGAPQAPPRRSQGGLRRRQAPSLPLPGRVGSDTVRGQARHPFGFGQ
+VPDNWREVAVGDSTGMARARTSVSQQRHGGSASSVSASASAFASTYRQPSSFPQQQFPYP
+QAPFVSQYETYDPSTRTYDQGYVYYRSASGGLGAAAVASAGVVYPFQPRARYEEYGGGGG
+EEQPEYPPQGFYPAAPERPYAPQPADGLDRRYSHSLYHEGTAGLEPAYPDPGPDAAQPNG
+GGGGGTYGGGGGDPRLGWYPPYGNMPPEAYSPPRVVEPQPPFRVLEPPYLPVRSSDAPPP
+GSERNGAQQGRLSVGSVYRPNQNGRGLPDLVPDPNYVQASTYVQRAHLYSLRCAAEEKCL
+ASTAYAPEATDYDVRVLLRFPQRVKNQGTADFLPNRPRHTWEWHSCHQHYHSMDEFSHYD
+LLDAATGKKVAEGHKASFCLEDSTCDFGNLKRYACTSHTQGLSPGCYDTYNADIDCQWID
+ITDVQPGNYILKVHVNPKYIVLESDFTNNVVRCNIHYTGRYVSTTNCKIVQS
+>tr|A0A3Q1MUP8|A0A3Q1MUP8_BOVIN E3 ubiquitin-protein ligase OS=Bos taurus OX=9913 GN=LOC112445131 PE=3 SV=1
+MSRLTERALPTGTSNGAPSSRRAPVLTGTTVSNNDLASLFECPVCFEYVLPPITQCKSGH
+LVCGNCRPKLTRCPTCRVPLTSIRNLAMEKVANLVLFPCKYTSSGCGKTMPPTEKADHEE
+HCEFRPCRCPCPGTSCGWQGSMDAVVPHLMQHYNESIITLRGEVVVFLAVNINLAGTLEW
+VMLQSCFGFHFLLVLEKLEIYDGHQKFFAVVQLIGTREQAENFTYQLELNGNRRRLSWEA
+TPLSIHEGIATALINSDCLIFDSEVAELFAENGNLSIDVTISMC
+>tr|Q1RMW4|Q1RMW4_BOVIN Adaptor protein, phosphotyrosine interacting with PH domain and leucine zipper 2 OS=Bos taurus OX=9913 GN=APPL2 PE=2 SV=1
+MPAVDKLLLEEALQDSPQTRSLLSVFEEDAGTLTDYTNQLLQAMQRVYGAQNEMCLATQQ
+LSKQLLAYEKQNFALGKGDEEVISTLHYFSKVVDELNVLHTELAKQLADTMVLPIIQFRE
+KDLTEVSTLKDLFGLASSEHDLSMAKYSRLPKKKENEKVKAEIVKEVAAARRKQHLSSLQ
+YYCALNALQYRKRVAMMEPMLGFAHGQINFFKKGAEMFSQSMDGFLSSVADMVQSIQVEL
+EVEAEKMRASQQELLSLDEAVYTPDFDVAAPQVNRNLIQKAGYLNLRNKTGLVSTTWERL
+YFFTQGGNLMCQPRGAVAGGLIQDLDNCSVMAVDCEDRRYCFQITTPNGKAGIILQAESR
+KENEEWICAINNISRQIYLTDNPEAVAIKLNQTALQAVTPITSFAKKQESPCPSQNVKSS
+EMENDKIVPKATVTIPEAEQLIAPGTPIQFDIVLPATEFLDQNRGGRRTNPFGETEDATF
+PEAEDSLLQQMFIVRFLGSMAVKTDNTTEVIYEAMRQVLAARAIHNIFRMTESHLMVTSQ
+TLRLIDPQTQVTRANFELTSVTQFAAHQENKRLVGFVVRLPESTGGESLSTYVFESNSEG
+EKICYAINLGKEIIEVQKDPEALAQLMLSVPLTNDGKYVLLNDQPDDNDGSPSENRGAES
+EA
+>tr|A4FV78|A4FV78_BOVIN KBTBD10 protein OS=Bos taurus OX=9913 GN=KLHL41 PE=2 SV=1
+MDSQRELAEELRLYQSTLLQDGLKDLLDEKKFIDCTLKAGDKSLPCHRLILSACSPYFRE
+YFLSEIDEAKKKEVVLDNVDPAVLDLIIKYLYSASIDLNDGNVQDIFALASRFQIPSVFT
+VCVSYLQKRLAPGNCLAILRLGLLLDCPRLAISAREFVSDRFVQICKEEDFMQLSPQELI
+SVISNDSLNVEKEEAVFEAVMKWVRTDKENRVKNLSEVFDCIRFRLMTEKYFKDHVEKDD
+IIKSNPELQKKIKVLKDAFAGKLPEPSKNTEKAGAGEVNGDVGDEDLLPGYLNDIPRHGM
+FVKDLILLVNDTAAVAYDPTENECYLTALAEQIPRNHSSIVTQQNQVYVVGGLYVDEENK
+DQPLQSYFFQLDNVASEWVGLPPLPSARCLFGLGEIDDKIYVVAGKDLQTEASLDTVLCY
+DPAAAKWNEVKKLPIKVYGHSVISHKGMIYCLGGKTDDKKCTNRVFIYNPKKGDWKDLAP
+MKIPRSMFGVAVHKGKIVIAGGVTEDGLSASVEAFDLNTNKWEVMTEFPQERSSISLVSL
+AGSLYAIGGFAMIQLESKEFAPTEVNDIWKYEDDKKEWAGMLKEIRYASGASCLATRLNL
+FKLSKL
+>tr|A6QPC5|A6QPC5_BOVIN CTNNA2 protein OS=Bos taurus OX=9913 GN=CTNNA2 PE=2 SV=1
+MTSATSPIILKWDPKSLEIRTLTVERLLEPLVTQVTTLVNTSNKGPSGKKKGRSKKAHVL
+AASVEQATQNFLEKGEQIAKESQDLKEELVAAVEDVRKQGETMRIASSEFADDPCSSVKR
+GTMVRAARALLSAVTRLLILADMADVMRLLSHLKIVEEALEAVKNATNEQDLANRFKEFG
+KEMVKLNYVAARRQQELKDPHCRDEMAAARGALKKNATMLYTASQAFLRHPDVAATRANR
+DYVFKQVQEAIAGISNAAQATSPTDEAKGHTGIGELAAALNEFDNKIILDPMTFSEARFR
+PSLEERLESIISGAALMADSSCTRDDRRERIVAECNAVRQALQDLLSEYMNNLDSATSLI
+QAAKNLMNAVVLTVKASYVASTKYQKVYGTAAVNSPVVSWKMKAPEKKPLVKREKPEEFQ
+TRVRRGSQKKHISPVQALSEFKAMDSF
+>tr|A6QNT9|A6QNT9_BOVIN BBS12 protein OS=Bos taurus OX=9913 GN=BBS12 PE=2 SV=1
+MDCRVINRRRHTGLQQLSSFAETGRTFLGPVKSSKFIIDEECHESVLISSTVRLLESLDL
+TSAVGQLLNEAIQAQNSTYRTGTSTLLFLVGAWSSAAEECLHLGVPMSLIASVMSEGLNS
+CIEEVESLQVPIHSVCDHIDSTETFSGLSVSLYPSLQIPSGTGLVQKEHDLKDVTSQSLA
+FCSLSGRPVKSPQLFRLQAKFEADENISRTPQTLKNNLLADTHCRKSVLTHSRHFSRTDR
+HWISKPRGFLEQLSAAAPKTYSCSNLAELEVGLSHGDPSSMRLVDEAVRLQHQNAGRQRG
+SHTGLFPFDISRIFTCCLPGLPDTLSCVCPGYITVVSMSTATLVKELQNQPVRVVLVEGD
+LTENYRHLGFNKPANIKTVSESVKVQQDSSEELWTDHVLQVLIKFNVNLVLARGNVSERL
+AEKCTDSKQLVIGSVQDSVLQAFAEASGAVQVAYLTHMNENCVGSGVSVTTWRSIPSDTI
+DGISRMAVVLKTEGINLVTVVLTSPVIAQMQTKEDRFWTCASRLYYALKEQKVFLGGGAV
+EILCLTHLQSLAGQSVNKGNQDCSGWLHNTSSWLASSAALYRPTVLKCLADGWHRYLSTL
+LRNTAVYSSDSEAATSIQCHLQNAADSGSPSSYILNEYSKLNSVILNLGISDKLEPIPRV
+YDVVTPKIEAWRRALDLVLLVLQTDNEIITGLAHTQKSSQESEGFLFL
+>tr|A6QR51|A6QR51_BOVIN CCR4-NOT transcription complex subunit 6 OS=Bos taurus OX=9913 GN=CNOT6 PE=2 SV=1
+MPKEKYEPPDPRRMYTIMSSEEAANGKKSHWVELEISGKVRSLSSSLWSLTHLTALYLSD
+NSLSRIPSDIAKLHNLVYLDLSSNKIRSLPAELGNMVSLRELHLNNNLLRVLPFELGKLF
+QLQTLGLKGNPLTQDILNLYLEPDGTRRLLNYLLDNLAGTAKRIATEQPPPRSWIMLQEP
+DRTRPTALFSVMCYNVLCDKYATRQLYGYCPSWALNWDYRKKAIIQEILSCNADIISLQE
+VETEQYYSFFLVELKERGYNGFFSPKSRARTMSEQERKHVDGCAIFFKTEKFTLVQKHTV
+EFNQLAMANSEGSEAMLNRVMTKDNIGVAVLLELRKELIEISSGKPHLGTEKQLILVANA
+HMHWDPEYSDVKLVQTMMFLSEVKNIIDKASRSLQSSVLGEFGTIPLVLCADLNSLPDSG
+VVEYLSTGGVETNHKDFKELRYNESLTNFSCNGKNGTTNGRITHGFKLKSAYESGLMPYT
+NYTFDFKGIIDYIFYSKPQLNTLGILGPLDHHWLVENNISGCPHPLIPSDHFSLFAQLEL
+LLPFLPQVNGIHLPGRR
+>tr|A0A3Q1LTY8|A0A3Q1LTY8_BOVIN SSD domain-containing protein OS=Bos taurus OX=9913 GN=DISP1 PE=4 SV=1
+MAMSNGNSDFMVLSNGSIAARATTPTPLSPCDGDPAAQQLACKEAPRTKVSPNGCLQVNG
+TVKSSFLPLDNQRTPPVLPQCCHPCPYHHPLTPHDSHQECHPEAGPAAPPALASCCMQPH
+SEYSASLCPNHSPVYQTTCCLQPSPSFCLHHPWPDHFQHQHVPQHIANIRPSRPFKLPKS
+YAALIADWPVVVLGMCTVFIVVCALVGVLVPELPDFSDPLLGFEPRGTAIGQRLVTWNNM
+VKNTGYKATLANYPFKYADEQAKSHRDDRWSDDHYEREKREVDWNFHKDSFFCDVPSDRY
+SRVVFTSAGGETLWNLPAIKSMCNVDNSRIRSHPQFGDLCQRTTAASCCPSWTLGNYIAI
+LNNRSSCQKIVERDVSHTLKLLRTCAKHYQNGTLEPDCWDMAARRKDQLKCTNVPRKCTK
+YNAVYQILHYLVDKDFMAPKTADYTPALKYSMLFSPTEKGESMMNIYLDNFENWNASDGV
+TTVTGIEFGIKHSLFQDYLLMDTVYPAIAMVIVLLVMCIYTRSMFITLMTMFAIISSLIV
+SYFLYRVVFNFEFFPFMNLTALIILVGIGADDAFVLCDVWNYTKFDKPHAETSETVSITL
+QHAALSMFVTSFTTAAAFYANYVSNITAIRCFGVYAGTAILVNYVLMVTWLPAVVVLHER
+YLLNIFSCFKKPQQQIYDNKSCWTVACQKCHKVLFAISEASRIFFEKVLPCIVIKFRYLW
+LFWFLALTVGGAYIVCINPKMKLPSLELSEFQVFRSSHPFERYDAEYKKLFMFERVHHGE
+ELHMPITVIWGVSPEDNGNPLNPKSKGKLTLDSAFNIASPASQVWILHFCQKLRNQTFFY
+QTDEQDFTSCFIETFKQWMENQDCDEPALYPCCSHWSFPYKQEIFELCIKRAIMELERST
+GYHLDSKTPGPRFDINDTIRAVVLEFQSTYLFTLAYEKMHQFYKEVDSWISNELSSAPEG
+LSHGWFVSNLEFYDLQDSLSDGTLIAMGLSVAVAFSVMLLTTWNIIISLYAIISIAGTIF
+VTVGSLVLLGWELNVLESVTISVAVGLSVDFAVHYGVAYRLAPDADREGKVIFSLSRMGS
+AIAMAALTTFVAGAMMMPSTVLAYTQLGTFMMLIMCISWAFATFFFQCMCRCLGPQGTCG
+QIPLPKKLQCSAFSHALSASPGDKGPSKTHTLNAYHLDPRGQTSEMEHEFYELEPLAAHS
+CTASEKTTYEETHICSEFFSSQARHLGLPVHAAYNSELNKSTKNETSPALLQASLEQHTM
+CHFFSLNQRCSCPNAYKHLKYGPPSCQQMGDCLCRQCAPTAGSFVHVQNSLAPLKAAHQA
+PEGFVHPAPHIHPCPGLQCRAKPPGAQNALPRSFFLHPVQHIQAQEKITKTSVHSLQSLE
+EHLPKTVEPSSLVCRSTGALHKACCGPETHPRGLCKNRDLGTTEGSGGATAKDSVSVSQT
+NKAERQVEPSPSQTDVIVSSEHLNQNEPKFLFNHLIGEAGYRSCPNNPQSCGRIVRVKCS
+SVDCQIPNIEANVPAVLTPSELSGESLLIKTL
+>tr|A0A3Q1MF67|A0A3Q1MF67_BOVIN Heterogeneous nuclear ribonucleoprotein H3 OS=Bos taurus OX=9913 GN=HNRNPH3 PE=1 SV=1
+MDWVMKHNGPNDASDGTVRLRGLPFGCSKEEIVQFFQGLEIVPNGITLTMDYQGRSTGEA
+FVQFASKEIAENALGKHKERIGHRYIEIFRSSRSEIKGFYDPPRRLLGQRPGPYDRPIGG
+RGGYYGAGRGSMYDRMRRGGDGYDGGYGGFDDYGGYNNYGYGNDGFDDRMRDGRGMGGHG
+YGGAGDASSGFHGGHFVHMRGLPFRATENDIANFFSPLNPIRVHIDIGADGRATGEADVE
+FVTHEDAVAAMSKDKNNMQHRYIELFLNSTPGGGSGMGGSGMGGYGRDGMDNQGGYGSVG
+RMGMGNNYSGGYGTPDGLGGYGECLLLWFSLSPHMRCSLKFIYCSFFLIEV
+>tr|A6QNX4|A6QNX4_BOVIN PRKACB protein OS=Bos taurus OX=9913 GN=PRKACB PE=2 SV=1
+MSARKSSEASACSSSEVSVKEFLAKAKEDFLKKWENPAPNNAGLEDFERKKTLGTGSFGR
+VMLVKHKATEQYYAMKILDKQKVVKLKQIEHTLNEKRILQAVNFPFLVRLEYAFKDNSNL
+YMVMEYVPGGEMFSHLRRIGRFSEPHARFYAAQIVLTFEYLHSLDLIYRDLKPENLLIDH
+QGYIQVTDFGFAKRVKGRTWTLCGTPEYLAPEIILSKGYNKAVDWWALGVLIYEMAAGYP
+PFFADQPIQIYEKIVSGKVRFPSHFSSDLKDLLRNLLQVDLTKRFGNLKNGVSDIKTHKW
+FATTDWIAIYQRKVEAPFIPKFRGSGDTSNFDDYEEEDIRVSVTEKCGKEFCEF
+>tr|Q1RMV7|Q1RMV7_BOVIN Ring finger protein 4 OS=Bos taurus OX=9913 GN=RNF4 PE=2 SV=1
+MSTRKRRGGTVNSRQAQKRTRESTSPPEMALEAEPVELVESAGDEIVDLTCESLEPVVVD
+LTHNDSVVIVEERRRPRRNGRRLRQDHADSCVVSSDEEELARDKDVYVTTHSPRSAATGF
+RPSGTVSCPICMDGYSEIVQNGRLIVSTECGHIFCSQCLRDSLKNANTCPTCRKKMSHKR
+YHPIYI
+>tr|A6QP36|A6QP36_BOVIN LMAN2 protein OS=Bos taurus OX=9913 GN=LMAN2 PE=2 SV=1
+MAAAAAAGWIWRWGWGRRCPGRSGLRGPGPGPTILKLFLLLLGPVAADITDGNSEHLKRE
+HSLIKPYHGIGSSSTLLWDFQGSTMLTSQYVRLTPDERSKEGSIWNHLPCFLKDWEMHVH
+FKVHGAGKKNLHGDGIALWYTRDRLVPGPVFGSKDNFHGLAIFLDTYPNDETTERVFPYI
+SVMVNNGSLSYDHSKDGRWTELAGCTADFRNRDHDTFLAVRYSRGRLTVMTDLEDKNEWK
+NCIDITGVRLPTGYYFGASAGTGDLSDNHDIISMKLFQLMVEHTPDEESIDWTKIEPSVN
+FLKSPKDNVDDPTGNFRSGPLTGWRVFLLLLCALLGIIVCAVVGAVVFQKRQERNKRFY
+>tr|Q32KS3|Q32KS3_BOVIN F-actin-capping protein subunit alpha OS=Bos taurus OX=9913 GN=CAPZA3 PE=2 SV=1
+MSRSTLSRKEKEKVIRRLLIQAPPGEFVNAFDDLCLLIRDEKLMHHQGECAGHQHCQKYS
+VPLSIDGNAVLLSHHNVVGDYRFFDYQSKLSFKFDLLQNQLKDIQSHGIIRNETEYLRTV
+VLCALKLYVNDHYPKGNCNVLRKTVKNKEFLIACIEDHSYETADYWNGLWKSKWIFQVNP
+FLTQVTGRIFVQAHYFRCVNLHIEISKDLKESLEVVNQAQLALSFARLVEEQENKFQAAL
+LEELQELSNEALRKILRRDLPVTRTHIDWQRILSDLNLVMYPKLGYVIYSRSVLCNWII
+>tr|E1BGL2|E1BGL2_BOVIN KIAA0355 OS=Bos taurus OX=9913 GN=KIAA0355 PE=4 SV=2
+MYCCSAQDSKMDYKRRFLLGGSKQKVQQHQQYPMPELGRALSAPLASTATTTPLGSLTAA
+GSCHHSMPHSTPIADIQQGISKYLDALNVFCRASTFLTDLFSTVFRNSHYSKAAMQLKDV
+QEHVMEAASRLTSAIKPEIAKMLMELSAGAANLTDQKEFSLQDIEVLGRCFLTVVQVHFQ
+FLTQALQKVQPVAHSCFAEVVVPEKKNSSSGGGLSGAGHTSELEEAVRSWRGAAEATSRL
+RERGCDGRLAGIEVQQLFCSQSAAIPEHQLKELNIKIDSALQAYKIALESLGHCEYAMKA
+GFHLNPKAIEASLQGCCSEAEAQQTGRRQTPPQPMQCELPTVPVQIGSSFLKGVSFNESA
+ADNLKLKTHTMLQLIKEAGCYNGMTPRDDFPVTEVLNQVCPSTWRGACKTAVQLLFGQAG
+LVVVDTAQIENKEAYAPQISLEGSRIVVQVPSTWCLKEDPATMSLLQRSLDPEKTLGLVD
+VLYTAVLDLTRWRAGREQALPCIQIQLQREICDFGNQADLPSGNGNKSSGGLQKTFSKLT
+SRFTKKASCPSSSSNTSYSIPNTPSKNIFIAGCSEEKAKMPTNMDSRLQSILNIGNFPRT
+ADPSQSAQNSSNHMANGFLLERRDNFLQGDDGKDEKGMNLPTDQEMQEVIDFLSGFNMGQ
+SHQGSPLVTRRNSAATAMVTEQKAGAMQPQQPSLPVPPPSRPPQAGAHTPLTPQPGLAPQ
+QQSPKQQQPQVQYYQHLLQPVGPQHPPPQPRAPGKWVPGSSQQPAQPVGAGLSPLGQWPG
+ISDLSSDLYSLGLVSSCLDDVMSEVLGQKAQGPRNNTWPNRDQSDGVFGMLGEILPFDPA
+VGSDPEFARYVAGVSQAMQQKRQVQHGRRPGNPRGHWPPMDDAHRTWPFPEFFAEGDGLH
+SGWAGAQGDSASSSDETSSANGDSLFSMFSGPDLVAAVKQRRKHSSGEQETSALPSPPLL
+TAVEDVNQDNKTKTWPPKAPWQHPSPLPSTLPGPSAPLYAVASPGSQWSDTMQMLQSPVW
+AATSDCSAAASFAYVQTPPQPPPPPAHKAAPKGFKAFPGKAERRPAYLPQY
+>tr|A0A3Q1MIW8|A0A3Q1MIW8_BOVIN Signal induced proliferation associated 1 like 2 OS=Bos taurus OX=9913 GN=SIPA1L2 PE=4 SV=1
+MSDPRQSQEEKHKLGRACSKFKDPPRIMQSDDYFARKFKAINGNMGPTTSLTASSSNESG
+GPANGTPAVPKMGVRARVSEWPPKKDCSKELTCKTLWESRSQTSYESVTSIIHNGQNDGS
+EGQQEEPLDLDFVEAKYTIGDIFVHSPQRGLHPIRQRSNSDVTISDIDAEDVLDQNAVNP
+NTGAALHREYGSTSSIDRQGLSGENFFAMLRGYRLENYDHKAMAPFGFPEFFPCDPAISP
+SLHAAAQISRGEFVRISGLDYMDSALLLGRDRDKPFKRRLKSESVETSLFRKLRAVKSEH
+ETFKFACELEDGRLERGVRPWNCQRCFAHYDVQSILFNINEAMATRANVGKRKNITTGAS
+AASQTQMPAGPPGSCDSPLGSKEDLNSKENLDADEGDGKSNDLVLSCPYFRNETGGEGDR
+RIALSRANSSSFSSGESCSFESSLSSHCTNAGVSVLEVPRENQPIHREKVKRYVIEHIDL
+GAYYYRKFFYGKEHQNYFGIDENLGPVAVSVRREKVEDPKEKEGSQFNYRVVFRTSELTT
+LRGAILEDAIPSTARHGTARGLPLKEVLEYVIPELSIQCLRQASSSPKVSEQLLKLDEQG
+LSFQHKIGILYCKAGQSTEEEMYNNETAGPAFEEFLDLLGQRVRLKGFSKYRAQLDNKTD
+STGTHSLYTTYKDYELMFHVCTMLPHTPNNRQQLLRKRHIGNDIVTIVFQEPGALPFTPK
+SIRSHFQHVFVIVKVHNPCTENVCYSVGVSRSKDVPPFGPPIPKGVTFPKSAVFRDFLLA
+KVINAENAAHKSEKFRAMATRTRQEYLKDLAENFVTTATVDTSAKFSFITLGAKKKEKVK
+PRKDAHLFSVGAIMWHVVARDFGQSADIECLLGVSNEFIMLIEKDSKNVVFNCSCRDVIG
+WTSGLMSIKVFYERGECILLSSVDSCAEDVREIVQRLGIVTRGCETVEMTLRRNGLGQLG
+FHVNFEGIVADVEPFGFAWKAGLRQGSRLVEICKVAVATLTHEQMIDLLRTSVTVKVVII
+QPHDDGSPRRGCSELCRIPMVEYKLDSEGAPCEYKTPFRRNTTWHRVPTPALQPLPRVSP
+VPGTPDRLQCQPLLPQSQAAIPRSTSFDRKLPDGTRSSPSNQSSSSDPGPGSSGPWRPQV
+GYDGCQSPLLLEHQGSGPLECDGAREREDTVEGSRHAETKWHGPPSKVLSSYKERGLQKD
+GGCKDSPNKLSHIGDKSCSSHSSSNTLSSNTSSNSDDKHFGSGDLMDPELLGLTYIKGAS
+TDSGIDTAPCMPAAVLGPVHLAGSRSLVHGRAEQWADSDIPGPDDEQAKMYAVHGYAPGI
+STSGAADGSLGDLSEISSHSSGSHHSGSPSAHCSKSSGSLDTSKVYIVSHGSGQQAPGSM
+SKAYHRQAAVNKYIIGWKKSEDSPPPEEPEVAECQGLYSEMDLLSSAAQQQAVVGDAVSE
+TQHVLSKEDFLKLMLPDSPSVEEGRRKFSFYGNLSPRRSLYRTLSDESICSHRRGSSFGS
+SRSSILDQALPNDILFSTTPPYHSTLPPRTQPVPSVGSLRNEFWFSDGSLSDKSKCADPG
+LMPLPDTATGLDWTHLVDAARAFEDQRVASFCTLTDMHPEQDLEGAQELPLCVDPGSGKD
+FMDPAGERSPSTLTGKVNQLELILRQLQTDLRKEKQDKAVLQAEVQHLRQDNLRLQEESQ
+TAAAQLRKFTEWFFNTIDKKP
+>tr|A7MB24|A7MB24_BOVIN ABI2 protein OS=Bos taurus OX=9913 GN=ABI2 PE=2 SV=1
+MAELQMLLEEEIPGGRRALFDSYTNLERVADYCENNYIQSADKQRALEETKAYTTQSLAS
+VAYLINTLANNVLQMLDIQASQLRRMESSINHISQTVDIHKEKVARREIGILTTNKNTSR
+THKIIAPANLERPVRYIRKPIDYTILDDIGHGVKVSTQNMKMGGLPRTTPPTQKPPSPPM
+SGKGTLGRHSPYRTLEPVRPPVVPNDYVPSPTRNMAPSQQSPVRTASVNQRNRTYSSSGS
+SGGSHPSSRSSSRENSGSGSVGVPIAVPTPSPPSVFPGHPVQFYSMNRPAARHTPPTIGG
+SLPYRRPPSITSQTSLQSQMNGGPFYSQNPVSLAPPPPSILQVTPQLPLMGFVARVQENI
+SDAPPPPPPVEEPVFDESPPPPPPPEDYEEEEAAVVEYSDPYAEEDPPWAPRSYLEKVVA
+IYDYTKDKEDELSFQEGAIIYVIKKNDDGWYEGVMNGVTGLFPGNYVESIMHYSE
+>tr|Q0VCR5|Q0VCR5_BOVIN Docking protein 5 OS=Bos taurus OX=9913 GN=DOK5 PE=2 SV=1
+MASSFNDIVKQGYVRIRSRRLGIYQRCWLVFKKASSKGPKRLEKFSDERAAYFRCYHKVT
+ELNNVKSVARLPKSTKKHAIGIYFRDDTSKTFACESDLEADEWCKVLQMECVGTRVNDIS
+LGEPDLLATGVEREQSERFNVYLLPSPNLDVHGECALQITCEHICLWDVQNPRVKLISWP
+LSALRRYGRDAAWFTFEAGRMCETGEGLFVFQTRDGEAIYQKVHSAALAIAEQHERLLQS
+VKSSMLQVKMSERAASLSTMVPLPRSAYWQHITRQHSTGQLYRLQGVTSPLKLHRTETFP
+TYPSEH
+>tr|A1L552|A1L552_BOVIN Integrator complex subunit 5 OS=Bos taurus OX=9913 GN=INTS5 PE=2 SV=1
+MSALCDPPGAPGPPGPAPVTHGPAPLSAQELSQEIKAFLTGVDPVLGHQLSAREHARCGL
+LLLRSLPPARAAVLDHLRGVFDESVRAHLATLDESPVAGPPHLRPPPPSHVPAGGPGLED
+VVQEVQQVLSEFIRANPKAWAPVISAWSIDLMGQLSSTYSGQHQRVPHATGSLNELLQLW
+MGCRATRTLMDIYVQCLSALIGSCPDACVDALLDTSVQHSPHFDWVVAHIGSSFPGTIIS
+RVLSCGLKDFCVHGGAGSGAGGSGGGSSQTPSADPFPGSPAIPGEKRVPKIASVVGILGH
+LASRHGDSIRRELLRMFHDSLAGGTGGRSGDPSLQATVPFLLQLAVMSPALLGTVSGELV
+DCLKPPAVLSQLQQHLQGFPREELDNMLNLAVHLVSQASGAGAYRLLQFLVDTAMPASVI
+TTQGLAVPDTVREACDRLIQLLLLHLQKLVHHWGPSLGEGLLSSPPPPRPVPFLDALRNH
+VGELCGETLRLERKRFLWQHQLLGLLSVYTRPSCGPEALGHLLSRARSPEELSLATQLYA
+GLVVSLSGLLPLAFRSCLARVHAGTLQPPFTARFLRNLALLVGWEQQGGEGPAALGARFG
+ESASAHLSDLAPLLLHPEEEVAEAAASLLAICPFPPEALSPSQLLGLVRAGVHRFFASLR
+LHGPPGVASASQLLLRLSQTCPAGLKAVLQLLVEGALHRGNTELFGGEVDGDNETLSIVT
+APLASASLLDTNRRHTAAVPGPGGIWSVFHAGVIGRGLKPPKFVQSRNQQEVIYNTQSLL
+SLLVHCCSAPGGTECVACWGASTLSPEAAKAVAVTLVESVCPDAAGAELAWPPEEHARAT
+VERDLRIGRRFREQPLLFELLKLVAAAPPALCYCSVLLRGLLAALLGHWEASRHPDTTHS
+PWHLEASCTLVAVMAEGSLLPPALGNMHEVFSQLAPFEVRLLLLSVWGFLREHGPLPQKF
+IFQSERGRFIRDFSREGGGEGGPHLAVLHSVLHRNIDRLGLFSGRFQAPLPSTLRQGT
+>tr|Q5W1C4|Q5W1C4_BOVIN TNF alpha induced protein 6 OS=Bos taurus OX=9913 GN=TNFAIP6 PE=2 SV=1
+MIILIYLFVLLWEEAHGWGFKNGIFHNSIWLEQAAGVYHREARSGKYKLTYAEAKAVCEY
+EGGHLATYKQLEAARKIGFHVCAAGWMAKGRVGYPIVKPGPNCGFGKTGIIDYGIRLNRS
+ERWDAYCYNPHAKECGGVFTDPKRIFKSPGFPNEYDDNQICYWHIRLKYGQRIHLSFLDF
+DLEDDPACLADYVEVYDSYDDVHGFVGRYCGDELPEDIISTGNVMTLKFLSDASVTAGGF
+QIKYVAVDPVSKSSQGKNASTTSTTSTGNKNFLAGRFSHL
+>tr|Q3SYW3|Q3SYW3_BOVIN Hematopoietic cell-specific Lyn substrate 1 OS=Bos taurus OX=9913 GN=HCLS1 PE=1 SV=1
+MWKSVVGHDVSVTVETQGDDWDTDPDFVNDISEKEQRWGAKTIEGSGRTEHINIHQLRNK
+VSEEHDILKKKEMESGPKASHGYGGRFGVEKDRMDKSAVGHEYVAEVEKHSSQTDAARGF
+GGKYGVEKDRADKSAVGFDYKGEVEKHTSQKDYSRGFGGRYGIEADKRDKAALGYDYKGE
+TEKHESQRDYAKGFGGQYGIQKDRVDKSAVGFNEMEAPTTAYKKTTPIEAASSGARGLKA
+KFESMAEEKRKREEEEKAQQMARQQQERKALVKMSHEAQQPVATREEPAAPAPLPKKISS
+EAWPPAASCPSSEPGPVRTKREQPVPALPSRQDPPEDNEEAPALPPRTPEVFQLQEEPVY
+EAVPEPEPEPEPEPEPEPENDYEDVEEIDRHEQDEEAEADYEDVLEPEDPSFSSTLAGSS
+GHPAGAGAGISAVALYDYQGEGSDEISFDPDDVITDIEMVDEGWWRGRCHGHFGLFPANY
+VKLLQ
+>tr|A0A3Q1MST6|A0A3Q1MST6_BOVIN DNA topoisomerase II binding protein 1 OS=Bos taurus OX=9913 GN=TOPBP1 PE=4 SV=1
+MSKNDKEPFFVKFLKSSDNSECFFEALESIKEFQSEEYLQIITEEEALKIKENDRSLYIC
+DPFSGDAFDHLKKLGCRIVGPQVVIFCMHHQRCVPRAEHPVYNMVMSDVTVSCTSLEKDR
+REEVHKYVQMMGGRVYRDLNVSVTHLIAGEVGSKKYLVAANLKKPILLPSWIKTLWEKSQ
+EKKIARYTDINMEDFKCPIFLGCIICVTGLCTLDRKSVQQLTVKHGGQYMGQLKMNECTH
+LIVQEPKGQKYECAKRWNVHCVTTQWFFDSVEKGFCQDESIYKTEPRSEAKSMPDTSTPT
+GQINTIDSRTLSDVSHISNINASCINESICNSVNSKLEPTIENLENLDISAFQAPEDLLD
+GCRIYLCGFNGRKLDKLRRLINSGGGVRFNQLNEDVTHVIVGDDDDELKQFWDKSAHRPH
+VVGAKWLLECFSKGYMLPEEPYIHVNYQPVEIPVSDPPESKTALLKRKNNGFSKSDFVPE
+EKHEQADEDLLSQYQTNNPTVVEVAKSEVGLCSDSTHVEPRNDSTHISLQEHQSSISHCL
+PDVSTITEEGLFSQKSFLVLGFSNENESNIAIIIRENAGKIVSLQSRVVADYAVVPLLGC
+EVQATVGEVVTNTWLVMCIDYQTLIDPKSNPLFTPVPVMAGMTPLEGCVLSFSQCVGAEK
+DSLTFLANHLGASVQEYFVRKSNAKKGMFASTHLVLKEPGGSKYEAAKKWNLPAVTIAWL
+LESARLGKRANENHFLIENSSKEEQSLETETTNEVNLNPDTPEHPVTHLETQRKTAVTPL
+DMNRFQSKAFRTVMSQHSGQASVSPSPGQSLQKEPSLHLDTPSKFLSKDKLFKPSFDVKD
+ALAALETPGGPSQQKRKLSTPLSEVIVKNLKLALANSSRNAVALSASPQLKDAHSEKEDA
+PKPLENVVVCVSKKLSKKQSELNGIAASLGADYRWSFDETVTHFIYQGRPNDTNREYKSV
+KERGVHIVSEHWLLECAQEYKHLPESLYPHTYNPKMSLDISAVQDGRLCNNRLLSAGSAM
+RDDEPDHMPLEENDIDNMTTSNKELTTSNGNGRNDSKGALTQTLEMRENFQKQLQEIMSA
+TSIVKPQGQRTSLSRSGCNSTSSTPDSTRSARSGRSRVLEALRQSRQTVPDVNTEPSQNE
+QIIWDDPTAREERARLASNLQWPSCPTQYSELQVDVKKLEDSPFQEPLHDSEIAEQAVCD
+PGNVCVTGAPKHPISEELEAPVKDSHLIPTPQAPSIAFPLANPPVAPHPREKIITIEETH
+EDLKKQYIFQLSSLNPQERIDYCHLIEKLGGSVIEKQCFDPSCTHIVVGHPLRNEKYLAS
+VAAGKWVLHRSYLEACRTAGRFMSEEDYEWGSSSILDVLTGINVQQRKLALAAMRWRKKI
+QQRQESGITEGAFSGWKVILHVDQSRESGFKRLLQSGGAKVLPGYSVSLFKEATHLFSDF
+NKQKPDDSGVNIAEAAAQNVYCLKTEYIADFLMQESPPQVENYCLPEAASFLQNKKEPGP
+GFSQKRKAPAEKNKIKRPRVQ
+>tr|F1MID1|F1MID1_BOVIN SH2 domain containing 3A OS=Bos taurus OX=9913 GN=SH2D3A PE=4 SV=3
+MQVPQDGEDFVGQPWYHGPLSRQKAEDLLQQDGDFLVRASKSRGGHPVISCRWRGSALHF
+EVLRVALRPRPGRPTALFQLEDERFPSLPALVRSYVIGQRPLSQATGAVASRPVPRQGLI
+RRSFSEDTLPDDPARTEPLRDRKRSDSQPAGLEHMWRSPEDPPGPGASTVPASGLPRTGS
+DPVILKTPVPLGSVADSLRASDGQLHAKAPTKPPRTPSLLLPDASGRPPTYCELVPRVLR
+FQGPPPGHSCPESEVPWWEAEEEKEEDRCFARPQAEVSFCLPDTPSCLLGSQNRPLEPEV
+LHTLRGLFLEHHPGSTALHLLLVDCQATGVLGVTKAQRGAMGVASGLELLTLPHGHRLRL
+ELLERLETLALAGALAVLGCAGPLEERAAALRGLVELAVALRPGAVGDLPGLAAVMGALL
+MPQVSRLERTWRHLRRSHTEAALAFEQELKPLMRSLNEGAGPSDPGEVALPHVAPAARLL
+EGEELPGPLDESCERLLRTLHRARQTARDAPRFREAVARRLRGELLPFEGLPEDPHFRNN
+LHAPAR
+>tr|F1MWQ2|F1MWQ2_BOVIN Annexin OS=Bos taurus OX=9913 GN=ANXA3 PE=3 SV=1
+MASIWVGKRGTIRDYAGFNPSVDAEAIRKAIRGIGTDEKTLISILTERTNAQRLLIAKEY
+QALCGKELKDDLKGDLSGHFKHLMVALVTPPAVFDAKQLKKSMKGMGTNEDALIEILTTR
+TSKQMQEIGHAYYTAYKKSLGDEISSETSGDFRKALLILANGRRDESLKVDEQLARKDAQ
+ILYNAGEKRWGTDEDAFTDILCLRSFPQLKLTFDEYRNISQKDIEDSIKGELSGHFEDLL
+LAIVRCARNTPAFLAERLYRALKGAGTDEFTLNRIMVSRSEIDLLDIRAEFKKLSGYSLY
+SAIKSDTSGDYEITLLKICGGDD
+>tr|A5PJT4|A5PJT4_BOVIN PNPLA1 protein OS=Bos taurus OX=9913 GN=PNPLA1 PE=2 SV=1
+MEEQVFKGDPDTPHSISFSGSGFLSFYQAGVVDALRDLAPRMLETAHRFAGTSAGAVVAA
+LVICGIEMDEYLRVLNVGVAEVKKSFLGPLSPSCKMVQMMRQFLYRVLPEDSYKVTTGKL
+HVALTRFTDGESVVVSEYTSKEELIEALYCSCFVPVYCGLIPPTYRGVRYIDGGFTGMQP
+CSFWTDSITISTFTGQQDICPRDCPAIFHDFRMFNCSFQFSLENIARMTHALFPPDLMIL
+HNYYYRGYEDAVLYLRRLNAAYLNSPSKRVIFPRVEVYCQIELALGNQCPERCQPSLQRQ
+PASPEESRQLHTQRAPKEDGRGGRCPPLSPPMPAPESTCDWPVEPPVLSPASPPASSPSR
+SPTDLPPASLPAVSSLPSSTPGLSPVPPSQQIQPTGSPPKSPPPQATASPRPPLGPCSVG
+APPALPRYSSSASPAQPPVEELGPEQPQVPFASSNSKSTVPLANVKETASKPHAVERPAE
+ESNWVSKVFKKNKQKTSGTRKGCLRHPRSKKTGSKVQKL
+>tr|Q0VCI4|Q0VCI4_BOVIN Zinc finger protein 576 OS=Bos taurus OX=9913 GN=ZNF576 PE=2 SV=1
+MEDRHSKQTMEQQDSSKERSSRSPGGDICHLGALQCTRCLITFADSKFQERHMKREHPAD
+FVAQKLQGVLFICFTCARSFPSSKALITHQRGHGPVARPSQPAAPITTPPTFPCPDCGKT
+FGQAASLRRHRQAHEARTLPGPFACTECGQDFAQEAGLHQHYIRHARGEL
+>tr|Q17QY0|Q17QY0_BOVIN MYB proto-oncogene like 2 OS=Bos taurus OX=9913 GN=MYBL2 PE=2 SV=1
+MSRRTRGEELDELHYQDTDSDVPEQRDSKCKVKWTQEEDEQLRALVKQFGQQDWKFLASH
+FPNRTDQQCQYRWLRVLNPDLVKGPWTKEEDQKVIELVKKYGTKQWTLIAKHLKGRLGKQ
+CRERWHNHLNPEVKKSCWTEEEDRIICEAHKVLGNRWAEIAKMLPGRTDNAVKNHWNSTI
+KRKVDTGGFLSESRDSKPSMYLLLELEDKDGRQSAPSSEGQGSVVTSWPPAFPALKEEES
+SEEEVTAAAPAQEQEPVPAEPDGVRTPEPLEDFPKREDQEGSSPETSLPYKWVVEAANLL
+IPAVESGLSEALDLIESDPDAWCDLSKFDLPEEPSAEGSLVGSPGQPQAWQQQPAPPRPA
+AAAAPSVTEYRLDGHTISDLSRSSRGELIPISPSTDVGGSGMGTPPSVLKRQRKRRVALS
+PVTENSASLSFLDSCNSLTPKSTPVKTLPFSPSQFLNFWNKQDTLELESPSLTSTPVCSQ
+KVVVTTPLHRDKTPLHQKHAAFVTPDQKYSMDNTPHTPTPFKNALEKYGPLKPLPQTPHL
+EEDLKEVLRSEAGIELIIEDEVRPEKQKRKAGMRRSPIKKVRKSLALDIVDEDVKLMMST
+LPKPTSILAAGSSGLTPSGSREDSSLLNEGFLQAKPEKPAAPKPRGHFATPAPMTSAWKT
+VACGGTRDQLFMQEKARQLLGRLKPSHTSRTLILS
+>tr|A6QLN4|A6QLN4_BOVIN Appetite-regulating hormone OS=Bos taurus OX=9913 GN=GHRL PE=2 SV=1
+MPAPWTICSLLLLSVLCMDLAMAGSSFLSPEHQKLQRKEAKKPSGRLKPRTLEGQFDPEV
+GSQAEGAEDELEIRFNAPFNIGIKLAGAQSLQHGQTLGKFLQDILWEEAEAHLLRPLWKG
+SQTGGQREESRHS
+>tr|A4FV79|A4FV79_BOVIN MGC142894 protein OS=Bos taurus OX=9913 GN=VSTM2A PE=2 SV=1
+MGIFLAYVGFVFFSVLYVQQGLSSQAKFTEFPRNVTATEGQNVEMSCAFQSGSASVYLEI
+QWWFLRGPEDLEPGADVAGAQAELLPDRDPDGDGTKISTVKVQGNDISHKLQISKVRKKD
+EGLYECRVTDANYGELQEHKAQAYLKVNANSHARRMQAFEASPMWLQDMKPRKNVSVAAP
+SSMHSSANQRVPSTSSPQEVAKIPKQSPQSAKSKSPVKSTERTAKLTLNSKHHSAPTVL
+>tr|Q3ZCL6|Q3ZCL6_BOVIN Solute carrier family 7 (Cationic amino acid transporter, y+ system), member 9 OS=Bos taurus OX=9913 GN=SLC7A9 PE=2 SV=1
+MEETSLRKRRGDEKSIQSSEPKTTSLQKELGLFSGTCIIVGTIIGSGIFISPKSVLRNME
+AVGPCLIIWTMCGVLATLGALCFAELGTMITKSGGEYPYLMEAFGPIPAYLFSWSSLFVI
+KPSSFAIICLSFSEYVCAPFYSGCSPPQVVVKSLAAAAILLISTVNALSVRLGSYVQNMF
+TAAKMVIVVIIIVSGLVLLAQGNTRNFENSFEGASLSVGSISLALYNGLWAYDGWNQLNY
+ITEELRNPFRNLPLAIIIGIPLVTGCYILMNVSYFTVMTATELLQSQAVAVTFGDRVLYP
+ASWIVPLFVAFSTIGAANGSCFTAGRLVFVAGREGHMLKVLSYISVRRLTPAPAIMFHGM
+IAIIYIIPGDINSLVNYFSFAAWLFYGLTITGLIVMRFTRKELKRPIKVPIFIPILVTLL
+SVFLVLAPIISAPAWEYLYCVLFMLSGLVFYFLFVYYKFAWAQKISKPLTMHLQMLMEVV
+PPEEAPE
+>tr|Q08DS6|Q08DS6_BOVIN Lymphocyte cytosolic protein 2 OS=Bos taurus OX=9913 GN=LCP2 PE=2 SV=1
+MAFKNVPFRSEVLSWDPNTLADYFKKLNYKDCEKVVRKYDIDGPRFLNLAENDIQKFPKL
+RVPILSKLSQEINKNEERRSIFTRKPQVQRFPEETESHEEDNGGWSSFEEDDYESPNDDA
+DAEDDGDYESPNEEEEAPLEDDADYEPPPSNDEEALQNSILPAKPLSNPNSMYIDRPATG
+KVQQPPVPPQRPMPSLPPLPTAGRIHQPLPPPQPNHEEPSRSRNHKTAKLPAPSIDRSTK
+PALDRSLVPFDREPFTLGRKPMISDKSSVLGGRPPGEHLPKIQKPPLPPATERHDRGSPL
+PGKKPPVPRHMRGPERRENDEDDVHQRLPPQPSPLPMTSNTFPSRSTKPSPKNSLPLTHS
+SGAFSESNSNFSQSASLPPYFSQGPGNRPLPRMEGRNFSPVPSKPRSQSPGEEENSLNED
+WYVSYVTRTEAEAALRKINQDGTFLVRDSSKKTISNPYVLMVLYKDKVYNIQIRYQEESQ
+VYLLGTGLRGKEDFLSVSDIIDYFRKMPLLLIDGKNRGSRYQCTLTYSAGYP
+>tr|Q1JPD9|Q1JPD9_BOVIN G protein-coupled receptor class C group 5 member B OS=Bos taurus OX=9913 GN=GPRC5B PE=2 SV=1
+MRTHQALAFLLLFVIASGASENASTSRGCGLDLLPQYVSLCDLDTIWGIVVEAVAGAGAL
+ITLLLMLILLVRLPFIKDKEKKDPVGLHFLFLLGTLGLFGLTFAFIIREDETICSVRRFL
+WGVLFALCFSCLLSQAWRVRRLVRHGKSPSGWQLVGMALCLMLVQVIIAIEWLVLTVLRD
+AKPACAYEPMDFAMALIYDMVLLVATLALAFFSLCGKFKKWKQNGVCILVTAFLSVLIWV
+AWMTMYLFGNAELRQGDAWGDPTLAITLVASGWVFVIFHAIPEIHCTILPAPQENTPNYF
+DTSQPRMRETAFEEDVQLPRTYMENKAFSMDEHNAALRTAGFRNGSLGNRPSAPFRSNVY
+QPTEMAVVLNGGTIPTAPPSYTGRHLW
+>tr|A3KN15|A3KN15_BOVIN Vacuolar protein sorting-associated protein 41 homolog OS=Bos taurus OX=9913 GN=VPS41 PE=2 SV=1
+MAEAEEQETGSLEESTDESEEEESEEEPKLKYERLSNGVTEILQKDAASCMTVHDKFLAL
+GTHYGKVYLLDVQGNITQKFDVSPVKINQVSLDESGEHMGVCAEDGKVQVFGLYSGEEFH
+ETFDCPIKIIAVHPHFVRSSCKQFVTGGKKLLLFERSWMSRWKSSVLHEGEGNIRSVKWR
+GHLIAWANNMGVKIFDITSKQRITNVPRDDISLRPDMYPCSLCWKDNVTLIIGWGTSVKI
+CSVKERHANEMRDLPSRYVEIVSQFETEFYISGLAPLCDQLVVLSYVKEVSEKTEREYCA
+RPRLDIIQPLSETCEEISSDALTVRGFQENECRDYHLEYSEGESLFYIVSPRDVVVAKER
+DQDDHIDWLLEKKKYEEALMAAEISQKNIKRHKILDIGLAYINHLVARGEYDIAARKCQK
+ILGKNAALWEYEVYKFKEIGQLKAISPYLPRGDPVLKPLIYEMILHEFLESDYEGFATLI
+REWPGDLYNNSVIVQAVRDHLKKDSQNRTLLKTLAELYTYDKNYGNALEIYLTLRHKDVF
+QLIHKHNLFSSIKDKIVLLMDFDSEKAVDMLLDNEDKISIKKVVEELEDRPELQHVYLHK
+LFKRDHHKGQRYHEKQISLYAEFDRPNLLPFLRDSIHCPLEKALEICQQRNFVEETVYLL
+SRMGNSRSALKMIMEELHDVDKAIEFAKEQDDAELWEDLILYSIDKPPFITGLLNNIGTH
+VDPILLIHRIKEGMEIPNLRDSLVKILQDYNLQILLREGCKKILVADSLSLLKKMHRTQM
+KGILVDEENICESCLSPILPSDAAKPFSMVVFHCRHMFHKECLPVPSMTSPAQFCNICSA
+KHRGPGSAILEMKK
+>tr|G3X6C1|G3X6C1_BOVIN LON peptidase N-terminal domain and ring finger 3 OS=Bos taurus OX=9913 GN=LONRF3 PE=4 SV=1
+MDSFQAGQMLSLPAELGSNNLELEEPAASAARGDTGPHPEAAAEGPAPLPTRPPEQEQPP
+RASTPECKVLLTQADALASGGRLREALEVYRQLSERQQLVAEQLEQLVRCLAENVPQAEE
+PAPEPPDGSRAGSCALAAEEAGAVTAAEATEVWDGFKCRKCHGFLSDPVSLSCGHTFCKL
+CLERGRAADRRCALCGVKLSALMVTTGRARGTRRAGQQAPPPLRVNVVLSGLLGKLFPGP
+ARASQLRHEGNRLYRERQVEAALLKYNEAVRLAPNDHLLYSNRSQIYFTLESHEDALHDA
+EIACKLRPMGFKAHFRKAQALATLGKVEEALREFLYCVSLDGRNKRARAEAQRETPERPH
+CSSQEGAAARGQGGSLMNPVTVTGDGPQDHTEDSVGAEEEKGVAASSQAASIKTGKCQEK
+KRKHCQIEPQDPERPNKASKPDPSTDPRARPALSVPLASFDASDLECSLCMRLFYEPVTT
+PCGHTFCLKCLERCLDHNAKCPLCKDGLSQCLASRKYSKNVIMEELIAKFLPEELKERRR
+LYEEEMEELSNLNKNVPIFVCTMAYPTVPCPLHIFEPCYRLMIRRCIETGTRQFGMCLGD
+PVKGFAEYGCILEIRNVQFFADGRSVVDSIGKRRFKVLHQGQRDGYNTADIEYIEDQKVQ
+GEDCAELMGLHSCVYEQASSWFHSLKSSLKNRILSHFGPMPEKDADPQINPNGPAWCWWT
+LAVLPLESRAQLPFLAMRSLKDRLNGIRRVLAFISRNQN
+>tr|Q148M9|Q148M9_BOVIN CD2 molecule OS=Bos taurus OX=9913 GN=CD2 PE=2 SV=1
+MNLACEILASFLLIFTVPTKGEDPESIVVWGALDHDLNLDIPGFPRSDIVADIKWNRNKN
+KIARIKKDMPLHNEMDKYDMFTNGTLKIKTLMRNDSGLYEVEVYDSNGVNLLSKKFDLKI
+QEMLSGPEINWICTNRTVSCKVENGSNPKLQLFLNTTRVKQDHGKLITYTWNTRWNKTFM
+CVASNHVDKKVSTEIAVCPDEGLDLYLIIGICVGGAVFLLFVALLIFYISRRKKQSRRRD
+DEELEIKAQRAILEERGRKPQQTPVSTPANPGMSQTPPVPGHRSQPPAHRPRALGPRVQP
+QQKRLPPTPGTQVHQQKGPPLPKPRVQTKPPCDAEENS
+>tr|A2VEA5|A2VEA5_BOVIN DPF1 protein OS=Bos taurus OX=9913 GN=DPF1 PE=2 SV=1
+MATAIQNPLKSLGEDFYREAIEHCRSYNARLCAERSLRLPFLDSQTGVAQNNCYIWMEKT
+HRGPGLAPGQIYTYPARCWRKKRRLNILEDPRLRPCEYKIDCEAPLKKEGGLPEGPVLEA
+LLCAETGEKKIELKEEETIMDCQKQQLLEFPHDLEVEDPEDDMPRRKNRAKGKAYGIGGL
+RKRQDTASLEDRDKPYVCDICGKRYKNRPGLSYHYTHTHLAEEEGEENAERHALPFHRKN
+NHKQFYKELAWVPESQRKHTAKKAPDGTVIPNGYCDFCLGGSKKTGCPEDLISCADCGRS
+GHPSCLQFTVNMTAAVRTYRWQCIECKSCSLCGTSENDDQLLFCDDCDRGYHMYCLSPPM
+AEPPEGSWSCHLCLRHLKEKASAYITLT
+>tr|Q0VCV8|Q0VCV8_BOVIN BTB (POZ) domain containing 10 OS=Bos taurus OX=9913 GN=BTBD10 PE=2 SV=1
+MPKDADLAFSAALFEKAESLYTLISKFFSCFCVSALAYTKVSTPSRVAKGAVDHTKMSLH
+GASGGHERSRDRRRSSDRSRDSSHERTESQLTPCIRNVTSPTRQHHIEREKDHSSSRPSS
+PRPQKASPDGSSSSAGNSSRNSSQSSSDSSCKTSGEMVFVYENAKEGARNVRTSERVTLI
+VDNTRFVVDPSIFTAQPNTMLGRMFGSGREHNFTRPNEKGEYEVAEGIGSTVFRAILDYY
+KTGIIRCPDGISIPELREACDYLCISFEYSTIKCRDLSALMHELSNDGARRQFEFYLEEM
+ILPLMVASAQSGERECHIVVLTDDDVVDWDEEYPPQMGEEYSQIIYSTKLYRFFKYIENR
+DVAKSVLKERGLKKIRLGIEGYPTYKEKVKKRPGGRPEVIYNYVQRPFIRMSWEKEEGKS
+RHVDFQCVKSKSITNLAAAAADIPQDQLVVMHPTPQVDELDILPIHPPSGNNDLDPDAQN
+PML
+>tr|A4FUE3|A4FUE3_BOVIN RB binding protein 5, histone lysine methyltransferase complex subunit OS=Bos taurus OX=9913 GN=RBBP5 PE=2 SV=1
+MNLELLESFGQNYPEEADGTLDCISMALTCTFNRWGTLLAVGCNDGRIVIWDFLTRGIAK
+IISAHIHPVCSLCWSRDGHKLVSASTDNIVSQWDVLSGDCDQRFRFPSPILKVQYHPRDQ
+NKVLVCPMKSAPVMLTLSDSKHVVLPVDDDSDLNVVASFDRRGEYIYTGNAKGKILVLKT
+DSQDLVASFRVTTGTSNTTAIKSIEFARKGSCFLINTADRIIRVYDGREILTCGRDGEPE
+PMQKLQDLVNRTPWKKCCFSGDGEYIVAGSARQHALYIWEKSIGNLVKILHGTRGELLLD
+VAWHPVRPIIASISSGVVSIWAQNQVENWSAFAPDFKELDENVEYEERESEFDIEDEDKS
+EPEQTGADAAEDEEVDVTSVDPIAAFCSSDEELEDSKALLYLPIAPEVEDPEENPYGPPP
+DAVQTSLMDEGASSEKKRQSSADGSQPPKKKPKTTNIELQGVPNDEVHPLLGVKGDGKSK
+KKQAGRPKGSKGKEKDSPFKPKLYKGDRGLPLEGSAKGKVQAELSQPLTAGGAISELL
+>tr|Q17R02|Q17R02_BOVIN Nuclear receptor binding SET domain protein 3 OS=Bos taurus OX=9913 GN=NSD3 PE=2 SV=1
+MDFSFSFMQGIMGNTIQQPPQLIDSANIRQEDAFDNNSDIVEDGGQTPYEATLQQGFQYP
+PTTEDLPPLTNGYPPSISMYETQTKYQSYNQYPNGSANGFGTVRNFSPSDYYHSEIPNTR
+PHEILEKPSPPQPPPPPPSVPQTVIPKKTGSPEIKLKITKTIQNGRELFESSLCGDLLNE
+VQASEHTKSKHESRKEKRKKSNKHDSSRSEERKSHKIPKLEPEEQNRPNERVDPVSEKPR
+EDPVLKEETPVQPMLPSVPTAEASAGVKFQVGDLVWSKVGTYPWWPCMVSSDPQLEVHTK
+INTRGAREYHVQFFSNQPERAWVHEKRVREYKGHKQYEELLAEATRQASNHSEKQKIRKP
+RPQRERAQWDIGIAHAEKALTMTREERIEQYTFIYIDKQPEETLSQAKKNVVSKAEVKKP
+RRPRSVLNVQPEQTNAGEAASSPSGTEMRRHSQRRHTGVDEDEPPPVKVAWKTAAARKSL
+PASITMHKGSLDLQKCNMSPVVKIEQVFALQNATGDGKFIDQFVYSTKGIGNKTEISVRG
+QDRLIISTPNQRNEKATQNMSSPEATSGSAGSVEKKQQRRSIRTRSESEKSTEVVPKKKI
+KKEQVETVPQATVKTGLQKGSADRGVQGSVRFSDSSVSAAIEETVD
+>tr|Q0VD16|Q0VD16_BOVIN MAP2K1 protein OS=Bos taurus OX=9913 GN=MAP2K1 PE=2 SV=2
+MPKKKPTPIQLNPAPDGSAVNGTSSAETNLEALQKKLEELELDEQQRKRLEAFLTQKQKV
+GELKDDDFEKISELGAGNGGVVFKVSHKPSGLVMARKLIHLEIKPAIRNQIIRELQVLHE
+CNSPYIVGFYGAFYSDGEISICMEHMDGGSLDQVLKKAGRIPEQILGKVSIAVIKGLTYL
+REKHKIMHRDVKPSNILVNSRGEIKLCDFGVSGQLIDSMANSFVGTRSYMSPERLQGTHY
+SVQSDIWSMGLSLVEMAVGRYPIPPPDAKELELMFGCQVEGDAAETPPRPRTPGRPLSSY
+GMDSRPPMAIFELLDYIVNEPPPKLPSGVFSLEFQDFVNKCLIKNPAERADLKQLMVHAF
+IKRSDAEEVDFAGWLCSTIGLNQPSTPTHAAGV
+>tr|A6H7B6|A6H7B6_BOVIN DDX24 protein OS=Bos taurus OX=9913 GN=DDX24 PE=2 SV=1
+MKLKEKKSRPKPTNCGRFQKKGIKVVGKWKEVKIDPNMFADGQMDDLVCFEELTDYQLVS
+PAKSSSSLFSKGEPKKRKAQAVAEGEEEGEPGSSKKKMKLKKSKDVETEGTSSQKAFEVK
+DTEPAPQGESTICPDPEVGEMASEGLAQTVPKKKKKKGKKKSEPSQGTSPKVPKKAKTWM
+PEMHDHKADVSAWKDLFVPKPVLRALSFLGFSAPTPIQALTLAPAIRDKLDILGAAETGS
+GKTLAFAIPMIDAVLRWQVKKKPTPAISNTGAPPGETGNEAGAAPGALPDEIGIEGEAPP
+SEVGAKTGTPPSQAGTSIGAAASDPGLPSRDGDGDAGEGPSSLIREKPTPEQEDNQVEKH
+DEEQAGKLKQELGGSTVPPKRPLLGLVLTPTRELAVQVKQHVDAVAKFTSIKTAILVGGM
+STQKQQRMLNRQPEIVIATPGRLWELVKEKHPHLSNLRQLRCLVIDEADRMVEKGHFAEL
+SQLLEMLSDSQYNPKRQTLVFSATLTLVHQAPARILHKKHAKKMDKTAKLDLLMQKIGMR
+GKPKVIDLTRKEATVETLTETKIHCETDEKDLYLYYFLMQYPGRTLVFANSISCIKRLSG
+LLKVLDIMPLTLHACMHQKQRLRNLEQFAHLEDCVLLATDVAARGLDIPKVQHVIHYQVP
+RTSEIYVHRSGRTARATSEGLSLMLVGPEDVINFKKIYKTLKKDEDIPLFPVQTKYMDAV
+KERIRLARQIEKAEYRNFQACLHNSWIEQAAAALEIELEEEMYRGGKVDEQEERRRQKQM
+KILKKELRHLLSQPLFKDDLKTKYPTQSGKLPLLTSAPRKGESALSCLSKQKKKKKKKQQ
+PQEQPQPSTSAG
+>tr|F1MEV6|F1MEV6_BOVIN Adhesion G protein-coupled receptor L1 OS=Bos taurus OX=9913 GN=ADGRL1 PE=3 SV=1
+MARLAAVLWSLCVTAILVTSATQGLSRAGLPFGLMRRELACEGYPIELRCPGSDVIMVEN
+ANYGRTDDKICDADPFQMENVQCYLPDAFKIMSQRCNNRTQCVVVAGSDAFPDPCPGTYK
+YLEVQYDCVPYKVEQKVFVCPGTLQKVLEPTSTHESEHQSGAWCKDPLQAGDRIYVMPWI
+PYRTDTLTEYASWEDYVAARHTTTYRLPNRVDGTGFVVYDGAVFYNKERTRNIVKYDLRT
+RIKSGETVINTANYHDTSPYRWGGKTDIDLAVDENGLWVIYATEGNNGRLVVSQLNPYTL
+RFEGTWETGYDKRSASNAFMVCGVLYVLRSVYVDDDSEAAGNRVDYAFNTNANREEPVSL
+AFPNPYQFVSSVDYNPRDNQLYVWNNYFVVRYSLEFGPPDPSAGPATSPPLSTTTTARPT
+PLTSTASPAATTPLRRAPLTTHPVGAINQLGPDLPPATAPAPSTRRPPAPNLHVSPELFC
+EPREVRRVQWPATQQGMLVERPCPKGTRGIASFQCLPALGLWNPRGPDLSNCTSPWVNQV
+AQKIKSGENAANIASELARHTRGSIYAGDVSSSVKLMEQLLDILDAQLQALRPIERESAG
+KNYNKMHKRERTCKDYIKAVVETVDNLLRPEALESWKDMNATEQAHTATMLLDVLEEGAF
+LLADNVREPARFLAAKQNVVLEVTVLNTEGQVQELVFPQEYPSENSIQLSANTIKQNSRN
+GVVKVVFILYNNLGLFLSTENATVKLAGEAGSGGPGGASLVVNSQVIAASINKESSRVFL
+MDPVIFTVAHLEAKNHFNANCSFWNYSERSMLGYWSTQGCRLVESNKTHTTCACSHLTNF
+AVLMAHREIYQGRINELLLSVITWVGIVISLVCLAICISTFCFLRGLQTDRNTIHKNLCI
+NLFLAELLFLVGIDKTQYEIACPIFAGLLHYFFLAAFSWLCLEGVHLYLLLVEVFESEYS
+RTKYYYLGGYCFPALVVGIAAAIDYRSYGTEKACWLRVDNYFIWSFIGPVSFVIVVNLVF
+LMVTLHKMVRSSSVLKPDSSRLDNIKSWALGAIALLFLLGLTWAFGLLFINKESVVMAYL
+FTTFNAFQGVFIFVFHCALQKKVHKEYSKCLRHSYCCIRSPPGGAHGSLKTSAMRSNARY
+YTGTQSRIRRMWNDTVRKQTESSFMAGDINSTPTLNRGTMGNHLLTNPVLQPRGGTSPYN
+TLIAESVGFNPSSPPVFNSPGSYREPKHPLGGREACGMDTLPLNGNFNNSYSLRSGDFPP
+GDGAPEPPRGRNLADAAAFEKMIISELVHNNLRGGSSGAKGPPPPEPPVPPVPGGSGEEE
+AGGPGADRAEIELLYKALEEPLLLPRAQSVLYQSDLDESESCTAEDGATSRPLSSPPGRD
+SLYASGANLRDSPSYPDSSPEGPSEALPPPPPAPPGPPEIYYTSRPPALVARNPLQGYYQ
+VRRPSHEGYLAAPGLEGPGPDGDGQMQLVTSL
+>tr|Q08DD3|Q08DD3_BOVIN Cysteine-rich hydrophobic domain 2 OS=Bos taurus OX=9913 GN=CHIC2 PE=2 SV=1
+MADFDEIYEEEEDEERALEEQLLKYSPDPVVVRGSGHVTVFGLSNKFESEFPSSLTGKVA
+PEEFKASINRVNSCLKKNLPVNVRWLLCGCLCCCCTLGCSMWPVICLSKRTRRSIEKLLE
+WENNRLYHKLCLHWRLSKRKCETNNMMEYVILIEFLPKTPIFRPD
+>tr|E1BLU4|E1BLU4_BOVIN Caspase 8 associated protein 2 OS=Bos taurus OX=9913 GN=CASP8AP2 PE=4 SV=1
+MAADDDNGDGTSLFDVFSASPLKNNDEGSLDIYAGLDSAGSDSASKSSVPSRNCLDLYEE
+ILTEEGTAKEATYNDLQAEYGKCQLQMKELMKKFKEIQTQNFSLKNENQSLKKNISALIK
+TARVEINRKDEEINNLHQRLSEFPHFRNNHKTSRTSDLVKTKDLKSRSPHLDDSSKTDHR
+VKSDVSKDVHYSTSLPNHEKEGKSHCEKKSTLHLPTSVEKHSTNGIWSRFHYQVGESNSN
+EDHRRGRKDSRHSQYNRGTDRIRKDLSTSYGDGEPRNTEASQRLQGHPEKYGKGEPKAES
+KTAKLKSNTDLDYKNERISSSWEKESSRDKSHTRLESQSDKKLERQSERSQNVNRRELKS
+QDKEERKVDQKSKSVGKGQDHWRRSERAVLPHSKNEPSKSSHNSNKYHLEERRGWEDCKR
+DRAVSNHSFQEGRCPSSLLASRTHKHIDSTEVDSVHQRENAPFRAERHRTEEKRKREREN
+KEENKHIRNEDRVPPGHLQKTNKETKKTTADLKRQNEPKNGKGEVSNNDVSEGANNKEPA
+VRAESALNEPQNKDLKLSFMEKLNLTLSPAKKQPVSQDNQHTITDPPQSCDICDSESLVQ
+AKTVTCVPSVSDHITEETKSELLEPKDALTAAFQPRTSISERKVEENSLSVASVENTVPC
+DTPICGTETSFSAPVEMEPSESSLSSSTEMKQTVNGARAAVPVKIDIVQTNVSQNVGLEL
+DSKRNGDLNSCSISEEAEMKEAFSTNVTKSSESILQPSVEETDILPAVLSEGGTPKLEPS
+LVDPPLVENKSCHLDPCLPRETPESSLQQTELMDDTVEVGETNSVYHDDENSVLSIDFNQ
+LRPIPEAISPLNSPVRPVAKVLRLESASQVPLYNNNHKDVFLPNSAHSTSMSQSDLNKEN
+QKPICKSDKFAEADSHKNSSLDELEEGEIISDNEKPEPQRSFDKSAKPRASTEVQNTKTS
+PESRKSSVRLDKDNRKISSMKMHQTKSRWNRRRSESSRSSKTEKKERSMSTSSLEKIVPI
+IVTPSSVREIMHMLRMIRKHVRKNYMKFKVKFSLIQFHRIIESAILSFTSLIKYLDLSKI
+SKSVTTLQKNLCDVIESKLKQVKKNGIVDRLFEQQLPDMKKKLWKFVDEQLDYLFTKLKK
+IFVKFCDSMNVGSDSDEGKLEKKSKEKARSSHCQKGNINNLGKEMLKEKSPKSEDYGSSK
+YSVGCKKSEEKHQEQKNSNSNTIKHDIKKTANTSFDNMKNPQSEAHSLEPNCLSTPKPGK
+IEGSATEDAQTSQLVPLKPERSFEILTEQQASSLTFNLVSDAQMGEIFKSLLQGSDLLDN
+SVNCNEKSEWELKTPEKQLLESLKCESIPACTTEELVSGVVSPCPKIISDDNWSLLSSEK
+GPSLSSGLSLPVHPDVLDENCMFEVSTNIALSKDNVCGSEKSKPYISSILLEDLAVSLTV
+PSPLKSDGHLSFLKPEALSNSTPEEVISAHFSEDALLEEEDASEQDIHLALESDNSSSKS
+SCSSSWTSRPVAPGFQYHPNLPMHAVIMEKSNDHFIVKIRRAAPSTSPTLVQNTVADEYL
+PRVEKEADEAVEEEYISCQNRVFKSVEELKNSSKNVDGRLVHEEQDCVIQTEVPDIYEFL
+KDASGKVSQSTEEAEECLKLHQVWEPKVPESIEELPPVEEIPHSVEDHLPSTCIDLTKDP
+VTETKKLGELVKVTVLNIDQLGCSGSSVDQNAPVLDNMQPETVDTFIDLTQDVSSDSKNE
+GNHPAIVVEDLGCPVICVDEDNSKEENVQVANRPLECIIEEACIDLTLEASSLDEVKKDD
+LNSESALNSNSSELPGMLDNPHKKRRNLSDLNPSSQKKQRKETDLTSREKTKKITQDSGE
+NGNAHRRKASKKKAPSVTKDPSSLKESPGTKDASAASATSFTSLSAKNVIKKKGEIIVSW
+TRNDDREILLECQKKGPSLKTFTHLAAKLNKNPYQVSERFQQLMKLFEKSKCR
+>tr|A6QQK3|A6QQK3_BOVIN MOSPD2 protein OS=Bos taurus OX=9913 GN=MOSPD2 PE=2 SV=1
+MSTTATIAEYQAQNKARLISEVRRRFEAEYVTDKSDKYDSRDVERLQQDDNWVESYLAWR
+HNVIDETLKMLDESFQWRKEMAVNDLTEASIPRWLLEIGGIYLHGYDKEGNKLFWIRVKY
+HIKDHKTMLDKKKLIAFWLERYAKRENGKPVTVMFDLSETGLNNIDMDFVRFIINCFKVY
+YPKYLSKIVIFDMPWIMNAAFKIVKTWLGPEAVSLLKFTSKNDIQDYVSVEYLPPHMGGT
+DPFKYSYPPLVDDDFQTPLCENGPIASEDEASSKEDIESDGKDTLETISNEEQTTLPKKG
+NIMEPTSKADENEKVDSKMKTFKKPLSVFKGPLLHISPAEELYFGSTDSGEKKALIVLTN
+VTKNIVAFKVRTTAPEKYRVKPSNSSCDPGASVDIVVSPHGGLTVSAQDRFLVMAAEMEQ
+TSGTGPAELTQFWKEVPRNKVMEHRLRCHIVESCKPSSLTWKDHAFNMSDKTSGDLCHQF
+ATSRRESDCNPP
+>tr|Q0VC98|Q0VC98_BOVIN GA binding protein transcription factor subunit alpha OS=Bos taurus OX=9913 GN=GABPA PE=2 SV=1
+MTKREAEELIEIEIDGTEKAECTEESIVEQTYTPAECVSQAIDINEPIGNLKKLLEPRLQ
+CSLDAHEICLQDIQLDPERSLFDQGVKTDGTVQLSVQVISYQGIEPKLNILEIVKPAETV
+EVVIDPDAHHAEAEAHLVEEAQVITLDGTKHITTISDETSEQVTRWAAALEGYRKEQERL
+GIPYDPIQWSTDQVLHWVVWVMKEFSMTDIDLTTLNISGRELCSLSQEDFFQRVPRGEIL
+WSHLELLRKYVLASQEQQMNEIVTIDQPVQIIPASVQSATPTAIKAINSSAKAAKVQRAP
+RISGEDRSSPGNRTGNNGQIQLWQFLLELLTDKDARDCISWVGDEGEFKLNQPELVAQKW
+GQRKNKPTMNYEKLSRALRYYYDGDMICKVQGKRFVYKFVCDLKTLIGYSAAELNRLVTE
+CEQKKLAKMQLHGIAQPVTAVALATASLQTEKDN
+>tr|Q3T0F1|Q3T0F1_BOVIN PERP, TP53 apoptosis effector OS=Bos taurus OX=9913 GN=PERP PE=2 SV=1
+MLRCGLACERCRWILPLLLLSAIVFDIIALAGRGWLQSSDDSQTSSLWWHCLLEVCDSLM
+TYAWGRAAAAMLLCGFIILVICFILSFFALCGPQMLVFLRVIGGLLALAAVFQIISLVIY
+PVKYTQTFNLHLNPAVTYIYNWAYGFGWAATIILIGCAFFFCCLPNYEDDLLGNAKPRYF
+YTSA
+>tr|A7Z091|A7Z091_BOVIN ZNF444 protein OS=Bos taurus OX=9913 GN=ZNF444 PE=2 SV=1
+MEALGLGPVKQERGAAEGLTADSPWHRFRHFHLGDAPGPREALGLLRALCRAWLRPEVRT
+KEQMLELLVLEQFLSALPTEVQAWVCSRRPQSGEEAVALLEELWGPATRAPQDATGGSGV
+GVEEEAGGATPSGDAAPRPEEPATADAQAARPYKQEPGSPLPAPPDPPAPGLPAFLAAPA
+TASCPDCGKASLKPAHLLRHRQSHSGEKPHACPECGKAFRRKEHLRRHRGTHPGGPGPAL
+RPLPAREKPHACCECGKTFYWREHLVRHRKTHSGARPFACWQCGKGFGRREHVLRHQRTH
+GRAGGAAPGPEGAAPFPPWPLG
+>tr|Q08E65|Q08E65_BOVIN AN1, ubiquitin-like, homolog (Xenopus laevis) OS=Bos taurus OX=9913 GN=ZFAND4 PE=2 SV=1
+MDNRKDPPFFNEDNVGPLYCRLPFYDTMELFIETLTGTCFELRVSPFEAIISVKAKIQRL
+EVPLEDPLKDMAEYMDSSRDEVWEKTSCNKQVTLLVYQEGDQLNFFRVVDRGDGTLTPLS
+ESLSLRKLSR
+>tr|Q0IIL8|Q0IIL8_BOVIN PDZ domain containing 7 OS=Bos taurus OX=9913 GN=PDZD7 PE=2 SV=1
+MAHGFAVGFNPLGLGDLSSSSLSSLSSRGHLGSESGSATRYLLRKQRLLNGPHRGIRASS
+PMGRVILINSPIEANSDESDIIHAVRVEKSPAGRLGFSVRGGSEHGLGIFVSKVEEGSSA
+ERAGLCVGDKITEVNGLSLESTTMGSAVKVLTGSSRLHMMVRRMGRVPGIKFSKEKTTWR
+PAGTLPTRRWSLSTVGWTDSFPSAHPSLLSVEEKKQRRKEKSGSPGEKGALQRSKTLMNL
+FFKGGRQGRLAGDGHREAWTLDSRSPAKPRPRLDLEKAGGVGPVQKFVTWRLRRDRERGR
+ALLSARSGSPSSHLPNVDERVQAWESRRPLIQDLARRLLTDDEVLAVTRHCSRYVHEGGV
+EDLVRPLLAILDRPAKLLLLRDIRSVVAPTDLGRFDSMVMPVELEAFEALKSQAVRPPAL
+RPTRQDTRPKRHLITPVPGQSESQSTGRGTPQGDSGRENGRYIPVPT
+>tr|Q673L2|Q673L2_BOVIN C5a anaphylatoxin receptor OS=Bos taurus OX=9913 GN=C5AR1 PE=2 SV=2
+MDSMALSTPDYSDYDKWTSNPDVLVDASSPTHRLRVPDVIALVIFAAVFLIGVPGNAMVV
+WVTGSEVKRTVNAIWFLNLAVADFLSCLALPILFTSIIQHNHWSFGDAACRILPSLILLN
+MYASILLLATISADRFLLVFNPVWCQNYRGARVAWVACAVAWGLALLLTIPSFVFRQVHI
+DHFPPKTMCVVAYGKDREYAEKAVAIVRLAVGFLGPLVTLTICYTFLLLRAWSRTATRSA
+KTLKVVVAVVTSFFVFWLPYQVTGMMLAFFNVHGDSFKLVSSLDALCVSLAYINCCINPI
+IYVFAAQGFHARFLKTLPARLRGVLTEESVRRESKSQTLSTADTPAVKSQAV
+>tr|E1B821|E1B821_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 GN=LOC509006 PE=3 SV=3
+MFLFPSNVPLIVIPYYLSQRTQGWEGCLCLKIHTILFHFPLVESPWGLPSVADGPASMAK
+VVMAELANLKLENKSWPRGTHSLRYHYLDLSEPDPSLPKFQAVGYVDDQPFIRYDSRVDK
+AEPQAPWIMPMNAKYWEKETKKQRKWAELHQVETWRMMGYHNHSSGMHSTHRMFGCEIQE
+DGHSNSFWQFGYDGQDHVSLDMETLSWVSANPVALLTKRRMETEHCYAEYNKAYLEGPCL
+ASLRRYLELGGQRFTRREPPTVWVTKHSAQDGGTTLRCWALGFYPQDITLSWWLGEKKLN
+SKLEYVEARPSGDGTYQTWMAVWVPAGDETQYTCHVQHCSLNHTLTVSWEMPSHPGLTAM
+VISLILILLVLVVV
+>tr|Q32KR5|Q32KR5_BOVIN Intraflagellar transport 52 OS=Bos taurus OX=9913 GN=IFT52 PE=2 SV=1
+MEKELRSTILFNAYKKEVFTTSNGYKSMQKRLRSHWKIQSLKDEITPEKLIGVKLWITAG
+PREKFTAAEFEILKKYLDGGGDILVMLGEGGESRFDTNINFLLEEYGIMVNNDAVVRNVY
+YKYFHPKEALVSNGVLNREISRAAGKAVPGILDEESSGNNAQALTFVYPFGATLSVTKPA
+VAVLSTGSVCFPLNRPILAFYHSKNQGGKLAVLGSCHMFSDQYLDKEENSKIMDVVFRWL
+TTEDIHLNQIDAEDPEISDYMMLPDTAALSERLRVCLQEGDENPRDFTSLFDLSLYQLDT
+TSLPKVIKAYEQLNVKHEPLQLIQPQFETPLPALQPAVFPPSFRELPPPPLELFDLDETF
+SSEKARLAQITNKCTEEDLEFYVRKCGDILGVTNKLPKDRQDAKHILEHIFFQVVEFKKL
+NQEHDIDTRHSRTASEDQAS
+>tr|Q5E9H3|Q5E9H3_BOVIN Tyrosine-protein kinase OS=Bos taurus OX=9913 GN=FES PE=2 SV=1
+MGFSSELCNPQGHGAVQQMQEAELRLLEGMRKWMAQRVKSDREYAGLLHHMSLQDSGGQS
+RGIGPHSLISQSWTELTSQTEGLSRVLRQHAEDLNSGPLSKLSLLIRERQQLRKTYNEQW
+QQLQQEFTKSHSQDIEKLKSQYRALARDSAQARRKYQEAGKDKDRDKAKDKYVRSLWKLF
+AHHNRYVLGVRAAQLHHQHHHRLMLPSLLQSLQDLHQEMACILKETLQEYLEISSLVQDE
+VVTIHREMAAAVARIQPEAEYQGFLQQYGSAPDIPPCVTFDESLLEETELLEPGELQLNE
+LTVESVQHTLTSVTDDLAAATQTVLSRQEAVTQLQRELWNEEQTTHPRERVQLLGKKQAL
+QEALQGLQVALCRQAKLQAQQELLQAKLEWLGPGEPQPVLLLQDDRHSTSSSEQEREGGR
+TPTLEILKSHISGIFRPKFSLPPPLQLVPEVQKPLHEQVWYHGAIPRTEVAELLTHSGDF
+LVRESQGKQEYVLSVLWDGQPRHFIIQSADNLYRLEGDGFPSIPLLIDHLLRSQQPLTKK
+SGIILNRAVPKDKWALSHEDLVLGEQIGRGNFGEVFSGRLRADNTLVAVKSCRETLPPDL
+KAKFLQEARILKQYSHPNIVRLIGVCTQKQPIYIVMELVQGGDFLTFLRTEGARLRVKTL
+LQMVGDAAAGMEYLESKCCIHRDLAARNCLVTEKNVLKISDFGMSREEADGIYAASGGLR
+QVPVKWTAPEALNYGRYSSESDVWSFGILLWETFSLGASPYPNLSNQQTREFVEKGGRLP
+CPELCPDAVFRLMEQCWAYEPGQRPTFSVIYQELQSIRKRHR
+>tr|F1MIP5|F1MIP5_BOVIN Sterile alpha motif domain containing 3 OS=Bos taurus OX=9913 GN=SAMD3 PE=4 SV=2
+METWSVDQVCSWLVEKNLGELVHRFQEEEVSGAALLALNDRMVQQLVKKIGHQAVLMDLI
+KKYKQKSQELKSPGETAPVTQAEDEQPSSPASGGEQMPSFYPADNLDNGLIDHRVLKQRR
+NVRHILARNKALQWTKSYVLPEFPYDVKCMLAEQKCPDHSMRIRIIEFLQADMTKYLEGS
+LYPSTQQYNDVVNALLQAHPFLDEDGCGFFLWKRALKDRFKYVRRPIEDDEQVMRNKCKF
+GHRRGQTRKSLADIRFEIKTVQIKEEAVCLDPEVDEHINWFQQEYVKTEKDWREIDKRMS
+QTLEIRRKLIGGRTPLKDILKMFPFLQCPYQLFREFQLLTRTDIFKKTRHILESYSENIL
+TSLSLVDNPIYIALQEKMEQHTDEDMLKHMKMTATCLLLPDVFGEDSSLFVIVNDKVQVS
+TPVLEVKNPFNMDVCEFSLYLEKERLTKVDDCITALAALIASFHVFGIECPRRLSQTLNF
+LETLIFDVHSPYSPSMKEKEKEVGFQHPVT
+>tr|E1BPN4|E1BPN4_BOVIN Sulfotransferase OS=Bos taurus OX=9913 GN=CHST3 PE=3 SV=1
+MEKGLSLPQDCRHFLHSLRMRSKYALFLAFVVVVFVFIEKENKIISRVSDKLKQIPQSLV
+DANSTDPALVLAENASLLSLSELDSAFLQLQSRLRNLSLQLGMEPAEEAEEEQTLEAEEE
+WEQLEPRPPAEAPPRRHVLLMATTRTGSSFVGEFFNQQGNIFYLFEPLWHIERTVSFEQG
+GANAAGSALVYRDVLKQLFLCDLYILEHFIIPAPEDHLTQFVFRRGSSRSLCEDPVCTPL
+VKKVFEKYPCKNRRCGPLNMTLAAEACRRKEHMAIKAVRIRQLEFLQPLAEDPRLDLRVI
+QLVRDPRAVLASRMVAFADKYETWKNWLAKGQDQLREEEVLRLKGNCESIRLSAELGLRQ
+PAWLRGRYMLVRYEDVALRPLQKAQEMYRFAGIPLTPQVEDWIQKNTQAAHDGIYSTQKN
+SSEQFEKWRFSMPFKLAQVVQAACGPAMRLFGYKPVQDAASLSNRSVSLLEERGTFWVT
+>tr|A4FUG3|A4FUG3_BOVIN RAMP3 protein OS=Bos taurus OX=9913 GN=RAMP3 PE=2 SV=1
+MGTRALRRPYFFPLLLLLLLCGESPPVGGCNEKRMLAMLPRCGKTFAEKMKKVEVWKWCN
+LSEFIVYYESFTNCTEVETNVVGCYWPNPLAESFIASVHRQFFQNCSVDRQDWEDPPDEI
+LIPLITVPVLLTIAMTGVVVWRSKHTEQVL
+>tr|A6QLV0|A6QLV0_BOVIN RIPK4 protein OS=Bos taurus OX=9913 GN=RIPK4 PE=2 SV=1
+MEGESRGPWALGLLRTFDAGEFAGWEKVGSGGFGQVYKVRHVHWKTWLAIKCSPSLHVDD
+RERMELLEEAKKMEMAKFRYILPVYGICREPVGLVMEYMETGSLEKLLASEPLPWDLRFR
+IIHETAVGMNFLHCMAPPLLHLDLKPANILLDAHYHVKISDFGLAKCNGLSHSHDLSMDG
+LFGTIAYLPPERIREKSRLFDTKHDVYSFAIVIWGVLTQKKPFADEKNILHIMVRVVKGH
+RPELPPVCKPRPRACRSLLRLMQRCWHGDPRERPSFQEITSETEDLCEKPDEEVKETTQD
+PDVRDPPDAEAEAPVPTPLKRASAPTFDNDYSLSELLSQLDSGISQTLEGPEELSRSSSE
+SKLPSASSGKRLSGVSSVDSAFSSRGSLSLSFEREPSTNDLGTTDIQKKKLVDAIVSGDT
+SRLMKILQPQDVDLVLDGGASLLHLAVEAGQEDCVKWLLLNNANPNLTNRRGSTPLHVAV
+EKRVRGIVELLLARKISVNAADEDQWTALHFAAQNGDEGSMRLLLEKNASVHEADCEGRT
+PMHVACQHGQEGVVRILLRRGVDAGLPGKDAWVPLHYAAWQGHLPIVKLLAKQPGVSVDA
+QTLDGRTPLHLAAQRGHYRVARVLIDLHSDVNVCNLLAQTPLHVAAETGHTSTARLLLHR
+GAHREAVTAEGCTALHLAARNGHLATVKLLVEERANMLARGPRSQTALHLAAAGGHSEVV
+EELVSADVLDLSDEQGLSALHLAAQGRHTKTVETLLRHGAHVNLQSLKFQGGPSPAATLL
+RRSKT
+>tr|A0A3Q1MRA6|A0A3Q1MRA6_BOVIN YTH N6-methyladenosine RNA binding protein 3 OS=Bos taurus OX=9913 GN=YTHDF3 PE=4 SV=1
+MFYLDLTLLHRAEETGEESFSVQNGSIHQKDAVNDDDFEPYLSSQTNQNNSYPPMSDPYM
+PSYYAPSIGFPYSLGEAAWSTAGDQPMPYLTTYGQMSNGEHHYIPDGVFSQPGALGNTPP
+FLGQHGFNFFPGNADFSTWGTSGSQGQSTQSSAYSSSYGYPPSSLGRAITDGQAGFGSDT
+LSKVPGISSIEQGMTGLKIGGDLTAAVTKTVGTALSSSGMTSIATNSVPPVSSAAPKPTS
+WAAIARKPAKPQPKLKPKGNVGIGGSAVPPPPIKHNMNIGTWDEKGSVVKAPPTQPVLPP
+QTIIQQPQPLIQPPPLVQSQLPQPQPQPPQAQQPQGPQPQAQPHQVQPPQPQLQNRWVAP
+RNRGAGFNQNNGASSENFGLGVVPVSASPSSVEVHPVLEKLKAINNYNPKDFDWNLKNGR
+VFIIKSYSEDDIHRSIKYSIWCSTEHGNKRLDAAYRSLNGKGPLYLLFSVNGSGHFCGVA
+EMKSVVDYNAYAGVWSQDKWKGKFEVKWIFVKDVPNNQLRHIRLENNDNKPVTNSRDTQE
+VPLEKAKQVLKIIATFKHTTSIFDDFAHYEKRQEEEEAMRRERNRNKQ
+>tr|E1BF22|E1BF22_BOVIN Cyclin J OS=Bos taurus OX=9913 GN=CCNJ PE=3 SV=1
+MELEAQWWRGQLAADIHQALRYKELKLPSYKGQSPQLSLRRYFADLIAIVSNRFTLCPSA
+RHLAVYLLDLFMDRYDISIQQLHLVALSCLLLASKFEEKEDSVPKLEQLNSLGCMTNMNL
+VLTKQNLLHMELLLLETFQWNLCLPTAAHFIEYYLSEAVHETDLHDGWPMMCLEKTKLYM
+AKYADYFLEVSLQDYAFLNYAPSLVAAACVASSRIILRLSPTWPTRLHRLTAYSWDFLVQ
+CIERLLIAHDNDVKEANKQRGQAGPQPAQLSVFQAASQPSRAVHFQQPQYLHQTHQTSLQ
+YRHPVSEQPSCQQIVSTTHTSSYTLPTCPAGFQTSVQGLGHVPAGVGMSLAIPVEVKPCL
+NVSYNRSYQINEHYPCITQCFER
+>tr|Q58D04|Q58D04_BOVIN Chitinase domain-containing protein 1 OS=Bos taurus OX=9913 GN=CHID1 PE=2 SV=1
+MRVLLGTLWLTLACSSVHATLSKSDAKKAASKTLQEKTQVSGKPAQERGLVVTDLRAEDV
+VLEHRSYCSAKAHRKHFAGDVLGYITPWNRHGYDVAKIFGGKFTHVAPVWLQLRRHGREM
+FEVTGLDDVDQGWLRAVRKQAKGLRIVPRLRFEDWTYEDFDSVLDNEDEIEELSRTVVQV
+AKSQHFDGLVVEVWNQLLVQKHAGLIHLLTHMAEALHQARLLVFLVIPPAVAPGTNSWAC
+SRTRSLSSWHPCWMASAS
+>tr|A5D784|A5D784_BOVIN CPNE8 protein OS=Bos taurus OX=9913 GN=CPNE8 PE=2 SV=1
+MDSRYTSSAGLGDLNQLSTAIPATRVEVSVSCRNLLDRDTFSKSDPICVLYVQGVGNKEW
+REFGRTEVIDNTLNPDFVRKFILDYFFEERENLRFDLYDVDSKSPNLSKHDFLGQVFCTL
+GEIVGSQGSRLEKSIVGIPGKKCGTIILTAEELNCCRDAVLMQFCANKLDKKDFFGKSDP
+FLVFYRSNEDGSFTICHKTEVVKNTLNPVWQAFKISVRALCNGDYDRTVKVEVYDWNRDG
+SHDFIGEFTTSYRELSRGQSQFNVYEVINPRKKGKKKKYTNSGTVTLLSFLVETEVSFLD
+YIKGGTQINFTVAIDFTASNGNPAQPTSLHYMNPYQLNAYGMALKAVGEIVQDYDSDKMF
+PALGFGAKLPPDGRISHEFALNGNPQNPYCNGIDGVMEAYYRSLKSVQLYGPTNFAPVIN
+HVARYAASVKDGSQYFVLLIVTDGVISDMAQTKESIVNASKLPMSIIIVGVGPAEFDAMV
+ELDGDDVRVSSRGKYAERDIVQFVPFRDYIDRSGNHILSMARLAKDVLAEIPEQFLSYMR
+ARGIKPSPAPPPYTPSTHVLQTQI
+>tr|A0A3Q1M809|A0A3Q1M809_BOVIN Mediator complex subunit 24 OS=Bos taurus OX=9913 GN=MED24 PE=4 SV=1
+MKVVNLKQAILQAWKERWSDYQWAVNMKKFFPKGATWDILNLAEALLEQAMIGPSPNPLI
+LSYLKYAISSQMVSYSSVLTAISKFDDFSRDLCVQALLDIMDMFCDRLSCHGKAEECIGL
+CRALLSALHWLLRCTAASAERLREGLEAGTPAAGEKQLAMCLQRLEKTLSSTKNRALLHI
+AKLEEASLHTSQGLGQGGTRANQPTASWTAIEHCLLKLGEILANLSNHQLRSQAEQCGTL
+IRSIPTMLSVHSEQLHKTGFPTVHAVVLLEGTMNLTGETQPLVEQLMMVKRMQHIPTPLF
+ILEIWKACFVGLIESPEGTGELKWTAFTFLKIPQVLVKLKKYSHGDKDFTEDVNTAFEFL
+LKLTPLLDKADQRCNCDCTNFLLQECSKQGLLSEASMNNLMAKRKADREHAPQLKSDENA
+NIQPNPGLILRAEPTVTNILKTMDADHSKSPEGLLGVLGHMLSGKSLDLLLAAAAATGKL
+KSFARKFINLNEFTTHRSEESTKAASVRALLFDISFLMLCHVAQTYGSEVILSESNTGGE
+VFFFETWMQTCMPEEGKILNPDHPCFRPDSTKVESLVALLNNSSEIKLVQMKWHEACLNI
+SAAILEILNAWENGVLAFESIQKITDNIKGKVCSLAVCAVAWLVAHVRMLGLDEREKSLQ
+MIRQLAGPLYSENTLQFYNERVVIMSSILEHMCADVLQQTATQIKFPSTGMDTMPYWNLL
+PPKRPIKEVLTDIFAKVLEKGWVDSRSIHIFDTLLHMGGVYWFCNNLIKELLKETRKEHT
+LRAVELLYSVFCLDMQQATLVLLGHILPGLLTDSSKWHSLMDPPGTALAKLAVWCALSSY
+SSHKGQASSRQKKRHREDIEDYISLFPLDDMQPSKLMRLLSSNEEDANILSSPTDRSMSS
+SLSASQLHTVNMRDPLNRVLANLFLLISSILGSRTAGPHTQFVQWFMEECVDCLEQGSRG
+SILQFMPFTTVSELVKVSAMSSPKVVLAITDLSLPLGRQVAAKAIAAL
+>tr|A0A3Q1NEA4|A0A3Q1NEA4_BOVIN Acyl-CoA synthetase long chain family member 6 OS=Bos taurus OX=9913 GN=ACSL6 PE=4 SV=1
+MQTQEILRMLRLPELADLGQFFRSLSATTLVSMGALAAILAYWFTHRPKALKPPCNLLMQ
+SEEVEDSGGARRSVIGDSPELFTHYYDDARTMYEVFHRGLRISGNGPCLGFRNPKQPYQW
+LSYQEVADRAEFLGSGLLQHNCKPCTDQFIGVFAQNRPEWIIAELACYTYSMVVVPLYDT
+LGPGAVRYIINTADISTVIVDKPQKAVVLLEHVERKETPGLKLIILMEPFEEALKDRGQE
+CGVVIKSMQDVEDCGQQNHCDPVPPKPSDLSIVCFTSGTTGNPKGAMLTHGNVVADFSGF
+LKVTESQWAPTCADVHISYLPLAHMFERMVQSVVYCHGGRVGFFQGDIRLLSDDMKALHP
+TIFPVVPRLLNRMYDKIFSQADTPLKRWLLEFAAKRKQAEVRSGIIRNDSIWDELFFHKI
+QASLGGCVRMIVTGAAPASPTVLGFLRAALGCQVYEGYGQTECTAGCTFTTPGDWTSGHV
+GAPLPCNHIKLVDVEELNYWTSKGEGEICVRGPNVFKGYLKDPERTKEALDDDGWLHTGD
+IGKWLPTGTLKIIDRKKHIFKLAQGEYVAPEMIENIYIRSEPVAQVYVHGDSLKAFLVGI
+VVPDAEVMPSWAQKRGIEGTYAELCTNKELKKAILEDMVRLGQESGLHSFEQVKAIHIHS
+DMFSVQNGLLTPTLKAKRPELREYFKKQIEELYSTSM
+>tr|Q3T050|Q3T050_BOVIN RNA guanine-7 methyltransferase activating subunit OS=Bos taurus OX=9913 GN=RAMAC PE=2 SV=1
+MTDTSEAVPNFEEMFASRFTEDDKEYQEYLKRPPDSPPIVEEWNSRAGGSLRNRGNRLQD
+NRQFRGRDSRRGWPSDNRSNQWHGRSWGNNYPQHRQEPYYPHQYGHYGYNQRPPYGYY
+>tr|A6QNX7|A6QNX7_BOVIN LOC100139208 protein OS=Bos taurus OX=9913 GN=LOC100139208 PE=2 SV=1
+MAGEGSELSTRMSTENQNTEDRLVFETIFRHFKRHKVEISTAIKKTFPFFEGLRDRELIT
+NKMYEDCQDSCRNLVPVPKVVYNVLSELEKTFNQSLLEALFSDVNMQEYPDLNHVRKSFE
+NGN
+>tr|A0A3Q1M453|A0A3Q1M453_BOVIN Coiled-coil-helix-coiled-coil-helix domain-containing protein 7 OS=Bos taurus OX=9913 GN=CHCHD7 PE=4 SV=1
+MPMVTRRLRDPDVNPCLSESDASTRCMAENNYDKESCSSHFLKYKNCRKFWDPWALSLGA
+STLPTCEDSAPTENSVMIQRRQNGVKPPMPTAAERDEILGGLGKMPY
+>tr|E1BE15|E1BE15_BOVIN Semaphorin 3D OS=Bos taurus OX=9913 GN=SEMA3D PE=3 SV=3
+MIVTKDEKPKSRSHNFHLFHALMTISMTMLFLPVIRTSKQNIPRLKLTYKDLLLSNSCIP
+FLGSSEGLDFQTILLDEERGRLLLGAKDHIFLLSLVDLNKNFKKIYWPAAKERVELCKLA
+GKDANTECANFIRVLQPYNKTHVYVCGTGAFHPVCGYIDLGFYKEEVIFKLDTHNLESGR
+LKCPFDPQQPFASVMTDEYLYSGTASDFLGKDTAFTRSLGPTHDHHYVRTDISEHHWLNG
+AKFIGTFPIPDTYNPDDDKVYFFFRESSQEGSTSDKTILSRVGRVCKNDVGGQRSLINKW
+TTFLKARLICSIPGSDGADTHFDELQDIYLLPTRDERNPVVYGVFTTTSSIFKGSAVCMY
+SMADIRAVFNGPYAHKESADHCWVQYDGRIPYPRPGTCPSKTYDPLIKSTRDFPDDVISF
+IKRHPVMYKSVYPVLGRPTFKRINVDYRLTQIVVDHVVAEDGQYDVMFLGTDIGTVLKVV
+SISKEKWNIEEVVLEELQIFKHSSIILNMELSLKQQQLYVGSRDGLVQLSLHRCNTYGKA
+CADCCLARDPYCAWDGHACSQYVPTSKRRARRQDVKYGDPITQCWDIEDSISEPADEKVI
+FGIEFNSTFLECIPKSQQASVKWYIQRSGDEHREEVKPDERIIKTEYGLLIRSLQKKDSG
+LYYCKAQEHTFIHTIVKLTLNIIENEQMENTQRAEHEEGPVKDLLAESRLRYKDYIQILS
+SPNFSLDQYCEQMWHREKRRQRNKGSPKWKHMQEMKKKRNRRHHRDLEELPRAVAT
+>tr|E1BNJ9|E1BNJ9_BOVIN Protease, serine, 22-like OS=Bos taurus OX=9913 GN=PRSS22 PE=3 SV=2
+MDAIKTAGPPACGKRLQLNRIVGGEDSSDAEWPWVVSIRKNGTHHCAGSLLTSRWVLTAA
+HCFKDNLDKPTQFSVLLGAWQLGNPGPRSQEVGIAWAQPHPVYSWKEGSRADIALVRLER
+AIQFSERVLPICLPDSTVQLSPDTNCWIAGWGSVHDGVPLSHPQTLQKLKVPIIDSATCS
+RLYWRGAGQGAITEDMLCAGYLEGERDACLGDSGGPLMCQVEGTWLLAGVISWGEGCAQR
+NRPGVYISLAAHRSWVQRIVQGVQLRGRSQRGGPTGRRGRALGARRPRGAERRRAD
+>tr|F1MFA6|F1MFA6_BOVIN ELMO domain-containing protein 3 OS=Bos taurus OX=9913 GN=ELMOD3 PE=4 SV=3
+MNENSHSFHEKELRDGQVESVSAGSSPPCDKDSSALLAFRGISISELKNHSVLQALTAEA
+NAWEPRVVSTEVLQAQEEWEAVESIHPETGSRASMDQPGQLISFSEALQHFQTVDLSSFK
+KRIQPTIRRTGLAALRHYLFGPPKLHQGLREERDLVLTIAQCGLDSQDPMHGRVLQTIYK
+KLTGSKFDCALHGDHWEDLGFQGTNPATDLRGAGFLALLHLLYLVMDSKTLLMAREILRL
+SRHHIQQFPFCLMSVNITRIAIQALREECLSRECNRQQKVIPVVNSFYAATFLRLAHVWR
+TQHKTISDSGFVLKDLEMSAKKSPRRLLKTLETYLAGVSKGQGSLLGTQKCSGPQAPHSK
+DLTFTGVCDLPSHLSEGTWLI
+>tr|V6F977|V6F977_BOVIN KIAA0999 protein-like OS=Bos taurus OX=9913 GN=SIK3 PE=4 SV=1
+MAAAAASGAGGAAGAGAGGAGPAGRLLPPPAPGPPAAPAAVPPAAGPPRPPAPASRGPVP
+ARIGYYEIDRTIGKGNFAVVKRATHLVTKAKVAIKIIDKTQLDEENLKKIFREVQIMKML
+CHPHIIRLYQVMETERMIYLVTEYASGGEIFDHLVAHGRMAEKEARRKFKQIVAAVYFCH
+CRNIVHRDLKAENLLLDANLNIKIADFGFSNLFTPGQLLKTWCGSPPYAAPELFEGKEYD
+GPKVDIWSLGVVLYVLVCGALPFDGSTLQNLRARVLSGKFRIPFFMSTECEHLIRHMLVL
+DPNKRLSMEQICKHKWMKLGDADPNFDRLIAECQQLKEERQMDPLNEDVLLAMENMGLDK
+ERTLQSLRSDAYDHYSAIYSLLCDRHKRHKTLRVGVPPGMPRTMGFQPPVNLQAEQAGTT
+MNISVPQVQLINPENQIVEPDGAVNVDSDEGEEPSPEALVRYLSMRRHTVGVADPRTEVM
+EDLQKLLPGFPGVNPQAPFLQVAPNVNFMHNLLPMQNLQPTGQLEYKEQSLLQPPTLQLL
+NGMGPLGRRASDGGANIQLHAQQLLKRPRGPSPLVTMTPAVPAVTPVDEESSDGEPDQEA
+VQSSTYKDSNTLHLPTERFSPVRRFSDGAASIQAFKAHLEKMGNNSSIKQLQQECEQLQK
+MYGGQIDERTLEKTQQQHMLYQQEQHHQILQQQIQDSICPPQPSPPLQAACENQPALLTH
+QLQRLRIQPSSPPPNHPNNHLFRQPSNSPPPMSSAMMQSHGATSSSQFQGFPSRSAIFQQ
+QPENCSPPPSVALTCLGIQQPPQSQQVTIQVQEPVDMLSSMPGAAAASAGRGVSISPNTS
+QVQMQLRTSLMAALSYGHRPLSKQLSADSAEAHSLNVNRFSPANYDQAHLHPHLFSDQSR
+GSPSSYNPSSGAGLPPTQALKVPPLDQFPTFPPSAHQQPPHYTTSALQQALLSPTPPDYT
+RHQQVPHILQGLLSPRHSLTGHSDMRLPPAEFAQLIKRRQRQQQQQEYQELFRHMNQGDA
+GSLAPGLGGQSMTERQALPYQNADSYHHHHTSPQHLLQIRAQECISQVSSPTPTHSYAPQ
+AALVHSESMEEECSCEGAKDGFPDRKSGNTLTKGCHDSPLLLSTGGPGDPESLLGTVNHA
+QELGIHPYRQPAAAFTRNKVSSRESVLGKCMDRSSPGQAMELPDHNGLGYPAHPSVSEHQ
+RPRTLQRHHTIQNSDDAYVQLDNLPGMSLVAGKALSSARMSDAVLSQSSLMGSQQFQDGE
+NEECGESLGGQEHPDLTDGSQHLNSSCYPSTCITDILLSYKHPEVSFSMEQAGV
+>tr|F1MSI1|F1MSI1_BOVIN Serine/threonine kinase 17b OS=Bos taurus OX=9913 GN=STK17B PE=3 SV=1
+MLRRRFDCRSISGMLTTTPQTPIKVENFNNFYTLTSKELGRGKFAVVRQCISKSTGQEYA
+AKFLKKRRRGQDCRAEILHEIAVLELTKSCPHVINLHEVYENTSEIILILEYAAGGEIFN
+LCLPELAEMVSENDIIRLIKQILEGVHYLHQNNIVHLDLKPQNILLSSIYPLGDIKIVDF
+GMSRKIGNACELREIMGTPEYLAPEILNYDPITTATDMWNVGVIAYMLLTHTSPFVGEDN
+QETYLNISQVNVDYSEETFSSVSQLATDFIQRLLVKNPEKRPTAEICLSHSWLQQWDFGN
+LFHPEETSSFSQSQDHPVRSSEDRTHRPSCNGTCSDREDKENIPEDSSTVSKRFRFDDSL
+PSPHELVSDLLC
+>tr|Q1JQD8|Q1JQD8_BOVIN Ankyrin repeat and sterile alpha motif domain containing 4B OS=Bos taurus OX=9913 GN=ANKS4B PE=2 SV=1
+MSTRYHQAASDSYLELLKEATKRDLNLSDEDGMTPTLLAAYHGNLEALEIICSRGGDPNR
+CDIWGNTPLHYAASNGHAHCVSFLINFGANIFALDNDLQSPLDAAASREQNECVALLDKA
+ATAQNIMNPKKVTRQKEQAQKNVKKQIKECERLQEKHQNKMARTYSKEESGTLSSSKGTF
+SRSVLSNASASNTFGSLSKGIKDTLKLKFKKNKDTAEQLGNESRSGQKNVMEVFREDEED
+ELSGDFIEKLQFSEKGDSCMQHESILNRPGLGNVVFGRNRIFSPEDISDSRRELGFTMPS
+ELLQRQGEAEADEEGEENHHEDDLPWDEDEVEWEEDVVDATPLEVFLQSHYLEEFLPIFM
+REQIDLEALLLCSDEDLQSIQMQLGPRKKVLNAINRRKQVLQQPGQLVDTNL
+>tr|A1A4L2|A1A4L2_BOVIN Transporter OS=Bos taurus OX=9913 GN=SLC6A20 PE=2 SV=1
+MEKTRPLWATPLQFVFACISYAVGLGNVWRFPYLCQMYGGGSFLVPYIIMLVVEGMPLLY
+LELAVGQRMRQGSIGAWRTVSPYLSGVGVASVVVSFFLCMYYNVVNAWAFWYLFHSFQDP
+LPWSVCPLNGNRTGYIEECEKASSTQYFWYRKTLNISPSIQESGAVQWEPALCLILAWLV
+VYLCILRGTESTGRVVYFTALLPYCVLIIYLVRGLTLHGATNGLAYMFTPKMEQLANPKA
+WINAATQIFFSLGLGFGSLIAFASYNEPSNNCQKHAIIVSIINSSTSIFASIVTFSIYGF
+KATFNYESCLNKVILLLTNSFDLEDGFLTANNLEQVKDYLASAYPSKYSEVFPQIKNCSL
+ETELETAVQGTGLAFIVYTEAIKNMEVSQLWSVLYFFMLLLLGIGSMLGNTAAILTPLTD
+SKVISSYLPKEAISGLVCLANCAIGMLFTMEAGSYWFDIFNDYAATLSLLLIVLAETVAV
+CYLYGLSRFESDLKAMTGRALNWYWKAMWGGVSPLLIISLFIFYLSDYISTGTLRYQAWD
+AAQGQLVTKDYPPHALAVIGLLVAASTMCIPLGALGTLITRRLKRGDTAPVA
+>tr|E1BC34|E1BC34_BOVIN Growth hormone E3 OS=Bos taurus OX=9913 GN=PRP1 PE=2 SV=3
+MASWLSLRSIPCSRFPPSHPIRLTPHGQQESSPWDHSTIPMAPAPSFRGHQWTYNPVRGS
+CLLLLLLMSNLLLCQGKSCPSCGPDVFVSLRKSFTDRFMNAASLSHDFYNLSTIMFNEFD
+EKYAQGKLYYINVTKSCHTNSFHAPEERDIVQQTNIEDLSKWTLVLLYSWNNPLHHLVTE
+LQHMKELSNAFLSSATRFENMSEKLQAFIERQFSKIIVPVLNTMIQARSSWTGLPSLMSS
+DEDRRHSEFYNLFYCLRRDSRKVDMYIKILTCRTHKTC
+>tr|Q1LZE3|Q1LZE3_BOVIN Mitochondrial transcription termination factor 3 OS=Bos taurus OX=9913 GN=MTERF3 PE=2 SV=1
+MALSAQQIPRWLNSVKLSSFMTALQLRKGFQRPGKTLLCGVFAQPHASSEDCFLQWGFRT
+YRTSSMWNSSQSANSSRREINCAQSTLLPSVNEPPQKTQKMPGLDSELSLEGLDDVPPLS
+PLQPISDEEAVQIIAGPPLPPSSVTLRDYVDHSETLRKLVLLGVDLSKIEKHPDAANLLL
+RLDFEKDIKQMLLLLKDLGIEDTQLGPFLTKNYAIFSEDLENLKTRVAYLQSKNFSKADI
+AQMVRNAPFLLSFSAERLDNRLGFFQKELKLSVKKTRDLVIRLPRLLTGSLEPVKENMKV
+FQLELGFQQNEIQHMITKIPKMLTANKRKLTETFDYVHNVMRVPHHVIVRFPQVFNTRLF
+KVKERHLFLAYLGRAQYDPTEPNYISLDKLVSVPDGIFCEGMAKASIQDFEKFLKTL
+>tr|A6QQJ2|A6QQJ2_BOVIN TMEM140 protein OS=Bos taurus OX=9913 GN=TMEM140 PE=2 SV=1
+MTLTITTICLLFFALLWKAGNLVDLPNLRVGFYNFCLWHEGTGALQCYQFPELEALGVPR
+VGLALARLGVYGALVLTLFVPLPFLLAWCNNNEGEWQLAVGFLATSSVLLASGLGLFLTY
+TWKWLQLSLLGPGSLALGAAQTLLILLLVATAVFPQRAKDRSWGATSSV
+>tr|A4IFE7|A4IFE7_BOVIN Solute carrier family 35 member F6 OS=Bos taurus OX=9913 GN=SLC35F6 PE=2 SV=1
+MAWTKYQLFLAGLMLVTGSINTLSAKWADNFVAPGCGGSQEHSFQHPFLQAVGMFLGEFS
+CLAAFYLLRCRAARHPDTSADPQQPFNPLLFLPPALCDMTGTSIMYVALNMTSASSFQML
+RGAVIIFTGLFSVAFLGRRLALSQWLGILATIAGLVVVGLADLLSKHDSQHKLSEVITGD
+LLIIMAQIIVSIQMVLEEKFVYKHNVHPLRAVGTEGLFGFVILSLLLVPMYYIPAGSFSG
+NPRGTLEDALDAFCQVGRQPLIALALLGNISSIAFFNFAGISVTKELSATTRMVLDSLRT
+VVIWALSLALGWEAFHPLQILGFLILLAGTALYNGLHRPLLARLSRGRPPAEEGEHERLL
+GGSRTAINSAS
+>tr|G3N069|G3N069_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC515578 PE=3 SV=1
+MGDQDLPGVLVSDLEGPHGICEDHAEDLKRHFNLITMQDFLENKAQLGPQIQAVYIWCGR
+PAVSQELLHSLPSLKIVASAGAGLDHLDLGLVASFGVKVANTPHAVSSPTADLGMALLLA
+AARRVVEGHQLAVSPHTENFPTDYMGQQVTGATLGIIGMGSIGYKIAQRARAFEMKIVYH
+NRKRRKLEEEEAVGAIYCERLDDLLQWSDFVMLAVSLTPQTQGLIGKRELRLMKPTAILI
+NIGRGLLVDQEALVEALQTGLIKAAALDVTYPEPLPRDHPLLELKNVILTPHIGSATHQA
+RRQMMENLVESILASLSGLPIPNEVLLK
+>tr|A0A3Q1LZJ3|A0A3Q1LZJ3_BOVIN TRPM8 channel-associated factor 1 OS=Bos taurus OX=9913 GN=TCAF1 PE=4 SV=1
+MATPSAAFEALMNGVTSWNVPEDAVPCELLLIGEASFPVMVNDMGQVLIAASSYGRGRLV
+VVSHEDYLVEAQLTPFLLNAVGWLCSSPGAPVGVHPSLAPLAKILEGSGVEAKVEPEVKD
+SLGVYCIDAYNETMTEKLVKFMKRGGGLLIGGQAWDWANQGDDERVLFTFPGNLVTSVAG
+VYFTDNKGDTSFFKVSKKMPKIPVLVSCEDDLSEDRDELLHGISELDITNSDCFPSQLLV
+HGALAFPLGLDSYHGCVIAAARYGRGRVVVTGHKVLFTVGKLGPFLLNAVRWLDAGRRGK
+IVVQTELRTLSGLLAVGGIDTSIEPNLTSDASVYCFEPVSDVGVKELQEFVAEGGGLFVG
+AQAWWWAFKNPGVSPLARFPGNLLLNPFGISITSQSLNPGPFRTPKAGIRTYHFRSTLAE
+FQVIMGRKRGNVEKGWLAKLGPDGAAFLQIPAEEIPAYMSVHRLLRKLLSRYRLPVATRE
+NPVINDCCRGAMLSLATGLAHSGSDLSLLVPEIEDMYSSPYLRPSESPITVEVNCNNPGT
+RYCWMSTGLYIPGRQIIEVSLPEAAASADLKIQIGCHTDDLTRASKLFRGPLVINRCCLD
+KPTKSITCLWGGLLYIIVPQSSKLGTVPVTIKGAVRAPYYKLGETTQEEWKRQIQENPGP
+WGELATDNIILTVPTANLRTLENPEPLLRLWDEVMQAVARLGAEPFPLRLPQRIVADVQI
+SVGWMHAGYPIMCHLESVQELINEKLIRTKGLWGPVHELGRNQQRQEWEFPPHTTEATCN
+LWCVYVHETVLGIPRGRANIALWPPVREKRVRIYLGKGPNVKNWNAWTALETYLQLQEAF
+GWEPFIRLFTEYRNQTNLPTDNVDKMNLWVKMFSHQVQKNLAPFFEAWAWPIQKEVATSL
+AYLPEWKENIMKLYLLTQM
+>tr|G3N1R9|G3N1R9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=MEIOB PE=4 SV=2
+MANSIASKNFTALSNLHPNMANLKIIGIVIGKTDVKGFPDRKNIGSERYTFSFTIRDSTT
+HFVNATSWGSEDYIRSLSDSFRVGECVIIENPLIQTKDLEREEKFSPATPSNYKLLLSEN
+HSTVKLCSSYEVDAKLLSLIYLPVKESCDYYSLGDIVANGHSLDGKIINVLAAVRSVGEP
+KYFTTSDRRKGQRCEVKLYDETESSFAMICWDSESILLAQSWVPQETVIFAADVRISFDK
+FRNSMTATVISKTIITTNPDTPEANILLNFIRENKVMNPLDDEIDNYLKESMNLNTIVDV
+YTVEQLKVKALKNEGKAEPFYGILYAYISTLNIDDETTKVVRNRCSVCGYTVNEASSTCT
+TCNKDSSGFKSVFLSFDMLIDLTDHTGTLHSCSLTGSVAEETLGCTFFISHRARGGLRVS
+VLSCKLADSIEASRNLSGQGSVQN
+>tr|G3MXB4|G3MXB4_BOVIN MAGE domain-containing protein OS=Bos taurus OX=9913 GN=MAGED4B PE=4 SV=2
+MAEGSYRKESEGYNVEDMDEGSDEVGEEDMVEGNDYEEFGAFGGYGALTSFDIRILRAFG
+SLGPGFRILANEPWELENPVLARTLLEAFRMDPETLANETAARAANVARAAASNQAARAA
+ATAARATYNQVVTNHHPVATHQASGGDTQPMTSAAQAPAATPETSVASPHSSRMLVNSEM
+AAPGAPARSPQPQTSSQAQEAAAEGPSTACAFPQASRASEMDATRPKTAFLGQNDAFDFS
+QPAGVSGMAFPRPKRPAPAQEAATEGPSVASRGTQAASAGEGAATRPKTTKSGKALAKTR
+WVEPQNVVAAAAAKAKMATSIPEPESAAATSQQSAEPWARMGGKRTKKSKHLDDEYESGE
+EEREPPAVPPTWRASQPLLTTARPQVAPRPSMALRSQVPSRHVLCLPPRNVTLLQERANK
+LVKYLMIKDYKKIPIKRSDMLKDVIREYDEHFPEIIERATYTLEKKFGIHLKEIDKEEHL
+YILVCTRDSSARLLGKTKDTPRLSLLLVILGVIFMNGNRASEAVLWEALRKMGLRPGVRH
+PFLGDLRKLITEDFVKQKYLEYKKVPNSSPPEYEFLWGLRACHETSKMRVLRFIAQYQNR
+DPREWRAHFLEAVDDAFKTMDVDMAEEHARAQMRAQMNIGEEALIGRWSWDDIQVELLTW
+DEDGDFGDAWSRIPFAFWARYHQYILNSNRANRRGTWRAGVSSGTNGAASASMLDGPSTS
+STIRTRNAARTSASFFSWIQ
+>tr|Q08DD0|Q08DD0_BOVIN Cytochrome P450, family 46, subfamily A, polypeptide 1 OS=Bos taurus OX=9913 GN=CYP46A1 PE=2 SV=1
+MSPGLLMLLGSAVLVVFGLCCTFVHRARSRYEHIPGPPRPSFLLGHLPYFWKKDEVCGRV
+LQDVFLDWAKKYGPVVRVNVFHKTSVIVTSPESVKKFLMSTKYNKDSKMYHAIQTVFGER
+LFGQGLVSECDYERWHKQRRIMDLAFSRSSLVGLMGTFNEKAEQLVEILEAQADGQTPVS
+MQDMLTCATMDILAKAAFGMETSMLLGAQKPLSRKVKLILEGISASRNTLAKFMPGKWKQ
+LRETRESVRFLRQVGKEWVQRRREALQRGEDVPADILTQILKAEEGAQDDEILLDNFVTF
+FIAGHETSANHLAFTVMELSRQPEILARLQAEVDEVIGSKRHLDCEDLGRLQYLSQVLKE
+SLRLYPPAWGTFRLLEEETLIDGVRVPGNTPLLFSTYVMGRMDTYFEDPLTFNPDRFGPK
+APKPKFTYFPFSLGPRSCIGQQFAQMEVKVVMAKLLQRLEFRLVPGQRFGLQEQATLKPL
+DPVLCTLQPRGWQPAPPPPPC
+>tr|E1B7Z0|E1B7Z0_BOVIN TGF-beta activated kinase 1 (MAP3K7) binding protein 2 OS=Bos taurus OX=9913 GN=TAB2 PE=4 SV=1
+MAQGSHQIDFQVLHDLRQKFPEVPEVVVSRCMLQNNNNLDACCAVLSQESTRYLYGEGDL
+NFSDDSGISGLRNHMTSLNLDLQSQNVYHHGREGNRMNGSRTLTHSISDGQLQGGQSNNE
+LFQQEPQTAPAQVPQGFNVFGMSSASGASNSAPHLGFHLGSKGTSNLSPQTPRFNPIMVT
+LAPNIQTGRNTPTSLHIHGVPPPVLNSPQGNSIYIRPYITTPSGTTRQTQQHSGWVSQFN
+PMNPQQVYQPSQPGPWTTYPASSPLPHTSAQQPNQQGHQTSHVYMPISSPTTPQPPTIHS
+SGSSQSSAHSQYNIQNISTGPRKNQIEIKLEPPQRNNSSKLRSSGPRTSSSSSSVNSQTL
+NRNQPTVYIAASPPNTDEVMSRSQPKVYISANAAAGDEQVIRNQPTLFISTNSGASAASR
+NMSGQVSMGPAFIHHHPPKSRAIGNNSATSPRVVVTQPNTKYTFKITVSPNKPPAVSPGV
+VSPTFELTNLLNHPDHYVETENIQHLTDPALAHVDRISDARKLSMGSDDAAYTQALLVHQ
+KARMERLQRELEIQKKKLDKLKSEVNEMENNLTRRRLKRSNSISQIPSLEEMQQLRSCNR
+QLQIDIDCLTKEIDLFQARGPHFNPSAIHNFYDNIGFVGPVPPKPKDQRSTIKTPKTQDT
+EDDEGAQWSCAACTFLNHPALIRCEQCEMPRHL
+>tr|E1B9V6|E1B9V6_BOVIN Rabenosyn, RAB effector OS=Bos taurus OX=9913 GN=RBSN PE=1 SV=1
+MASLDDPGEVREGFLCPLCLKDLQSFYQLQSHYEEEHSGEDRDVKGQIKSLVQKARKAKN
+RLLKREGDDRAESGTQGYESFSYGGVDPYMWEPQELGAMRSHLSDFKKHRAARIDHYVVE
+VNKLIIRLEKLTAFDRTNTESAKIRAIEKSVVPWVNDQDVPFCPDCGNKFSIRNRRHHCR
+LCGSIMCKKCMELISLPLANKLTSASKDSLSAHTSPSQSPNSVHGSRRGSISSVSSVSSV
+LDEKDDDRIRCCTHCKDTLLKREQQIDEKERTPDIVRLYEKLRLCMEKVDQKAPEYIKMA
+ASLNAGETTYSLEHAGDLRVEVQKVYELIDALSKKILTLDMKQDPPPHPNTLRLQRMIRY
+SATLFVQEKLLGLMSLPTKEQFEELKQKRKQEMEQKRILERQAALESQRRLEERRSDLAS
+RATNGEVAPPRRGPAPLRKAEGWLPLSEGQRQSEDPDPLLQQIHNITSFIRQAKAAGRTD
+EVRTLQENLRQLQDEYDQQQTEKAIALSRRQAEEEDLQREQLQVLREREREREQGQAASL
+HTRTRSLDFREIRPFQLEPSREPRTHLAHALDLGSSPARSGTATKTSPPSSAPEPFRGWS
+GPPALGQEFLPQSTASPRSEAPSLNPFDEEEDLASPSAEDTANPPAPEPSLGPPACVLRE
+YNPFEEEDEEDAVAGNPFTKPDGPAPNPFEEEDEHPPRTPASPPVPGNPFEEAPCTNPFE
+EESDGGQEGAEPIEEELLLQQIDNIKAYIFDAKQCGRLDEVEVLTENLRELRRTLARQKG
+GTD
+>tr|Q3SZ32|Q3SZ32_BOVIN Elongin B OS=Bos taurus OX=9913 GN=TCEB2 PE=2 SV=1
+MDVFLMIRRHKTTIFTDAKESSTVFELKRIVEGILKRPPDEQRLYKDDQLLDDGKTLGEC
+GFTSQTARPQAPATVGLAFRADEAFEALRIEPFSSPPELPDVMKPQDSGSSANEQAVQ
+>tr|E1BBK3|E1BBK3_BOVIN FLYWCH family member 2 OS=Bos taurus OX=9913 GN=FLYWCH2 PE=4 SV=1
+MPLPEPSEQEGESVKAGQEPSPEPPQPGTDVVPAAPKKPRKFSKLVLLTASKDSAKVEGA
+KRKGVHCIMSLGVPGPATLAKALLKIHPEAQRAIEAAPQEPEQKRSKLDTDGEEDGSLAG
+PSAPSPLVDGEESTSALVKPRAAP
+>tr|A0A3Q1M3F4|A0A3Q1M3F4_BOVIN Protein kinase C and casein kinase substrate in neurons protein 1 OS=Bos taurus OX=9913 GN=PACSIN1 PE=4 SV=1
+MLNATTHLSTAPPRTMSGSYDEASLAPEETTDSFWEVGNYKRTVKRIDDGHRLCNDLMSC
+VQERAKIEKAYAQQLTDWAKRWRQLLEKGPQYGSLERAWGAIMTEADKVSELHQEMKNSL
+LNEDLEKVKNWQKDAYHKQIMGGFKETKEAEDGFRKAQKPWAKKMKELEAAKKAYHLACK
+EEKLAVTREMNSKTEQSVTPEQQKKLQDKVDKCKQDVQKTQEKYEKVLDDVGKTTPQYME
+GMEQVFEQCQQFEEKRLVFLKEVLLDIKRHLNLAESSSYVQVYRELEQAIRGADAQDDLR
+WFRSTSGPGMPMNWPQFEEWNPDLPHTAAKKEKQPKKAEGAALTNAAGVVESTSQAGDRG
+SVSSYDRGQTYATEWSDDESGNPFGGSEANGGSNPFDEDAKGVRVRALYDYDGQEQDELS
+FKAGDELTKLGEEDEQGWCRGRLDSGQLGLYPANYVEVV
+>tr|Q17QZ0|Q17QZ0_BOVIN Non-specific serine/threonine protein kinase OS=Bos taurus OX=9913 GN=PAK4 PE=2 SV=1
+MFGKKKKRVEISAPSNFEHRVHTGFDQHEQKFTGLPRQWQSLIEESARRPKPLIDPACIT
+SIQPGAPKTIVRGSKGAKDGALTLLLDEFENMSVTRSNSLRRDSPPPPARARQENGMPAE
+QAATARGGPEKAGSQGRGASHGEAGGSTGDRRRAGPDKRPKSSREGSGGPQESSRDKRPL
+SGPDVATPHQPASLASGAKVAAGRPFNTYPRADTDHPSRGTQGEPHNMAPNGPSVGGLAV
+PQSSSSRPTARAHGAPSPGVLGPHASEPQLAPPARALAAPAGPPAPGPPGPRSPQREPQR
+VSHEQFRAALQLVVDPGDPRSYLDNFIKIGEGSTGIVCIATVRSSGRLVAVKKMDLRKQQ
+RRELLFNEVVIMRDYQHENVVEMYNSYLVGDELWVVMEFLEGGALTDIVTHTRMNEEQIA
+AVCLAVLQALSVLHAQGVIHRDIKSDSILLTHDGRVKLSDFGFCAQVSKEVPRRKSLVGT
+PYWMAPELISRLPYGPEVDIWSLGVMVIEMVDGEPPYFNEPPLKAMKMIRDNLPPRLKNL
+HKVSPSLKGFLDRLLVRDPAQRATAAELLKHPFLAKAGPPASIVPLMRQNRTR
+>tr|A6H703|A6H703_BOVIN Serine/threonine-protein phosphatase OS=Bos taurus OX=9913 GN=PPP3CB PE=2 SV=1
+MAAPEPARAAPPPPPPPPPPPGADRVVKAVPFPPTHRLTSEEVFDMDGIPRVDVLKNHLV
+KEGRVDEEIALRIINEGAAILRREKTMIEVEAPITVCGDIHGQFFDLMKLFEVGGSPANT
+RYLFLGDYVDRGYFSIECVLYLWVLKILYPSTLFLLRGNHECRHLTEYFTFKQECKIKYS
+ERVYEACMEAFDSLPLAALLNQQFLCVHGGLSPEIHTLDDIRRLDRFKEPPAFGPMCDLL
+WSDPSEDFGNEKSQEHFSHNTVRGCSYFYNYPAVCEFLQNNNLLSIIRAHEAQDAGYRMY
+RKSQTTGFPSLITIFSAPNYLDVYNNKAAVLKYENNVMNIRQFNCSPHPYWLPNFMDVFT
+WSLPFVGEKVTEMLVNVLSICSDDELMTEGEDQFDVGSAAARKEIIRNKIRAIGKMARVF
+SVLREESESVLTLKGLTPTGMLPSGVLAGGRQTLQSATVEAIEAEKAIRGFSPPHRICSF
+EEAKGLDRINERMPPRKDAVQQDGFNSLNTAHATENHGTGNHGAQ
+>tr|A0A3Q1MPW5|A0A3Q1MPW5_BOVIN Lysine demethylase 1B OS=Bos taurus OX=9913 GN=KDM1B PE=4 SV=1
+MATSRGRTKKKASFDHSPDSLPLRSSGRQAKKKATETTDEDEDGGSEKKYRKCEKAGCTA
+TCPVCFASTSERCAKNGYTSRWYHLSCGEHFCNECFDHYYRSHKDGYDKYTTWKKIWTSN
+GKTEPSPKAFMADQQLPYWVQCTKPECRKWRQLTKEIQLTPQIAKTYRCGMKPNTAVKPE
+TSDHCSLPEDLRVSEVPNHWWYSMLILPPLLKDSVAAPLLSAYYPDCVGMSPSCTSTHRV
+TSDASPGKLEHPKAVPSVLVPGMNRYFQPFYQPNECGKALCVRPDVMELDELYEFPEYSR
+DPTMYLALRNLVLALWFTNCKEALTPQKCIPHIIVRGLVRIRCVQEVERILYFMTRKGLI
+NTGVLSVGPDQHLLPKDYHNKSVIIIGAGPAGLAAARQLHNFGIKVTVLEAKDRIGGRVW
+DDKSFTGVTVGRGAQIVNGCVNNPVALMCEQLGISMHKFGERCDLIQEGGRITDPTIDKR
+MDFHFNALLDVVSEWRKDKTQLQDVPLGEKIEEIYKAFIKESGIQFSELEEQVLQFHLSN
+LEYACGSNLHQVSARSWDHNEFFAQFAGDHTLLTPGYSVILEKLAEGLDIRLRSPVQSID
+YSGDEVQVTTTSGAVCTAQKVLVTVPLALLQKGAIQFNPPLSDKKMKAINSLGAGIIEKI
+ALQFPYRFWDSKVQGADFFGHVPPSASKRGLFAVFYDMDPQKQHSVLMSVIAGEAVAAVR
+SLEDKQVLQQCMATLRELFKEQEVPDPTKYFVTRWSTDPWIQMAYSFVKTGGSGEAYDIL
+AEEIQGTVFFAGEATNRHFPQTVTGAYLSGVREASKIAAF
+>tr|A7Z067|A7Z067_BOVIN CSF1R protein OS=Bos taurus OX=9913 GN=CSF1R PE=2 SV=1
+MGPRTLLILLVVTAWHGQGVPVIEPSGPELVVEPGTAVTLRCTSNGSVKWDGPVSPYWTL
+DSDAPRSILTTNNATFLHTGTYRCTEPGDPLAGTATIHLYVKDPARPWRVLAEEVTVLEG
+QDALLPCLLTDPALEAGVSLVRVRGRSVLRQTSYSFSPWYGFTIHKAKFIESQDYECSVR
+VAGRVVKSLSIRLKVQKVIPGPPTLTLEPEELVRIQGETAKIVCSASDVDVNFDVFLQRG
+DTKLAISQQSDFRDNRYQKVLTLELDHVGFQDAGNYTCVATNARGVHTTSMVFRVVDSAY
+LNLTSEQNLLQEVAVGEKLELRVKVEAYPSLQSFNWTYEGPFFGSQPKLNFETTNNTYRY
+TSKLTLPRLKPSEAGLYSFQARNARGEDTLTFELTLLYPPEVEVMWTLSSGSKALLCEAS
+GYPQPNVTWVQCSSHTNRCDKTHVLVLEEPNPDVLRQEPFHKVTVQSLLTPGTLEHNRTY
+ECRAQNSMGNTSGALGPISVGASMQPRDEPLFTPVLVACMSIMALLLLLLLLLFYKYKQK
+PKYEVRWKIIESYEGNSYTFIDPTQLPYNEKWEFPRNNLQFGKTLGAGAFGKVVEATAFG
+LGKEDAVLKVAVKMLKSTAHADEKEALMSELKIMSHLGQHENIVNLLGACTHGGPVLVIT
+EYCCYGDLLNFLRRKAEAMLGPSLSPGQDPEAGTGYKNIHLEKKYIRRDSGFSSQGVDTY
+VEMRPVSTSSSNDSFSEQDLDKEDGRPLELRDLLHFSSQVAQGMAFLASKNCIHRDVAAR
+NVLLTSGHVAKIGDFGLARDIMNDSNYIVKGNARLPVKWMAPESIFDCVYTVQSDVWSYG
+ILLWEIFSLGLNPYPGILVNSKFYKLVKDGYQMAQPAFAPENIYSIMQACWALEPTRRPT
+FQQICSLLQEQVQVDRREQDYSNLPSSGSSSSEPEEESSSEHLTCCEQGDIAQPLLQPNN
+YQFC
+>tr|G3X736|G3X736_BOVIN COP9 signalosome subunit 2 OS=Bos taurus OX=9913 GN=COPS2 PE=4 SV=1
+MSDMEDDFMCDDEEDYDLEYSEDSNSEPNVDLENQYYNSKALKEDDPKAALSSFQKVLEL
+EGEKGEWGFKALKQMIKINFKLTNFPEMMNRYKQLLTYIRSAVTRNYSEKSINSILDYIS
+TSKQNSDFLCQMDLLQEFYETTLEALKDAKNDRLWFKTNTKLGKLYLEREEYGKLQKILR
+QLHQSCQTDDGEDDLKKGTQLLEIYALEIQMYTAQKNNKKLKALYEQSLHIKSAIPHPLI
+MGVIRECGGKMHLREGEFEKAHTDFFEAFKNYDESGSPRRTTCLKYLVLANMLMKSGINP
+FDSQEAKPYKNDPEILAMTNLVSAYQNNDITEFEKILKTNHSNIMDDPFIREHIEELLRN
+IRTQVLIKLIKPYTRIHIPFISKELNIDVADVESLLVQCILDNTIHGRIDQVNQLLELDH
+QKRGGARYTALDKWTNQLNSLNQAVVSKLA
+>tr|A4UAF0|A4UAF0_BOVIN Sperm associated antigen 11 isoform C OS=Bos taurus OX=9913 GN=SPAG11 PE=2 SV=1
+MKQFLAPSTLLFVVLLFPGLSRVTHANRQDPKGPRKQEESLGRGTNRSHPLHHQVKRYLV
+PRKPPFPDTDPGFKVVRCIKGDGKCQKFCNYMEFQLGYCSKKKDACCLPLNRGVDMEAKT
+LSAASWAIHPNAGVLDKS
+>tr|A6QP90|A6QP90_BOVIN KRT32 protein OS=Bos taurus OX=9913 GN=KRT32 PE=2 SV=1
+MSCQPEWYLGYICQPGMCVPSLSVSTTYYPTSSIFSSMGSNSLFYESVFSSNERETLQLL
+NNRLAAYLERVRQLEQENVELERQLQEACEFQEPTVGPNYFRYFQIIEELQQKILYSKAE
+NARIVVQIDNTKLAADDFRSKYEMELGLRQLVEADTNGLRRILDELTLCKADLEMQVESL
+KEELMCLKKNHEEEVGALRCQLGDRLNIEVDAVSPVDLNKVLEEMRCRYEALVETNRRDV
+EEWFNKQMEELNQQVVTSSEQLQSYQSDIIDLKRTVNTLEIELQTQHSLRDSLENTLTET
+EARYGSQLAQMQGLVTNVESQLAEIRCDLERQNQEYRVLLDVRARLEAEINTYRGLLDSE
+DCKLPGNPCSTPSQPPCAPAPSVPRTLCVPRTVCVPCVPCHPSCH
+>tr|F1MNG1|F1MNG1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=CYP1B1 PE=3 SV=2
+MATGLSPDDHLSPTLLSVQQTMLLLLLSVLAAVHVGQWLLRQRRRQPGSAPPGPFAWPLI
+GNAASMGSAPHLLFARLARRYGDVFQIHLGSCRVVVLNGERAIRQALVHQSAAFADRPPF
+ASFRLVSGGRSLAFGQYSESWKAQRRAAHSTMRAFSTRQPRGRRVLEGHVVGEVRELVEL
+LVRRSAGGAFLDPRPLTLVAVANVMSALCFGCRYSHDDAEFLELLSHNEEFGRTVGAGSL
+VDVLPWLQRFPNPVRTAFREFEQLNRNFSNFVLDKFLRHRESLRPGAAPRDMMDAFIHSA
+GADSGDGGPRLDVDYVPATVTDIFGASQDTLSTALQWLLVLFTRYSEVQARVQAELDQVV
+GRHRLPTLEDQPRLPYVMAFLYEAMRFSSFVPVTIPHATTANASVLGYHIPKDTVVFVNQ
+WSVNHDPVKWSNPEDFDPTRFLDKDGLINKDLTGSVMAFSVGKRRCIGEEISKMQLFLFI
+SILAHQCNFKANPDEPSKMDFNYGLTIKPKSFKINVTLRESMELLDSAVQKLQVEKECQ
+>tr|A6QPC4|A6QPC4_BOVIN CCDC96 protein OS=Bos taurus OX=9913 GN=CCDC96 PE=2 SV=1
+MDDHTGDPETEDGEVESLASRLSGVKASSVPRSPVEPVEPEPEPEPEPEPKTVEASEEGA
+AAPKPAKSQEGLAVTEAAGEEGPGELESPAEAQEEAEPGEPREAGPEEPAEPEPEEPEEE
+EEEEGEEEEAAAAAPGRKEVPSQVSLQQVTAGKEEAAAARGAEREGQVEEEEESEASEAS
+EEGLEARVPRKDEDELRNLDEGLVLEPYDWSEEVQKQQEQQLRAELLEQYRALVVERGRY
+QRYNIYLQHKIFEALRKKKGVEAAAEAPGGDRGAEPEAPEKEQAYLRHLAVLEDLRKQEA
+DDLRWYHHELDQLKLQCQEKVSRVDKEWRRFQALKKQVVMQAMGSCWMRGGRQVALREVE
+QIQALEDKKEKEMSAVRLENVQLKQSLVHFETRMRTQEDLTEGLLLIDFEQLKIENQTFN
+EKVEERNEELLKLRSKVTNNVQIITHVKEKLHFVDIENMCKKAELMDIEAQVAQKRDILT
+KTKQARDGLRLDNIRLNQKCGLLGKESLLRDMEEKVDRTEVLNQRLASLKHHHAGLVLSC
+KGVKQKIREAKAFLPS
+>tr|Q0II95|Q0II95_BOVIN Kinase OS=Bos taurus OX=9913 GN=IP6K1 PE=2 SV=1
+MCVCQTMEVGQYGKNASRAGDRGVLLEPFIHQVGGHSSMMRYDDHTVCKPLISREQRFYE
+SLPPEMKEFTPEYKGVVSVCFEGDSDGYINLVAYPYVESETVEPDDTPEREQPRRKHSRR
+SLHRSGSGSDHKEEKASLPLETSESPQEAKSPKVELHSHSDVPFQMLDGNSGLSSEKISH
+NPWSLRCHKQQLSRMRSESKDRKLYKFLLLENVVHHFKYPCVLDLKMGTRQHGDDASAEK
+AARQMRKCEQSTSATLGVRVCGMQVYQLDTGHYLCRNKYYGRGLSIEGFRNALYQYLHNG
+LDLRRDLFEPILSKLRGLKAVLERQASYRFYSSSLLVIYDGKECRSESYLDRRSEMRMKH
+LDTSLPEVAPPCSPSTSLSSTSPEAGPFSPPKVDVRMIDFAHSTFKGFRDDPTVHDGPDR
+GYVFGLENLISIMEQMRDENQ
+>tr|A0A3Q1M9P8|A0A3Q1M9P8_BOVIN Zinc finger protein 787 OS=Bos taurus OX=9913 GN=ZNF787 PE=4 SV=1
+MELREEAWSPGPLDSEDQQMASHENPVDILIMDDDDVPSWPPTKLSPSPSAAPAGPPPRP
+RPPAPYICNECGKSFSHWSKLTRHQRTHTGERPNACADCGKTFSQSSHLVQHRRIHTGEK
+PYACSECGKRFSWSSNLMQHQRIHTGEKPYACPDCGRSFTQSKSLAKHRRSHSGLKPFVC
+PRCGRGFSQPKSLARHLRLHPELSGPGVAAKVLAASVRRAKAPEEAAAADGEIAIPVGDG
+EGIIVVGAPGEGAAAAAAMASTGARAPGPRSRRAPAPKPYVCVECGKGFGHGAGLLAHQR
+AQHGDGLGAAGGEEPAHICVECGEGFVQGAALRRHKKVHAVGAPSVCSHCGQNYYRAGGD
+DDDEDDDEEAAGGRCSECRGGEGR
+>tr|A0A3Q1NG45|A0A3Q1NG45_BOVIN V-set and transmembrane domain containing 1 OS=Bos taurus OX=9913 GN=VSTM1 PE=4 SV=1
+MKMRKIMTPGSSSWPPSAASPSFSSSSPSSSSTGALSKVSSEHHGFHTLRKGTKVKDSLG
+RYIPPFFFFLIDSSEEESIKRSCHSKVHKQRDADVSRLERISESPDEPEGVTYAQLNTAA
+LSGAASVPAEETPNSCDYTMVKV
+>tr|E1BPJ3|E1BPJ3_BOVIN CCDC144C domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=3
+MLQDETAMLRLEIDALKNQHREKEENYFEDVEILKVKNDDLQKAIKLNEESLTKTISHYT
+GQLNALTAENTMLNSKLENEKESKQRLETEVKSYRSRLATALHDHDQGQTSKSDLELAFQ
+RARDEWLCLWDKMKYDVANLKDNNEMLSQQLSTVESVFNKLKIKLHHMRDDLREKTLMLE
+RVQRDLSQSECQKQEIEHMYQNEQGKVNTYLGKQECLEERLSQLQSENMLLRQQLGNAQN
+RAESKEKTVISIQDQFQQIVRKLQAKYEKQGLMLEKRNKELIKEWNYLKERMYWYENEKA
+EEVSIQKEK
+>tr|E1BBY4|E1BBY4_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=OR8B4 PE=3 SV=3
+MALVNSSSLTEFILVGLSERSELQLPLFLLFLGIYVSTVVGNLGLITLIAMNSSLHTPMY
+FFLFNLSFIDFCYSCVFTPKMLIDFISENIISYVGCMTQLFFFCFFVNSECYVLVSMAYD
+RFVAICHPLLYTVTMSPQVCSLMMLGSYMIGFAGAMAHTGSMLRLSFCDSNIIHHYLCEV
+LPLLQLSCTSTHINELVFFIVVGGVITISSISIFTSYALILSSILRIPSAEGRSKAFSTC
+GSHVVAVALFFGSGAFTYLTTSFPGSKDQGKFASVFYTNVVPMLNPLIYSLRNKDVKLAL
+RKTLKTVLF
+>tr|G5E5R3|G5E5R3_BOVIN Peroxisomal carnitine O-octanoyltransferase OS=Bos taurus OX=9913 GN=CROT PE=1 SV=2
+MENQLAKSTEERTFQYQDSLPSLPVPSLEESLKKYLESVKPFANEEEYKNTEAIVWKFQN
+GIGEKLQQKLLQRAKGRRNWLEEWWLNVAYLDVRIPSQLNVNFGGPASHIEHYWPPKEGT
+QLERGSISLWHNLNYWHLWWQNVEKEKLAVEKVGNTPLDMNQFRMLFSTCKIPGITRDSI
+INYFRTESEGHSPSHLAVLCRGRVFVFDVMHEGYLMTAPEIQRQLTYIQKKCHSEPDGPG
+VAALTTEERTRWAKAREYLISLNPENLTILEKIQSSLLVFCLDDDSPHVTPEDYSQVSAK
+ILNGDPTVRWGDKSYNLIAFSNGVFGSNCDHAPFDAMVLVKVCYYVDENILENEGRWKGS
+EKVRDIPVPEELVFTVDEKVLNDINQAKAQYFKQVSDLQLVVYAFTSFGKKLTKEKQLHP
+DTFIQLALQLAYYRLHGRPGCCYETAMTRLFYHGRTETVRPCTVEAVNWCQSMQNPSTSL
+LERKHMMLEAFAKHNKMMKDCSTGKGFDRHLLGLSLIAKEEGLPVPELFTDPLFSRSGGG
+GNFVLSTSLVGYLRVQGVMVPMVHNGYGFFYHIRDDRFVVSCSAWKSCPETDAEKLVQQV
+FHAFCDMMQLMEMPHL
+>tr|E1BK85|E1BK85_BOVIN Jade family PHD finger 2 OS=Bos taurus OX=9913 GN=JADE2 PE=4 SV=3
+MEEKRRKYSISSDNSDTTDSHATSASRCSKLPSSTKSGWPRQNEKKPSEVFRTDLITAMK
+IPDSYQLSPDDYYILADPWRQEWEKGVQVPAGAEAIPEPVVRILPPLEGPPTQVSPSGSE
+LGEGSQPDWAGGSRYDLDEIDAYWLELINSELKEMEKPELDELTLERVLEELETLCHQNM
+ARAIETQEGLGIEYDEDVVCDVCRSPEGEDGNEMVFCDKCNVCVHQACYGILKVPTGSWL
+CRTCALGVQPKCLLCPKRGGALKPTRSGTKWVHVSCALWIPEVSIGCPEKMEPITKISHI
+PASRWALSCSLCKECTGTCIQCSMPSCVTAFHVTCAFDHSLEMRTILADNDEVKFKSFCQ
+EHSDGGPRGEAPSEPVEPSPASEDLEKVTLRKQRLQQLEEDFYELVEPAEVAERLDLAEA
+LVDFIYQYWKLKRKANSNQPLLTPKTDEVDNLAQQEQDVLYRRLKLFTHLRQDLERVRNL
+CYMVTRRERTKHAICKLQEQIFHLQMKLIEQDLCRERSGRKTKGKKSDSKRKGREGPKGS
+PEKKEKVKAGSDSVLGQLGLSTSFPIDGTFFNSWLAQSVQITAENMAMSEWSLNNGHRED
+PAPGLLSEELLQDEETLLSFMREPSLRPGDPARKARGRTRLPAKKKPPQDGPGSRTTPDK
+PPKKPWGQDTGSSKGGQGPPARKPPRRTPSHLPSSPTAGDCPIPAAPESPPPLAPETPEE
+AAPVAADSNVQVPGPTASPKPLGRLRLPRESKGTRRSPGARPDAGTGPPSAVAERPKNFS
+NKNLAKVQ
+>tr|E1BIC9|E1BIC9_BOVIN GDNF inducible zinc finger protein 1 OS=Bos taurus OX=9913 GN=GZF1 PE=4 SV=2
+MESGAVLLESKSSPINLLHEMHQLRLLGHLCDVTVSVEYQGVREEFMAHKAVLAATSKFF
+KEVFLNEKTVDGARTNVYLDEVQVADFASFLEFVYTAKVQVEEDRVQRMLEMAEKLKCLD
+LSETCFQLKKQMLESVLLELQNFSESQEAEGSSGAQVTAALAPEAWAGMAPDGPLANGVA
+SSLDPPAERIGNGLLPDLPPRKSREKPDKRKEVAKPPYPKLRRASGRLAGRKVFVEIPKK
+KYTRRLREQQKSVEQDMGGCGGPREPSPEALENEKEAVTKDEEDSGAGAGVEAAPPKVGR
+GEEGDEEEEEGEEGPGRRRSNFQCTRCEKAFLYEKSFLKHVRLHHGVATEVVHRCDTCGQ
+TFANRCNLKGHQRHVHSSERHFPCELCGKKFKRKKDVKRHVVQVHEGGGERHQCQQCGKG
+LSSKTALRLHERTHTGHKPYGCSECPATFSQPSALKTHLRIHTGEKPFVCDECGARFTQN
+HMLIYHKRCHTGERPFMCETCGKSFASKEYLKHHNRIHTGSKPFKCEVCFRTFAQRNSLY
+QHIKVHTGERPYCCDQCGKQFTQLNALQRHHRIHTGEKPFMCNACGRAFTDKSTLRRHTS
+IHDKTTPWKSFLVIVDGSPKNGDGHKTEQPDDEYAPSKLSDKLLSFAENGHFHNLATVQG
+SMPAMHEDSPADPACKSDGPVGSQDTLLATAISELSELTPQTEPGPHSSAL
+>tr|E1BNY9|E1BNY9_BOVIN HECT, UBA and WWE domain containing 1, E3 ubiquitin protein ligase OS=Bos taurus OX=9913 GN=HUWE1 PE=1 SV=2
+MKVDRTKLKKTPTEAPADCRALIDKLKVCNDEQLLLELQQIKTWNIGKCELYHWVDLLDR
+FDGILADAGQTVENMSWMLVCDRPEKEQLKMLLLAVLNFTALLIEYSFSRHLYSSIEHLT
+TLLASSDMQVVLAVLNLLYVFSKRSNYITRLGSDKRTPLLTRLQHLAESWGGKENGFGLA
+ECCRDLHMMKYPPSATTLHFEFYADPGAEVKIEKRTSSNTLHYIHIEQLDKISESPSEIM
+ESLTKMYSIPKDKQMLLFTHIRLAHGFSNHRKRLQAVQARLHAISILVYSNALQESANSI
+LYNGLIEELVDVLQITDKQLMEIKAASLRTLTSIVHLERTPKLSSIIDCTGTASYHGFLP
+VLVRNCIQAMIDPSMDPYPHQFATALFSFLYHLASYDAGGEALVSCGMMEALLKVIKFLG
+DEQDQITFVTRAVRVVDLITNLDMAAFQSHSGLSIFIYRLEHEVDLCRKECPFVIKPKIQ
+RPSTAQEGEEMETDMDGVQCIPQRAALLKSMLNFLKKAIQDPAFSDGIRHVMDGSLPTSL
+KHIISNAEYYGPSLFLLATEVVTVFVFQEPSLLSSLQDNGLTDVMLHALLIKDVPATREV
+LGSLPNVFSALCLNARGLQSFVQCQPFERLFKVLLSPDYLPAMRRRRSSDPLGDTASNLG
+SAVDELMRHQPTLKTDATTAIIKLLEEICNLGRDPKYICQKPSIQKADGTAAAPPPRSNH
+AAEEASSEDEEEEEVQAMQSFNSTQQNETEPNQQVVGTEERIPIPLMDYILNVMKFVESI
+LSNNTTDDHCQEFVNQKGLLPLVTILGLPNLPIDFPTSAACQAVAGVCKSILTLSHEPKV
+LQEGLLQLDSILSSLEPLHRPIESPGGSVLLRELACAGNVADATLSAQATPLLHALTAAH
+AYIMMFVHTCRVGQSEIRSISINQWGSQLGLSVLSKLSQLYCSLVWESTVLLSLCTPNSL
+PSGCEFGQADMQKLVPKDEKTGTTQGGKRSDGDQDGTTGSMDASTQGLLEGIGLDGDTLA
+PMETDEPTASDSKGKSKITPAMAARIKQIKPLLSASSRLGRALAELFGLLVKLCVGSPVR
+QRRSHHAASTTTAPTPAARSTASALTKLLTKGLSWQPPPYTPTPRFRLTFFICSVGFTSP
+MLFDERKYPYHLMLQKFLCSGGHNALFETFNWALSMGGKVPVAEGLEHSDLPDGTGEFLD
+AWLMLVEKMVNPTTVLESPHSLPAKLPGGVQNFPQFSALRFLVVTQKAAFTCIKNLWNRK
+PLKVYGGRMAESMLAILCHILRGEPVIRERLSKEKEGSRGEEDTGQEEGGSRREPQVNQQ
+QLQQLMDMGFTREHAMEALLNTSTMEQATEYLLTHPPPIMGGVVRDLSMSEEDQMMRAIA
+MSLGQDIPMDQRAESPEEVACRKEEEERKAREKQEEEEAKCLEKFQDADPLEQDELHTFT
+DTMLPGCFHLLDELPDTVYRVCDLIMTAIKRNGADYRDMILKQVVNQVWEAADVLIKAAL
+PLTTSDTKTVSEWISQMATLPQASNLATRILLLTLLFEELKLPCAWVVESSGILNVLIKL
+LEVVQPCLQAAKEQKEVQTPKWITPVLLLIDFYEKTAISSKRRAQMTKYLQSNNNNWRWF
+DDRSGRWCSYSASNNSTIDSAWKSGETSVRFTAGRRRYTVQFTTMVQVNEETGNRRPVML
+TLLRVPRLNKNSKNSNGQELEKTLEESKEMDIKRKENKTSDTPLALDSTNTEKETSLEET
+KIGEILIQGLTEDMVTVLIRACVSMLGVPVDPDTLHATLRLCLRLTRDHKYAMMFAELKS
+TRMILNLTQSSGFNGFTPLVTLLLRHIIEDPCTLRHTMEKVVRSAATSGAGSTTSGVVSG
+SLGSREINYILRVLGPAACRNPDIFTEVANCCIRIALPAPRGSGTASDDEFENLRIKGPN
+AVQLVKTTPLKPSPLPVIPDTIKEVIYDMLNALAAYHAPEEADKSDPKPGGMTQEVGQLL
+QDMGDDVYQQYRSLTRQSSDFDAQTGFSINSQVFAADGSSTETSASGVSQGEVSTPEESR
+DGKKDKEGDRASEEGKQKGKGSKPLMPTSTILRLLAELVRSYVGIATLIANYSYTVGQSE
+LIKEDCSVLAFVLDHLLPHTQNAEDKDTPALARLFLASLAASGSGTDAQVALVNEVKAAL
+GRALAMAESTEKHARLQAVMCIISTIMESCPSTSSFYSSATAKTQHNGMNNIIRLFLKKG
+LVNDLARVPHSLDLSSPNMANTVNAALKPLETLSRIVNQPSSLFGSKSASSKSKSEQDAQ
+GAAQDSNSNQQDPGEPGETEVQEEDHDVTQTEVADGDIMDGEAETDSVVIAGQPEVLSSQ
+EMQVENELEDLIDELLERDGGSGNSTIIVSRSGEDESQEDVLMDEAPSNLSQASTLQANR
+EDSMNILDPEDEEEHTQEEDSSGSNEDEDDSQDEEEEEEEDEEDDQEDDEGEEGDEDDDD
+DGSEMELDEDYPDMNASPLVRFERFDREDDLIIEFDNMFSSATDIPPSPGNIPTTHPLMV
+RHADHSSLTLGSGSSTTRLTQGIGRSQRTLRQLTANTGHTIHVHYPGNRQPNPPLILQRL
+LGPSAAADILQLSSSLPLQSRGRARLLVGNDDVHIIARSDDELLDDFFHDQSTATSQAGT
+LSSIPTALTRWTEECKVLDAESMHDCVSVVKVPIVNHLEFLRDEELEERREKRRKQLAEE
+ETKITDKGKEDKENRDQSAQCAASKTNDSTEQNLSDGTPMPDSYPATPSSTDVATSEPRE
+TLVTLQPSQQQPTLPTPPALGEIPQELQSPIGEGGSSTQLLMPVEPEELGPTRPSGEAET
+TQMELSPAPTITSLSPERAEDSDALTAVSSQLEGSPMDTSSLASCTLEEAVGDAPATGSS
+EQPTAGSSTPGDAPPVVTEVQGRGDGSGEPTQPPEDSSPPASSESSSTRDSAVAISGADS
+RGILEEPLPSTSSEEEDPLAGISLPEGVDPSFLAALPDDIRREVLQNQLGIRPPTRTAPS
+TNNSAPAVVGNPGVTEVSPEFLAALPPAIQEEVLAQQRAEQQRRELAQNASSDTPMDPVT
+FIQTLPSDLRRSVLEDMEDSVLAVMPPDIAAEAQALRREQEARQRQLMHERLFGHSSTSA
+LSAILRSPAFTSRLSGNRGVQYTRLAVQRGGTFQMGGSSSHNRPSGSNVDTLLRLRGRLL
+LDHEALSCLLVLLFVDEPKLNTSRLHRVLRNLCYHAQTRHWVIRSLLSILQRSSESELCI
+ETPKLSSSEEKGKKSSKSCGSSSHENRPLDLLHKMESKSSNQLSWLSVSMDAALGCRTNI
+FQIQRSGGRKHTEKHASSGSTVHIHPQAAPVVCRHVLDTLIQLAKVFPSHFTQQRTKETN
+CESDRERGSKACSPCSSQSTSSGICTDFWDLLVKLDNMNVSRKGKNSVKSVPVSAGGEGE
+TSPYSLEASPLGQLMNMLSHPVIRRSSLLTEKLLRLLSLISIALPENKVSEAQANSGSSA
+SSTTVATSTTSTTTISATSSTSTLPAATTPVTSVPALVAATAISTIAVAASTTVTTPTTA
+TTTVSTATTTKASKSPAKVGDIGSSSSTDFKMVSSGLTENQLQLSVEVLTSHSCSEEGLE
+DAANVLLQLSRGDPGTRDTVLKLLLNGARHLGYTLCKQIGTLLAELREYNLEQQRRAQCE
+TLSPDGMPEEQPQTTKLKGKMQSRFDVAENVVIVASQKRPLGGRELQLPSMSMLTSKTST
+QKFFLRVLQVIIQLRDDTRRANKKAKQTGRLGSSGLGSASSIQAAVRQLEAEADAIIQMV
+REGQRARRQQQAATSESSQSEASVRREESPMDVDQPSPSAQDTQSIGSDGTPQGEKEKEE
+RPPELPLLSEQLNLDELWDMLGECLKELEESHDQHAVLVLQPAVEAFFLVHATERESKPP
+VRDTRESQLAHIKDEPPPLSPAPLTPATPSSLDPFFSREPSSMHISSSLPPDTQKFLRFA
+ETHRTVLNQILRQSTTHLADGPFAVLVDYIRVLDFDVKRKYFRQELERLDEGLRKEDMAV
+HVRRDHVFEDSYRELHRKSPEEMKNRLYIVFEGEEGQDAGGLLREWYMIISREMFNPMYA
+LFRTSPGDRVTYTINPSSHCNPNHLSYFKFVGRIVAKAVYDNRLLECYFTRSFYKHILGK
+SVRYTDMESEDYHFYQGLVYLLENDVSTLGYDLTFSTEVQEFGVCEVRDLKPNGANILVT
+EENKKEYVHLVCQMRMTGAIRKQLAAFLEGFYEIIPKRLISIFTEQELELLISGLPTIDI
+DDLKSNTEYHKYQSNSIQIQWFWRALRSFDQADRAKFLQFVTGTSKVPLQGFAALEGMNG
+IQKFQIHRDDRSTDRLPSAHTCFNQLDLPAYESFEKLRHMLLLAIQECSEGFGLA
+>tr|F6RJG0|F6RJG0_BOVIN 3-hydroxy-3-methylglutaryl coenzyme A synthase OS=Bos taurus OX=9913 GN=HMGCS1 PE=1 SV=2
+HYPFVGRSLSTATARSYLGECPEWRRRYQLITRTETTTVRANKIRRKNSFTMPGSLPLNA
+EACWPKDVGIVALEIYFPSQYVDQAELEKYDGVDAGKYTIGLGQAKMGFCTDREDINSLC
+MTVVQNLMERNSLSYDCIGRLEVGTETIIDKSKSVKTNLMQLFEESGNTDIEGIDTTNAC
+YGGTAAVFNAVNWIESSSWDGRYALVVAGDIAVYATGNARPTGGVGAVAMLIGPNAPLIF
+ERGLRGTHMQHAYDFYKPDMLSEYPIVDGKLSIQCYLSALDRCYSVYRKKIRARWQKEGN
+DRDFTLNDFGFMIFHSPYCKLVQKSLARMLLNDFLNDQNRDKNSIYSGLEAFGDVKLEDT
+YFDRDVEKAFMKASSELFNQKTKASLLVSNQNGNMYTSSVYGSLASVLAQYSPQQLAGKR
+IGVFSYGSGLAATLYSLKVTQDATPGSALDKIVASICDLKSRLDSRTCVAPDIFAENMKL
+REDTHHLANYIPQSSIDSLFEGTWYLVRVDEKHRRTYARRPSLNDDTLNEGVGLVHPSTT
+AEHIPSPAKKVPRLPATAAEPEAAVISNGEH
+>tr|F1MWF6|F1MWF6_BOVIN ArfGAP with coiled-coil, ankyrin repeat and PH domains 3 OS=Bos taurus OX=9913 GN=ACAP3 PE=4 SV=3
+MTVEFEECIKDSPRFRATIDEVETDVVEIEAKLDKLVKLCSSMIEAGKAYVTTNRLFVSG
+IRDLSQQCQGDTVISECLQRFGDSLQEMVTYHMILFDQAQRSVRQQLHNFVKEDVRKFKE
+TKKQFDKVREDMELSLVRNAQAPRHRPHEVEEATGALILARKCFRHLALDYVLQINVLQA
+KKKFEILDSMLSFMHAQYSFFQQGYSLLHQLDPYMKKLAAELDQLVIDSAVEKREMERKH
+AAIQQRDFSYDEPKVEFDVDAPSGVVMEGYLFKRASNAFKTWNRRWFSIQNSQLVYQKKL
+KDVLTVVVDDLRLCSVKPCEDVERRFCFEVVSPTNDPSPGPQRLDRTASPSTSSIDSATD
+SRERSVKGESVLQRVQNVAGNSQCGDCGQPDPRWASINLGVLLCIECSGIHRSLGVHCSK
+VRSLTLDSWEPELLKLMCELGNSTVNQIYEAQCEGPGSRKPTASSPRQDKEAWIKDKYVE
+KKFVRRPPSAPAREAPQRWRVQKCQRHHSSPRAPAPRRSKVRMEPVLPSVAALCSGSMEP
+RFRRDSLFCPDELDSLFSYFDAGAAAAGPRSLSSDSGLGGSSDGSSDVLAFGVGSVVDRV
+AEEEGAESEESSGEADGEAETWGLADVRELHPGLLAHRAARTRDLPALAAALAHGAEVNW
+ADAEDEGKTPLVQAVLGGSLIVCEFLLQNGADVNQRDSHGRAPLHHATLLGRTGQVCLFL
+KRGADQHALDHAQQDPLSIAVQEANADIVTLLRLARMAEEMREAEAPSGQPGSLTGSSPT
+ELQYRRCIQEFISLHLDES
+>tr|F6QR69|F6QR69_BOVIN G protein-coupled receptor 62 OS=Bos taurus OX=9913 GN=GPR62 PE=4 SV=1
+MSARASEPAPGQPVSTGRSLSARMANATGLSAPEVAASMGLILAALVEAAALLGNGALLV
+VVLRTPGLRDALYLVHLCVVDLLAAASIMPLGLLAAPPPGLGRVRLGPAPCRAARFLSAA
+LLPACTLGVAALGLARYRLIVHPLRPGARPPPTIVLTAVWAAAGLLGALSLLGPPPAPPP
+APARCSVLAGGLGPFRPLWALLAFALPALLLLGAYGSIFLVARRAALRPPRPARGSRPRS
+DSLDSRLSILPPLRPRPPWGKAALAPALAVGQFAACWLPYGCACLAPAAQAAAAEAAVTW
+IAYSAFAAHPFLYGLLQRPVRRTLGRLARRALPWSPRACTSRAWHPRALLQHLQRPPEGP
+ALGPSEAPDQGRDLTERESLSMSEAT
+>tr|A0A3Q1LVH7|A0A3Q1LVH7_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MGSRMDRNGMDLTEAEDIKKRWQEYTEELYKKDLHDPDNHDGVITDLEPDILECEVKWAL
+GSITMNKASGGDGIPVELFQILKDDAVKVLHSVGQQIWKTQQWPQDWKRSVFIPIPKKGN
+AKECSNYRTIALISHASKVMFKILQARLQQYVNHELPDVQAGFRKGRGTRDQIANIRWIM
+EKAREFQKNIYFCFIDYDKAFDCVDHNKLWKILKEMGIPDHLTCLLRNLYAGQEATVRTG
+HGTTDWFQRGKGVHQGCILSPCLFNFYAEYIMRNAGLEEAQAGIKIAGRNISNLRYADDT
+TLMAESEEELKSLLMKVNVESEKVGLKLNIQKTDHGIPSHHFMGNRWGNSENWQTLFFGA
+PKSLQMVTAAMKEAYSLEGKF
+>tr|A0A3Q1M5C0|A0A3Q1M5C0_BOVIN Tropomyosin alpha-1 chain OS=Bos taurus OX=9913 GN=TPM1 PE=1 SV=1
+MSLEGRRVAGLGSGVLGFFTVPLGTHLSPKPLARLLSLLGRDLAVRSGALPPPVGGTVAP
+CSQIRYSGFSAAPGRGAGSPSNILGAVGPRSPAHLVPPQRAPRPSAGQPRARAVPPATGE
+FPGSAARDPPRPLGPPPALAHPLAVRLSFPGGRDFRAEPGPQGAPPSRAAAWAGRAVGRS
+AAAAAAAGAQQPASPTAARPLAGPPPLGAMAGSSSLEAVRRKIRSLQEQADAAEERAGSL
+QRELDYERKLRETAEADVASLNRRIQLVEEELDRAQERLATALQKLEEAEKAADESERGM
+KVIESRAQKDEEKMEIQEIQLKEAKHIAEDADRKYEEVARKLVIIESDLERAEERAELSE
+GKCAELEEELKTVTNNLKSLEAQAEKYSQKEDKYEEEIKVLSDKLKEAETRAEFAERSVT
+KLEKSIDDLEDQLYQQLEQNRRLTNQLKLALNED
+>tr|A0A3Q1LQH4|A0A3Q1LQH4_BOVIN Gamma-aminobutyric acid type A receptor delta subunit OS=Bos taurus OX=9913 GN=GABRD PE=3 SV=1
+MDELAWLLPPLLLLCAQHRGARAMNDIGDYVGSNLEISWLPNLDGLIEGYARNFRPGIGG
+PAVNVALAIEVASIDHISEVNMEYTMTVFLHQSWRDSRLSYNHTNETLGLDSRFVDKLWL
+PDTFIVNAKSAWFHDVTVENKLIRLQPDGVILYSIRITSTVACDMDLAKYPMDEQECMLH
+LESYGYSSEDIVYYWSENQEQIHGLDKLQLAQFTITSYRFTTELMNFKSAGQFPRLSLHF
+HLRRNRGVYIIQSYMPSVLLVAMSWVSFWISQAAVPARVSLGITTVLTMTTLMVSARSSL
+PRASAIKALDVYFWICYVFVFAALVEYAFAHFNADYRKKQKAKAKAKVKVKEQRAEMDVK
+NAIVLFSLSAAGVTQELAVSRRPCRLPGNLMGSYSEGLSPKPSWGPSRWWFQWIHPHSAP
+CPHSRVFKLLTHSPGSPPSHPSFRELPQLYEVPPPSDGPPHSKVSTHINKLSPFQPYVHP
+APIPTSWRATPTRPSMGKPGVVGSPRPCSGH
+>tr|A0A3Q1MR65|A0A3Q1MR65_BOVIN Kinesin light chain 3 OS=Bos taurus OX=9913 GN=KLC3 PE=4 SV=1
+MSVQVAAPGGLGLGLERPSPEELVRQTRQVVKGLEALRAEHRGLAGHLAEALAAQGPAAG
+LELLEEKQQVVSHSLEAIELGLGEAQVLLALSAHVGALEAEKQRLRAQARRLAQENAWLR
+EELEETQRRLRASEEAVAQLEEEKSHLEFLGQLRQYDPPAESQQPESPPRRDSLASLFPS
+EEEERRGPEAVGAAAAQQGGYEIPARLRTLHNLVIQYAGQGRYEVAVPLCRQALEDLERS
+SGHCHPDVATMLNILALVYRDQNKYKEATDLLHDALQIREQTLGPEHPAVAATLNNLAVL
+YGKRGRYREAEPLCQRALEIREKVLGADHPDVAKQLNNLALLCQNQGKFEEVERHYARAL
+SIYEALGGPHDPNVAKTKNNLASAYLKQNKYQQAEELYKEILHREALPAPLGAPNTGTTS
+DTQQQVRMALCGLPGEGGPRWQGRLTGVPGALPRPFVGAAPSLSSGSPSGAEARSWSPGS
+EARERRGRPGESTPLPILLEDHMWLPPPLRHTVRPGARVSQGGSQTHCRAPFWAG
+>tr|F1N068|F1N068_BOVIN E3 ubiquitin-protein ligase TRIM9 OS=Bos taurus OX=9913 GN=TRIM9 PE=4 SV=3
+MEEMEEELKCPVCGSFYREPIILPCSHNICQACARNILVQTPESESPQSRRASGSGVSDY
+DYLDLDKMSLYSEADSGYGSYGGFASAPTTPCQKSPNGVRVFPPAMPPPATHLSPALASV
+PRNSCITCPQCHRSLILDDRGLRGFPKNRVLEGVIDRYQQSKAAALKCQLCEKAPKEATV
+MCEQCDVFYCDPCRLRCHPPRGPLAKHRLVPPAQGRVSRRLSPRKVSTCTDHELENHSMY
+CVQCKMPVCYQCLEEGKHSSHEVKALGAMWKLHKSQLSQALNGLSDRAKEAKEFLVQLRN
+MVQQIQENSVEFEACLVAQCDALIDALNRRKAQLLARVNKEHEHKLKVVRDQISHCTVKL
+RQTTGLMEYCLEVIKENDPSGFLQISDALIRRVHLTEDQWGKGTLTPRMTTDFDLSLDNS
+PLLQSIHQLDFVQMKASSPVPATPILQLEDCCTHNNSATLSWKQPPLSTVPAEGYILELD
+DGNGGQFREVYVGKETMCTVDGLHFNSTYNARIKAFNKTGVSQYSKTLVLQTSEDTDSEE
+QTVPFPVPSERLPLRRMSPFSSTLNLQPSFPGRAYFDFRSSPHQLSLHSSLQSLNAPGCN
+FETQSASYSQLVDIKKLLAVAWFAFDPGSAHSDIIFSNDNLTVTCSSYDDRVVLGKTGFS
+KGVHYWELTVDRYDNHPDPAFGVARIDVMKDVMLGKDDKAWAMYVDNNRSWFMHNNSHTN
+RTEGGITKGATIGVLLDFNRKTLTFFINDEQQGPIAFENVEGLFFPAVSLNRNVQVTLHT
+GLQVPDFYSSRASIA
+>tr|G3X6Y2|G3X6Y2_BOVIN Chromosome X CXorf38 homolog OS=Bos taurus OX=9913 GN=CXHXorf38 PE=4 SV=2
+MVLSELAVRLNCAEYKNWVKAGHCLLLLRGCLQGFVGREMLAFHSRLLAAAPGLGPRAAC
+SSGSRCSPRARQFQPQCQVCAEWKREILKHHTNRNGDVHWGNCRPAFWPVDAWEVAKAFM
+PRGLADKTGPEECDAVALLNLINSCDHFVVDRRKVTEVIKCRNEIMHSSEMKVSSVWLRD
+FQMKIQNFLNEFKNIPEIVAVYSQIEQLLTSDWAVYIPEEDQRDGCDKGVYLSESQVNEI
+EMELLKEKLQELYLQVKEQEVLPEEILNQLEVVKEFLRNNEDLRNGLTEDIQKLDSLRQQ
+HLKPDSKEPGV
+>tr|E1BA69|E1BA69_BOVIN Zinc finger protein 296 OS=Bos taurus OX=9913 GN=ZNF296 PE=4 SV=3
+MSRRKAGCMPRRIEPPPAASSDDEMEMRDLVIDVKPEPDPRPLQAPGLGPFTPKEVPAPE
+RFESEPRHCAGPVSTGGLIHALGLRNQWTPLSLSPHDRQPWTDKHPDLLTCGRCMQTFPL
+EAITAFVDHKKLGCQLFRGPSPCQGSEHEDLKALNCLRCGRQFTGAWKLLRHAQWDHGLS
+IYQTEPESPEAPLLGLAEVAVAVSAVSSVVGPEAEVKGSRVGPTRRSPTCPVCKKTLSSF
+SNLKVHMRSHTGERPYACDQCPYACAQSSKLNRHKKTHRQLQPQSPCLADTGQEQASTAP
+PEPAAHAAAPASVLPCRGGEGAGAAATAGVQEPGAPGGGAQVGPGGDSWGADTKERRTDQ
+EKNQKMSPKKTLKPAGKNRGPGPGGSCEFCGKHFTNSSNLTVHRRSHTGERPYACELCSY
+ACAQSSKLNRHRRMHGLGPGGPRFACPHCCVPFGLRATLDKHLRQKHPEMAGEA
+>tr|E1BC51|E1BC51_BOVIN G protein-coupled receptor 176 OS=Bos taurus OX=9913 GN=GPR176 PE=4 SV=1
+MGHNGSWISRNASEPRNASSAEVAGANRSALGEFGEAQLYRQFTTTVQVVIFIGSLLGNF
+MVLWSTCRTTVFKSVTNRFIKNLACSGICASLVCVPFDIILSTSPHCCWWIYTMLFCRVV
+KFLHKVFCSVTILSFPAIALDRYYSVLYPLERKISDAKSRELVIYIWAHAVVASVPVFAV
+TNVADIYAMSTCTEVWSNSLGHLVYVLVYNVTTVIVPVAVVFFFLILIRRALSASQKKKV
+IIAALRTPQNTISIPYASQREAELHAMLLSMVMVFILCSVPYATLVVYQTVLNVPNTSIF
+LLLTAIWLPKVSLLANPVLFLTVNKSVRKCLVGTLVQLHHRYSRRNVVGTGSGMADASLE
+PSMRSGSQLLEMFHIGQQQIFKPTEDEEESEAKYPGSGALQAKELLPTCLEAELGPQFAT
+PTPPPGTVDSISQVAPAVSVESETFPDKYSLQFGFGPFELPPQWLSETRNSKKRLLPPLG
+NTPEELIQTKMPKVGRVERKMSRNNKVSIFPKVDS
+>tr|E1BGC1|E1BGC1_BOVIN Methylcrotonoyl-CoA carboxylase 1 OS=Bos taurus OX=9913 GN=MCCC1 PE=1 SV=2
+MSAASLVSVLLVAAERHRWQRVPRLLLPPRLRSEQSRLGGLVKDMECQGNQSELGWAWKQ
+RTRKYATTTGQNISKVLIANRGEIACRVIRTAKKMGVQSVAVYSEADRNSMHVDMADEAY
+LIGPAPSQQSYLSMEKIIQVAKISAAQAIHPGYGFLSENMEFAELCKQEGIIFVGPPSSA
+IRDMGIKSTSKSIMAAAGVPVVEGYHGEDQSDQCLKEHARTIGYPVMIKAVRGGGGKGMR
+IVRSEKEFQEQLESARREAKKSFNDDAMLIEKFVDTPRHVEVQVFGDHHGNAVYLFERDC
+SVQRRHQKIIEEAPGPGIKPEVRKKLGEAAVRAAKAVNYVGAGTVEFIMDSKHNFYFMEM
+NTRLQVEHPVTEMITGTDLVEWQLRIAAGEKIPLSQEEITLRGHAFEARIYAEDPNNNFM
+PGAGPLVHLSTPRADLTTRIETGVRQGDEVSMHYDPMIAKLVVWAADRQAALTKLKYSLR
+QYNIVGLHTNIDFLLSLSGHPEFEAGNVHTDFIAQHRKELLPDRKTTAKEFLCHAALALI
+LKEKAVSDVFKIQTQDQYSPFASSSGRRLNICYSRNMTLRDGKNNVAIAVTYNRDGSYSM
+QIEDKTFHVLGDLCSEGDCTYLKSSVNGVASKIKLIILKNTIYLFSMDGSIQIGIPVPRY
+LSSASSGETQGGAVAPMTGTVEKVFVKAGDRVKAGDSLMVMIAMKMEHTIKAPKDGTIKK
+VFYKEGSQANRHAPLVEFEEEDSDEREAD
+>tr|F1MIL9|F1MIL9_BOVIN Glucosamine (UDP-N-acetyl)-2-epimerase/N-acetylmannosamine kinase OS=Bos taurus OX=9913 GN=GNE PE=4 SV=3
+MEKKENNRKLRVCVATCNRADYSKLAPIMFGIKMEPEFFELDVVVLGSHLIDDYGNTYRM
+IEQDDFDINTRLHTIVRGEDEAAMVESVGLALVKLPDVLNRLKPDIMIVHGDRFDALALA
+TSAALMNIRILHIEGGEVSGTIDDSIRHAITKLAHYHVCCTRSAEQHLISMCEDHDRILL
+AGCPSYDKLLSAKNKDYMSIIRMWLGDDVKPKDYIVALQHPVTTDIKHSIKMFELTLDAL
+ISFNKRTLVLFPNIDAGSKEMVRVMRKKGIEHHPNFRAVKHVPFDQFIQLVAHAGCMIGN
+SSCGVREVGAFGTPVINLGTRQIGRETGENVLHVRDADTQDKILQALHLQFGKQYPCSKI
+YGDGNAVPRILKFLKSIDLQEPLQKKFCFPPVKDNISQDIDHILETLSALAVDLGGTNLR
+VAIVSMKGEIVKKYTQFNPKTYEERINLILQMCVEAAAEAVKLNCRILGVGISTGGRVNP
+REGIVLHSTKLIQEWNSVDLRSPLSDTLHLPVWVDNDGNCAALAERKFGQGKGLENFVTL
+ITGTGIGGGIVHQHELIHGSSFCAAELGHIVVSLDGPDCSCGSHGCIEAYASGMALQREA
+KKLHDEDQLLVEGMSVPKDEAVGALHLIQAAKLGNAKAQSILRTAGTALGLGVVNILHTM
+NPSLVILSGILASHYIHTVKDVIRQQALSSVQDVDVVVSDLVEPALLGAASMVLDYTTRR
+IY
+>tr|F1MCL7|F1MCL7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=SHROOM2 PE=4 SV=3
+MPHLEPGDRSVGWPQRKLCRLPAKSDLCRSSLAPAVFLSGVSVCRQRCCLRRGKQKSTKV
+EEGSKAAAVDKLLAGDEIVGINDVGLSGFRQEAICLVKGSHKTLKLVVKRRNELNWRPHS
+WHATKFSDSQSEPAAPLLPCPSRPSRYHASSSSQDLSGGAWEPANLQRTSDHFSSLGSVD
+SLDPSSQPDPSGRLSAAKSSSSIDRLGGASKRDSAYGSFSTRSSTPDHTLPKADASSAEN
+ILYKVGLWEASAAADRRPGLAAGDPQGTEEPLGCFPPRVPEDGPEPKLAACGRSSSGPVW
+YVPDKRRAPPSPPPPPPPLRRDSFAATRIHEQTQGPTVSADAAPAQPLPGLSCVQPHGGD
+WKRPEPADQLLRPARGADGRRAASSGCAPGAHVDCGWPACDSGSRALLGAPSRLQASLSS
+TDVRRPQPSPVCQHPRHLSDEGPFFRKGPRATTWLGEPLPADCLGDNTPAAIVIGGPRAA
+DQRGDCSAQSRYYCVMSRPGPQWGAPAPQPRCYPSRLEGAPGARQRSRADPVDRAPEDPP
+GAGLLDRGGRKRVVGSLDDGGGEICPLQTPMLHSLSRERACPPETRHKDMAPAPPEALAG
+KPLRRSDRFATTLRNEIQQRRARLQKSRSTATLASSAEEEGEVEDDVETEARGSWAQPVG
+APETTFPSGTYKDHLKEAQARVLRATSFRRRDLDLSLADPEPAPRVWEGAPGARPPLCAA
+GSGSGSGSARIGSRRRFTAEQKLKSYSEPEKMNAVGLTGDQSPAPHDETLWTFADRWKFF
+EETSKPVGPQPGPRPAPCGFPREKPEMLWTVGPGKDGKAGRPIPPERLGTFAEYQASWRE
+QRKVPEARGGGRYHSTDNILDVGVDQEERPQYVHERSRSSPSTDLYKQDASMEPRWEAGD
+PEEHTELSSAAPAEDRSPTPRQADGQRSEDHPGALRDPRQTSEPPGSREGPEVSLEARGR
+AGTLPRDYRYLEPRTPLEPPAQGQEPRPLSAAATARRPALQRPQPPKRELWPPPGDTPSR
+PHTSVASSEAVDTRSTRRVEEPTARPWREALLPAPFRPLQTSAMDSSRSPSPQFAPQKLT
+DKPPLLIQDENAARIERVMDNNTTVKMVPIKIVHSESQPEKESRQALARVPEPPPLPRGL
+ERDQIKTLSTSEQSYSRFCPYSRQGAEPQPATGALGPPAKEGRASPPVLSYVKAKDRTAD
+DLKSEELAREIAGRDKSLADILDPGVRMRTTMDLMEGIFPKDEHLLEGAQQRRKLLPKGP
+SPRTAEERREETSVLAAAPLATNSAYYSTSAPKAELLIKMKDLQEQQEPEEDSGSDLDHD
+LSVKKQELIESLGRKLQVLREARESLLEDVQANSALGDEVEALVKAVCKPNEFDKFRMFI
+GDLDKVVNLLLSLSGRLARVENALNNLDDSTPPGDRQSLLEKQRVLIQQHEDARELKENL
+DRREGIVFDILVSYLGPESLADYEHFVKMKSALIIEQRELEDKIHLGEEQLKCLLDSLQP
+ERGK
+>tr|E1BKS1|E1BKS1_BOVIN Syndecan OS=Bos taurus OX=9913 GN=SDC4 PE=3 SV=1
+MAPARLLALLLLLVGVPAAAAESIRETEVIDPQDLLESQYFSGDLPDDEDVGGPGQESDD
+FELSGSGDLDDSEDIRIFPEVMHPLVPIDNHIPEKTGSGVPTEPKELEENEVIPKRSSPF
+DGDEDVSNKVSMSSTAQGSNIFERTEVLAALVVGGVVGILFAVFLVLLLVYRMKKKDEGS
+YDLGKKPIYKKAPTNEFYA
+>tr|F1MBS4|F1MBS4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=SLC25A10 PE=3 SV=2
+MADEARVSRWYFGGLASCGAACCTHPLDLLKVHLQTQQEVKLRMTGMALQVVRSDGVLAL
+YNGLSASLCRQMTYSLTRFAIYETVRDQVTKGSEGPLPFYKKVLLGSISGCIGGFVGTPA
+DMVNVRMQNDMKLPQNQRRNYAHALDGLYRVAREEGLKKLFSGATMASSRGMLVTVGQLS
+CYDQAKQLVLSTGYLSDSIFTHFIASFIAGGCATFLCQPLDVLKTRLMNAKGEYRGVLHC
+AMETAKLGPLAFYKGLVPAGIRLMPHTVLTFVFLEQLRKHFGIKVPS
+>tr|F1MHU1|F1MHU1_BOVIN Mitochondrial calcium uptake family member 3 OS=Bos taurus OX=9913 GN=MICU3 PE=4 SV=2
+MAALRRLLWPPPRLPPPCSPHHPAHGPWGRPAGTAPGPPGRPFSCREEDEGAVAEAAWRR
+RRRWRELSFAAAAGGGLVGLVCYQLYGDPRADSARAAGPWLPSDSAAAEPEDPPGGGGLF
+PIPVAAAKETVAVGRTEVEDLDLYATSRERRFRLFASIECEGQLFMTPYDFILAVTTDEP
+KIAKTWKSLSKQELNQMLSETPPVWKGSSKLFRNLKEKGVISYTEYLFLLCILTKPHAGF
+RIAFNMFDTDGNEMVDKKEFLVLQEIFRKKNEKRETKGDEEKRAMLRLQLYGYHSPTNSV
+LKTDAEELVSRSYWDTLRRNTSQALFSDFAERADDITNLVTDTTLLVHFFGKKGKAELNF
+EDFYRFMDNLQTEVLEIEFLSYSNGMNTISEEDFAHILLRYTNVENTSVFLENVRYSIPE
+EKGITFDEFRSFFQFLNNLEDFAIALNMYNFASRSIGQDEFKRAVYVATGLKLSPHLVNT
+VFKIFDVDKDDQLSYKEFIGIMKDRLHRGFRGYKTVQKYPTFKSCLKKELHSR
+>tr|F1MV05|F1MV05_BOVIN DOMON domain-containing protein OS=Bos taurus OX=9913 GN=LOC112446429 PE=4 SV=3
+MACALLSWLLLFTALATPSQCNRLGPMPRLRYSRFLDPSNAVFLRWDFDFEAEIITFELQ
+VRTAGWVGLGVTDRYSRAGSDLVVGGVSPDGNVYFSDQHLVDENTLEEDGSQDAELQGLT
+EDAVYTTMRFSRPFRSCDPHDQDITSDTVRVLAAYGLDDTPKMDRERTFVKSIFLLQIVH
+PDDLDAPEDTIIHDLEITDFLIPEDDTTYACTFLPLPIVSKKHHIYKFEPKLVQHKETIV
+HHILVYACGNASALPTGISDCYGADPAFSLCTQVIVGWAVGGTSYQFPDDVGISIGTPLD
+PQWIRLEIHYSNFHNLPGLYDSSGIRVYYTAHLRKFDMGVLQLGVFTFPIHFIPPGAESF
+MSYGLCKTEKFEEMNGAPVPDIQVFGYLLHTHLAGRAIQAVQYRNGTQLRVICKDDAYDF
+NLQETRDLPYRVVIKPGDELLVECRYQTLDRDSLTFGGPSTINEMCLIFFFYYPRNNVSS
+CQGYPDIIYVAHELGEEVSDSMEGMMAMSNVEWTPESIKKVEKACKEAQQTVIIKTIDEL
+VENTTGWIQDINPTPRGPCLESSGGKVEPQDKTPAGFRAAPIVLSRASSATLRCLPLAAL
+LFGQGALSWLLATLQSGI
+>tr|F1MTE6|F1MTE6_BOVIN Short transient receptor potential channel 5 OS=Bos taurus OX=9913 GN=TRPC5 PE=3 SV=1
+MAQLYYKKVNYSPYRDRIPLQIVRAETELSAEEKAFLNAVEKGDYATVKQALQEAEIYYN
+VNINCMDPLGRSALLIAIENENLEIMELLLNHSVYVGDALLYAIRKEVVGAVELLLSYRR
+PSGEKQVPTLMMDTQFSEFTPDITPIMLAAHTNNYEIIKLLVQKRVTIPRPHQIRCNCVE
+CVSSSEVDSLRHSRSRLNIYKALASPSLIALSSEDPILTAFRLGWELKELSKVENEFKAE
+YEELSQQCKLFAKDLLDQARSSRELEIILNHRDDHSEELDPQKYHDLAKLKVAIKYHQKE
+FVAQPNCQQLLATLWYDGFPGWRRKHWVVKLLTCMTIGFLFPMLSIAYLISPRSNLGLFI
+KKPFIKFICHTASYLTFLFMLLLASQHIVRTDLHVQGPPPTVVEWMILPWVLGFIWGEIK
+EMWDGGFTEYIHDWWNLMDFAMNSLYLATISLKIVAYVKYNGSRPREEWEMWHPTLIAEA
+LFAISNILSSLRLISLFTANSHLGPLQISLGRMLLDILKFLFIYCLVLLAFANGLNQLYF
+YYETRAIDEPNNCKGIRCEKQNNAFSTLFETLQSLFWSVFGLLNLYVTNVKARHEFTEFV
+GATMFGTYNVISLVVLLNMLIAMMNNSYQLIADHADIEWKFARTKLWMSYFDEGGTLPPP
+FNIIPSPKSFLYLGNWFNNTFCPKRDPDGRRRRHNLRSFTERHADSLIQNQHYQEVIRNL
+VKRYVAAMIRNSKTNEGLTEENFKELKQDISSFRYEVLDLLGNRKHQRRSFSTSSTELSQ
+RDDTNDGSGGARAKSKSVSFNVGCKKKACHGPPLIRTMPRVGGAQGKSKAESSSKRSFMG
+PSLKKLGLLFSKFNGHMSEPSSEPMYTISDGIVQPHYMWQDIRYSQMEKGKAEACSQSEI
+NLSEVELGEARGTAQSTECPLACSSSLHCVSSICSSNSKLLDSSEDVFETWGEACDLLMH
+KWGDGQEEQVTTRL
+>tr|A0A3Q1M669|A0A3Q1M669_BOVIN Homeobox domain-containing protein OS=Bos taurus OX=9913 GN=SIX4 PE=4 SV=1
+MSSSSPTGQIASAADIKQENGMESASEGQEAPREVAGGAAAAAGLSPPAPAPFPLEPGDA
+AAAAARVSGEEGAVAAVAAAAGAAVDQVQLHSELLGRHHHAAAAAAQTPLAFSPDHVACV
+CEALQQGGNLDRLARFLWSLPQSDLLRGNESLLKARALVAFHQGIYPELYSILESHSFES
+ANHPLLQQLWYKARYTEAERARGRPLGAVDKYRLRRKFPLPRTIWDGEETVYCFKEKSRN
+ALKELYKQNRYPSPAEKRHLAKITGLSLTQVSNWFKNRRQRDRNPSETQSKSESDGNPST
+EDESSKGHEDLSPHQLSGSSDGVTNLSLSSHLEPVYMQQIGNAKISLSSSGVLLNGSLVP
+ASTSPVFLNGNSFIQGPNGVILNGLSVGNTQTVSLNPPKMASNIMSNGISMTDILGSTSQ
+DVKEFKVLQSSSANSAATTSYSPSAPVSFPGLIPSAEVKRESIQTVASQDGGSVVTFTTP
+VQINQYGIVQIPNSGANSQFLNGSIGFSPLQLPPVSVAASQGNISVNSSTSDGSTFTSES
+ATVQQGKVFLSSLAPSAVVYTVPNSGQTIGSVKQEGVERSLVFSQLMPVNQNAQVNANLS
+SESISGSGLHPLSSSLVNVSPTHNFSLTSPTLLNPTELNPDIADSQPMSAPVASKSTVTS
+VNNTNYAALQNCSLISGQDLLSVPMTQAALGEIVPTGEDQVGHPSPTVHQDFVREHHLVM
+QPVANIKENFLTNSESKTTSNLMMLDSKSKYVLDSMVETVCEDLETDKKELAKLQTVQLD
+EDMQDL
+>tr|A0A3Q1MUK8|A0A3Q1MUK8_BOVIN Potassium voltage-gated channel subfamily Q member 4 OS=Bos taurus OX=9913 GN=KCNQ4 PE=3 SV=1
+IERGEAGGGGSPRRLGLLGSPLPPGRSSAAHKRYRRLQNWVYNVLERPRGWAFVYHVFIF
+LLVFSCLVLSVLSTIQEHQEFANEFLLILEFVMIVVFGLEYIIRVWSAGCCCRYRGWQGR
+FRFARKPFCVIDFIVFVASVAVIAAGTQGNIFATSALRSMRFLQILRMVRMDRRGGTWKL
+LGSVVYAHSKELITAWYIGFLVLIFASFLVYLAEKDANSDFSSYADSLWWGTITLTTIGY
+GDKTPHTWLGRVLAAGFALLGISFFALPAGILGSGFALKVQEQHRQKHFEKRRMPAANLI
+QAAWRLYSTDASRAYLTATWYYYDSLLPSFSSRMGIKDRIRMGSSQRRTGPSKQHLAPPP
+MPTSPSSEQVGEASSPTKVQKSWSFNDRTRFRASLRLKPRTSAEEGPSEEVAEEKSYQCE
+LTVDDVMPAVKMVIRSVRILKFLVAKRKFKETLRPYDVKDVIEQYSAGHLDMLGRIKSLQ
+ARVDQIVGRGPGDRKAREKGDKGPSDTEAVDEISIMGRVVKVEKQVQSIEHKLDLLLGFY
+SRCLRSGTSVSLGTVQVPLFDPDITSDYHSPVDHEDISVSAQTLSISRSVSTNMD
+>tr|A0A3Q1MF57|A0A3Q1MF57_BOVIN Centromere protein J OS=Bos taurus OX=9913 GN=CENPJ PE=4 SV=1
+MFLMPTSSELNSGQDFLTQWMANPSRAGVILNRGFPILEAEEDKPANVNFSTNFPIKATK
+FSNSFSFIREEDSLHEEQKLEPNSPYKLQSDKPEPQRVFALTKEGPQLVARQDAPGQWED
+SKNEFIADLLSELKEVPYKDPLVKKLEQLKEEQQKKQEQLKRRQLEQLQRLMGEQEELLA
+LVSGQQTLPGLTPLPDDQSQKKYRPPGNSTTVEKTTPFLPSYIYQNQSQEKKHPSNILSS
+EQNDFCRTTHQDFVLTSRSGASPSVFYEAQCQEALVKKNDLKEENDNYSKGEGILPCLEK
+MTEQIQEGNDTNLKKIGDSSEVVNIEERPIKAAIRERKQTFEDYLEEQIRLEELERQQKQ
+LKEAEGSLLIKAKPKQPFLKRGEGLARFTNAKSKFQKGRESNLVTTQSISEDQPVFKSEK
+QQFQRKTALINKEICTENLPVKKNSKVRAKCRSLTLSQKPKVLKSNSRKSLSPSGLKMPA
+GKKCDGQFREQISLGKKVESNNKENVPECTKPCDVGGTIWNKARSKDRLPTSTGLISCMA
+SQNPASGSLKGSESSLDDSLQKKLENWEREKEKENLELDEFLFLEQAADEISFSSNSSFV
+LKILERDQQNCRGRRLSSTPVKSVREEKTATLGSVSQHNQNEDPGRAQCGSKAECEVAAR
+QRDSVFSPGASWAPSCEVRRRVYQTNPPERQARWSAGDGEGVTDSDHSTDLEEQLDVTIK
+PSSVGKERSSSSREDSPQVCDDKGPFRDTTTQEEEKRRDVDLDLSDKDYSSDDSLIIESL
+KNKLSDSSRRHSSISVNKIDFDDERTWTDLEDNSFKHDGVLGNEAIYGTPQTTCPNKSEM
+CVLDKTIKRKVVPVKKGEDLGKSSRGPSPPPTSGLMMEFFPSLKSKSKSHSRSGNDPKLD
+TSQDHPPGGNARSQVLREKIIELETEIEKFKAENASLAKLRTERESALEKLRKEIADFEQ
+QKAKELARIEEFKKEEMRKLQKERKVFEKYSTVARTFPDKKEREEIQVLKRQVSDLQEDL
+KRKEAKWSSTHGRLRSQIEMLVRENTDLREEIKVMERFRLDAWKKAEATESSARMGQCVT
+ASKKDGFLIEKVYKNGCHVVLFPNGTRKEVSADGETVTVTFFNGDIKQVMPDGRVVYYYA
+ATQTAHTTFPEGLEVLHFSNGQIEKHFPDGRKEITFPDRTIKNLFADGQEESIFPDGTVV
+RVQRDGNKIIEFNNGQRELHTAQFKRREYPDGTVKTVYTNGHQETKYTSGRVRMKDKDGN
+VLMDTKLS
+>tr|Q3T0N4|Q3T0N4_BOVIN Proline rich Gla (G-carboxyglutamic acid) 2 OS=Bos taurus OX=9913 GN=PRRG2 PE=2 SV=1
+MRGCPSVLLLYLGLATCLDTSPSGKQGREVFLDSPEAQSFLGSRKRVPRANYWDLELFTP
+GNLERECLEEICSWEEAREYFEDNILTDRFWESYIYNGKGGRGRVDVASLAVGLTVGVVL
+IILAGLGAFWYLHGRRGRRQRSCPQDVELIRPLSDLSPQTPQPPPPPPGLPTYEQALAAS
+GVHDAPPPPYTSLRRHS
+>tr|Q2KJD1|Q2KJD1_BOVIN SERPINE1 mRNA binding protein 1 OS=Bos taurus OX=9913 GN=SERBP1 PE=1 SV=1
+MPGHLQEGFGCVVTNRFDQLFDDESDPFEVLKAAENKKKEAGGGGVGGPGAKSAAQAAAQ
+TNSNAAGKQLRKESQKDRKNPLPPSVGVVDKKEETQPPVALKKEGLSREAWSGSWEAVAF
+PPDSLAGERMDRGSVKGATPRSTCSEADSGSSAASSCSELPPQSAALRNKTCWKKT
+>tr|Q3SZ15|Q3SZ15_BOVIN Eukaryotic translation elongation factor 1 epsilon 1 OS=Bos taurus OX=9913 GN=EEF1E1 PE=2 SV=1
+MAAAAELTLLEKSLGLSKGNKYSAQGERQIPVLQTNNGPSLTGLTTIAAHLVKQANKEYL
+LGSTAEEKAVVQQWLEYRVTRVDGHSSKDDIHTVLKDLNSYLEDKVYLTGYNFTLADILL
+YYGLHRFIVDLTVQEKEKYLNVSRWFCHIQHCPGIRQHLSSVVFIKNRLYTNSQ
+>tr|E1BNM5|E1BNM5_BOVIN Interferon stimulated exonuclease gene 20 OS=Bos taurus OX=9913 GN=ISG20 PE=4 SV=1
+MARSADVVAMDCEMVGLGPFRESGLARCSLVDYHGSVLYDKFIRPEGDITDYRTPVSGIT
+ARNMEGATPFAVARLEILQVLKGKLVVGHDLKHDFKALKENMSDYAIYDTAADRRLWREA
+GLQYCRRVSLRVLSQRLLGRRIQDSVLGHNSVEDARAAMELYRISRRIREQ
+>tr|A0A3Q1MW19|A0A3Q1MW19_BOVIN Zinc finger protein 532 OS=Bos taurus OX=9913 GN=ZNF532 PE=4 SV=1
+MTMGDMKTPDFDDLLAAFDIPDMVDPKAAIESAHDDQESHIKQGAQADDDSHAPSSSDVG
+VSVIVKNVRSIDSSDGAEKDSHNPPGNGLHNGFLTASSLDTYGKEGSKALKGDVPASEAT
+LKDSAFSQFSPISSAEEFDDDEKIEVDDPPDKEDPRTGFRANVLAGSGPQQDYDKLKALG
+GDGVSKAGVPAPGGLEKSKVVKRETETNSLNLGVYEPFKVRKMEDKLKESSEKVLENRVH
+EGKLGSEKNDAGLAGPVPSRTKPSSKLSSCIAAIAALSAKKAASDSSKELATNSRESSPL
+PKDVNDSPRATEKSPEPQNLIDGTKKASLKQPDSPRSVSSENSSKGSPASPAGSTPAIPK
+VRIKTIKTSSGEIKRTVTRVLPEVDLEAGRKPSEQAGPVVASVTSLLSSPTPAAVLASPP
+RAPLQSAVVANAVAPAELTPKQVTIKPVATAFLPVSAVKTAGSQVINLKLANNTTVKATV
+ISAASVQSASSAIIKAASAIQQQTVVVPASSLASAKLVPKTVHLANLNLLPQGAQAASEL
+RHVLTKPQQQIKQAILSAAASQPPKKVSRVQVVSSLQSSVVEAFNKVLSSVNPVPVYIPN
+LSPPASAGITLPTRGYKCLECGDSFALEKSLSQHYDRRSVRIEVTCNHCTKNLVFYNKCS
+LLSHARGHKEKGVVMQCSHLILKPVPADQMIVSPSSNTAPSSSSLSSSAGAGAHTVTKIQ
+PGITGTVISAPSSTPITPAMPLDEDPSKLCRHSLKCLECNEVFQDETSLATHFQQAADTS
+GQKTCTVCQMLLPNQCSYASHQRIHQHKSPYTCPECGAICRSVHFQTHVTKNCLHYTRRV
+GFRCVHCNVVYSDVAALKSHIQGSHCEVFYKCPICPMAFKSAPSTHSHAYTQHPGIKIGE
+PKIIYKCSMCDTVFTLQTLLYRHFDQHIENQKVSVFKCPDCSLLYAQKQLMMDHIKSMHG
+TLKSIEGPPNLGINLPLSIKPTTQNSANQNKEDTRSLNGKEKLEKKSPSPVKKSLEPKKV
+ASPGWTCWECGRLFTQRDVYISHVRKEHGKQMKKHPCRQCDKSFSSSHSLCRHNRIKHKG
+IRKVYTCSHCPDSRRTFTKRLMLEKHIQLMHGIKDPDLKEMTEATNEEETETKEDTKAPS
+PKRKLEEPVLEFRPPRGAITQPLKKLKINVFKVHKCAVCGFTTENLLQFHEHIPQHKSDG
+SSHQCRECGLCYTSHVSLSRHLFIVHKLKEPQPAAKQNGAGEENQPENQPGPEDAPADGP
+VSDRTCKVCAKTFETEAALNAHMRTHGMAFIKSKRVSSAEK
+>tr|E1BM30|E1BM30_BOVIN Adiponectin J OS=Bos taurus OX=9913 GN=C1QL3 PE=4 SV=1
+MVLLLVILIPVLVSSAGTSAHYEMLGTCRMVCDPYGGTKAPSTAATPDRGLMQSLPTFIQ
+GPKGEAGRPGKAGPRGPPGEPGPPGPVGPPGEKGEPGRQGLPGPPGAPGLNAAGAISAAT
+YSTVPKIAFYAGLKRQHEGYEVLKFDDVVTNLGNHYDPTTGKFTCSIPGIYFFTYHVLMR
+GGDGTSMWADLCKNNQVRASAIAQDADQNYDYASNSVVLHLEPGDEVYIKLDGGKAHGGN
+NNKYSTFSGFIIYAD
+>tr|A0A3Q1MA88|A0A3Q1MA88_BOVIN Rho guanine nucleotide exchange factor 3 OS=Bos taurus OX=9913 GN=ARHGEF3 PE=4 SV=1
+MNQCAFRGMEENTERPKRQRQNNFPMFPSPKDWNFRGRKRKQSTQDEDAVSLCSLDISEP
+SNKRVKPLSRVTSLANLIPPVKATPLKRFSQTLQRSISFRSESRPDLLGPRPWSRNAAPS
+STKRRDSKLWSETFDVCVNQMLTSKEIKRQEAIFELSQGEEDLIEDLKLAKKAYHDPMLK
+LSIMTEQELNQIFGTLDSLIPLHEDLLSQLRDVRKPDGSTEHVGPILVGWLPCLSSYDSY
+CSNQVAAKALLDHKKQDHRVQDFLQRCLESPFSRKLDLWNFLDIPRSRLVKYPLLLREIL
+RHTPNDNPDQQHLEEAINIIQGIVAEINTKTGESECRYYKERLLYLEEGQKDSLIDNSKV
+LCCHGELKNNRGVKLHVFLFQEVLVITRAVTHNEQLCYQLYRQPIPMKDLLLEDLQDGEV
+RLGGSLRGAFSNNERIKNFFRVSFKNGSQSQTHSLQANDTFNKQQWLNCIRQAKETVLCA
+TGQAAALDSEGPFLDPATRSREPQGETKLEQMDQSDSESDCSMDTSEVSLDCERMEQTDS
+SCGNSRHVESNV
+>tr|A4FUG2|A4FUG2_BOVIN Ceramide synthase 1 OS=Bos taurus OX=9913 GN=CERS1 PE=2 SV=1
+MAAAGSAGPEPMPSYAQLVQRGWGSALAAARGCADCGWGLARRGLAEHAHLAPPELLLLA
+LGALGWTVLRSAATSRLFRPLAKRCRLQPRDAAKMPESAWKFLFYLGAWSYSTYLLFGTD
+YPFFHDPPSVFYDWKTGMAVPRDIAVAYLLQGSFYGHSIYATLYLDAWRKDSVVMLVHHV
+VTLVLIVSSYAFRYHKVGILVLFLHDISDVQLEFTKLNVYFKSRGGAHHRLHALAADLGC
+LSFCLSWFWFRLYWFPLKVLYATSYCSLRSVPDIPFYFFFNVLLLLLTLMNLYWFLYIVA
+FAAKVLTGQVRELKDVREYDTAEAPSPKPRKADPQHRLPKGQRCRCRNEGCAIEQEQKRE
+TVSSNNEQNYEKTREARNPTYALSEGPTGKARSYGTDGRSTGGLSLIS
+>tr|A6QQE7|A6QQE7_BOVIN Major histocompatibility complex, class II, DY alpha OS=Bos taurus OX=9913 GN=BOLA-DYA PE=2 SV=1
+MKKALILRALTLATMMSPYGGEDIVADHVGTYGTNVYQTYGASGQFTFEFDGDELFYVDL
+GKKETVWRLPEFSNITKFEVQSALRNIVMSKRNLDILIKNSSFTPATSEIPEVAVFPKSS
+VVLGIPNTLICQVDNIFPPVINITWFYNGHFVAEGIAETTFYPKSDHSFLKFSYLTFLPS
+SEDFYDCRVEHWGLEEPLVKHWEPEIPTPTSELTETVVCALGLAMGLMGIVVGTVLILRV
+RCLGAASRRRRAM
+>tr|Q3SZI3|Q3SZI3_BOVIN Chromosome 5 open reading frame 15 ortholog OS=Bos taurus OX=9913 GN=C7H5orf15 PE=2 SV=1
+MAAAARRRMSGAEQANLLPRPGVQVPGGLARPLVLALLLASAIMPSVRSQIESLSQPVLT
+SDVSTPHMNALTNENQTTPSTSQISTTLPPTTSTERSGVASVSPHPLPTTALSQEVDNNE
+DPSIEEEDLLTLNSSPSTAKDTLDNGDYGEPDYDWTTSPRDDESSEALEENRGYVEIEQS
+ERPFKTPPSSIEEEDSHFFFHLIIFAFCIAIVYITYHNKRKIFLLVQSRKWRDGFCSKTV
+EYHRLDQNVNEAMPSLKITNDYIF
+>tr|A2VE86|A2VE86_BOVIN UNCX protein OS=Bos taurus OX=9913 GN=UNCX PE=2 SV=1
+MMDGRLLEHPHAQFGGSLGGVVGFPYPLGHHHVYELAGHQLQSAAAAAVPFSIDGLLSGS
+CAAAAAASVVNPTPLLPAACGVGGDSQPFKLSDSGDPDKESPGCKRRRTRTNFTGWQLEE
+LEKAFNESHYPDVFMREALALRLDLVESRVQVKTRRAPSDSASRRRHARPGPPLFSTSRW
+ETAGSARARRALAGRKLGAGGSAPRGERSGLPGREPRRLGRGRRTAAAFEPGSCVTMGSG
+DRATRGWGGRGGQEDPARLGLGGDPEKAGLTPPCRGWGLAPEPTANAFVYFRL
+>tr|Q58CY5|Q58CY5_BOVIN Kelch-like 12 OS=Bos taurus OX=9913 GN=KLHL12 PE=2 SV=1
+MRGIMAPKDIMTNTHAKSILNSMNSLRKSNTLCDVTLRVEQKDFPAHRIVLAACSDYFCA
+MFTSELSEKGKPYVDIQGLTASTMEILLDFVYTETVHVTVENVQELLPAACLLQLKGVKQ
+ACCEFLESQLDPSNCLGIRDFAETHNCVDLMQAAEVFSQKHFPEVVQHEEFILLSQGEVE
+KLIKCDEIQVDSEEPVFEAVISWVKHAKKEREGSLPDLLQYVRMPLLTPRYITDVIDTEP
+FIRCSLQCRDLVDEAKKFHLRPELRTQMQGPRTRARLGNLTPLGPSPHL
+>tr|A7YWH2|A7YWH2_BOVIN Zinc finger Ran-binding domain-containing protein 2 OS=Bos taurus OX=9913 GN=ZRANB2 PE=2 SV=1
+MSTKNFRVSDGDWICPDKKCGNVNFARRTSCNRCGREKTTEAKMMKAGGTEIGKTLAEKS
+RGLFSANDWQCKTCSNVNWARRSECNMCNTPKYAKLEERTGYGGGFNERENVEYIEREES
+DGEYDEFGRKKKKYRGKAVGPASILKEVEDKESEGEEEDEDEDLSKYKLDEDEDEDDADL
+SKYNLDASEEEDSSKKKSNRRSRSKSRSSHSRSSSRSSSPSSSRSRSRSRSRSSSSSQSR
+SRSSSRERSRSRGSKSRSSSRSHRGSSSPRKRSYSSSSSSPERNRKRSRSRSSSSGDRKK
+RRTRSRSPESQVIGENTKQP
+>tr|A6QQA2|A6QQA2_BOVIN TMCC1 protein OS=Bos taurus OX=9913 GN=TMCC1 PE=2 SV=1
+MEPSLSSETIERLEVSSLAQTSSAVASSTDGSLHTDSVDGTPDPQRTKAAIAHLQQKILK
+LTEQIKIAQTARDDNVAEYLKLANSADKQQAARIKQVFEKKNQKSAQTIQQLQKKLEHYH
+RKLREVEQNGIPRQPKDVFRDMHQGLKDVGAKVTGFSEGVVDSVKGGLSSFSQATHSAAG
+AVVSKPREIASLIRNKFGSADNIPNLKDSLEEGQVDEAGKALGAISTFQSSPKYGSEEDC
+SSATSGSVGANSTAGGLAVGASSSRTNTLDMQSSGFDALLHEVQEIRETQARLEESFETL
+KEHYQRDYSLIMQALQEERYRCERLEEQLNDLTELHQNEILNLKQELASMEEKIAYQSYE
+RARDIQEALEACQTRISKMELQQQQQQVVQLEGLENATARNLLGKLINILLAVMAVLLVF
+VSTVANCVVPLMKTRSRTFSTLLLVVFIAFLWKHWDALLGYVQRFFSSPR
+>tr|F1MFQ4|F1MFQ4_BOVIN Zinc finger and BTB domain containing 4 OS=Bos taurus OX=9913 GN=ZBTB4 PE=4 SV=3
+MPPPAEVTDPSHAPAVLRQLNEQRLRGLFCDVTLIAGDTKFPAHRSVLAASSPFFREALL
+ASAPLPLPPITGGSAPNPTTTTAASSSSSSSSSSSSSSSSSSSSSPSPASPSASSPPRVL
+ELPGVPAAAFSDVLNFIYSARLALPGGGGDGAAVAEIGALGRRLGISRLQGLGEGGDAWV
+PPAPVPMATSQPEEDSFGPGPRPAGEWEGDRAEAQGPDSQPALSRRPLPCPRCGKSFIHP
+KRLQTHEAQCRREASARGSAGLGARGSVPSGPAGVDASALPPAVGFRGGPEHVVKVVGGH
+VLYVCAACERSYVTLSSLKRHSNVHSWRRKYPCRYCEKVFALAEYRTKHEVWHTGERRYQ
+CIFCWETFVTYYNLKTHQRAFHGISPSLLASEKTPNGGYKPKLNTLKLYRLLPMRAAKRP
+YKTYSQGAPEAPLSPSLNTAAPVAMPASSPPGPAPAPEPGPPPSVITFAHPAPSVIVHGG
+SSSGGAGSGPASTGGAQAASVITYTAPPRPPKKREYPPPPPEPAAAPTSPATAGSPATAA
+GPATAMEEAKGRNPRATRTLTYTAKPAGGIGGGAGPPAGPGRGPSQLQAPPPLCQITVRI
+GEEAIVKRRISETDLRPGELSGEEMEESEEEEEDEEEEEEEEEEGQGESKAGGEDQLWRP
+YYSYKPKRKAGAVAPASSGGSGMPRSRRPPRWRQKLERRSWEETPAADGPTGRARGERRH
+RCEDCAQTFASLRKLRKHQESHSGGPHSSRSGRKPSTRLTCPHCAKVCKTAAALSRHGQR
+HAAERPGGTPTPVIAYSKGSTGARAGEIKEEAPQEMQVSSSSGEAGGGGGSAPAEEASEP
+ASLQDPVISGGEEPSVGAGGATYAYPPVQEFPLALIGSGRESGSGRGKGGSEGPGGAGGG
+DRMEAMGAAKVTFYPEPYPLVYGPQLLAAYPYNFSNLAALPVALNMVLPDEKGGGALPFL
+PGVFGYAVNPQAAAPTPPTPPPPTLPPPVAPKGEGERAGVERTQKGDVG
+>tr|A0A3Q1MF14|A0A3Q1MF14_BOVIN Complement factor I OS=Bos taurus OX=9913 GN=CFI PE=1 SV=1
+MKLGHVILLLLCFYLSFCEDNFRKRGKSKAVKKSEAHHAPEASLSKETEASSEVKPTSTQ
+DTSQKDFVDKKCLTEKHTHLSCNKVFCQPWQKCIDGTCLCKLPYQCPKNGTRVCSTNGKS
+YSTYCQQKSFECYRPEAKFLKSGACTGGGQFSVSLSNGKQDSEGIVAVKLADLDTKMFVC
+GDSWSITEANVACIDRGFQLGALDTHRRDPDPNSAECLHVRCRGLETSLAECTFTKGVHN
+SEGLAGVVCYTESAAPPKKDSFQCVNGKRIPQKKACDGVNDCVDKSDELCCKDCRGEGFL
+CKSGVCIPKQYKCNGELDCITGEDEVGCEETGHPEIKEAAEMLTADMDAERKFTKSFLPK
+LSCGVKNNMHIRRKRVVGGKPAKMGEFPWQMAIKEGDKIHCGGIYIGGCWILTAAHCVRI
+SRMHRYQIWTSFTDWLRPGFQTVVHSVNRIIIHENYNGTTYQNDIALIEMKKRPNEKECV
+LSKSIPACVPWSPYLFQPNDKCIVSGWGREKDNQKVYSLRWGEVHLINNCSEFYPGRYFE
+KEMQCAGTDDGSIDACKGDSGGPLVCQDVNNVTYVWGVVSWGENCGKSEFPGVYTKVANY
+FDWISQHVGRSLISQHNI
+>tr|Q0VCU4|Q0VCU4_BOVIN CD247 molecule OS=Bos taurus OX=9913 GN=CD247 PE=2 SV=1
+MKWTALVIVAILQAQFPITAAQSFGLLDPKLCYLLDGILFIYGVIVTALFLRAKFSRSAN
+APAYQQGQNPVYNELNVGRREEYAVLDRRGGFDPEKGGKPQRKKNPNEVVYNELRKDKMA
+EAYSEIGMKSDNQRRRGKGHDGLYQGLSTATKDTYDALHMQALPPR
+>tr|A4IFT3|A4IFT3_BOVIN LY86 protein OS=Bos taurus OX=9913 GN=LY86 PE=1 SV=1
+MKGFAAALLIWTLLSPRRAGGEAWPTHTACRNGNLQVLYQSCDPLQDFGFSVDQCARQLK
+PNINIRFGMVLREDIEQLFLDVALFSKGLSILNFSYPVCEVDLPKFSFCGRRKGEQIYYA
+GPINNPGFEIPEGDYQVLLELYNQDHATVACANATVLYS
+>tr|A6QPV5|A6QPV5_BOVIN MGC157319 protein OS=Bos taurus OX=9913 GN=POC5 PE=2 SV=1
+MSSDGEMCSPPVLQKESDRGSSVSSDLQEEYEELLRYAIVTPNIEAGVSQPSHSKAEAVP
+DIKIPAIIDDILQNSGNSPAVRETRMEVGKGCDLNTSSHSKTDAASPRKPPHPVMDFFSS
+NLLVDSSSPGSNSSPVDAHEIIVTDFLISDENLQKMENVLDLWSSGLKTNIISELSKWRL
+NFIDWHRMEMKKEREKHAADLKQLYSQINSLKELQKTYEVSIGRKDEVISSLSHAIGKQK
+ERIELMRTFFHWRINHVKSRQDVYESKLADQYFQRTLLKKVWRGWRAVVQKQWKEVVERA
+CQARAEEVCVQISNDYEAKVALLTGALENAKAEIQRMQHEKEHFEDSMKKAFMRGVCALN
+LEAMTIFQNRGDTGTDFTTNKREEYGPGVQGKEPPSAHLDASAAPVPSAGAVPPSPPAAA
+LGATCAAAFPSAASVTSAGATSSSSAHVSVSAPHGAGLIATASAAQEEMYGPRVVTSAQQ
+KAGRTITARVTGRCDFASKNRISSSLAIMGVSPPMSSVVVEKHHPVTVQTIPQATAAKYP
+RTIHPEGSTSASRSLGTRSTHTQSLTSIQSIKVVD
+>tr|E1BJS0|E1BJS0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC618733 PE=4 SV=3
+MRSPDLRPWASLLLADLALLWLLQGPLGALLPLGLPGLWLEGALRLGGLWGLLRLGGLLE
+GVEALLPILCLVNPLFLSLRALVLGTLSAPLVRVAAATWAWLLLGYGAVGLSRALWAVLS
+PSGAKAEGQGQENRVLMWRLLKLSWPDLPFLVAAFSFLSLAVLGETVIPYYFGRVIDILG
+GDFDPDAFASAIFFVCLFSVGSSLCAGCRGSIFTFTMSRINLRIREMLFSSLLCQDLPFF
+QETKTGELNSRLSSDTKLMSNWLPYNTNVLSRSLVKVLGLYGFMLNLSPRLTFLSLFEVP
+LMIAAEKVYSARHQAVLWEIQDATAKAGQVVRESVGGLQTVRSFGAEEQEVCRYKEALER
+CRRLWWRRDLEQALYLLLKRMLHLAMKVLLLNCALQQILAGDLTRGGLLSFLLYQEDVGD
+YMQTLVYMFGDMLSNVGAAEKVFRYLDRKPDLPPPGTLAPPTLQGRVNFQNVSFAYPSRP
+DQPALQGLTFTLSPGQMTALVGPNGSGKSTVAALLQNLYQPTEGQVLLDGKPVSEYEHHY
+LHRQVVLVGQEPVLFSGSVRDNITYGLKGCSDEKVLAAARAARAEEFIRELELGLDTEVG
+EKGSQLAVGQKQRLAIARALVRDPRVLILDEATSALDVECEQALQDWRAQGTRTVLVIAH
+RLQTVQSADQVLVLRQGRLQGLEQLMDGQDLYSWLVQQNRSVETPDTAGPAQGHLSDPE
+>tr|A6QPS2|A6QPS2_BOVIN GRAM domain containing 1C OS=Bos taurus OX=9913 GN=GRAMD1C PE=2 SV=1
+MENFSLSIEDTVRPRSLGRSSLDDYGERDEKLSKSISFTRESISRASESESIEGNSPKGG
+LWKEEPQSEKQIKSPSLTSEKRLSRQSSKSLDLNKNEYLSLDKSSTSDSVDEENILEKDL
+HGRLYINRVFHISAERMFELLFTSSRFMQRFSNSRNIIDVVSTPWNVEPGGDRLRTMTYT
+IVLNNPLTGKCTTATEKQRLYKESWEAQFYLVDAEVLTHDVPYHDYFYTLNRYCIIPSSK
+QKCRLRVSTDLKYKKQPWAIIKSLIEKNSWSSLADYFKQLESDLLMEESMVNQSMEDPGK
+LTGLRRRRRTFNRTAETAPKISSQRSSGDMSLEAKGDITGKKKEIESYNTVLIVVMSIFL
+LLLVLLNVMLSLKLSKIEYAAQSVYRLHLQEEKSLNLASDMVSRVEAVQKSKGQAHRLKG
+VLRDSIVTLEQLKSSLIMLQRTFDLLKSSKPHGIAKVIFAMPTETEKY
+>tr|G5E5B8|G5E5B8_BOVIN Junctophilin OS=Bos taurus OX=9913 GN=JPH1 PE=3 SV=1
+MTGGRFDFDDGGTYCGGWEEGKAHGHGICTGPKGQGEYSGSWSHGFEVVGGYTWPSGNTY
+QGYWAQGKRHGLGVETKGKWMYRGEWSHGFKGRYGVRQSLCTPARYEGTWSNGLQDGYGV
+ETYGDGGTYQGQWAGGMRHGYGVRQSVPYGMATVIRSPLRTSLASLRSEQSNGSVLHDAA
+AAADSPAGTRGGFVLNFHADAELSGKKKGGLFRRGSLLGSMKLRKSESKSSISSKRSSVR
+SDAAMSRISSSDANSTISFGDVDCDFCPVEDHVDATTTEIYMGEWKNDKRTGFGISERSN
+GMKYEGEWANNKRHGYGCTVFPDGSKEEGKYKNNILVRGIRKQLIPIRNTKTREKVDRAI
+EGAQRAAAMARTKVEIANSRTAHARAKADAADQAALAARQECDIARAVARELSPDFYQPG
+PDYIKQRFQEGVDAKENPEDKVPEKPPTPKESPHFYRKGTTPPESPEPSPRQGRSPSPKP
+ARKQNPSSGARFNQDRRSAAEEKVTAAVNKPLTSKAPPKEVGAVVPSSKYSGRHHIPNPS
+NGELHSQYHGYYVKLNAPQHPPEDTEEEGSSQSSSALVHKPSPNKWSPPKSVTKPVAKES
+KAEPKAKKSELAIPKNPASSDARPALEKEANSGPNSIMIILVMLLNIGLAILFVHFLT
+>tr|E1B7L9|E1B7L9_BOVIN Serine/threonine-protein kinase OS=Bos taurus OX=9913 GN=PRKD3 PE=3 SV=2
+MSANSSPPSAQKSVIPATLPAVLPAPSPCSSPKTGLSSRLSNGSFSAPSLTNSRGSVHTV
+SFLLQIGLTRESITIEAQELSLSAVKDLVCSIVYQKFPECGFFGMYDKILLFRHDMNSEN
+ILQLITSADEIHEGDLVEVVLSALATVEDFQIRPHTLYVHSYKAPTFCDYCGEMLWGLVR
+QGLKCEGCGLNYHKRCAFKIPNNCSGVRKRRLSNVSLPGPGLSVPRLLQTDYVALPTEES
+HVHQEPSKRIPSWSGRPIWMEKMVMCRVKVPHTFAVHSYTRPTICQYCKRLLKGLFRQGM
+QCKDCKFNCHKRCASKVPRDCLGEVTFNGEPSTLGTDTDIPMDIDSSDMNSDGSRGLDDT
+EEPSPPEDKMFFLDPSDLDVERDEEAVKTISPSTSNNIPLMRVVQSIKHTKRKSSTMVKE
+GWMVHYTSRDTLRKRHYWRLDSKCLTLFQNESGSKYYKEIPLSEILRISSPQDFTSISQG
+SNPHCFEIITDTMVYFVGENNGDSSHNPVLAATGVGLDVAQSWEKAIRQALMPVTPQASV
+CTSPGQGKDHKDLSTSISVSNCQIQENVDISTVYQIFADEVLGSGQFGIVYGGKHRKTGR
+DVAIKVIDKMRFPTKQESQLRNEVAILQNLHHPGIVNLECMFETPERVFVVMEKLHGDML
+EMILSSEKSRLPERITKFMVTQILVALRNLHFKNIVHCDLKPENVLLASAEPFPQVKLCD
+FGFARIIGEKSFRRSVVGTPAYLAPEVLRSKGYNRSLDMWSVGVIVYVSLSGTFPFNEDE
+DINDQIQNAAFMYPPNPWREISGEAIDLINNLLQVKMRKRYSVDKSLSHPWLQDYQTWLD
+LREFETRIGERYITHESDDARWEIHAYTHNLVYPKHFIMAPNPDDMEEDP
+>tr|F1MEA4|F1MEA4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LRRTM4 PE=4 SV=2
+MGFHLITQLRGMRVVLVLLPTLLLVMLTGAQRACPKNCRCDGKIVYCESHAFADIPENIS
+GGSQGLSLRFNSIQKLKSNQFASLNQLIWLYLDHNYISSVDEDAFQGIRRLKELILSSNK
+ITYLHNKTFHPVPNLRSLDLSYNKLQSLQSEQFKGLRKLIILHLRSNSLKTVPIRVFQDC
+RNLDFLDLGYNRLRSLSRNAFAGLLKLKELHLEHNQFSKINFAHFPRLFNLRSIYLQWNR
+IRSISQGLTWTWSSLHNLDLSGNDIQGIEPGTFKCLPNLQKLNLDSNKLTNISQETVNAW
+ISLISITLSGNMWECSRSICPLFYWLKNFKGNKESTMICAGPKHMQGEKVSDAVETYNIC
+SEVQVVNTEKSHVVPQTPQKPLIIPKPTTLKSDPSRSTLETPSPSPGFQIPGTEQEYEHV
+SFHKIIAGSVALFLSVAMILLVIYVSWKRYPASMKQLQQHSLMKRRRKKTRESERQMNSP
+LQEYYVDYKPTNSETMDISVNGSGPCTYTISGSRECEV
+>tr|A7YWG6|A7YWG6_BOVIN Serine/threonine-protein kinase receptor OS=Bos taurus OX=9913 GN=BMPR1B PE=2 SV=1
+MLLRSSGKLSVGTKKEDGESTAPTPRPKILRCKCHHHCPEDSVNNICSTDGYCFTMIEED
+DSGMPVVTSGCLGLEGSDFQCRDTPIPHQRRSIECCTERNECNKDLHPTLPPLKNRDFVD
+GPIHHKALLISVTVCSLLLVLIILFCYFRYKRQEARPRYSIGLEQDETYIPPGESLRDLI
+EQSQSSGSGSGLPLLVQRTIAKQIQMVKQIGKGRYGEVWMGKWRGEKVAVKVFFTTEEAS
+WFRETEIYQTVLMRHENILGFIAADIKGTGSWTQLYLITDYHENGSLYDYLKSTTLDTKS
+MLKLAYSSVSGLCHLHTEIFSTQGKPAIAHRDLKSKNILVKKNGTCCIADLGLAVKFISD
+TNEVDIPPNTRVGTKRYMPPEVLDESLNRNHFQSYIMADMYSFGLILWEVARRCVSGGIV
+EEYQLPYHDLVPSDPSYEDMREIVCIKKLRPSFPNRWSSDECLRQMGKLMTECWAHNPAS
+RLTALRVKKTLAKMSESQDIKL
+>tr|Q3T031|Q3T031_BOVIN Transmembrane protein 159 OS=Bos taurus OX=9913 GN=TMEM159 PE=2 SV=1
+MAKEEPPSTSKDLQELQRKLSLLIASVQSNSKVVSFMKSPVGQYLDRHPFLTLTLLVFVA
+LSAVPVGFFLLLVVLTSLAAFVGVILLEGCCRNTTPVVTVRWP
+>tr|A6H722|A6H722_BOVIN CYP39A1 protein OS=Bos taurus OX=9913 GN=CYP39A1 PE=2 SV=1
+MEFISPTVIIILGCVAVLLFLQWKNLRRPPCIRGWIPWIGAGFEFGKTPLEFIEKARIKY
+GPVFTVIVMGTRMTFVTEEEGINVFLKSKEINFELAVQNPVYHTASIAKNIFLKLHEKLY
+ITVKGKMGIFNLYKFTGQLTEELQEQLQNLGTHGTTDLNKFMRHLLYPVTVNILFKKGLF
+PTDERKIREFHQHFQAYDEGFEYGSQLPECLLRNWSKSKKWLLALFEKNIPDIKTHKSAK
+ENYPTVMQAVLDLLEMEANEQKSPNYGLLLLWASLSNTVPVAFWTFAFVLSHPNIHRTIM
+EGISSVFGTAGKDKIKVSEDDLKKLPLIKWCILETIRLRAPGVIARKVLKPVKILDYTVP
+SGDLLMLSPFWLHRNPKYFPEPDLFKPERWKEANLEKHAFLDCFMAFGSGKYQCPGRWLA
+LLEIQICIILIFYYYDCSLLDPLPKQSSLHLVGVQQPEGRCRIQFKQRK
+>tr|Q29RT7|Q29RT7_BOVIN TIP41, TOR signaling pathway regulator-like (S. cerevisiae) OS=Bos taurus OX=9913 GN=TIPRL PE=2 SV=1
+MWKYRLADELHMPSLPEMMFGDNVLRIQHGSGFGIEFNATDALRCVNNYQGMLKVACAEE
+WQESRTEGEHSKEVIKPYDWTYTTDYKGTLLGESLKLKVVPTTDHIDTEKLKAREQIKFF
+EEVLLFEDELHDHGVSSLSVKIRVMPSSFFLLLRFFLRIDGVLIRMNDTRLYHEADKTYM
+LREYTSRESKIANLMHVSPSLFTEPNEISQYLPIKEAVCEKLIFPERIDPNPADSKECTP
+VE
+>tr|A8PVV5|A8PVV5_BOVIN SYPL1 protein OS=Bos taurus OX=9913 GN=SYPL1 PE=2 SV=1
+MASNIYLVRQRISRLGQRMSSFQLNLNPLKEPLGFIKVLEWIASIFAFATCGGFKGKTEI
+QVSCTTGPENKTITAAFGYPFRLNEASFQAPQGGNVCGVDWKNYVLIGDYSSSAQFYVTF
+AVFVFLYCIAALLLYVGYTNLYRDSRKLTMTNANGLCNYYCCDFFVVGEHFSLG
+>tr|A6QM14|A6QM14_BOVIN INO80 complex subunit E OS=Bos taurus OX=9913 GN=INO80E PE=2 SV=1
+MNGPADGEVDYKKKYRNLKRKLKFLIYEHECFQEELRKAQRKLLKVSRDKSFLLDRLLQY
+ENVDEDSSDSDATASSDNSETEGTPKLSDTPAPKRKRSPPLGGAPSPSSLSLPPSTGFPL
+QASRAPSPYLSSMAVGPPDCPVGGPLTFPGRGSGAGVGAALAPLPPPKMPPPTILSAVPR
+QMFSDAGSGDDALDGDDDLVIDIPE
+>tr|A4IF91|A4IF91_BOVIN Cell proliferation regulating inhibitor of protein phosphatase 2A OS=Bos taurus OX=9913 GN=CIP2A PE=2 SV=1
+MDSTACLKSLLLSISQYKAVKSEANTAQLLRHLEVISGQKLTRLFTSNQILPSECLSCLV
+ELLEDPNISATLILSIISLLSQLAVDNETRDCLQNTYNLNSVLAGVVCRSSTCHNDSVFL
+QCIQLLQRLTYNIKILHSGANIDELITFLIDHIQSSEDELTMPCLGLLANLCRHNLSVQT
+HIKTLNNVKSFYRTLISFLAHSSLTVVVFALSILSSLTLNEEVGEKLFHARNIHQTFQLI
+FNILINGDGTLTRKYSVDLLVDLLKNPKIADYLTRYEHFSSCLNQVLGLLNGKDPNSSSK
+VLELLLAFCSVTQLRHVLTQMIFEQTPSGSTVLGTRSKCLEPTVALLRWSSQPLDGSENC
+PILALELFKEIFEDVIDTANCSSADRFVTLLLPTILDQLQFTEQNLDEALIRKKCARMVK
+AIEVLLTLCGDDTLKMHVAKVLTTIKCTTLIEQQFTYGKIDMGFGTKVADSELCKLAADV
+ILKTLDLMNKLKQLVPGMEVSFYKILQDPRLITPLAFALTSDNREQVQSGLGILLEASPL
+PDFPALVLGESIAANNAYRQQETEHMPRRVPLQSLNHSFPTSVKCLTPPLLKDRVPGLNI
+EELIEKLQSGVVVKDQINDVRLSDIMDVYEMKLSTLASKESRLQDLLEAKALALAQADRL
+IAQYRCQRTQAETEARTLANMLREVERKNEELAVLLKSQQVESERAQSDIEHLFQHNRKL
+ESVAEEHEVLTKSYMELLQRNETAEKKNKDLQVTCDSLSKQIETVKKLNESLKQQNEKTI
+AQLIEKEEQRKEVQNQLVDRECKLASK
+>tr|Q2KJA0|Q2KJA0_BOVIN A-Raf proto-oncogene, serine/threonine kinase OS=Bos taurus OX=9913 GN=ARAF PE=2 SV=1
+MEPPRGPPANGAEPSRSVGTVKVYLPNKQRTVVTVRDGMSVYDSLDKALKVRGLNQDCCV
+VYRLIKGRKTVTAWDTAIAPLDGEELIVEVLEDVPLTMHNFVRKTFFSLAFCDFCLKFLF
+HGFRCQTCGYKFHQHCSSKVPTVCVDMSTNRRQFYHSVQDLSGSSRQHEVPSNRPLNEPL
+TPQSPSLSPASSCTQHRDPEHFSFPAQANTPLQRIRSTSTPNVHMVSTTAPMDSGLIQLT
+GQNFNTDAAGNRGGGDGAPRGSPSPASVSSGRKSPHSKSPSEQRERKSLADDKKKVKNLG
+YRDSGYYWEVPPSEVQLLKRIGTGSFGTVFRGRWHGDVAVKVLKVAQPTAEQAQAFKNEM
+QVLRKTRHVNILLFMGFMTRPGFAIITQWCEGSSLYHHLHVADTRFDMVQLIDVARQTAQ
+GMDYLHAKNIIHRDLKSNNIFLHEGLTVKIGDFGLATVKTRWSGAQPLEQPSGSVLWMAA
+EVIRMQDPNPYSFQSDVYAYGVVLYELMTGSLPYSHIGSRDQIIFMVGRGYLSPDLSKIS
+SNCPKAMRRLLSDCLKFQREERPLFPQILATIELLQRSLPKIERSASEPSLHRTQADELP
+ACLLSAARLVP
+>tr|F1MSN0|F1MSN0_BOVIN Suppressor of cytokine signaling 1 OS=Bos taurus OX=9913 GN=SOCS1 PE=4 SV=2
+MVAHNQVAADNAISTAAEPRRRPESSSSSSSSSSSTSSPSSAAPARLRPCPAAAAPAPAP
+APGDTHFRTFRSHAEYRRITRASALLDACGFYWGPLSVHGAHERLRAEPVGTFLVRDSRQ
+RNCFFALSVKMASGPTSIRVHFQAGRFHLDGSRESFDCLFELLEHYVAAPRRMLGAPLRQ
+RRVRPLQELCRQRIVATVGRENLARIPLNPVLRDYLSSFPFQI
+>tr|Q148M5|Q148M5_BOVIN C-type lectin domain containing 14A OS=Bos taurus OX=9913 GN=CLEC14A PE=2 SV=1
+MRPALALCFLWQAFWPRPGRGEHPTADRASCSASGACYSLHHATFKRQEAQEACNLRHGV
+LSTVRGGAELRAVLALLRAGPGPGGGSKDLLFWVALERRRSDCTLENEPLRGFSWLSPDV
+GGSESDTLHWVEEPLLSCTVRRCAGLQATGGVEPAGWKEMRCHQRADGYLCKYQFQSLCP
+APRPGAASNLSYRAPFQLYSAALDFSPPGTEVSALCPGQLSITATCTATEVDAHWEGIPS
+GTELCPCPGRYLRAGKCAELPDCLDEVGGFACECAAGFVLGKDGRSCVTNGEGQPTPGGT
+QVVTWHPPATAASLAPKGTWSPSVQKRPGEIPSAPGQGSATASFPEIPRWGAQSTLSTLQ
+MSPQTEVKADVNSSGTAFPKVNATSPSDNPQAFDSSTVVFVLVSMAVVVLVILTVTVLGL
+FKLCFHKSPSPQQRKGPLASPGTEGDAEAAPLSSSSARSTDNGVKAGDCGLRDKVEGASL
+TGSSLGSGDT
+>tr|E1BK42|E1BK42_BOVIN PH domain-containing protein OS=Bos taurus OX=9913 GN=PLEKHA6 PE=4 SV=2
+MSNKAGGKRSAATDRDLTNHSMVSEVPPERPGVRAARPSRKAIAFGKRSHSMKRNPSAPV
+TKAGWLFKQASSGVKQWNKRWFVLVDRCLFYYKDEKEESVLGSVPLLSFRVAAVQPSDNI
+SRKHTFKAEHAGVRTYFFSAESPEEQEAWIQAMGEAARVQIPPAQKSAPPQASRHNHEKP
+DSENIPPGKHHHQLPHNSVPKPEPEAKTRGEGDGRGCEKAERRPERPDAKSEPLVKSNGV
+HAGPEPASEPGSPFPEGLRVPGGGERPAQPNGWQYSSPSRPGSTAFPPQDSESGGHRRSF
+PPRTNPDKIAQRKSSMNQLQQWVNLRRGIPPPEDLRSPSRFYPVSRRVPEYYSPYSSQFP
+DDYQYYPPGVRPDSICSMPAYDRVSPPWALEDKRHSFRNGGGPAFQLREWKEPAGLGRPD
+GTVWIPSPSRQPVYYDELDAASGSLRRLSLQPRSHSVPRSPGQGSYSRSRVYSPARSPRA
+HFERLPPRSEDIYADPAAYVMRRSISSPKYDYLGDRRPVPAGLFPYNCPPSPTVHDKMDE
+LLDLQLQRNLEYLDQQMSESEALISVVNRMVESSSPSAQLFMQVPPYPEVFQDSLHTYKL
+NEQDTDKLLGKLCEQNKAVREQERLVQQLRAEKESLESALMGTHQELEMFGSQPAYPEKL
+LHKKESLQNQLINIRVELSQATTALANSTMEYESLEAEVSALHDELWEQLSLDLQNEVLN
+RQIQKEIWRIQDVMEGLRKNNPSRGTDTAKHRGGLGPSGTYSSNSPASPLSSASLTSPLS
+PFSLVSGSQGSPTKPGFSEEPGPPRPPLPKAYVPLESPPTVPPLPSESRFWPHPNSPSWH
+RGGETARGQPKASYEQSKRDPQQTSPLDTSRDISLVSTRQEVEAEKQAALNKVGIVPPRT
+KSPTDEEVTPSRVVRRSANGLTNGLSSRQERPKSAVFPCEGKAKMSVEEQMDRMRRRQSG
+SARDKRRSLQLPPSPAPDPGARPAYKVVRRHRSIHEVDISNLEAALRAEEPGGQAYETPR
+EEIARLRKMELEPQHYDVDINKELSTPDKVLIPERYIDLEPDMPLSPEELKEKQKKVERI
+KTLIAKSSMQNVVPVGEGDFVDVPQDSESQLQEQEKRIEISCALATEASRRGRMLSVQCA
+TPSPPTSPASPAPPANPLPSEPPRGADSSHVMRV
+>tr|E1B757|E1B757_BOVIN Sodium channel, voltage-gated, type II, beta-like OS=Bos taurus OX=9913 GN=SCN2B PE=4 SV=1
+MHRNAWLPRPAFSLTGLSLFFSLVPPGRSMEVTVPNTLNVLNGSDARLSCTFNSCYTVNH
+KQFSLNWTYQECNNCSEEMFLQFRMKIINLKLERFRDRVEFSGNPSKYDVSVTLKKVQLE
+DEGTYNCYIMNPPDRHRGHGKIYLQVLMEEPPERDSTVAVIVGASVGGFLAVVILVLMVV
+KCVRRKKEQKLSTDDLKTEEEGKTDGEGNADDGAK
+>tr|A0A3Q1N4D9|A0A3Q1N4D9_BOVIN Transmembrane and coiled-coil domain family 1 OS=Bos taurus OX=9913 GN=TMCC1 PE=4 SV=1
+MEPSGSEQLFEDPDPGGKSQDAEARKQTESEQKLSKMTHNALENINVIGQGLKHLFQHQR
+RRSSVSPHDVQQIQADPEPEMDLESQNACAETDGVPTHPTALNRVLQQIRVPPKMKRGTS
+LHSRRGKPEAPKGSPQINRKSGQEMATVMQSGRPRSSSTTDAPSSSVVMEIACAAAAAAA
+CLPGDEASAERIERLEVSSLAQTSSAVASSTDGSLHTDSVDGTPDPQRTKAAIAHLQQKI
+LKLTEQIKIAQTARDDNVAEYLKLANSADKQQAARIKQVFEKKNQKSAQTIQQLQKKLEH
+YHRKLREVEQNGIPRQPKDVFRDMHQGLKDVGAKVTGFSEGVVDSVKGGLSSFSQATHSA
+AGAVVSKPREIASLIRNKFGSADNIPNLKDSLEEGQVDEAGKALGAISTFQSSPKYGSEE
+DCSSATSGSVGANSTAGGLAVGASSSRTNTLDMQSSGFDALLHEVQEIRETQARLEESFE
+TLKEHYQRDYSLIMQALQEERYRCERLEEQLNDLTELHQNEILNLKQELASMEEKIAYQS
+YERARDIQEALEACQTRISKMELQQQQQQVVQLEGLENATARNLLGKLINILLAVMAVLL
+VFVSTVANCVVPLMKTRSRTFSTLLLVVFIAFLWKHWDALLGYVQRFFSSPR
+>tr|F1MAV8|F1MAV8_BOVIN Trichohyalin-like protein 1 OS=Bos taurus OX=9913 GN=TCHHL1 PE=4 SV=2
+MPQLLRDILCVIETFHKYAREDAATLTCTELKQLIQSEFEDIFQPCAIHAVERNLNLLNI
+DSNGAISFDEFVLAIFSFLNVCYLDTQSLLNSEPRQVSKPEGMPHDMGLQVTNETDQWTE
+GTSQTQDKVVLPSGTASSTHLSLEERAVEHNRVDPQGHTTAHKLPVETSEHSDSKNQHLK
+GDEQSQKVDQDVSATGDSRTQRETSKPMAGSEQISSHTKGEGQNKEILQKGDILARKQSG
+TETGGRFGEQEGSLGILHSPLEETTQRPGGSSEDQEVAGEKGVKGHPKSQEPSVQGKDEP
+SSEHVDLPKQAAARKPSQTQKSAAAKDESRTAETPEPPIQEKEYETRDLSVQGENRNVSE
+TPMVRVERKGVRGPEVHQTVGQKQIEEKTQPPALEEPTEDKKYHELQESSKEKNAGEDSE
+THELNSEGGEQKDSESEGAISPGEEARHAEEGTAEALVNSKNAPEAEGTPGTRERIWELT
+TLENQSGEKNKMTTKIHDKLVKEDDGYQGEGSEPVATQNDERSPETPNSLTAEDGDSNSE
+TSDLFVQGDSQSQTNPFRGSVQGSDSNNPETQKHLALSEEKRVQEAAVLAVRGEDEQVTE
+EHAQEHEGQGSATTGRGPAVEPRGHSDTQVFIVRDENTKSLEPGIPGAPNAGITKQLSVR
+QLPTKKDSRKELKDQSPSTKKEEDGALEAQEDLVKSLDENNAVSQKTCPATLEEETESPQ
+QLAGEQSLSTKEHDPSVSESGLEERMQRDQELCLVERGAEHSSPLYEALQEKMLQQTNVT
+QGKHQNQAQTASVASPEIRRNQSSASLTNDSSDGLVFFIDRQALQKYIREFLPDEDPTGA
+QQISAPQALEDKQGRPQREELEPQKEASTPKQ
+>tr|Q3ZBY8|Q3ZBY8_BOVIN GDP-mannose 4,6-dehydratase OS=Bos taurus OX=9913 GN=GMDS PE=2 SV=1
+MAQAAAHYPGACGAGDAETGKPRKVALITGITGQDGSYLAEFLLEKGYEVHGIVRRSSSF
+NTGRIEHLYKNPQAHIEGNMKLHYGDLTDSTCLVKIINEVKPTEIYNLGAQSHVKISFDL
+AEYTADVDGVGTLRLLDAVKTCGLISSVRFYQASTSELYGKVQEIPQKETTPFYPRSPYG
+AAKLYAYWIVVNFREAYNLFAVNGILFNHESPRRGANFVTRKISRSVAKIHLGQLECFSL
+GNLDAKRDWGHAKDYVEAMWLMLQKDEPEDFVIATGEVHSVREFVEKSFLHIGKTIVWEG
+KNENEVGRCKETGKIHVTVDLKYYRPTEVDFLQGDCSKARQKLSWKPRVAFDELVREMVE
+ADVELMRTNPHA
+>tr|A3KMV6|A3KMV6_BOVIN HNRNPR protein OS=Bos taurus OX=9913 GN=HNRNPR PE=1 SV=1
+MANQVNGNAVQLKEEEEPMDTSSVNHTEHYKTLIEAGLPQKVAERLDEIFQTGLVAYVDL
+DERAIDALREFNEEGALSVLQQFKESDLSHVQNKSAFLCGVMKTYRQREKQGSKVQESTK
+GPDEAKIKALLERTGYTLDVTTGQRKYGGPPPDSVYSGVQPGIGTEVFVGKIPRDLYEDE
+LVPLFEKAGPIWDLRLMMDPLSGQNRGYAFITFCGKEAAQEAVKLCDSYEIRPGKHLGVC
+ISVANNRLFVGSIPKNKTKENILEEFSKVTEGLVDVILYHQPDDKKKNRGFCFLEYEDHK
+SAAQARRRLMSGKVKVWGNVVTVEWADPVEEPDPEVMAKVKVLFVRNLATTVTEEILEKS
+FSEFGKLERVKKLKDYAFVHFEDRGAAVKAMDEMNGKEIEGEEIEIVLAKPPDKKRKERQ
+AARQASRSTAYEDYYYHPPPRMPPPIRGRGRGGGRGGYGYPPDYYGYEDYYDDYYGYDYH
+DYRGGYEDPYYGYDDGYAVRGRGGGRGGRGAPPPPRGRGAPPPRGRAGYSQRGAPLGPPR
+GSRGGRGGPAQQQRGRGSRGSRGNRGGNVGGKRKADGYNQPDSKRRQTNNQQNWGSQPIA
+QQPLQQGGDYSGNYGYNNDNQEFYQDTYGQQWK
+>tr|A7Z087|A7Z087_BOVIN CPEB4 protein OS=Bos taurus OX=9913 GN=CPEB4 PE=2 SV=1
+MHSLESSLIDIMRAENDSIKGQSSLFPMEDGFLDDGRGDQPLHSGLGSPHCFTHQNGERV
+ERYSRKVFVGGLPPDIDEDEITASFRRFGPLIVDWPHKAESKSYFPPKGYAFLLFQDESS
+VQALIDACIEEDGKLYLCVSSPTIKDKPVQIRPWNLSDSDFVMDGSQPLDPRKTIFVGGV
+PRPLRAVELAMIMDRLYGGVCYAGIDTDPELKYPKGAGRVAFSNQQSYIAAISARFVQLQ
+HGEIDKRVEVKPYVLDDQLCDECQGARCGGKFAPFFCANVTCLQYYCEYCWAAIHSRAGR
+EFHKPLVKEGGDRPRHISFRWN
+>tr|Q0IIJ9|Q0IIJ9_BOVIN Activating signal cointegrator 1 complex subunit 1 OS=Bos taurus OX=9913 GN=ASCC1 PE=2 SV=1
+MEVLRPQLIKIGGRVYRKNPIQEQTYQHEEEDDDYYQGLVECAEEPCETYEVVQTPQGFR
+CTVKAPSLLYKHIVGKRGDTRKKLEVETKTSISIPKPGQEGEIVITGQHRSGVVSARTRI
+DVLLLTFRRKQPFTHFLAFFLNEAEVQERFLKFQEEVLEKCSMDHGVDSSIFQNPKKLHL
+TIGMLVLLSEQEIQQTCEMLQQCKEEFIDDISGGKPLEVEMSGIEYMNDDPGMVDVLYAK
+VHMKDGSNRLQELVDRVLERFQASGLIVKEWNSVKLHATVMNTLFRKDPNAEGRYNLYTA
+DGKYIFKERESFDGRNILKLFENFYFGTLKLNSIHISQRFTVDSFGNYASCGQIDFS
+>tr|A0A3S5ZPC4|A0A3S5ZPC4_BOVIN Iodotyrosine deiodinase OS=Bos taurus OX=9913 GN=IYD PE=4 SV=1
+MFLLTPVLVAAICILMVWIFKNADGSTERRKGEPGAEARPWVDEDLKDSTDVHQEEAEAD
+EWQESEEEVEHIPFSHSRYPEKEMVKRSQEFYELLNKRRSVRFISNEQVPMEVIYNVIKA
+AGTAPSGAHTEPWTFVVVKDPDVKHKIREIIEEEEEINYLKRMGPQWVTDLKKFRTNWIK
+EYLDTAPVLILIFKQVHGFSANGKKKIHYYNEISVSISCGILLAALQNAGLVTVTTTPLN
+CGPRLRVLLNRPTNEKLLMLLPVGYPSEDAMVPNLTRKTLDQIMVTV
+>tr|A6QLG3|A6QLG3_BOVIN Protein-serine/threonine kinase OS=Bos taurus OX=9913 GN=PDK3 PE=2 SV=1
+MRLFRLLLKQPVPKQIERYSRFSPSPLSIKQFLDFGRDNACEKTSYMFLRKELPVRLANT
+MREVNLLPENLLNRPSVGLVQSWYMQSFLELLEYENKSPEDPQVLDNFLQVLIKVRNRHN
+DVVPTMAQGVIEYKEKFGFDPFISSNIQYFLDRFYTNRISFRMLINQHTLLFGGDTNPVH
+PKHIGSIDPTCNVADVVKDAYETAKMLCEQYYLVAPELEVEEFNAKAPNKPIQVVYVPSH
+LFHMLFELFKNSMRATVELYEDRKEGYPAVKTLVTLGKEDLSIKISDLGGGVPLRKIDRL
+FNYMYSTAPRPSLEPTRAAPLAGFGYGLPISRLYARYFQGDLKLYSMEGVGTDAVIYLKA
+LSSESFERLPVFNKSAWRHYKSTAEADDWSNPSSEPRDASKYKAKQDKMKTNRTL
+>tr|A0A3Q1MT12|A0A3Q1MT12_BOVIN KN motif and ankyrin repeat domains 4 OS=Bos taurus OX=9913 GN=KANK4 PE=4 SV=1
+MEKTDAKDQSSQGDEEKDPPKSHPYSVETPYGFHLDLDFLKYVDDIEKGNTIKRIPIHRR
+AKQAKFSTLPRNFSLPDSGARPHAVLSHPNWSPMVSRKVLGTEARAQPLPLGDHPQAPQA
+ASGSEVSYHRKALLMETARQLEAAAAPGEAELTSGSGRPQLLRASSMPATLLQTRASEDP
+SLNSGPPTPPVLPPLQGEDVVCDGAFGPAEGFAGFSNFTPRAATQPEVRESGDLVPGIPE
+LIQEGPEPPESEKEAPNHLSFPSPPFLSQNALVVLEDAEDQQESREAQVVVTTPSSPTPS
+PPPLPSPIPENELPLEEIELNISEIPPPPPVEVDVRSVGIRVTEESLGLASLDPGSISCL
+KQQISDLEGELSGRTEELAQVRAALQRQEEEIKAKGQRIQELECTVAQLVEKLSGGNTKD
+AQGQTDAMVNTDPLQGLLTRQSCDKSIGVSLLGSMGSESWGARGEGNGLLWGWESHKRGD
+GSPAGLVSPPQPSLPQGSETVLMPSLHSCLSTELRIEEGGSEQAGGPSVGIKGLDRGVGG
+SPGSGERKAPPAGREEAGPELSGKERLGRPPSSPTDATIGQYVKKIQELLQEQWSCLEHG
+YPELARAIKQPASKLSSIQSQLQSSLNLLLSAYSAQAPPQKEPPGPSSSPTMEISPSTSL
+KSIMKKKDYGFRAGGNGTKKNLQFVGVNGGYETTSSEETSGEDSSPEDLSDSEAEKKCDG
+PEPRRGKDAHLSCRVGQGTPEGTRDTDQETGPGEELPHPRTERYKPSEEFLNACRVLSQH
+LPETGTTTDQLLKQSLNTISQEWFRISSRKSSSPAVVASYLHGVQPHSPHLLKLLVNLAD
+GNGNTALHYSVSHSNFSIVKLLLETGVCNVDHQNKAGYTAVMITPLASAETDEDMAVVWK
+LLREGNVNIQATQGGQTALMLGVSHDRGDMVQALLSCQADVNLQDHDGSSALMLACCHGN
+TDMVRLLLAHPTCDSSLTDKAGQTALSIALKSPAHVEIAGLLRAHLKQGRSLGP
+>tr|Q32PA1|Q32PA1_BOVIN CD59 molecule OS=Bos taurus OX=9913 GN=CD59 PE=2 SV=1
+MASKGGYIVLGLLFLAVLCQLGHSLQCYSCINQVNCTSIVNCSHNQDACLFVKAVPLKFY
+HQCWKYEECSFEFIAKALGEKELHYDCCQKNLCNKSGGRSVSEKILLLLTLLLEAVCHFY
+R
+>tr|F1MCP4|F1MCP4_BOVIN Glycerophosphodiester phosphodiesterase domain containing 2 OS=Bos taurus OX=9913 GN=GDPD2 PE=4 SV=1
+MAESPGCCSVWARCFHCLYSCHWKKCPRDRMQTNKCECVWFGLLFLTFLLSLGWLYVVLI
+LLNDLHNFNEFLFQRWGHWMDWSPAFLLVISLLVTYASLLLLLALLLWLYGQPLCLHTVH
+KVLLLLIIFLVAAGLVGLEVQWQEEWHSLRLSLQATAPFLHIGAAAGITLLAWPVADTFY
+HIHRRGPKILLLLLYFGATLGIYLAPLFISSACIMEPKDLPHKPKLIGHRGAPMLAPENT
+LMSLRKTAECGAIVFETDVMVSSDGIPFLMHDERLTRTTDVASVFPDRVNSHSSDFSWAE
+LKRLNAGAWFLQRQPFWGAKQLSGHDQEDAESQTVPSLEEILKEAEGLNLSIIFDLRCPP
+ENHTYHDSFVNQTLETVLSSGVPQAMVLWLPDEDRAYVQERAPQMRQIYGHLEGHGTESP
+QFLNLPYQDLPLLDIKALHHDNVSVNLFVVNKPWLFSLLWCAGVDSVTTNDCQLLQQMRY
+PVWLIPARTYLIIWIITNCVSLLLLLWTFLLQQRCAKERQRTGLETAVLLTRINNFIRE
+>tr|A6QNL4|A6QNL4_BOVIN ZNF667 protein OS=Bos taurus OX=9913 GN=ZNF667 PE=2 SV=1
+MPAARGKSKSKAPVTFGDLAIYFSQEEWEWLSPIQKDLYEDVMLENYQNLVSLGLSFRRP
+NVITLLEKGKAPWMVDPVKRRRGLDLGSKCETKKLPPNQCNKSGQSIYQKPVSTPQKVPT
+GKRGCRKNSVLIKRKKVHSEKKSLKCNDCGKFFSQSLSLKFHQNSHAGEKPYECSSCRKA
+FRQISSLILHQKIHNGRKSFECNKCGESFIQRTSLLLHGKIHGEKEVFDCGKALSQCSSL
+SIHQKIHFVENVYQCRKCKKAFIRMSSFLLHKKIHSGKKIHKCNKCRRVFSKKSVLVIHK
+RIHTGEKTCESEKALSHSLQQRSHHLENPYKCRKCGKFFSRISSIMLHQRIHNSEKPHKC
+NKCEKIFRRLSTLILHLRIHNKEKLYQCNKCAKVCNRHSSLIQHQKVHTKKKKLFECKEC
+GKMFSGTANLKIHQNIHSEEKPFKCNKCSKVFGRQSFLIEHQRIHTGEKPYQCEECGKAF
+SHRISLTRHKRIHSEDRPYECDQCGKAFSQSAHLAQHERIHTGEKPYTCKTCGKAFSQRT
+SLILHERSHTGEKPYECNECGKAFSSGSDLIRHQRSHSSEKPYECSKCGKAYSRSSSLIR
+HQNTHSEEKA
+>tr|Q0IID3|Q0IID3_BOVIN Guanylate binding protein 5 OS=Bos taurus OX=9913 GN=GBP5 PE=2 SV=1
+MDMAPLSHMPEPLCLIENTNGPLLVNPEALKILSAIRQPVVVVAIMGLYRTGKSYLMNKL
+AGKNKGFSVGSTVQSHTKGIWMWCVPHPKKPNHTLVLLDTEGLGDVEKGDKTNDSHISVL
+ALLLCSTFVYNTMNKIDQGAIDLLHYVTELSHLLRIDTSPNLNRVDDAADFVRICPNLVW
+TLRDFYLGLEANGQLITADEYLENSLRPKQGTNQHLQNFNLPRLCIQKFFPVKKCFIFDL
+PTHQKKLAQLETLHNDDLDPKFVQQVAEFCSYIFSHSKTKTLSGGIKASGSHLENLVQTY
+VNAINSGDLPCMESEVLTLAQIKNLAAVQKAIAHYDQKMGQKLQLPTETFQELLDLHRAS
+EKEAIEVFMKNSFKDEDQGYQKKLEMQLAAKQNDFHERNLEASQNRCSALLQDIFHPLEE
+NVKQGVYSKPGGHCLFIQQRDELKAKYNQEPRKGIQAEEALQKYLESKESMSVTILQTDL
+ALTAMEKEMEGITEEFIKAEAQRLMESLMQHQQMMESRKIFHQEQVRLMETNRVYQEALQ
+QRAMERQLQEEVKKLKEKLQAENRKLHDELQNLLRNDSPDDTCLLL
+>tr|A5D7F2|A5D7F2_BOVIN SYT4 protein OS=Bos taurus OX=9913 GN=SYT4 PE=2 SV=1
+MAPISTSREEFDEIPTVVGIFSAFGLVFTVSLFAWICCQRKSSKPSKTPPYKFVHVLKGV
+DIYPESLDSKKKFGADEKNEVKNKSAVPKNSLHLDLEKRDLNGNFPKTNFKAGSSSDLES
+VTPKLSSEGGKEVVSPDSLKSSTSLTSDEKQEKLGTLFFSLEYNFEKKAFVVNIKEARGL
+PAMDDQSMTSDPYIKMMILPEKKHKVKTRVLRKTLDPAFDETFTFYGIPYTQIQELALHF
+TVLSFDRFSRDDVIGEVLIPLAGIELTNGEMLMNREITKRNVRKSSGRGELLISLCYQST
+TNTLTVVVLKARHLPKSDVSGLSDPYVKVNLYHAKKRISKKKTHVKKCTPNAVFNELFVF
+DIPCEGLEEISVEFLVLDSERGSRNEVIGRLVLGAAAEGAGGEHWKEICDYPRRQIAKWH
+ALCDG
+>tr|F1MZE3|F1MZE3_BOVIN Meis homeobox 3 OS=Bos taurus OX=9913 GN=MEIS3 PE=4 SV=3
+MARRYDELPHYPGIVDSTAALAGFSEAVSSAPRAPGPYGPHRPPQPPGLDSDGLRREKDE
+IYGHPLFPLLALVFEKCELATCSPRDGAGTGLGTPPGGDVCSSDSFNEDIAAFAKQVRSE
+RPLFSSNPELDNLMIQAIQVLRFHLLELEKVSASHFPARPPLPPIPPLLAPSTPPSGSLP
+TRVEAEGHPHPCAPQPWPPGGPPSTPVPPQVHDLCDNFCHRYITCLKGKMPIDLVIEDRD
+SSCREDLDDYPASCPSLPDQNTAWIRDHEDSGSVHLGTPGPSSGGLASQSGDNSSDQGDG
+LDTSVASPSSGGEDEELDQERRRNKKRGIFPKVATNIMRAWLFQHLSHPYPSEEQKKQLA
+QDTGLTILQVNNWFINARRRIVQPMIDQSNRTGQGAAFSPEGQPMAGYTETQSHVTVRPP
+GSMGMSLNLEGEWHYL
+>tr|E1B910|E1B910_BOVIN Proline rich and Gla domain 3 OS=Bos taurus OX=9913 GN=PRRG3 PE=4 SV=2
+MAVFLEAKNAHSILKRFPRANEFLEELRQGTIERECMEEVCSYEEVKEVFEDKEKTMEFW
+KGYPNAVYSVRDPAQSSDAMYVVVPLLGVALLIVIALFIIWRCQLQKATRHHPSYAQNRY
+LASRAGHSLPRVMVYRGTVHSQGEASGHRETGSHPQVVLGPSRGGRTTVRLESTLYLPEL
+SLSRLSSATPPPSYEEVTAPQESSSEEASVSYSDPPPKYEEIVAANPGSDK
+>tr|Q08DU6|Q08DU6_BOVIN Tyrosine-protein kinase OS=Bos taurus OX=9913 GN=BLK PE=2 SV=1
+MGVVSSKRQVKEKGRSGWSPVKTSTQSKEPPPLPSLVVFNHLTPPPPDTTHPDQENHFVV
+ALYDYKAMNDRDLQMLKGEKLQILKETGDWWLAKSLITGREGYVPSNFVARVETLEVEKW
+FFRSICRRDAERQLLAPVNKAGAFLIRESETSKGAFSLSVKDVTTQGEVIKHYKIRSLDE
+GGYYISPRSAFPTLQALVQHYSKKGDGLCQRLTHPCVSLAPQNPWGQDEWEIPRQSLKLV
+RKLGSGQFGEVWMGYYKNNIKVAIKTLKEGTMSPEAFLGEANLMKTLQHERLVRLYAVVT
+KEPIYIVTEYMARGCLLDFLKTDEGSRLSLSRLIDMSAQIAEGMAYIEQMNSIHRDLRAA
+NILVSEALCCKIGDFGLARIIDNEYTAQEGAKFPIKWTAPEAIHFGVFTIKADVWSFGIL
+LMEIVTYGRVPYPGMSNPEVIRNLERGYRMPRPDSCPPELYNGIIAECWRSRPEERPTFE
+FLQSVLEDFHTATEGQYELQP
+>tr|A0JNK2|A0JNK2_BOVIN C1H21ORF63 protein OS=Bos taurus OX=9913 GN=EVA1C PE=2 SV=1
+MLLPGHARPPSAPQPAQHPGLRRQVEPPGQLLRLFYCTVLVCSREIAALTDFSEGAGRVS
+EPAGLPPPGQ
+>tr|B6VAE6|B6VAE6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC100196902 PE=2 SV=1
+MPGTPSNRMPLLENTPKHLKSAVLAAKSLNSVPHGAPAFGSKGKYMPGSRAPGSATQCRP
+STQRLRGPALGRGSRHDPAQGCHCGISSSLFPPSHPWTPNEILISSVLRGI
+>tr|F1MDB2|F1MDB2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC104975039 PE=4 SV=2
+MSRNLRTALIFGGFISLIGAAFYPIYFRPLMRLEEYKKEQAINRAGIVQEDVQPPGLKVW
+SDPFGRK
+>tr|Q05B70|Q05B70_BOVIN GRAM domain containing 2B OS=Bos taurus OX=9913 GN=GRAMD2B PE=2 SV=1
+MTELQQDVDDTKSAKVLGKRESKVGSAHSEAENGVEEKKKVCRSPGALSPTPSSEAESQD
+QKRTISLRSKSSFDGAPVANEKSDCKTESKNDSKIERKKSSSSSQDKANMHFHKLFLDVP
+TEEPLRQSFTCALQKEILYQGKLFVSENWICFHSKVFGKDTKISIPAFSVTLIKKTKTAL
+LVPNALIIATVTDRYIFVSLLSRDSTYKLLKSVCGHLENTGIGSGPSPSSLENSFRADRP
+SSLPLDFNDEFSDLDGVVRRRRQDMEGYSSSGSQTPESENSRDFHVTESQTVLNVSKGEA
+KPTRADPLVNRVPEGKAKSLPGHGKERIMMPGQSETTGIIPRVKSEKCPTLHHILIFYAI
+VVCALIISTFYMRYRINTLEEQLGSLTSIVDTHQTEQTAPSGLGSQVQLNVEALCQELTA
+NIMRLEKIQNNLQKLLENGD
+>tr|E1BET1|E1BET1_BOVIN Signal induced proliferation associated 1 like 2 OS=Bos taurus OX=9913 GN=SIPA1L2 PE=4 SV=3
+MSDPRQSQEEKHKLGRACSKFKDPPRIMQSDDYFARKFKAINGNMGPTTSLTASSSNESG
+GPANGTPAVPKMGVRARVSEWPPKKDCSKELTCKTLWESRSQTSYESVTSIIHNGQNDGS
+EGQQEEPLDLDFVEAKYTIGDIFVHSPQRGLHPIRQRSNSDVTISDIDAEDVLDQNAVNP
+NTGAALHREYGSTSSIDRQGLSGENFFAMLRGYRLENYDHKAMAPFGFPEFFPCDPAISP
+SLHAAAQISRGEFVRISGLDYMDSALLLGRDRDKPFKRRLKSESVETSLFRKLRAVKSEH
+ETFKFACELEDGRLERGVRPWNCQRCFAHYDVQSILFNINEAMATRANVGKRKNITTGAS
+AASQTQMPAGPPGSCDSPLGSKEDLNSKENLDADEGDGKSNDLVLSCPYFRNETGGEGDR
+RIALSRANSSSFSSGESCSFESSLSSHCTNAGVSVLEVPRENQPIHREKVKRYVIEHIDL
+GAYYYRKFFYGKEHQNYFGIDENLGPVAVSVRREKVEDPKEKEGSQFNYRVVFRTSELTT
+LRGAILEDAIPSTARHGTARGLPLKEVLEYVIPELSIQCLRQASSSPKVSEQLLKLDEQG
+LSFQHKIGILYCKAGQSTEEEMYNNETAGPAFEEFLDLLGQRVRLKGFSKYRAQLDNKTD
+STGTHSLYTTYKDYELMFHVCTMLPHTPNNRQQLLRKRHIGNDIVTIVFQEPGALPFTPK
+SIRSHFQHVFVIVKVHNPCTENVCYSVGVSRSKDVPPFGPPIPKGVTFPKSAVFRDFLLA
+KVINAENAAHKSEKFRAMATRTRQEYLKDLAENFVTTATVDTSAKFSFITLGAKKKEKVK
+PRKDAHLFSVGAIMWHVVARDFGQSADIECLLGVSNEFIMLIEKDSKNVVFNCSCRDVIG
+WTSGLMSIKVFYERGECILLSSVDSCAEDVREIVQRLGIVTRGCETVEMTLRRNGLGQLG
+FHVNFEGIVADVEPFGFAWKAGLRQGSRLVEICKVAVATLTHEQMIDLLRTSVTVKVVII
+QPHDDGSPRRGCSELCRIPMVEYKLDSEGAPCEYKTPFRRNTTWHRVPTPALQPLPRVSP
+VPGTPDRLQCQPLLPQSQAAIPRSTSFDRKLPDGTRSSPSNQSSSSDPGPGSSGPWRPQV
+GYDGCQSPLLLEHQGSGPLECDGAREREDTVEGSRHAETKWHGPPSKVLSSYKERGLQKD
+GGCKDSPNKLSHIGDKSCSSHSSSNTLSSNTSSNSDDKHFGSGDLMDPELLGLTYIKGAS
+TDSGIDTAPCMPAAVLGPVHLAGSRSLVHGRAEQWADSDIPGPDDEQAKMYAVHGYAPGI
+STSGAADGSLGDLSEISSHSSGSHHSGSPSAHCSKSSGSLDTSKVYIVSHGSGQQAPGSM
+SKAYHRQAAVNKYIIGWKKSEDSPPPEEPEVAECQGLYSEMDLLSSAAQQQAVVGDAVSE
+TQHVLSKEDFLKLMLPDSPSVEEGRRKFSFYGNLSPRRSLYRTLSDESICSHRRGSSFGS
+SRSSILDQALPNDILFSTTPPYHSTLPPRTQPVPSVGSLRNEFWFSDGSLSDKSKCADPG
+LMPLPDTATGLDWTHLVDAARAFEGLDSDEELGLLCHHTSYLDQRVASFCTLTDMHPEQD
+LEGAQELPLCVDPGSGKDFMDPAGERSPSTLTGKVNQLELILRQLQTDLRKEKQDKAVLQ
+AEVQHLRQDNLRLQEESQTAAAQLRKFTEWFFNTIDKKP
+>tr|Q3SZJ7|Q3SZJ7_BOVIN Lysosomal-associated membrane protein 2 OS=Bos taurus OX=9913 GN=LAMP2 PE=1 SV=1
+MVCFRLAPVPGSGFLLLCLVLGAVSSYALELNLTDSSNATCLYAKWQMNFTIRYETTDKH
+NKTVPISDLGAATYNGSFCGDDQNGPKIAVQFGSGFSWIVNFTKEAASPSTYLVDTISFS
+YNTNDNKTFPDAKEKEVFTVNNRVALKIPLNDIFRCNSLSTLENRDVVQHYWDVHVQAFV
+QNGTVSTTEFLCDKDKTVTTAVPIVPTTLPSPTKPVVGSYSVVNSNGTCLLATMGLQLNI
+THDKVASVFNINPNTTNATGSCQPQTALLRLSSSNIKYLDFVFAVKNENRFYLKEVNVSM
+ILVNGSVYSISNTNLSYWDAPLGSSYMCNKEQTVSVSGAFQINTFDLRVQPFSVTEGKYS
+TAQECSLDDDTILIPIIVGAGLSGLIIVIVIAYLIGRRKSYAGYQTL
+>tr|A7Z024|A7Z024_BOVIN Protein XRP2 OS=Bos taurus OX=9913 GN=RP2 PE=2 SV=1
+MGCFFSKRRKAEKESQPEDEEVQPKQYSWDQREKVDLKDYMFSGLKDVTVGRLPGKVAGQ
+QFLIQDCENCNIYIFDHSATVTIDDCTNCVIFLGPVKGSVFFRNCRDCKCALACQQFRVR
+DCRKLEVFLCCATQPIIESSTNIKFSCFQWYYPELAFQFKDAGLSIFNNTWSSIHDFTPV
+SGEHNWSLLPEDAVVQDHVPLPTTEELKAVRVSTEASRSIVPVSRGQRQKSSDESCLVVL
+FAGDYTIANARKLIDEMVAKGFFLVQTKEVSMKAEDAQRVFHEKAPDFLPLLNKGPVIAL
+EFNGDGAVEGCQLIVNETFKGTKIFVSESKETAPGDVDSFYNFADIQMGI
+>tr|E1BKE5|E1BKE5_BOVIN Ankyrin repeat and SOCS box containing 9 OS=Bos taurus OX=9913 GN=ASB9 PE=2 SV=2
+MDGERPGRNGSKPPDMRLFSNPLMGDFVSDWSPVHEAAIHGRLLSLRSLINQGWPVNLIT
+ADRVSPLHEACLGGHPSCVKILLRHGANVNSVTVDWHTPLFNACVSGSHECVNLLLQYGA
+SPHPENDLASPIHEAAKRGHMQCIESLVAHGGDIDQNIHHLGTPLYMACENLQVACAKKL
+LESGVNVNQGRGLDSPLHAVARASSGELVSLLLDFGADTQARNAEGKRPLEVVPPESPLI
+QLLQREGPSSLMQLCRLRIRKCFGIKQHHKITELNLPEELKRFLLHI
+>tr|A0JNG1|A0JNG1_BOVIN Cordon-bleu homolog (Mouse) OS=Bos taurus OX=9913 GN=COBL PE=2 SV=1
+MDALRASAAKPPTGRKMKARAPPPPGKPATPNLHSGQRSPRRASPGPPQNQLSRKHSLDD
+GVVAMTVVLPSGLEKRSVVNGSHAMMDLLVELCLQNHLNPSNHALEIRSSETQQPLNFKP
+NTLVGTLNVHTVFLKEKVPEAKVKPVPPKVPEKTVRLVVNYLRTQKAVVRVSPEVPLQSI
+LPVICAKCEVSPEHVVLLRDNVAGEELELSKSLNELGIKELYAWDNKRVLLTKTKSEPSL
+SCRETFRKSSLSNDETDKEKKKFLGFFKVNKRSNNKGCLTTPNSPCVNPRSVILGPSLSL
+GNISGVSVKSDLKKRRAPPPPTLPRAGPPVQDKTSEKMSLGSQIDLQKKKRRAPAPPPPQ
+PPPPSPLVPPRTEDREEGRKGRTVYCCASFPTHAKHF
+>tr|Q2TA23|Q2TA23_BOVIN Enoyl-[acyl-carrier-protein] reductase, mitochondrial OS=Bos taurus OX=9913 GN=MECR PE=2 SV=1
+MWVCGALCRTRAPAQLGQRLLPESRRRRPASASFSASAEPSRVRALVYGHHGDPAKVVEL
+KNLELAAVGGSDVHVKMLAAPINPSDINMIQGNYGLLPQLPAVGGNEGVGQVVAVGSGVT
+GVKPGDWVIPANPGLGTWRTEAVFGEEELITVPSDIPLQSAATLGVNPCTAYRMLVDFER
+LRPGDSIIQNASNSGVGQAVIQIAAARGLRTINVLRDRPDLQKLTDRLKNLGANHVVTEE
+ELRKPEMKSFFKVPVKRDQAHLTEKRQSLEFGKLGTGPLFVSRIPPPSPCGWVKPIPSVR
+GQHAVSAQGLRGQRRDRQGLGDPA
+>tr|Q2YDN2|Q2YDN2_BOVIN PBX homeobox 3 OS=Bos taurus OX=9913 GN=PBX3 PE=2 SV=1
+MDDQSRMLQTLAGVNLAGHSVQGGMALPPPPHGHEGADGDGRKQDIGDILHQIMTITDQS
+LDEAQAKKHALNCHRMKPALFSVLCEIKEKTGLSIRGAQEEDPPDPQLMRLDNMLLAEGV
+SGPEKGGGSAAAAAAAAASGGSSDNSIEHSDYRAKLTQIRQIYHTELEKYEQACNEFTTH
+VMNLLREQSRTRPISPKEIERMVGIIHRKFSSIQMQLKQSTCEAVMILRSRFLDARRKRR
+NFSKQATEILNEYFYSHLSNPYPSEEAKEELAKKCSITVSQVSNWFGNKRIRYKKNIGKF
+QEEANLYAAKTAVTAAHAVAAAVQNNQTNSPTTPNSGGYPPSCYQSDGRLQ
+>tr|A6QLB6|A6QLB6_BOVIN LOC616371 protein OS=Bos taurus OX=9913 GN=LURAP1L PE=2 SV=1
+MEDGPLPDLRDIELKLGRKVPESLVRSLRGEEPVPPERDRDPGGGSGGSGSGCSSSSSCC
+SFPLSLSSSSSSSPTSGSPRPTHSSSTLERLETKIHLLRQEMVNLRATDGKLMRQLLLIN
+ESIESIKWMIEEKATITSRGSSLSGSLCSLLESQSTSLHGSCNSLHDGSDGLDGISVGSY
+LDTLADDVPGHHTPSDLDQFSDSSVVGDSHALYKRPKLDSEYYCFG
+>tr|Q32PA3|Q32PA3_BOVIN Magnesium-dependent phosphatase 1 OS=Bos taurus OX=9913 GN=MDP-1 PE=2 SV=1
+MARLPKLAVFDLDYTLWPFWVDTHVDPPFHKNRTGEVEGANQLLELFDLVRYFVHREIYP
+GSKVTHFERLQRKTGVPFSQMIFFDDEKRNIVDVSKLGVTCIHVQHGMSLQTLTQGLDAF
+TKAQAGL
+>tr|A3KMW0|A3KMW0_BOVIN CCDC97 protein OS=Bos taurus OX=9913 GN=CCDC97 PE=2 SV=1
+MEAVATAAREPGEGHTEPSPGHWGELSWTPVLPRPQDKAEAAEGTPRALDADPPEVEDPA
+VSAMLHAVAASRLPVCSQQQGEPDLTEPEKVAILGQLYHEKPLVFLERFRTGLREEHLAC
+FGHLRGDHRADFYCAEVARQGTTRPRTLRTRLRNRRYAALRELIQGGEYFSDEQMRFRAP
+LLYEQYIGQYLTQEELSARTPAHRPPKPGPPGTPTCPLSDLLLQSYQERELQQRLLQQQE
+EEEACLEEEDEEEDEDEEDQRPGKDSEAWVPDSEERLILREEFTSRMHQRFLDGKDGDFD
+YSTVDDNPDFDNLDIVARDEEERYFDEEEPEDAPSPELDGD
+>tr|E1BF75|E1BF75_BOVIN Establishment of sister chromatid cohesion N-acetyltransferase 1 OS=Bos taurus OX=9913 GN=ESCO1 PE=4 SV=1
+MMSIQEKSKENSSSVIKKNEDKNLETQVQGSQKNLAKKSDPKEAIKSLVKSSNESKVNQP
+ELGTCMSTRSASTDKRASKSTNKNMVTVKGHSQQESTKQKKISQKKTVHETPKSSEQLNR
+RSQRLQQLTEVSTRSLRSREIQGQAQAVKQSLPPTKKEHCNNTQSKSNKAKTNQKHVKRK
+VLEIKSDSKEEENSVTNEAINSPKGKKRKVEHQTAYTSGSQCIKGSEKCLENTRKEETKS
+SSEIKRSKVATSVVSKKNEMKKSVHTQVNNSAKSQKSPQPSVPEQTGDNGLEQAGTSKRG
+SILQLCEEIAGEIESDTVEVKKESSQMESVKEEKPTEIKLEETETERQILHQKEANQDVQ
+CNRFFPSRKTKPVKCILNGINNSTKKNSNWTKIKLSKFNSVQQNKLDSQFSPKSGLLRTS
+FSLPALETHQQVTQSTFLGSKPYGDKNKACQQEEMKEVNSEDVTPNDITVEMNKIPKKAP
+ENCHLGNQIKPPPDQPLDKQKKDAFESTPDKNCSLCLESKLENNPVENATTVSTLLSQAK
+IDTGENKFPGSIPKQHSVLCNQTSKTSDNREIPPNHSWPKCNSHLEITIPKDLKLKEAEK
+ADEKQLIIDAGQKRFGAVSCNVCGMLYTASNPEDETQHLLFHNQFISAVKYVGWKKERIL
+AEYPDGRIIMVLPEDPKYALKKVDEIREMVDNDLGFQQAPLMCYSRTKTLLFISNDKKVV
+GCLIAEHIQWGYRVIEEKLPVIRSEEEKVRFERQKAWCCSTLPEPAICGISRIWVFSMMR
+RKKIASRMIECLRSNFIYGSYLSKEEIAFSDPTPDGKLFATQYCGTGQFLVYNFINGQNS
+T
+>tr|A0A3Q1MR44|A0A3Q1MR44_BOVIN SRY-box 5 OS=Bos taurus OX=9913 GN=SOX5 PE=4 SV=1
+MSSKRPASPYGEADGEVAMVTSRQKVEEEESDGLPAFHLPLHVSFPNKPHSEEFQPVSLL
+TQETCGHRTPASQHNTMEVDGNKVMSSFAPHNSSTSPQKAEEGGRQSGESLSSTTLGTPE
+RRKGSLADVVDTLKQRKMEELIKNEPEETPSIEKLLSKDWKDKLLAMGSGNFGEIKGTPE
+SLAEKERQLMGMINQLTSLREQLLAAHDEQKKLAASQIEKQRQQMELAKQQQEQIARQQQ
+QLLQQQHKINLLQQQIQVQGQLPPLMIPVFPPDQRTLAAAAQQGFLLPPGFSYKAGCSDP
+YPVQLIPTTMAAAAAATPGLGPLQLQQLYAAQLAAMQVSPGGKLPGVPQGNLGAAVSPTS
+IHTDKSTNSPPPKSKDEVAQPLNLSAKPKTSDGKSPTSPTSPHMPALRINSGAGPLKASV
+PASLASPSARVSTIGYLNDHDAVTKAIQEARQMKEQLRREQQVLDGKVAVVNSLGLNNCR
+TEKEKTTLESLTQQLAVKQNEEGKFSHAMMDFNMSGDSDGSAGVSESRIYRESRGRGSNE
+PHIKRPMNAFMVWAKDERRKILQAFPDMHNSNISKILGSRWKAMTNLEKQPYYEEQARLS
+KQHLEKYPDYKYKPRPKRTCLVDGKKLRIGEYKAIMRNRRQEMRQYFNVGQQAQIPIATA
+GVVYPGAIAMAGMPSPHLPSEHSSVSSSPEPGMPVIQSTYGVKGEEPHIKEEIQAEDING
+EIYDEYDEEEDDPDVDYGSDSENHIAGQAN
+>tr|A0A3Q1N8M0|A0A3Q1N8M0_BOVIN Phosphatidylinositol transfer protein membrane associated 2 OS=Bos taurus OX=9913 GN=PITPNM2 PE=4 SV=1
+MIIKEYRIPLPMTVEEYRIAQLYMIQKKSRNETHGEGSGVEILENRPYTDGPGGSGQYTH
+KVYHVGMHIPSWFRSILPKAALRVVEESWNAYPYTRTRFTCPFVEKFSIDIETFYKTDAG
+ENPNVFSLSPVEKNQLTIDFIDIVKDPVPPNEYKTEEDPKLFHSTKTQRGPLSENWIEEY
+KQQVFPIMCAYKLCKVEFRYWGMQSKIERFIHDTGLRKVMVRAHRQAWCWQDEWYGLNMD
+NIRELEKEAQLMLSRKMAQFNEEDKGAAELAKNEAAQDQASGEPSQPSSSGGEPLAGRGL
+KKQWSTSSKSSRSSKRGASPSRHSISEWRMQSIARDSDESSDDEFFDAHEDLSDSEEMFP
+KDITKWNSNDLMDKIESPEPEDSQDGLYRQRAPEFRVASSVEQLNIIEDEVSPPLAAPPS
+KIHVLLLLLHGGTILDTGAGDPSSKQGDANTIATVFDTVMRVHYPSALGHLAIRLVPCPP
+ICSDAFALVSDLSPYSHDEGCLSSSQDHIPLAALPLLATSSPQYQEAVATVIQRANLAYG
+DFIKSQEGVTFNGQVCLIGDCVGGILAFDALCCSNQPVSESQSSSRRGSVASVQDADLLS
+PGSTVNTAHGSNLESSRHLSRSNIDIPRSNGNEDPKRQLPRKRSDSSTYELDTIQQHQAF
+LSSLHASVLRNEPSSRRSSSSTMLDGAGAVGKFDFEVADLFLFGCPLGLVLALRKTVIPS
+LDVFQLRPACQQVYNLFHPADPSASRLEPLLERRFHTLPPFSIPRYQRYPLGDGCSTLLA
+EALQAHNTVFQEHAAPSSPGSAPSTRGFRRASEISIASQVSGMAESYTASGIAQKAPALL
+SHTPSVRRLSLLALPHPAPSTLGKRERAPRLPDLDISEVAAKWWGQKRIDYALYCPDALT
+AFPTVALPHLFHASYWESTDVVSFLLRQVMRHDNSSILELDGKEVSVFTPSKPREKWQRK
+RTHVKLRNVTANHRINDAVANEDGPQVLTGRFMYGPLDMVTLTGEKVDVHIMMQPPSGEW
+LYLDTLVTNSSGRVSYTIPETHRLGVGVYPIKMVVRGDHTFADSYITVLPKGTEFVVFSI
+DGSFAASVSIMGSDPKVRAGAVDVVRHWQDLGYLIIYVTGRPDMQKQRVVAWLAQHNFPH
+GVVSFCDGLVHDPLRHKANFLKLLISELHLRVHAAYGSTKDVAVYSSISLSPMQIYIVGR
+PTKKLQQQCQFITDGYAAHLAQLKYNHRARPARNAATRMALRKGSFGLPGQGDFLRSRNH
+LLRTISAQPSGPGPRPHERTQSQADSEQRGQRSMSMAAGCWGRTLAGRPEPGPAAGPK
+>tr|B7TCI5|B7TCI5_BOVIN Transducin beta-like 1 OS=Bos taurus OX=9913 GN=TBL1X PE=4 SV=1
+MSITSDEVNFLVYRYLQESGFSHSAFTFGIESHISQSNINGTLVPPAALISILQKGLQYV
+EAEISINEDGTVFDGRPIESLSLIDAVMPDVVQTRQQAFREKLAQQQAAAAAAAAAAAAA
+AAAAATTAAPAAASQQNPPKNGEATVNGEENGAHAINNHSKPMEIDGDVEIPPSKATVLR
+GHESEVFICAWNPVSDLLASGSGDSTARIWNLNENSNGGSTQLVLRHCIREGGHDVPSNK
+DVTSLDWNSDGTLLATGSYDGFARIWTEDGNLASTLGQHKGPIFALKWNKKGNYILSAGV
+DKTTIIWDAHTGEAKQQFPFHSAPALDVDWQNNTTFASCSTDMCIHVCRLGCDRPVKTFQ
+GHTNEVNAIKWDPSGMLLASCSDDMTLKIWSMKQDTCVHDLQAHSKEIYTIKWSPTGPAT
+SNPNSSIMLASASFDSTVRLWDVERGVCLHTLTKHQEPVYSVAFSPDGKYLASGSFDKCV
+HIWNTQSGSLVHSYRGTGGIFEVCWNARGDKVGASASDGSVCVLDLRK
+>tr|A0A3Q1M1T1|A0A3Q1M1T1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=HIVEP1 PE=4 SV=1
+MPRTKQIHPRNLRDKIEEAQKELNGAEVSKKELLEAGIKGTSESLKGVKRKKIVAENHLK
+KIPKSPLRNPLQAKHRQNTEESPFPLLAGAAEPHKKQSHSPAKAGGRPLTKHNGETPGLT
+AGAPKPEAPVCPRKPPLLLQPSEPRRWRSEGPDLAKAGVPEGKSDPGSPPSKGKAEHREA
+PASSGHSSPPSSFANTAFDVLLKAMEPELSTLSQKGSPCAAKIENLRPNKTARAPTHLHG
+GPREAADPHLPDAAAPSEQPLPPRTLYPSGPVSATQRRDPAVVPASSPAYHIHELPVPKH
+GPQSQQLPACSGFPPSLASLQGQENAQLEHVYTAAATSPPGRTQVTPSNQQVDAAVSVPV
+SPTPSTQSPPTPIYNSSPVAAVVNHSVEQMCSLLLKDQKPKKQGKYICEYCNRACAKPSV
+LLKHIRSHTGERPYPCVTCGFSFKTKSNLYKHKKSHAHTIKLGLVLQPDAGGLFVSHESP
+KALSIHSDVEDSGDSEEDGAGDERPNDPGSVDLQPVQMIRMLSSSEALPKSGSIPSNPER
+MVGDFALQDGSSESQAVAELPKVVVHPISVSPLRVDSPKVTDSKPELPGTQKDLQGTRVL
+SHPAWASSLEADEKAHQKGDPTQPDGKPESPVGAAHAQLQRQQATDYCQEQQGKLLSPRS
+LGSTDSGYFSRSESADQTVSPPTPFARTLPAPEQDSAKNSGPSTPRVSTPAASAVPAGEK
+APLLPGQMRPPLATKTLEERISKLISDNEALVDDKQLDSVKPRRTSLSRRGSIDSPKSYI
+FKDSFQFDLKPMGRRTSSSSDIPKSPFTPTEKSKQVFLLSVPSLDCLPITRSNSMPTTGY
+SAVPASIIPAPHPLRGSQSFDDKIGAFYDDVFVPGPNPSVTQSGHPRTLVRQAAVEDSSA
+SENHVLGAGQPWDESHPGGSPAGETAAPRSKPPAPGSHLEKKKSHQGRGTMFECETCRNR
+YRKLENFENHKKFYCSELHGPKTKVAVRDPEHSSVPGGAQHYRVAGATGVWEQTTQMRKR
+RKMKSVGDDDELQQNESGAPPKSSEGSRSQSALGPAPSLSQQNLAIPSELQPRNLQRQTT
+QIQLGTRGPNQPRDPKPSSVLEEPMSSAAQDRAEPKRHGAGISVIQHTNSLSRPGAFDKL
+DAGEGASPVPFQELRTGKPGSLSVRGISQEEGCPSRHTSQPTLSDAPRGELQDGSRKISG
+ERHVLGQPSRLVRQHSIQVPEILVTEEPDRDLESQGHDAEKSEKFSWPQRSETLSKLPTE
+KLPPKKKRLRLAEMEHSSAESSFDSTLSRSLSRDSSLSHTSSFSASLDVEDVSKTEASPK
+IDFLHKADFLLIPAGSNTLSVPGGHREMRRAASEQIHCLQTSMEVSDFRSKSFDCGSIAP
+SQKVPPAESHPPTSPSGVGVAGHVPLLERRRGPLIRQISLNIAPENQLAPGNPLSFQTIA
+PPAVNTVPLQGPQLTHTVSAEFPASTLHSQTPVKDVRAEMASSHSPNLFPLQQLLGIHLL
+NQIPVPLSHPDTHMSLQVSSTQSVKPDASPGGGCVPSKSEDCFAPKYQLQCLAVPSSQPC
+ASNPVQPLPKQGLSDAAGATPRATSLTLPAKLAEATSNSCPPPPLKVGALGGQVPKGPTS
+LTLSTHLQTQVSTYCFAAVTALPQILVTQDLSNQPICQANRSTVPISEEQSSVPKPQNDP
+RNALPNPEGELVGQPVFSEMGQNPSPSESSPTTPKMSVGRLSPQQESSASSKRMLSPANS
+LDIAMEKHQKRAKDENGAVCATDTRPLEAVGSRAPDGSKQKKPVLVRQVCTTEPLEAVTL
+EQDVFPHPEVSTEALHLTAVSPADNVSSGHCKPVAREPMKEVQEFESIPSAALLTPTVQN
+PAAPAEKTHISPLESIDNHQERKSPGIKNPGNKANVQEQSQPAATTPSMREAGDTQPSTS
+FPSLKTATSSTWCCLMRQKALHLPQKDQKTSAYTDWTVSASDPNPLGLPTKVALSLLNSK
+QKTGKSLYCQAITTHFKSDLLVYSSKWKSNLSKRALGNQKSTVVEFSSKDASEINSEQDK
+ENSLIKSEPRRIKIFDGGYKSNEEYVYVRGRGRGKYICEECGIRCKKPSMLKKHIRTHTD
+VRPYHCTYCNFSFKTKGNLTKHMKSKTHSKKCLDLGVSVGLIDEQDTEESDEKQRFSFER
+SGYDPEESDGAEDDDIENEEEDEDSQAESVLSAAPSAAASPQHLPARGGPGDPTGADEDA
+SAPEGSPGGPTDAMDVLPRALPTKMTVLSALPSDRGGSPGSPGSAGPRAAGNAEAAACPP
+EGAPRSPCHQMSVDYPNPKEMLSGSAAGKAVALTQGAPCLSLPPPPASERSPQPGGAPPS
+TSPRPGPQEQKPQAALPPPAGLPSPQTHLFSHLPLHSQQQSRTPYNMVPVGGIQVVPAGL
+TYSTFVPIQAGPVQLTIPAVNVIHRPLGTPGDLSADGPGTASPAGVAELSSVVPCIPIGQ
+IRVPTLQPLPALSMETVNIVGLASASLGPPVRPPGLALNAVGLQVVAANPASQSSPAPPA
+PIPGLQIVNIALPTLIPSVSQVPVDIQGAPEMPAPPNRASREAPPTQPVGAHPGGRTPSP
+QGSPGVQRESAQKGLDPPAPARDQARRDSSSPRDTGKGASANHPKPRHDTPGAPCKPTSA
+PLPPPGRPRLPLDPEGPRPPPRRPVTQFSDVSSDDDEDRLVIAT
+>tr|Q1JPK7|Q1JPK7_BOVIN Nudix-type motif 16 OS=Bos taurus OX=9913 GN=NUDT16 PE=2 SV=1
+MRRLELSEALHLGPGWRHACHALLYAPDPGLLFGRIPLRYAVLMQMRFDGRLGFPGGFVD
+LRDGSLEDGLNRELGEELGEAAGAFRVERADYRSSHAGSRPRVVAHFYTKLLTLEQLTAV
+EMGAPRARDHGLEVGPAQDPTPPPPAPEGLSQLYPRVVGVWVINYSQPGLPAKILLSLLF
+TGLCIVFLKLSHPNHSSATTL
+>tr|A0A3Q1MNJ0|A0A3Q1MNJ0_BOVIN Protein N-lysine methyltransferase METTL21A OS=Bos taurus OX=9913 GN=METTL21A PE=4 SV=1
+MYRASSTFGVNSERNLTSQEGRAKGIRDFASTLSSFELVTTGFEHLELLKRSCIFWLEPH
+SGFFFPTAWREQRVGGGEMALVPYTETAEMGLQRFHKPLATFSFANHTIQIRQDWKQLGV
+AAVVWDAAVVLATYLEMGTVELRGCSAVELGAGTGLVGIVAALLGAHVTITDRKVALEFL
+KSNVQANLPPHIQPKAVVKELTWGQNLGRFSPGEFDLILGADIIYLEETFTDLLQTLEHL
+CSNHSVVLLACRIRYERDYNFLAMLERQFTVSKVHYDSEKDVHIYKAQRRCLREDL
+>tr|Q0VCV0|Q0VCV0_BOVIN Replication protein A subunit OS=Bos taurus OX=9913 GN=RPA1 PE=2 SV=1
+MVGHLSEGAIAAIMQQGDTSIKPILQVINIRPITTGNSPPRYRLLMSDGLNTLSSFMLAT
+QLNPLVEEERLSSNCICQINRFIVNTLKDGRRVVILMELEVLKSAEAVGSKIGNPVPYNE
+GHGQQPVVPPPVSATSPPTSRPQQQNGSPGMASTASKAFGASKTFGKAGGTSQVNSSGGT
+QAKVVPIASLTPYQSKWTICARVTNKSQIRTWSNSRGEGKLFSIELVDESGEIRATAFNE
+QADKFFPLIDVNKVYYFSKGTLKIANKQFTAVKNDYEMTFNNETSVMPCEDGHHLPTVQF
+DFTGIGDLESKSKDSLVDIIGICKNYEDVTKIIVKSNNREVSKRNIYLMDMSGKVVNATL
+WGDDADKFDGSRQPVMAIKGARVSDFGGRSLSVLSSSTIIVNPDIPEAYKLRGWFDSEGQ
+ALDGISISDLKSGGAGGSNTNWKTLYEVKSENLGQGDKPDYFSSVATVVYLRKENCMYQA
+CPTQDCNKKVIDQQNGLYRCEKCDSEFPNFKYRMILSVNIADFQENQWVTCFQESAEAIL
+GQSTAYLGELKEKNEQAFEEVFQNANFRSFTFRIRVKLETYNDESRIKATVVDVKPVDYR
+EYGRRLVMNIRRNAAM
+>tr|A6QNW7|A6QNW7_BOVIN CD5 molecule like OS=Bos taurus OX=9913 GN=CD5L PE=2 SV=1
+MALFFFLIFAFSTGPGLLESPPKVRLVRGPHRCEGRVEVERNGEWGTVCDDGWNLKDVEV
+VCRELGCGAAKGTPSGNLYKPLADEKQKIFIQDVNCNGTEDELIECDRVEDVFDCSHSED
+AGAICEKSPPKVRLVRGPHRCEGRVEVERNGEWGTVCDNGWNMKDVEVVCRELGCGAAKG
+TPSRNLYKPLADEKQKIFIQDVNCNGTEDELIECDRVEDVFDCSHSEDAGAICERTVRLV
+DGPGRCKGRLEVKHQKQWGTVCKAGWNLSAAKVVCRQLGCGKATLIKRCCNKDTQGQGLI
+WLSNVSCSGQEEDLQHCLSGLEGYNNCTHDEDTWVECEDPFKLRLVNGDTSCSGRLEVLH
+KGIWGSVCDDGWAKKEEQVVCQQLGCGKPIFVPAKARKKFVPGNGRIWLDDVHCKGEEQS
+LEQCQHRSWGYHDCNHKEDVVVFCLEGQPDI
+>tr|A5PJQ1|A5PJQ1_BOVIN DEK protein OS=Bos taurus OX=9913 GN=DEK PE=2 SV=1
+MSSSGTAAEGEAAPAQPASEKEPDMPGPREESEEEDEDDDEEEEEEEKEKSLIVEGKREK
+KKVERLTMQVSSLQREPFTIAQGKGQKLCEIERIHFFLSKKKTDELRNLHKLLYNRPGTV
+SSLKKNVGQFSGFPFEKGSIQYKKKEEMLKKFRNAMLKSICEVLDLERSGVNSELVKRIL
+NFLMHPKPSGKPLPKSKKSSSKGNKKERNSSGMARKAKRTKCPEILSDESSSDEEEKKNK
+EESSEDEDKESEEEPPKKTSKREKPKQKATPKSKKSVKSANVKKADSSTTKKNQNSSKKE
+SESEDSSDDEPLIKKLKKPPTDEELKETVKKLLASANLEEVTMKQICKEVYENYPAYDLT
+ERKEFIKTTVKELIS
+>tr|Q29RI2|Q29RI2_BOVIN Phosphorylase kinase catalytic subunit gamma 1 OS=Bos taurus OX=9913 GN=PHKG1 PE=2 SV=1
+MTQDKSLPDSSSAQSFYENYEPKEILGRGVSSVVRRCIHKPTCQEYAVKIIDITGGGSFS
+SEEVQELREATQKEVDILRKVSGHPNIIQLKDTYETNTFFFLVFDLMKRGELFDYLTEKV
+TLSEKETRKIMRALLEVIATLHRLNIVHRDLKPENILLDDNMNIKLTDFGFSCQLEPGEK
+LREVCGTPSYLAPEIIECSMNDDHPGYGKEVDMWSTGVIMYTLLAGSPPFWHRKQMLMLR
+MIMSGNYQFGSPEWDDYSDTVKDLVSRFLVVSPQRRCSAEEALAHPFFQQYVVEEVRHFS
+PRGKFKVICLTVLASVRIYYQYRRVKPVTREIVIRDPYALRPLRRLIDAYAFRIYGHWVK
+KGQQQNRAALFENTPKAVLLSLAEEDF
+>tr|A4IF71|A4IF71_BOVIN PKP2 protein OS=Bos taurus OX=9913 GN=PKP2 PE=2 SV=1
+MAAPGSSAECGYIRTVLGQQILGQLDSSSLALPSEAKLKLAGSSGQAVKSLRIQEQVQQT
+LARKGRSFAGNGNLHRTSSVPEYVYNLHLVENDFAGVRSPVTKTYDLLKTGTAPTYEGRW
+GRGTSQYSSQKSVEERFFRQPLRRLEISPDSSPERAQYAHSEHHYSQRSQAGHTLRHQES
+RRSTLLVPPRYARSEIVGFSHSGAVGRQRHYDTYNRRYQYGSVNEADFDGAPVHPVVLTY
+PRPGTSHSLGNLLEKENYVTAGLAAGQARPAPMPPGTHGRAERSSWHQSSFHSTRTLREA
+EAGGRRPHMTVGQVAAGGSGIMLAAERSTFTEAQSGNVDTEMTLERAVSMLEADHTPPSK
+IPIAATFIQHECFQKSEARKRVYQLHGIPKLLQLLKVQNEDIQRAVCGALRNLVFEDNDN
+KLEVAELSGVPRLLQVLKQTRDLETKKQITGLLWNLSSNDKLKNLMITEALLILTENIII
+PFSGWPEGDYPKANGLLDFDIFYNVTGCLRNMSSAGPEGRKVMRRCDGLIDSLVHYVRGT
+IADYQPDDKATENCVCILHNLSYQLEAELPEKYSQSIYIQNRNIQADNNKSIGCFGSRSR
+KVKEQYQDMPMPEEKSNPKGVEWLWHSIVIRMYLSLIAKSVRNYTQEASLGALQNLTAGS
+GPMPTSVAQTVVQKENGLQHTRKMLHVGDPSVKKTAVSLLRNLSRNLSLQNEIAKETLPD
+LVSIIPDTVPSTDLLIETTASACYTLNNIIQNSYQNARDLLNTGGLQKIMTISAGDTYAS
+NKASKAASVLLYSLWTHTELHNAYKKAQFKKTDFVNSRTTKAYHSLKD
+>tr|A0A3Q1LTK7|A0A3Q1LTK7_BOVIN ELKS/RAB6-interacting/CAST family member 1 OS=Bos taurus OX=9913 GN=ERC1 PE=4 SV=1
+MYGSARSVGKVEPSTQSPGRSPRLPRSPRLGHRRTNSTGGSSGSSAGGGGGKTLSMENIQ
+SLNAAYATSGPMYLSDHENAGSETPKSTMTLGRSGGRLPYGVRMTAMGSSPNIASSGVAS
+DTIAFGEHHLPPVSMASTVPHSLRQARDNTIMDLQTQLKEVLRENDLLRKDVEVKESKLS
+SSMNSIKTFWSPELKKERALRKDEASKITVWKEQYRVVQEENQHMQMTIQALQDELRIQR
+DLNQLFQQDSSSRTGEPCVAELTEENFQRLHAEHERQAKELFLLRKTLEEMELRIETQKQ
+TLNARDESIKKLLEMLQSKGLSAKATEEDHERTRRLAEAEMHVHHLESLLEQKEKENALL
+REEMHRRFENAPDSAKTKALQTVIEMKDSKISSMERGLRDLEEEIQMLKSNGALSTEERE
+EEMKQMEVYRSHSKFMKNKVEQLKEELSSKEAQGEELKKRAAGLQAEIGQVKQELSRKDT
+ELLALQTKLETLTNQFSDSKQHIEVLKESLTAKEQRAAILQTEVDALRLRLEEKETMLNK
+KTKQIQDMAEEKGTQAGEIHDLKDMLDVKERKVNVLQKKIENLQEQLRDKEKQMSSLKER
+VKSLQADTTNTDTALTTLEEALAEKERTIERLKEQRDRDEREKQEEIDNYKKDLKDLKEK
+VSVLQGDLSEKEASLLDLKEHASSLASSGLKKDSRLKTLEIALEQKKEECLKMESQLKKA
+HEATLEARASPEMSDRIQQLEREIARYKDESSKAQAEVDRLLEILKEVENEKNDKDKKIA
+ELESLTSRQVKDQNKKVASLKHKEQVEKKKSAQMLEEARRREDTLSDSSQQLQDSLRKKD
+DRIEELEEALRESVQITAEREMVLAQEESARTSAEKQVEELLMAMEKVKQELESMKAKLS
+STQQSLAEKETHLTNLRAERRKHLEEVLEMKQEALLAAISEKDANIALLELSSSKKKTQE
+EVAALKREKDRLVQQLKQQTQNRMKLMADNYEDDHFRSSHSGQSNHKPSPDQIIQSLLEL
+DQNRSKLKLYIRHLTALCHDRDPLILRGLTPPAAYKLDDDQAAWENELQKMTQEQLQSEQ
+EKGERDNAELQEFANAVLQQIADHCPDILEQVVNALEESS
+>tr|A6QPL7|A6QPL7_BOVIN DDN protein OS=Bos taurus OX=9913 GN=DDN PE=2 SV=1
+MDFQASHWLRGPQSRTCGPRPGSPEPPPRRPWASRVLQEATNWRAGPPAEARAREQEKRK
+AASQEREAKETERKRRKAGGARRSPPGRPRPEPRNALRVAHSAGLPAPSRPERLGSVGRP
+PRPSAQPQSNPGAAWAGPWGGRRPGPPSYEAHLLLRGAAGMAPRRRWDRPPPYVAPPSYE
+GPHRTLGTKRGPEPSQARSSSTCAPTRTEGGCAKKRLDPRIYRDVLGAWGLRQGRGLLGG
+SPGCGTDRPRLESGKGPAEKSLRLAAAGLKSGSDGHPQAKAAGSPGTVPAGSATATPSPP
+RPTPRSRPHPRGSGEGREGRDQTWLPKRWVPSIKKQPPRHSQTLPRPWAPGGTGWIESLG
+HRGEAGPETLEGWKATRRPHTLPRSSRGPARGEGVFVIDATCVVIRSQYVPTPRTQHVQL
+LPAGVPRLVGNAPSQPKPNKEEGEGAAVLPSPCRKLPLSSRPSLQPSEGRGLEAERGKPA
+DSSLEERASRILGLPVGEVNLQDPPTQPGSPEHSALGPAASRGARGAEGSEKVASGTRRA
+GRGWARAPGPYAGALREAVSRIRRHTAPDSDSDEAAELSVHSSSSDASDTEASGASWRKE
+RTGPPEGGKTAELSGNAREIES
+>tr|F1N0K4|F1N0K4_BOVIN TNF superfamily member 14 OS=Bos taurus OX=9913 GN=TNFSF14 PE=2 SV=2
+MEETVARPSVFVVDGQTDIPFRRLGHSRRRQPCSAAQLGLGLMLLLLVAGLAVQGWFLLQ
+LHWRLEEIGAPLQDKSEKHWEELLQEQKPKQDKPAAHLTGADFSLTSREGPLRWETKLGL
+AFLRGLSYRDGALVVAQAGYYYIYSKVQLGGTGCSQGLTGCLPITHGLYKRTASYPEEVE
+LLVNRRSRCGRADGSQVWWDSSFLGGVVHLDVGDEVVVRMPGERVIQVHDGTRSYFGAFM
+V
+>tr|A7YY43|A7YY43_BOVIN Dual specificity protein phosphatase OS=Bos taurus OX=9913 GN=DUSP3 PE=2 SV=1
+MSGPFELSVQDLNDLLSDGSGCYSLPSQPCNEVTPRIYVGNASVAQDIPKLQKLGITHVL
+NAAEGRSFMHVNTNANFYKDSGITYLGIKANDTQEFNLSAYFEKAADFIDQALAQKNGRV
+LVHCREGYSRSPTLVIAYLMMRQKMDVKSALSIVRQNREIGPNDGFLAQLCQLNDRLVKE
+GKLKL
+>tr|A5PKI5|A5PKI5_BOVIN NTNG2 protein OS=Bos taurus OX=9913 GN=NTNG2 PE=2 SV=1
+MLRLLALLLHCLALASGDYDICKSWVTTDEGPTWEFYACQPKVMRLKDYVKVKVEPSGIT
+CGDPPERFCSHENPYLCSNECDASNPDLAHPPRLMFDREDEGLATYWQSVTWSRYPSPLE
+ANITLSWNKSVELTDDVVVTFEYGRPTVMVLEKSLDNGRTWQPYQFYAEDCMEAFGMPAR
+RARDLSASGAHRVLCTEEYSRWAGSKKEKHVRFEVRDRFAIFAGPDLRNMDNLYTRLESA
+KGLKEFFTLTDLRMRLLRPALGGTYVQRENLYKYFYAISNIEVTGRCKCNLHANLCSVRE
+GSLQCECEHNTTGPDCGKCKRSFRTRSWRAGSYLPLPHGSPNACAAAGSAVGNCECYGHS
+NRCSYIDFLNVVTCVSCKHNTRGQHCQHCRLGYYRNGSAELDDENVCIECNCNQIGSVHD
+RCNETGFCECREGAAGPKCDDCLPTHYWRQGCYPNVCDDDQLLCQNGGTCVQNQRCACPR
+GYTGARCEQPRCDPADDEGGLDCDRAPGAAPRPATLLGCLLLLGLAARLDC
+>tr|F1N3H0|F1N3H0_BOVIN WD_REPEATS_REGION domain-containing protein OS=Bos taurus OX=9913 GN=DCAF8 PE=4 SV=1
+MSSKGSSTDGRTDLANGSLSSSPEEMSGAEEGRETSSGIEVEASDLSLSLTGDDGGPNRT
+STESRGTDTESSGEDKDSDSMEDTGHYSVNDESQVHDRSEEEEEEEHPRRRVQRKRANRD
+QDSSDDERALEDWVSSETSALPRPRWQALPALRERELGSSARFVYEACGARVFVQRFRLQ
+HGLEGHTGCVNTLHFNQRGTWLASGSDDLKVVVWDWVRRQPVLDFESGHKSNVFQAKFLP
+NSGDSTLAMCARDGQVRVAELSATQCCKNTKRVAQHKGASHKLALEPDSPCTFLSAGEDA
+VVFTIDLRQDRPASKLVVTKEKEKKVGLYTIYVNPANTHQFAVGGRDQFVRIYDQRKIDE
+NENNGVLKKFCPHHLVNSESKANITCLVYSHDGTELLASYNDEDIYLFNSSHSDGAQYIK
+RYKGHRNNATVKGVNFYGPKSEFVVSGSDCGHIFLWEKSSCQIIQFMEGDKGGVVNCLEP
+HPHLPVLATSGLDHDVKIWAPTAETSTELTGLKDVIKKNKRERDEDSLHHTDLFDSHMLW
+FLMHHLRQRRHHRRWREPGVGATDADSDESPSSSDTSDEEEGPDRVQCMPS
+>tr|Q148H9|Q148H9_BOVIN Ribosomal RNA processing 1 OS=Bos taurus OX=9913 GN=RRP1 PE=2 SV=1
+MGPRVKLPPEIQLAQRLAGNEQVTRDRAVRKLRKYIVARTQRAEGGFTHDELLKVWKGLF
+YCMWMQDKPLLQEELGRTISQLVHAFQTTEAQHLFLQTFWQTMNREWPGIDRLRLDKFYM
+LMRMVLREALMVLQTQGWDERQTERLLELLTTEILHPDSEAPNGVKSHFIEIFLEELTKV
+GAHELTADQNLRLIGPFCTIAAQTQDSLVLHNIAQGIFETIVEQAPLAIEELMNELEEEE
+LSEEEELSEEEEAAQEPGLLSREPPKGSVCRTQRPLDQQAGDDDEDGTSTVLQFDYKAVA
+DTLFEMASRQDTPPQNRKRLYKVIRKLQALAEGLFPEPDIPEEAYRNPRGGRRVRMKKRL
+SGSQLQDKTGRHAKEALHSDSSPGSTRRRRQQRAGGDPPVPRERPGGHGGRGAPRRQRRP
+RVGAKAKIAGCQEPKAKRKRTPEGQK
+>tr|Q08DX1|Q08DX1_BOVIN SH3 domain containing GRB2 like 2, endophilin A1 OS=Bos taurus OX=9913 GN=SH3GL2 PE=2 SV=1
+MSVAGLKKQFHKATQKVSEKVGGAEGTKLDDDFKEMERKVDVTSRAVMEIMTKTIEYLQP
+NPASRAKLSMINTMSKIRGQEKGPGYPQAEALLAEAMLKFGRELGDDCNFGPALGEVGEA
+MRELSEVKDSLDMEVKQNFIDPLQNLHDKDLREIQHHLKKLEGRRLDFDYKKKRQGKIPD
+EELRQALEKFDESKEIAESSMFNLLEMDIEQVSQLSALVQAQLEYHKQAVQILQQVTVRL
+EERIRQASSQPRREYQPKPRMSLEFSTGDSTQPNGGLSHTGTPKPAGAPMDQPCCRALYD
+FEPENEGELGFKEGDIITLTNQIDENWYEGMLHGQSGFFPINYVEILVALPH
+>tr|Q17QE6|Q17QE6_BOVIN Iron-sulfur cluster assembly enzyme OS=Bos taurus OX=9913 GN=ISCU PE=2 SV=1
+MAAAGAGRLRRAASAVLLRAPRLPARELSAPARLYHKKVVDHYENPRNVGSLDKTSKNVG
+TGLVGAPACGDVMKLQIQVDEKGKIVDARFKTFGCGSAIASSSLATEWVKGKTVEEALTI
+KNTDIAKELCLPPVKLHCSMLAEDAIKAALADYKLKQEPKTGEAEKK
+>tr|Q32KQ4|Q32KQ4_BOVIN Uncharacterized protein MGC133804 OS=Bos taurus OX=9913 GN=MGC133804 PE=2 SV=1
+MSESSSGQNTSDQKTCPSNSSYSSYLSEIHTLWALSRNRTLYSRSDSGISSLLPSDSFKY
+LTWHKGEQNDIQGSQLRCPRVREGPSAEELLFREEECTLPSQKRVLEKNKWETWLQENWE
+DCTNLNLSFQDLGDPYQVENFNRILRRLIRVETLWLVDNSLVDLSALRLPSCRVLNMNKN
+HLTSFKQLPKIPQIQHLSLADNHIETLTGLSSLRCTPLESLILKRNPCEFHQNYRKRSSN
+KKTAQFKRERT
+>tr|I2E4T8|I2E4T8_BOVIN Mannan binding lectin serine peptidase 2 OS=Bos taurus OX=9913 GN=MASP2 PE=2 SV=1
+MRWVEAPRTCLAGVRAESRPHRPCPAPPSGCWSSWACCGAWWLRPRGHSGPNQCSGAWHR
+PASPTSTPTTRSGAGP
+>tr|Q0VBW4|Q0VBW4_BOVIN Uncharacterized protein LOC777593 OS=Bos taurus OX=9913 GN=LOC777593 PE=2 SV=1
+MSFFSSWIKAILIIGLAFPLYCETSFASSRKMREMREAPECSIYIRQLHFCSREMDPVCA
+TNGKTYSNKCVFCSEKIEDGRFDFSHWGRC
+>tr|D1H0P3|D1H0P3_BOVIN Beta-1,4-galactosyltransferase 7 OS=Bos taurus OX=9913 GN=B4GALT7 PE=2 SV=1
+MFPSRRKAAQLPWEDGRSRLIPSGLPRKCSVFHLFVACLLLGFLSLLWLQLSCSGDVART
+ARGQGQETPGPLRACPPEPPREHWEEDESWGPHRLAVLVPFRERFEELLVFVPHMHRFLS
+KKKIQHHIYVLNQVDHFRFNRAALINAGFLESGNSTDYIAMHDVDLLPLNEELDYGFPEA
+GPFHVASPELHPLYHYKTYVGGILLLSKQHYQLCNGMSNRFWGWGREDDEFYRRIKGAGL
+QLFRPSGITTGYKTFRHLHDPAWRKRDQKRIAAQKQEQFKVDREGGLSTVKYRVDSRTAL
+SVGGAPCTVLNILLDCDKAATPWCTFS
+>tr|Q32LC3|Q32LC3_BOVIN Eukaryotic translation initiation factor 1A X-linked OS=Bos taurus OX=9913 GN=EIF1AX PE=2 SV=1
+MPKNKGKGGKNRRRGKNENESEKRELVFKEDGQEYAQVIKMLGNGRLEAMCFDGVKRLCH
+IRGKLRKKVWINTSDIILVGLRDYQDNKADVILKYNADEARSLKAYGELPEHAKINETDT
+FGPGDDDEIQFDDIGDDDEDIDDI
+>tr|A6QQ63|A6QQ63_BOVIN Alpha-1,3-mannosyl-glycoprotein 4-beta-N-acetylglucosaminyltransferase B OS=Bos taurus OX=9913 GN=MGAT4B PE=2 SV=1
+MRLRNGAFLTLLLFCLCAFFSLSWYAALSGQKGDVVDVYQREFLALRDRLHAAEQESLKR
+SKELNLVLDEIKRAMSERQALRDGDSNRTWGRLPEDPRLKPWNVSHKHVLHLPTVFHHLP
+HLLAKESSLQPAVRVGQGRTGVSVVMGIPSVRREVHSYLTDTLHSLISELSPQEKEDSVI
+VVLIAETDPQYTSLVTENIKALFPTEIHSGLLEVISPSPHFYPDFSRLRESFGDPKERVR
+WRTKQNLDYCFLMMYAQSKGIYYVQLEDDIIAKPNYLSTMKNFALQQPSEDWMILEFSQL
+GFIGKMFKSLDLSVIVEFILMFYRDKPIDWLLDHILWVKVCNPEKDAKHCDRQKANLRIR
+FKPSLFQHVGTHSSLAGKIQKLKDKDFGKHALRMEHVNPPAEVSTSLKTYQHFTLEKAYL
+HEDFFWPSRPLQGTSSASASSSRCDWSEPWPSFLFPSHHRLPSWPRPGLFSAGCHA
+>tr|E1BJG8|E1BJG8_BOVIN Coiled-coil domain containing 68 OS=Bos taurus OX=9913 GN=CCDC68 PE=4 SV=3
+MTTVTGTATVPQGGKTEDDSAMYESPSAHIIEETEYVRKIRTTLEKIRNQIFRDEVRHNS
+ANHKLDAKRCGNIQNGSDSAADFSGGSLDPLMERIKGKDLQLSEMNKENEVLKIKLEASR
+EAGAVALRNVAQRLLENYQTRSEEVRKKHEDRRHLLQVDKLEKEQKLKQHVENLDQIAEK
+LEEKHKQITELENLVQRMEKEKRTLLEKKLSLENKLLHLKSNATNAKSCQDLQKEISLLQ
+EQISHLQFVIHSQHQNLRSVIQEMEALKNNLKEQDKRIEDLQEKVNILEAQNKELKAKVA
+LWPETPGTKVSKAVSTSELKTEDTTPYLMLVRLRK
+>tr|A2VE17|A2VE17_BOVIN Adseverin OS=Bos taurus OX=9913 GN=SCIN PE=2 SV=1
+MAQGLYHEEFARAGKRAGLQVWRIEKLELVPVPESAYGNFYVGDAYLVLHTTQASRGFTY
+RLHFWLGKECTQDESTAAAIFTVQMDDYLGGKPVQNRELQGYESTDFVGYFKGGLKYKAG
+GVASGLNHVLTNDLTAQRLLHVKGRRVVRATEVPLSWDSFNKGDCFIIDLGTEIYQWCGS
+SCNKYERLKASQVAIGIRDNERKGRAQLIVVEEGSEPSELTKVLGEKPKLRDGEDDDDIK
+ADITNRKMAKLYMVSDASGSMKVSLVAEENPFSMAMLLSEECFILDHGAAKQIFVWKGKD
+ANPQERKAAMKTAEEFLQQMNYSTNTQIQVLPEGGETPIFKQFFKDWRDRDQSDGFGKVY
+VTEKVAHIKQIPFDASKLHSSPQMAAQHHVVDDGSGKVQIWRVENNGRVEIDRNSYGEFY
+GGDCYIILYTYPRGQIIYTWQGANATRDELTTSAFLTVQLDRSLGGQAVQIRVSQGKEPA
+HLLSLFKDKPLIIYKNGTSKKEGQAPAPPIRLFQVRRNLASITRIMEVDVDANSLNSNDV
+FVLKLRQNNGYIWIGKGSTQEEEKGAEYVASVLKCKTSTIQEGKEPEEFWNSLGGKKDYQ
+TSPLLESQAEDHPPRLYGCSNKTGRFIIEEVPGEFTQDDLAEDDVMLLDAWEQIFIWIGK
+DANEVEKSESLKSAKIYLETDPSGRDKRTPIVIIKQGHEPPTFTGWFLGWDSSRW
+>tr|Q1RMI9|Q1RMI9_BOVIN Nudix (Nucleoside diphosphate linked moiety X)-type motif 13 OS=Bos taurus OX=9913 GN=NUDT13 PE=2 SV=1
+MSLYCGTACRRKIFWCYRLLSTYVTKTRYLCELKEDDDACKKAQQTGAFYLFHNLAPLLQ
+KSEHQYLAPQHSLLELERLLSKFGQDSQILEDSVLIGCSEEQEAWFALDLGLNSSSSINA
+SLQKAEIETALQGSFIKLTKALFELNVKDASLLSTAQALLRWHDAHQFCSRSGQPTKKNV
+SGSKRVCPSNNIIYYPQVAPVVITLVSDGTRCLLVRQSSFPKGMYSALAGFCDIGESLEE
+TVRREIAEEVGLEVDRLHYSASQHWPFPNSTLMIACHATVKPGQTELQVNLRELEAAAWF
+SRDEVATVLRRNNPSNQQQSGAVPFWLPPKLAIAHQLIKEWVEKPTCSPLPA
+>tr|F1MWG3|F1MWG3_BOVIN CWC22 spliceosome associated protein homolog OS=Bos taurus OX=9913 GN=CWC22 PE=4 SV=3
+MKSSVAQIKHSSGHDRRENYNSYQRTSSPEDRYIEQERSPRDRGYFDSSRSDYERSRRER
+SYDSSMESRSRDREKRRERDVDRKRSRKSPSPGRRSPEPSVTQSSSAQDEPTAKKKKEEL
+DPLLTRTGGAYIPPAKLRMMQEQITDKNSLAYQRMSWEALKKSINGLINKVNISNIGIII
+QELLQENIVRGRGLLSRSVLQAQSASPIFTHVYAALVAIINSKFPQIGELILKRLILNFR
+KGYRRNDKPLCLTASKFVAHLINQNVAHEVLCLEMLTLLLERPTDDSVEVAIGFLKECGL
+KLTQVSPRGINAIFERLRNILHESEIDKRVQYMIEVMFAVRKDGFKDHPVILEGLDLVEE
+DDQFTHMLPLEDDYNPEDVLNVFKMDPNFMENEEKYRAIKKEILDEGDSDSNTDQDAGSS
+EEEEEEEEEEGEEDEEGQKVTIHDKTEINLVSFRRTIYLAIQSSLDFEECAHKLLKMEFP
+ESQTKELCNMILDCCAQQRTYEKFFGLLAGRFCMLKKEYMESFESIFKEQYDTIHRLETN
+KLRNVAKMFAHLLYTDSLPWSVLECIKLSEETTTSSSRIFVKIFFQELCEYMGLPKLNAR
+LKDETLQPFFEGLLPRDNPRNTRFAINFFTSIGLGGLTDELREHLKNTPKVIVAQKPDVE
+PDKSSSSSSSSESSSSESDSSASDSDSSDSSSESSSEESDSSSTSSQSSASAKNVRKKGH
+GNHRSKEVDKLIRKQEINDRKQEERRPEHRHQETRTERERRSEKPRERDSRGSGTTYTSD
+RGIPSARNSCSRAVHDRDQEARVDLARNHGDPEKKRERRNSFSENEHRHRNRDSENFRRR
+DRSKSREKNKKHSGSRSEDDRYQNGAERQWEKPSRYSEQSGESKKSQDRRREKSPTKQKK
+>tr|Q58D57|Q58D57_BOVIN Growth factor OS=Bos taurus OX=9913 GN=TDGF1 PE=2 SV=1
+MERFSYSVILIMAFSRALELGLVAGLGDLELARPSQGELAFRDDGLWSQEEPAIRHQPSQ
+FVASMGIQKSKELNRTCCLNGGTCMLGSFCACPPSFYGRNCEHDSRKENCGSVPHDTWLP
+RKCSMCKCWHGQLRCFPQSFLPGCDGHVIDEHLTASRTPELTLSACALVLPGICLAIQSY
+Y
+>tr|A0A3Q1LKG8|A0A3Q1LKG8_BOVIN Mab-21 like 3 OS=Bos taurus OX=9913 GN=MAB21L3 PE=4 SV=1
+MEGDLENCLLNKVDLRRQWISQMVEEVQKVVHHLTTEISYQDFRFQAIPYCDTYNENIKV
+LAPTQFLITVPMRGLAGYREARQQRWRFYSLKGTRLPRPLQDPEGLQQWLGVEQFLKSPG
+QWHEADVNIEGDIVPAKVLQVFRKLVENAIETCHLSDRVRMLMDHLVVRVVVETSAGQVE
+LQLTPSVDIPTAWSKKAQWPSCLKRWPSPETVQCIKSFGFSLLACSSYHWQLSFLRAEQV
+LLEQLDEDGGCRRKCFQALRQMKEDVWCPGTRPVITSYHLQTVLFWTCEKYPYPKDWQVF
+SRGFLRLVRKLHKCVSQRFLKHYFVRKSNLLQYASSCELDALAQTLAFFLKDPQISLP
+>tr|E1B9H5|E1B9H5_BOVIN Transforming growth factor beta receptor 3 OS=Bos taurus OX=9913 GN=TGFBR3 PE=4 SV=3
+MGHTFGEIKMTSHCVIVMFALMSSCLAAAGPEPRAQCELSPVNASHPVQALMESFTALSG
+CASRGTVGLPQEVHVLNLRAADQGPGQPQREVTLHLNPISSVHIHHKPVVFLLNSPQPLV
+WHLKTERLAAGVSRLFLVSEGSLVHFSSRNFSLSAETEERSFPHGNEHLLNWARKEYGAV
+TSFTELKIARNIYIKVGEDQVFPPTCNIGKNFLSLNYLAEYLQPKAAEGCVMSSQPQDKE
+VHIIELITPNSNPYSAFQVDIIIDIRPSRKDPEVVKNLILILKCKKSVNWVIKSFDIKGN
+LKAIAPNSIGFGKESERSMIMTKSKRDDIPSTQESLVKWALDNGYSPVTSYTVAPMANRF
+HLRLESNEEMRDEEVHTVPPELRILLGPGTLPALDNPPIRGGGSRNGGFPFPFPDISRRG
+RKEGGEDGILRPKDPVIPGILFPDPREPEEVQGSTDVALSVKCDNEKMTVAVDKDSFQAS
+GYSGMELTLLDPTCKAKTNDTHFILESPLNGCGTRLRRAAPDGVVYYNSIVIQIPPSGDS
+SGWPDGYEDLESGDNGFPGDMDEGDTSFFSRLEIVVFNCSLRQVGNPRTFQDEPNRNVTF
+NMELYNTDLFLVPSQGVFSVAENGHVYVEVSVTKADQELGFAIQTCFISPYSNPDRMSDY
+TIIENICPKDESVKFYNPKRVHFPIPQAEIDKKRFSFVFKPVFNTSLLFLQCELTLCTKK
+EKDPQKLPKCVLPDEACTSLDASMIWAMMQNKKTFTRPLAVIHHEVPFKETGPSIKEPIP
+ITPQIFHGLDTLTVMGIAFAAFVIGALLTGALWYIYSHTGETAGRQQVPTSPPASENSSA
+AHSIGSTQSTPCSSSSTA
+>tr|A6QLW1|A6QLW1_BOVIN PIK3R4 protein OS=Bos taurus OX=9913 GN=PIK3R4 PE=2 SV=1
+MGNQLAGIAPSQILSVESYFSDIHDFEYDKSLGSTRFFKVARAKHREGLVVVKVFAIQDP
+TLPLTSYKQELEELKIRLHSAQNCLPFQKAAEKASEKAAMLFRQYVRDNLYDRISTRPFL
+NNIEKRWVAFQILTAVDQAHKSGVRHGDIKTENVMVTSWNWVLLTDFASFKPTYLPEDNP
+ADFNYFFDTSRRRTCYIAPERFVDGGLFATELEYMRDPSTPLVDLNSNQRTRGELKRAMD
+IFSAGCVIAELFTEGVPLFDLSQLLAYRNGQFFPEQVLSKIEDRSIRELVTQMIHREPDK
+RLEAEDYLKQQRGNAFPEIFYTFLQPYMAQFAKETFLSADERILVIRKDLDNIIHNLCGH
+DLLEKADGEPKENGLVILVSVITSCLQTLKYCDSKLAALELILHLAPRLSVEILLDRITP
+YLLHFSNDSVPRVRAEALRTLTKVLALVKEVPRNDVNIYPEYILPGIAHLAQDDATIVRL
+AYAENIALLAETALRFLELVQLKNLNMENDPNSEEIDEVTHPNGNYDTELQALHEMVQQK
+VVTLLSDPENIVKQTLMENGITRLCVFFGRQKANDVLLSHMITFLNDKNDWHLRGAFFDS
+IVGVAAYVGWQSSSILKPLLQQGLSDAEEFVIVKALNALTCMCQLGLLQKPHVYEFASDI
+APFLCHPNLWIRYGAVGFITVVAHQISTADVYCKLMPYLDPYITQPIIQIERKLVLLSVL
+KEPVSRSIFDYALRSKDITSLFRHLHMRQKKRNGSLPDCPPPEDPAIAQLLKKLLSQGMT
+EEEEDKLLALKDFMMKSNKAKANIVDQSHLHDSSQKGVIDLAALGITGRQVDLVKTKQEP
+DDKRARKHVKQDSNVNEEWKSMFGSLEPPSMQQALPKGSDQEVVPAGKPPRSESSAAVCV
+PLSTSPQIPEVTNVQNRKPTIQVLSSTILPSTYQIRITTCKTELQQLIQQKREQCNAERI
+AKQMMENAEWESKPPPPGWRPKGLLVAHLHEHKSAVNRIRVSDEHSLFATCSNDGTVKIW
+NSQKMEGKTTTTRSILTYSRVGGCVKTLTFCQGSHYLAVASDNGAVQLLAIEASKLPKSP
+KIHPLQSRVLDQKEDGCVVDLHHFSSGAQSVLAYATVNGALVGWDLRSSSNAWTLRHDLK
+AGLITSFAVDIHQCWLCIGTSSGTMACWDMRFQLPISSHCHPSRARVRRLSMHPLYQSWV
+IAAVQGNNEVSMWDMETGDRRFTLWASSAPPLSELQPSSHSVHGLYCSPADGNPILLTAG
+SDMKIRFWDLAYPERSYIVAGSAGSPSVSYYRKVIEGTEVVQEIQNKQKVGPSDDGPRRG
+PESLPVGHHDIITDVATFQTTQGFIVTASRDGIVKVWK
+>tr|A6QQV3|A6QQV3_BOVIN U6 snRNA-associated Sm-like protein LSm2 OS=Bos taurus OX=9913 GN=LSM2 PE=2 SV=1
+MLFYSFFKSLVGKDVVVELKNDLSICGTLHSVDQYLNIKLTDISVTDPEKYPHMLSVKNC
+FIRGSVVRYVQLPADEVDTQLLQDAARKEALQQKQ
+>tr|F1N734|F1N734_BOVIN Chromodomain helicase DNA binding protein 6 OS=Bos taurus OX=9913 GN=CHD6 PE=4 SV=3
+MIMKIQKKEKQLSSLKVPNHSPMSDASVNFDYTSPSPFDHSTDQEEKIEDVASHCPAPKE
+LYDVEEEAGPLFPRKTASHNGMEDSGGGGTGVKKKRKKKDPGEHEGAAKGSKDREPKPKR
+KREPRELKEPRKAKEPRKAKEPREPKQKDGTKKTRKPREASGPREAKEKRGGPDAAARTK
+SRKASKEQGPTPVEKKKKGKRKSETAVESLELDQGLPNPSLRSPEESTESADSQKRRSGR
+QVKRRKYNEDLDFKVVDDDGETIAVLGAGRTSALSASTLAWQAEEPPEDDANIIEKILAS
+KTVQEVHPGEPPFDLELFYVKYRNFSYLHCKWATMEELEKDPRIAQKIKRFRNKQAQMKH
+IFTEPDEDLFNPDYVEVDRILEVAHTKDAETGEEVTHYLVKWCSLPYEESTWELEEDVDP
+AKVKEFESLQVLPEIKHVERPASDSWQKLEKSREYKNSNQLREYQLEGMNWLLFNWYNRK
+NCILADEMGLGKTIQSITFLSEIFLRGIHGPFLIIAPLSTITNWEREFRTWTEMNAIVYH
+GSQISRQMIQQYEMVYRDAQGNPLSGVFKFHVVITTFEMILADCPELKKIHWSCVIIDEA
+HRLKNRNCKLLEGLKLMALEHKVLLTGTPLQNSVEELFSLLNFLEPSQFPSETAFLEEFG
+DLKTEEQVKKLQSILKPMMLRRLKDDVEKNLAPKQETIIEVELTNIQKKYYRAILEKNFS
+FLSKGANQHNMPNLINTMMELRKCCNHPYLISGAEEKILEDFRKTHSPDAPDFQLQAMIQ
+AAGKLVLIDKLLPKLIAGGHKVLIFSQMVRCLDILEDYLIQRRYTYERIDGRVRGNLRQA
+AIDRFCKPDSDRFVFLLCTRAGGLGINLTAADTCIIFDSDWNPQNDLQAQARCHRIGQSK
+AVKVYRLITRNSYEREMFDKASLKLGLDKAVLQDINRKGSTNGVQQLSKMEVEDLLRKGA
+YGALMDEEDEGSKFCEEDIDQILQRRTHTITIQSEGKGSTFAKASFVASGNRTDISLDDP
+NFWQKWAKIAELDTEAKSEKESLVLDRPRVRKQTKHYNSFEEDELMEFSELDSDSDERPT
+RSRRLNDKTRRYLRAECFRVEKNLLIFGWGRWKDILTHGRFKWHLNEKDMEMICRALLVY
+CVKHYKGDEKIKSFIWELITPTKDGQAQTLQNHSGLSAPVPRGRKGKKTKNQLLLPELKN
+ADWLATCNPEVVLHDDGYKKHLKQHCNKVLLRVRMLYYLKAEILGEAADKAFEGTPAREL
+DVPLPDIDYVEIPVDWWDAEADKSLLIGVFKHGYERYNAMRADPALCFLEKVGMPDEKLL
+SAEQGVTDGASDVPERGHTDKEDNTEDKVDGLQKQMESSSDGGDGLFAEKKDDTRAAQDG
+SDPDKSPWPVSSALTARLRRLVTIYQRCNRKELCRPEVLGLGNQSYWVQEEMFRRSSEME
+LINKEAQKRWTRREQADFYRTVSSFGVVYDQEKKTFDWTQFRVISRLDKKSDESLEHYFY
+SFVSMCRSVCRLPAWKDGGPPDPSIYVEPITEERAARTLYRIELLRKVREQVLTCPQLHE
+RLQLCRPSLYLPVWWECGKHDRDLLIGTAKHGLNRTDYYVMTDPQLSFLDAYRNYAQHKR
+PDPQAPESLCCLYQTNSKLYESLAYTHMSRTSESLENEPENLMKIEAGDDHLGPPRGSLS
+DMTCESFISKTQDVLSLTHDESLLPGSLETMRYGKKALSQEPGPFQGSTGASTESRQDTA
+AISAGRDGNCQPRGHEAKIASDPSFMETLEAGVAQMNIKNEKHLLISLSKEGELGCSEAG
+PRPERIGQLDPNCFASPSLDPGNESGFVDMCNLSVCDSRRNVSSDQQLIDLLESKSLESK
+LVLGPNHSDEEDDEDENEEESLELAAGRRERLAASPLSEPTTRMAGEQSLAGFLTEEAKK
+GSTEARSQPPGPQGPFLPTACQCHCKHVERWARGLEKDEFEVEKPQAYPPDPFTSKANNV
+TVRGEPTAVPPELLQVKQELLKEPWKESTEGNQGLPPYPEGSELKPEDMDYESKEELDKD
+GNCQSQDYPGKYSEEESKSSTSGITGDLGDDLQEARAPTIAQLLQEKTLYSFSEWPKDRV
+IISRLDNICHVVLKGKWPSSQQHEPSGTLPTPVLTSSTGPRGGISEPEASEHSSSSGAAW
+VAQMQKESFLAPVFTKDEQKHRHPYEFEVERDAKTRSLEQFSASHGHPPIVLNGWHGESA
+IDLSCPSEGSPGATSPFPVSASTPKIGAIGSLQGALGMDLSGILQAGLIHPVTGQIVNGS
+LRRDDAASRRRRGRRKHVDGGVDLIFLKEQTLQAGILEVHEDPGQAPLSTPHPPEGPVPA
+TSTPEPTPAAGSPAEKAIPSKSLLDWLRQQADCSLEVPGFGANFSDKPKQRRPRCKEPGT
+LDVTSLSGEERVPAVPKEPGLRGFLPENKFNHTLPEPVLRDAGPRRRGRRPRSELLKTPA
+LVADAPSGMGPLFMNGLIAGMDLVGLQNMRNMPGIPLTGLVGFPAGFAAMPPGEEVKSTL
+SMLPMVLPGMAAVPQMFGVGGLLNTPMATTCASAVPAPLSSTTKGGVSAAEKTAEDQPGS
+HNVKTDPSAEDKPGPSPFSSDQPEPAITTSSPVAFNPFLIPGVSPGLIYPSMFLSPGMGM
+ALPAMQQARHSEAAGLESQRRKRKKTKGDAPNPNPEPVPGLEREPGSEQSCPEPRAPAPP
+DREHAAQAREGGPQDSHGDTN
+>tr|A4FV32|A4FV32_BOVIN PLEKHB2 protein OS=Bos taurus OX=9913 GN=PLEKHB2 PE=2 SV=1
+MAFVKSGWLLRQSTILKRWKKNWFDLWSDGHLIYYDAQTRQSVEDKVHMPVDCINIRMGR
+ECRDIQPPDGKPKDCMLQIVCRDGKTISLCAESTDDCLAWKFTLQDSRTNTAYVGSEVMY
+DETAVASSPPPYTAYAAPTPEQAYGYGPYSGAYPPGTQVVYAANGQAYAVPYQYPYAGLY
+GQQPANQVIIRERYRDNDSDLALGMLAGAATGMALGSLFWVF
+>tr|Q1LZF4|Q1LZF4_BOVIN Ras association (RalGDS/AF-6) domain family member 1 OS=Bos taurus OX=9913 GN=RASSF1 PE=2 SV=1
+MGEADAGTPSFEMTWNSTTSSGYCSQEDSDSELEQYFTARTSLARRPRRDQDEPVEWETP
+DLSQAEIEQKIKEYNGQINSNLFMSLNKDGSYTGFIKVQLKLVRPVSVPSSKKPPSLQDA
+RRGPGRGTAVKRRTSFYLPKDAVKHLHVLSRTRAREVIEALLRKFLVVDDPRKFALFERA
+ERHGQVYLRKLSDDEQPLRLRLLAGPSEKALSFVLKENDSGEVNWDAFSMPELHNFLRIL
+QREEEEHLRQILQKYSYCRQKIQEALHACPLG
+>tr|Q0P578|Q0P578_BOVIN Receptor-binding cancer antigen expressed on SiSo cells OS=Bos taurus OX=9913 GN=EBAG9 PE=2 SV=1
+MAITQFRLFKVCTCLATVFSFLKRLICRSGRGRKLSGDQITLPTTVDYSSVPKQTDVEEW
+TSWDEDAPTSVKIEGGNGNVATQQNALEQLEPDYFKDMTPTIRKTQKIIIKKREPLNFGI
+PDGSTGFSSRLAATQDMPFIHQSPELGDLDTWQENTNAWEEEEDAAWQAEEVLRQQKIAD
+REKRAAEQQRKKMEKEAQRLMKKEQNKIGVKLS
+>tr|Q1LZE4|Q1LZE4_BOVIN MAGE family member H1 OS=Bos taurus OX=9913 GN=MAGEH1 PE=2 SV=1
+MPRGRKSRRRRNARAAEENRNSRKIQASETSETPMAASVGSSTPEEDLNCPEEDPSTPEE
+TSTTPEESLSTAQAQKPSVARSNFQGTKKSLLMSILALIFIMGNSAKEALVWKVLGKLGM
+QPGQQHSIFGDPKKVVTEEFVRRGYLIYKPVPRSSPVEYEFFWGPRAHVESSKLKVMHFV
+ARVRNRCSKDWPCNYDWDSDDDAEVEAILNSGARGYSAP
+>tr|E1BN44|E1BN44_BOVIN Ras responsive element binding protein 1 OS=Bos taurus OX=9913 GN=RREB1 PE=4 SV=3
+MTSSSPIGLEGSDLSSINTMMSAVMSVGKVTENGGSPQSVKSPTKPPGPNRIGRRNQETK
+EEKSSYNCPLCEKVCTTQHQLTMHIRQHNTDTGGADHSCSICGKSLSSASSLDRHMLVHS
+GERPYKCTVCGQSFTTNGNMHRHMKIHEKDPNSSPAAAPPSPLKRRRLSSKRKLSHDVES
+EKEDPAPAKKMVEDGPLGDAERKAEEVSHCPLCFKEFVCKYGLETHLETHSDNPLRCDVC
+CVTFRTHRGLLRHNALVHRQLPRDALGRPFIQNNPAIPAGFHDLGFTDFSCRKFPRISQA
+WCETNLRRCISEQHRFICDTCDKAFPMLSSLTLHKQTHVAANQSPDRLQARAPRGDDLDQ
+KVFLAVLGLQHAEDVRPAPAEEPPPDDNQAIQLQALRCQLPQEPGCAGLLSLAPFDAAAL
+GGALAVLPAASDSVKHLALQPFQKGFIIQPDSSIVVKPISGEPAIELADIQQILKMAAAA
+PPQVGLPPLAKAPAAPLQAVFKHMPPLKPKPLAAPRTVVATSTPPPLVNTQQASPGCVSP
+SLPPPPLKLLKGTAEAAPGAHLLPPKSGAQLFLQPPRLELPGQAEVKTQLEQDSLLEALL
+PLSVEAKIKQEIAEGDLKAIVAGPGGKKAPAVRKVLYPCRFCSQVFAFSGVLRAHVRSHL
+GISPYQCNICDYIAADKAALIRHLRTHSGERPYICKICHYPFTVKANCERHLRKKHLKAT
+RKDIEKNIEYVTSSTPELVDTLCSPETVCRLCGEDLKHYRALRVHMRAHCGRGLGGCPKP
+RKPFECKECSAAFSAKRNCIHHVLKQHLHVPERDIESYVLAADGLGPANTPAEAPGRTDE
+GERKPLAAFLEPQNGFLPAGPAQPLPAHVAVKVEPANNLATDFNEPLDFSQKGLALIQVK
+QENAAAFLSPSAPYDCSMEPIDLSIPKNFRRGDKDSAAPGEAKKPEQEPGSSEQASPGPP
+ACPTLPATLGASGPLEKPGVPAAASSAASPLEAAALPLQGPVQLAVPLYSSALVNSSPLL
+GSSTLGSPALLRPLRPKPPLLLPKPPVTEELPPLASIAQIISSVSSAPTLLKTKVADPGP
+GGTSGTAAALDGIGGALPKATTDITSPKESSDSPPSANSPEAASPTEQGPAGLSKKRGRK
+RGARSRARSSGGVDLDSSGEFASIEKMLATTDTNKFSPFLQSAEDDAQDEVAAAPADHNG
+PSDEEQGSPPEDRLLRAKRNSYANCLQKINCPHCSRVFPWASSLQRHMLTHTGQKPFPCQ
+KCGAFFSTKSNCERHQLRKHGVTACSLRRNGLIPQSKESDGARDSTDSQSDAEASAAGSE
+VLDLTARELPAPTPEGASEPSPAAAPAREDPPGGAQEEAEQVATEEDLHPEEEDAQPTEE
+EEGEEECAEEEPAEAADGPEEDTVSNKSLDLNLASTLMGFKLAEGEAGAQDHKHTCHVCG
+KSFKFLTTLSRHRKAHDREEPGDESAPPREHEGPHPADPEPPAPEPPAEAEVTPDAPAEK
+QSEAAEGPSDADADGDGEGPAEKRPLEKSDDDKKPKTDTPRSAASKADKRKKVCSVCSKR
+FWSLQDLTRHMRSHTGERPYKCQTCERTFTLKHSLVRHQRVHQKARHAKRHGRDSDREEH
+AEEDSESESAHSGAHPVSEGEGDAGLHASAHVALTRSRRESLAAKDAGRREERAAARTAG
+ASQAAAGRSTPRAAAAGSPPEPAPQGDPGRESPAGLLQDLLELPGRRPAHPLLPTADSAS
+LLGLE
+>tr|E1BEJ2|E1BEJ2_BOVIN Proprotein convertase subtilisin/kexin type 7 OS=Bos taurus OX=9913 GN=PCSK7 PE=4 SV=1
+MPKGRQKVPHSDAPLGLPTGLWLELAGLFLLLPWVMGLVGAGGPRAQGPRGLSWAVRLDG
+PEGEGAEESLERRADALAQATGLMNAGRIGELQGHYLLVQPAGHRQARQVKAIRQQVEAV
+LARHEAVRWHSEQRLLKRTKRGVHFNDPKYPQQWHLNNRRSPGRDINVTGVWERNVTGRG
+VTVVVVDDGVEHTIQDIAPNYSPEGSYDLNSNDPDPMPHPDLENGNHHGTRCAGEIAAVP
+NNSFCAVGVAFGSRIAGIRVLDGPLTDSMEAVAFNKHYQINDIYSCSWGPDDDGKTVDGP
+HQLGKAALQHGVIAGRQGFGSIFVVASGNGGQHNDNCNYDGYANSIYTVTIGAVDEEGRM
+PFYAEECASMLAVTFSGGDKMLRSIVTTDWDLQKGTGCTEGHTGTSAAAPLAAGMIALML
+QVRPCLTWRDVQHIIVFTATRYEDRHADWITNEAGFSHSHQHGFGLLNAWRLVNAAKIWT
+SVPYLASYVSPVLKENKAIPLSARPLEVLWNVSRMDLDMSGLKTLEHVAVTISITHPRRG
+SLEVKLFCPSGMMSLIGAPRSLDSDPNGFNDWTFSTVRCWGERAKGTYRLVIRDVGDETS
+QAGVLRQWQLTLYGSVWSPVDIRDRQRLLESAMSGKYLHDGFTLPCPPGLNIPEEDGYTI
+TPNTLKTLVLIGCFTVFWTIYYTLEVYLSQRNVAFGPICRSGPCHWPQRSQKAKEEGTEL
+ESMPLCSSQDPGGVGLESEGSPTTSRLHAPDLLDQGDWSLSQSRGALDVPQLLPPDLLQG
+KEAQMC
+>tr|E1BEF6|E1BEF6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=BORCS8 PE=4 SV=1
+MEEPEMQLKGKKVTDKFTESVYVLANEPSVALYRLQEHVRRSLPELAQHKADMQRWEEQS
+QGAIYTVEYACSAVKNLVDSSVYFRSVEGLLKQAISIRDHMNATAQGHSPEEPLPPSSA
+>tr|A6QPS3|A6QPS3_BOVIN RABGAP1L protein OS=Bos taurus OX=9913 GN=RABGAP1L PE=2 SV=1
+MEVRASLQKVSGSSDSVTTLNSEEFVLVPQHGGDTSTKDDEKPELKIVSNGDEQLEKAME
+EILRDSEKGQSSLPVDCPSSSEISDHSFGNISARQTNKPSLQLILDPSNTEISTPRPSSL
+SEIPEEDSVLFNKLTYLGCMKVSSPRNEVEALRAMATMKSASQHPFPVTLYVPNVPEGSV
+RIIDQSNNMEIASFPIYKVLFCARGHDGTTESNCFAFTESSHGSEEFQIHVFSCEIKEAR
+WGSRW
+>tr|Q2KJ42|Q2KJ42_BOVIN Non-POU domain containing octamer binding OS=Bos taurus OX=9913 GN=NONO PE=2 SV=1
+MQSNKTFNLEKQNHTPRKHHQHHHQQHHQQQQQQPPPPPMPANGQQASSQNEGLTIDLKN
+FRKPGEKTFTQRSRLFVGNLPPDITEEEMRKLFEKYGKAGEVFIHKDKGFGFIRLETRTL
+AEIAKVELDNMPLRGKQLRVRFACHSASLTVRNLPQYVSNELLEEAFSVFGQVERAVVIV
+DDRGRPSGKGIVEFSGKPAARKALDRCSEGSFLLTTFPRPVTVEPMDQLDDEEGLPEKLV
+IKNQQFHKEREQPPRFAQPGSFEYEYAMRWKALIEMEKQQQDQVDRNIKEAREKLEMEME
+AARHEHQVMLMRQDLMRRQEELRRMEELHNQEVQKRKQLELRQEEERRRREEEMRRQQEE
+MMRRQQEGFKGTFPDAREQEIRMGQMAMGGAMGINNRGAMPPAPVPAGTPAPPGPATMMP
+DGTLGLTPPTTERFGQAATMEGIGAIGGTPPAFNRAAPGAEFAPNKRRRY
+>tr|Q3T0D2|Q3T0D2_BOVIN Secretory carrier-associated membrane protein OS=Bos taurus OX=9913 GN=SCAMP1 PE=2 SV=1
+MSDFDSNPFADPDLNNPFKDPSVTQVTRNVPPGLDEYNPFSDSRTPPPGNVKMPNVPSTQ
+PAIMKPTEEHPAYTQIAKEHALAQAELLKRQEELERKAAELDRREREMQNLSQHGRKNNW
+PPLPDNFPVGPCFYQDFSVDIPVEFQKTVKIMYYLWMFHAVTLFLNIFGCLAWFCVDPPR
+GVDFGLSILWFLLFTPCSFVCWYRPLYGAFRSDSSFRFFVFFFVYICQFAVHVLQAAGFH
+NWGNCGWISSLTGLNKSIPVGIMMIIIAALFTASAVISLVMFKKVHGLYRTTGASFEKAQ
+QEFATGVMSNKTVQTAAANAASTAASSAAQNAFKGTMDSRKQFSNQEKKYSVYF
+>tr|Q0VC01|Q0VC01_BOVIN Histone deacetylase OS=Bos taurus OX=9913 GN=HDAC2 PE=2 SV=1
+MAYSQGGGKKKVCYYYDGDIGNYYYGQGHPMKPHRIRMTHNLLLNYGLYRKMEIYRPHKA
+TAEEMTKYHSDEYIKFLRSIRPDNMSEYSKQMQRFNVGEDCPVFDGLFEFCQLSTGGSVA
+GAVKLNRQQTDMAVNWAGGLHHAKKSEASGFCYVNDIVLAILELLKYHQRVLYIDIDIHH
+GDGVEEAFYTTDRVMTVSFHKYGEYFPGTGDLRDIGAGKGKYYAVNFPMRDGIDDESYGQ
+IFKPIISKVMEMYQPSAVVLQCGADSLSGDRLGCFNLTVKGHAKCVEVVKTFNLPLLMLG
+GGGYTIRNVARCWTYETAVALDCEIPNELPYNDYFEYFGPDFKLHISPSNMTNQNTPEYM
+EKIKQRLFENLRMLPHAPGVQMQAIPEDAVHEDSGDEDGEDPDKRISIRASDKRIACDEE
+FSDSEDEGEGGRRNVTDHKKGAKKARIEEDKKETEDKKADVKEEDKSKDNSGEKTDTKGA
+KSEQLSNP
+>tr|A4FV46|A4FV46_BOVIN Poly [ADP-ribose] polymerase OS=Bos taurus OX=9913 GN=PARP16 PE=2 SV=1
+MQPAGWAAVREAAGRDVLAADLRCSFFASALRSYKRDSVLRPFPASYARHDCKDFEALLA
+DASKLPNLKELLQSSGEKDPWAWDLMSWILSSKVLTIHSAGKSEFEKIQKLTGTPHSPVP
+VPDFLFEIEYSDPANAKFYETKGERDLIYAFHGSRLENFHSIIHNGLHCHLNKTSLFGEG
+TYLTSDLSLALIYSPHGHGWQHSLLGPILSCVAVCEVIDHPDVKCQTKKKDSKEIDRRRA
+RIKHSEGGDVPPKYFVVTNNQLLRVKYLLVYSQKQPNRASSQLSWVSSHWFMVMITLYLL
+LLLTVSVINSSAFQHFWNRAKR
+>tr|G5E529|G5E529_BOVIN MAPK-regulated corepressor-interacting protein 2 OS=Bos taurus OX=9913 GN=MCRIP2 PE=4 SV=1
+MYTITKGPSKMVAQRRTGPTQQQVESRLGELLKCRHSAPTPQHPRAQPPGPWPLSSPGPR
+LVFNRVNGRRPPATSPSLEGTQEPYTLAHEENVRFVSEAWQQVEQQLGGGPAGESGPRPV
+QYVERTPNPRLQNFVPIDLDEWWAQQFLARITSCS
+>tr|A7MBE8|A7MBE8_BOVIN Beta-ureidopropionase 1 OS=Bos taurus OX=9913 GN=UPB1 PE=2 SV=1
+MAGSGFESLEQCLEKHLPLAELQEVKRLLYGKETRKLDLPGAALEAASRGDFELQGYAFE
+AAAEQQRRPRTVRVGLVQNRTPLPADTPVVKQVTALHRRMEAVVEVAAMCGVNIICFQEA
+WTMPFAFCTREKLPWTEFAESAEDGPTIKFCQELARKHGMVVVSPVLERDSDHGDVLWNT
+AVVVASSGAVLGKTRKNHIPRVGDFNESTYYMEGNLGHPVFQTQFGRIAVNICYGRHHPL
+NWLMYSINGAEIIFNPSATIGALSESLWPIEARNAAIANHCFTCAINRVGREHFPNEFTS
+GDGKKAHRDFGYFYGSSYVAAPDGSRTPGLSRTRDGLLVAELDLNLCRQVNDIWGFKMTG
+RYEMYARELAEAVKPDYTPKIVKE
+>tr|E1BFM9|E1BFM9_BOVIN Tight junction associated protein 1 OS=Bos taurus OX=9913 GN=TJAP1 PE=4 SV=2
+MTSAAPAKKPYRKAPPEHRELRLESPGSRPEQEEPLTDAERMKLLQQENEELRRRLASAT
+RRTEALERELEIGQDCLELELGQSREELDKFKDKFRRLQNSYTASQRTNQELEDKLHTLI
+KKAEMDRKTLDWEIVELTNKLLDARNTINKLEELNERYRLDCNLAVQLLKCNKSHFRNHK
+FADLPCELQDMVRKHLHSGQEAASPGPAPSLAPGAVVPTSVIARVLEKPESLLLNSAQSG
+STGHPLAEDVFVHVDMSGGDPGDSASPPAPSSPSPQPNGECHSLGTAGGSPEEELPLPAF
+EKLSPYPTPSPPHPLYPGRRVIEFSEDKVRIPRNSPLPNCTYATRQAISLSLVEEGSERA
+RPSPVPSSPASAQASPQHQPRPAPPALSAPASSASSEEDLLASWQRVFVDRAPPPAAVAQ
+RTAFGRDALPELQRHFALGPAGGDEVQAPSSPPGESGLLLLPEADPGFPREEDEEELNLP
+VSPEEERQSLLPSDAGTEEGPSAPRAEGRVWALPSPSRPQRSPKRMGVHHLHRKDSLTQA
+QEQGTLLH
+>tr|F1MCK6|F1MCK6_BOVIN Zinc finger and BTB domain-containing protein 8A OS=Bos taurus OX=9913 GN=ZBTB8A PE=4 SV=1
+MEISSHQSHLLQQLNEQRRQDVFCDCSILVEGKVFKAHRNVLFASSGYFKMLLSQNSKET
+SQPTTATFQAFSPDTFTVILDFVYSGKLSLTGQNVIEVMSAASFLQMTDVISVCKTFIKS
+SLDISEKEKDRYFSLSDKDANSNGIERSSFYSSGWQDESSSPRSHLSPDQGTGIISGKSW
+SKYNYHPASQRNTQQPLTKHEQRKDSIKKAKHLRLSQPSEMTHYKSSKREARTSDSSSHA
+SQSEEQAQMNAEMDSTPVSYQYGQGSDVTSRSFPDDLPRMRFKCPYCTHVVKRKADLKRH
+LRCHTGERPYPCQACGKRFSRLDHLSSHFRTIHQACKLICRKCKRHVTDLTGQVVQEGTR
+RYRLCNECLAEVGIDSLPIDLEAEQHLMSPSDGDKDSRWHMGEDENRSYVEIVEDGSADL
+VIQQVDDSEEEEEKEIKPNIR
+>tr|E1BNM6|E1BNM6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=WBP11 PE=4 SV=3
+MGRRSTSSTKSGKFMNPTDQARKEARKRELKKNKKQRMMVRAAVLKMKDPKQIIRDMEKL
+DEMEFNPVQQPQLNEKVLKDKRKKLRETFERILRLYEKENPDIYKELRKLEVEYEQKRAQ
+LSQYFDAVKNAQHVEVESIPLPDMPHAPSNILIQDIPLPGAQPPSILKKTSAYGPPTRAV
+SILPLLGHGVPRLPPGRKPPGPPPGPPPPQVLQMYGRKVGFALDLPTRRRDEDMLYSPEL
+AQRGHDDDVSSTSEDDGYPEDMDQDKHDDSTDDSDSDRSDGESEGDEFVHRDDTERENNE
+EKKSGLSVRFADMPGKSRKKKKKNMKELTPLQAMMLRMAGQEIPEEGREVEEFSEEDDED
+SDDSEAEKQSQKQHKEESLSDGTSAASQQQAPPQSVPPSQIQAPPMPGPPPLGPPPAPPL
+RPPGPPTGLPPGPPPGAPPFLRPPGMPGLRGPLPRLLPPGPPPGRPPGPPPGPPPGLPPG
+PPPRGPPPRLPPPAPPGIPPPRPGMMRPPLVPPLGPAPPGLFPPAPLPNPGVLSAPPNLI
+QRPKADDTSAATIEKKATATISAKPQITNPKAEITRFVPTALRVRRENKGAAAAPQRKSE
+DDSAVPLAKTAPKSGPSVPVSVQTKDDVYEAFMKEMEGLL
+>tr|Q3T0B5|Q3T0B5_BOVIN Immediate early response 2 OS=Bos taurus OX=9913 GN=IER2 PE=2 SV=1
+MEVQKEAQRIMTLSVWKMYHSRMQRGGLRLHRSLQLSLVMRSARELYLSAKVEAQEPEVP
+LPPVRSPDPRLHPPREAAAKAATGNSEQPSPEPMDTQEAPRAEETPPRCVQRPAKISRKR
+RSSSLSDGADAALVPSKKARLEEEKEEEGASSEVLDRLQPPPAQAEGAFPNLARVLQRRF
+SGLLNCSPAASSPTASPACEAKPACRPADNMLNVLVRAVVAF
+>tr|E1BKB1|E1BKB1_BOVIN Forkhead box N2 OS=Bos taurus OX=9913 GN=FOXN2 PE=4 SV=1
+MGPVIGMTPEKRAETPGAEKVAGLSQIYKMGSLPEAVDAARPKATLVDNESADDELTNLN
+WLHESTNLLTNFSFGSEGLPIVSPLYDIEGDDVPSFGPSCYQSQEKKSATSKPPYSFSLL
+IYMAIEHSPNKCLPVKEIYSWILNRFPYFATAPTGWKNSVRHNLSLNKCFQKVERSHGKV
+NGKGSLWCVDPEYKPNLMQALKKQPFSSKSTFYSPPASPQSGSLSPHYLSSVLKQNQVRT
+LKESDIDAATAMMLLNTSIEQGILECEKPLPLKTAMQKKRSYSNAFNHSSAMRLHESDSL
+ATSIDPKEDHNYSASSMAAQRCASRSSVSSLSSVDEVYEFIPKSSHVGSDGSEGFRSEED
+TDVDYEDDPLGDSGYASQACADTTEKGQPDKKMRKQACQEIDEELKEAAGSLLHLAGIRT
+CLGSLISTAKTQNQKQRKK
+>tr|G3N1T2|G3N1T2_BOVIN Glycogen synthase kinase-3 beta splice variant X2 OS=Bos taurus OX=9913 GN=GSK3B PE=2 SV=2
+MSGRPRTTSFAESCKPVQQPSAFGSMKVSRDKDGSKVTTVVATPGQGPDRPQEVSYTDTK
+VIGNGSFGVVYQAKLCDSGELVAIKKVLQDKRFKNRELQIMRKLDHCNIVRLRYFFYSSG
+EKKDEVYLNLVLDYVPETVYRVARHYSRAKQTLPVIYVKLYMYQLFRSLAYIHSFGICHR
+DIKPQNLLLDPDTAVLKLCDFGSAKQLVRGEPNVSYICSRYYRAPELIFGATDYTSSIDV
+WSAGCVLAELLLGQPIFPGDSGVDQLVEIIKVLGTPTREQIREMNPNYTEFKFPQIKAHP
+WTKDSSGTGHFTSGVRVFRPRTPPEAIALCSRLLEYTPTARLTPLEACAHSFFDELRDPN
+VKLPNGRDTPALFNFTTQDANAGDRGQTNNAASASASDS
+>tr|A4IFL4|A4IFL4_BOVIN PPARD protein OS=Bos taurus OX=9913 GN=PPARD PE=2 SV=1
+MEQPPEEAPEARPEEEKEEAAKAEGAPELNGGPEHSLPSSSYTDLSQSCSPLSLLDQLQM
+GCDGASCGSLNMECRVCGDKASGFHYGVHACEGCKGFFRRTIRMKLEYEKCERICKIQKK
+NRNKCQYCRFQKCLALGMSHNAIRFGRMPEAEKRKLVAGLTANEGSQHNPQVADLRAFSK
+HIYSAYLKNFNMTKKKARGILTGKASHTAPFVIHDIETLWQAEKGLVWKQLVNSLPPYKE
+ISVHVFYRCQCTTVETVRELTEFAKSIPSFGDLFLNDQVTLLKYGVHEAIFAMLASIVNK
+DGLLVANGTGFVTREFLRSLRKPFSDIIEPKFEFAVKFNALELDDSDLALFIAAIILCGD
+RPGLMNVSQVEAIQDTILRALEFHLQANHPDAQYLFPKLLQKMADLRQLVTEHAQMMQRI
+KKTETETSLHPLLQEIYKDMY
+>tr|A5PK90|A5PK90_BOVIN LYPLAL1 protein OS=Bos taurus OX=9913 GN=LYPLAL1 PE=2 SV=1
+MAAPSGSARLRRCMVSPAGRHSASLIFLHGSGDSGQGLRTWIKQVLNQDLTFQHIKVIYP
+TAPPRPYTPLRGGISNVWFDRLKISNDCPEHLESIDVMCQVLTDLIDDEVKTGIKKNRIL
+VGGFSMGGCMAMHLAYRNHQDVAGVFALSSFLNKASAVYQALQKSDGVLPELFQCHGTAD
+ELVLHSWGEETNSMLKSLGVSTKFHSFPGVYHELSKAELEKLKSWILTKLPD
+>tr|A6QNY2|A6QNY2_BOVIN TACC2 protein OS=Bos taurus OX=9913 GN=TACC2 PE=2 SV=1
+MGGSQSLQPVPASDLNQEASEAMSSDSEEAFETPESTTPVKAPPAPPPPPPEVIAEPEVS
+VQAPPEEPGCGSEPVSIPDGPRSSSVEGSPFHPPSHSFSAVFDEDKPIASSGTYNLDFDN
+IELVDNLQTLEPRPSDPKNQDCKVNSRRKSTDSVPTSKSTLSRSLSLQASDFDGASCSGN
+PEATAPVADAYSAGSSSASSTLKRTKKPRPPSLKKKQITKKPPETPPVKETQHEPTEESS
+GPSRESQTAKTNTEPARAEASSSALLEEAALEPAAAPKAACPLDSEGAEGAVPPASGGGR
+VQNSPPIGRRTLPPATAPEAVEVTPSDSGGQEDSPAKGLSVRLEFDYSEDKGSWDTQQET
+PPPTKKIGKKPVAKMPLRRPKMKKTPEKLDNTPASPTRSPAEPNDIPIAKGTYTFDIDKW
+DDPNFNPFSSTSKMQESPKLPQQSYNFDPDACDESTDPFKTCSKAPSSPSKSPASFEIPA
+SAIEANGVDGDGLSKPAKKKKTPLKTDTFRVKKSPKRSPLSDPPSQDPTPAATPETPPVI
+SAVVHATDEEKLAVTNQKWTCMTVDLEADKQDYPQPSDLSTFVNETKFNSPTEELDYRNS
+YEIEYMEKIGSSLPQDNDAPKKQALYLMFDTSQESPVKSPPVRMSESPTPCSGSSFEETE
+ALLNTGAKIQHPVARGLAPNQEPHLQVPEKSSQKELESMALGTASEVMEITAPEGSFASA
+DALLSRLAHPASLCGALDYLEPDLAEKNPPVFAQKLQREAAHPPDVSISKTALYSRIGTA
+EVEKPAGLLFQQPDLDSALQIARAEIITKEREVSEWKDKYEESRREVMEMRKIVAEYEKT
+IAQMIEDEQREKSVSHQTVQQLVLEKEQALADLNSVEKSLADLFRRYEKMKEVLEGFRKN
+EEVLKKCAQEYLSRVKKEEQRYQALKVHAEEKLDRANAEIAQVRGKAQQEQAAYQASLRK
+EQLRVDALERTLEQKNKEIEELTKICDELIAKMGKS
+>tr|Q2YDJ7|Q2YDJ7_BOVIN Male germ cell-associated kinase OS=Bos taurus OX=9913 GN=MAK PE=2 SV=1
+MNRYTTMRQLGDGTYGSVLMGKSNESGELVAIKRMKRKFYSWDECMNLREVKSLKKLNHA
+NVIKLKEVIRENDHLYFIFEYMKENLYQLMKDRNKLFPESVIRNIMYQILQGLAFIHKHG
+FFHRDMKPENLLCMGPELVKIADFGLARELRSQPPYTDYVSTRWYRAPEVLLRSSAYSSP
+IDVWAVGSIMAELYTLRPLFPGTSEVDEIFKICQVLGTPKKSDWPEGYQLASSMNFRFPQ
+CVPINLKTLIPNASNEAIQLMTEMLNWDPKKRPTASQALKHPYFQVGQVLGPSSHHLESK
+QPLNKLVQPLEPKPSAADPEPQPLPDINDQAAGPPQLKNSHQPLRSIQPPQNVGVQPAPK
+QHSQQKRPPTLFPSIVKSMPTT
+>tr|A5PKH1|A5PKH1_BOVIN GRTP1 protein OS=Bos taurus OX=9913 GN=GRTP1 PE=2 SV=1
+MEAAGRAQAARDRVPRIDPYGFERPEDFDYAAYEEFFSTYLVILTRRAIKWSKLLKGGGG
+VQRSMTVKRYIRKGVPLEHRARVWMGVSGAQARMDRNPGYYQRLLQGERSASLEEAIRTD
+MNRTFPDNVRFRKDAEPCLQGPLYNVLLAYGHHNHGVGYCQGMNFIAGYLILVTKSEEEA
+FWLLDALVGRILPADYYSPSMLGLKTDQEVLGELVRTKLPAVAALMHSHGVLWTLVASRW
+FICLFVDVLPVETVLRVWDCLFSEGSKIIFRVALTLLKHHQASILEATSVPDLCEKFKEI
+TRGRFVTECHSFMQRIFLEPGSLSRASIARLRERCRARLLAQG
+>tr|A6QQ84|A6QQ84_BOVIN VEZF1 protein OS=Bos taurus OX=9913 GN=VEZF1 PE=2 SV=1
+MAAHEASHHQQQAAQNSLLPLLSSAVEPPDQKPLLPIPITQKPQAAPETLKDAIGIKKEK
+PKTSFVCTYCSKAFRDSYHLRRHESCHTGIKLVSRPKKTPTTVVPLISTIAGDSSRTSLV
+STIAGILSTVTTSSSGTNPSSSASSTAMPVTQSVKKPSKPVKKNHACEMCGKAFRDVYHL
+NRHKLSHSDEKPFECPICNQRFKRKDRMTYHVRSHEGGITKPYTCSVCGKGFSRPDHLSC
+HVKHVHSTERPFKCQTCTAAFATKDRLRTHMVRHEGKVSCNICGKLLSAAYITSHLKTHG
+QSQSINCNTCKQGISKTCMSEETSNQKQQQQQQQQQQQQQQHVTSWPGKQVETLRLWEEA
+VKARKKEAANLCQTSTAATTPVTLTTPFNITSSVSSGTMSNPVTVAAAMSMRSPVNVSSA
+VNITSPMNIGHPVTITSPLSMTSPLTLTTPVNLPTPVTAPVNIAHPVTITSPMNLPTPMT
+LAAPLNIAMRPVESMPFLPQALPTSPPW
+>tr|Q58DQ8|Q58DQ8_BOVIN Enhancer of mRNA decapping 3 OS=Bos taurus OX=9913 GN=EDC3 PE=2 SV=1
+MATDWLGSIVSINCGDSLGVYQGRVSAVDQVSQTISLTRPFHNGVKCLVPEVTFRAGDIT
+ELKILEIPGPGETQHFGDLHQTELGSSGVGCQVGINQNGTGKLVKKPASSSSVPQNIPKR
+TDVKSQDVAISPQQQQCSKSYVDRHTESLSQSKSFRRRHNSWSSSSRHPNQATPKKSGLK
+NGQMKNKDDECFGDDIEEIPDTDFDFEGNLALFDRQLCLRKLIPMRGEVVPVPGASQTRG
+LLGTATMRTSWSQSPLSTVGSQCPTM
+>tr|F1MDJ6|F1MDJ6_BOVIN Dimethylglycine dehydrogenase OS=Bos taurus OX=9913 GN=DMGDH PE=1 SV=3
+MLRPGIRRLRGLRLLGFPPRGSPGCPRSVCSRQGEENPPLSAESRWKDRAETVIIGGGCV
+GVSLAYHLAKAGMKDVVLLEKSELTAGSTWHAAGLTTYFHPGINLKKIHYYSIKLYEKLE
+EETGQVVGFHQPGSIRIATTPVRVDEFKYQMTRTRWHATEQYIIEPEKIQEMFPLLNMNK
+ILAGLYNPGDGHIDPYSLTMALAAGARKYGALLKYPAPVTSLKPRSDGTWDVETPHGSMR
+ANRIVNAAGFWAREVGKMIGLEHPLIPVQHQYIITSTIPEVKALKRELPVLRDLEGSFYL
+RQERDGLLFGPYESQEKMKVQDSWVTSGVPPGFGKELFESDLDRIMEHVEAAMDLVPVLK
+KADIIRIVNGPITYSPDILPMVGPHQGVRNYWVAVGFGYGIIHAGGVGKYLSDWILHREP
+PFDLIELDPNRYGKWTTAEYTEAKARESYGFNNIVGYPKEERFAGRPTQRVSGLYETLES
+KCSMGFHSGWEQPHWFYKPGQDPGYRPSFHRTNWFEPVGSEYQQVMQRVGVIDLSPFGKF
+NIKGQDSIRLLDHLFANVIPKVGFTNISHMLTPKGRVYAELTVSHQSPGEFLLVTGSGSE
+LHDLRWIEEEAIKGGYDVEIKNITDELGVLGVAGPYSRKVLQKLTSEDLSDNVFKFLQTK
+SLKVSNIPVTAIRISYTGELGWELYHRREDSAALYDAIMNAGQEEGIDNFGTYAMNALRL
+EKAFRAWGSEMNCDTNPLEAGLESFVKLNKPADFIGKQALKQIKAKGLKRRLVCLTLATD
+DVDPEGNESIWYDGKVVGNTTSGSYSYRIQKSLVFAYVPVELSKVGQQVEVELLGKNYPA
+VVIQEPLVLTEPTRSRLQKKRWKGQNLKRL
+>tr|E1BGA8|E1BGA8_BOVIN C-type lectin 1 member B OS=Bos taurus OX=9913 GN=CLEC1B PE=2 SV=2
+MQDEDGYVTLNIKTQKPALTSVEPAPSPLWRVMALTLLVLCVGMVVGLVALGLMSVMQQN
+YLQAENENLSGTMKLLVKKVCQDLIQLSGQKQSHKCNPCDTHWRYYGDSCYGFFRHNLTW
+EESKKYCIDVNATLVKISSKSILQYFNSRTGLIRWIGLSRQKSSDVWRWEDGSVLSKTML
+KLSGTGTENMNCAYFHNGNIHPTFCENRHYLMCERKAGVVKVEHLL
+>tr|A6QLK3|A6QLK3_BOVIN GALR2 protein OS=Bos taurus OX=9913 GN=GALR2 PE=2 SV=1
+MNGSGGLDTEDTSEAGGGSSWQPEAVIVPTLFALIFLVGTVGNALVLAVLLRGGQAVSTT
+NLFILNLGVADLCFIVCCVPFQATIYTLDDWVFGSLLCKAVHFLIFLTMHASSFTLAAVS
+LDRYLAIRYPLHSRELRTPRNAMAAISLVWGLSLLFSAPYLSYYRQSRLANLTVCHPAWS
+APRRRAMDLCTFVVSYLLPVLVLGLTYARTLRYLWRAVDPTTAGSGARRAKRKVTRMIII
+VAALFCLCWMPHHTLILCVWFGRFPLTRATYALRILSHLVSYANSCVNPIVYALVSKHFR
+KGFREICAGLLRHAPRRASRRVCVAPLCRRLSAASRPATSPTYPGRTQRPPKAS
+>tr|E1B8N8|E1B8N8_BOVIN Tumor protein p53 inducible nuclear protein 2 OS=Bos taurus OX=9913 GN=TP53INP2 PE=4 SV=2
+MLQRLTSLFFSPPPPAAEDPDCSQAFVSEEDEVDGWLIIDLPDSFTAPPSPGAAAAPAGR
+PPPAPSLMDESWFVTPPACFTAEGPGLGPARLQSSPLEDLLIEHPSMSVYVTGSTIVLES
+GPPSPHPEDDEAALPDGDSSDGELAPARREARALHHAAPLPARAALLEKAGQARRVQRAR
+QRAERHALSAKVVQRQNRARESRPRRPKHQGSFVYQPCQRQFNY
+>tr|F1MGC3|F1MGC3_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 GN=LOC512672 PE=3 SV=1
+MLWGPVLLYFFLAYLPETQTRSHSLQYFYSVVSEPGPGVPSFMAFGFVDNQPFIRYDSEE
+MKAKSCVHWLREEPSYFDDETKIFTSRMKIFHLNLRNVQQYYNQTKEDGLNRALAQKQTS
+PHTLQFTYGCELLEDGRTTWHWQYGYDGEDYLSLHMDPLQYTAATFVAQYTKQKWEAGGN
+FIERDKNYLEKECILWLWRYLTFGGESLNRTEPPKTHMTHHRISDREVTLRCWALGFYPA
+KISLTWQRNGEDQTQDMELVETRPSGDGTFQKWAALVVPSGEEQKYTCRVQHEGLQEPLT
+LRWEPLKTSVPITGIIVVLVLLVVTRAVIWIKKLSGYYHSTNGKNRTYFQTAI
+>tr|Q08DH9|Q08DH9_BOVIN CCCTC-binding factor OS=Bos taurus OX=9913 GN=CTCF PE=2 SV=1
+MEGEAVEAIVEESETFIKGKERKTYQRRREGGQEEDACHLPQNQTDGGEVVQDVNSSVQM
+VMMEQLDPTLLQMKTEVMEGAVAPEAEAAVDDTQIITLQVVNMEEQPINIGELQLVQVPV
+PVTVPVATTSVEELQGAYENEVSKEGLAESEPVICHTLPLPEGFQVVKVGANGEVETLEQ
+GELPPQEDPSWQKDPDYQPPAKKTKKTKKSKLRYTEEGKDVDVSVYDFEEEQQEGLLSEV
+NAEKVVGNMKPPKPTKIKKKGVKKTFQCELCSYTCPRRSNLDRHMKSHTDERPHKCHLCG
+RAFRTVTLLRNHLNTHTGTRPHKCPDCDMAFVTSGELVRHRRYKHTHEKPFKCSMCDYAS
+VEVSKLKRHIRSHTGERPFQCSLCSYASRDTYKLKRHMRTHSGEKPYECYICHARFTQSG
+TMKMHILQKHTENVAKFHCPHCDTVIARKSDLGVHLRKQHSYIEQGKKCRYCDAVFHERY
+ALIQHQKSHKNEKRFKCDQCDYACRQERHMIMHKRTHTGEKPYACSHCDKTFRQKQLLDM
+HFKRYHDPNFVPAAFVCSKCGKTFTRRNTMARHADNCAGPDGVEGENGGETKKSKRGRKR
+KMRSKKEDSSDSENAEPDLDDNEDEEEPAVEIEPEPEPQPVTPAPPPAKKRRGRPPGRTN
+QPKQTQPTAIIQVEDQNTGAIENIIVEVKKEPDAEPAEGEEEEAQPAATDAPNGDLTPEM
+ILSMMDR
+>tr|A6QPY4|A6QPY4_BOVIN N-sulfoglucosamine sulfohydrolase OS=Bos taurus OX=9913 GN=SGSH PE=2 SV=1
+MSTTSTPSTGCRACHCCWAELAFTQVPYFVPDTPAARADLAAQYTTIGRMDQGIGLVLQE
+LRGAGVLNDTLVIFTSDNGIPFPSGRTNLYWPGTAEPMLVSSPEHPKRWGQVSEAYVSLL
+DLTPTILDWFSIPYPSYAIFGTKTVQLTGRSLLPVLEAEPLWTTVFGSQSYHEVTMSYPM
+RSVHHQNFHLVHNLHFKMPFPIDQDFYISPTFQDLLNRTTAGQPTGWYKDLHQYYYRERW
+ELYDRNQDPHETHNLAADPRYTQVLELLQTQLVKWQWETHDPWVCAPDGVLEEKLAPQCR
+PLHNEL
+>tr|A6H774|A6H774_BOVIN Amino acid transporter OS=Bos taurus OX=9913 GN=SLC1A6 PE=2 SV=1
+MSSHGNSLFLRESGQRLGRVGWLQRLQESLQQRALRMRLRLQTMTREHVLRFLRRNAFIL
+LTVSAVVIGVSLAFALRPYQLSYRQIKYFSFPGELLMRMLQMLVLPLIVSSLVTGMASLD
+NKATGRMGMRAAVYYMVTTVIAVFIGILMVTIIHPGKGSKEGLHREGRIETIPTADAFMD
+LVRNMFPPNLVEACFKQFKTQYSTRLVTRTVVRTDNGSELGTSMPPLSSLENGTGLLENV
+TRALGTLQEVLSFEETVPVPGSANGINALGLVVFSVAFGLVIGGMKHKGRVLRDFFDSLN
+EAIMRLVGIIIWYAPVGILFLIAGKILEMEDMAVLGGQLGMYTLTVIVGLFVHAGGILPL
+IYFLITHRNPFPFIGGILQALITAMGTSSSSATLPITFRCLEEGLGVDRRITRFVLPVGA
+TVNMDGTALYEALAAIFIAQVNNYELNLGQITTISITATAASVGAAGIPQAGLVTMVIVL
+TSVGLPTEDITLIIAVDWFLDRLRTMTNVLGDSIGAAVIEHLSQRELELQEAELTLPSLG
+KPYKSLMAQEKGASRGRGGNESAM
+>tr|A7YWP8|A7YWP8_BOVIN CENPP protein OS=Bos taurus OX=9913 GN=CENPP PE=2 SV=1
+MDSQRAKARALEAEIAALRRACIETQAPGDDDSQVRKSFQETHQSNSEEWGLSKDLRSHL
+GSLESELQFLSRLTGISIRNYCKNTEDLTNTEMAEKSIKKVLQRHRLSGNCHMITFQLEF
+QILEIQDKESLSSVITDLSIIMEPTEYSELSEFVSRAEEKRDLLMFFRSLRFFVEWCDYR
+KRTFKHFKEKYPEAVQLSEGASSSCMGIRNPSQPGFELVIVWRIQIDEEGKVLPKLDLLT
+KVPLRALELDKNRVIETAPLSFRTLLGVLGIEATLESLIKSFCTEESS
+>tr|A6QLH3|A6QLH3_BOVIN STX3 protein OS=Bos taurus OX=9913 GN=STX3 PE=2 SV=1
+MKDRLEQLKAKQLTQDDDTDEVEIAVDNTAFMDEFFSEIEETRVNIDKISEHVEEAKRLY
+SVILSAPIPEPKTKDDLEQLTTEIKKRANNVRNKLKSMERHIEEDEVQSSADLRIRKSQH
+SVLSRKFVEVMTKYNEAQVDFRERSKGRIQRQLEITGKKTTDEELEEMLESGNPAIFTSG
+IIDSQISKQALSEIEGRHKDIVRLESSIKELHDMFMDIAMLVENQGEMLDNIELNVMHTV
+DHVEKAREETKRAVKYQGQARKKLVIIIVIVVVLLGILALIIGLSVGLK
+>tr|E1BDU8|E1BDU8_BOVIN LIM domain and actin binding 1 OS=Bos taurus OX=9913 GN=LIMA1 PE=1 SV=3
+MEPTPFNRRQWASLSLRVTAKELSLVNKNNSSAIVEIFSKYQKAAEEANMEKKRSNAENL
+PQHFRRGNLTALRKKWENPVLGADSLPDSVRNSSAEVRHRGDPPPAEVVGSSASGVQADR
+EELVQPRPKIRSPPEAPTKYPHPRIKDSEHLKDHSTENKKMENCLGESRHEVAKPEMSEN
+AETANKIEKYNVPLNRLKMMFEKGEPTQTKIPRGQSRSAGGRKMSENSYSLDDLEIGPGQ
+LSSSALNSEKRESRRNLEFPRLSETSIKDRMAKYQAAVSKQSSSSSYTNELRASGGEIKT
+HKLEQKENVPPGPEVCISYPDGEKVSASENSLAAHSTLAEDDSRNSQVKSEVQQPVHPKP
+LSPDARASSLSESSPPKAVKKFQAPARETCVECQKTVYSMERLLANQQVFHISCFRCSYC
+NSKLSLGTYASLHGRIYCKPHFNQLFKSKGNYDEGFGHRPHKDLWASKNENEETLERPVQ
+LPSAAETLQSPGVENAPIEKVGVLTASMEAKASCLLEKEDKPAETKKLRIAWPPPTELGS
+SGSALEEGIKVLKPKWPPEDDISKPEAPEDVDLDLKKLRRSSSLKERSRPFTVAASFRTS
+SVKSPKALNPPIRKGWSMSEQSEEYGGGVAAERKHMENANASEKNESVGKTTWPNKGSKG
+GEAGRRSRESPSFGMGSENLIENGANLDESDKNLLKKQSPLEPKAKTWSSYTDNPSTKEF
+TTQTQKSQDVGFWEEEVVEELSVEEQIKRNRYYDEDEDEE
+>tr|F1MD32|F1MD32_BOVIN CREB binding protein OS=Bos taurus OX=9913 GN=CREBBP PE=4 SV=2
+MAENLLDGPPNPKRAKLSSPGFSANDSTDFGSLFDLENDLPDELIPNGGELSLLNSGNLV
+PDAASKHKQLSELLRGGSSSSINPGIGNVSASSPAQQGLGSQAQGQPSSANMASLGAMGK
+SPLNQGDSSAPSLPKQAASTSGPTPPASQALNPQAQKQVGLVTSSPATSQTGPGICMNAN
+FNQTHPGLLNSNSGHGLMNQAQQGQAQVMNGSLGAAGRGRGAGMPYPTPAMQGATSSVLA
+ETLTQVSPQMASHAGLNTAQAGGMSKMGMTGNTSPFGQPFSQTGGQQMGAPGVNPQLPSK
+QSMVNSLPPFAADIKNASVTNVPNMPQMQTSVGIVPTQAIATGPTADPEKRKLIQQQLVL
+LLHAHKCQRREQANGEVRACALPHCRTMKNVLNHMTHCQAGKACQVAHCASSRQIISHWK
+NCTRHDCPVCLPLKNASDKRNQQTILGSPAGGIQNTIGSVGTGQQNATSLSNPNPIDPSS
+MQRAYAALGLPYLNQPQTQLQPQVPGQQPAQPQTHQQMRSLNPLGNNPMNIPAGGITTDQ
+QPSNLISESALPTSLGATNPLMNDGSNSGNIGTLSTIPAAAPPSSTGVRKSWHEHVTQDL
+RSHLVHKLVQAIFPTPDPAALKDRRMENLVAYAKKVEGDMYESANSRDEYYHLLAEKIYK
+IQKELEEKRRSRLHKQGILGNQPALSAPGTQPPGIPQAQPVRPPNGPMPLTVNRMQVSQG
+MNSFNPISLGNVQLPQAPMGPRAASPMNHSVPMNSMGSVPGMAISPSRMPQPPNMMGAHA
+NSMMAQAPAQSQFLPQSQFPSSSGALSVSSVGMGQPAAQAGVPQGQVPGAALPNPLNMLG
+PQASQLPCPPVTQPPLHQTPPPASTAAGMPSLQHPAAPGVTPPQPAAPTQPSTPASSSGQ
+TPTPTPGSVPSASQTQSTPTVQAAAQAQVTPQPQTPVQPPSVATPQSSQQQPTPVHTQPP
+GTPLSQTAASIDNRVPTPSSVASAETNSQQPGPEVPLLEMKAEIKTEDTEPDAGEPKGEP
+GATMMEEDLQGSSQVKEETDPTEQKSEPMEVDEKKTEVKVEAKEEEEGSTNGTASQSTSP
+SQPRKKIFKPEELRQALMSTLEALYRQDPESLPFRQPVDPQLLGIPDYFDIVKNPMDLST
+IKRKLDTGQYQEPWQYVDDVWLMFNNAWLYNRKTSRVYKFCSKLAEVFEQEIDPVMQSLG
+YCCGRKYEFSPQTLCCYGKQLCTIPRDAAYYSYQNRYHFCEKCFTEIQGENVTLGDDPSQ
+PQTTISKDQFEKKKNDTLDPEPFVDCKECGRKMHQICVLHYDIIWPSGFVCDNCLKKTGR
+TRKENKFSAKRLQTTRLGNHLEDRVNKFLRRQNHPEAGEVFVRVVASSDKTVEVKPGMKS
+RFVDSGEMSESFPYRTKALFAFEEIDGVDVCFFGMHVQEYGSDCPPPNTRRVYISYLDSI
+HFFRPRCLRTAVYHEILIGYLEYVKKLGYVTGHIWACPPSEGDDYIFHCHPPDQKIPKPK
+RLQEWYKKMLDKAFAERIIHDYKDILKQANEDRLTSAKELPYFEGDFWPNVLEESIKELE
+QEEEERKKEESTAASETTEGSQGDSKNAKKKNNKKTNKNKSSISRTNKKKPSMPNVSNDL
+SQKLYATMEKHKEVFFVIHLHAGPVIHTLPPIVDPDPLLSCDLMDGRDAFLTLARDKHWE
+FSSLRRSKWSTLCMLVELHTQGQDRFVYTCNECKHHVETRWHCTVCEDYDLCINCYNTKS
+HTHKMVKWGLGLDDEGGSQGEPQSKSPQESRRLSIQRCIQSLVHACQCRNANCSLPSCQK
+MKRVVQHTKGCKRKTNGGCPVCKQLIALCCYHAKHCQENKCPVPFCLNIKHKLRQQQIQH
+RLQQAQLMRRRMATMNTRNVPQQSLPSPTSAPPGTPTQQPSTPQTPQPPAQPQPSPVSMS
+PAGFPSVARTQPPTTVSTGKPTNQVPAPPPPAQPPPAAVEAARQIEREAQQQQHLYRVNI
+NNGMPPGRTGMVTPVSQMAPVGLNVPRPGQVSGPVVPTLPAGQWQQAPIPQQQPMPGMPR
+PVMSMQAQPAVAGPRMSGVQPPRSISPGALQDLLRTLKSPSSPQQQQQVLNILKSNPQLM
+AAFIKQRTAKYVASQPGLQPQPGLQAQPGLHQQPGLQNLNAMQASGPRPGVPPQQQAMGG
+LNPQGQALNIMNPGHNPSMASMNPQYREMLRRQLLQQQQQQQQQQQQQQQGSAGMAGGMA
+GHSQFQQPPGPAGYPPAMPQQRMQQHLPMQGSAMGPMAAQMGQLGQMGQPGLGADSTPNI
+QQALQQRILQQQQMKQQMGSPGQPNPMSPQQHMLSGQPQASHLPGQQMATSLSSQVRSPA
+PVQSPRPQSQPPHSSPSPRIQPQPSPHHVSPQTGSPHPGLAVTMASSIDQGHLGNPEQSA
+MLPQLNTPNRSALSSELSLVGDTTGDTLEKFVEGL
+>tr|A0JN83|A0JN83_BOVIN Solute carrier family 25 member 44 OS=Bos taurus OX=9913 GN=SLC25A44 PE=2 SV=1
+MEDKRNIQIIEWEHLDKKKFYVFGVAMTMMIRVSVYPFTLIRTRLQVQKGRSLYHGTFDA
+FIKILRADGVTGLYRGFLVNTFTLISGQCYVTTYELTRKFVADYSQSNTVKSLVAGGSAS
+LVAQSITVPIDVVSQHLMMQRKGEKMGRFQVRGNPEGQGVVAFGQTKDIIRQILRADGLR
+GFYRGYVASLLTYIPNSAVWWPFYHFYAEQLSSLCPKECPHIVFQAVSGPLAAATASILT
+NPMDVIRTRVQVEGKNSIILTFRQLMAEEGPWGLMKGLSARIISATPSTIVIVVGYESLK
+KLSLRPELVDSRHW
+>tr|A6QLL2|A6QLL2_BOVIN MTX2 protein OS=Bos taurus OX=9913 GN=MTX2 PE=2 SV=1
+MSLVAEALVSQIAAAEPWPENATLYQQLKGEQILLSDNAASLAVQAFLQMCNLPIKVVCR
+ANAEYMSPSGKVPFIHVGNQVVSELGPIVQFVKAKGHSLSDGLDEVQKAEMKAYMELVNN
+MLLTAELYLQWCDDATVGEITHARYGSPYPWPLNHILAYQKQWEVKRKMKAIGWGNKTLD
+QVLEDVDQCCQALSQRLGTQPYFFNKQPTELDALVFGHLYTILTTQMTNDELSEKVKNYS
+NLLAFCRRIEQHYFGKGSSSIRLS
+>tr|Q6XUI1|Q6XUI1_BOVIN Na+/glucose cotransporter 2 OS=Bos taurus OX=9913 GN=SLC5A2 PE=2 SV=1
+MEEHTEAGSAPVLGEQKALIDNPADILVIAAYFLLVIGVGLWSMCRTNRGTVGGYFLAGR
+NMVWWPVGASLFASNIGSGHFVGLAGTGAASGLAVAGFEWNALFVVLLLGWLFVPVYLTA
+GVITMPQYLRKRFGGHRIRLYLSVLSLFLYIFTKISVDMFSGAVFIQQALGWNIYASVIA
+LLGITMIYTVTGGLAALMYTDTVQTFVILAGAFVLMGYAFHEVGGYSGLFDKYLRAVTSL
+TVSEDPAVGNISSSCYRPRPDSYHLLRDPVTGDLPWPALLLGLTIVSSWYWCSDQVIVQR
+CLAGKNLTHIKAGCILCGYLKLMPMFLMVMPGMISRVLYPDEVACVVPEVCKRVCGTEVG
+CSNIAYPRLVVKLMPNGLRGLMLAVMLAALMSSLASIFNSSSTLFTMDIYTRLRPRAGDR
+ELLLVGRLWVVFIVAVSVAWLPVVQAAQGGQLFDYIQSVSSYLAPPVSAVFVLALFVPRV
+NEKGAFWGLIGGLLMGLARLVPEFSFGSGSCVRPSGCPALLCRVHYLYFAILLFVCSGLL
+TLVVSLCTPPIPRKHLYRLVFSLRHSKEEREDLDAEELEGPTAAPVQNGRPEHAVEMEAP
+PPPRPGLLRQCLLWFCGVSRGGVGSPQRPTQEETTAAARRLEDISEDPRWARVVNLNALL
+MMAVATFLWGFYA
+>tr|A4FUC2|A4FUC2_BOVIN HNRNPUL1 protein OS=Bos taurus OX=9913 GN=HNRNPUL1 PE=1 SV=1
+MDVRRLKVNELREELQRRGLDTRGLKAELAERLQAALEAEEPDDERELEADDEPGRPGHN
+NEEVETEGGSELEGTAQPPPPGLQPHPEPGGYSGPDGHYVMDNITMQNQFYETQVIKQEN
+ESGYERRPLEIDQQQQAYRPEVKTEMKQEAPTSFLPPEASQHKPDRQQFQSRKRPYEENR
+GRGYFEHREDRRGRSPQPPAEEDEDDFDDTLVAIDTYNCDLHFKVARDRSSGYPLTIEGF
+AYLWSGARASYGVRRGRVCFEMKINEEISVKHLPSTEPDPHVVRIGWSLDSCSTQLGEEP
+FSYGYGGTGKKSTNSRFENYGDKFAENDVIGCFADFECGNDVELSFTKNGKWMGIAFRIQ
+KEALGGQALYPHVLVKNCAVEFNFGQRAEPYCSVLPGFTFIQHLPLSERIRGTVGPKSKA
+ECEILMMVGLPAAGKTTWAIKHAASNPSKKYNILGTNAIMDKMRVMGLRRQRNYAGRWDV
+LIQQATQCLNRLIQIAARKKRNYILDQTNVYGSAQRRKMRPFEGFQRKAIVICPTDEDLK
+DRTIKRTDEEGKDVPDHAVLEMKANFTLPDVGDFLDEVLFIELQREEADKLVRQYNEEGR
+RAGPPPEKRFDNRGGGGFRGRGGGGGFQRYDNRGPPGGNRGGFQNRGGGSGGGGNYRGGF
+NRSGGGGYNQNRWGNNNRDNNNSNNRGSYNRAPQQQPPPQQPPPPQPPPQQPPPPPTYSP
+ARNPPGASGYNKNSNIPGSSANTSTPPVSSYSPPQPSYSQPPPYNQGGYSQGYTAPPPPP
+PPPPAYNYGSYGGYNPAPYTPPPPPAAQTYPQPSYNQYQQYAQQWNQYYQNQGQWPPYYG
+NYDYGSYSGNTQGGTSTQ
+>tr|F1MMX5|F1MMX5_BOVIN Phospholipid-transporting ATPase OS=Bos taurus OX=9913 GN=ATP8B4 PE=3 SV=3
+MFCSKKKSLEVERIVKANDREYNEKFLYKDNRIHTSKYNILTFLPINLFEQFQRVANAYF
+LFLLILQLIPEISSLTWFTTIVPLVLVVTMTAVKDATDDYFRHKSDNQVNNRQSEVLIDS
+KLQNEKWMNVKVGDIIKLENNQFVAADLLLLSSSEPHGLCYIETAELDGETNLKVRHALS
+VTSELGADISRLAKFDGIVVCEAPNNKLDKFTGVLSWKGSKHSLNNEKIILRGCVLRNTS
+WCFGMVIFAGPDTKLMQNSGKTKFKRTSIDRLMNTLVLWIFGFLICLGTILAIGNSIWEN
+QVGNQFRTFLFWNEGEKNSVFSGFLTFWSYIIILNTVVPISLYVSVEVIRLGHSYFINWD
+RKMYYSRKATPAEARTTTLNEELGQIEYVFSDKTGTLTQNIMTFKKCSINGRIYGEVHDD
+LGQKTDMTKKKETVGFSVSPQADRTFQFFDHHLMESIELGDPKVHEFLRLLALCHTVMSE
+ENSAGQLIYQVQSPDEGALVTAAKNLGFIFKSRTPETITIEELGTLVTYQLLAFLDFNNF
+RKRMSVIVRNPEGQIKLYSKGADTILFERLHPSNEDLLTLTSDHLSEFAGEGLRTLAIAY
+RDLDDKYFREWHKMLEDANTSTDERDERIAGLYEEIEKDLMLLGATAVEDKLQDGVIETV
+TSLSLANIKIWVLTGDKQETAINIGYACNMLTDDMNDVFIIAGNTAAEVREELRKAKENL
+FGQNRIFSSGHVVFEKKQSLELDSVVEETVTGDYALIINGHSLAHALESDVKNDLLELAC
+MCKTVICCRVTPLQKAQVVELVKKYRNAVTLAIGDGANDVSMIKSAHIGVGISGQEGLQA
+VLASDYSFAQFRYLQRLLLVHGRWSYVRMCKFLCYFFYKNFAFTLVHFWFGFFCGFSAQT
+VYDQWFITLFNIVYTSLPVLAMGIFDQDVSDQNSMDYPQLYRPGQLNLLFNKHEFFICMA
+HGIYTSLALFFIPYGAFHNMAGEDGQHTADYQSFAVTMATSLVIVVSVQIALDTSYWTVI
+NHVFIWGSIATYFSILFTMHSNGIFGLFPNQFPFVGNARHSLTQKCTWLVILLTTVASVM
+PVVAFRFLKVDLFPTLSDQIRQWQKAQKKARPLRSRKPQTRRSSSRRSGYAFAHQEGYGE
+LITSGKNMRAKNPPPTSGLEKTLYNSTSWIENLCKKTTDTVSSFSQDKTVKL
+>tr|E1BD73|E1BD73_BOVIN Poly [ADP-ribose] polymerase OS=Bos taurus OX=9913 GN=PARP4 PE=4 SV=3
+MTVGIFANCTFCLKAKHLTRQQKRKLQTDIKENGGNFSFLLNAQCTHVILDNADVLSQYQ
+LKSIQKNHILIANPDFVWESIKERRLLDTKIYGPSESLDITSASSEEWSSPKMEMNDSSF
+LDSSTEKENTVELTKFYAEGVEIPHFPQDFEVAKYNILEKVVTEGGKETAVVELQCLQGP
+GDRGFLICTHFLLATGTQTRRQSSVKKTSADASEYYENYIEELKKQGFLLREHFTPEATQ
+LASEKLQALLLEEVINSNALSPEVSGLVEMLWAEALGRLEDTLLRPVGMMSLNDVSKAEG
+ILLLVKEAMRNGETQEQLQTMLTEFYRLIPHRAAVAEKVSLSLLAKKEDLCQLIRDMVNV
+CETNLAKPNPPSLAKYRALRCKIEHVEPNTEEFLRVREEVLQNNHSKGPVDILQIFRVGR
+VSETTEFQGHLGNVRHLLHGSPVQSFMGILSRGLLLPKVVEDRGMKRTDIGNLGSGIYFS
+DSISTSIKYSHPGQTDGARLLVVCDVALGRCMDLHKRDFSLTEAPPEYDSVHGVRGTDMV
+SSDFEDDEFVVYKTNQVKMKYLVKFSVPGDEIKDFHPCDNTELEEDRPEFSNFSAVEDHQ
+LPGSTPFPDIKAGLQDASGNSVPLEDVHIKGKIIDFVAQVIVFQTYTNQSHVPIEAKYVF
+PLDDKAAVCGFEAFINGKHVVGEIKEKEVAQREYRVAISQGHGAYLMDQDTPDIFTVSVG
+NLPPKAKVLVKITYITELSIQGACAVFFLPATVAPWQQDRALRENLQDTVEKICIKEIGA
+KQSFSLSMSIEMPHMIEFISSDTHDLRKKRTDCKAVISTVEGSSLDSNGFSLLIGLVDVY
+LPRMWVEKHPEKESEACMLVFQPNLGITVPDEAERSEVIICLDCSNSMEGETFLQAKQIA
+LHALSCVGKEQKVNVIKFGTSYKELFSYPKCITSNKMPTEFIMSATPTMGNTDFWKTLRY
+LNLLYPSHVLRNILLISDGHLQNESLTLQLVRRSVPHTRLFSCGVSSTANRHVLRSLSHY
+GAGVFEYFNSKSKHSWKKQIEAQMDRLSSPSCHSVSVKWQQLSTDTPAPLQAPTQVQSLF
+HSERLLVYGLTPHCTQATLHALIQEREYSTVVSTTELQKTTGTMIHKLAARALIRDYEDG
+ILHENEVNHEMKKQTLKSLIIKLSKENSLITQFTSFVAVEKRYGNESPSPDIPNTLELIA
+KEDIDFLPYMSWEGEQPDASRMQTLSASSEWNQEPKRKCKRKQTKKKRSSLKMILSEDFK
+AFSSDAPEESEAVSFVFRDAEEPWGLGLMESSKQTEVKPQFTEAISQKTAFSDIPTAPSF
+LTTPLHVGSGFYLQASSALFGSPAGPKHFGSPKKYLEMGSGADVSLDMASFPRLHLQGSP
+LQLAAAPRVSFSSGSQLPKTNQGGATGFSALTTLQPDPLSRPNLFSCSASLLPCPLVKSV
+FSSPASPPSLPRGVVPPPPPLPGWCGVLPSPPLPPLTGGLVPPPPPLSQRVGIPPPPPPP
+LPGWCGVPPSPPLPPLTGGLVPPPPPLPGWGGVPPPPHLPPLSGGIVPPPPPLSQGVGIP
+PPPPPPLSSPLPSHHLPSPRSASYKLPVAPSGPAEDARLDIPLLAVYDKPLSLDSLQYDC
+SFFECSSETESDKTGELLASRIFKKLAEYDLRSGFTASTQAQKDEDGLVGKASWRNYVPS
+TELFRLQTKDGCWKLTQELGFILKLNTNILNSFLEQKGIRSLGVRGRERLLDLIATFLVL
+QFLRTKLEQEGIIVKSLMRLDDASIPRNIPWDCEKIKKASEWLRRTEGQYPSICQRLELG
+KDWDSATKQLLGILPRDAASPPHRLLKHSQG
+>tr|A0A3Q1LTN5|A0A3Q1LTN5_BOVIN Protein MMS22-like OS=Bos taurus OX=9913 GN=MMS22L PE=4 SV=1
+MDNCPPASTFLTDSLELELQTEWCNPPCFSCDFDNRGGGKHFSGESYLSSGALKRVILNL
+DPLPTNFEEDTVEIFGIEWVTETALVNSSRVLFHLFRQQLYNLETLLQASCDFGKISTLH
+CKAHNIRQLCVTFLHYVKVFIFRSLQVRNAESHVPVHPYETLEAQLPSVLVDELHGLLLY
+IGHLSELPTTNTGAFVNQNQTKLYPPSWHLLHLHLDIHWLVLEILHMLGEKLKQVVYSHQ
+FMNLAGDNLTNVSLFEEHCENLLCDLINLSLNRYDKVRPSEALMSHHCPCPCIKELWVLL
+IHLLNHRSKWSLSESFWNWLNKLLKTLLEKSNDQRRSVLIVQPRDPLGFSWWIITHVASF
+YQFDRHGTPDEMRQMESNWNFVEELLKKSISVQDGILEEQLRMYLHCCLTLCDFWEPNIA
+IVTILWEYYSKNLNSSFSISWLPLKGLTYIIKSPLSMLEMVKTCCCDKQDHDLYKSSSSY
+TIFLCILAKVVKKAMKNNGPHPWKQVKGRIYSKFHQKRMEELTEVGLQNFFSLFLLLAAV
+AEVEDVASHVLRLLDFLKPTFKTSPLIWKGQMAFLLMYTQKNLDIGVLAEKFSNAFREKA
+KEFLVSKNDEMGQRQTLWTLLSIYMDSVQEVFETSRCLHPSHEKLLNDGFSMLLRACQES
+ELRTVLNFLQAVLARIRSLHQQLRQELQREHGDLSVQSSLSTKERHLAAVASALWRHFFS
+FLKSQRMSQIVPLSQLADAAADFTLLAMDLPSTAPSDLQPQPVTSMIQLFGWDDIIWPQV
+VARYLSHFLQNSMLCEALSHSGCVSFQALTVRSWIRCILQMYVKNLHVPDDSFIDINPEQ
+AVEKDYMEQLTELTRLLFKLSEVKNIFSKSQVELPIPDDPKKALIRFFEAVGITYGNLQT
+VSDKSAMVTKSLEYLGEILKYIKPYLGKKVSSAGLQLTYTMMGTLVKSWALIFATSKAQK
+LLFRIIDCLLLPHTVLQQEKELPAPMLTAIQKSLPLYLQGMCIVCCQSQNTNAYLNQLLG
+NVIEQYIGRFLPASAHVLGLGQHPVLLALKNSASVPPMSLLKKCIVHVIRKSYFEFKGSL
+LPPRLASILAFILQLVKETNTDVSEIELLLPGVLKCLLLVSEPQVKRLATENLQCMVRAC
+QVGSGGEPAAQLTSVFRQFIEDYGMRYDYQIYSILEAVAALDQQVVIHLVPTLTQSLKNS
+ERKWGLGRNSAQREAYSKLLSQLGQVGQDEIQRLENDHIESML
+>tr|Q6SJV6|Q6SJV6_BOVIN Foveolin OS=Bos taurus OX=9913 GN=GKN1 PE=2 SV=1
+MKFTIVFAGLLGIFLTPTLADYDISVNDNNNSGGSGQQSVSVNNEHGVANVDNNNGWDSW
+NSLWDYGSGFAVIRPFKKKSCIVHKMNKEVMPSIQALDMLAKKNKLQGRGPEGPPPKSLI
+YSVKPDKVNNLDQFGKFIVTMCKGIPTYMAEEIQGANLILYPEKCFNVDILWILNISLCE
+EAMEN
+>tr|Q2KIJ0|Q2KIJ0_BOVIN Solute carrier family 25 (Mitochondrial carrier, brain), member 14 OS=Bos taurus OX=9913 GN=SLC25A14 PE=2 SV=1
+MGIFPGIILIFLRVKFATAAVIVSGHQKSATVSHEMSGLNWKPFVYGGLASIVAEFGTFP
+VDLTKTRLQVQGQSIDVRFKEIKYRGMFHALFRIYKEEGVLALYSGIAPALLRQASYGTI
+KIGIYQSLKRLFVERLEDETLLINMICGVVSGVISSAIANPTDVLKIRMQAQGSLFQGSM
+IGSFIDIYQQEGTRGLWRGVVPTAQRAAIVVGVELPVYDITKKHLILSGLMGDTILTHFV
+SSFTCGLAGALASNPVDVVRTRMMNQRAIVGHVDLYKGTLDGILKMWKHEGFFALYKGFW
+PNWLRLGPWNIIFFITYEQLKRLQI
+>tr|A0A3Q1MWI3|A0A3Q1MWI3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC508459 PE=3 SV=1
+MWSFSFLLFWGCCGIYTWGMLTLPTLGSMTRHEQPRWSSSSLDGNGCTDTTMCPAYATCT
+NTSRSYYCSCKRGFLSSNGLKTFKGPGVECKDIDECSQSPTPCGPNSICRNLPGRYRCSC
+MTGFSSPTGNNWISGKQGHFTCRDVDECANPRSCPEHSTCHNSPGSYSCVCNPGFQSRSG
+RKSFQGLKEMCEDVDECASPRSCPEHSTCHNSLGSYSCACNPGYNSRSGKKTFQGPGETC
+QDVDECANPRSCPDHSTCHNSLGNYSCVCNPGFQSRSGRKSFQGPGEMCQDVDECANPRS
+CPEHSTCHNSVGSYSCACNSGYISRSGKKTFQGPGETCQDIDECSQKPPPCGPNSRCRNL
+PGRYECSCLTGFSSPTGNNWISGKPGHFTCTDINECLSHGVCPEHSECTNSLGSYRCSCK
+VGFTSGNSTCEDVDECANPRSCPEHSTCHNSLGSYSCVCNPGFQSRSGKKNFQGPGETCE
+DVDECSRNSTLCGPSSVCTNIPGKYSCSCLPGFFSPGVWSPEKPEAFKCADIDECLQDPS
+RCGPDSVCTNTLGSYSCGCVVGFHPNPEGSWKHGNFSCQRIPFKCKDDVIPSNMQVQLCH
+AGAAVEPKYVSFCALMNATLSVLDNVCENKTTVVSLKSTAKRLDSVIEKTSKWSNFTKEE
+TSTLATVLLESVESTTLAAFLKPSANVSQTIQTKHLDIESKVIDKECTKENETFKLKAKG
+DEMKIWCSTIKESESTGINGVAFVSFSGMESILDERFLKDLQIPWASSKKKLKMNSRVVG
+GIITGGKKDGFSKPVIYTLENIKPKQDFESAICVSWKPDVEGGRWTPSGCVLLEASKTHT
+VCGCNQMVNLAVIMASGEVTMEFTLYLISHVGTIISLVCLAMAIITFLLCRTLRNQNTYI
+HLHLCICLFLAKMLFLTGVDKTDNQMGCALIAGFLHYLFLACFFWMLVEAVMLFLMVRNL
+KVVNYFSSRNIKMLYLCAFGYGLPGLVVAVSAGLQPQGYGMYNRCWLNTETGFIWSFLGP
+VCTIIVVNSILLTWTLCILRQKLSSVNAEVSTLKDTRLLTFKAFAQIFILGCSWVLGIFQ
+IGPMANIMAYLFTIINSLQGAFIFLIHCVLSRQVREEYRRCTTRKTKPSSETQTSGILLS
+SVPSTSKTG
+>tr|A2VDR3|A2VDR3_BOVIN Cerebellin 4 OS=Bos taurus OX=9913 GN=CBLN4 PE=2 SV=1
+MGPRRRAPAALPALLLALALPGLRVWAQNDTEPIVLEGKCLVVCDSNPATDSKGSSSSPL
+GISVRAANSKVAFSAVRSTNHEPSEMSNKTRIIYFDQILVNVGNFFTLESVFVAPRKGIY
+SFSFHVIKVYQSQTIQVNLMLNGKPVISAFAGDKDVTREAATNGVLLYLDKEDKVYLKLE
+KGNLVGGWQYSTFSGFLVFPL
+>tr|A2VDR1|A2VDR1_BOVIN RAB40C, member RAS oncogene family OS=Bos taurus OX=9913 GN=RAB40C PE=2 SV=1
+MGTQGSPVKSYDYLLKFLLVGDSDVGKGEILESLQDGAAESPYAYSNGIDYKTTTILLDG
+RRVKLELWDTSGQGRFCTIFRSYSRGAQGILLVYDITNRWSFDGIDRWIKEIDEHAPGVP
+RILVGNRLHLAFKRQVPTEQARAYAEKNCMTFFEVSPLCNFNVIESFTELSRIVLMRHGM
+EKIWRPNRVFSLQDLCCRAIVSCTPVHLIDKLPLPVTIKSHLKSFSMANGMNAVMMHGRS
+YSLASGAGGGGSKGNSLKRSKSIRPPQSPPQNCSRSNCKIS
+>tr|A7MBE6|A7MBE6_BOVIN ACSM2A protein OS=Bos taurus OX=9913 GN=ACSM2A PE=1 SV=1
+MHWGRQLQALCILWRSQMSNHTIRIHTRQLASLQWGHQEVPAKFNFATDVIDHWAGMEKA
+GKRPPGPALWWVNGNGDEVMWNFSQLSELSQQTANVFAGPCGLQRGDRVTVVLPRVPEWW
+LVILGCMRAGLVFMPGTIQMKAKDILYRLQVSKAKAIVAGDEVAEIVDTVAPECPSLKTK
+LLVSEKKRDGWLDFKTLLWKASTTHCFVETGSQEAAAIYFTSGTSGLPKMAEHSHSSLGI
+KAKMDAGKWTDLQASDIIWPISDTAWIVNILGSLLEPWTSGACTFIHLLPKFDPVIILKV
+LSSYPINYMVGAPIVYRMLLLQDLSSYKFPHLKCCFSGGETLLPDTLEKWKAQTGLDILE
+FYGQTETVIDDKGNVLPPGTEGDVGIRVKPIRPIGIFSGYVDNLEKTEANIRGDFWVLGD
+RAIKDQEGYFRFMGRTDDIINSSGYRIGPSEVENALMEHPAVVETAVVSSPDPIRGEVVK
+AFVVLAPHFLSRDPDELTKELQQHVKLVTAPYKYPRKIEFVLDLPKTNTGKIQRSKLRDK
+EWKKSEQVGV
+>tr|G8CY09|G8CY09_BOVIN Beta-defensin 132 OS=Bos taurus OX=9913 GN=DEFB132 PE=2 SV=1
+MKLLLLVFTALGFLVTPAKGGGTICGRKIAGHCKLECGSLEKTIFMCDRYKQCCVKGLFI
+AQPVMQPPIQKPNKPDTQLAKARTNG
+>tr|Q09YM5|Q09YM5_BOVIN ULBP13 OS=Bos taurus OX=9913 GN=ULBP13 PE=4 SV=1
+MGGSKSSLGFLVLLLIVLLSGTSSDAHSLSYNFTIDLQPRDGQPWCEVQGEVDQKVFLSY
+DCDRANIKYMSPLGEEVKSMNAWETQTEALRGIGALLKKQMPNVTLEKHTNKGLLTLQAR
+MTCWREDNGLINASWQFGFNGQLCLLFDSESGYWTMVHSKGKWMKEKWENDRAVTEFFKK
+VSMADCQCWFQDFLLRWEKMLKTAGSQTTGPSTMQPMAPDSSYIIWITTGVLASLVITGI
+IIACIHYKNSLLDQSLFLFCRRWCSQEARQVLCLPFSCSSV
+>tr|A3KN55|A3KN55_BOVIN IL17RB protein OS=Bos taurus OX=9913 GN=IL17RB PE=2 SV=1
+MSLVLLSLAALCCGAVPPEPTIQCGSEPGPSPEWMVRHTLTPGDLRDLRVETIKSNVDLE
+DSPILMNISWILRADASIRLLKATKICVMGKSHFQSYSCIRCNYTQAFQTQTRPSGGKWT
+FSYVGFPVELNTVYFIGAHNIPNANMNEDGPSMAVNFTSPGCLDHVMKYKKKCIEAGSLW
+KPNITACKRSANTVEVNFTTSPLGDRYMALIQSTAVIGTSYVSEKELTRTSVVVHVTGES
+EGAVVQLTPYFHTCGNDCIRQRGTVVQCPQTGVSSPQDHDRSVLGGWLPLLLSALLVATW
+VLVAGIYLIWRHERIKKTSFSTTTLLPSIKVLVVYPSEICFHHTVCYFTEFLQNRCRSEV
+ILEKWQKKKIAEMGPVQWLTTQKEAADKVIFLLSNGNTTCDGNCDEKEGGPCESSRDLFH
+LAFNLFCSDLRSQAHLHKYVVVYFREGDIADSYRALSVCPTYRLTKDATGFCAELLRAKQ
+HVSVGRRSRTCHYSCCSL
+>tr|A0A3Q1MRT6|A0A3Q1MRT6_BOVIN FXYD domain-containing ion transport regulator OS=Bos taurus OX=9913 GN=FXYD5 PE=3 SV=1
+MSPSGRLCLFITVGLILPTRGQTSKEVTIPTEPATEIIPVPTPAPDDEQGMEATPNQMDT
+QTQQPMETEVLLTTGQGTDKSTTQGSTPSKTKSPANPTQGSTPSKTRSPSRHERKNSILK
+QPGSKEDDPFFYDEDTLRKRGLMVAAVLFITGIVILTSGKCRQLPRLCWNRDR
+>tr|A0A3Q1LZE4|A0A3Q1LZE4_BOVIN BCL6 corepressor like 1 OS=Bos taurus OX=9913 GN=BCORL1 PE=4 SV=1
+MDYTGSVAETGGPLVSLSSPGDGLKLSAPDGPEAGNDTADCSWTPLSSQMSKQVDCSPAG
+AKALDSRHGVGEKNTFILATLGTGVPVEGTLPLVTTNFSPLPAPICPPAPSSASVPPSVP
+DPFQVPLSVPAPVPHSGLVPVQVAASVPAPSPPLAPVPALAPAPPSVPTLISDSNPLSVS
+ASVLVPVPASAPPSGPVPMSAPAPTPLSVPVSAPPLALIQAPVPPSAPTLVLAPVPTPVL
+APMPASTPPAAPAPPAVPMPTPTPSSGPPSTPTLIPAFAPTPVPAPTPAPIFTPAPTPMP
+PATPTAIPTSAPIPASFSLSRVCFPAAQAPAMQKVPLSFQPGTVLTPSQPLVYIPPPSCG
+QPLSVATLPTTLGVSSTLTLPVLPSYLQDRCLPGVLASPELRSYPYAFSVARPLTSESKL
+VSLEVNRLPCASPSGSTSTQPAPDGVPGPLADTSLSTASAKVLPPPQPLLPAPSGSSAPP
+HPTKMPGSVEQQTEGTSVTFSPLKSPPQLEREMASPPECSEMPLDLSSKSNRQKLPLPNQ
+RKTPPMPVLTPVHTSSKALLSTVLSRSQRTTQAAGSSVTSCLGSTSSPFVIFPEIVRNGD
+PSTWVKNSTALISTIPGTYVGVANPVPASLLLNKDPNLGLTRDPRHLPKQEPISIIDQGE
+PKGTGAPCGKKSSQAGTEGPPSTVKRYTPARIAPGLPGCQSKELSLWKPTGPANIYPRCS
+VNGKPTSTQVLPVGWSPYHQASLLSIGISSAGQLTPSQGVPIRPTSVVSEFSGVPSLGPS
+EAMHGLPEGQPPRPGGPFAPEQDTGTKNKTCRIAAKPYEEQVNPVLLTLSPQTGTLALSV
+QPSSGDLRVTQGPGEPESHLCPDSTPKLEGPQGACGLKLAGETKPKNQVLATYMSHELVL
+ATPQNLHKMPELPLLPHDSRPKELILDVVPSSARAASTELPQLGSQVDLGRVKMEKVDGD
+VVFNLATCFRADGLPAATPRGQVEVRSKAGQARVKQESIGVFACKNKWQPDSVVSDGASE
+SPPPKKMKCGKEKDGEEQQPQAKVLVRSSHGPKCRKPPSDPQEPTKKSPRGAPDSGKEHN
+GVRVKHKHRKPTKPESQSPGKRADGHEEGSLEKKAKSSFRDFIPVVLSTRTRSQSGSICS
+SFAGMADSDMGCQEVFPTEEEEEVTPTPAKRRKVRKTQRDTQYRSHHAQDKTLLSQGRRH
+LWRAREMPWRTEAARQMWDTNEEEEEDEEEGLVKRKKRRRQKSRKYQTGEYLTEQEEEQR
+RKGRADLKARKQKTSSQSPEHRLRNRNLLLPNKAQGISDSPNGFLPNNLEEPACLENSEK
+PSGKRKCKTKHMVTVSEDAKSKGRWSQQKTRPPKSPTPAKPTEPCTPSKSRSAGPEEASE
+SPTARQIPPEARRLIVNKNAGETLLQRAARLGYKDVVLYCLQKDSEDVNHRDNAGYTALH
+EACSRGWTDILNILLEHGANVNCSAQDGTRPVHDAVVNDNLETIWLLLSYGADPTLATYS
+GQTAMKLASSDTMKRFLSDHLSDLQGRAEGDPGVSWDFYSSSVLEEKDGFACDLLHNPPG
+SSDQEGDDVEEDNFMFELSDKPLLPCYNLQVSVSRGPCNWFLFTDVLKRLKLSSRIFQAR
+FPHFEIATLPKAEFYRQVASSQLLTPAERPGGVDGTSAPGSSETVELVRYEVELLRLLGS
+EVEFQPWNS
+>tr|A6QLL9|A6QLL9_BOVIN G protein-coupled receptor 6 OS=Bos taurus OX=9913 GN=GPR6 PE=2 SV=1
+MNASVSSLNGSQVVAVAAEGAAAAATAAGARDTGEWGHPAAAAAAALGGGGAANASLELS
+SQLPAGPPGLLLSAVNPWDVLLCMSGTVIAGENALVVALIASTPALRTPMFVLVGSLATA
+DLLAGCGLILHFVFQYVVPSETVSLLTVGFLVTSFAASVSSLLAITVDRYLSLYNALTYY
+SRRTLMGVHLLLAATWTVSLGLGLLPVLGWNCLAERAACSVVRPLTRSHVALLSATFFAV
+FGIMLHLYVRICQVVWRHAHQIALQQHCLAPPHLAATKKGVGTLAVVLGTFGASWLPFAI
+YCVVGSHEDPDVYTYATLLPATYNSMINPIIYAFRNQEIQRALWLLFCGCFQSKVPFRSR
+SPSEV
+>tr|A7MBD6|A7MBD6_BOVIN HOXD4 protein OS=Bos taurus OX=9913 GN=HOXD4 PE=2 SV=1
+MAMSSYMVNSKYVDPKFPPCEEYLQGGYLGEQGADYYGGGGSAQGTDFQPPGLYPRPDFG
+EQTFGGGGPGPGPSLPTRGHGPEPGGPGGHYGASGEPCPAPSAPPPAPLPGARACSQPGG
+PKQPPPGTALKQPAVVYPWMKKVHVNSVNPNYTGGEPKRSRTAYTRQQVLELEKEFHFNR
+YLTRRRRIEIAHTLCLSERQIKIWFQNRRMKWKKDHKLPNTKGRSSSSSSSSSCSSSTAP
+GQHLQPLAKDHHTDLTTL
+>tr|A7YWQ2|A7YWQ2_BOVIN Chromosome 15 open reading frame 24 ortholog OS=Bos taurus OX=9913 GN=EMC7 PE=2 SV=1
+MAATLWAFFSVLLLLLSRDAQSSEVSGSTSDGSGGSGVGTGDRFKIEGRAVVPGVKPQDW
+ISAARVLVDGEEHVGFLKTDGSFVVHDIPSGSYVVEVISPAYRFDPVRVDITSKGKMRAR
+YVNYIKTSEVVRLPYPLQMKSSGPPSYFIKRESWGWTDFLMNPMVMMMVLPLLIFVLLPK
+VVNTSDPDMRREMEQSMNMLNSNHELPDVSEFMTRLFSSKSSGKSSSGSSKTGKSGAGKR
+R
+>tr|Q08E54|Q08E54_BOVIN Amyloid beta (A4) protein OS=Bos taurus OX=9913 GN=APP PE=2 SV=1
+MLPALALVLLAAWTARALEVPTDGNAGLLAEPQVAMFCGKLNMHMNVQNGKWESDPSGTK
+TCIGTKEGILQYCQEVYPELQITNVVEANQPVTIQNWCKRGRKQCKTHAHMVIPYRCLVG
+EFVSDALLVPDKCKFLHQERMDVCETHLHWHTVAKETCSEKSTNLHDYGMLLPCGIDKFR
+GVEFVCCPLAEESDNVDSADAEEDDSDVWWGGADTDYADGSEDKVIEVAEEEEVADVEEE
+EADDEEDDEDGEELEEEAEEPYEEATERTTSIATTTTTTTESVEEVVRVPTTAASTPDAV
+DKYLETPGDENEHAHFQKAKERLEAKHRERMSQVMREWEEAERQAKNLPKADKKAVIQHF
+QEKVESLEQEAANERQQLVETHMARVEAMLNDRRRLALENYITALQAVPPRPRHVFNMLK
+KYVRAEQKDRQHTLKHFEHVRMVDPKKAAQIRSQVMTHLRVIYERMNQSLSLLYNVPAVA
+EEIQDEVDELLQKEQNYSDDVLANIISEPRISYGNDALMPSLTETKTTVELLPVNGEFSL
+DDLQPWHPFGVDSVPANTENEVEPVDARPAADRGLTTRPGSGLTNIKTEEISEVKMDAEF
+RHDSGYEVHHQKLVFFAEDVGSNKGAIIGLMVGGVVIATVIVITLVMLKKKQYTSIHHGV
+VEVDAAVTPEERHLSKMQQNGYENPTYKFFEQMQN
+>tr|Q148E5|Q148E5_BOVIN G protein-coupled receptor 172B OS=Bos taurus OX=9913 GN=GPR172B PE=2 SV=1
+MAAPPLGRLVLTHLLVALFGMGSWAAVNGIWVELPVVVKNLPEGWSLPSYLCVLVALGNL
+GLLVVTMWKRLAPGKGERVPIQVVQALSVVGTALLAPLWPQVTTVAGQEHSVAFLALAFV
+LALACCASNVTFLPFLSRLPPPFLRSFFLGQGLSALLPCVLALVQGVGRLECPPTPTNGT
+PGPPLYFPERFPASTFFGILSTLLVISAAAFQGLLLLLPLPPSVPTGGPGPGLRVGTLGA
+EKEEEEEASPLQEPPSPAADATPSPEPVGPRLLSTHGAFLLGLLAVTNALTNGVLPAVQS
+YSCLPYGRLAYHLAVVLGSAANPLACFLAMGVLCRSLAGLGGLSLLGMFFGAYLMALAVL
+SPCPPLVGTSAGVVLVVVSWILCLGVFSYVKVAASSLLHGGGQPALLAAGVAIQVGSLLG
+AVAMFPPTSIYQVFHSGKDCVDPCGP
+>tr|Q08DR7|Q08DR7_BOVIN Vacuolar-sorting protein SNF8 OS=Bos taurus OX=9913 GN=SNF8 PE=2 SV=1
+MHRRGVGAGAIAKKKLAEAKYKERGTVLAEDQLAQMSKQLDMFKTNLEEFASKHKQEIRK
+NPEFRVQFQDMCATIGVDPLASGKGFWSEMLGVGDFYYELGVQIIEVCLALKHRNGGLIT
+LEELHQQVLKGRGKFAQDVSQDDLIRAIKKLKALGTGFSIIPVGGTYLIQSVPAELNMDH
+TVVLQLAEKNGYVTVSAIKASLKWETERARQVLEHLLKEGLAWLDLQAPGEAHYWLPALF
+TDLYSQEITAEEAREALP
+>tr|A4IFN6|A4IFN6_BOVIN Sorting nexin OS=Bos taurus OX=9913 GN=SNX18 PE=1 SV=1
+MALRARALYDFRSENPGEISLREHEVLSLCSEQDIEGWLEGINSRGDRGLFPASYVQVIR
+APEPGPAGDGGPGAPARYANVPPGGFEPLPAAPPTSFKPPPEAFQPLLQPQQAPPVSTFQ
+PTGAGFSYGGSALQPSPQQLYGGGGYQASQGSDDDWDDEWDDSSTVADEPGALGSGAYPD
+LDGSSSGGVGAAGRYRLSTRSDLSLGSRSGSAPPQHHPSGAKSSATVSRNLNRFSTFVKS
+GGEAFVLGEASGFVKDGDKLCVVLGPYGPEWQENPYPFQCTIDDPTKQTKFKGMKSYISY
+KLVPTHTQVPVHRRYKHFDWLYARLAEKFPVISVPHLPEKQATGRFEEDFISKRRKGLIW
+WMNHMASHPVLAQCDVFQHFLTCPSSTDEKAWKQGKRKAEKDEMVGANFFLTLSTPPAAA
+LDLQEVESKIEGFKCFTKKMDDSALQLNHTANEFARKQVTGFKKEYQRVGQSFRGLSQAF
+ELDQQAFSAGLNQAIAFTGDAYDAIGELFAEQPRQDLDPVMDLLALYQGHLANFPDIIHV
+QKGALTKVKESRRHVEEGKMEVQKADGIQDRCNTISFATLAEIHHFHQIRVRDFKSQMQH
+FLQQQIIFFQKVTQKLEEALHKYDSV
+>tr|Q0VCK4|Q0VCK4_BOVIN Stathmin OS=Bos taurus OX=9913 GN=STMN4 PE=2 SV=1
+MTLAAYKEKMKELPLVSLFCSCFLADPLNKSSYKYEADTVDLNWCVISDMEVIELNKCTS
+GQSFEVILKPPSFDGVPEFNASLPRRRDPSLEEIQKKLEAAEERRKYQEAELLKHLAEKR
+EHEREVIQKAIEENNNFIKMAKEKLAQKMESNKENREAHLAAMLERLQEKDKHAEEVRKN
+KELKEEASR
+>tr|Q08D76|Q08D76_BOVIN V-set immunoregulatory receptor OS=Bos taurus OX=9913 GN=VSIR PE=2 SV=1
+MGFPPVPEAGSRRWRPVLLAFFLAASRDLVTAFKIATPYSLYVCPEGQNVTLTCRLLGPL
+AKGHDVTFYKTWYRSSRGEVQVCSERRPIRNLTFQDLHLHHSSHQANTSQDLAQRYGLES
+ASDHHGNFTITMRNLTLLDGGLYCCLVVEIRHHHSEQRLFGAMELQVQRGKETLSKCIAY
+PPSSNESESITAAALATSACIVGILCLPLILLLVYKQRQVASNRRAQELVRMDSNNIQGI
+ENPGFETSPPSHGMLEAKPRQALSYMAQRQPSESGRHLLSEPNTPLSPPGPGEVFFPSLD
+PVPDSPNSEAV
+>tr|A7YWF3|A7YWF3_BOVIN NPHP1 protein OS=Bos taurus OX=9913 GN=NPHP1 PE=1 SV=1
+MLARRQRDPLQSLQRRNQELKQQVDGLLFESRLKGALEASKRRDMYQRCIQLKQAIDENK
+NALQKLKKADEPAPVGNYYQKKEEEHNLLDKLTHQLQELAVSISRENVPETGALAEREEE
+ENSDEEDEEEDDDEEESEESGGEDEETEEEEEEKQEGESQPEATSGEYIALGDFTAQQVG
+DLTFKKGEILCIIKKNSDGWWMAKNAKGNEGLIPKTYLEPYNKEGGQESSEGGSEEDTEV
+GDGTVDGAEVKQRTDSHWSAVRKAISEINTVDVLTAMGAIPAGFRPSTLFQLLEEGNQFR
+ASYFLQPELTPSQLAFRDLMWDAKTGSIRSRPSRVSLILTLWSCKMIPLPGVSIQVLSRH
+VRLCLFDGSEVLSNIHTVRATWQPKKPKTWTFSPQVTGILPCLLDGDCFIRSNSSSPDLG
+ILFELGISYIRNSTGERGELSCGWVFLKLFDATGIPIPAKTYKLFLNGGTPYEKGVEVDP
+SVSRRAHGSVFHQLMTMRRQPQLLVKLRSLNRRSRSTLSLLPETLIGSMCCVHLLIFYRQ
+ILGDVLLKDRMSLNSADLISNPVLATFPKLLEESDIMDALRSSWAEKESTLKRSEKGDRE
+LLKAVFLLVYHDCALPLLHSALLPPSRWAEEETEAARWKGIADFLKQNQENEGALQVLLS
+PEGVHEPFDISEQTYDFLGERRKNVT
+>tr|G3N0Q5|G3N0Q5_BOVIN LY6/PLAUR domain containing 6 OS=Bos taurus OX=9913 GN=LYPD6 PE=4 SV=2
+MEAGPALAWLLLLSLLADCLKAAQSRDFTVKDIIYLHPSTTPYPGGFKCFTCEKAADNYE
+CNRWAPDIYCPRETRYCYTQHTMEVTGNSISVTKRCVPLEDCLSTGCRDSEHEGHKVCTS
+CCEGNICNLPLPRNETDATFATTSPINQTNGHPHCMSVIVSCLWVWLGLTL
+>tr|J9QDM7|J9QDM7_BOVIN Periostin OS=Bos taurus OX=9913 GN=POSTN PE=2 SV=1
+MIPFLPVCSLFLLLVVNPANANGHYDKILAHSRIRGRDQGPNVCALQQILGTKKKYLSTC
+RNWYQGAICGKKTHTCEKDTSQQKSSSI
+>tr|Q3SZ00|Q3SZ00_BOVIN HADHA protein OS=Bos taurus OX=9913 GN=HADHA PE=1 SV=1
+MVASRAIGSLSRFTASRTLRCSGYICRSFTRSSALLTRTHINYGVRGDVAVIRINSPNSK
+VNTLSQELHSEFMEVMNEVWSSSQIRSAVLISTKPGCFIAGADLNMLNSCTTSQEVTQIS
+QEAQKMFEKLEKSTKPVVAAINGSCLGGGLELAISCQYRIATKDKKTVLGSPEVLLGILP
+GAGATQRLPKMVGIPAAFDMMLTGRGIRADRAKKMGLVDQLVEPLGPGVKPPEERTIEYL
+EEVAITFAKGLADKKITPKRDKGLVEKLTSYALSIPFVRQQIYKKVEEKVRKQTKGLYPA
+PLKIIDVVKTGIEQGSNAGYLSESQTFGELAMTKESKALMGLYRGQTQCKKNKFGAPQKE
+VKQLAVLGAGLMGAGIAQVSVDKGLQTILKDTTLPALGRGQQQVFKGLNDKVKKKTLTSF
+ERDAMFSNLIGQLDYRGFEKADMVIEAVFEDLNLKHRVLKEAEAVIPDHCVFASNTSALP
+IGEIATVSKRPEKVIGMHYFSPVDKMQLLEIITTEKTSKDTTASAVDVGLRQGKVIIVVK
+DGPGFYTTRCLTPMMSEVIRILQEGVGPKKLDSLTTSFGFPVGAATLVDEVGVDVAKHVA
+ENLGKEFGERFAGGSLEMLQQMISKGFLGRKSGKGFYIYQEGVKNKNLNSDMDSILASLK
+MPSQPDVSSDEDIQYRLVTRFVNEAILCLQEGVLATPTEGDIGAVFGLGFPPCLGGPFHF
+VDLYGAQKIVDRLRKYEAAYGKQFTPCQLLVDHANNPNKKFYQ
+>tr|A5PJT5|A5PJT5_BOVIN POGK protein OS=Bos taurus OX=9913 GN=POGK PE=2 SV=1
+MASTACPLNLTLKEEEEEEEIQSRELEDGPTDMQKVRICSEGGWVPALFDEVAIYFSDEE
+WEVLTEQQKALYREVMRMNYETVLSLEFPFPKPDMITRLEREEESQNSDEWQLQGGTFAE
+KEESDMKPPDWAGPMNVASQFPQAQHLDGFGLRLPRDITELPEWGEGYPFYMAMGFPGYD
+LSADDLAGKFQFSRGMRRSYDAGFKLMVVEYAESTNNCQAAKQFGVLEKNVRDWRKVKPQ
+LQNAHAMRRAFRGPKNGRFALVDQRVAEYVRYMQAKGDPITREAMQLKALEIAQEMNIPE
+KGFKASLGWCRRMMRRYDLSLRHKVPVPQQLPEDLTEKLVTYQRSVLALRRAHDYQVAQM
+GNADETPICLEVPSRVTVDNQGEKPVLVKTPGREKLKITAMLGVLADGRKLPPYIILRGT
+YIPPGKFPSGMEIRCHRYGWMTEDLMQDWLEVVWRRRTGAVPKQRGLLILNGFRGHATDS
+VKSSMESMNTDMVIIPGGLTSQLQVLDVVVYKPLNDSVRAQYSNWLLAGNLALSPTGNAK
+KPPLGLFLEWVMVAWNNISSESIVQGFKKCHISSNLEDEDDVLWEIESELPGGGEPPKEC
+DTESLTESH
+>tr|Q0VCL2|Q0VCL2_BOVIN STARD3 N-terminal like OS=Bos taurus OX=9913 GN=STARD3NL PE=2 SV=1
+MNPLPEDMENTLTGSQSSHASVRDVHSINPTQLMARIESYEGREKKGISDVRRTFCLFVT
+FDLLFVTLLWIIELNVNGGIENTLEKEVVQYDYYSSYFDIFLLAVFRFKVLILAYAVCRL
+RHWWAIALTTAVTSAFLLAKVILSKLFSQGAFGYVLPIISFILAWIETWFLDFKVLPQEA
+EEENRLLIVQDASERAALIPGGLSDGQFYSPPESEAGSENEAEEKQDSEKPLLEL
+>tr|A6QQT2|A6QQT2_BOVIN ZNF48 protein OS=Bos taurus OX=9913 GN=ZNF48 PE=2 SV=1
+MERAVGPWGSDLRSSEEREQLRGARTGLGSEHAEISQPDEFEHAPQEDDLEFKEEEDLAP
+GHEVGNASLKPEGIQTWDDLWVQREGPGKPQARDRGPRLLGEPRWGQASDRAAVCGECGK
+SFRQMSDLVKHQRTHTGEKPYKCGVCGKGFGDSSARIKHQRTHSGEKPYRARAPAQGPPK
+IPRSRIPAGERPTICGECGKSFRQSSDLVKHQRTHTGEKPYKCGICGKGFGDSSARIKHQ
+RTHRGEQPPRPVVPRRQPSRAATAAAQGPKAQDKPYICTDCGKRFVLSCSLLSHQRSHLG
+PKPFGCDVCGKEFARGSDLVKHLRVHTGEKPYLCPECGKGFADSSARVKHLRTHSGERPH
+ACPECDRTFSLSSTLLRHRLTHMEPQDFSFPGYPLAPLIPSPPPSSSPPPPPLGTSPPLT
+PRSPSHSGDGPFGLPGLEPEPGGPQAGEPPPPLEGDKPHKCPECGKGFRRSSDLMKHHRV
+HTGEKPYLCPECGKGFADSSARVKHLRTHRGERARPPPPSTLLRPHNPPGPAPTAPRSRV
+RAQPSGPSQPHVCGFCGKEFPRSSDLVKHRRTHTGEKPYKCAECGKGFGDSSARIKHQRG
+HLILRPFGTGDGRARPLKEEPPMGLE
+>tr|A0A3Q1LPG8|A0A3Q1LPG8_BOVIN Testis expressed 264 OS=Bos taurus OX=9913 GN=TEX264 PE=4 SV=1
+MSDLLLLGLIGGLTLLLLLTLLAFAGYSGLLAGVTVSAGSPPIRNVTVAYKFHVGPYGET
+GPLFTESCSVSPKLRSIAVYYDNPHMVPPEKCRCAVGSILSEGEESPSPELIRLYQKFGF
+KVFSFPAPSHVVTATFPYTTPLSIWLVPHRVHPALDAYIKERKLCAHPRLEIYQQDQIYF
+MCPLARQGDFYVPEVKETERKSRGPAEANDALVDGTGTGSGVRVGSHLEPPSWTCGPGEG
+ASSLAVWHCRCPDLGLAEVEEGTHLPMCRVACPYSQGSMEAWLHTRYPGNADRDPAPDSL
+HLQMQQANPWKLRSQTAGCSWRGGGHF
+>tr|E3W9A2|E3W9A2_BOVIN CAP-Gly domain containing linker protein 1 OS=Bos taurus OX=9913 GN=CLIP1 PE=1 SV=2
+MSMLKPSGLKAPTKILKPGSTALKTPAAVAAPIEKTISSEKASSTLSSETQEEFVDDFRV
+GERVWVNGNKPGFIQFLGETQFAPGQWAGIVLDEPIGKNDGSVAGVRYFQCELLKGIFTR
+PSKLTRKVQAEDEADGPQTPHASRATSSLSTSGISMASSSPATPSNIPHKSSQPTAKEPS
+ATSQISNLTKTASESISNLSEAGSIKKGERELKIGDRVLVGGTKAGVVRFLGETDFAKGE
+WCGVELDEPLGKNDGAVAGTRYFQCQPKYGLFAPVHKVTKIGFPSTTPAKAKAAAVRRVM
+ATTPASMKRSPSASSLSSMSSVASSVSSKPSRTGLLTETSSRYARKISGTTALQEALKEK
+QQHIEQLLAERDLERAEVAKATSHVGEIEQELALARDGHDQHVLELEAKMDQLRTMVEAA
+DREKVELLNQLEEEKRKVEDLQFRVEEESITKGDLETQTKLEHARIKELEQSLLFEKTKA
+DKLQRELEDTRVATVSEKSRIMELEKDLALRVQEVAELRRRLESNKPAGDVDMSLSLLQE
+ISALQEKLEATHSDHQKEVASLKEHFGAREEMHQKELKVLQAATEKLSKENESLKSKLDH
+ANKENSDVIALWKSKLETAIASHQQAMEELKVSFSKGVGTETAEFAELKTQIEKMRLDYQ
+QEIENLQNKQDSERSAHTKELEALRAKLMQVIKEKENSLEAIQSKLDKAEEQHLVEMEDT
+LNKLQEAELKVKELEVLQAKCNEQTKVIDHFTSQLKAAEEKLSDLDALQKASSEGKLEIE
+NLRQQLEAAEKQIKNLEIEKDAESSKASSITKELQGKELMLNNLQENLSEVSQVKEALEK
+ELQTLKEKFADASEEAVSFQRSMQETVNKLHQKEEQFNALSSELEKLRENLTDMEAKFRE
+RDEREEQLIKAKEKLENDIAAIMKMSGDNSSQLTKMNDELRLKERNVEELQLKLTKANEN
+ASLLQKSIGEVTLKAEQSQKEAAKKHEEEKKELLRKLSDLEKKMEMSWNECQDLKARYEE
+ASSESRAKHEEVLQNLHKLLRDTEERLKAAQEENRELLQKLEELGKQADRARAAQTAEDA
+MQIMEQMTKEKTETLASLEDSKQTNEKLQNELDTLKENNLKNVEELNKSKELLTVENQKI
+EEFKKEIETLKQAAAQKSQQLSALQEENVKLNEELGRSRDEVTSHQKLEEERSVLNNQLL
+EMKKRESKLIKDADEEKASLQKSISITSALLTEKDAELEKLRNEVTALRGENASAKSLHS
+VVQSLESDKVKLELKVKNLELQLKENKRQLSSSSGNTDTQAEEDERAQESQIDFLNSVIV
+DLQRKNQDLKMKVEMMSEAALNGNGDDLNNYDSDDQEKQSKKKPRLFCDICDCFDLHDTE
+DCPTQAQMSEDPPHSTHHGSRSEERPYCDICEMFGHWATNCNDDETF
+>tr|A0A3Q1LTN6|A0A3Q1LTN6_BOVIN LIM and senescent cell antigen-like-containing domain protein OS=Bos taurus OX=9913 GN=LIMS2 PE=4 SV=1
+MSNALANAVCQRCQARFAPAERIVNSNGELYHEHCFVCAQCFRPFPEGLFYEFEGRKYCE
+HDFQMLFAPCCGSCGEFIIGRVIKAMNNNWHPGCFRCELCDVELADLGFVKNAGRHLCRP
+CHNREKAKGLGKYICQRCHLVIDEQPLMFKNDAYHPDHFSCTHCGKELTAEARELKGELY
+CLPCHDKMGVPICGACRRPIEGRVVNALGKQWHVEHFVCAKCEKPFLGHRHYEKKGLAYC
+ETHYNQLFGDVCYTCSHVIEGDVVSALNKAWCVHCFSCSTCNSRLTLKNKFVEFDMKPVC
+KRCYEKFPLELKKRLKKLSELAARRAQPKSSGLHPA
+>tr|A0A3Q1LYP9|A0A3Q1LYP9_BOVIN Transmembrane and coiled-coil domain family 3 OS=Bos taurus OX=9913 GN=TMCC3 PE=4 SV=1
+MNTLSLPLNIRRGGSDTNLNFDVPDGILDFHKVKLNADSLRQKILKVTEQIKIEQTSRDG
+NVAEYLKLVNSADKQQAGRIKQVFEKKNQKSAHSIAQLQKKLDQYHRKLRELEQNGALRS
+AKDTAKDQLKDIPPSLKDAQAKSRTAPHSLESSKSGMPGVSLTPPVFVFNKSREFANLIR
+NKFGSADNIAHLKNSLEEFRPEASARVYGGSATIVNKPKYGSDDECSSGTSGSADSNGNQ
+SFAAGGSGALDSQGKLTAILEELREIKDTQAQLAEDIEALKVQFKREYGFISQTLQEERY
+RCERLEDQLHDLTDLHQHETANLKQELASIEEKVAYQAYERSRDIQEALESCQTRISKLE
+LHQQEQQALQTDTVNAKVLLGKCINVVLAFMTVILVCVSTIAKFISPMMKSRFHILGTFF
+AVTLFAIFCKNWDHILCAIERIIIPR
+>tr|Q32LN4|Q32LN4_BOVIN Cilia and flagella associated protein 45 OS=Bos taurus OX=9913 GN=CFAP45 PE=2 SV=2
+MPLSTAGVLSSASTASNRSRNRPRYRTKALSSEVDESLFGAIKPLTRSDSPIVLLRDKHA
+IRKTLTALGLDHKPETIQLITRDMVRELIIPTKDPSGQSLIMSPEEFERIKWASHVLTKE
+ELEAREQAFKKEKEAIVDTVTTRKKIMKQKEMVWRNNRKLSDLEEVAKERAQNLLQRANQ
+LRMEQEEELKDMKKIILNAKCHAIRDAQILEKQLIQKELDAEEKRLDQMMEVERQKSVQR
+QEELDRKRREERIRGRRHIVEQMEKNQEERSLLAEQREQEKEQMLEYMEKLQEEDLRDLE
+QRHQQKLKMQAEIKRINDENQRQKAELLAQEKLADQMVMEFTKKKMAREAEFEAEQERIR
+REKEKEIARLRAMQEKAQDYQAEQDALRAKRNQEVADREWRRKEKENAQKKMETEAKLRK
+SRLEQVAFKEHTLAVQVQRDRDEFERILRAQREQIEKERLEEEKKATGRLQHANELRRQV
+RENQQKQVQARIATFDEGRRLKEEAQKRRERIEDIKRKKLEELRATGLPEKYCIEAERKA
+NIPVNTSVN
+>tr|A2VDR0|A2VDR0_BOVIN MEOX1 protein OS=Bos taurus OX=9913 GN=MEOX1 PE=2 SV=1
+MDPAASSCMRGPHPPAPVWGCLRNPHSEGTGASGLPHYPPTPFSFHQKPDFPATATAAYP
+DFSASCLAATPHSLPREERGFTEQHPAFPQPPDWHFPVSEARRRLNPGPVGGSKEIGANS
+PGLVDTTGGPGEDYEVLGSTANETEKKSTRRKKENSDNQENRAKPEGASKARKERTAFTK
+EQLRELEAEFAHHNYLTRLRRYEIAVNLDLSERQVKVWFQNRRMKWKRVKGGQPISPHRQ
+DPEDGDSAASPSSE
+>tr|Q3MHW3|Q3MHW3_BOVIN Single-stranded DNA-binding protein 2 OS=Bos taurus OX=9913 GN=SSBP2 PE=2 SV=1
+MYGKGKSNSSAVPSDSQAREKLALYVYEYLLHVGAQKSAQTFLSEIRWEKNITLGEPPGF
+LHSWWCVFWDLYCAAPERRETCEHSSEAKAFHDYSAAAAPSPVLGNIPPGDGMPVGPVPP
+GFFQPFMSPRYPGGPRPPLRIPNQALGGVPGSQPLLPSGMDPTRQQGHPNMGGPMQRMTP
+PRGMVPLGPQNYGGAMRPPLNALGGPGMPGMNMGPGGGRPWPNPTNANSIPYSSASPGNY
+VGPPGGGGPPGTPIMPSPADSTNSGDNMYTLMNAVPPGPNRPNFPMGPGSDGPMGGLGGM
+ESHHMNGSLGSGDMDSISKNSPNNMSLSNQPGTPRDDGEMGGNFLNPFQSESYSPSMTMS
+V
+>tr|Q29ZQ4|Q29ZQ4_BOVIN Myelin-oligodendrocyte glycoprotein OS=Bos taurus OX=9913 GN=MOG PE=2 SV=1
+MASLLSSSLPSCLPSLLFLLLQLTSSSAGQFRVIGPGHPIRALVGDEVELPCRISPGKNA
+TGMEVGWYRPPFSRVVHLYRNGKDQDEEQAPEYRGRTQLLKETIGEGKVTLRIRNVRFSD
+EGGFTCFFRDHSYQEEAAMELKVEDPFYWINPGVLVLIAVLPVLLLQITVGLVFLCLQRR
+LRGKLWAEIENLHRTFGQFLEELRNPF
+>tr|F2X2F3|F2X2F3_BOVIN Insulin-like growth factor I OS=Bos taurus OX=9913 GN=IGF1 PE=2 SV=1
+MVTPTQVKMPITSSSHLFYLALCLLAFTSSATAGPETLCGAELVDALQFVCGDRGFYFNK
+PTGYGSSSRRAPQTGIVDECCFRSCDLRRLEMYCAPLKPAKSARSVRAQRHTDMPKAQKY
+QPPSTNKKMKSQRRRKGGPKKRPGGEQKEGTEASQQMQGKMKEQRRETGARN
+>tr|Q5E970|Q5E970_BOVIN DEAD (Asp-Glu-Ala-Asp) box polypeptide 39 isoform 1 OS=Bos taurus OX=9913 GN=DDX39A PE=2 SV=1
+MAEQDVENELLDYEEDEEPQAPPESAPPPPKKDVKGSYVSIHSSGFRDFLLKPELLRAIV
+DCGFEHPSEVQHECIPQAILGMDILCQAKSGMGKTAVFVLATLQQIEPVNGQVTVLVMCH
+TRELAFQISKEYERFSKYMPSVKVSVFFGGLSIKKDEEVLKRNCPHVVVGTPGRILALVR
+NRSLNLRNVKHFVLDECDKMLEQLDMRRDVQEIFRLTPHEKQCMMFSATLSKEIRPVCRK
+FMQDPMEVFVDDETKLTLHGLQQYYVKLKDSEKNRKLFDLLDVLEFNQVVIFVKSVQRCM
+ALAQLLVEQNFPAIAIHRGMAQEERLSRYQQFKDFQRRILVATNLFGRGMDIERVNIVFN
+YDMPEDSDTYLHRVARAGRFGTKGLAVTFVSDENDAKILNDVQDRFEVNVAELPEEIDIS
+TYIEQSR
+>tr|Q1LZ72|Q1LZ72_BOVIN Canopy 2 homolog (Zebrafish) OS=Bos taurus OX=9913 GN=CNPY2 PE=2 SV=1
+MKGWGWLALLLGALLGTTWARRSQDLHCGACRALVDELEWEIAQVDPKKTIQMGSFRINP
+DGSQSVVEVPYARSEAHLTELLEEVCDRMKEYGEQIDPSTHRKNYVRVVGRNGESSELDL
+QGIRIDSDISGTLKFACESIVEEYEDELIEFFSREADNVKDKLCSKRTDLCDHALHISHD
+EL
+>tr|F1MET4|F1MET4_BOVIN Multiple EGF like domains 10 OS=Bos taurus OX=9913 GN=MEGF10 PE=4 SV=2
+MVISSNSCPGFICLLLCHWIRTASSLNLEDPNVCSHWESYSVTVQESYPHPFDQIYYTSC
+TDILNWFKCTRHRISYRTAYRHGEKTMYRRKSQCCPGFYESREMCVPHCADKCVHGRCIA
+PNTCQCEPGWGGTNCSSACDGDHWGPHCSSRCQCKNGALCNPITGACHCAAGFRGWRCEE
+RCEQGTYGNDCHQRCQCQNGATCDHVTGECRCPPGYTGAFCEDLCPPGKHGPQCEQRCPC
+QNGGVCHHVTGECSCPPGWLGTVCGQPCPEGRFGKNCSQECQCHNGGTCDAATGQCHCSP
+GYTGERCQDECPVGTYGVHCAETCQCINGGKCYHVSGSCLCEAGFSGDRCEARLCPEGLY
+GIRCDKRCPCHVDNTLSCHPMSGECACKPGWSGLYCNETCSPGFYGEACQQICSCQNGAD
+CDSVTGKCICAPGFKGIDCSIPCPLGTYGINCSSLCSCKNDAVCSPVDGSCTCNAGWHGV
+DCSISCPSGTWGFGCNLTCQCLNGGACNTLDGTCTCAPGWRGEKCEFPCQDGTYGLNCAE
+RCDCSHADGCHSTTGHCRCLPGWSGVHCDSVCAEGRWGPNCSLPCYCKNGASCSPDDGIC
+ECAPGFRGTTCQRICSPGFYGHRCSQTCPQCVHSSGPCHHITGLCDCLPGFTGALCNEVC
+PSGRFGKNCAGICTCTNNGTCNPIDRSCQCYPGWIGSDCSQPCPPAHWGPNCIHTCNCHN
+GAFCSAYDGECKCTPGWTGLYCTQRCPLGFYGKDCALVCQCQNGADCDHISGQCTCRTGF
+MGKHCEQKCPAGTYGYGCRQICDCLNNSTCDHITGTCYCSPGWKGARCDQAGVIIVGNLN
+SLSRTSTALPADSYQIGAIAGIIILVLVVLFLLALFIIYRHKQKGKESSMPAVTYTPAMR
+VMNADYAISETLPHGNGGNANSHYFTNPSYHTLTQCATSPHVNNRDRIAKSKNNQLFVNL
+KNVNPGKRGPVVDYTGTLPADWKHGGYLNELGAFGLDRSYMGKSLKDLGKHSEYNSSNCS
+LSSSENPYATIKDPPVLIPKHSECGYVEMKSPARRDSPYAEINNSASANKNVYEVEPTVS
+VVQGIFSNNGHRTQDPYDLPKNSHIPCHYDLLPVRDSSSSPKQEDGGSSSSSSSSE
+>tr|Q2KIX9|Q2KIX9_BOVIN Chromosome X open reading frame 41 ortholog OS=Bos taurus OX=9913 GN=PIH1D3 PE=2 SV=1
+MESENAENENMETENMDFETISSVSALQALSKLLYPEEDDFESGQSSAMGAMGPGNIGPP
+KIEENTVIPQTSSENCEEIWNPEEVSEGAEHHDVCDVRDTPEYEIVYKQQVGTEDMFLGL
+TRKDASTACCKDLVVKIKLPNTNPSDIKVDIQETILDLRTPNKKLLLTLPHPVDCSSANA
+FYILDVETLEVTMTMKRELDFINFL
+>tr|A6QPK4|A6QPK4_BOVIN PEX26 protein OS=Bos taurus OX=9913 GN=PEX26 PE=2 SV=1
+MKSDPATSAAPLRALGGALRSSEPVRAVPAPSAAALLLEEAADLLVVNLDFGAALRTCER
+AWQGLAEEPAGASLEVKCSLCVVGIQALAEMDRWREVLSWVLQYYQAPEKLPPKVLELCV
+LLYSKMQEPGAVLDGVRAWLQDPDNQGLPEYRSLAELHLQRVLLPLGLLSEAEELVVGSA
+AFSEKQRLDALQAVSAARQQQTHGHSGSEETRTLNQEGSSSHKFLSLLTLLHQLWDSAVS
+HFFSLPFSKSLLAALLLCLLVLRFDPGE
+>tr|Q08DV4|Q08DV4_BOVIN Dual adaptor of phosphotyrosine and 3-phosphoinositides OS=Bos taurus OX=9913 GN=DAPP1 PE=2 SV=1
+MGRAESLEGKMSTQDPSDLWSRSDGEAELLQDLGWYHGNLTRHAAEALLLSNGCDGSYLL
+RDSNERIGLYSLSVRAKDSVKHFHVEYTGYSFKFGFNEFSSLRDFVKHFANQPLIGSETG
+TLIVLKHPYPKKVEEPSIYESVRVHTAMQTGRSENDLVPTAPSLGTKEGYLTKQGGLVKT
+WKTRWFTLHRNELKYFKDQMSPEPIRILDLTECSAVQFDYSQERVNCFCLVFPFRTFYLC
+AKTGVEADEWIKILRWKLSQIRKQLDQGDGTVRSRSFIFQ
+>tr|F1MG91|F1MG91_BOVIN SERPIN domain-containing protein OS=Bos taurus OX=9913 GN=LOC281376 PE=3 SV=1
+MEELNVANTIFALNFFKHLANTSANTQNIFFCPWSISSTVAMVYLGARGNTADQIAQVLQ
+FNQVGVHKGTPVTPQSLTSCDFTQQIQRDTFPDAILQAQAAGKIHSSFRSLSNAINASTG
+EYLLESANMLFGEKSARFKEEYMQLSKKYYSTEPQAVDFLERAEDTRKKINSWVKTQTKG
+KIPNLLPEGSVDVDTKMVLVNAVYFKGRWKTPFQKKLKGLYPFRVNSTQRKSVEMMFLNE
+KLNIGYIADLKVQILELPYAGDVSMFLLLPDGIAESSTGLEMLESEITYDKLNKWLSKDT
+MAEDDVVVYIPEFKLEEHYELKTILTSMGMGDAFSQGRANFSGMSGKNDLFLSEVFHQAS
+VEVNEEGTEAAAGTGAIMTGRTGHGGPQFVADHPFLFFIMHKITKSILFWGRFASP
+>tr|E1BF11|E1BF11_BOVIN Adiponectin O OS=Bos taurus OX=9913 GN=C1QTNF8 PE=4 SV=1
+MVAPALLLLLALPAGAWRGLGLPRRPCVQCCHPAWPPAAPGPGAHVSDGDAWAGLPRLRP
+TIDISILKGEKGEAGVRGRSGRSGKEGPPGSRGLRGRKGQKGQAGLPGAQCPRAYAAFSV
+GRREGLHSADALQAVTFDTELVNLDGAFDLASGRFFCTAPGVYFLSLNVHTWNYKETYLH
+IMRNARAAAVLYAQPSERSVMQAQSLLLPLAAGDAVWVRMFQRDRDNAIFGESGDLYITF
+SGHLVKPDAEL
+>tr|A4IFF5|A4IFF5_BOVIN C3AR1 protein OS=Bos taurus OX=9913 GN=C3AR1 PE=2 SV=1
+MESFSAETNSTDLHSQPWDEPHIILSMVILSITFLLGLPGNGLVLWVAGLKMQRTVNTVW
+FLHLTLADFICCLSLPFSLVHLVLQGHWPYGWFLCKLIPSIIILNMFASVFLLTTISLDR
+CLLVLRPIWCQNHRNVGTACTICGCIWVVALVMCIPVFVYRETFTIDNQSMCSYNFGHYG
+SLDYPDFTYDLLENGSFDNYPPGEMDDRLDSFSSQTKDRPWAATTGLHSQEFQRTSRDSL
+PMNSARLSVQQPHYDLFQSADKVSATLSSDFPIEDHRTHPLENSNSFLPADLEFFSNASS
+DLLYTSELSQGFQDDYFGQFAYDHQVPTPQVAITISRLVVGFLLPFIIMVACYSLIILKM
+RRSRFTKSRSKLLRVAMVVVVVFLVCWAPYHIVGVLLLFTDPDTPSGESLLSWDHVSLAL
+ASANSCFNPFLYALLGKDFRRKARQSMQGILEAAFSEDVTHSTSCPQNKTSFERNSISTV
+V
+>tr|A5PJV7|A5PJV7_BOVIN NGFR protein OS=Bos taurus OX=9913 GN=NGFR PE=2 SV=1
+MGSGAAGRAMDGPRLLLLLLLLLGVSLGGAKEACLTGLYTHSGECCKACNLGEGVAQPCG
+ANQTVCEPCLDSVTFSDVVSATEPCKPCTECVGLQSMSAPCVEADDAVCRCAYGYYQDET
+TGRCEACRVCEAGSGLVFSCQDKQNTVCEECPDGTYSDEANHVDPCLPCTVCEDTERQLR
+ECTRWADAECEEIPGRWITRATPPEGSDSTDPSTQEPEVPPEQDLVTSTVSDVVTTVMGS
+SQPVVTRGTADNLIPVYCSILAAVVVGLVAYIAFKRWNSCKQNKQGANSRPVNQTPPPEG
+EKLHSDSGISVDSQSLHDQQPHTQTAAGQALKGDGGLYSSLPLAKREEVEKLLNGSAGDT
+WRHLAGELGYQPEHIDSFTHEACPARALLASWAAQDSATLDTLLAALRRIQRADIVESLC
+SESTATSPV
+>tr|A7MB38|A7MB38_BOVIN SFRS4 protein OS=Bos taurus OX=9913 GN=SRSF4 PE=2 SV=1
+MPRVYIGRLSYQARERDVERFFKGYGKILEVDLKNGYGFVEFDDLRDADDAVYELNGKDL
+CGERVIVEHARGPRRDGSYGSGRSGYGYRRSGRDKYGPPTRTEYRLIVENLSSRCSWQDL
+KDYMRQAGEVTYADAHKGRKNEGVIEFVSYSDMKRALEKLDGTEVNGRKIRLVEDKPGSR
+RRRSYSRSRSHSRSRSRSRHSRKSRSRSGSSKSSHSKSRSRSRSGSRSRSKSRSRSQSRS
+RSKKEKSRSPSKDKSRSRSRSADKRRSKSKDPAEEKVQNSDRTGKSKSRSPSRHKSKSRS
+RSQERGAEEARGSASRSRSKEKSLRKSRSRSQGGSRSRSRSKSKDKRKGRKRSREESRSR
+SRSRSKSERSRKRGGKRDSKSGSGGGSGSSKKKKKDDADRSQSRSRSRSGSKERERAKSE
+SGQREGRGEGEDAGANQETRSRSRSNSKSKPNLPSESRSRSKSASKTRSRSKSRSRSGSR
+SPSRSRSRSHSRS
+>tr|G3XGD3|G3XGD3_BOVIN Fibroblast growth factor OS=Bos taurus OX=9913 GN=Fgf4 PE=3 SV=1
+MAGPGAAAAALLPAVLLAVLAPWAGRGGAAAPTAPNGTLEAELERRWESLVARSLARLPV
+AAQPKEAAVQSGAGDYLLGIKRLRRLYCNVGIGFHLQVLPDGRIGGVHADTSDSLLELSP
+VERGVVSIFGVASRFFVAMSSRGRLYGSPFFTDECRFREILLPNNYNAYECDRHPGMFIA
+LSKNGKAKKGNRVSPTMKVTHFLPRL
+>tr|Q17QS8|Q17QS8_BOVIN Delta(14)-sterol reductase OS=Bos taurus OX=9913 GN=TM7SF2 PE=2 SV=1
+MAPPQGSRAPLEFGGPLGAAALMLLLPVTMFHLLLVARSGPARLLGPPPYLPGLEELWSP
+WALLLCLTWLGLQAALYLLPARKVAEGQELKDKSRLRYPINGFQALVLTALLVGLGVSAG
+LPLSALPEMLLPLAFAATLTAFIFSLLLYLKALLAPASALAPGGNSGNLIYDFFLGRELN
+PRICSFDFKYFCELRPGLIGWVLINLALLIQEAELRGSPSLAMWLVNGFQLLYVGDALWY
+EEAVLTTMDIIHDGFGFMLAFGDLAWVPFTYSLQAQFLLYHPQPLGWPLASFICLINAVG
+YYIFRGANSQKNTFRKNPSDPRVADLETISTATGRRLLVSGWWGMVRHPNYLGDLIMALA
+WSLPCGVFHLLPYFYFLYFTALLVHREDRDERQCRQKYGLAWHEYCRRVPYRIVPYIY
+>tr|Q3ZBZ7|Q3ZBZ7_BOVIN Coiled-coil domain containing 109B OS=Bos taurus OX=9913 GN=MCUB PE=2 SV=1
+MMLRRGPWPWRARLLPTPGTQGHAHPRPPMPQVLCVKLCGNLKFYQSNLYSTLVPSDEIT
+VSYKHGLPLITLTLPSRKERCQFVVKPMLSTVGSFLQDLQNEDKGIRTAAIFTADGTEIA
+ASTLMEILLKNDFKLVIDKITYDVQCPMKENLSSEQATEMENMKSLVYRLFTALHLEEFQ
+KKREHHLLEKIDHLKGQLQPLEQMKARIEARSEAKISGLLWAGLAMLSVQGGAMAWLTWW
+VYSWDIMEPVTYFITFANSMVFFAYFIVTRQDYTYSAVKSRQFLHFFHKRSKQQHFDVEQ
+YNKLKDDLAKATEALRHVRRSLYLRMQVEELDERK
+>tr|F1MXW5|F1MXW5_BOVIN 3-oxoacyl-[acyl-carrier-protein] synthase, mitochondrial OS=Bos taurus OX=9913 GN=OXSM PE=3 SV=1
+MLSDGLQIFLRITKCHLIHARSCQRLVNERRFLATAPAPGLRRRVVITGIGLVTPLGVGT
+QLVWDRLVRGESGIVSLVGDEYQSIPCSVAAYVPRGCDEGQFNEQNFVPKSDTKSMSPPT
+VMAIAAAELALKDAGWHPQSEADQAATGVAIGMGMVPLEVISETALTFQTKGYSKVSPFF
+VPKILVNMASGQVSIRHKLKGPNHAVSTACTTGAHAVGDSFRFVAHGDADVMVAGGTDSC
+ISPLSLAGFARARALSTNTDPKSACRPFHPQRDGFVMGEGAAVLVLEEHRHALRRGARVY
+AEIVGYGLSGDAGHITAPDPGGEGAFRCMAAAVKDAGIQPEEVSYINAHATSTPLGDAAE
+NKAIKQLFKDHAHVLAVSSTKGATGHLLGAAGAAEAAFTALACYHRKLPPTLNLDCTEPH
+FDLNYVPLKAQEWKAENRRIALTNSFGFGGTNATLCIAGM
+>tr|E1BBC3|E1BBC3_BOVIN Chromosome 25 C16orf72 homolog OS=Bos taurus OX=9913 GN=C25H16orf72 PE=4 SV=1
+MEERKEEGEAEIQEHGPEHWFSKWERQCLAEAEQDEQLPPELQEEAAAAAQPEHKQQKLW
+HLFQNSATAVAQLYKDRVCQQPGLSLWVPFQNAATAVTNLYKESVDTHQRSFDIGIQIGY
+QRRNKDVLAWVKKRRRTIRREDLISFLCGKVPPPRNSRAPPRLTVVSPNRATSTETSSSV
+ETDLQPFREAIALHGLSGAMASISVRSSTPGSPTHVSSGSNASRRRNGLHDVDLNTFISE
+EMALHLDNGGTRKRTSAQCGDVITDSPTHKRNRMI
+>tr|Q2KI86|Q2KI86_BOVIN Replication protein A2 OS=Bos taurus OX=9913 GN=RPA2 PE=2 SV=1
+MWNSGFESYSTSSFGGAGGYTQSPGGFGSPTASQAEKKSRARAQHIVPCTISQLLSATLV
+DEVFRIGNVEISQVTIVGIIRNAEKAATNIVYKIDDMTAAPMDVRQWVDTDDASSENTVV
+PPETYVKVAGHLRSFQNKKSLVAFKIIPLEDMNEFTTHILEVVNAHMMLSKSNSQPSAGR
+APISNPGMGEAGNFGGNNFIPANGLTVAQNQVLNLIKACPRPEGLNFQDLKNQLQHMSVA
+SIKLAVDFLSNEGHIYSTVDDDHFKSTDAE
+>tr|A6QNP0|A6QNP0_BOVIN IL20RB protein OS=Bos taurus OX=9913 GN=IL20RB PE=2 SV=1
+MVLEEIKTSLIMWFSYALIPCLFVDGVAVLPAPQNLSVQSTNMKHVLTWSPVTVPEEIVH
+YSVEYQGEYESLYTSHIWIPSIWCSPTQRPECDITEDITATVSYSLRVRATLGSLTSTWS
+TLKHRFNQNSTILTPPGMEITMDGFHLLIKLEDLGPHFEFFVSYWRREPGAKEHIKVVRP
+GVLPVYLETVEPGAAYCVKARTFVKAIGRHSAFSPTECVKVQGEALPLALALFAFVGFML
+ILVVALLSVWKMGRLLRHSCCPVVMLPDTLKITNSPQKLISCRKEEVEACVTSGLSSEEL
+LKAWI
+>tr|F6PSM6|F6PSM6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=ISG12(B) PE=4 SV=1
+MSARAIESGEGDALGTLLPELLSEEEEEPFQSTNQDSYGSSPGKAPQGPHQGPDDFGSDP
+RDPPNFYRYAGMTAAAVIGGVMAVRAVPELLDTVGFTRKGISPSSLAAKMMSSTARANGG
+GVPSGSLVSNLQSKGATGLSTPSNILLGSAGALLGALLWGSYSSSRRTQY
+>tr|Q29RH9|Q29RH9_BOVIN Transmembrane protein 109 OS=Bos taurus OX=9913 GN=TMEM109 PE=1 SV=1
+MAGLGSGSPWGKYLFKVVLMVLMALLLLHSASSQSHRDFVTPGQQKREAPVDLLSQIGSS
+VRGTLDTWIGAETMHLVSETLAQIMWAISSAISVAFFALSGIAAQLLNALGLEGDHLTQG
+LKLSPGQVQTFLLWGAGALVAYWLLSVLLGLVLALLGRILWGLKLALVLAAFVALVRSVP
+DPSTRALLLLALLTLYALLSRLTGTRASGAQLEAKVRGLERQVEELRWRQRRAVKGPRNV
+EEE
+>tr|Q0VC67|Q0VC67_BOVIN Required for meiotic nuclear division 5 homolog B OS=Bos taurus OX=9913 GN=RMND5B PE=2 SV=1
+MEQCASVEREVDKVLQKFLTYGQHCEQSLEELLHYVGQLRAELASTAVQGTPLSATLSLV
+MSQCCRKIKDTVQKLASDHKDIHSSVSRVGKAIDRNFDSEICGVVSDAVWDSREKQQQTL
+QMAILEHLYQQGMLSVAEELCQESTLNVDLDFKQPFLELNRILEALHEQDLGPALEWAVS
+HRQRLLELNSSLEFKLHRLQFIRLLAGGPEKQLEALSYARHFQPFAHVHQREIQVMMGSL
+VYLQLGLEKSPYCHLLDNSHWAEICETFTRDACSLLGLSVESPLSVSFASGCVALPVLMN
+IKAVMEQRQCSGVWSHKDELPIEIELGMKCWYHSVFACPILRQQTSDSNPPIKLICGHVI
+SRDALNKLINGGKLKCPYCPMEQNPADGKRIIF
+>tr|Q08D90|Q08D90_BOVIN Kallikrein-related peptidase 7 OS=Bos taurus OX=9913 GN=KLK7 PE=2 SV=1
+MTTPLVILLLTFALGSVAQEDQGNKSGEKIIDGVPCPRGSQPWQVALLKGSQLHCGGVLL
+NEQWVLTAAHCKMNEYNVHMGSVRLVGGQKIKATRSFRHPGYSTQTHANDLMLVKLNGRA
+KLSSSVKKVNLPSHCDPPGTMCTVSGWGTTTSPDVTFPGQLMCTDVKLISPQDCRKVYKD
+LLGDSMLCAGIPNSRTNACNGDSGGPLMCKGTLQGVVSWGSFPCGQPNDPGVYTQVCKYV
+NWIKETMRRYR
+>tr|A0A3Q1M3C8|A0A3Q1M3C8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=H1FNT PE=4 SV=1
+LAHTWVSEVQSQWPRGSGSGAMAEGVEPMDESQGTEVKTQQPTERGLAGPLRQSSCSVLK
+VSQLLLRAIASHKRLTLAALKKELGNAGYEVRRKCGRLSGERSGSEGKGLHLRVTGSEAA
+GYFRIWKIPKPKRKPGRPRLEEGGRSPGTPLGARNSRRRSARRTVARKVRRGRSRADSRK
+VRSRAKDLVSSRTKEEGRAKKEDIRPRSRNAKRPSSKAREEKKQDPGRPVKRTVQRPTAK
+TCDSRATRTKTSAEAEGARSATGSP
+>tr|Q0VCG4|Q0VCG4_BOVIN Monocyte to macrophage differentiation-associated 2 OS=Bos taurus OX=9913 GN=MMD2 PE=2 SV=1
+MFAPRLLDFQKTKYARFMNHRVPAHKRYQPTEYEHAANCATHALWIIPSILGSSNLYFLS
+DDDWEAISAWIYGLGLCGLFVVSTVFHTISWKKSHLRTVEHCLHMSDRMVIYFFIAASYA
+PWLNLRELGPWASHMRWLVWIMASVGTVYVFFFHERYKLVELLCYVIMGFFPALVVLSMV
+QVQERGLGSRACLGTSPKMLPGNIKSRLMLRVQLQYFGHLM
+>tr|Q5EA29|Q5EA29_BOVIN Bone marrow stromal cell-derived ubiquitin-like protein OS=Bos taurus OX=9913 GN=UBL7 PE=2 SV=1
+MSLSDWHLAVKLADQPLAPKSILRLPETELGEYSLGGYSISFLKQLIAGKLQESVPDPEL
+IDLIYCGRKLKDDQTLDFYGIQPGSTVHVLRKSWPEPDQKPEPVDKVAALREFRVLHTAL
+HSSSSYREAVFKMLSNKESLDQIIVATPGLSSDPIALGVLQDKDLFSVFADPNMLDTLVP
+AHPALVNAIVLVLHSVAGSTPMPGADSSSRGMPSSAYRDMPGGFLFEGLSDDEDDFHPSA
+RSTPSSSTPSSRPASLGYSGAAGPRPITQSELATALALASTPESSSHTPTPGTQGHSSGT
+SPMSSSVQSGTPITNDLFSQALQHALQASGQPSLQSQWQPQLQQLRDMGIQDDELSLRAL
+QATGGDIQAALELIFAGGAP
+>tr|A6QLP4|A6QLP4_BOVIN A-kinase anchoring protein 7 OS=Bos taurus OX=9913 GN=AKAP7 PE=2 SV=1
+MSEEFEANTMDFLVDLPFATIDIKDDSEITDVPKVNLERSKENECNNKEQIKKRKKKRKD
+YQPNYFLSIPITNKEITKGIKILQNAIIRQDEQLARAMSSDGSFHITLLVMQLLNEDDVN
+VGIDALLELKPFVEEILQGKPLTLPFEGVDTFGNQVGFVKLAEGDHINSLLEIADAAKRT
+FQEKGILAGESRTFKPHLTFMKLSRLPWLRKKGVKKIDPKLYEKFIGHRFGEEVVHCIDL
+CSMLKKKQSNGYYHCESSIVIGPKPIGIQDLINEALRRERMGLQSKVKEIKALLLKPEIQ
+AKIRRELFEGRFINNSNQGNDVDFSATLT
+>tr|F1MXA4|F1MXA4_BOVIN Adducin 2 OS=Bos taurus OX=9913 GN=ADD2 PE=4 SV=1
+MSEETVPEAASPPPAQGQQYFDRFSEDDPEYLRLRSRAADLRQDFNLMEQKKRVTMILQS
+PSFREELEGLIQEQMKKGNNSSNIWALRQIADFMASTSHAVFPTSSMNFSMMTPINDLHT
+ADSLNLAKGERLMRCKISSVYRLLDLYGWAQLSDTYVTLRVSKEQDHFLISPKGVSCSEV
+TASSLIKVNILGEVVEKGSSCFPVDTTGFCLHSAIYAARPDVRCIIHLHTPATAAVSAMK
+WGLLPVSHDALLVGDMAYYDFNGEMEQEADRINLQKCLGPTCKILVLRNHGVVALGDTVE
+EAFYKIFHLQAACEIQVSALSSAGGVENLILLEQEKQRPHEVGSVQWAGGTFGPMRKSRL
+GEHEFEALMRMLDNLGYRTGYTYRYPFVQEKTKHKSEVEIPATVTAFVFEEDGAPVPALR
+QYAQKQQKEKTRWLNTPNTYLRVNVADEVQRSMGSPRPKTTWMKADEVEKSSSGMPIRIE
+NPNQFVPLYTDPQEVLDMRNKIREQNRQDVKSAGPQSQLLASVIAEKSRSPSTDSQLMSQ
+GQADAKDESEEMAPNPFSQLTDQELEEYKKEVERKKLELEGEKEPVPEEPGSPVKSAPAS
+PAQSPAKSEPKSPVGSPSKSVDEEAKLTETSEVTTEPDVTQPEGVVVNGREDEQTVEDTL
+SKGLSQMTTSADTDVDTSKDKTESVTSGPMSPEGSPSKSPSKKKKKFRTPSFLKKSKKKE
+KVES
+>tr|F1MC25|F1MC25_BOVIN LIM homeobox transcription factor 1 alpha OS=Bos taurus OX=9913 GN=LMX1A PE=4 SV=2
+MLDGLKMEENFQSAIETSASFSSLLGRAVSPKSVCEGCQRVISDRFLLRLNDSFWHEQCV
+QCASCKEPLETTCFYRDKKLYCKYDYEKLFAVKCGGCFEAIAPNEFVMRAQKSVYHLSCF
+CCCVCERQLQKGDEFVLKEGQLLCKGDYEKERELLSLVSPAASDSGKSDDEESLCKSAHG
+AGKGASEDSKDHKRPKRPRTILTTQQRRAFKASFEVSSKPCRKVRETLAAETGLSVRVVQ
+VWFQNQRAKMKKLARRQQQQQQDQQNTQRLSSAQTNGGGSAGMEGIMNPYTALPTSQQLL
+AIEQSVYSSDPFRQGLTPPQMPGDHMHPYGAEPLFHELDSEDTSLSNLGDCFLATSEAGP
+LQARVGNPIDHLYSMQNSYFTS
+>tr|Q2KJ54|Q2KJ54_BOVIN Serine peptidase inhibitor, Kunitz type 2 OS=Bos taurus OX=9913 GN=SPINT2 PE=2 SV=1
+MAQLSEPRRCGVLLALLASLLLSGAEAADEERGVHDFCHLPKKVGRCRASFPRWWYNVTD
+GSCQQFVYGGCDRNDNNYMTKEECLAKCAGVTENTIDERTRDKADSSVPSVPRRQDSDGL
+SGDIFSYEEHCIAKAVTGPCRAAFPRWYFNAEENSCDNFIYGGCRGNKNNYRSKEECMQQ
+CFGKQLYPALPLGSKVVVLVGLFVMVLILLLGASVVCLIRVARRNQERTLRTVWSSGDDK
+EQLVKNSYVL
+>tr|B7U1D5|B7U1D5_BOVIN Major histocompatibility complex class I-related gene protein OS=Bos taurus OX=9913 GN=MR1 PE=2 SV=1
+MMLLLPLIIVLMMKLSDARFHTYQRMIGCELLEDGSITGFLQYAYDGQDFLIFNKDTLSW
+MAMDNVADIIRRVWEANRHELQYQKNWLEEECIAWLKRFLEYGKDALQRTEPPKVRVNHK
+ETFPGITTLYCRAYGFYPPEISINWMKNGEEIFQDTDYGGILPSGDGTYQTWVSVELDPQ
+NGDIYSCHVEHGGVHMVLQGFQESETILLVVKAVGFIVLAIALAGVGILAWRKRPRGKNK
+VICLSTPEH
+>tr|A7LM96|A7LM96_BOVIN Beta-defensin OS=Bos taurus OX=9913 GN=DEFB119 PE=2 SV=1
+MKFLFLFLAILLAMEPVVSEEECWMKGKCRLVCKNDEDSVTRCSNHKRCCILSRYLTIVP
+MTIDRILPWTTPQVKQEGDS
+>tr|A7YY48|A7YY48_BOVIN alpha-1,2-Mannosidase OS=Bos taurus OX=9913 GN=EDEM1 PE=2 SV=1
+MQWRALVLGLVLLRLGLHGVLWLVFGLGPSMGFYQRFPLSFGFQRLRGPDGSASPASGPA
+DRPGGLSGPSWLQPPAPGAAEGKRRRAPRRPGQGVCGPAHWGYVLGGRGRGRDEYEKRYS
+SAFPPQLRAQMRDLARGMFVFGYDNYMAHAFPQDELNPIHCRGRGPDRGDPSNLNINDVL
+GNYSLTLVDALDTLAIMGNSSEFQKAVKLVINTVSFDKDSTVQVFEATIRVLGSLLSAHR
+IITDSKQPFGDMTIKDYDNELLHMAHDLAVRLLPAFENTKTGIPYPRVNLKTGVPPDSNN
+ETCTAGAGSLLVEFGILSRLLGDSTFEWVARRAVKALWSLRSSDTGLLGNVVNIQTGHWV
+GKQSGLGAGLDSFYEYLLKSYILFGEKEDLEMFNAAYQSIQNYLRRGREACNEGEGDPPL
+YVNVNMFSGQLMNTWIDSLQAFFPGLQVLIGDVEDAICLHAFYYAIWKRYGALPERYNWQ
+LQAPDVLFYPLRPELVESTYLLYQATKNPFYLHVGMDILQSLEKYTKVKCGYATLHHVID
+KSKEDRMESFFLSETCKYLYLLFDEENPVHKSGTRYMFTTEGHIISVDEHLRESPWKEFF
+SEEGGPDQVGKSGHRPKPQELNVINSSSNCNRVPDERRYALPLKSIYMRQIDQMVGLI
+>tr|Q3T0S7|Q3T0S7_BOVIN Chromosome 14 open reading frame 166 ortholog OS=Bos taurus OX=9913 GN=RTRAF PE=2 SV=1
+MFRRKLTALDYHNPAGFNCKDETEFRNFIVWLEDQKIRHYKIEDRGNLRNIHSSDWPKFF
+EKYLRDVNCPFKIQDRQEAIDWLLGLAVRLEYGDNAEKYNKDLVPDNTKNADNAAKNAEP
+LINLDVNNPDFKAGVMALANLLQIQRHDDYLVMLKAIRILVQERLTQDAVAKANQMKEGL
+PVALDKHILGFDTGDAVLNEAAQILRLLHIEELRELQTKINEAIVAVQAIIADPKTDHRL
+GKVGR
+>tr|Q2KHW4|Q2KHW4_BOVIN Solute carrier family 25 (Mitochondrial carrier; ornithine transporter) member 15 OS=Bos taurus OX=9913 GN=SLC25A15 PE=2 SV=1
+MKSNPAIQAAIDLTAGAAGGTACVLTGQPFDTMKVKMQTFPDLYRGLTDCCLKTYSQVGF
+RGFYKGTSPALIANIAENSVLFMCYGFCQQVVRKVVGLDRQAKLSDLQNAAAGSFASAFA
+ALVLCPTELVKCRLQTMYEMETSGKIAKSQNTVWSVVKTVFRKDGPLGFYHGLSSTLLRE
+VPGYFFFFGGYELSRSFFASGRSKDELGPVPLMLSGGFGGICLWLAVYPVDCIKSRIQVL
+SMSGKQAGFIGTFISIVKNEGVPALYSGLKPTLIRAFPANGALFLAYEYSRKLMMNQFEA
+Y
+>tr|G3MZU4|G3MZU4_BOVIN Myosin XIX OS=Bos taurus OX=9913 GN=MYO19 PE=3 SV=1
+MLQQVNGHGSGSAAHSGESLREDLKELLGGEVPPQQLNDLTKVNPVTLETVLRCLQARYM
+ADTFYTNAGCTLVAMNPFKPVPQLYSPELMREYHAASQPQTLKPHIFTVGEQTYRNVKSL
+IEPVNQSVVVSGESGAGKTWTSRCLMKFYAVVAASPMSWESHKVAERIEQRILNSNPVME
+AFGNACTLRNSNSSRFGKFIQLQLNGAQQMTGAAVQTYLLEKTRVACQAPSERNFHIFYQ
+IYKGAHAEERVRWRLPEGAAFSWLPHPERTLEEDCFEVTREAMLHLGIDAPTQNNIFQAL
+AGLLHLGNTRFADSGNEAQPCPLVDDAQCSVGTSALLLGLPEDHLLETLQIRTIRAGRGQ
+QVFQKPCSQAECNTRRDCLAKLVYARLFDWLVSVINSSICAAPDSWTTFIGLLDVYGFES
+FPNNSLEQLCINYANEKLQQHFVAHYLRAQQEEYAMEGLAWSFVSYQDNQPCLDLIEGSP
+VSICSLINEECRLNRPSSAAQLQTRIESALTGHPRLGRDRLSPEPSFIVLHYAGPVRYRT
+AGLVEKNKDPVPPELTSLLQQSQDPLLKVLFPADPEDKSPEEPSGQNRAPVLTVVSKFKA
+SLEQLLQVLHGTTPHYIRCIKPNSQAQAQIFHREEVLSQLEACGLVETIHISAAGFPIRV
+SHRNFMERYQLLRRLRPAITPSPHGPCPDGGRSECPPCTEPATLQGLLQEILHTLPAPLH
+CGRTKVFMTDSTLELLERGRAQVLEQCARHIQRGWRRHRCRTQARRRRAAVLIQAAVRSW
+LTRKHIQQLHAAATVIKRAWREWRIRMAFLASEELDGVEEKHSPHAPCSPGSSPLPPAQT
+RLQGAITRLWPLGLALANAAVGVHGFQRKLVVFACLQLPVGSPRSCAVQTAQEQAGVLSI
+RALPQGSIRFHCRKSPLRYADTCPGPSPDSVTGFNQILLERHRPGHV
+>tr|Q0P562|Q0P562_BOVIN Hypothetical LOC509253 OS=Bos taurus OX=9913 GN=MALSU1 PE=2 SV=1
+MGPGSFVALRLWAQLYRRAVFPRAWPTASAATSLRLLAVGRPTARATLGRACLAPDRARG
+LHGGPGLEEQAEGTAGEGLPESGTADHAGPKFDIDMLVSLLRQENARDICVIKVPPEMKY
+TDYFVIGSGTSTRHLHAMAHYIVKMYKHLKYKSEPHVKIEGKDTDDWLCVDFGSMVIHLM
+LPETRETYELEKLWTLRSYDDQLAQIAPETLPEDFILGIEDDTSSVTPVEFKYE
+>tr|A0A3Q1M6Y3|A0A3Q1M6Y3_BOVIN Adenylate cyclase OS=Bos taurus OX=9913 GN=ADCY7 PE=3 SV=1
+MLPRPWGFLRGPLGVPRWRMPAKGRYFLNEGEEGPDQDALYEKYRLTSQHGPLLLMLLLV
+AIAACTTLIVITFSYGDPSRHRAVLGTAFFTLAMFVLLYALVYVECLDRRGLRISALLIW
+ACLVTLGYVLVFDFDSPRKDTCVLGQVPFFLFVVFVVYTLLPFSMWGAVTAGLVSSISHL
+LVLAMHQEDFTSPVGLKLLATAVVFVCGNLTGAFHKHHMQDASHDLFTYTVKCIQIRRKL
+RIEKRQQENLLLSVLPAHISMGMKLAIIERLKERGDRRYLPDNNFHNLYVKRHQNVSILY
+ADIVGFTRLASDCSPKELVVVLNELFGKFDQIAKANECMRIKILGDCYYCVSGLPVSLPN
+HARNCVKMGLDMCEAIKQVREATGVDISMRVGIHSGNVLCGVIGLRKWQYDVWSHDVSLA
+NRMEAAGVPGRVHITEATLKHLDKAYEVEDGHGQQRDPYLKEMNIRTYLVIDPRSQQPPQ
+PSQHNSKNKGDATLKMRASVRMTRYLESWGAARPFAHLNQRESVSSSETLVSHGRRPKAV
+PLRRHRTPDRSASPKGRSEDDSYDDEMLSAIEGLSSTRPCCSKSDDFSTFGSIFLEKGFE
+REYRLAPIPRVRYYFACASLVFVFILLIHVLLLYSMKTLGVSFGLVACVLGLVLGLCFAD
+VFLRCCPALGKLRAIAESVETQPLLRVSLAILTIGSLLVIAVVNLPLMPFRDRGLTAGNE
+TGLRAVSGWEMSPCYLLPYYTCSCILAFIACSVFLRMSLELKVVLLTVALVAYLVLFNVY
+PSWQWDCCGHSLGNLTGTNGTLSSSSCSWHLKTMTNFYLVLFYTTLIMLSRQIDYYCRLD
+CLWKKKFKKEHEEFETMENVNRLLLENVLPAHVAAHFIGDKLNEDWYHQSYDCVCVMFAS
+VPDFKVFYTECDVNKEGLECLRLLNEIIADFDELLLKPKFSGVEKIKTIGSTYMAAAGLS
+VPSGPENQDLERQHAHIGIMVEFSTALMSKLDGINRHSFNSFRLRVGINHGPVIAGVIGA
+RKPQYDIWGNTVNVASRMESTGELGKIQVKAHLGKPVPSGGEGAWAFGLPGDSSHQILEG
+NQTLSANFSLSLPQQVFLL
+>tr|Q1RMU0|Q1RMU0_BOVIN NGFI-A binding protein 2 OS=Bos taurus OX=9913 GN=NAB2 PE=2 SV=1
+MHRAASPTAEQPPGGGDSARRTPQPRLKPSSRAMALPRTLGELQLYRVLQRANLLSYYET
+FIQQGGDDVQQLCEAGEEEFLEIMALVGMATKPLHVRRLQKALREWATNPGLFSQPVPAV
+PVSSIPLFKISETAGTRKGSMSNGHGSPGEKAGSARSFSPKSPLELGEKLSPLPGGPGAG
+DPRIWPGRSTPESDVGAGGEEEAGSPPFSPPAGGGGPEGTGAGGLAAAGTGGGPDRLEPE
+MVRMVVESVERIFRSFPRGDAGEVTSLLKLNKKLARSVGHIFEMDDNDSQKEEEIRKYSI
+IYGRFDSKRREGKQLSLHELTINEAAAQFCMRDNTLLLRRVELFSLSRQVARESTYLSSL
+KGSRLHPEELGGPPLKKLKQEVGEQSHSEIQQPPPGPESYAPPFRPSLEEDSASLSGESL
+DGHLQAVGSCPRLTPPPADLPLALPAHGLWSRHILQQTLMDEGLRLARLVSHDRVGRLSP
+CVPAKPPLAEFEEGLLDRCPAPGPHPALVEGRRNSVKVEAEASRQ
+>tr|A5PJK4|A5PJK4_BOVIN Keratinocyte differentiation factor 1 OS=Bos taurus OX=9913 GN=KDF1 PE=2 SV=1
+MPRPGHPRPSSGPPRLGPWERPAELCLETYDEQARAPPSRRTRRPDPKDPGHHGPESLTF
+ISGSAEQTPEPPACCLLWRPWVWDWCRAAFCFRRCWDCLQRCGACARGCSPCLSSGDSPE
+GAAEANWVKEHNGVPPSPDHAPPSRRDGQRLKSAMGSSFSYPDVKLKGIPVYPYRSTTSP
+APDADSCCKEPLADPPPMRHSLPSTLASSPRGSEEYYSFHESDLDLPEIGSGSMSSREID
+VLIFKKLTELFSVHQIDELAKCTSDTVFLEKTSKISDLISSITQDYHLDEQDAEGRLVRG
+IIRISTRKSRARPQTAEGRSSRAAAPAAAAPDSGHETMVGSGLSQDELTVQISQETTADA
+IARKLRPYGAPGYPASHDSSFQGTDTDSSGAPLLQVYC
+>tr|A6H6Y0|A6H6Y0_BOVIN HNRNPD protein OS=Bos taurus OX=9913 GN=HNRNPD PE=1 SV=1
+MSEEQFGGDGAAAAATTAVGGSAGEQEGAMVAAAQGAAAAAGSGAGTGGGTAAGGTEGSS
+AESEGAKIDASKNEEDEGHSNSSPRHSEAATAQREEWKMFIGGLSWDTTKKDLKDYFSKF
+GEVVDCTLKLDPITGRSRGFGFVLFKESESVDKVMDQKEHKLNGKVIDPKRAKAMKTKEP
+VKKIFVGGLSPDTPEEKIREYFGGFGEVESIELPMDNKTNKRRGFCFITFKEEEPVKKIM
+EKKYHNVGLSKCEIKVAMSKEQYQQQQQWGSRGGFAGRARGRGGGPSQNWNQGYSNYWNQ
+GYGNYGYNSQGYGGYGGYDYTGYNNYYGYGDYSNQQSGYGKVSRRGGHQNSYKPY
+>tr|E1BAT3|E1BAT3_BOVIN LIF receptor alpha OS=Bos taurus OX=9913 GN=LIFR PE=4 SV=2
+MMDISLCVKRPSWLVDRKRMRMASNSQWLLLTAVLLYLTNQVNSQKKSTPHDLKCVTNNL
+KVWDCSWRALFGAGRAASYEVCIEKRSRTCYLLEKNTTIPPLLPGHYEITVHPLYEFGSS
+KSKFILNEKNISLIPETPEILNLSADFSTSTLHLKWNDKGSVFPHYSNVIWEIKVLHKKN
+MEIVKLVTYSTTLNGRDTIHHWNWTSDMPLECAIHYVGIRCYIDDPQFSGHKEWSDWSLL
+KNISLPPDSQTKVFPQDKVILAGSDITVCCVTQEKVLSAQIGSTHCPLIHLDGENVAIRI
+RNISASASSGTNVVFSVEDNIFGTVVFAGYPPDIPQKLNCETYDLKEIICTWNPGRPTSL
+EGPRSTSYTLFESFSGKYVRFKGDEVSANENYQLFLQILSSQEIHNFTLNAQNLLGQTES
+TLLVNITEKVHPRIPTSLKAKDINSTAVILSWHLPGNFTKVKLLCQIEINKTNSEHELRN
+VTMRGVESSNYLTTVDKLNPYTIYTFRIRCSTDPFWKWSKWSNEKQCLTTEAIPSKGPDI
+WREWSSDGRNLIIYWKPLPINEAYGKILSYNVSYSSDEETKSLSEIPDTQHRAELQLDKN
+DYIISVVAKNSAGSSPPSKIASMEIPNDDLKIEQALGMGNRILLTWNYDPNMTCDYVIKW
+CNSSQSGPCLMDWKKVPPNSTETVIESDQFRPGVRYNFSVYGCRNQGYQLLRSVIGYVEE
+LAPAVAPNFTVEDTSADSILVKWEEIPVEELRGFLRGYLFYFEKGERDTSKIMGLEPGRF
+DTKVKNITDISQKTLRIADLQGKTSYHLVLRAYTGGGMGPERSMFVVTKENSEGLIIAIL
+IPVAVAVIIGVVTSILCYRKREWIKETFYPDIPNPENCKALQFQKSVCEGNSALKTLEMN
+PCTPNNVEVLETRSVALKIEDTEIISPVAERPEDRSDAEPENHVVVSYCPPVIEEELANP
+GAEGGGASQVIYIDVQSMYQPQAKPEGELDSDPVGGAGYKPQMHLPVTSTVDDLDADDDL
+DKTAGYRPQANVNTWNLVSPDSPRSTDSNSEIVSFGSPCSINSRQFLIPPKDEDSPKSSG
+GGWSFTNFFQNKPND
+>tr|A5PJA0|A5PJA0_BOVIN TMEM170A protein OS=Bos taurus OX=9913 GN=TMEM170A PE=2 SV=1
+MEREGSGGSGASAGLLQQILSLKLVPRVGNGTLCPNSTSLCSFPEMWYGVFLWALVSSLF
+FHVPAGLLALFTLRHHKYGAAIAGVYRAAGKEMIPFEALTLGTGQTFCVVVVSFLRILAT
+L
+>tr|E1BI31|E1BI31_BOVIN Dipeptidyl peptidase 9 OS=Bos taurus OX=9913 GN=DPP9 PE=3 SV=3
+MRKVKKLRLDNVNTGHWRSFSLNSQGAERMATGALTSERGDAAETEDPASRFQVQKHSWE
+GLRSIIHGSRKNSGLVVNKAPHDFQFVQKTDESGPHSHRLYYLGMPYGSRENSLLYSEIP
+RKVRKEALLLLSWKQMLDHFQATPHHGVYSREEELLRERKRLGVFGITSYDFHSESGLFL
+FQASNSLFHCRDGGKNGFMVSPMKPLEIKTQCSGPRMDPKICPADPAFFSFINNSDLWVA
+NIETGEERRLTFCHKGLSSVLDDPKSAGVATFVIQEEFDRFTGYWWCPTASWEGSEGCKT
+LRILYEEVDESEVEIIHVPSPALEERKTDSYRYPRTGSKNPRIALKLAEFQTDSQGKIVS
+TQEKELVQPFSLLFPKVEYLARAGWTQDGKYAWAMFLDRPQQRLQLVLLPPALFIPTTDN
+EEQRAAFARAVPRDVQPYVVYEEVTDVWINVHDIFYPFPQAEGVDELCFIRANECKTGFC
+HLYKVTAVLRPRGYDWTQPFSPGDDEFKCPIKEEIALTSGEWEVLARHGSKIWVNEETKL
+VYFQGTKDTPLEHHLYVVSYEVAGEIVRLTTPGFSHSCSMSQNFDMFISHYSSVGTPPCV
+HVYKLSGPDDDPLHKQPRFWASMMEAASCPPDYVPPEIFHFHTRSDVRLYGMIYKPHAVQ
+PGRKHPTVLFVYGGPQVQLVNNSFKGIKYLRLNTLASLGYAVVVIDGRGSCQRGLRFEGA
+LKNQMGQVEIEDQVEGLQFVAEKYGFIDLSRVAIHGWSYGGFLSLMGLIHKPQVFKVAIA
+GAPVTVWMAYDTGYTERYMDVPENNQLGYEAGSVALHVEKLPNEPNRLLILHGFLDENVH
+FFHTNFLVSQLIRAGKPYQLQIYPNERHSIRCPESGKKEKQKQEAGVQSEKKTHIHTPTP
+LE
+>tr|A0A3Q1LHJ9|A0A3Q1LHJ9_BOVIN Tetraspanin OS=Bos taurus OX=9913 GN=TSPAN12 PE=3 SV=1
+MAREDSVKCLRCLLYALNLLFWLMSISVLAVSAWMRDYLNNVLTLTAETRVEEAVILTYF
+PVVHPVMIAVCCFLIIVGMLGYCGTVKRNLLLLAWYFGSLLVIFCVELACGVWTYEQEIM
+VPVQWSDMVTLKARMTNFGLPRYRWLTHAWNFFQREFKCCGVVYFTDWLEMTEMDWPPDS
+CCVREFPGCSRQAHQEDLSDLYQEGCGKKMYSFLRGTKQLQVLRFLGISIGVTQILAMIL
+TITLLWALYYDRREPGTDQMMALKNDTTQHLPCHSVELLKPSLSRIFEHTSMANSFNTHF
+EMEEL
+>tr|E1BFC4|E1BFC4_BOVIN Solute carrier family 9 member B2 OS=Bos taurus OX=9913 GN=SLC9B2 PE=4 SV=3
+MRNQDERAAHKDSEPSTEVNHTASSYQGRQQETGMNLRGIDGNEPTEGSNLLNNNEKMQE
+TPAEPNHLQRRRQIHACPPRGLLARVITNVTMVILLWAVVWSVTGSECLPGGNLFGIIML
+FYCAIIGGKLFGLIKLPTLPPLPPLLGMLLAGFLIRNVPVISDNIQIKHKWSSALRSIAL
+SVILVRAGLGLDSNALKKLKGVCVRLSLGPCLIEACTSAVLAYFLMGLPWQWGFMLGFVL
+GAVSPAVVVPSMLLLQEGGYGVEKGIPTLLMAAGSFDDILAITGFNTCLGMAFSTGSTVF
+NVLKGVLEVIIGVVTGLVLGFFIQYFPSSDQDKLVWKRAFLVLGLSVLAVFSSTYFGFPG
+SGGLCTLVTAFLAGRGWASTKTDVEKVIAVAWDIFQPLLFGLIGAEVLITALRPETIGLC
+VATLGIAVLIRILVTYLMVCFAGFNIKEKIFISFAWLPKATVQAAIGSVALDTARSHGEK
+QLEGYGMDVLTVAFLSIIITAPVGSLLIGLLGPRLLQKAEQNKDEEDQGETSIQV
+>tr|A2VE24|A2VE24_BOVIN FLT3LG protein OS=Bos taurus OX=9913 GN=FLT3LG PE=2 SV=1
+MVVLAPAWSPTTSLLLLLLLLSPGLQGTPDCSFRHSPISSTFAIKIGKLSKYLLQDYPVT
+VASNLQDDKLCGAFWRLVLAQRWMGRLKTVAGSEMEKLLEDVNTEIHFVTSCAFQDTHQQ
+LEALKPWITHRNFSRCLELQCQPDSPTLLPPRSPGALGATSLPGPQSPLLLLLLLLLLPV
+ALLLLATAWCLCRWRRRRRTRYPGERRRTLRPRESSHLPADTESELGGSQLEPGPFLGHP
+APVTVPPGWRQRQHPPSAPPSPLCTKPLSPGNCI
+>tr|E1BAZ2|E1BAZ2_BOVIN NADH:ubiquinone oxidoreductase complex assembly factor 1 OS=Bos taurus OX=9913 GN=NDUFAF1 PE=4 SV=1
+MALVHKLLNGTYILRKCPKPRVASFPFLGTRFADYCSNSFQKPVAAPGKASSQRKTKGNL
+EEHHQREVALEITSPEDKPEISFDKAIKEEMKDHFRRLKDDIVKYWIGPEGRPLHEVLME
+QAKVVWQFRSKEDLDKWTVTSDKVIGGRSEVFLKMGKNNQSALLYGTLSSEAPQDGESGR
+SGYCAMVSKIPRGPFEMKRSYDWSQFNTLYLRVRGDGRPWMVNIREDTDIIQKKDQMYSY
+FMFTRGGPYWQEVKIPFSKFFFSNQGRIRDAQYQLLLDKISSIGFTLADKVDGPFFLEID
+FIGVFTDPAHTEEFAYENSPELNPRLFK
+>tr|Q1LZB2|Q1LZB2_BOVIN Cytoplasmic protein OS=Bos taurus OX=9913 GN=NCK1 PE=2 SV=1
+MAEEVVVVAKFDYVAQQEQELNIKKNERLWLLDDSKSWWRVRNSMNKTGFVPSNYVERKN
+SARKASIVKNLKDTLGIGKVKRKPSVPDSASPADDSFVDPGERLYDLNMPAYVKFNYMAE
+REDELSLIKGTKVIVMEKCSDGWWRGSYNGQVGWFPSNYVTEEGDSPLGDHVGSLSEKLA
+AVVNNLNTGQVLHVVQALYPFSSSNDEELNFEKGDIMDVIEKPENDPEWWKCRKINGMVG
+LVPKNYVTIMQNNPLTSGLEPSPPQCDYIRPSLTGKFAGNPWYYGKVTRHQAEMALNERG
+HEGDFLIRDSESSPNDFSVSLKAQGKNKHFKVQLKETVYCIGQRKFSTMEELVEHYKKAP
+IFTSEQGEKLYLIKHLS
+>tr|A5D7A7|A5D7A7_BOVIN C26H10ORF2 protein OS=Bos taurus OX=9913 GN=TWNK PE=2 SV=1
+MWVLLRSGYPLRILLPLRGAWMGRRGLPRSLAPGPPRRRYRKEALPALEAPVLPVTTTEI
+RQYLRARGIPFQDGHSCLRAPSPFVGASKLKHQTGAASFSLFIDKTTGRFLCMTTLAEGS
+WEDFQASVEGQGGGAREGVLLSEAPEAEDSEEVRRIWDRAIPLWELPESEEAQLARMMFG
+LTKVTDDTLKRFSVRYLRPARRLVFPWFSPGGLGLRGLKLLGAETQGDGVQYMETTIPRP
+GAYHNLFGLPLISRRDVEVVLTSRELDSLALNQSTGLPTLALPRGTACLPPALLPYLEQF
+RRVVLWLGNDLRSWEAAKLFARKLNPKRCSLVRPGDKQPSPLEALNQGLNLSRILRTALP
+AWHKSIVSFRQLREEVLGELSNVEQAAGVRWSRFPDLNRLLKGHRKGELTVFTGPTGSGK
+TTFISEYALDLCTQGVNTLWGSFEISNVRLARVMLTQFAVGRLEEQLDKYDEWADRFEDL
+PLYFMTFHGQQSIRTVIDTMQHAVYVYDICHVVIDNLQFMMGHEQLSTDRIAAQDYIVGA
+FRKFATDSSCHVTLVIHPRKEDDDKELQTASIFGSAKASQEADNVLILQDRKLVTGPGKR
+YLQVSKNRFDGDVGVFPLEFNKSSLTFSIPPKSKARLKKIKDDNGLVAKKPSSGKKGAMP
+QISETCSNQARNPNQPDLSKPSR
+>tr|A0A3Q1MWQ9|A0A3Q1MWQ9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MARARVSPEKPGSRRRRLVLKPSQKDALQALFQQNPYPGIATRERLARELGIDESRVQVW
+FQNQRRRRSKQSRPPSEHVRQEGEGGPTEARRKRTVISPSQTRILVQAFTRDRFPGIAAR
+EELARQTGIPEPRIQVSSPASARAQDVAQEQGGFSPAKRLELDTRRLGLGLGLGGEGAES
+RRPEWRVLGRFWPCGHPSFPRAKGFVCRKWPCRSRPGPDRPEAGRPGGLSNGRRRGASTV
+GDLDGHGF
+>tr|A5PK33|A5PK33_BOVIN GZMA protein OS=Bos taurus OX=9913 GN=GZMA PE=2 SV=1
+MRNSSTFLAATLSIVVFLLIPEDLCEKIIGGNQVTPHSRPYMVLLDGGNICAGALIAKDW
+VLTAAHCSLNQKSQIILGAHSRNKEEPEKQIMFVKKEFPYPCYDPDTHEGDLKLLKLNKK
+ATLNKNVAILQLPKEGKDVEPGTACRVAGWGQFYNNSPVSKILREVNVTIIDRKICNDQS
+HYNYNPVIGLNMICAGSLQGGKDSCHGDSGSPLICKDTFRGITAFGIPGRCGDPRGPGVY
+TLLSKKHLNWIVKTMKQAV
+>tr|A5D7A3|A5D7A3_BOVIN MKLN1 protein OS=Bos taurus OX=9913 GN=MKLN1 PE=2 SV=1
+MAAGGAVAAAPECRLLPYALHKWSSFSSTYLPENILVDKPNDQSSRWSSESNYPPQYLIL
+KLERPAIVQNITFGKYEKTHVCNLKKFKVFGGMNEENMTELLSSGLKNDYNKETFTLKHK
+IDEQMFPCRFIKIVPLLSWGPSFNFSIWYVELSGIDDPDVVQPCLNWYSKYREQEAIRLC
+LKHFRQHNYTEAFESLQKKTKIALEHPMLTDLHDKLVLKGDFDACEELIEKAVNDGLFNQ
+YISQQEYKPRWSQIIPKSTKGDGEDNRPGMRGGHQMVIDVQTETVYLFGGWDGTQDLADF
+WAYSVKENQWTCISRDTEKENGPSARSCHKMCIDIQRRQIYTLGRYLDSSVRNSKSLKSD
+FYRYDIDTNTWMLLSEDTAADGGPKLVFDHQMCMDSEKHMIYTFGGRILTCNGSVDDSRA
+SEPQFSGLFAFNCQCQTWKLLREDSCNAGPEDIQSRIGHCMLFHSKNRCLYVFGGQRSKT
+YLNDFFSYDVDSDHVDIISDGTKKDSGMVPMTGFTQRATIDPELNEIHVLSGLSKDKEKR
+EENVRNSFWIYDIVRNSWSCVYKNDQAAKDNPSKSLQEEEPCPRFAHQLVYDELHKVHYL
+FGGNPGKSCSPKMRLDDFWSLKLCRPSKDYLLRHCKYLIRKHRFEEKAQMDPLSALKYLQ
+NDLYITVDHSDPEETKEFQLLASALFKSGSDFTALGFSDVDHTYAQRTQLFDTLVNFFPD
+SMTPPKGNLVDLITL
+>tr|A0A3Q1MG82|A0A3Q1MG82_BOVIN PHD finger protein 1 OS=Bos taurus OX=9913 GN=PHF1 PE=4 SV=1
+MAQPPRLSRSGAPPLWDPASPAPTSGPRPRLWEGQDVLARWTDGLLYLGTIKKVDSAREV
+CLVQFEDDSQFLVLWKDISPAALPGEELLCCVCRSETVVPGNRLVSCEKCRHAYHQDCHV
+PRAPAPGEGEGASWVCRQCVFAIATKRGGALKKGPYARAMLGMKLSLPYGLKGLDWDAGH
+LSNRQQSYCYCGGPGEWNLKMLQCRSCLQWFHEACTQCLSKPLLYGDRFYEFECCVCRGG
+PEKVRRLQLRWVDVAHLVLYHLSVCCKKKYFDFDREILPFTSENWDSLLLGELSETPKGE
+RSSKLLSALNSHKDRFISGREIKKRKCLFGLHARIPPPVEPPPGDGAPTRAGPWGRGLTS
+PGEAPEAGARAPEEEAEGENGGAGATLSSAQPARAPGAEGAGSSAKGTAGTGAGAPVGRW
+WCGKESRMISQSFVPSRPQCLHHPPALTRVTRAAAATTSGPQMPAACPGQCASSLQPDTL
+PWNLSSSCLQASGFPHPIFFSLSPLAAHFFT
+>tr|Q8HZT2|Q8HZT2_BOVIN Potassium channel subfamily K member OS=Bos taurus OX=9913 GN=KCNK17 PE=2 SV=1
+MAPDSRLSASPAKSGWRAWNASERGVQGCAVPVPSTLLLLLTYLTYLVLGTCVFWALESP
+AAHDSSKRFQRDKWALLRNFTCLDGQALDSLIRGIIEAYKNGDIVLGNTTSMGRWEFVGS
+FFFSVSTITTIGYGNLSPRTMAARLFCIFFALVGIPLNLVVLNRLGHCMQQGVHRCARRL
+GGAWKDPAKARWLAGSSALLSGLLLFLLLPPLLFNHMEGWTYVEGFYFSFVTLSTVGFGD
+YVIGMNPSRNYPLWYQNTVSLWILFGMAWLALIIKLILSLLEAPRESYSCYPQSSKGNFK
+PRSWSQGLDEEAGPHSPQPSCSPEGQHPEPSTQVSCCGKDS
+>tr|Q0VC75|Q0VC75_BOVIN Tumor protein p53 inducible protein 3 OS=Bos taurus OX=9913 GN=TP53I3 PE=2 SV=1
+MIAVHFDKPGGPENLYLKEVAKPSPGEGEVLLKVAASALNRADLLQRQGQYAPPPGASNI
+LGLEASGHVAELGAGCQGPWRVGDPAMVLLSGGGQAQYVTVPAELLMPIPAGLTMCQAAA
+IPEAWLTAFQLLHLVGNVQAGDSVLIHAGASGVGTAAIQLARMAGATPLVTAGSQEKLQI
+AEKLGAAAGFNYKEEDFSEATLKLTKGAGVNLILDCIGGSYWEKNVNCLALDGHWVLYGL
+LGGAVISGPLFSKLLFKRGSLITSLLRPRDKKYKQMLVKAFTEQILPHFSTEGPQRLLPV
+LDRVYPVAEIQEAHEYMETNKNVGKIVLELPQ
+>tr|A6QNK6|A6QNK6_BOVIN RAD17 checkpoint clamp loader component OS=Bos taurus OX=9913 GN=RAD17 PE=2 SV=1
+MSKTFFRPKVSSTKVTNWVDPSFDGFSETTSISAITATSLSVNNSSLRRKKVPSTLESSR
+FPASKGGNLSSSKQICGLENSKEYLSENEPWVDKYKPETQHELAVHKKKIEEVETWLKAE
+VLERQPKQGGSILLITGPPGCGKTTTIKILAKEHGIQVQEWINPVLPEFQKDDFKEILNP
+ESSFHIFPYQSQIAVFKEFLLRATKYNQLQMLGDDLRTDKKIILVEDLPNQFYRDSHTLH
+EVLRKYVQIGRCPLIFIISDSLSGDNSQRLLFPKEIQEECAISNISFNPVAPTIMMKFLN
+RIVTVEANKNGGKIVPDKTSLEFLCQGCSGDIRSAINSLQFSSSTGKNNLRPRKKGMSSL
+KSDVVLSKSKRRQKTDRIFENQEVQAIGGKDVSLFLFRALGKILYCKRTPVTEVDSPRLP
+SHLSEYDRDTLLVQPEEVVEMSHMPGELFNLYLHQNYVDFFTEVDDLVRASEFLSFADIL
+SGDWSTRSSLRDYSTSIATRGVIHSNKSRGFAHCQGGGSSFRPLHKPQWFLINKKYRENC
+LAAKALFSDFCLPALCLQTQLLPYLTLLTIPMRNQAQISFIQDIGRLPLKRHFGRLKMEA
+LTDRENGMIEADSGDEAQLTGGQSAEEALGEPIQSAEPETWSLPLSQNSGNELPASQPQP
+FSAQGDVEEEDMIIEDYESDGT
+>tr|A5D984|A5D984_BOVIN Pyruvate kinase OS=Bos taurus OX=9913 GN=PKM PE=1 SV=1
+MSKHHSDAGTAFIQTQQLHAAMADTFLEHMCRLDIDSPPITARNTGIICTIGPASRAVET
+LKEMIKSGMNVARLNFSHGTHEYHAETIKNVREATESFASDPILYRPVAVALDTKGPEIR
+TGLIKGSGTAEVELKKGATLKITLDNAYMEKCDENILWLDYKNICKVVDVGSKIYVDDGL
+ISLLVKQKGPDFLVTEVENGGSLGSKKGVNLPGAAVDLPAVSEKDIQDLKFGVEQNVDMV
+FASFIRKASDVHEVRKVLGEKGKNIKIISKIENHEGVRRFDEILEASDGIMVARGDLGIE
+IPAEKVFLAQKMMIGRCNRAGKPVICATQMLESMIKKPRPTRAEGSDVANAVLDGADCIM
+LSGETAKGDYPLEAVRMQHLIAREAEAAIYHLQLFEELRRLSPITSDPTEAAAVGAVEAS
+FKCCSGAIIVLTKSGRSAHQVARYRPRAPIIAVTRNHQTARQAHLYRGIFPVVCKDPVQE
+AWAEDVDLRVNLAMNVGKARGFFKKGDVVIVLTGWRPGSGFTNTMRVVPVP
+>tr|A4FV76|A4FV76_BOVIN HOXC13 protein OS=Bos taurus OX=9913 GN=HOXC13 PE=2 SV=1
+MTTSLLLHPRWPESLMYVYEDSAAESGSGGGSGGGGGSAGGAGVGCSGASPGKAPSMDGL
+GNSCPASHCRDLLPHPVLGRPPAPLGAPQGAVYTDIPAPEAARQCAPPPAPPTSSSATLG
+YGYPFGGSYYGCRLSHNVNLQQKPCAYHPGDKYPEPSGALPGDDLSSRAKEFAFYPSFAS
+SYQAMPGYLDVSVVPGISGHPEPRHDALIPVEGYQHWALSNGWDSQVYCSKEQSQSAHLW
+KSPFPDVVPLQPEVNSYRRGRKKRVPYTKVQLKELEKEYAASKFITKEKRRRISATTNLS
+ERQVTIWFQNRRVKEKKVVSKSKTPHLHST
+>tr|A6H7C1|A6H7C1_BOVIN MORF4L2 protein OS=Bos taurus OX=9913 GN=MORF4L2 PE=2 SV=1
+MSSRKQGSQTRGHQSAEEDNFKKPTRSNMQRSKMRGSSGKKTAGPQQKNLEAALPGRWGG
+RSAENPPSGSVRKTRRNKQKTPGNGDGGSTSEAPQPPRKKRARADPTVESEDAFKNRMEV
+KVKIPEELKPWLVEDWDLVTRQKQLFQLPAKKNVDTILEEYANCKRSQGNVDNKEYAVNE
+VVGGIKEYFNVMLGTQLLYKFERPQYAEILLAHPDAPMSQVYGAPHLLRLFVRIGAMLAY
+TPLDEKSLALLLGYLHDFLKYLAKNAASLFTASDYKVASAEYHRKAL
+>tr|A5D7M4|A5D7M4_BOVIN Y-box binding protein 2 OS=Bos taurus OX=9913 GN=YBX2 PE=2 SV=1
+MSEAEAAVVATAAPAATVPATAAGVVAVVVPVPAGEPQKAGGGAGSGGVGTASGPAVGTP
+SAPGPRTPGNPATAASGTPAPLARSQADKPVLAIQVLGTVKWFNVRNGYGFINRNDTKED
+VFVHQTAIKRNNPRKFLRSVGDGETVEFDVVEGEKGAEAANVTGPGGVPVKGSRYAPNRR
+RFRRFIPRPRPAAPPPMVAEAPSGGTEPGSEGERAEDSGQRPRRRRPPPFFYRRRFVRGP
+RPPNQQQPIEGTDGVEPKETAPLEGDQQQGDERIPPPRFRPRYRRPFRPRPPQQPTTEGG
+DGETKPSQGPTDGSRPEPQRPRNRPYFQRRRQQPPGPRQSTAPETSAPINSGDPPTTILE
+>tr|E1BG99|E1BG99_BOVIN Eukaryotic translation initiation factor 4E nuclear import factor 1 OS=Bos taurus OX=9913 GN=EIF4ENIF1 PE=4 SV=2
+MDRSVGETENGDAFLDLKKQPASRSPHRYTKEELLDIKERPHSKQRPSCLSEKYDSDGVW
+DPEKWHASLYPASGRSSPVESLKKELDTDRPSLVRRIVDPRERVKEDDLDVVLSPQRRSF
+GGGCHVTAAVSSRRSGSPLEKDGDGLRLLGGRRIGSGRIISARTFEKDHRLGEKDLRDLR
+ERDRERDYKDKRFRREFVDSKRVFGERRRNDSYTEEEPEWFSAGPTSQSETIELTGFDDK
+ILEEDHKGRKRTRRRTASVKEGIVECNGGVAEEDEVEVILEQEPAADQEVPREAVLPEQS
+PGEFDFNEFFNLDKVPCLASMIEDVLGEGSVSASRFSRWFSNPSRSGSRSSSLGSTPHEE
+LERLAGLEQAILSPGQNSGNYFAPIPLEDHAETKVDILEMLQKAKVDLKPLLSSLSANKE
+KLKESSHSGVVLSVEEVEAGLKGLKVDQQVKNSTPFMAEHLEETLSTVASNRQLKKDGDM
+TAFNKLVSTMKASGTLPSQPKVSRTLESHLMSPAEIPGQPVPKNILQELLGPPVQRPASS
+NLLSGLMGSLEPTTSLLGQRAPSPPLSQVFQTRAASADYLRPRIPSPIGFTSGPQQLLGD
+PFQGMRKPMSPVTAQMSQLELQQAALEGLAVPHDLALQAANFYQPGFGKPQVDRTRDGFR
+NRQQRVTKSPAPVHRGNSSSPAPAASITSMLSPSFTPTSVIRKMYESKEKSKEEPTSGKA
+ALGDGKEDTQKPSEENLLSSSSVPTADRDSSPTTNPKLSTLQRFSCSTPLSQTNRYTKEQ
+DYRPKATGRKTPTLASPVPGTPFLRPVHQVPLVPHVPIVRPAHQLHPGLVQRMLAQGVHP
+QHLPSLLQAGVLPPGMDLTHLQGLSGPILSQPFYPLPATSHPLLNPRPGTPLHLAMMQQQ
+LQRSVLHPPGSGSQAAAVSVQTTPQNVPSRSGLPHVHSQLEHRPSQRSSSPVGLAKWFGS
+DVLQQPLPSMPTKVISVDELEYRQ
+>tr|A5PJT3|A5PJT3_BOVIN MGC151858 protein OS=Bos taurus OX=9913 GN=PRMT9 PE=2 SV=1
+MPNSRPRPRRGAGSGAGAPGRDELVSRSLQSAEHCLGSQDFGTAYAHYLLVLSLAPELKD
+DVKETFQYTLFRWAEELDALSRTQDLLGCYEQALELFPDDEVICNSMGEHLFRMGFRDEA
+AGYFHKAVKLNPDFNDAKENFYRVANWLVERWHFIMLNDTKRNTIYNAAIQKAVCSGSKS
+VLDIGAGTGILSMFARKAGAHSVYACELSKTMYELACDVVAANEMEEGIKLLHMKSLDIK
+IPKHIPERVSLVVTETVDAGLFGEGIVESLIHAWEHLLLQPKTKGENGDCEKYGKVIPAS
+AVIFGIAVECAEIRRHHRVGVKDVAGISLPTNVKFQSPAYSSVDGEETIEPYTTEKMSRV
+PGGYLALTECFEIMTVDFNNLQELKSLATKKPDKIGVPVIKEGVLDAIVVWFVLQLDDEH
+SLSTSPSEETCWEQAVYPVHDLADYWIKLGDEVIMEVSCQDCYLRIQSISVFHSEHEMEV
+GKSFTRNKDLLSFGNEAELCSALANLQTSKPDVVEQLCVLESTEIALLNNIPYHEGFKMA
+MKKVLSSLTPEKLGQAMDTQCQNNEMSYESGPSNSDQSTPEPLYVLDVSEGFSVLPVIAG
+TLGQVKPYSSVEKDQHRATLDIICEANHFPKDTLEFWLRHVEDESAVLQRPKSDKLWSII
+ILDVIEPSGLIQQEIMEKAAISRCLLQSGGKIFPQYVLMFGLLVESQTLMEESAVQGAER
+TLGFNIAPFINQFQVPVRVFLDLASLPCIPLSKPVELLRLDLMTPYLNTSNREVKVRICK
+SGQVTAIPFWYHMYLDDEIRLDTSSEASHWKQAAVVLDNPIQVEMGDELVLSIQHHKSNV
+SITIKQ
+>tr|E1BH96|E1BH96_BOVIN Kallikrein E OS=Bos taurus OX=9913 GN=KLK15 PE=3 SV=1
+MCLLLTFSFLLASAVAQDDSDKVRRDEECVPHSQPWQVALFEHGRFNCGASLISPQWVLS
+AAHCQTRFLRVRLGEHNLRKRDGPEQLRTVSRIIPHPRYKALNHRHDLMLLRLTRPVRVT
+AEVRPIPLPARCPQAGEACVVSGWGLVANKEPGATGSPESQVRLPDRLHCANISIISTAS
+CNKDYAGRLMDTMVCAGVEGGGINSCEGDSGGPLVCGGVLQGIVSWGDVPCDTTTKPGVY
+TKVCSYLEWIKETMKRS
+>tr|A0JNB5|A0JNB5_BOVIN Limb and CNS expressed 1 OS=Bos taurus OX=9913 GN=LIX1 PE=2 SV=1
+MDRTLESLRHIIAQVLPHRDPALVFKDLNVVSMLQEFWESKQQRRAAFPSEGVVVYESLP
+SPGPPYVSYVTLPGGSCFGNFQCCLSRAEARRDAAKVALINSLFNELPSRRITKEFIMES
+VQEAVASTSGTLDDADDPSTSIGAYHYMLESNMGKTMLEFQELMTIFQLLHWNGSLKALR
+ETKCSRQEVISYYSQYSLDEKMRSHMALDWIMKERESPGILSQELRMALRELEEARKAGQ
+ELRFYKEKKEILSLALTQIYSDPDASSPSDDQLSLTALCGYH
+>tr|A0A3Q1LXS3|A0A3Q1LXS3_BOVIN LIM and senescent cell antigen-like-containing domain protein OS=Bos taurus OX=9913 GN=LIMS1 PE=4 SV=1
+MALRGLRPAVIPEDQEVPPAARNGEHRGLSSQDERAESRLQRRHSDVRVYKEFCDFYAKF
+NMANALANASCERCRGGFAPAEKIVNSNGELYHEQCFVCAQCFQQFPEGLFYEFEGRKYC
+EHDFQMLFAPCCHQCGEFIIGRVIKAMNNSWHPECFRCDLCQEVLADIGFVKNAGRHLCR
+PCHNREKARGLGKYICQKCHAIIDEQPLIFKNDPYHPDHFNCANCGKELTADARELKGEL
+YCLPCHDKMGVPICGACRRPIEGRVVNAMGKQWHVEHFVCAKCEKPFLGHRHYERKGLAY
+CETHYNQLFGDVCFHCNRVIEGDVVSALNKAWCVHCFACSTCSAKLTLKDKFVEIDLKPV
+CKHCYEKMPEEFKRRLAKREREAKDKDKQKKKKPVCL
+>tr|B0JYL0|B0JYL0_BOVIN Mothers against decapentaplegic homolog OS=Bos taurus OX=9913 GN=SMAD4 PE=2 SV=1
+MDNMSITNTPTSNDACLSIVHSLMCHRQGGESETFAKRAIESLVKKLKEKKDELDSLITA
+ITTNGAHPSKCVTIQRTLDGRLQVAGRKGFPHVIYARLWRWPDLHKNELKHVKYCQYAFD
+LKCDSVCVNPYHYERVVSPGIDLSGLTLQSNAPPSMLVKDEYVHDFEGQPSLSTEGHSIQ
+TIQHPPSNRASTETYSTPALLAPSESNATSTTNFPNIPVASTSQPASILAGSHSEGLLQI
+ASGPQPGQQQNGFTGQPATYHHNSTTTWTGSRTAPYTPNLPHHQNGHLQHHPPMPPHPGH
+YWPPVHNELAFQPPISNHPAPEYWCSIAYFEMDVQVGETFKVPSSCPIVTVDGYVDPSGG
+DRFCLGQLSNVHRTEAIERARLHIGKGVQLECKGEGDVWVRCLSDHAVFVQSYYLDREAG
+RAPGDAVHKIYPSAYIKVFDLRQCHRQMQQQAATAQAAAAAQAAAVAGNIPGPGSVGGIA
+PAISLSAAAGIGVDDLRRLCILRMSFVKGWGPDYPRQSIKETPCWIEIHLHRALQLLDEV
+LHTMPIADPQPLD
+>tr|E1BMD2|E1BMD2_BOVIN Mohawk homeobox OS=Bos taurus OX=9913 GN=MKX PE=4 SV=2
+MNTIVFNKLSGAVLFEDRGAPERDRGGRPYGGVLDSPHARPEVGITDGPPLKDNLGLRHR
+RTGARQNGGKVRHKRQALQDMARPLKQWLYKHRDNPYPTKTEKILLALGSQMTLVQVSNW
+FANARRRLKNTVRQPDLSWALRIKLYNKYVQGNAERLSVSSDDSCSEDGENPPRNHMNDG
+GYNNPVHHPVIKSESSVIKAGVRPESRASEDYVSPPKYKSSLLNRYLNDSLRHVMVTNAA
+MMGKTRQRNHSGSFSSNEFEEELVSPSSSETEGNFVYRTDTLENGSNKGDSAATRKGPSK
+DDTYWKEINAAMALTNLAQGKDKPQGTTSCIIQKSSHIAEVKTVKVPLVPQF
+>tr|A5D7K0|A5D7K0_BOVIN Biliverdin reductase A OS=Bos taurus OX=9913 GN=BLVRA PE=2 SV=1
+MNTEPERKFGVVVVGVGRAGSVRMRDLRNPHASSAFLNLIGFVSRRELGSIDEVPQISLE
+DALSSQEVEVAFICSESSSHEDYIRQFLNAGKHVLVEYPMTLSWVAAKDLWELAEQKGKV
+LHEEHVELLMEEFAFLKKEVVGKDLLKGSLLFTAAPLEEERFGFPAFSGISRLTWLVSLF
+GELSLVSATLEERKEDQYMKMTVCLETENKSPLTWIEEKAPGLKRNRRLSFHFRSGSLEN
+MPNVGINKNIFLKDQNIFVQKLLGQFSEEELAAEKKRILHCLWLAGEIQKHCCSKQ
+>tr|F1N396|F1N396_BOVIN Family with sequence similarity 168 member A OS=Bos taurus OX=9913 GN=FAM168A PE=4 SV=2
+MNPVYSPVQPGAPYGNPKNMAYTGYPTAYPAAAPAYNPSLYPTNSPSYAPEFQFLHSAYA
+TLLMKQAWPQNSSSCGTEGTFHLPVDTGTENRTYQASSAAFRYTAGTPYKVPPTQSNTAP
+PPYSPSPNPYQTAMYPIRSAYPQQNLYAQGAYYTQPVYAAQPHVIHHTTVVQPNSIPSAI
+YPAPVAAPRTNGVAMGMVAGTTMAMSAGTLLTAPQHTAIGAHPVSMPTYRAQGTPAYSYV
+PPHW
+>tr|A4IFI5|A4IFI5_BOVIN LRRC24 protein OS=Bos taurus OX=9913 GN=LRRC24 PE=2 SV=1
+MAPGAPALLLLSLLSLPGLPPRAAACPAACRCYSATVECGALRLRVVPPGIPPGTQTLFL
+QDNSIARLEPGILAPLASLRHLYLHNNSLHALESGAFRTQSRLLELALTGNRLRGLRVGA
+FAGLAQLRVLYLAGNQLVQLLDFTFLHLQRLQELHLQENSIELLEDQALAGLSSLALLDL
+SRNQLGTISREALQPLASLQVLRLTENPWRCDCALHWLGAWIKEGGQRLLSSRDKKILCA
+EPPRLALQSLLEVSGSSLICIPPSVHVEPLEVTANLGEDLRVACQASGYPQPLVTWRKVA
+QPREGAPRAQAQPEVGWRGTGGLGASDTGSGMLFLTNITLAHAGKYECEASNAGGAARVP
+FQLLVNVSRQQQLAPAPPPAGGPVSHEPLPETGSMAFRALGLATQTAIAAAIALLALTAL
+LLATMICRRRRRRKKAPGPSGEGALFVNDYSDGPCTFAQLEELRDERGHEMFVIDRSKPL
+FAEGPAEAAEAPGVAQGLPLQPPSAYEIHC
+>tr|A2VDW4|A2VDW4_BOVIN Transmembrane emp24 protein transport domain containing 3 OS=Bos taurus OX=9913 GN=TMED3 PE=2 SV=1
+MGGVVPRSVSALPLLLLLLLQAERPRGAELTFELPDNAKQCFHEEVEQGVKFSLDYQVIT
+GGHYDVDCFMKDPLGNTIYSETKKQYDSFTHKAEVKGVYQFCFSNEFSTFSHKTVYFDFQ
+VGDEPPILPDMGNRVTALTQMESACVTIHEALKTVIDSQKHYRLREAQDRARAEDLNSRV
+SYWSIGETIALFVVSFSQVLLLKSFFTEKRPISRTVHS
+>tr|Q58D16|Q58D16_BOVIN Tyrosine-protein kinase OS=Bos taurus OX=9913 GN=MATK PE=2 SV=1
+MRGSPRFLHAWHPSPISARMPTRRWAPGTQCITKCEHTRPKPGELAFCKGDVVTILEACE
+NKSWYRAKHHASGQEGLLAAGALREREALSADPKLSLMPWFHGKISGQEAVQQLQPPEDG
+LFLVRESARHPGDYVLCVSFGRDVIHYRVLHRDGHLTIDEEVCFCNLMDMVEYYSKDQGA
+ICTKLVRPKRKQGTKSAEEELAKAGWLLNLQHLTLGAQIGEGEFGAVLQGEYLGQKVAVK
+NIKCDVTAQAFLDETAVMTKVQHKNLVRLLGVILHQGLYIVMEHVSKGNLVNFLRTRGRA
+LVNTPQLLQFSLHVAEGMEYLESKKLVHRDLAARNILVSEDLVAKVSDFGLAKAERKGLD
+SSRLPVKWTAPEALKHGKFSSKSDVWSFGVLLWEVFSYGRAPYPKMSLKEVSEAVEKGYR
+MEPPEGCPGSIHALMGSCWEAEPTRRPPFRKLAEKLARELRSVGASAPNGGQDTDGPAPP
+RSQEP
+>tr|A4IFF7|A4IFF7_BOVIN TCF19 protein OS=Bos taurus OX=9913 GN=TCF19 PE=2 SV=1
+MLPCFQLLRIGVGKGVDLHTFHPPSGAGCTYRLGCRADLCDVTLRPQHEPGFISGVHAEL
+HAERQGDDWRVSLENHSSQGTLVNNVRLPRGHRLELSDGDLLTFGPEGPPGTSPSEFYLF
+QQVRVKPQDFAAITTPRSRGEEGTGIGFRPMLPSQGAPQRPLSTLSPAPKATLILNSIGS
+LSKLHSQPLTFSRSGGGPQSPPGPTPTGEVGTAPSAPPPRNRRKSAHRVLEELDDEREAP
+KSPSPVLLEPRKKLRVEKTPMTPSGNRRGRPRKHPMSNPRAPPAVGGGEPCAAPCCCLPQ
+EETVAWVQCDGCDIWFHVACVGCSIQAAREADFRCPGCRAGIQT
+>tr|A2VDW3|A2VDW3_BOVIN X-ray repair complementing defective repair in Chinese hamster cells 4 OS=Bos taurus OX=9913 GN=XRCC4 PE=2 SV=1
+MERKVSRVCLASEPDIIHFLQVAWEKTLGSGFVITLTDGQSAWTGTVCESDISQEADDMA
+MEKEKYVDELRKALVSGAGPTDTYKFNFSKESHYFSFEKNLKDVSFRLGSFKLEKIASPA
+EVIRELICHCLDTIAESQAKNEHLQKENERLLRDWNDIQGRFEKCVSAKEAVETDLYKRF
+ILVLNEKKAKIRSLHKLLNEVQELEKNIEHKMETPTCSEMTTDRDAIYDESTDEDSEIPS
+NPSVLTPATVRRDDSIISSPDIPDIAPSRKRRQRMQKNLGTEPKVASQEQQLQEKEKLDP
+PLPEMPKKDHGPAENMSLETLRNSSPGDLFDEI
+>tr|Q2KJ86|Q2KJ86_BOVIN Haloacid dehalogenase-like hydrolase domain containing 1A OS=Bos taurus OX=9913 GN=PUDP PE=2 SV=1
+MAAPVPLPRPVTHLLFDMDGLLLDTERLYSAVFEDICGRYGKKYSWDVKSLVMGKKALEA
+AQLIRDTLQLPMSAEELVEVSQAKLKEVFPTAALMPGVEKLIRHLRKHDVPCAVATSSGT
+ASFQLKTSRHQDFFGLFHHVVLGDDPEVRSGKPEPDIFLTCARRFSPAPPANKCLVFEDA
+PNGVEAALAAGMQVVMVPDGNLKPDLTSKATLVLGSLQDFQPELFGLPPYD
+>tr|A6QQZ2|A6QQZ2_BOVIN LRRC49 protein OS=Bos taurus OX=9913 GN=LRRC49 PE=2 SV=1
+MIPGKYRSVSGRAASNVNCGLHLVIQTSSLPEKNKVEFKLNRETPSFPGRLLQHDLERNY
+SSRQGDHINLVSSSMPSFPILQRSSEEKILYSDRLTLERQKLTVCPIIDGEEHLRLLNFQ
+HNSITQIQNISNLQRLIFLDLYDNQIEEISGLSTLRSLRVLLLGKNRIKKISNLENLKSL
+DVLDLHGNQITKIENVNHLCDLRVLNLARNFLSHVDNLNGLDSLTELNLRHNQITFVRDV
+DNLPCLQRLFLSFNNISTFESVCCLADSTSLSDITFDGNPIAQESWYKHTILQNMMQLRQ
+LDMKRITEEERRMASVVAKKEEEKKRESQKQSLLKEKKRLTINNVARKWDLQQHRITSIA
+INQDRRDSDSQDHCQNDGSTVSTFPEEAGSLDSGLTSALQDLSVTDTHLVEVDGDTLSLY
+GSGALECLDRNWSVQTAGMVTTVSFTFIEFDEIVQVLPKLKIKFPNSLHLKFKETNLVML
+QQFNALAQLRRVDQLTIDPQGNPVVNFTLWKYYVLFRLSHFNMQKINGTEVTQNDMIMAE
+RLFGILAHVASSELPQYRLISILGDARKKQFRYLLETKGKKPGIVSEENNDSKRLVGENT
+NRATLNYTTRDFYTEKLEEIKEKKKFCKMYIEDLVKEATEINMKNEALQKLWPQMFIELV
+RDAVIEIRNKNSYMKLCLQQITDQK
+>tr|F1MGY3|F1MGY3_BOVIN Neurogenin 3 OS=Bos taurus OX=9913 GN=NEUROG3 PE=2 SV=2
+MAPHPSCAPPVQVTHETEQRFPGASDDAVTCVASTPPSPTRVLENFTETEGGACRGASRK
+LRARRGGRSRPKSELALSKQRRSRRKKANDRERNRMHNLNSALDALRGVLPTFPDDAKLT
+KIETLRFAHNYIWALTQTLRIADHSLYGLEPLAPPCEELASPDSGSPGDWGSLYSPVSQA
+GSLSPAASLEDRPGLQAPVSPACLHPGALAFSDFL
+>tr|Q0R345|Q0R345_BOVIN Protein O-fucosyltransferase 1 OS=Bos taurus OX=9913 GN=POFUT1 PE=2 SV=1
+MGAAAWAPSLLPPRVSLLLLLLPLPGLPVGSWDPAGYLLYCPCMGRFGNQADHFLGSLAF
+AKLLNRTLAVPPWIEYQHHKPPFTNVHVSYQKYFKLEPLQAYHRVISLEDFMEKLAPTHW
+PPEKRVAYCFEVAAQRSPDKKTCPMKEGNPFGPFWDQFHVSFNKSELFAGISFSASYKDQ
+WIQR
+>tr|A4FUD0|A4FUD0_BOVIN MTHFD1 protein OS=Bos taurus OX=9913 GN=MTHFD1 PE=1 SV=1
+MAPAEILNGKVVSAQIKERLKNQVIQMKEEVPGFIPGLAILQVGNRDDSNLYINMKLKAA
+EEIGIKATHIKLPRTATESEVLKCITSLNEDLTVHGFIVQLPLDSENPINTETLVNAIAP
+EKDVDGLNSISAGKLARGDLSNCFIPCTPKGCLELIKETGVQIAGRHAVVVGRSKIVGAP
+MHDLLLWNHATVTTCHSKTANLKEEISKGDILVVAAGQPEMVKGEWIKPGAIVIDCGINY
+VTDDTKPNGKKIVGDVAYTEAKERASFITPVPGGVGPMTVAMLMQSTVESAKRFLENFKP
+GKWIIQYNKLNLKTPVPSDIDISRSYKPKPIGNLAREVGLRTEEVELYGETKAKVLLSAL
+ERLKHQPDGKYVVVTGITPTPLGEGKSTTTIGLVQALGTHLHQNVFACVRQPSQGPTFGI
+KGGAAGGGYSQVIPMEEFNLHLTGDIHAITAANNLVAAAIDARMFHEETQTDKALFNRLV
+PSVNGVRKFSDIQIRRLRRLGIEKTDPATLTDEEINRFARLDIDPETITWQRVLDTNDRF
+LRKITIGQAPTEKGHSRTAQFDISVASEIMAVLALTSSLEDMRERLGKMVVASSKKGEPI
+STEDLGVSGALTVLMKDAIKPNLMQTLEGTPVFVHAGPFANIAHGNSSIIADRIALKLVG
+PEGFVVTEAGFGADIGMEKFFNIKCRYSGLRPHVVVLVATVRALKMHGGGPTVTAGLPLP
+KAYIEEDLELVGKGFSNLKKQIENARMFGVPVVVAVNAFKTDTEAELDLVSRLAKEHGAF
+DAVKCTHWAEGGKGALALAEAVQRAAAAPSSFQLLYDLKLPVEDKIRIIAQKIYGADNIE
+LLPEAQHKAEVYTKQGFGNLPICMAKTHLSLSHNPELKGVPTGFVLPIRDIRASVGAGFL
+YPLVGTMSTMPGLPTRPCFYDIDLDPETEQVNGLF
+>tr|Q3ZBH2|Q3ZBH2_BOVIN NAD(P)H dehydrogenase, quinone 1 OS=Bos taurus OX=9913 GN=NQO1 PE=2 SV=1
+MAVRKALIVLAHSERTSFNYAMKEAAIEALKRKGWEVTVSDLYAMNFNPVISRKDITGKL
+KDPGNFQYPAETVLAYKEGRLSPDIVAEQKKLEAADLVIFQFPLQWFGVPAILKGWFERV
+LIGEFAYKYAAMYDKGPFRNKKAVLSITTGGSGSMYSLHGIHGDMNIILWPIQSGTLHFC
+GFQVLEPQLTYSIGHTPEDARVQILEGWKKRLENIWDEMPLYFAPSSLFDLNFQAGFLMK
+KEVQDEQKSKKFGLSVGHHLGKSIPMDNQIKAIK
+>tr|Q08DC9|Q08DC9_BOVIN Cell cycle exit and neuronal differentiation 1 OS=Bos taurus OX=9913 GN=CEND1 PE=2 SV=1
+MESRGKSTNSPKADAKATAETRAPAAVDGKAPSSKPGKKEAQAEKQEPPAAPTPPPAKKT
+PAKADPALLNNHSNLKPAPAAPSTPDAAPAPKGPGDGAEEGGAPTGGPGSRGPCPFENLT
+PLLVAGGVAVAAAALVLGVAFLVRKK
+>tr|Q402A0|Q402A0_BOVIN Aggrus OS=Bos taurus OX=9913 GN=PDPN PE=2 SV=1
+MWKVPVLFFILGSASFWVLAEGASTVRPEDDVTTGVTSEKTTLGVEDYTTTPAASKESLA
+TPMPAGTENVSHDHREDLPTAEGTTAEGTTAKSTPARSTPARSTTTVLTRVPATSHSQGK
+TDGEKPKSTQKGGLSVGTLVGIIVGVLVGIAVIGGIISVIVRKMGRYSP
+>tr|A6QR57|A6QR57_BOVIN Phospholipase OS=Bos taurus OX=9913 GN=PLD1 PE=2 SV=1
+MSLKNEPRVNTSALQKIAADMSNLIENLDTRELHFEGEEVDCDVSPSDPRTQEVYIPFSA
+VYKTQGFKEPNIQTYLSGCPIKVQVLEVERFTSTKRVPSINLYTIELTHGEFTWQVKRKF
+KHFQEFHRELLKYKAFIRIPIPTRRHTFRRQNVKEEPREMPALPRTSENMIQQEEQFFSR
+RKQLEDYLTKLLKMPMYRNYHATTEFLDISQLSFIHDLGPKGIEGMIMKRSGGHRIPGLN
+CCGQGRACYRWAKRWLIVKDSFLLYMKPDSGAIAFVLLVDKEFRVKVGRKETETKYGLRI
+DNLSRTLILKCNSYRHARWWGGAIEEFIQKYGPDFLRDHRFGSYAAIQGNTLAKWYVNAK
+GYFEDVANAMEEAKEEIFITDWWLSPEIFLKRPVVEGNRWRLDCILKRKAGVRIFIMLYK
+EVELALGINSEYSKRTLMRLHPNIKVMRHPDHVSSSVYLWAHHEKLVIIDQSVAFVGGID
+LAYGRWDDNEHRLTDVGSVKRVVGGPSLGSLTAETTESMESLCLRDKNESDKDLPILNSP
+DDANSKPKGKKPSKFSKFSLYRQLHRHHLHDTDSISSIDSASNTASLRSVQTRVGELHGE
+TRFWHGKDYCNFVFKDWVQLDKPFADFIDRHSTPRMPWHDIASAVHGKAARDAARHFIQR
+WNFTKIMKPKYRCLSYPFLLPKSQTTAHELKYQVPGSVHANVQLLRSAADWSAGIKYHEE
+SIHAAYVYVIENSKHYIYIENQFFISCSDDRVVFNKIGDAIAQRILKAHRGSQRYRVYVV
+IPLLPGFEGDISTGGGNALQAIMHFNYRTMCRGENSILGQLKAEIGNQWINYISFCGLRT
+HAELEGNLVTELIYVHSKLLIADDNTVIIGSANINDRSMLGKRDSEMAVIVQDTETVPSV
+MDGKEYQAGRFAQGLRLQCFRVVLGYLSDPSEDIQDPVSDKFFKEVWVSTAARNATIYDK
+VFRCLPNDEVHNLIQLRDFISKPILAKEDPIRAEEELKKIRGFLVQFPFYFLSEENLLPS
+VGTKEAMVPMEVWT
+>tr|E1BAG3|E1BAG3_BOVIN Thioredoxin domain containing 16 OS=Bos taurus OX=9913 GN=TXNDC16 PE=4 SV=2
+MMPSSFSVFRAGISFVMMCIFYTSAVDSPELSPQTFFSTLQPGKATLVYFCQAESPRTSM
+FLEELNEAAKPLQDYGISVAKVNCVTEETSRYCGSEKDLIKAYLFRGNIPLREFPTDTLF
+DVDAILAHVLFALLFNEVKYITTLGDLQNIENALKGKRNIAFSYVRAIGTPEHRAVMEAA
+FVYGTTYQFVLTTEIAVLESIGPEDIEYPHLYFFYCKQVLDLTQPCRRILMKQSLTTLNI
+HRFIKTMEAPLLTEVAGDPTQVSTVHLQLGLPLVFIVGQQATYEADRTTAEWVAWRLLGK
+AGVLLLLRDSLEVDIPHDTNVIIRRAEEDTQVEFLALSHIDLIVFHLESTMNVEEMVKYE
+DMAELNIEIQDDEVEETVYRDRKRQLPLELTLELTEETFHATVTASDSIVLFYAGWQAVS
+MAFLQSYIDVAIKLKGTSTMLLARVNCADWSHVCTEQNVTEFPVVKMYKEGQNPVSYTGM
+LGTEDLLKFIQLNRISCPVNITSVQEAEEYLNGELYKDLISYSSMSVLGLFSPTMTRAKE
+EFTEAGSYLKGYVTTGIYSEEDVLRLSSKYTVTLPALLLARHKEGKIESIPLVNVHVHDI
+VQIITNASLETFPEITVENLPTYLRLQKPLLILFSDGGIDLQHEKTILRLAKKKYLDSVT
+PCWLNLKKTPVGRGVLQAYFGTLPPLPLLVVVNLHSGGHVFAFPSDQAVTKQNLLLWLKK
+LEAGLESPIKVLPAQEWKPPLPAYDFLSMMDAAASEHLARKVPECIKETDMHESDKEQPD
+DKSAITEESIGTSKMKHSSRSSWLEEIKKPLRHDNQEL
+>tr|A6QQQ6|A6QQQ6_BOVIN LCLAT1 protein OS=Bos taurus OX=9913 GN=LCLAT1 PE=2 SV=1
+MVSWRGIYFILTLFWGSFFGSIFMLGPFLPLMFISPSWYRWINNRIVATWLTLPVALLET
+MLGVKVVITGDAFVPGERSVIIMNHRTRMDWMFLWNCLMRYSYLRLQKICLKASLKSVPG
+FGRFT
+>tr|Q08E33|Q08E33_BOVIN CLOCK interacting pacemaker OS=Bos taurus OX=9913 GN=CIPC PE=2 SV=1
+MERKNPSREGSRRLSAKPSKGSEMKKAARQLGLAAAESDKDSGFSDGSSECLSSAEQMES
+EDMLSALGWSREDKPRPSSKPASGAFPTLSPMVVMKNVLVKQGSSSSQLQSWTVQPSFEV
+ISAQPQLLFLHPPVPSPVSPGHAGEKKSDSRNYLPILNSYTKIAPHPGKRGPAHSAEERE
+GGMQKKVCTERLGSGLSAREPTKTGAGPPQPPTPAPPSTKLAEDSALQGVPSLVAGGSPQ
+TLQPVSSSHVAKAPSLTFASPASPVCASDSTLHGVESSSPLSPPAASYSSALWAAEHFCR
+SPDSFPEQRQSKHRRFQNTLVVLHKSGLLEITLKTKELIRQNQATQVELDQLKEQTRLFI
+EATKSRAPQAWARLQASLSSGSGHTGSDLEAFSEQPDI
+>tr|Q0VC38|Q0VC38_BOVIN Acid sensing ion channel subunit 2 OS=Bos taurus OX=9913 GN=ASIC2 PE=2 SV=1
+MDLKESPSEGSLQPSSIQIFANTSTLHGIRHIFVYGPLTVRRVLWAVAFVGSLGLLLVES
+SERVSYYLSYQHVTKVDEVVAQSLVFPAVTLCNLNGFRFSRLTTNDLYHAGELLALLDVN
+LQIPDPHLADPTVLEALQQKANFKHYKPKQFSMLEFLHRVGHDLKDMMLYCKFKGQECGH
+QDFTTVFTKYGKCYMFNSGEDGKPLLTTVKGGTGNGLEIMLDIQQDEYLPIWGETEETTF
+EAGVKVQIHSQSEPPFIQELGFGVAPGFQTFVATQEQRLTYLPPPWGECRSSEMGLDFFP
+VYSITACRIDCETRYIVENCNCRMVHMPGDAPFCTPEQHKECAEPALGLLAEKDSNYCLC
+RTPCNLTRYNKELSMVKIPSKTSAKYLEKKFNKSEKYISENILVLDIFFEALNYETIEQK
+KAYEVAALLGDIGGQMGLFIGASILTILELFDYIYELIKEKLLDLLGKEEEEGSHDENVS
+TCDTMPNHSETISHTVNVPLQTALGTLEEIAC
+>tr|A3R3B4|A3R3B4_BOVIN NKG2A OS=Bos taurus OX=9913 GN=NKG2A PE=2 SV=1
+MNNQGVIYAELKGVKNSKRQRIKPKGSKGSISIAPQELTYAELNLQNASQNLQGNEENYH
+FKGSPSPPEKLIAGILGIICLVLMSTVVTMIVITPSTLKQEQNNSSRITRLQKECHCGHS
+KDWFTYSHNCYCIIFEEKTWNGSLTACASRNSTLLYIDNEEELTFLKSLSIMSWIPVFRE
+GRGHPWMWQNGSTCKLQVLDVLPEKGNCAVLSSGDIKSDDCEFPNFYTCKHKLEN
+>tr|Q0II81|Q0II81_BOVIN Regulator of G-protein signaling 13 OS=Bos taurus OX=9913 GN=RGS13 PE=2 SV=1
+MIIVDVKLDGPVVYAAYLKTEHSDENIKFWMACETYKKTASQWSRTSRAKKLYKVYIQPQ
+SPREINIDSSTREAIIKNIQEPTQTCFEEAQKIVYMHMERDSYPRFLKSEMYQKLLKTIQ
+ANNNS
+>tr|Q0VBX6|Q0VBX6_BOVIN TPM3 protein OS=Bos taurus OX=9913 GN=TPM3 PE=2 SV=1
+MEAIKKKMQMLKLDKENALDRAEQAEAEQKQAEERSKQLEDELAAMQKKLKGTEDELDKY
+SEALKDAQEKLELAEKKAADMLLSVLLHKGSRDTSL
+>tr|Q32LJ9|Q32LJ9_BOVIN Eukaryotic translation initiation factor 1B OS=Bos taurus OX=9913 GN=EIF1B PE=2 SV=1
+MSTIQNLQSFDPFADATKGDDLLPAGTEDYIHIRIQQRNGRKTLTTVQGIADDYDKKKLV
+KAFKKKFACNGTVIEHPEYGEVIQLQGDQRKNICQFLLEVGIVKEEQLKVHGF
+>tr|A4PBQ6|A4PBQ6_BOVIN Prolactin-related protein XIV OS=Bos taurus OX=9913 GN=PRP14 PE=2 SV=1
+MAPAPSFRGHQWTYNPIRGSCLLLLLVVSNLVLCQGKSCLSCSPDVFVSLQKSLTDVFVY
+ALSLSHDIHNLSTIMFNEFDEKYAQGKLYHINATKSCHTNSFHTPEEGDKAQQTNNEDLI
+KWTLVLLYCWNNPLYYLVPEIQSMKNLSEAVVSSAEEIENMSEKLESLIETHFGKIIFPV
+LKTIHEARIPWSRYSSMKFSNEDRRISEYYNLFYCLRRDSHKVDMYINILECRTRKTC
+>tr|Q17Q88|Q17Q88_BOVIN Chromosome 1 open reading frame 41 ortholog OS=Bos taurus OX=9913 GN=HSPB11 PE=2 SV=1
+MRKVDLCLSSEGTEVIFATSSDEKHPPENMIDGNPETFWTTTGMFPQEFIICFHKHVRIE
+KLVIQSYFVRTLRIEKSTSKEPVDFEQWIERDLVHTEGQLQNEEILARDGHVTYLRFIIV
+SAFDHFASVHSVSAEGVAVSNLS
+>tr|A4FV22|A4FV22_BOVIN TMEM87A protein OS=Bos taurus OX=9913 GN=TMEM87A PE=2 SV=1
+MAVAAWLQVVPVILLLLGARTSPLSLFSVGPAPVAAAERSKWHIPIPSAKNYFSFGKILF
+KNTTIFLKFDGEPCDASLNITWYLKSADCYNEIYNFKLEEVDTYLENLKEKKGLSGKYET
+SSKLFQNCSELFKAQSFSGDFVHRLPLLGERQEAKENGTNLTFTGDKTVMHDPLKTWQDA
+PYIFIVHVGISSSKESSKENSRSTLFTMTVEVKGPYEYLTLEEYPLMIFFMVMCIVYVLF
+GVLWLAWSACYWRDLLRIQFWIGAVIFLGMLEKAVFYAEFQNIRYKGESVQGALILAELL
+SAVKRSLARTLVIIVSLGYGIVKPRLGVTLHKVVVAGALYLLFSGMEGVLRVTGAQTDLA
+SLAFIPLAFLDTALCWWIFISLTQTMKLLKLRRNIVKLSLYRHFTNTLILAVAASIVFII
+WTTMKFRIVTCQSDWRELWVDDAIWRLLFSMILFVIMVLWRPSANNQRFAFSPLSEEEEE
+DEQKEPMLKESFEGMKMRSTKQEPNGNSKVNKAQEDDLKWVEENVPSSVTDVALPALLDS
+DEERMITHFERSKME
+>tr|E1AXU0|E1AXU0_BOVIN Cardiomyopathy associated protein 1 OS=Bos taurus OX=9913 GN=XIRP1 PE=2 SV=1
+MANAQTQMAPTPTIPMAATEDLPLPPPPALEDLPPPPPKESFSKFHQQRQASELRRLYKH
+IHPELRKNLAEAVAEDLAEVLGSEEPTEGDVQCMRWIFENWRLDAIGDHEKPPAKESVPG
+GNVQATSRKFEEGSFANSINQEPAGPRPSGGDVRAARQLFETKPLDALTVRAEASEATVR
+EPAASGDVQGTRMLFETRPLDRLGSRPSTQEQSPLELRSEIQELKGDVKKTVKLFQTEPL
+CAIQDSEGAIHEVKAAYREEIQSNAVRSGRWLFETKPLDAINRDPSQVRVIRGISLEEAA
+RPDVSATRWIFETQPLDAIREILVDEQDFQPSPDLIPPGPDVQQQRRLFETRALDTLKGE
+EEAGAEAPPKEAVVPGDVRSTLWLFETKPLDTLRDNVQVGHLQRVGPQEGERFTNEHLSN
+ADPSAPTLSQGAPQRDGVKGDVKTFKNLFETLPLDSIGQGEALAPGSICRAEGTDSAGQS
+QDTGSPVYAMQDGKGHLHALTSVSREQVVGGDVQGYKWMFETQPLDRLGRSPSTVDVVRG
+ITQQEVVAGDVGTTRWLFETQPLEVIHQREQQEREEEEGKPQGGPQPEIPHKGDVQTIRW
+LFETCPMSELAERQGSEVTDLTSKARSCTWMFAPQSPDWPEGSKEQHLEVSQVQAGERQT
+ERHVFETEPLQAAGHPCGRGPVRYCSRVDIPSGQVSRQKEVFQALEAGKREDQGPREIPE
+PISAGSVHKFTWLFENCPMGSLAAESIRGGNLQEEQPVGPSGKGVPERQETAAEGTLRTL
+HATPGVLHHGGILMEARGPGELCLARYVLPCPGQDSPHVRKEELVSGELPRIVRQVLRRA
+DVDQQGLLVQEDPAGQLHLKPLKLPAPGSSGSIEDMDPEFQQLLACGLGTSAGRTGLVMQ
+ETERGLVALTAYSLQPWLASRAPERSSVQLLAGCINKGDLSGLHNLRWEPPADSSPVPAS
+KGAQKLPPAESIIHVPPLDPGKGMGHLRGPGATPCAPQAAGKAVSLAGEEKQESRCTGQK
+GTAALGKSEGAMTMPPGPRFPALQVTMQSRRTPTAQAQSLQQQARSKHKPGPTPGAASLP
+TQDGLPQAPAAGTAQSNSKPLAGGNPRIPAAPSKVSGEQKALPGGLSGGWVTIQDGIYTA
+HPARTFDLPGAVWPSGQGPFPLLEGLGQSLGPGQEELGGHTQKAWEPPEKVMAGLGPGGL
+QAAETTLKAALAHHTRASEPRAAGASLHSRNASVPPPPPLPASVTGPDFPAQPRHDENSI
+RQTSKPTQDPLLHSHNSPAGQKSPGQPQTKTLKPEPPTHLRKKPQLPPKPAHLSQLPLPR
+WLSKPAALAHSAAEEGGQGKHKQGETATANHDPRPHRVSIAADQGRVSLPQGPAGQSQPS
+PQHGPSTVAPSPTKSQAIGSNNHSPDPLRLSALSSHPISLQQGPSLTGEKCSDSSQQGAP
+KSPEILQGGQQELQGLLSQVQALEKEAESTVDVQALQRLFEAVPQLPGAPPAPATPHKPE
+ASVEQAFGELTRVSTEVARLKEQTLARLLDIEEAVHKALSSMSSLQTGTNTRGHSQGPPK
+EHSAQEISVTDSGRVRPNCSGQEVKSQTLVKSQTEVTSHTEVQSQAKVRNHSEAGSQAAP
+ATPSTRKLEALREDSHLPQALPLSRESPSSPTFISMESATRKLPEEASPRGNPEISVKRA
+HFTQDECQTQPHQKDIWHKAGEKEAPQLSGPPPPGPAAASALPTRQKSALEPQTAPGGSR
+HDGATGAGTERVGQCRTTALVSPTTVTEPAEPPRGPGPHLGHHTSPLMRQFLHSQTGLST
+GLAEAEMLRVPCGHPTPTAQ
+>tr|A6QL90|A6QL90_BOVIN CLIC3 protein OS=Bos taurus OX=9913 GN=CLIC3 PE=2 SV=1
+MAEAGKLQLFVKASEDGESVGHCPSCQRLFMILLLKGVPFTLTTVDTRRSPEVLKDFAPG
+SQLPILLCDGDAKTDTLQIEEFLEETLGPPEFPSLAPRYRESTAAGNDVFHKFSAFIKNP
+VPAQDDALYQQLLRALAKLDSYLRAPLEHELGREPQLRESRRRFLDGDQLTLADCGLLPK
+LHVVNTVCAHFRQAPIPAELRGLRRYLDCALQEKEFKYTCPHSAEILAAYRPVVRPR
+>tr|A5PJ81|A5PJ81_BOVIN LACTB protein OS=Bos taurus OX=9913 GN=LACTB PE=2 SV=1
+MYRLLSAVMARAATTGGCAWGCGRRAAHQRAGLPPLGPGWVGGLGLGLGLALGVKLAGGL
+RGASPAPPAAAPDPEALPQAEPLQAQEQPLAPWSPQTPAPPHARHFARAIDSSRDLLHRI
+KDEVGAPGIVVGVSVDGKEVWSEGLGYADVENRVPCKPETVMRIASISKSLTMVAIAKLW
+EAGKLDLDIPVQHYVPEFPEKEYEGEKVSVTTRLLISHLSGIRHYEKDMKKVKEEKAYKA
+LKMMKEMMESDQEKELKEKGGKSNEKNDFAKAKVEQDNETKGRNSKPCKKKNDFEQGELY
+LKEKFENSIESLRLFKNDPLFFKPGSQFLYSTFGYTLLAAIVERASGYKYLDYMQKIFHD
+LDMLTTVQEENEPVIYNRARFYVYNKKKRLVNTPYVDNSYKWAGGGFLSTVGDLLKFGNA
+MLYGYQVGLFKNANENLLPGYLKPETMLMIWTPVPNTEMSWDKEGKYAMAWGVVEKKQTY
+GSCRKQRHYASHTGGAVGASSVLLVLPEELDAEALNNKVPPRGIVVSIICNMQSVGLNST
+ALKIALEFDKDRSDIP
+>tr|A4IFA1|A4IFA1_BOVIN GTP-binding protein OS=Bos taurus OX=9913 GN=GEM PE=2 SV=1
+MTLNHVTMRQGTAGVQPQQQRWSIPADGRHLMVQKEPQQYGQRSRPPAAPEDPCRRSWSS
+DSTDSVISSESGNTYYRVVLIGEQGVGKSTLANIFAGVHDSMDSDCEVLGEDTYERTLMV
+DGEIATIILLDMWENKGESEWLQDHCMQVGDAYLIVYSITDRASFEKASELRIQLRRARQ
+TEDIPIILVGNKSDLVRCREVSVSEGRACAVVFDCKFIETSAAVQHNVKELFEGIVRQVR
+LRRDSKEKNERRLAYQKRRESIPRKARRFWGKIVAKNNKNMAFKLKSKSCHDLSVL
+>tr|Q9TUJ0|Q9TUJ0_BOVIN Growth hormone releasing hormone receptor OS=Bos taurus OX=9913 GN=GHRHR PE=2 SV=1
+MDSRVWGACVLCLLGPLPIVLGHVHPECDVITQLREDEQACLQAAEGMPNSTLGCPRIWD
+GLLCWPTAGSGEWVSLPCPAFFSHFSSEPGAVKRDCTIAGWSEPFPPYPEACPVPLELLT
+EEKSYFSAVRIIYTMGHSVSAAALLVAIIILVALRRLHCPRNYIHTQLFITFILKAAAVF
+LKDATLFHQENTDHCSFSTVTVLCKVSVATSHFATMTNFSWLLAEAVYLTCLLVSTLPST
+RRVFWWLVLAAWGLPLLFTGMWVGCKLAFEDVACWDLDDSSPYWWIIKGPIVLSVGVNFG
+LFLNIIRILLRKLEPTQGSLHTQHQYWRLSKSTLLLIPLFGIHYVIFNFLPDSAGLDIRL
+PLELGLGSFQGFIVAILYCFLNQEVRTEISRRWHGHDLELLPARVTHIKWTTPSHSRVKV
+TVPVPSCPQAGEPFIGTHSGE
+>tr|A5PJG9|A5PJG9_BOVIN ETS proto-oncogene 1, transcription factor OS=Bos taurus OX=9913 GN=ETS1 PE=2 SV=1
+MKAAVDLKPTLTIIKTEKVDMELFPSPDMECADVPLLTPSSKEMMSQALKATFSGFSKEQ
+QRLGIPKDPRQWTETHVRDWVMWAVNEFSLKGVDFQKFCMNGAALCALGKDCFLELAPDF
+VGDILWEHLEILQKEDVKPYQVNGVNPPYPESRYTSDYFISYGIEHAQCVPPSEFSEPSF
+ITESYQTLHPISSEELLSLKYENDYSSVILRDTLQTDTLQTDYFAIKQEVVTPDNMCMGR
+ASRGKLGGQDSFESIESYDSCDRLTQSWSSQSSFNSLQRVPSYDSFDSEDYPAALPNHKP
+KGTFKDYVRDRADLNKDKPVIPAAALAGYTGSGPIQLWQFLLELLTDKSCQSFISWTGDG
+WEFKLSDPDEVARRWGKRKNKPKMNYEKLSRGLRYYYDKNIIHKTAGKRYVYRFVCDLQS
+LLGYTPEELHAMLDVKPDADE
+>tr|A8E4M9|A8E4M9_BOVIN PRPF39 protein OS=Bos taurus OX=9913 GN=PRPF39 PE=2 SV=1
+MQGLLRFEDQDSARGDQNIAMFYPTSTQMVYRRGLQAIPLSVDLWIHYINFLKETLDPGD
+PETNSTVRGTFEHAVLAAGTDFRSDRLWEMYINWENEQGNLREVTAIYDRILGIPTQLYS
+HHFQRFKDHVQNNLPRDLLTGEQFIQLRRELASVNGHSGDDGPPGDDLPSGIEDITDPAK
+LITEIENMRHRIIEIHQEMFNYNEHEVSKRWTFEEGIKRPYFHVKPLEKAQLKNWKEYLE
+FEIENGTHERVVVLFERCVISCALYEEFWIKYAKYMENHSIEGVRHVFSRACTIHLPKKP
+MVHMLWAAFEEQQGNINEARNILRTFEECVLGLAMVRLRRVSLERRHGNMEEAERLLQEA
+IKNAKSNNESSFYAIKLARHLFKIQKNLPKSRKVLLEAIERDKENTKLYLNLLEMEYSGD
+LKQNEDNILNCFDKAIHGSLPIKMRITFSQRKVEFLEDFGSDVNKLLNAYDEHQTLLKEQ
+DSLKRKAENGSEEPEEKKAHTEDTTSSSTQMIDGDLQANQAAYNYSAWYQYNYQTPWNYG
+QYYPPPPT
+>tr|A6QR67|A6QR67_BOVIN DSC1 protein OS=Bos taurus OX=9913 GN=DSC1 PE=2 SV=1
+MAVASAAPGSIFWKQLLFSLLVLILFCDACQKISLQVPSHLRAEALVGKVNLKECLQSAS
+LILSSDPDFRILEDGSIYTTHDLVLSSGKSFSILLSDSQGQGQKEIEIILEAGGKKVPKR
+HMKDAVLRRSKRRWAPIPCSLMENSLGPFPQHVQQVQSDAAQNYTIFYSISGPGVDKEPF
+NLFFIEKDTGDIFCTRSIDREQYQEFPIYAYATTADGYAPEYPLPLVFKVEDDNDNAPYF
+ENKLTVFTVPENCRTGTSVGKVTAIDLDEPDTLHTRLKYKILQQIPNNPRHFTVHPDTGV
+ITTTTPLLDREKCDTYKLIMEVRDMGGQPFGLFNTGTITISLEDENDNAPYFTETSYTVE
+VEENRIDVEILRMAVHDHDLPNTPHSRAVYQILQGNENGTFKISTDPNTNEAVLCVVKPL
+NYEVNRQVVLQIGVLNEAQFAKAVNSKTTTTMCTTVVTVKVKDHDEGPECQPPVKVIQSE
+DCLPAGTELLGYKAVDPERGTGEGLRYKKIQDEDNWFEINEYTGDLKTVKVLDRESTFVK
+NNQYNVSVIAFDADGRSCTGTLVVFLEDKNDHPPQIKQEELTICRHDKDYVVLEPTDQDG
+PDNGPPFQFILDNSASKLWTVETRDGKTAILRGRQDLDYDYYTVPIQIKDRHGASATHIL
+PVRVCDCTIPSECRMPSKLSREAALANVFLGKWAILAMVLGSVLLLCILFTCFCVTVKKT
+VKKCFPEDVAQQNLIVSNTEGPGEEVMDANIRLPTQTSNVCDTSISVGTLGGQGVKTQQS
+FEMVKGGYTLDANKGGGHQTLESVKGVTDTGRYTYSDWHNFTQPRLGEKVYLCGQDEEHK
+LCEDYVRSYSYEGKGSVAGSVGCCSDRQEEEGLDFLDHLEPKFRTLAKTCVKK
+>tr|A7MBJ8|A7MBJ8_BOVIN LAMP3 protein OS=Bos taurus OX=9913 GN=LAMP3 PE=2 SV=1
+MSWQIPAVVMSFMALVAIWYYDSHYNSHMQAKVFPEITGYSSPTTGQATVKPSLLQPTNY
+VPHKTAAARSTDGHVTSQTVAKTSSSETLTTNTTIDVLATTSPVTTKSTLPTTPTTHTLV
+TTLATPNKSHVTFPVTEAKVGLSVGPSSPPVTVNPTAHTTGNRPSTASHTTGKTTQLSNQ
+TTLPATLSTSPHNITTSQKPTQPTHTPGPTTATYNTTQTASPATIAPRPTLAPQPLSPKT
+GIYQVHNGSKLCIKAEMGIQLTVQDSVSVFSPQKYFNIDPNATQASGNCGSRKSNLLLNF
+QGGFVNLTFTKGEKSYYISEVEAYLTVSNPAKVYQGLKHAMMMFETVVGHSFKCVSEQSI
+QLSTYLQLKTMNVQLQAFDFEDDHFGNADECISDRNRREIPVAVGLSIAVLLAVLLTACL
+VTRKRPSRGYERM
+>tr|A0A3Q1MPS5|A0A3Q1MPS5_BOVIN Nuclear receptor subfamily 4 group A member 1 OS=Bos taurus OX=9913 GN=NR4A1 PE=3 SV=1
+MPCIQAQYGTPAPSPGPRDHLAGDLLTPELSKPTMDLASPEAAPAVPTALPSFSTFMDGY
+TGEFDTFLYQLSGTAQPCSSASSSASSTSSSSATSPASASFKFEDFQVYGCYPGTLSGPL
+DETLSSSGSDYYGSPCSAPSPSTPSFQPPQLSPWDGSFGPFSPSQTYEGLRAWTEQLPKA
+SGPPQPPAFFSFSPPPGPSPSLAPSPLKLFPSQAAHQLGEGESYSMQTAFPGLVPTSPHL
+DGSGRLDAPVTSAKARSGAPGGSEGRCAVCGDNASCQHYGVRTCEGCKGFFKRTVQKNAK
+YICLANKDCPVDKRRRNRCQFCRFQKCLAVGMVKEVVRTDSLKGRRGRLPSKPKQPPETS
+PAHLLTSLVRAHLDSGPSTSKLDYSKFQELALPHFGKEDAGDVQQFYDLLSGSLEVIRKW
+AEKIPGFAELSPGDQDLLLESAFLELFILRLAYRSKPAEGKLIFCSGLVLHRLQCARGFG
+DWIDSILAFSRSLHSLVVDIPAFACLSALVLITDRHGLQEPRRVEELQNRIASCLKEHVS
+AEAVLDTTL
+>tr|A0A3Q1M5W5|A0A3Q1M5W5_BOVIN FAT atypical cadherin 1 OS=Bos taurus OX=9913 GN=FAT1 PE=4 SV=1
+MGRHLASLLLLLRLLQHFRDGDGSGTLEEMPLQFTHFQYNVTVHENSAAKTYVGHPVKMG
+IYMTNPLWELRYKIISGDNENLFKAEEYVLGDFCFLRIRTKGGNTAILNREVKDHYTLIV
+KAVEKNTNAEARTQVRVQVLDTNDLRPLFSPTSYSVSLPENTAIRTSIARVSATDADIGT
+NGEFYYSFKDRTDMFAIHPTSGTIVLTGRLDYTETSVYEMEILAVDRGMKLYGSSGISSM
+AKLTVHVEQANACAPVITAVTSSPSELDRDPTYAIVTVDDCDQGANGEVASLSIVAGDLL
+QQFRTVRSSPGSREYKLKATGAIDWDSHPFGYNLTLQAKDKGTPPQFSSVKVIHVISPRF
+KAGPVRFEKEVYRAEISEFAPPHTPVVMVKATPSYPHLKYVFKSTPGKAKFSLNHNTGLI
+SILEPLKRQQASHFELEVTTSDRKASTRVLVKVLSANSHPPEFTQTAYKASFDENVPIGT
+TVMSVSAVDPDEGENGYVTYSIANLNHVPFVINHFTGAVSTSENLDYELMPRVYTLRIRA
+SDWGSPYRREIEILATLTLNNLNDNTPLFEKINCEGTIPRDLGVGEQITTVSAIDADELQ
+LVRYQIEAGNELDLFSLNPNSGVLSLKQSLMDGLGAKVSFHSLRITATDGENFATPLYIN
+MTVAALRKPVSLQCEETGVAKMLAEKLLQANKLHSQGEVEDVFFDSHSVNAHAPQFRSTL
+PAGIQVKENQPVGSSLLVMNATDLDTGFNGKLVYAVSGGNEDSCFIMDMETGMLKILSPL
+DRETTDRYTLNITVSDLGLPQRAAWHLLEIRVLDANDNPPEFLQESYFVEVSEDKEINSE
+IIQVEATDKDLGPNGHVRYSILTDTDKFSIDSVTGVVKILNPLDREEQQVHYLKVEARDQ
+AREEPQLLSTVILKVSLDDVNDNPPKFIPPNYRVKVREDLPEGTIIMWLEAHDPDLGQSS
+QVRYSLLDHGEGNFDVDKLSGAVRIIQQLDFEKKQVYNLTVRAKDKGKPISLSSTCYVEV
+EVIDVNENLHPPVFPSFVEKGAVKENAPLGSSVMKVSARDEDMGRDGEIHYSIRDGSGVG
+VFRIDEETGVIETSDRLDRESTSHYWLTVYASDQGVVPLSSFVEVYIEVEDVNDNAPQTS
+EPVYYPEIMENSPKDVSVVQIEAFDPDSSSDDKLTYKITSGNPQGFFSINSKTGLITTTA
+RKLDREQQDEHILEVTVTDNGSPPKSTITRVIVKILDENDNKPQFLQKFYKIRLPEREKP
+ERERNAKREPLYRVIATDKDEGPNAEISYSIEEGNEHGKFFIEPKTGVVLSKKFSGAGEY
+DILSIKAVDNGRPQKSSTARLHIEWISKPKPSLEPISFEESFFTFTVMESDPVAHMIGVI
+SVEPPGIPLWFDIIGGNYDSHFDVDRGTGTIIIAKPLDAEQKSNYNLTVEATDGTTTILT
+QVFIKVIDTNDHRPQFSTSKYEVVIPEDTVPETEILQISAVDKDEKNKLIYTLQSSIDPL
+SLKKFRLDPATGSLYTSEKLDHEAIHQHILTVMVRDQDVPVKRNFARIVVNVSDTNDHAP
+WFTSSSYEGRVYESAAVGSVVLQVTALDKDKGKNAEVLYSIESGNIGNSFTIDPILGSIK
+TARELDRSNQVAYDLMVKATDRGDPPMSEITSVRVFVTIADNASPKFTSKEYSVEISETV
+GIGSFVGMVTAHSQSSVVYEIKDGNVADAFDINPHSGSIITQKALDFETLPIYTLTIQGT
+NMAGLSTNTTVLVHLLDENDNLPVFVQAEYTGLISESASINSVVLTDRNVPLVIRATDAD
+RESNALLVYHIVEPSVHKYFTIDSSTGAIHTVLSLDYEETSTFHFTVQVHDMGTPRLFAE
+YAANVTIHVIDINDCPPVFSTSLYEASLLLPTYRGVKVVAVNATDADSSAFSQLMYSITE
+GNIGEKFLMDRKTGTITVQNTTQLRSRYELTVRASDGRFASFAAIKINVKESKESQLKFT
+QDFYSAIVKENSTEARTLAVITALGNPINEPLFYHILNPDPRFRISRTSGVLSTTGIPFD
+REQQEAFDVVVEVTRERKPPAVAHVIVKVVIEDQNDNAPVFVNLPYYALVKVDAAVGQVI
+RHVTAVDRDSGRNGEVHYFLKERHEHFQIGSSGEISLKKPFEPDTLNKEYLITVVARDGG
+DPAFSAEVIVPITVMNKAMPVFEKPFYSAEIPENAQLHSPVVHVQANSPEGLKVHYSITE
+GDPFSQFTVNFNTGVISVVAPLDFESHPAYKLSIRATDSLTGAHAEVFVDIIVEDINDNP
+PVFAQQSYATTLSEASVIGTSVVQVRATDADSEPNRGISYHMVGNHSKSHDHFHVDSGTG
+LISLVRTLDYEQFRQHQISVRAVDGGMPPLSSDVVVTVDVTDLNDHPPLFDQQLYEARIS
+EHAAHGHFVTCVRAYDADSSDADKLEYSILSGNDHKNFVIDGKTGIITLSNLRRHALKPS
+YSLQVSASDGVFRSSAQVHVTVIGGNLHSPLFLQNEYEVELAENAPLHTLVTEVKASDGD
+SGIYGHVTYHIVNDFAKDRFYTNERGQIFTLEKLDRETPAEKVIPIRFMAKDAGGKVAFC
+TINVILTDDNDNAPQFRATKFEVNIGSSAPKGTSVIKVLASDADEGSNADITYAIEADSE
+SVKENLEINRASGVITTKESLIGLENEVFTFFVRAVDNGSPQRESVVPVYVKVLPPEMRL
+PRFSEPFYTYTVSEDVPIGTEIDLIRAEHSGTVLYSLVKGNTPESNRDEFFVIDRQSGRL
+KLEKSLDHETTKWFQFSVLARCTHGDYELVASVDVSIQVKDTNDNSPVLESSPYEAFIVE
+NLPAGSRVIQIRASDLDSGSNGQVMYNLDQSQSVDVIESFAVNMETGWITTLRELDHEKR
+DSYQIKVVASDHGEKVQLSSTAIVDVTVTDVNDSPPRFTAEIYKGTVSEDDPPGGVIAIV
+STTDADSEEINRQVTYYITGGDPLGQFAIENIQNEWKVYVKKPLDREERDSYLLTITATD
+GTFSSKAIVEVKVLDANDNTPVCEKTLYSDTIPEDAFPGKLIMQVSATDADIRSNAEITY
+TLFGPGAEKFKLNPDTGELKTSAPLDREEQAAYHLLVKATDGGGRFCQASVVLTLEDVND
+NAPEFSADPYTITVFENTEPGTLLTRVQATDADAGLNRKISYSLLNSADGQFSINELSGI
+IQLEKPLDRELQAVYTLTLKAVDHGLPRRLTATGTIVISVLDINDNPPVFEYREYGATVS
+EDILIGTEVLQVYAASRDIEANAEITYSIISGNEHGKFSIDSKTGAIFIIENLDYESSHE
+YYLTVEATDGGTPSLSDVATVSINVTDINDNAPVFSQDTYTAVVSEDAVLEQSVITVMAD
+DADGPSNSHIHYAIIDGNQGSPFTIDPARGEVKVTKLLDRETISGYTLTVQASDNGSPPR
+VTTTTVNIDVSDVNDNAPVFSRGNYSLIIQENKPVGFSVLQLVVTDRDSSHNGPPFFFSI
+VSGNEEGAFEVNQQGALLTAAAINRKVKDHYLLHVKVADNGKPQLSSLTYIDIRVIEESV
+YPPAILPLEIFITAFGEEYSGGVIGKIHATDQDVYDTLTYSLDPQMDSLFSVSSTGGKLI
+AHKKLDIGQYLLNVSVTDGKFTTAADITVQVRQVTQEMLNQTVAVRFANLTPEEFVGDYW
+RNFQRALRNILGVRRNDIQIVSLQPAEPHPHLDVLLFVERSGVTHVSTRQLLQKINSSVS
+DVEEIIGVRILEVFRKLCAGLDCPWKFCDEKVSVDESVMSTHSTARLSFVTPRHRRMAVC
+LCREGKCPLVHHGCEDNPCPEGSECVTDPREETYTCVCPGGKSGQCPGSASVTFTGNSFV
+KYRLMENENKLEMKLTMRLRTYSAHAVVMYARGTDYSILEIHNGRLQYKFDCGSGPGIVS
+VQSIQVNDGLWHAVSLEVNGNYARLVLDQVHTASGTAPGTLKTLNLDSHVYFGGHVRQQG
+SRHGRSPQVGNGFRGCMDSIYLNGQELPLNNRPRSYAHIEESVDVSPGCLLTATEDCSSS
+PCQNGGVCHPSPTGGYYCKCNTLYVGTYCEVSVNPCSSNPCLYGGTCIVDNGDFVCQCRG
+LYSGQRCQLSPYCKDEPCKNGGTCFDSLDGAVCQCDSGFRGERCQSDVDECAGNPCRNGA
+LCENTHGSYHCNCSHEYKGKHCEDVAPNQYVSTPWNIGLAEGIGIVVFITGIFLLVLVFV
+FCRKMISRKKKPQPEPEDKHLGPSAAFLQRPYFDSKLNKNIYSDIPPQVPVRPISYTPSI
+PSDSRNNLDRNSFEGSAIPEHPEFSTFNPESMHGHRKAVAVCSVAPNLPPPPPSNSPSDS
+DSIQKPSWDFDYDTKVVDLDPCLSKKPLEEKPSQPYSARESLSEVHSLSSFQSESCDDNE
+SLAAPDLSKSRGYHWDTSDWMPSVPLPDIQEFPNYEVIDEQTPLYSADPNAIDTDYYPGG
+YDIESDFPPPPEDFPGADELPPLPPEFSDQFESIHPPRDAPAAGSPGSASRGRQRFHPNQ
+YLPSFYAVDLSEPQKAGAGDGSARREPYAPYPAGYPRTFEAPAVESLPLSVYASTASCSD
+VSACCEAESEVMMSDYESGDDGPFEEVTVPPLDSQQHTEV
+>tr|E1BFC2|E1BFC2_BOVIN Lysine demethylase 3A OS=Bos taurus OX=9913 GN=KDM3A PE=4 SV=1
+MVLTLGESWPVLVGKRFLSLSAGDGGHDSWDVERVAEWPWLSGTIRAVSHTDVTKKDLKV
+CVEFDGESWRKRRWIDVYSLLRRAFLVEHNLVLAERKSPEISERIVQWPAIMYKSLLDKA
+GLGSITSVRFLGDQQRVFLSKDLLKPIQDVNNLRLSLMDNQSVSKEFQALIVKHLDESHL
+LQGDKNLVGSEVKIYSLDPSTQWFSATIVNGNPMSKTLQVNCEEIPALKIVDPSLIHVEV
+VHDNFVTCGNSIRMGAVKRKSSENNGNLVSKQAKSCSEASPSVCPVQSVPTTVFKEILLG
+CTAATPPSKDPRQQSTPQAANSPPNLGAKIPQGCHKQILPELSSCLNTKPEILRTKPDVA
+CKVDLLSSKSSQIGTGDLKTLNEPKESCSQLKTSTEEESSVESLPPTSTDPPKECIPIKA
+ASVAELEITNTPELQKHFEHAPSTSDVLSKKPEEKAAVNSNSPDSCIEKRGEPSTLGCQS
+QNIKSSSAKVDPESCCTRSNNKFQNAPSRKSVLTDPAKLKKLQQSGEAFVQDDSCVNIVA
+QLPKCRECRLDSLRKDKEQQKDSPVFCRFFHFRRLQFNKHGVLRVEGFLTPNKYDSEAIG
+LWLPLTRNVVGTDLDTAKYILANIGDHFCQMVISEKEAMSTIEPHRQVAWKRAVKGVREM
+CDVCDTTIFNLHWVCPRCGFGVCVDCYRMKRKNCQQGAAYKTFNWLRCVKSQIHEPENLM
+PTQIIPGKALYDVGDIVHSVRAKWGIKANCPCSNRQFKLFSKPASKEDIKQTSLTGEKAT
+LGAMLPQNPSGLEPVTVGGEAASKPASSVKPACPASTSPLNWLADLTSGNVNKENKEKQP
+AMPILKNEIKCLPPLPPLCKSSTVLHTFNSTILTPVSNNSSGFLRNLLNSSTGKTENGLK
+NTPKILDDIFASLVQNKTCSDLSKRPQGLTIKPSILGFDTPHYWLCDNRLLCLQDPNNKS
+NWNVFRECWKQGQPVMVSGVHHKLNTELWKPESFRREFGNQEVDLVNCRTNEIITGATVG
+DFWDGFEDVPNRLKNEKEPMVLKLKDWPPGEDFRDMMPSRFDDLMANIPLPEYTRRDGKL
+NLASRLPNYFVRPDLGPKMYNAYGLITPEDRKYGTTNLHLDVSDAANVMVYVGIPKGQCD
+QEEEVLKTIQDGDSDELTIKRFIEGKEKPGALWHIYAAKDTEKIREFLKKVSEEQGQENP
+ADHDPIHDQSWYLDRSLRKRLHQEYGVQGWAIVQFLGDVVFIPAGAPHQVHNLYSCIKVA
+EDFVSPEHVKHCFWLTQEFRYLSQTHTNHEDKLQVKNVIYHAVKDAVAMLKASESSFGKP
+>tr|Q2KIP1|Q2KIP1_BOVIN Palmitoyltransferase OS=Bos taurus OX=9913 GN=ZDHHC19 PE=2 SV=1
+MPLLKDAMPLMKEPHPPPQVPLPWVLPSLFAAFNVVLLVIFSGLFFAFPCRWLAQNGEWA
+FPVITGLLFILTFFSLISLNFSDPGILHQGSNEQGPLMVHVVWVNHRAFRLQWCQKCCFH
+RPPRTYHCPWCNICVEDFDHHCKWVNNCIGHRNFRFFMLLVLSLCLYSGAMLVTCLIFLV
+RTTHLSFSMDKAIAILVAVPAAGFLVPLFLLLLIQAMSVSAAERSYEGKCRYLQGYNPFD
+HGCASNWYLTICAPLGPKYMAEAVWLQRVVGSDWVPIQTPRFPMCTSVLSPPAFPEPGSV
+PQPQPPGLYKPGKGPPGSGEAAVLQELHASPVLPRLREDPRRGSVYFLPFRPGRCQESLH
+PNLTS
+>tr|A6QR13|A6QR13_BOVIN PSTPIP2 protein OS=Bos taurus OX=9913 GN=PSTPIP2 PE=2 SV=1
+MTGSLFKGNFWTSDILSTIGYDSIVQHLNNGRKNCKEFEDFLKERASIEEKYGKDLLSLA
+RKKPCGQSETNTLKRALEVFKQQVDNVAQSHIYLAQTLREEARKMEEFREKQKSQQKKTE
+LIMDAAHKQKSLQFKKTMDAKKNYEQKCRDKDEAEQAVHRSANMANPKQQEKLFVKLATS
+KTAVEDSDKLYMLQINTLDRVRNEWQSEHIKACEVFEAQECERINFFRNALWLHMNQLSQ
+QCVTSDEMYEKVRKSLEMCSIEKDIEYFVNQRGTGQTPPAPIMYENFYCPQKNAAPGKAT
+GPNSARRGPLPNPKSLPDDPDYSLLDNNYSLVYQ
+>tr|A3R3B5|A3R3B5_BOVIN Killer cell lectin-like receptor subfamily C1 member 2 OS=Bos taurus OX=9913 GN=NKG2A PE=2 SV=1
+MNNQGATYAELKGVKNSKRQKRKPKVSKSSISMTEQELTYVELNLQNAPQNLHGNDKNYR
+SKGSPSPPEKFIAGILGIICLVLMSTVVTMIIVTPLSTFFKNSTVIQEQNYSSLITRLQK
+ECHCGHCSKDWFTYSNNCYYTSLEKKSWNESLKSCATKNSTLLYIDNEEEMKFLMSLSTV
+SWIQVSREGRGHPWKWLNGSTCNLQITDNIPGEHNCAVQSLWDIGAEDCQFPNIYHCKRK
+LEN
+>tr|F1MDT6|F1MDT6_BOVIN Glycerol-3-phosphate acyltransferase 1, mitochondrial OS=Bos taurus OX=9913 GN=GPAM PE=3 SV=1
+MDESALTLGTIDVSYLPNSSEYSIGRCKHATEEWGECGSRPTVFRSATLKWKESLMSRKR
+PFVGRCCYSCTPQSWDKFFNPSIPSLGLRNVIYINETHTRHRGWLARRLSYVLFIQERDV
+HKGMFATNVTENVLNSSRVQEAIAEVAGELNPDGSAQQQSKAVNKVKKKARKILQEMVAT
+VSPAMIRLTGWVLLKLFNSFFWNIQIHKGQLEMVKAATEMNLPLIFLPVHRSHIDYLLLT
+FILFCHNIKAPYIASGNNLNIPIFSTLIHKLGGFFIRRRLDETPDGRKDILYRALLHGHI
+VELLRQQQFLEIFLEGTRSRSGKISCARAGLLSVVVDTLSTNTIPDILIIPVGISYDRII
+EGHYNGEQLGKPKKNESLWSIARGVIRMLRKNYGCVRVDFAQPFSLKEYLESQSQKPVSA
+PLSLEQALLPAILPSRPSDAADEGTDMSINESRNATDESRRRLIAHLAEHILFTASKSCA
+IMSTHIVACLLLYRHRQGIGLSTLVEDFFVMKEEVLARDFDLGFSGNSEDVVMHAIQLLG
+NCITITHTSKNDEFFITPSTTIPSVFELNFYSNGVLHVFIMEAIIACSLYAVLKKRGPGG
+PASPSLVSQEQLVHKAASLCYLLSNEGTISLPCQTFYQICHETVGRFIQYGILIVAEQDD
+QEDISPGLAEQQWDKKLPEPLSWRSDEEDEDSDFGEEQRDCYLKVSQSKEHQQFITFLQR
+LLGPLLEAYSSAAVFIHNFGGPVPEPEFLQKLHKYLITRTERRVAVYAESATYCLVKNAV
+KTFKDIGVFKETKQKRVSVLELSNTFLPQCNRQKLLEYILSFVVL
+>tr|A5D7R9|A5D7R9_BOVIN NRAS protein OS=Bos taurus OX=9913 GN=NRAS PE=2 SV=1
+MTEYKLVVVGAGGVGKSALTIQLIQNHFVDEYDPTIEDSYRKQVVIDGETCLLDILDTAG
+QEEYSAMRDQYMRTGEGFLCVFAINNSKSFADINLYREQIKRVKDSDDVPMVLVGNKCDL
+PTRTVDTKQAHELAKSYGIPFIETSAKTRQGVEDAFYTLVREIRQYRMKKLNSSDDGTQG
+CMGLPCVVM
+>tr|B5TM84|B5TM84_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC782781 PE=2 SV=1
+MEGQTFSKCLPEQRETTDPKQEEVSSPEERETTDLKEEKEASLPREGQNIDLEEEEEEIT
+SPEEGETCERKEEKEVVTPLEGKMCEMKDGEEALSFQLMEQRETRESLEEEEPISVFPPP
+NEFSPHSVFPPPTAPTPHSVFPPPTAPTPHSMFPPPNEFPPPRAFLLQYLSP
+>tr|A0A3Q1M6N8|A0A3Q1M6N8_BOVIN NAD(P)(+)--arginine ADP-ribosyltransferase OS=Bos taurus OX=9913 GN=LOC507756 PE=3 SV=1
+MLAALLIALSCLSLHTLGSSVRPSVPWQNISIQNLSLAPDTFDDAYVGCSEEMEEKAVLL
+LEKEMADHALLRESWETAQKAWEQKRAGLTLPPGFRSQHGIAIMVYTNSSNTLYRELNQA
+VRTGGGSWESYMNHFPFKALHFYLTRALQLLRGGGGCSREPGQVVFRGVGTIRFEPKSVG
+DSIRLGQFTSSSLDETVARGFGNATFFSLRTCFGAPIQALSVFPKEREVLIPPHEVFVVT
+SFFKDGNNSLVTLSSSDQMCSHFNCAYLGGEPCMREAGLPGGPQLISVPRAFKRPT
+>tr|A0A3Q1M6H5|A0A3Q1M6H5_BOVIN Diacylglycerol kinase OS=Bos taurus OX=9913 GN=DGKB PE=3 SV=1
+MTNQEKWAHLSPSEFSQLQKYAEYSTKKLKDVLEEFHGNGVLAKYNPEGKQDILNQTIDF
+EGFKLFMKTFLEAELPDDFTTHLFMSFSNKFPHSSPMVKSKPALLSSGLKMNKGAITPPR
+TSPANTCSPEVIHLKDIVCYLSLLERGRPEDKLEFMFRLYDTDGNGFLDSSELENIISQM
+MHVAEYLEWDVTELNPILHEMMEEIDYDHDGTVSLEEWIQGGMTTIPLLVLLGLENNVKD
+DGQHVWRLKHFNKPAYCNLCLNMLIGVGKQGLCCSFCKYTVHERCVARAPPSCIKTYVKS
+KKNTDVMHHYWVEGNCPTKCDKCHKTVKCYQGLTGLHCVWCQITLHNKCASHLKPECDCG
+PLKDHILPPTTICPVVLQTLPTSGVSAPEERQATVKREKGSSQQSNKVTDKNKMQRANSV
+TVDGQGLQITPVPGTHPLLVFVNPKSGGKQGERIYRKFQYLLNPRQVYSLAGNGPMPGLN
+FFRDVPDFRVLACGGDGTVGWILDCIGYEGENLMKILKDIENSTEIMLDRWKFEVIPNDK
+DEKGDPVPYSIINNYFSIGVDASIAHRFHIMREKHPEKFNSRMKNKFWYFEFGTSETFSA
+TCKKLHESVEIECDGVQIDLINISLEGIAILNIPSMHGGSNLWGESKKRRSHRRIEKKGS
+DKRTTLIDAKELKFASQDLSDQLLEVVGLEGAMEMGQIYTGLKSAGRRLAQCSSVVIRTS
+KSLPMQIDGEPWMQTPCTIKIIHKNQAPMLMGPPPKTGLFCSLVKRTRNRSKE
+>tr|Q17QR0|Q17QR0_BOVIN B-cell CLL/lymphoma 11A (Zinc finger protein) OS=Bos taurus OX=9913 GN=BCL11A PE=2 SV=1
+MSRRKQGKPQHLSKREFSPEPLEAILTDDEPDHGPLGAPEGDHDLLTCGQCQMNFPLGDI
+LIFIEHKRKQCNGSLCLEKAVDKPPSPSPIEMKKASNPVEVGIQVTPEDDDCLSTSSRGI
+CPKQEHIADKLLHWRGLSSPRSAHGALIPTPGMSAEYAPQGICKDEPSSYTCTTCKQPFT
+SAWFLLQHAQNTHGLRIYLESEHGSPLTPRVLHTPPFGVVPRELKMCGSFRMEAREPLSS
+EKI
+>tr|A6QNZ5|A6QNZ5_BOVIN MGC151537 protein OS=Bos taurus OX=9913 GN=MAP7 PE=2 SV=1
+MPGSATALRQERLKKTHARPVPLGLFTINEEDEQQKNGHSRRPKAPDGNKVQDKKTTASH
+RPSTISGQNSNHSGNKPDPPPVLRVDDRQRLARERREEREKQLAAREIVWLEREERARQH
+YEKHLEERKKKLEEQRLKEERRRAAVEEKRRQRLEEDKERHEAVVRRTMERSQKPKQKQN
+RWSWGGALHGSPSIHNTDPDRRSVSTMNLSKHVDPVISKRLSSSSATLLNSPDRARRLQL
+SPWESSVVNRLLTPTHAFLARSKSTAALSGDSASCSPINITPYRAAHSRNPLERPKFFVT
+PPEGSARRRTVHGTAGYKREKERENVPFHLTCGSQRSLSPSHPKARSPASSRVWLPSKSF
+PHLPGTPRPAPSTPPGPVKAAPAQLRPPSPGNIRPVKREVRVESERKDPDKEPQKVTSEP
+SLKGRTPLVKVEESTVEEGTPDETESAPAAPAAASAPAPAPGSTPAPTPAPSSTLTASAS
+PKTSAGTTDPEEATRLLAEKRRLAREQREKEEREKREKEELERQKREELAQKVAEERSRR
+EEEARQLEAELAREQEEQLRRQEEERARREREEMERIRKQKEEEARVREEAERVRQEREK
+HFQREEQERLERKKRLEEIMKRTRRTEASDKKTVDQRNGDITKGTPTGGIAVSTLPNMTN
+SPGSEEPEASTHVVTTHQSKVTVDSTPSLEKQPSENGISVQNENFEEIINLPIGSKPSRL
+DVTNSENPDIPLNPILAFDDEGTLGPLPQVDGVQTQQTAEVI
+>tr|E1BCP0|E1BCP0_BOVIN Transient receptor potential cation channel subfamily V member 4 OS=Bos taurus OX=9913 GN=TRPV4 PE=3 SV=1
+MADPGEGPRVGPGEMAESPGDESGPPGGEAFPLSSLANLFEGEDGSPSPSPADGGRSTGP
+GDGRPNLRMKFQGAFRKGVPNPIDLLESTLYESSVVPGPKKAPMDSLFDYGTYRHHPSDN
+KRWRRKVIEKQPQSPKAPAPQPPPILKVFNRPILFDIVSRGSTADLDGLLPFLLTHKKRL
+TDEEFREPSTGKTCLPKALLNLSNGRNDTIPVLLDIAERTGNMREFINSPFRDIYYRGQT
+ALHIAIERRCKHYVELLVAQGADVHAQARGRFFQPKDEGGYFYFGELPLSLAACTNQPHI
+VNYLTENPHKKADMRRQDSRGNTVLHALVAIADNTRENTKFVTKMYDLLLLKCARLFPDS
+NLEAVLNNDGLSPLMMAAKTGKIGIFQHIIRREVTDEDTRHLSRKFKDWAYGPVYSSLYD
+LSSLDTCGEETSVLEILVYNSKIENRHEMLAVEPINELLRDKWRKFGAVSFYINVVSYLC
+AMVIFTLTAYYQPLEGTPPYPYRTTMDYLRLAGEIITLFTGILFFFTNIKDLFMKKCPGV
+NSLFIDGSFQLLYFIYSVLVIVSAALYLAGIEAYLAVMVFALVLGWMNALYFTRGLKLTG
+TYSIMIQKILFKDLFRFLLVYLLFMIGYASALVSLLNPCANLKVCDEDHANCTVPTYPSC
+RDSETFSTFLLDLFKLTIGMGDLEMLSSTKYPVVFIILLVTYIILTFVLLLNMLIALMGE
+TVGQVSKESKHIWKLQWATTILDIERSFPVFLRKAFRSGEMVTVGKSSDGTPDRRWCFRV
+DEVNWSHWNQNLGIINEDPGKNENYQYYGFSHTVGRLRRDRWSSVVPRVVELNKNSNPDE
+VVVPLDNVGNPSCDGHQQSYPPKWRTDDAPL
+>tr|E1BB20|E1BB20_BOVIN Asparagine synthetase domain-containing protein 1 OS=Bos taurus OX=9913 GN=ASNSD1 PE=4 SV=2
+MCGICCAVSFSVEHFSRDLKEDLLCNLKRRGPDSSKQLLRSTVNYQCLFSGHVLHLRGLL
+TAQPVEDERGNVFLWNGEVFSGIKVEAEENDTQIMFHYLSSCKNESDILSLFSEVQGPWS
+FIYYQASSHSLWFGRDFFGRRSLLWHFSNLGKSFCLSSVGTQASGVADQWQEVPASGIFR
+IDLKSASISQSVVLKLYPWKYSSGGDDIRECVHNSLTEISADLPTLVLVAANEAKLYLKD
+PVVPLNMALPQAAFETHCSSISRSPLTRETLRVFLTDGHTKEVVQQFIGVLSTAVKRRVL
+CLPRDENLAPSKVLKTSNGKANVAVLFSGGIDSMVIAALADHHIPLDEPIDLLNVAFMTK
+EKTIPVNFNKKGRKQANHCEMPSEEFSKRAAATAAASPGEQLSVPDRVTGRAGLKELQAA
+NPSRIWNFVEINVSLEELQRLRRTRISHLIQPLDTVLDDSIGCAVWFASRGAGWLVTQDG
+AQPYQSSAKVVLTGIGADEQLAGYSRHRVRFLAHGLEGLNKEIEMELGRISSRNLGRDDR
+VISDHGKEARFPFLDENVVSFLNSLPVWEKANLTLPRGIGEKLILRLAAVELGLTASALL
+PKRALQFGSRIAKMEKNNEKASDKCGRLQIISLENLSVEN
+>tr|C4IXV8|C4IXV8_BOVIN Two pore channel 3 OS=Bos taurus OX=9913 GN=TPC3 PE=2 SV=1
+MPGNSRAAGTHHSRPSSSEEPPDLEDQELLLAAAYVSDAQYNRNVPFETSPQAIRLYHFY
+NHWTMRAATYFFIWVNLALALFEEPAVFPLPFLVTSIAEVLCLTAFFGRLVHFAKVTPQK
+VFWKDTKNICVMVTIVLTLIDLVIYGSLEAVNIRGVRWSRALRPVFLINFPESRQIRRTF
+RSIRNTLPDILYVFLLFLFSMMIFSLMALKLFGDRDLRTVEGSPYFTNILDIAFELYVLV
+TTANSPDVMMPAYELNWWYSLYFITYIIINTYIFMSVFLAVIYNNYRKHLKNEIHTLAYL
+KHHKMTEAFNILKVKVGTEFVVKEARWRRLVKVVAPDVSSSHLELLLRISDEGQKGHVDK
+VNFLRLADLLNIRVVAINIKKHPLETWMPRVYQSSTSLLVQKMVQHRIFVWVYDVIILIN
+AIFIALDEKDSLISYAEWLFLSLYIIEILLKLYTYEPSAYFGRKQFWNWFDTLIIIAALL
+ATVANTTIQARKYNSQQVLDIVLILRILRLLRVIVSIQRFRVIVTTLINIGPTMLTFGGL
+VLVVYYAFAIIGMEVFHGKIHFLDPGSGSPDALVCGNPALKDSAFAQGRYCKNNFNDLAS
+SLILLTELTVVNQWHILADGFALVTHQAAKLYFIGFHVVVVILIVNIFIAFILEAFFVAY
+SLEKSEVETAIEKKIQELGVGVQEEEVQDGGLIDNMDAKDSGFSPDEGDNKKKDLKGLYF
+RIASKKYKTVDTLLQQMFESEIAPEGKDPSLKEILSFSPKKVNFDNSA
+>tr|E1B7K6|E1B7K6_BOVIN Diacylglycerol kinase OS=Bos taurus OX=9913 GN=DGKE PE=3 SV=3
+MEGQERPAPPASLFADGHLVLWTLCSVLLPVFFTFWCSVQRSRRQLHRRDIFRKSKHGWR
+DTDLFSQPTYCCVCAQHILQGAFCDCCGLRVDEGCLKKADKRFPCKEIMLKSDSKAVDAM
+PHHWIRGNVPLCSYCVVCKQQCGNQPKLCDYRCVWCQKTVHDECMKNSLRNEKCDFGEFK
+NLIIPPSYLTSINHMRKDKKTDYEMLASKLGKQWTPLIILANSRSGTNMGEGLLGEFRIL
+LNPVQVFDVTKTPPTKALQLCTLLPYDSARVLVCGGDGTVGWVLDALDEMKIKGQEKYVP
+RVAVLPLGTGNDLSNTLGWGTGYAGEIPVAQVLRNVMEADGVKLDRWKVQVTNKGYYNLR
+KPKEFTMNNYFSIGPDALMALNFHAHREKAPSLFSSRILNKAVYLFYGTKDCLVQECKDL
+NKKVELELDGERVELPNLEGIIVLNIGYWGGGCRLWEGMGDETYPLARHDDGLLEVVGVY
+GSFHCAQIQVKLANPFRIGQAHTVRLILKCSMMPMQVDGEPWAQGPCTVTITHKTHALML
+YFSGEQTDDDVSSTSDQEDTKETE
+>tr|A0JN82|A0JN82_BOVIN Sorbin and SH3 domain containing 2 OS=Bos taurus OX=9913 GN=SORBS2 PE=2 SV=1
+MNTDSGGCARKRAAMSVTLTSVKRVQSSPNLLAAGRDSQSPDSAWRCFNDRNQETLNGDA
+TCSSLAAKGFRSVRPNLQEKKSPTQSQITVNGNSGGTVSPMSYYQRPFSPSAYSLPGSLN
+SSMIMQHGRSLDSSEAYPQHAQSLDGSVGSSIPLYRSSEEEKRVTVIKAPHYPGIGPVDE
+SGIPTAIRTSVNSARSLLSASGQPLLAKFSSGRGRARAGSCLLSQSTWRGGLC
+>tr|E1BDQ3|E1BDQ3_BOVIN Zinc finger protein 35 OS=Bos taurus OX=9913 GN=ZNF35 PE=4 SV=3
+MTTELREAVALAHWGPVTVKKEEEEEEGFVGQASSQQVHSENIKVWAPGEAPQTCLPVSE
+QEEKGQNMFWDMAVVLKPTQEAPAASTPGSSSLPGTLAKSELLDTHGNMACLGAETKNRQ
+LLVPKIEICDETEKSFIVSGRIQKVDPQGPKLGEACENRNMLKRQRIKREQKDFGQVTVK
+DCHLPENLKEEEDQKCPKAEERYTLSSGSVKNQKSQPGQKPFTCGVCGKGFSQSANLVVH
+QRIHTGEKPFECHQCGKAFIQSANLVVHQRIHTGQKPYVCSKCGKAFTQSSNLTVHQKIH
+SLEKTFKCNECEKAFSYSSQLARHQKVHITEKCYECNECGKTFTRSSNLIVHQRIHTGEK
+PFACNDCGKAFTQSANLIVHQRSHTGEKPYECKECGKAFSCFSHLIVHQRIHTAEKPYDC
+SECGKAFSQLSCLIVHQRIHSGDLPYVCNECGKAFTCSSYLLIHQRIHNGEKPYTCNECG
+KSFRQRSSLTVHQRTHTGEKPYECAKCGAAFISNSHLMRHHRTHLVEST
+>tr|A4IFQ6|A4IFQ6_BOVIN CALML5 protein OS=Bos taurus OX=9913 GN=CALML5 PE=1 SV=1
+MAEKLSEEQVAEFKEAFDRFDKNKDGTISVQELGTVMQEVGLKLSEAELKKLISQLDTDK
+NGSISFQEFLEAMAAGLQTSDTEGLREIFRAFDQDDDGYISVDELRQATSQLGEKVSQDE
+LDAMIREADVDQDGRVNYEEFVRILTQN
+>tr|Q1LZG3|Q1LZG3_BOVIN Regulator of G-protein signaling 20 OS=Bos taurus OX=9913 GN=RGS20 PE=2 SV=1
+MGSEWMEMRKRPVCAAQEPTACAPGQPGVENQGSNACCFCWCCCCSCSCLTVRNQEEQRL
+RRTSYEARTEDLPTCEESPGPTLEEASAWAQSFDKLMLTPAGRNAFREFLRTEFSEENML
+FWMACEELKKEANKATIEEKARIIYEDYISILSPKEVSLDSRVRETINRSMAEPSRNIFD
+DAQLQIYTLMHRDSYPRFMNSALYKDLLRSLSEKAVEA
+>tr|Q2EF45|Q2EF45_BOVIN Monocarboxylate transporter 2 OS=Bos taurus OX=9913 GN=SLC16A7 PE=2 SV=1
+MPPPGGTPPPHPPPDGGWGWVVVGAAFISIGFSYAFPKAVTVFFKEIQLLFNTTYSEIAW
+ISSTMLAVMYAGGPISSVLVNKYGSRPVVMVGGLLCCLGMVSASYSTNVVELYFTMGLIC
+GLGLAFNLQPALTIIGKYFYKKRPVANGLAMAGSPVFLSTLAPFNQYLFNTYGWKGSFMI
+LGGLLLNCCVAGSLMRPVEPKASTESKNKVGVRETDCSMKKRQKKLSVWEEINKYLDFSL
+FKHRGFLIYLSGNVIMFLGFFAPVIFLAPYAKDKGIDEYSAAFLLSVMAFVDMFARPTVG
+LIANSKLIRPRIQYFFSFAVMFTGICHLLCALAEDYTSLVVYAVFFGLGFGSVSSVLFET
+LMDLVGAQRFSSAVGLVTVVECCPVLLGPPLAGKLVDETGQYKYMYLACGAIVVLASVWL
+LIGNAANYRLLAREKKKSIHTKESQETEPLKTSQSPSVNIKSGKNEEWKGAEVNSSERET
+NI
+>tr|A7MB66|A7MB66_BOVIN ABHD13 protein OS=Bos taurus OX=9913 GN=ABHD13 PE=2 SV=1
+MEKSWMLWNFVERWLVALASWSWALCRISLLSLIVTFHLYGGIVLLLLIFISIAGILYKF
+QDVLLYFPEQPSSSRLYVPMPTGIPHENIFIRTKDGVRLNLILIRYTGDSSPYSPTIIYF
+HGNAGNIGHRLPNALLMLVNLKVNLLLVDYRGYGKSEGEASEEGLYLDSEAVLDYVMTRP
+DLDKTKIFLFGRSLGGAVAIHLASENSHRISAIMVENTFLSIPHMASTLFSFFPMRYLPL
+WCYKNKFLSYRKISQCRMPSLFISGLSDQLIPPVMMKQLYELSPSRTKRLAIFPDGTHND
+TWQCQGYFTALEQFIKEVIKSPSPEEMAKTSSNVTII
+>tr|E1BKF7|E1BKF7_BOVIN RCC1 and BTB domain containing protein 1 OS=Bos taurus OX=9913 GN=RCBTB1 PE=4 SV=1
+MVDVGKWPIFTLLSPHEIASIRKACVFGTSANEALYVTDSDEVFVFGLNYSSCLGTGDNH
+STLVPKKLEALCGKKIKSLSYGSGPHVLLSTEDGVVYAWGHNGYSQLGNGTTNQGLAPIQ
+VCTNLLIKQVVEVACGSHHSMALAADGEVFAWGYNNCGQVGSGSTANQPTPRKVTNCLHI
+KRVVGIACGQTSSMAVLDNGEVYGWGYNGNGQLGLGNNGNQLTPVRVAALHSVCVNQIVC
+GYAHTLALTDEGLLYAWGANTYGQLGTGNKNNLLSPAHIMVEKERVIEIAACHSAHTSAA
+KTQGGHVYMWGQCRGQSVTLPHLTHLSSTDDVFACFATPAVTWRLLSVEHEDFLTVAESL
+KKEFDSPETADLKFRIDGKYIHVHKAVLKIRCEHFRSMFQSYWNEDMKEVIEIDQFSYAV
+YRAFLQYLYTDAVDLPPEDAIGLLDLATSYCENRLKKLCQHIIKRGITVENAFSLFSAAV
+RYDAEDLEEFCFKFCINHLTEVTQTAAFWQMDGPLLKEFIAKASKCGAFKN
+>tr|A8E4N8|A8E4N8_BOVIN SSBP3 protein OS=Bos taurus OX=9913 GN=SSBP3 PE=2 SV=1
+MFAKGKGSAVPSDGQAREKLALYVYEYLLHVGAQKSAQTFLSEIRWEKNITLGEPPGFLH
+SWWCVFWDLYCAAPERRDTCEHSSEAKAFHDYSAAAAPSPVLGNIPPNDGMPGGPIPPGF
+FQGPPGSQPSPHAQPPPHNPSSMMGPHSQPFMSPRYAGGPRPPIRMGNQPPGGVPGTQPL
+LPNSMDPTRQQGHPNMGGSMQRMNPPRGMGPMGPGPQNYGSGMRPPPNSLGPAMPGINMG
+PGAGRPWPNPNSANSIPYSSSSPGTYVGPPGGGGPPGTPIMPSPADSTNSSDNIYTMINP
+VPPGGSRSNFPMGPGSDGPMGGMGGMEPHHMNGSLGSGDMDGLPKNSPNNISGISNPPGT
+PRDDGELGGNFLHSFQNDNYSPSMTMSV
+>tr|A5PJB8|A5PJB8_BOVIN CTRB1 protein OS=Bos taurus OX=9913 GN=CTRB1 PE=2 SV=1
+MALLWVVLGFFLFGSSFGCGVPAIDPVLSGLSRIVNGEDAVPGSWPWQVSLQTSSGFHFC
+GGSLISEDWVVTAAHCGVRKGHLVVAGVSDQGSEEEAGQVLRVAEVFEHPQWDLRAVRND
+VALLKLAAPARLSAAVAPVCLPSADTSFPTGSLCTVTGWGKTRYNAFDTPDKLQQATLPI
+LSNADCREFWGSKITDVMICAGASGISSCMGDSGGPLVCQKDGAWTLAGIVSWGSSRCSP
+FLPGVYARVTKFIPWILEVLEAN
+>tr|B0JYP5|B0JYP5_BOVIN Protein argonaute-2 OS=Bos taurus OX=9913 GN=AGO2 PE=2 SV=1
+MYSGAGPALAPPAPPPPPIQGYAFKPPPRPDFGTSGRTIKLQANFFEMDIPKIDIYHYEL
+DIKPEKCPRRVNREIVEHMVQHFKTQIFGDRKPVFDGRKNLYTAMPLPIGRDKVELEVTL
+PGEGKDRIFKVSIKWVSCVSLQALHDALSGRLPSVPFETIQALDVVMRHLPSMRYTPVGR
+SFFTASEGCSNPLGGGREVWFGFHQSVRPSLWKMMLNIDVSATAFYKAQPVIEFVCEVLD
+FKSIEEQQKPLTDSQRVKFTKEIKGLKVEITHCGQMKRKYRVCNVTRRPASHQTFPLQQE
+SGQTVECTVAQYFKDRHKLVLRYPHLPCLQVGQEQKHTYLPLEVCNIVAGQRCIKKLTDN
+QTSTMIRATARSAPDRQEEISKLMRSASFNTDPYVREFGIMVKDEMTDVTGRVLQPPSIL
+YGGRNKAIATPVQGVWDMRNKQFHTGIEIKVWAIACFAPQRQCTEVHLKSFTEQLRKISR
+DAGMPIQGQPCFCKYAQGADSVEPMFRHLKNTYAGLQLVVVILPGKTPVYAEVKRVGDTV
+LGMATQCVQMKNVQRTTPQTLSNLCLKINVKLGGVNNILLPQGRPPVFQQPVIFLGADVT
+HPPAGDGKKPSIAAVVGSMDAHPNRYCATVRVQQHRQEIIQDLAAMVRELLIQFYKSTRF
+KPTRIIFYRDGVSEGQFQQVLHHELLAIREACIKLEKDYQPGITFIVVQKRHHTRLFCTD
+KNERVGKSGNIPAGTTVDTKITHPTEFDFYLCSHAGIQGTSRPSHYHVLWDDNRFSSDEL
+QILTYQLCHTYVRCTRSVSIPAPAYYAHLVAFRARYHLVDKEHDSAEGSHTSGQSNGRDH
+QALAKAVQVHQDTLRTMYFA
+>tr|Q0VBW6|Q0VBW6_BOVIN Galectin OS=Bos taurus OX=9913 GN=LGALS2 PE=1 SV=1
+MDMKMGSSLKIKGKITDGANGFVINLGQGTDKLNLHFNPRFAESTIVCNSRDGNSWGAEQ
+RDNHMCFSPGSEVKLIVTFENNEFKVKLPDGHQLTFPNRLGHSHLSYLGVQNFLVSSFKL
+E
+>tr|E1BL93|E1BL93_BOVIN KAT8 regulatory NSL complex subunit 1 OS=Bos taurus OX=9913 GN=KANSL1 PE=4 SV=2
+MAAMAPALTDAAAETHHIRFKLAPPSSTLSPGSAENNGNANILIAANGTKRKAIAAEDPS
+LDFRNNPTKEDLGKLQPLVASYLCSDVTSVPSKESLKLHGVFSKQTVLKSHPLLSQSYEL
+RAELLGRQPVLEFSLENLRTMNTSGQTALPQAPVNGLAKKLTKSSTHSDHDNSSPLNGGK
+RALTSSSLQGGEVVASESGDLKGGMTNCTLPHRSLDVEHTTVFSNNSTANKSSVNSMEQP
+ALQGSNRLSPDTDSSSNVGGVKLEGKKPPLSSILFSALDSDTRITALLRRQADIESRARR
+LQKRLQVVQAKQVERHIQHQLGGFLEKTLSKLPNLESLRPRSQLMLTRKAEAALRKAASE
+TAASEGLSNFLKSDSISEELERFTASGIANLRCSERAFDSDITDSSSGGESDIEEEELAR
+ADPEQRHVPLRRRSEWRWAADRAAIVSRWNWLQAHVSDLEYRIRQQTDIYKQIRANKGLI
+VLGEAPPPEHTTTDLLLPLSSEVKTDHGNDKLIESVSQPLENHGASGHPSESLSAKSCGA
+LRPVNGVINTLQPVLADHIPGDNSDAEEQLHKKQRLNLVASSTDGTCVAARTRPVLSCKK
+RRLVRPSSIVPLSKKVHRNSTVRSGCDVNPSCALCGSGSASTMPPEIHYEAPLLERLSQL
+DSCVHPVLAFPDDVPTGLHFQSMLKSQWQNKPFDKIKPPKKFSLKHRAPMPGSLPDPTRK
+DRHKLVNSFLTTAKLSHHQARPDRTHRQHLDDVGAVPMVERVTAPKAERLLSPPPPVHDP
+NHSKMRLRDHSSERSEVLKHHTDMSGSSYLAATHHPPHSPLVRQLSASSDTSAPTSSSPQ
+VTASTTQPVRRRRGESSFDINNIVIPMSVAATTRVEKLQYKEILTPSWREVDLRSLKGSP
+DEENEEIEDLSDAAFAALHAKCEEMERARWLWTTSVPPQRRGSRSYRSSDGRTTPQLGSA
+NPSTPQPASPDVSSSHSLSEFSHGQSPRSPISPELHSAPLTPVARDTLRHLASEDTRCST
+PELGLDEQSVQPWERRTFPLAYSPQAESEDQLDAQERASRCTRRTSGSKTGRETEVAPTS
+PPVVPLKSRHLTATVTAQRPTHR
+>tr|A6QR65|A6QR65_BOVIN Rabphilin 3A homolog (Mouse) OS=Bos taurus OX=9913 GN=RPH3A PE=2 SV=1
+MTDTVFSSSSSRWMCPSDRPLQSNDKEQLQTGWSVHPSGQPDRQRKQEELTDEEKEIINR
+VIARAEKMEEMEQERIGRLVDRLENMRKNVAGDGVNRCILCGEQLGMLGSACVVCEDCKK
+NVCTKCGVETSNNRPHPVWLCKICIEQREVWKRSGAWFFKGFPKQVLPQPMPIKKNKPQQ
+PVSEPVPAAPEQPTPEPKHPARAPTRGDTEDRRGPGQKTGPDMTSAPGRGSYGPPVRRAS
+EARMSSSGRDSDSWDQGHGMAAGDPSQSPAGLRRANSVQASRPAPASMQSPAPPQPGQPG
+PPGGSRPSPGPTGRFPDQRPEVAPSDPDYTGAAAQPREERTGGIGGYSAAGTREDRAGHP
+PGSYTQASAAAPQPVVASARQPPPPEEDEEEANSYDSDEATTLGALEFSLLYDQDNSSLH
+CTIIKAKGLKPMDSNGLADPYVKLHLLPGASKSNKLRTKTLRNTRNPIWNETLVYHGITD
+EDMQRKTLRISVCDEDKFGHNEFIGETRFSLKKLKPNQRKNFNICLERVIPMKRAGTTGS
+ARGMALYEEEQVERIGDIEERGKILVSLMYSTQQGGLIVGIIRCVHLAAMDANGYSDPFV
+KLWLKPDMGKKAKHKTQIKKKTLNPEFNEEFFYDIKHSDLAKKSLDISVWDYDIGKSNDY
+IGGCQLGISAKGERLKHWYECLKNKDKKIERWHQLQNENHVSSD
+>tr|A0A3Q1LIV4|A0A3Q1LIV4_BOVIN Cysteine protease OS=Bos taurus OX=9913 GN=ATG4A PE=3 SV=1
+MESVLSKYENQITIFADYLEEFPDTDELVWILGKQHLLKTEKSKLLSDISARLWFTYRRK
+FSPIGGTGPSSDAGWGCMLRCGQMMLAQALICRHLGRDWNWEKQKEQPKEYQRILQCFLD
+RKDCCYSIHQMAQMGVGEGKSIGEWFGPNTVAQVLKKLALFDEWNSLAVYVSMDNTVVIE
+DIKKMCRTLSLSADTPAERPLESLTASNQSKGPSACCTAWKPLLLIVPLRLGINQINPVY
+VDAFKECFKMPQSLGALGGKPNNAYYFIGFLGDELIFLDPHTTQTFVDTEENGTVDDQTF
+HCLQPPQRMNILNLDPSVALGFFCKEEKDFDSWCSLVQKEILKENLRMFELVQKHPSHWP
+PFVPPAKPEVTTTGAGKLLFRSSLASRPLDSSCFMRQKQLWVMLSVE
+>tr|F1MVD0|F1MVD0_BOVIN Nuclear receptor coactivator OS=Bos taurus OX=9913 GN=NCOA3 PE=3 SV=3
+MSGLGENALDPLAPDSRKRKLPCDPPGQGLACSGEKWRREQESKYIEELAELISANLSDI
+DNFNVKPDKCAILKETVRQIRQIKEQGKAITSDDDVQKADVSSTGQGVIDKDSLGPLLLQ
+ALDGFLFVVNREGNIVFVSENVTQYLQYKQEDLVNTSVYNILHEEDRKDFLKNLPKSTVN
+GVTWTNETQRQKSHTFNCRMLMKIPHDILEDINTSPEMRQRYETMQCFALSQPRAMMEEG
+EDLQSCMICVARRITTGERAFPSSPESFITRHDLSGKVITIDTNSLRSSMRPGFEDIIRR
+CIQRFFSLNDGQSWSQKRHYQEAYIHGHAETPLYRFSLADGTIVTAQTKSKLFRNPVTND
+RHGFVSTHFLQREQNGYRPNPNPVGQGIRPPAAGCNSSVGGMSMSPNPGLQMLSSRTYGL
+TDAGTAGQMSGARYGASGSIASMNPGPGMQSPSSYQNSTYGLNMSSPPHGSPGLGSSQQN
+IMISPRNRGSPKMASHQFSPVAGVHSPMGSSGNTVSHSFSSSSLSALQAISEGVGTSLLS
+TLSSPGPKLDNSPNMNITQPSKVNSQDSKSPLGLYCDQNPVESSMCQSNSRDHINDKESK
+ESGVEGSENQRAPLESKGHKKLLQLLTCSSDDRGHSSLTNSPLDSSCKDSSISVTSPSGV
+SSSTSGGVSSTSNMHGSLLQEKHRILHKLLQNGNSPAEVAKITAEATGKDTSSTTSCVEG
+NVKQEQLSPKKKESNALLRYLLDRDDPSDTLAKELQPKVEGVDSKMSQCSSSAIPSSSQE
+KDAKIKTETNEEGSGDLDNLDAILGDLTNSDFYNNSIPTSGSNLGTKPQMFQGANSLGLR
+SPQPVQSVRPPYNRAVSLDSPVSVGSSPPVKNIGAFPMLPKQPMLGGNPRMMDNQENYGS
+NMGGPNRNVTVNQAPSSGDWGLPSSKASRMEPVNSNAMGRPGTDYNASLPRPAMGGSMPA
+MPLRSNSIPGARPVMQQQQQQQPQPQMLQMRPGEIPMGMGVSPYGQAAPSNQPGSWPDGM
+LSMEQGPHGTQNRPILRNSLDDLLGPPSNLEGQSDERALLDQLHTLLSNTDATGLEEIDR
+ALGIPELVNQGQALEPKQDAFQGQEAAVMMEQKAALYGQTYPGQGPPMQGGFNLQGQSPP
+FNSMMNQMNQQGSFPLQGMHPRANIMRPRTNTPKQLRMQLQQRLQGQQFLNQSRQALEMK
+MENPTAGGATAMRPMMQPQVNSQQGFLNAQMVAQRSRELLSHHFRQQRVAMMMQQQQQQQ
+QQQTQAFSPPPNVTASPSMDGVLAGPAMPQAPPQQFPYPSNYGMGQQPDPAFGRVPSPPN
+AMMSSRMGPSQNPMMQHPQTAPMYQSSEMKGWPSGTLARNSTFPQQQFAHQGNPAAYSMV
+HMNGSGGPMGQMNMNAMPMAGMPMGPDQKYC
+>tr|Q3ZBV6|Q3ZBV6_BOVIN Uncharacterized protein LOC777594 OS=Bos taurus OX=9913 GN=LOC777594 PE=2 SV=1
+MNRTSQPLFTGAHGAVPPAYEVLKEEHEVAVLGAPQSQAPLTTTVINIRSDTAVPDHIVW
+SLFNTIFMNWCCLGFVAFAYSVKSRDRKMVGDITGAQSYASTAKCLNICSLVLGILLTVV
+LIVLVSTGSLMIVQAVSELMQNYGGH
+>tr|Q0VC29|Q0VC29_BOVIN Coiled-coil domain containing 32 OS=Bos taurus OX=9913 GN=CCDC32 PE=2 SV=1
+MKMFESIDSTTTRSGPDLWAEICSCLPHPDQEDSASNAFSDSFMDSYPAGTGQREAPHFA
+AQPAVKPWAPLQDSEVYLASLEKKLRRIKGLNQEVTSKDMLRTLAQAKKECWDRFLQEKL
+ASEFFVDGLDSDESTLEHFKRWLQPDKVAISTEEVQYLIPPESQVEKPAPRDEPTAAEQ
+>tr|Q08E58|Q08E58_BOVIN Tubulin tyrosine ligase like 12 OS=Bos taurus OX=9913 GN=TTLL12 PE=2 SV=1
+MQADPTPERSSRVLTPDPEPGPGSESILDPEQDARAALAEFATLHGPALRASGVPERYWG
+RLLHKLEHEVFDAGEMFGIMQVEEAEEEESEDEAAQEARKKPNPGGELCYKVIVTNENGL
+QAADPNSIFLIDHAWTCRVTHARQQLQQVPGLLHRMANLMGIEFHGELPSAEAVDLVLEE
+MWKFNQTYQLAHGTAEEKVPVWYIMDEFGSRIQHADVPSFATAPFFYMPQQVAYTLLWPL
+RDLDTGEEVTRDFAYGEADPLIRRCMLLPWAPTDLLDLSSSTPEPPAEHYQAILEENKEK
+LPLAISPAGYPCDHVFKVYTDIQQVLSHLTHPRFTFTQSEAEADVLYNFSHFKDYRRLSQ
+ERPNVLLNQFPCESLLTVKDCLASVARRAGGPEGPAWLPRTFNLRTELPQFISYFQQRER
+RGEDNHWICKPWNLARSLDTHITKNLHSIVRHRESSPKVVSKYIESPVLFLREDVGRVKF
+DIRYILLLRSVKPLRLFVYDVFWLRFSNRPFALNDLDDYEKHFTVMNYDPEVVLKQVHYN
+EFIPEFEKQYPEFPWKSVQAEIFQAFTELFQVACARPPPLGLCDYPSSRAVYAVDLMLKW
+DSRPDGERVMQPQILEVNFNPDCERACRYHPSFFNDVFSTLFLDEPDGCPVTRLL
+>tr|F1MD24|F1MD24_BOVIN Solute carrier family 5 member 1 OS=Bos taurus OX=9913 GN=SLC5A1 PE=1 SV=2
+MDSSTWSPPATATAEPLPPYERIRNAADISVIVIYFVVVMAVGLWAMFSTNRGTVGGFFL
+AGRSMVWWPIGASLFASNIGSGHFVGLAGTGAAAGIATGGFEWNALILVVVLGWVFVPIY
+IKAGVVTMPEYLRKRFGGQRIQVYLSVLSLVLYIFTKISADIFSGAIFINLALGLDLYLA
+IFILLAITALYTITGGLAAVIYTDTLQTVIMLLGSFILTGFAFHEVGGYGAFVTKYMNAI
+PTVTSYGNTTIKAECYTPRADSFHIFRDPLKGDLPWPGLIFGLTIISLWYWCTDQVIVQR
+CLSAKNMSHVKAGCIMCGYLKLLPMFLMVMPGMISRILFTEKVACTVPSECEKYCGTKVG
+CTNIAYPTLVVELMPNGLRGLMLSVMLASLMSSLTSIFNSASTLFTMDIYTKIRKKASEK
+ELMIAGRLFMLVLIGVSIAWVPIVQSAQSGQLFDYIQSITSYLGPPIAAVFLLAIFCKRV
+NEPGAFWGLIIGFLIGVSRMITEFAYGTGSCMEPSNCPTIICGVHYLYFAIILFVITIIV
+ILAVSLFTKPIADVHLYRLCWSLRNSKEERIDLDAEDEDVQDAREDALEIDTEASEEKKG
+CFRRAYDMFCGLDQQKAPKMTKEEEAAMKLKMTDTSENRLWRMVVNINGIILLAVAVFCH
+AYFA
+>tr|Q2T9N9|Q2T9N9_BOVIN Histone deacetylase OS=Bos taurus OX=9913 GN=HDAC5 PE=2 SV=1
+MNSPTESADGMPGREPALEILPRTPLHGIPVTEVKPVLPGAMPSSMGGGGGGSPSPVELR
+GALAGPVDPTLREQQLQQELLALKQQQQLQKQLLFAEFQKQHDHLTRQHEVQLQKHLKQQ
+QEMLAAKRQQELEQQRQREQQRQEELEKQRLEQQLLILRNKEKSKESAIASTEVKLRLQE
+FLLSKSKEPTPGGLNHSLPQHPKCWGTHHASLDQSSPPQSGPPGTPSSYKLPLLGPYDSR
+DDFPLRKTASEPNLKVRSRLKQKVAERRSSPLLRRKDGTVISTFKKRAVEITGAGPGVSA
+VCNSAPGSGPSSPNSSHSTIAENGFTGSVPNIPTEMLPQHRALPPDTSPNQFSLYTSPSL
+PNISLGLQATVTVTNSHLTASPKLSTQQEAERQALQSLRQGGALTGKFMSTSSIPGCLLG
+VALEGDSSPHGHASLLQHVLLLEQARQQSTLIAVPLHGQSPLVTGERVATSMRTVGKLPR
+HRPLSRTQSSPLPQSPQALQQLVMQQQHQQFLEKQKQQQLQLGKILTKTGELPRQPTTHP
+EETEEELTEQQDALLGEGALTIPREGSTESESTQEDLEEEEEEEEEEEEEEEEDCIQVKD
+EERESGAEEGPDLEESSAGYKKAFADTQQLQPLQVYQAPLSLATVPHQALGRTQSSPAAP
+GGMKSPPDQPTKHLFTTGVVYDTFMLKHQCMCGNTHVHPEHAGRIQSIWSRLQETGLLSK
+CERIRGRKATLDEIQTVHSEYHTLLYGTSPLNRQSCSKSPTSTQWPRWRKSSRSRVNTGA
+VCRGSLLA
+>tr|A5D7D6|A5D7D6_BOVIN Protoheme IX farnesyltransferase, mitochondrial OS=Bos taurus OX=9913 GN=COX10 PE=2 SV=1
+MAASPHTLSSRLLTGWGGGCIWYLERRVTRESPRRFLHLLRNVNQQWVTFQHFNFLRHMY
+VTQLNRSLKQQIKPKPEPAESPFLEKTSLDEAKAEICEMRPLVPPSLSLSRKPSEKALIE
+PEPTSVIEGSIDLGKETKEEKQWKEMKLRVDDLPGILARLSKIKLTALVVSTTSAGFALA
+PAPFDWSCFLLTFVGTGLASCAANSINQFFEVPFDSNMNRTKNRPLVRGQISPLLAVSFA
+TCCAVPGVALLTWGVNPLTGALGLFNIFLYTCCYTPLKRVSIANTWVGAVVGAIPPVMGW
+TAATGSLDAGACLLGGILYSWQFPHFNALSWGLREDYSRGGYCMMSVTHPALCRRVALRH
+CLALLAMCVAAPALDVTTWTFPVIALPINLYISYLGFRFYRDADRKSSRKLFFCSLWHLP
+LLLLLMLTCKRRAGEEGTGAPQS
+>tr|F1N230|F1N230_BOVIN Origin recognition complex subunit 2 OS=Bos taurus OX=9913 GN=ORC2 PE=4 SV=2
+MTSTLELKADKMLKVQFVGDDDVLNHILDREGGPKIKKERAQLLVNTQKIIKKPENELEE
+DDQEVLKDHNYVEVLGQDVQESLKNGSATDGGNKVYPFQNRKHPEKMAKLASELAKTPRK
+SVSLNMKNDPKITIDIPQCSKGHSSSDKSQLKNNDKSEFLSTAPRGLRKRLIVPRSHSDS
+ESEYSASNSEDDEGVAQEYEEDTNEDILSQKIQAQNREVSAPVFRETPSKKMKREKTSHL
+VEKYFEAHSSSKVLTSDRTLQKLKRAKLDQQTLHNLLSKVSPSFSAELKQLNQQHEQLFH
+KWMLQLHLGFNIVLYGLGSKRDLLERFRTTMLQDCIHIVINGFFPGISVKSVLNSVTEVL
+DHVGTFRSVLDQLEWITNKFKEDSSLELFLLIHNLDSQMLRGDKSQQIIGQLSSLRNIYL
+IASIDHLNAPLMWDHAKQSLYNWLWYETTTYSHYTEETSYENSLLVKQSGSLPLSSLIHV
+LRSLTPNARGIFRLLIKYQLDNQDNPSYTGLCFQDFYQQCREAFLVNSDLTLRAQLTEFR
+DHKLIRTKKGTDGVEYLLIPVDNGILTDFLENEEEEL
+>tr|A0JN38|A0JN38_BOVIN Sec1 family domain containing 2 OS=Bos taurus OX=9913 GN=SCFD2 PE=2 SV=1
+MNTPGVLSFTQQAWEQVLAKAKRAVVYLDAACAESLHWGCGSSRLLEAVGSPACYLREFE
+PAAVGGGVEQPKAVFVLSSLLKGRIVETLRDIICRSHFQYCVVVTAVNHAVHLTANHVPA
+AAAAELEGQQPVFEQLEEKLCEWMGNMNYTAEVLHIPLLLAPVAPHLALTPAFASLFPLL
+PQDVHILNSARPDRRRLGSLAEVDATALTPELLLQIRCLVSGLSSLCEHLGVREECFAVG
+ALSRIIAADLANFAPAKNRRKTATGRASVVFVDRTLDLTGAVGHHGDNLVEKILAVLPQL
+PGHTNDVMVNMVELTALQDEEENQNVVVPGCLAQSNDPAAKALWEALLNTKHKEAVMEVR
+RHLVEAASRENLPIKMSVGRVTPGQLMSYIQLFKNNLKSLGNHCGLLQLGLATVQTLKHP
+QTAKWDNFLAFERLLLQNIGESTMSVVLNQLLPMIKPLNQRTSDDYSPEELLVLLLYIYS
+VGGEFSVDKDLGEGEEKVKKALAQVFCEESELSPLLRKITGCDSSINLTFHKSKSAVDEL
+FTLLRDIAGARNLMKQFKSVYVPGNHTHQASYKPLLKQVVEEIFNPERPDPVDIEHMSSG
+LTDLLKTGFSMFMKVSRPHPSDHPLLILFVVGGVTVSEAKMIKDLVLSLKPGTQVIVLST
+RLLKPLNIPELLFATDRLHPDLGF
+>tr|E1BJ48|E1BJ48_BOVIN PHD finger protein 24 OS=Bos taurus OX=9913 GN=PHF24 PE=4 SV=1
+MGVLMSKRQTVEQVQKVSLAVSAFKDGLRDRPSIRRTGELPGSRRGTVEGSVQEVQEEKE
+AEASAPALQEESSVNRASWERLRDGRGVEPEEFDRTSHFTPPAFIRPTRKLDDDKPPDIR
+LEPREPVVNDEMCDVCEVWTAESLFPCRVCTRVFHDGCLRRMGYIQGDSAAEVTETAHTE
+TGWSCHYCDNLNLLLTEEEMYSLTETFQQCKVIPDCSLTLEDFLRYRHQTAKRGNSDRAL
+SEEQEEQAARQFAALDPEHRGHVEWPDFLSHESLLLLQQLRPQNSLLRLLTVKERERARA
+TFLARGSGSTISEAECHRAQHSWFCKRPIEAPSCSVSVSHVGPIADSSPASSSSKSQDKA
+PLPTEPESRFVDWPTFLQESVIYILAARPNSLAIHLKPPG
+>tr|F1MZG7|F1MZG7_BOVIN Proline-rich protein 5-like OS=Bos taurus OX=9913 GN=PRR5L PE=4 SV=2
+MTRGFAPSLPVEFPKMGSFRRPRPRFMSSPALSDLPRFQAARQALQLSSNSAWNSVQTAV
+INVFKGGGLQSNELYALNENIRRLLKSELGSFITDYFQNQLLAKGLLFVEEKIKLCEGEN
+RIEVLAEVWDHFFTETLPTLQAIFYPVQGQELTIRQISLLGFRDLVLLKVKLEDLLLLAQ
+PQLPSSIVQMLLILQSVHEPTGPSEGYLQLEELVKQVVSPFLGISEDRGLSGPTYTLARR
+HSRVRPKVTLLNYASPITPVSRPLNEMALTPLTEQEGEAYLEKCGSVRRHTVANAHSDIQ
+LLAMATMMHSGLGEESGGEDKCLLLQPSFPPPHRQCSSEPNITDGPDEPEQGATGSQEDS
+ELNCASLS
+>tr|F1MS16|F1MS16_BOVIN Calcium-transporting ATPase OS=Bos taurus OX=9913 GN=ATP2B3 PE=3 SV=3
+MGDVANSSIEFHPKPQQQREAPHAGGFGCTLAELRSLMELRGAEALQKVQEAYGDVSGLC
+RRLKTSPTEGLADNANDLEKRRQIYGQNFIPPKQPKTFLQLVWEALQDVTLIILEVAAIV
+SLGLSFYAPPGEESEACGNVSAGAEDEGEAEAGWIEGAAILLSVICVVLVTAFNDWSKEK
+QFRGLQSRIEQEQKFTVIRNGQLLQVPVATLVVGDIAQVKYGDLLPADGVLIQGNDLKID
+ESSLTGESDHVRKSTDKDPMLLSGTHVMEGSGRMVVTAVGVNSQTGIIFTLLGAGGEEEE
+KKDKKGKQQDGAMESSQTKAKKQDGAVAMEMQPLKSAEGGEMEEREKKKANVPKKEKSVL
+QGKLTKLAVQIGKAGLVMSAITVIILVLYFVIETFVIDGRMWLAECTPVYVQYFVKFFII
+GVTVLVVAVPEGLPLAVTISLAYSVKKMMRDNNLVRHLDACETMGNATAICSDKTGTLTT
+NRMTVVQSYLGDTHYKEVPAPSALTPKILDILVHAISINSAYTTKILPPEKEGALPRQVG
+NKTECALLGFVLDLKRDFQPVREQIPEDKLYKVYTFNSVRKSMSTVIRTPDGGFRLFSKG
+ASEILLKKCTNILNSNGELRSFRPRDRDDMVKKIIEPMACDGLRTICIAYRDFTAAQEPD
+WDNENEVVGDLTCIAVVGIEDPVRPEVPEAIRKCQRAGITVRMVTGDNINTARAIAAKCG
+IIQPGEDFLCLEGKEFNRRIRNEKGEIEQERLDKVWPKLRVLARSSPTDKHTLVKGIIDS
+NTGEQRQVVAVTGDGTNDGPALKKADVGFAMGIAGTDVAKEASDIILTDDNFTSIVKAVM
+WGRNVYDSISKFLQFQLTVNVVAVIVAFTGACITQDSPLKAVQMLWVNLIMDTFASLALA
+TEPPTESLLLRKPYGRDKPLISRTMMKNILGHAVYQLTIIFTLLFVGELFFDIDSGRNAP
+LHSPPSEHYTIIFNTFVMMQLFNEINARKIHGERNVFHGIFSNPIFCTIVLGTFAIQIVI
+VQFGGKPFSCSPLSTEQWLWCLFVGIGELVWGQVIATIPTSQLKCLKEAGHGPGKDEMTD
+EELAEGEEEIDHAERELRRGQILWFRGLNRIQTQIRVVKAFRSSLYEGLEKPESKTSIHN
+FMATPEFLINDYTHNIPLIDDTDVDENEERLRAPPPPSPNQNNNAIDSGVYLTTHGTKSA
+TSSLFSSRPGSPLHSVETSL
+>tr|A0JNC9|A0JNC9_BOVIN Sp1 transcription factor OS=Bos taurus OX=9913 GN=SP1 PE=2 SV=1
+MSDQDHSMDEMTAVVKIEKGVGGNNGGNGNGSGAFSQARSSSAGSSSSSGGGGQESQPSP
+LALLAATCSRIESPNENSNNSQGPSQSGGTGELDLTATQLSQGANGWQIISSSSGATPTS
+KEQSGSSTNGNNGSESSKNRTVSGGQYVVAATSNLQNQQVLTGLPGVMPNIQYQVIPQFQ
+TVDGQQLQFAATGAQVQQDGSGQIQIIPGANQQIITNRGSGGNIIAAMPNLLQQAVPLQG
+LANNVLSGQTQYVTNVPVALNGNITLLPVNSVSAATLTPSSQAVTISSSGSQESGSQPVT
+SGTAISSASLVSSQASSSSFFTNANSYSTTTTTSNMGIMNFTTSGSAGTSSQSQTPQRVS
+GLQGSDTLNIQQNQTSGGSLQASQQKEGEQNQQTQQQQQILIQPQLVQGGQALQALQAAP
+LSGQTFTTQAITQETLQNLQLQAVPNSGPIIIRTPTVGPNGQVSWQTLQLQNLQVQNPQA
+QTITLAPMQGVSLGQTSSSSTTLTPIASAASIPAGTVTVNAAQLSSMPGLQTINLSALGA
+SGIQVHQLPSLPLAIANASGDHGAQLGLHGAGGDGIHDDPTGGEEGENSPDPQPQAGRRT
+RREACTCPYCKDSEGRGSGDPGKKKQHICHMQGCGKVYGKTSHLRAHLRWHTGERPFMCT
+WSFCGKRFTRSDELQRHKRTHTGEKKFACPECPKRFMRSDHLSKHIKTHQNKKGGPGVAL
+SVGTLPLDSGAGSEGSGTATPSALITTNMVAMEAICPEGIARLANSGINVMQVADLQSIN
+ISGNGF
+>tr|A0A3Q1MYE9|A0A3Q1MYE9_BOVIN ANK_REP_REGION domain-containing protein OS=Bos taurus OX=9913 GN=LOC526041 PE=4 SV=1
+MVEFLVKKEADIHAVDKMQRTALILAVNYECTDVVSLLLQRGADVFSPDVFGWTAEEYAA
+ISGFDIICELISEYKEKRPKTTPQKSNPAAKSSAEDSLSRFSNKPGVDSWPTSDDDILGS
+ETKKEPKPNLAKLMKAFQQSKRNQAECGIVRRESTTLSENNNSDSEIEDVVETLPKPSPG
+VQGFSHPAFPRPDPLPKPLKTLAGLGLAKVEEEKKHKDAADESRLIQQRKSGGNNKQAFP
+AMENKGSHR
+>tr|A0A3Q1LZF5|A0A3Q1LZF5_BOVIN Peptidyl-prolyl cis-trans isomerase OS=Bos taurus OX=9913 GN=PPIH PE=3 SV=1
+MGLSSVHLPSSSLFSRPSLEECLWIDCMVNLKGLCLPVTISSLFLFAYRKDGVPIGYKGS
+TFHRVIKDFMIQGGDFVNGDGTGVASIYRGPFADENFKLRHSAPGLLSMANSGPSTNGCQ
+FFITCSKCDWLDGKHVVFGKIIDGLLVMRKIENVPTGPNNKPKLPVVISQCGEM
+>tr|Q2T9M5|Q2T9M5_BOVIN UPF3 regulator of nonsense transcripts homolog B (Yeast) OS=Bos taurus OX=9913 GN=UPF3B PE=2 SV=1
+MKEEKEHRPKEKRVTLLTPPGATGSGGGASGDSTKGEDKQDRNKEKKEALSKVVIRRLPP
+TLTKEQLQEHLQPMPEHDYFEFFSNDTSLYPHMYARAYINFKNQEDIILFRDRFDGYVFL
+DNKGQEYPAIVEFAPFQKAAKKKTKKRDTKVGTIDDDPEYRKFLESYAADNEKMTSTPET
+LLEEIEAKNRELIAKKTTPLLSFLKNKQRMREEKREERRRREIERKRQREEERRKWKEEE
+KRKRKDIEKLKKIDRVPERDKLKDEPKIKDRPAMQLYQPGARSRNRLCPTDDSTKSGDSA
+VEKKQVS
+>tr|E1BJ25|E1BJ25_BOVIN Forkhead box N1 OS=Bos taurus OX=9913 GN=FOXN1 PE=4 SV=2
+MVSLLPPQSDVTLPGPARLEGEPQGDFMQAPGLPGSPAPQNKHAGFSCSSFVPDGPPERE
+SSLPPHSPSIASPGPEQVHCPAGPGPGPFRLSPSDKYPGFSFEEGPASGPGRFLKGSHVP
+FHPYKRHFHEDVFPEAQTALALDGHSFKTPGALEAFEEIPADVGEAEAFLPGFPAEVWCN
+GLPYPSQEHSPQVLGSEVKVKPPALESGPGMYCYQPPLQHMYCPTQPPFHQYSPGGSSYP
+VPYLGSSHYPYQRIAPQASTDGHQPLFPKPIYSYSILIFMALKNSKTGSLPVSEIYNFMT
+EHFPYFKTAPDGWKNSVRHNLSLNKCFEKVENKSGSSSRKGCLWALNPAKIDKMQEELQK
+WKRKDPIAVRKSMAKPEELDSLIGDKREKLGSPLLGCPPPGLAGSGPIQPLAPPAGLSQP
+LHSIHPAPGPIPGKNPLQDLLGGHAPSCYGQTYSHLSPGLAPPGPPQPLFPQPDGHLELR
+AQPGTPQDSPLPAHTPPSHSAKLLAEPSPARTMHDTLLPDGDLGTDLDAINPSLTDFDFQ
+GNLWEQLKDDSLALDPLVLVTSSPTSSSVPPAPPPCYPPGPCLAETGSGTGDLAPPGNGG
+SGALGDLHLTTLYSAFMELEPTPSAAPTGPSVYLSPSSKPMALA
+>tr|A7E2Z6|A7E2Z6_BOVIN SPG7 protein OS=Bos taurus OX=9913 GN=SPG7 PE=2 SV=1
+MAAAWLLLRALRPGPGPGPGRLRCPYSGWSPGCTSGAKWRWPNDVHRPPMGPIGPGGRAL
+QSFQLRLLTPTFEGISRLLVKQHIVQNPVGLWKLLGGTYYFNTSRRKQKTKDNDKAKGRS
+PEDDEEERRRREREDQMYRERLRTLFVIAVIMSLLNALGSSGGNISWNDFVHEMLAKGEV
+QRVQVVPESDVVEVYLHPGAVVFGRPRLALMYRMQVANIDKFEEKLRAAEDELNIEGKDR
+IPVSYKRTGFFGNALYALGMTAVGLAILWYVFRLAGMTGREGGFSAFNQLKMARFTIVDG
+KMGKGVSFKDVAGMHEAKLEVKEFVDYLKSPERFLQLGAKVPKGALLLGPPGCGKTLLAK
+AVATEAQVPFLAMAGPEFVEVIGGLGAARVRSLFKEARARAPCIVYIDEIDAVGKKRSTA
+VSGFSNTEEEQTLNQLLVEMDGMGTTDHVIVLAATNRADILDNALLRPGRLDRHVFIDLP
+TLQERKEIFEQHLKSLKLTRASSFYSQRLAELTPGFSGADIANICNEAALHAAREGHTAV
+HTSNLDYAVERVVAGTAKKSKVLSKEEQKVVAFHESGHALVGWLLEHTEAVMKVSITPRT
+NAALGFAQMLPRDQHLFTREQLFERMCMALGGRASESISFNRVTSGAQDDLRKVTRIAYS
+MVKQFGMAPSIGPVSFPEAQEGVTGIGRRPFSQGLQQMIDHEARLLVATAYRHTEQVLRD
+NLDKLHALASALLEKEVINYEDIEALIGPPPHGPKKKIAPQKWSDAQREKQDSGEEEGA
+>tr|Q0IIH6|Q0IIH6_BOVIN Hedgehog acyltransferase-like OS=Bos taurus OX=9913 GN=HHATL PE=2 SV=1
+MGIKTALPAAELGLYSLVLSGALAYAGRGLFEASQDGAHRKAFRESVRPGWEYIGRKMDV
+ADFEWVMWFTSFRNVIIFALSGHVLFAKLCTMVAPQLRSWMYAVYGALAVVGTMGPWYLL
+LLLGHCVGLYVVSLFGQPWLCLGVGLASLASFKLDPLISWQSGFVTGTFDLQEVLFHGGC
+GFTVLRCTSFALESCAHPDRRYSLADLLKYNFYLPFFFFGPIMTFDRFHAQVSQVEPVRP
+EGELWRIRAQAGLSVVAILAVDVFFHFFYILTIPSDLKFVNRLPDSALAGLAYSNLVYDW
+VKAAVLFGVVNTVARLDHLDPPQPPKCITALYVFAETHFDRGINDWLCKYVYNYIGGEHS
+AVIPELGATIATFAITTLWLGPCDVVYLWSCLNCFGLNFELWVQKLAELEPLSQIETSLS
+EQMSRRVRAVFGAMNFWAIIMYNLVSLNSPEFTDLVAQRLLLSGFPQTTLAILFVTYCGV
+QLVKERERTLALEEEQKQDKEKLE
+>tr|A5D7H6|A5D7H6_BOVIN KLRAQ1 protein OS=Bos taurus OX=9913 GN=PPP1R21 PE=2 SV=1
+MASAELQGKYQKLAQEYSKLRAQNQVLKKGVVDEQANSAALKEQLKMKDQSLRKLQQEMD
+SLTFRNLQLAKRVELLQDELALSEPRGKKNKKSGESSSQLSQEQKSVFDEDLQKKIEENE
+RLHIQFFEADEQHKHVEAELRSRLSTLEMEAAQHQAVVDGLTRKYMETIEKLQNDKAKLE
+VKSQTLEKEAKECRLRTEECQLQLKNLHEDLSSRLEESLSIISEKVPFNDTKYSQYNALN
+VPVHNRRHQLKMRDIAGQALAFVQDLVKALLNFHTYTEQRIQIFPIDSAIDTISPLNQKF
+SQYLHENASYVRPLEEGMLHLFESITEDTVTVLETTVKLKTFSEHLTSYICFLRKILPYQ
+LKSLEEECEASLCTSALRARNLELSQDMKKMTAVFEKLQTYIALLALPSTEPDGLLRTNY
+SCVLTNVGAALHGFHDVMKDISKHYSQKATIELELPTTTQKLITTNDCILSSVVALTNGA
+GKIASFFSNNVDYFIASLSYGPKTGSGFISPLSAECMLQYKKKAAAYMKALRKPLLESVP
+YEEALANRRVLLSSTESREGLAQQVQQSLEKISKLEQEKEHWMLEAQLAKIKLEKENQRI
+ADKLKSTSSGQVVGVAQEKAAVATATGQEEASAKAVPEPVHSTSEIGILTRTSDSEAPDV
+ESREDLIRNHYMTRIVELTSQLQLADSKSVHFYAECRALSKRLALAEKSKEALTEEMKVA
+SQSISRLQDELTTTKRSYEDQLSMMSDHLCSMNETLSKQREEIDTLKMSSKGNSKKNKSR
+>tr|A4FUE5|A4FUE5_BOVIN RAB3 GTPase activating protein catalytic subunit 1 OS=Bos taurus OX=9913 GN=RAB3GAP1 PE=2 SV=1
+MAADSEPESEVFEITDFTTASEWERFISKVEEVLNDWKLIGNSVGKPLEKGIFTSGTWEE
+KSDEISFADFKFSVTHHYLVQESTDKEAKDEVLEDVIPQPMQDLLCMNNDFPPRAHCLVR
+WYGLREFVVIAPAANNDAVLSESKCNLLLSSVSIALGNTGCQVPLFVQIHHKWRRMYVGE
+CQGPGVRTDFEMVHLRKVPNQYTHLSGLLDIFKSKIGCPLTPLPPVNIAIRFTYVLQDWQ
+QYFWPQQPPDIDALVGGEVGGLEFGKLPFGACEDPISELHLATTWPHLTEGIIVDNDVYS
+DLDPMQAPHWSVRVRKADNPQCLLGDFVTEFFKICRRKESTDEILGRSTFEEEGREIADI
+THALSKLTEPAPVPIHKLSVSNMVHTAKKKIRKHRGVEESPLNNDVLNTILLFLFPDAAS
+EKPLDGTSSTDNSNPPLESEEYNLYNQFKSAPSDSLTYKLALCLCMINFYHGGLKGVAHL
+WQEFVLEMRFRWENNFLIPGLASGPPDLRCCLLHQKLQMLNCCIERKKARDEGRKTSPSD
+NVTNTYSGDAGKSGDQLGPDNLRETDKDKGEVGKSWDSWSDSEEEFFECLSDTEELKGNG
+QESGKKGGPKEMASLKPEGRLHQHGKLTLLHNGEPLYIPVTQEPAPMTEDLLEEQSEVLA
+KLGTSAEGAHLRARMQSACLLSDMESFKAANPGCFLEDFVRWYSPRDYIEEEVVDEKGNM
+VLKGELSARMKIPSNMWVEAWETAKPIPARRQRRLFDDTREAEKVLHYLAVQKPADLARH
+LLPCVIHAAVLKVKEEESLENISSVKKIIKQIISHSSKVLHFPNPEDKKLEEIIHQITNV
+EAIIARARSLKAKFGTEKCEQEEEKEDLERFVSCLLEQPEVLVVGAGRGHAGRIIHKLFV
+NAQRAATMTPAEEELKRMGPPEEKRQNLAADFPPPAGRELILRTAVPRPAPYSRALPQRM
+YSVLTKEDFRLAGAFSSDTSFF
+>tr|Q0VCB6|Q0VCB6_BOVIN RUN and FYVE domain containing 1 OS=Bos taurus OX=9913 GN=RUFY1 PE=2 SV=1
+MMEERVNLMHMMKLSIKVLLQSALSLGRSLDADHAPLQQFFVVLEHCLKHGLRVKKSFIG
+QNKSFFGPLELVEKLCPEASDIATSVRNLPELKGKEGNICL
+>tr|A0A3Q1M281|A0A3Q1M281_BOVIN Protein PET100 homolog, mitochondrial OS=Bos taurus OX=9913 GN=PET100 PE=4 SV=1
+MTIYLTFPVAMFWIANQAEWFEDYVIQRKRELWPPEKEDQRRELEEFKERIRKQREEKLL
+RAAQQGP
+>tr|A6QQ82|A6QQ82_BOVIN WD repeat domain 38 OS=Bos taurus OX=9913 GN=WDR38 PE=2 SV=2
+MNSRPRGKLAVGRVKFFGRHRGEVNSSAFSPDGQRLLTASEDGCVYGWETQSGRLLWRLR
+GHKGPVRFCRFSPDGRLFASTSCDRTIRLWDATDAKCLQVLKGHQRSVETVSFSHDSKQL
+ASGGWDKRVMLWEVQSGQVLRHFVGHRDSVQSSDFAPSSDCLATGSWDSTIRMWDLRAGT
+PGIFHQELEGHRGNISCLCYSPSGLLASGSWDKTIHIWKPSTRSLLIQLKGHITWVKSIA
+FSPDGQQLASAGYSHMVKVWDCNTGKCTETLKGVLNVAHACAFMPDGKLLVSGAVD
+>tr|A7E343|A7E343_BOVIN SLC16A4 protein OS=Bos taurus OX=9913 GN=SLC16A4 PE=2 SV=1
+MLKRKVQPYKKTLDGGWGWMVIFHFFLVNVFVMGMTKTFAIFFVVFQEEFEGTSEQTGWI
+GSIMSSLRFFAGPLVAVICDITGEKTASSLGAFLVAGGYLISSWATSIPFLCVTMGFLPG
+LGSAFLYQVAAVVTTKYFKKRLALSTAIARSGMGLTFLLAPFTKVLIDLYDWTGALILLG
+AIILHLVPSSMLLRPIHIKSTSDSDIKDESSLSPTGPEAACGTETSCCNEIQESAIEDSI
+MQKERRPGISLTVSQNQSKEFNNEYNRNGLFRKTNEETYWKKTTSRSCKQKLFDFSLFKN
+PFFYIFTWSFVLSQLAYFIPTFHLVARAKTLGINIMDASYLVSVAGIIETVSQIISGWVA
+DQNWIKKYHYHKSYLILCGITNLLAPLATTFPLLMTYTISFAVFSGGYLALILPVLVDLS
+GNSTVQSFLGLASFFAGMAVLSGPPLAGWLYDYTQTYTGSYYFSGTCYLLSSVSLFFIPL
+AERWKNSLRTKREDCSQVRA
+>tr|A6QQT7|A6QQT7_BOVIN STAU2 protein OS=Bos taurus OX=9913 GN=STAU2 PE=2 SV=1
+MLQINQMFSVQLSLGEQTWESEGSSIKKAQQAVANKALTESTLPKPVQKPPKSNVNNNPG
+SITPTVELNGLAMKRGEPAIYRPLDPKPFPNYRANYNFRGMYNQRYHCPVPKIFYVQLTV
+GNNEFFGEGKTRQAARHNAAMKALQALQNEPIPEKSAQNCESGKEMDDDKDANKSEISLV
+FEIALKRNMPVSFEVIKESGPPHMKSFVTRVSVGEFSAEGEGNSKKLSKKRAATTVLQEL
+KKLPPLPVVEKPKLFFKKRPKTIVKAGPEYGQGMNPISRLAQIQQAKKEKEPDYVLLSER
+GMPRRREFVMQVKVGNEVATGTGPNKKIAKKNAAEAMLLQLGYKASTSLQDQLDKTGENK
+GWSGPKAGFPEPTNNTPKGILHLSPDVYQEMEASRHKVISGTTLGYLSPKDMNQPSSSFF
+SISPTSSSSATIARELLMNGTSSTAEAIGLKGSSPTPPCSPVQPSKQLEYLARIQGFQAA
+LSALKQFSEQGLDSMEGAMNIEKGSLEKQAQHLGEKANNNQTHPGSIAQDCKKSRSVI
+>tr|A0A3Q1M3P3|A0A3Q1M3P3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LRCOL1 PE=4 SV=1
+MASAGHLLLLLLCLLPVAPVLTIKKYQQLSHKRIGEPCETHNECQSDCCVTNSLNPQKFC
+TAQTVFQQCLSWKKPNGYICKDHLECQSKCCVINNHSVQTYCKAKTVFLQCLPWRKPNWD
+YCDYHSECRSKCCIRLNELSPNRCIPQSGILLQCLPW
+>tr|A5PJJ1|A5PJJ1_BOVIN KRT33A protein OS=Bos taurus OX=9913 GN=KRT33A PE=2 SV=1
+MSYSCCLPNLSFRSSCSSRPCVPSSCCGTTLPGACNIPANVGSCNWFCEGSFNGSEKETM
+QFLNDRLASYLEKVRQLERDNAELESRILERSQQQEPLVCPNYQSYFRTIEELQQKILCS
+KSENARLVVQIDNAKLASDDFRTKYETEVSLRQLVEADLNGLRRILDELTLCKSDLEARV
+ESLKEELICLKQNHEQEVNTLRSQLGDRLNVEVDAAPTVDLNRVLNETRAQYEALVETNR
+RDVEEWYIRQTEELNKQVVSSSEQLQSYQAEIIELRRTVNALEVELQAQHNLRDSLENTL
+TETEARYSCQLAQVQGLIGNVESQLAEIRSDLERQNQEYQVLLDVRARLECEINTYRGLL
+DSEDCKLPCNPCATTNACERPCISNPCVSRARCGPCNTFVH
+>tr|Q32L30|Q32L30_BOVIN Testis expressed 264 OS=Bos taurus OX=9913 GN=TEX264 PE=2 SV=1
+MSDLLLLGLIGGLTLLLLLTLLAFAGYSGLLAGVTVSAGSPPIRNVTVAYKFHVGPYGET
+GPLFTESCSVSPKLRSIAVYYDNPHMVPPEKCRCAVGSILSEGEESPSPELIRLYQKFGF
+KVFSFPAPSHVVTATFPYTTPLSIWLVPHRVHPALDAYIKERKLCAHPRLEIYQQDQIYF
+MCPLARQGDFYVPEVKETERKSRGPAEANDALVDGTGADTMSDTSSVSLELGPGSRDTSA
+ATLSPGMSSRAWDDGDTRSEHSYSESGASGSSFEELDLEGEGALGEPRLSPETEPLGAPK
+WPREPSTPEKGEE
+>tr|E1BI32|E1BI32_BOVIN Dendrocyte expressed seven transmembrane protein OS=Bos taurus OX=9913 GN=DCSTAMP PE=4 SV=2
+MGVWTSGGNIFLSLWGTYVSPRSPGWMAFAQHAGVCCFVSFISVGLLSGAFYWFLSSLTV
+FITSWMATCVLLCCSKHARCFILLFFLSCGLREGRNALIAAGTGVVIFGHVENIFHNFKG
+LLDSMTCNLRAKSFAIHFPLLKKYIEAIRWVYGLVTHLSLFDDLVSWNQTLEVSLFSPSQ
+ALEAQLNDTTDKALGVLYQMVMATEVLCSLGRQLLALTGLLLVLLGTGLFMKRFLDPCGW
+KFENIYLTRHFVQLDERERREQRPCVLPLNKQERKKYVVIPSFWLTPKDRRNLGLFFLPV
+LTHLYIWLLFAAIDYLLYSLIFSMSKHFQSLPGLEVRLKLHRQEQGTQDIIHASSFNISL
+FEPTCIPKPKLLLSKTWIPLSIILVILVTLGLLSSVLMQLKILVSASFYPSVQRERMQYL
+HAKILKKRSKRPVGEVKGKLSLYFTKIHFWLPVLKMIGKKQMDIASEDNPEETPHSSSST
+LCQQSFSSLQMAALPHPQQERTAQQDPSAA
+>tr|F1MEW1|F1MEW1_BOVIN Semaphorin 3A OS=Bos taurus OX=9913 GN=SEMA3A PE=3 SV=3
+MGWFARIVCLFWGVLLTARANYQNGKNNVPRLKLSYKEMLESNNVITFNGLANSSSYHTF
+LLDEERSRLYVGAKDHIFSFNLVNIKDFQKIVWPVSYTRRDECKWAGKDILKECANFIKV
+LKAYNQTHLYACGTGAFHPVCTYIEIGHHPEDNIFKLQDSHFENGRGKSPYDPKLLTASL
+LIDGELYSGTAADFMGRDFAIFRTLGHHHPIRTEQHDSRWLNDPRFISAHLIPESDNPED
+DKVYFFFRENAIDGEHTGKATHARIGQICKNDFGGHRSLVNKWTTFLKARLICSVPGPNG
+IDTHFDELQDVFLMNSKDPKNPVVYGVFTTSSNIFKGSAVCMYSMSDVRRVFLGPYAHRD
+GPNYQWVPYQGRVPYPRPGTCPSKTFGGFDSTKDLPDDVITFARSHPAMYNPVFPINNRP
+IMIKTDVNYQFTQIVVDRVDAEDGQYDVMFIGTDVGTVLKVVSIPKETWHDLEEVLLEEM
+TVFREPTTISAMELSTKQQQLYIGSTTGVTQLPLHRCDIYGKACAECCLARDPYCAWDGS
+SCSRYFPTAKRRTRRQDIRNGDPLTHCSDLQHHDNHHGHSFEERIIYGVENSSTFLECSP
+KSQRALVYWQFQRRNEEPKEEIRVDDHIIRTEQGLLLRSLQRKDSGNYLCHAVEHGFMQT
+LLKVTLEVIDTEHLEELLHKDDDGDGSKTKETSNSMTPSQKVWYRDFMQLINHPNLNTMD
+EFCEQVWKRDRKQRRQRPGHTQGNSNKWKHLQENKKGRNRRTHEFERAPRSV
+>tr|Q0VCH1|Q0VCH1_BOVIN Homer homolog 2 (Drosophila) OS=Bos taurus OX=9913 GN=HOMER2 PE=2 SV=1
+MGEQPIFTTRAHVFQIDPNTKKNWVPASKQAVTVSYFYDVTRNSYRIISVDGAKVIINST
+ITPNMTFTKTSQKFGQWADSRANTVFGLGFSSEQQLTKFAEKFQEVKEAARLARDKSQEK
+IETSSNHSQASSVNGTDDEKASCAGPADTHLKSENDKLKIALTQSAANVKKWEIELQTLR
+ESNARLTSALQESAASVEQWKRQFSLCRDENDRLRNKIDELEEQCSEINREKEKNTQLKR
+RIEELESELREKETELIDLRKQSEIIPQLMSECEYVSEKLEAAERDNQNLEDKVRSLKTD
+IEESKYRQRHLKVELKSFLEVLDGKIDDLHDFRRGLSKLGADN
+>tr|A0A3Q1M4C4|A0A3Q1M4C4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC112445102 PE=4 SV=1
+MQASGGGAGGAEDRDDQQSSRIPACQSGSGSAEGEAVRRAPQGRGGPGRGRAVMEAAVAR
+PQGDTLRVPFQSPLEADMARRSLLPDAQRHQGLIRKEFAVNGSDLLVRWTADDLAFIRLS
+MNPFLDQLSLVIRNIRSLGLPPRQSRS
+>tr|A6H788|A6H788_BOVIN SNRPA1 protein OS=Bos taurus OX=9913 GN=SNRPA1 PE=1 SV=1
+MVKLTAELIEQAAQYTNAVRDRELDLRGYKIPVIENLGATLDQFDAIDFSDNEIRKLDGF
+PLLRRLKTLLVNNNRICRIGEGLDQALPCLTELILTNNSLVELGDLDPLASLKSLTYLSI
+LRNPVTNKKHYRLYVIYKVPQVRVLDFQKVKLKERQEAEKMFKGKRGAQLAKDIARRSKT
+FNPGAGLPTDKKKGGPSPGDVEAIKNAIANASTLAEVERLKGLLQSGQIPGRERRAGPTD
+DGEEEMEEDTVANGS
+>tr|A2VE62|A2VE62_BOVIN STE20-related kinase adapter protein alpha OS=Bos taurus OX=9913 GN=STRADA PE=2 SV=1
+MSFLTNEASSESIASFSKPEIMSSFLPEGGCYELLTVIGKGFEDLMTVNLARYKPTGEYV
+TVRRINLEACSNEMVTFLQGELHVSKLFSHPNILPYGATFIADNELWVVTSFMAYGSAKD
+LICTHFMDGMSELAIAYILQGALKALDYIHHMGYVHRSVKASHVLISADGKVYLSGLRSN
+LSMISHGQRQRVVHDFPKYSIKVLPWLSPEVLQQNLQGYDAKSDIYSVGITACELANGHV
+PFKDMPATQMLLEKLNGTVPCLLDTSTIPAEELTMSTSRSAANSGLSESLAPSTPRTSNG
+DSPSHPYHRTFSPHFHHFVEQCLQRNPDMRPSASTLLNHSFFKQIKRRASEALPELLRPV
+TPITTFEGRQSQDHSGIFGLVTNLEELEVDDWEF
+>tr|A0A3Q1MXP3|A0A3Q1MXP3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC614914 PE=4 SV=1
+MTSWVSRLLPRNGRSLATASENQRAEDRHRHQEAYGYFLPIQTRWQDNDQYGHVNNVVYY
+SYFDTIINQYLISAFFQEKQHSILCMSVFGPERVLRAVFKRLTHDHNLPLSPISRQPHSA
+FHCVSGLCVLRSENGLADVPHGGLHGDQSVHLPHTGQLSSDPRGRIGRGESGALQRALPP
+CSVPS
+>tr|A0A3Q1M9H4|A0A3Q1M9H4_BOVIN DNA methyltransferase 3 alpha OS=Bos taurus OX=9913 GN=DNMT3A PE=3 SV=1
+MPASGPGDTSGSALEREEERKEGEEQEEARAKEERQEPSTTARKVGRPGRKRKHPPVESS
+DTPKDPAVTSKSLSMAQDSGPSELLPNGDLEKRSEPQPEEGSPAGGQKGGAPAEGEGATE
+TPPEASRAVENGCCTPKDGRGAPAEEGKEQKETNIESMKMEGSRGRLRGGLGWESSLRQR
+PMPRLTFQAGDPYYISKRKRDEWLARWKREAEKKAKVIAVMNAVEENQGSTESQKVEEAS
+PPAVQQPTDPASPTVATTPEPVGADAGDKNATKAADDEPEYEDGRGFGIGELVWGKLRGF
+SWWPGRIVSWWMTGRSRAAEGTRWVMWFGDGKFSVVCVEKLMPLSSFCSAFHQATYNKQP
+MYRKAIYEVLQVASSRAGKLFPMCHDSDESDTAKAVEVQNKQMIEWALGGFQPSGPKGLE
+PPEEEKNPYKEVYTDMWVEPEAAAYAPPPPAKKPRKSTTEKPKVKEIIDERTRERLVYEV
+RQKCRNIEDICISCGSLNVTLEHPLFIGGMCQNCKNCFLECAYQYDDDGYQSYCTICCGG
+REVLMCGNNNCCRCFCVECVDLLVGPGAAQAAIKEDPWNCYMCGHKGTYGLLRRRDDWPS
+RLQMFFANNHDQEFDPPKVYPPVPAEKRKPIRVLSLFDGIATGLLVLKDLGIQVDRYIAS
+EVCEDSITVGMVRHQGKIMYVGDVRSVTQKHIQEWGPFDLVIGGSPCNDLSIVNPARKGL
+YEGTGRLFFEFYRLLHDARPKEGDDRPFFWLFENVVAMGVSDKRDISRFLESNPVMIDAK
+EVSAAHRARYFWGNLPGMNRPLASTVNDKLELQECLEHGRIAKFSKVRTITTRSNSIKQG
+KDQHFPVFMNEKEDILWCTEMERVFGFPVHYTDVSNMSRLARQRLLGRSWSVPVIRHLFA
+PLKEYFALLGLMKAVLLPRVGSTWSPKCTTLGSASHRGRRPGVGGRQGWRGWLQGEGPTG
+RRLPE
+>tr|A5D7D7|A5D7D7_BOVIN SYT13 protein OS=Bos taurus OX=9913 GN=SYT13 PE=2 SV=1
+MVLSVPVIALGATLGTATSILALCGVTCLCRHMHPKKGVLQRDLEPDPEKAKPSVLRAAQ
+QFNVKKSTEPVQPRALLKFPDIYGPRPAVTAPEVINYADYTLKTTAEPAAPASPQAPNDS
+RLKRQVTEELFVLPQNGVVEDVCVMETWNPEKAASWNQAPKLHYCLDYDRQKAELFVTRL
+EAVTSDHDGGCDCYVQGSVANSMGSVEAQTALKKRELHTMWEEGLVLPLAEEELPTATLT
+LTLRTCDRFSRHSVVGELRLGLDGVSVPLGAAQWGELKTSVKEPSAGTGEVLLSISYLPA
+ANRLLVVLIKAKNLHSNQSKELLGKDVSVKVTLKHQARKLKKKQTKRAKHKINPVWNEMI
+MFELPDDLLRASSVELDVLGLGAEGQSCVLGHCSLGLHASGSERSHWEEMLKNPRRQIAM
+WHQLHL
+>tr|A5D7M1|A5D7M1_BOVIN MGC155143 protein OS=Bos taurus OX=9913 GN=ZBTB33 PE=2 SV=1
+MESRKLISATDIQYSGSLLNSLNEQRGHGLFCDVTVIVEDRKFRAHRNILSASSTYFHQL
+FSVAGQVVELSFIRAEIFAEILNYIYSSKIVRVRSDLLDELIKSGQLLGVKFIAELGVPL
+SQVKSISGTAQDGNAENSPPEIQKSKDESQENGATIMPIITESFSLSAEDYETKKIIVTD
+SDDDDDDVIFCSEILPAKETLPSTNTVAEVQPNPGSVAISDDAPCVSNSSPPLTNITPTQ
+KLPTSVNQATLSQTQGSEKLLVSSAPTHLTPNIILLNQTPLTTPPNVSSSLPNHMSPSIN
+LLVQNQQPPNSAVLPGNKANEEEEEEIIDDDDDTISSSPDSAVSNTSLVPQAEIPPNTTF
+DGSLIQKMQIPTLLQEPVSNSLKISDIITRNTNDPGLGSKHLMEGQKIITLDTATEIEGL
+STGCKVYANIGEDTYDIVIPVKDDPDEGEARLGNEIPKTPSSETANKRMKVKHDDHYELI
+VDGRVYYICIVCKRSYVCLTSLRRHFNIHSWEKKYPCRYCEKVFPLAEYRTKHEIHHTGE
+RRYQCLACGKSFINYQFMSSHIKSVHSQDPSGDSKLYRLHPCRSLQIRQYAYLSDRSGTM
+PVMKDDGIGYKVDAGKEPPVGTTSAPQNKPMTWEDIFIQQENDSMFKQNVTDGSTEFEFI
+IPESY
+>tr|I6L9J8|I6L9J8_BOVIN FAM45A protein OS=Bos taurus OX=9913 GN=FAM45A PE=2 SV=1
+MYLKHGSPVKMMESYIAVLTKGICQSEENGSFLSKDFDARKAYLAGSIKDIVSQFGMETV
+ILHTALMLKKRIVVYHPKIEAVQEFTRTLPALVWHRQDWTILHSYVHLDADELEALQMCP
+GYIAGFVDLDVSNRSDLYDVFVNLADSEITIAPLAKEAMTMGKLHKEIGQLIVQSAEDPE
+KSDSQVIQDISLKTKEIFTNLAPFSEVSDDGEKRVLNYEALKQRRFPPATENFLYHLAAA
+EQMLKI
+>tr|Q5BN37|Q5BN37_BOVIN Kv channel interacting protein 1 OS=Bos taurus OX=9913 GN=KCNIP1 PE=2 SV=1
+MGAVMGTFSSLQTKQRRPSKDKIEDELEMTMVCHRPEGLEQLEAQTNFTKRELQVLYRGF
+KNECPSGVVNEETFKQIYAQFFPHGDASMYAHYLFHAFDTTQTGSVKFEDFVTALSILLR
+GTVHEKLRWTFNLYDINKDGYINKEEMMDIVKAIYDMMGKYTYPVLKEDTPRQHVDIFFQ
+KMDKNKDGIVTLDEFLESCQEDDNIMRSLQLFQNVM
+>tr|F1MF31|F1MF31_BOVIN Sphingomyelin synthase 1 OS=Bos taurus OX=9913 GN=SGMS1 PE=4 SV=2
+MKEVVYWSPEKVADWLQENAMPEYCEPLEHFTGRDLINLTQEDFTKPPLCLVTSDNGQRL
+LHMIETLKMEHHLEAHKNGHANGHLSIGTGSPSPNGSFSIKVKPNGMPNGYRKEMIKIPM
+PEPERSQYPMEWGKTFLAFLYALSCFVLTTVMISVVHERVPPKEVQPPLPDTFFDHFNRV
+QWAFSICEINGMILVGLWLIQWLLLKYKSIISRRFFCIVGTLYLYRCITMYVTTLPVPGM
+HFNCSPKLFGDWEAQLRRIMKLIAGGGLSITGSHNMCGDYLYSGHTVMLTLTYLFIKEYS
+PRRLWWYHWLCWLLSVVGIFCILLAHDHYTVDVVVAYYITTRLFWWYHTMANQQVLKEAS
+QMNLLARVWWYRPFQYFEKNVQGIVPRSYHWPFPWPVIHLSRQVKYSRLVNDT
+>tr|A7MB30|A7MB30_BOVIN EPS15L1 protein OS=Bos taurus OX=9913 GN=EPS15L1 PE=2 SV=1
+MAAPLIPLSQQIPAGNPLYESYYKQVDPAYTGRVGASEAALFLKKSGLSDIILGKIWDLA
+DPEGKGYLDKQGFYVALRLVACAQSGHEVTLSNLNLNMPPPKFHDSSSPLMVTAPSAEAH
+WAVRVEEKAKFDGIFESLLPVNGLLSGDKVKPVLMNSKLPLDVLGRVWDLSDIDKDGHLD
+RDEFAVAMHLVYRALEKEPVPSVLPPSLIPPSKRKKTMFAGAVPVLPASPPPKDSLRSTP
+SHGSVSSLNSTGSLSPKHSIKQAQPTVSWVVPVADKMRFDEIFLKTDLDLDGYVSGQEVK
+EIFMHSGLTQNLLAHIWALADTRQTGKLSKDQFALAMYFIQQKVSKGIDPPQVLSPDMVP
+PSERGTPIPDSSSTLGSGEFTGVKELDDISQEISQLQREKYSLEQDIREKEEAIRQKTNE
+VQELQNDLDRETSSLQELEAQKQDAQDRLDEMDQQKAKLRDMLSDVRQKCQDETQMISSL
+KTQIQSQESDLKSQEDDLNRAKSELNRLQQEETQLEQSIQAGKVQLETIIKSLKSTQDEI
+NQARSRLSQLHESHREAQRSLEQHDEMLDGAPGVSLSSLADLSTGVSLTERGGFGAMDDP
+FKNKALLFSNNAQELHPDPFQAEDPFKSDPFKGADPFKGDPFQSDPFAEQQTASTDPFGG
+DPFKESDPFRGSTPDDFFKKQTKSDPFTSDPFTKNPSLPSKLDPFESSDPFSSSTVSSKG
+SGPFGALDPFGSGSFSSAEGFADFSQMSKFGNEEQQLAWAKRESEKAEQERLARLRRQEQ
+EDLELAIALSKADMPAA
+>tr|Q148L0|Q148L0_BOVIN Charged multivesicular body protein 6 OS=Bos taurus OX=9913 GN=CHMP6 PE=2 SV=1
+MGNLFGRKKQSRVTEQDKAILQLKQQRDKLKQYQKRITQQLEREREIARQLLRDGRKERA
+KLLLKKKRYREQLLDKTENQITSLETMVQSIEFTQIEMKVIEGLKIGNECLNKMHQVMSI
+EEVERILDETQEAVDYQRQIDELLAGSFTQEDEDAILEELDAITQEQIELPAVPSEPLPE
+KIPEKVPVKARPRQAELVAAS
+>tr|Q2NKZ8|Q2NKZ8_BOVIN Glycerol kinase OS=Bos taurus OX=9913 GN=LOC538702 PE=2 SV=1
+MAATKKAVLGPLVGAVDQGTSSTRFLVFNSKTAELLSHHQVEIKQEFPKEGWVEQDPKEI
+LLSVYECIEKTCEKLGQLNIDISNIKAIGVSNQRETTVVWDKLTGEPLYNAVVWLDLRTQ
+STVESLSKSIPVNNNFVKTKTGLPLSTYFSAVKLRWLLDNVRKVQKAVEEDRALFGTIDS
+WLIWSLTGGARGGVHCTDVTNASRTMLFNIHSLEWDKELCEFFKVPMKILPNIRSSSEIY
+GLMKAGSLEGVPISGCLGDQSAALVGQLCFQDGQAKNTYGTGCFLLCNTGHKCVFSEHGL
+LTTVAYKLGRDKPVYYALEGSVAIAGAVVRWLRDNLGIIKTSEEIEKLANEVGTSYGCYF
+VPAFSGLYAPYWEPSARGIICGLTQFTNKCHIAFAALEAVCFQTREILDAMNRDCGIPLS
+HLQVDGGMTNNKILMQLQADILYIPVVKPSMPETTALGAAMAAGAAEGVGVWSLEPEDLS
+AVTMERFEPQINAKESEIRYSTWKKAVMKSMDWATTKSSQNGDTGIFSSLPLGFFVVSSM
+VMLIGARYLSGVP
+>tr|A7MBG5|A7MBG5_BOVIN TBC1 domain family member 9B OS=Bos taurus OX=9913 GN=TBC1D9B PE=2 SV=1
+MWLGPEEVLVANALWVTERANPFFVLQRRRGHGKGGGLTGLLVGTLDVVLDSSARVAPYR
+ILHQTQDSQVYWTVACGSSRKEITKHWEWLENNLLQTLSIFDNEEDITTFVKGKIHGIIA
+EENKNVQPQGDEDPGKFKEAELKMRKQFGMPDGEKLVNYYSCSYWKGRVPRQGWLYLTVN
+HLCFYSFLLGKEVSLVVQWVDVTRLEKNATLLFPESIRVDTRDQELFFSMFLNIGETFKL
+MEQLANLAVRQLLDSEGFLEERAPPRPPRPHRNISALKRDLDARAKNECYRATFRLPRDE
+RLDGHTSCTLWTPFNKLHIPGQMFISSNYICFASKEEDACHLIIPLREVTIVEKADCSSV
+LPSPLSISTKSKMTFLFANLKDREFLVERISDFLQKMPSKPSGGSRAESKASIVDAAPEP
+SPAPQELSEQPGPTSPLGGLPGGRAQEAPTASQGLLRLFQRNTPVEDLGAKGAKEKMKEE
+SWNIHFFEFGRGMCMYRTARTRELVLKGIPESLRGELWLLFSGAWNEMVTHPGYYAELVE
+KSMGKYSLATEEIERDLHRSMPEHPAFQNELGIAALRRVLTAYAFRNPTIGYCQAMNIVT
+SVLLLYGSEEEAFWLLVALCERMLPDYYNTRVVGALVDQGIFEELTRDFLPQLSKKMQDL
+GVISSISLSWFLTLFLSVMPFESAVVIVDCFFYEGIKVILQVALAILDANMEQLLGCGDE
+GEAMTVLGRYLDNVVNKQSVSPPIPHLHALLTSGDDPPEEVDIFDLLKVSYEKFSSLRAE
+DIEQMRFKQRLKVIQSLEDTAKRSVVRAIPGDIGFSIEELEDLYMVFKAKHLASQYWGSS
+RPAAVRRDPSLPYLEQYRIDAHQFRELFASLTPWACGAHTPVLAGRMFRLLDENKDSLIN
+FKEFVTGMSGMYHGDLTEKLKVLYKLHLPPALSPEEAESALEATHYFTEDSSSEASPLAS
+DLDLFLPWKVQEVLQQEEREEAGNDIQDKRREEKGTSPPDYRHYLRMWAKEKEVQKETIK
+DLPKMNQEQFIELCKTLYNMFSEDPMEQDLYHAIATVASLLLRIGEVGKRFSAWPGRKSR
+DSAPDEDESPAPDPPQDPAWELQPPAAGDPQAKAGGDTHLGKTPQESQVVGEGGGGEGRG
+SPSQPLSDDETKDDMSISSYSVVSAGSLQCEDLADDTVLVGGEARSPVATTHGGGTVDTD
+WCISFEQILASILTESVLVNFFEKRVDIGLKIKDHRKVERQFSTSSDHEQSVVLG
+>tr|A8E4N6|A8E4N6_BOVIN TMEM9 protein OS=Bos taurus OX=9913 GN=TMEM9 PE=2 SV=1
+MKLLCVVAVVGSLLVPPAQANKSSEDIRCKCICPPYRNISGHIYNQNVSQKDCNCLHVVE
+PMPVPGHDVEAYCLLCECKYEERSTTTIKVIIVIYLSVVGALLLYMAFLMLVDPLIRKPD
+AYTERLHNEEESEDARSAAAAAAFGSRANTVLERVEGAQQRWKLQVQEQRKTVFDRHKML
+S
+>tr|Q2KJ26|Q2KJ26_BOVIN Gasdermin C OS=Bos taurus OX=9913 GN=GSDMC PE=2 SV=1
+MPSLFEHTSKNLVKELGDKDFRPLQNLLSAHKFCQLKLLRKKRRTLSQFWEQPDVPVDHT
+LTDILEPSPSVPEPVLSKKFIFIDKTVWKGAAEVDVTAGLEVSVSGTATQSCECSLEVQS
+VTISPWDWEDLQKRKVLDQEPSFLQECRTRGDNLYVVTEAVKLVNETVLQDSSSVNATGT
+FSIPWSFYAKSTAEGSGLKERKRTMTVPQGTVMAYKKKQLVFREDGRAILLISDDDKQKT
+FPEIKLQGLPLSPEILSISDDNKRKAFFVGKVQRQSAMGTKRRPILPIGRIQEPISRDFK
+SLQNEVSREIEAVAELPRDIRDALFHTILAKLKDQGALQDLTDMLDGNLWDHTGSFLSEM
+REDSRNVWLESRPCVIYLLEALLVLSDIQHELLAWSMEKRILPQQRELVESILEPNFRYP
+WNIPFTLDPKLLASLQDEGLAVTFGLLQECGLRVAPDNPKGTWDLEAKKPLSALYGSLSV
+LQQLAEA
+>tr|E1BB94|E1BB94_BOVIN Blood vessel epicardial substance OS=Bos taurus OX=9913 GN=BVES PE=4 SV=2
+MNYTESSPLAEATAIGFTPELERILPVPSNETTCENWREIHHLVFHVANIFFAIGLVIPT
+TLHLHMILLRGLLAVGCALYVVWATLYRCALDIMIWNSVFLGINILHLSYLLYKKRPVKI
+EKELKSIYRRLFEPLRVSPDLFRRLTGQFCMIQTLKKGESYAVEELTSVDDRLSILLKGK
+MKVSYRGHFLHNIYPCAFIDSPEFRSTQMHKGEKFQVTIIADDNCRFLCWSRERLTYFLE
+SEPFLYEIFRYLIGKDITNKLYSLNDPTLNDKKIKKLDHQLSLCTQLSMLEMRNSIVSSS
+EDEEGLHQFLRGTSSVSSLHVPSPHQRASPRMKPIEEGVEDDDDVFEPAPPNTFKVRQWP
+>tr|A0JNB7|A0JNB7_BOVIN Solute carrier family 40 protein OS=Bos taurus OX=9913 GN=SLC40A1 PE=2 SV=1
+MTRTREQSRQGGCCGSLANYLTSAKFLLYLGHSLSTWGDRMWHFAVSVFLVELYGNSLLL
+TAVYGLVVAGSVLVLGAIIGDWVDKNARLKVAQTSLVIQNVSVILCGIILMMVFLHKNEL
+LTMYHGWVLTSCYILIITIANIANLASTATAITIQRDWIVVVAGGDRGRLADMNATIRRI
+DQLTNILAPMAVGQIMTFGSTVIGCGFISAWNLVSMCMEYFLLWKVYQKTPALAVKAPPK
+EETELKRLNLYKESEPKPLEGTHLMGEKDPDIHELEHEQEPSCASQMAEPFRTFRDGWVS
+YYNQSVFLAGMGLAFLYMTVLGFDCITTGYAYTQGLSGSILSILMGASAITGIMGTVAFT
+WLRRRCGLVRTGLISGLAQLSCLILCVISVFMPGSPLDLSVSPFEDIRTRFIQTEPLSVT
+PTKIPEIISTTDTHMSNGSVPASVVPEMSSKSVPIISVSLLFAGVIAARIGLWSFDLTVT
+QLLQENVVESERGIINGVQNSMNYLLDLLHFIMVILAPNPEAFGLLVLISVSFVAMGHVM
+YFRFAQKTLGSQLFACGHDDKEVTDADQANTSDV
+>tr|F1MGF3|F1MGF3_BOVIN Nei like DNA glycosylase 1 OS=Bos taurus OX=9913 GN=NEIL1 PE=4 SV=2
+MPEGPELHLASHFVNEACRELVFGGCVEKSPVSRNPEVPFESSAYSISALARGKELRLTL
+SPLPGAQPHREPLALVFRFGMTGSFQLVPSDALPPHAHLRFYTAPPGPRLALCFVDIRRF
+GRWDLGGEWQPGRGPCVLLEYEQFRENVLRNLADKAFDRPICEALLDQRFFNGIGNYLRA
+EILYRLRIPPFEKARTVLEALQQRRPSPELTLSQKIRAKLQNPDLLELCHSVPKEVVQLG
+GKGYGSESGEEDFAAFRAWLQCYSTPGMSSLRDRHGRTIWFQGDPGPLAPKGGKSRKKSS
+QEAQQGPEDRVEDPPSPNKAPSRTRRAQRGLPKQTTAQVPEGTSLQQDPEAPPVPDKGKR
+RRRPATSGRHRTQKIKADTPSLEPEGTSAS
+>tr|E1BA43|E1BA43_BOVIN RIMS binding protein 2 OS=Bos taurus OX=9913 GN=RIMBP2 PE=4 SV=3
+MHLRKAAQGDHRLRPHYAGLPARARQLDKVKAEYARLKHTLTIVTRERDLAVKEKHQLQA
+KLENLEQVLKHMREAAERRQQLELEHEQALAILNAKQQEIDLLQKAQVEAKKEHEGAVQL
+LECKVRELEEKCRTQSEQFSLLSRDLEQFRQHAGKIDLLGGSTVASLDVPSSPSKSFPRF
+MNGLAPSIGKGQESALGSHTVIGEYIRPLPLPGDKPEPLSVKPTFLSRSGGPRCRFESDM
+DNDRNSNTAKQRYSGKVHLCVARYSYNPFDGPNENPEAELPLTAGKYLYVYGDMDEDGFY
+EGELLDGQRGLVPSNFVDFIQDNESRLASTLGNDQDQNFINHAGISSEGENILDLHSPTL
+TDSSLADHGAGTLDVNIDDIGEDIVPYPRKITLIKQLAKSVIVGWEPPAVPPGWGTVNSY
+NVLVDAETRLSLALGGRTKALVEKLNMAACTYRISVQCVTSRGSSDELRCTLLVGKDVVV
+APSHLRVDNITQISAQLSWLPTNSNYSHVIFLNEQELDVVRAARYKYQFCNLRPNMAYKV
+KVLAKPHQMPWQLPLEQRERKEAFVEFSTLPAGPPAPPQDVAVQAGATPATVQVSWRPPA
+LTATGLSNGANVTGYGVYAKGQRVAEIISPTADGTAVELVRLRSLEAKGVTVRTLSAQGE
+SVDSAVAAIPPDLLVPPTPHLRTAPTPKPLASAGAPETKDEHLGPHAAVDEAWEHGRAPT
+PAHGHLLEPPGLQGSGPGRRSPSPSRILPQPQGVPVSTSVAKAMAREAAQRVAESSRLEK
+RSIFLERSSGQYANSDEEDGYESPDVKRRGASVDEFLKGSELGKPPHCCHGDDYHTESSR
+GSDLSDIMEEDEEELYSEMQLEDGGRRRPSGTSHNALKECSKNRTTERAFSELPEYPQQT
+HHSKRLFSIPEVAEEDGEPCEFLHKQGLGASSRVRTGLAREPRPARPCRGHEALHGSWYP
+TKPRGPQAEDFIFEDRGCRFSRAATRSPDSGLDCGSEEEEMRFSFRSPTAQCSPGPGHCP
+CRRSLRPLLARRRTLTRQSSIEEDFGEPVEPGDVVRSDEPHPSPERSTPSKYGWDEPTGR
+EDFRDAWKKSIKMPDSRAIARQAQPPPRVADGPLILGNPVTAGRADRADHVGRRFSHGSA
+GSHRSRPMMVHAADEYGRDRLSPDFYEESETDPGAEELPARIFVALFDYDPLTMSPNPDA
+AEEELPFKEGQIIKVYGDKDADGFYRGETCARLGLIPCNMVSEIQADDEEMMDQLLRQGF
+LPLNTPVEKIERNRRSGRQHSVSTRRMVALYDYDPRESSPNIDVEAELTFCTGDIITVFG
+EIDEDGFYYGELNGQKGLVPSNFLEEVPDDVEVYLSDAPSHYSQDMPMRSKTKRVPPEGS
+GTARRAPSPTVHLHSGSPTSSMGTGSPGRGREMSSKKKKGLLSKGKKLLKKLGAVK
+>tr|F1MYC9|F1MYC9_BOVIN Spectrin beta chain OS=Bos taurus OX=9913 GN=SPTBN1 PE=1 SV=1
+MTTTVATDYDNIEIQQQYSDVNNRWDVDDWDNENSSARLFERSRIKALADEREAVQKKTF
+TKWVNSHLARVSCRITDLYTDLRDGRMLIKLLEVLSGERLPKPTKGRMRIHCLENVDKAL
+QFLKEQRVHLENMGSHDIVDGNHRLTLGLIWTIILRFQIQDISVETEDNKEKKSAKDALL
+LWCQMKTAGYPNVNIHNFTTSWRDGMAFNALIHKHRPDLIDFDKLKKSNAHYNLQNAFNL
+AEQHLGLTKLLDPEDISVDHPDEKSIITYVVTYYHYFSKMKALAVEGKRIGKVLDNAIET
+EKMIEKYESLASDLLEWIEQTIIILNNRKFANSLVGVQQQLQAFNTYRTVEKPPKFTEKG
+NLEVLLFTIQSKMRANNQKVYMPREGKLISDINKAWERLEKAEHERELALRNELIRQEKL
+EQLARRFDRKAAMRETWLSENQRLVSQDNFGFDLPAVEAATKKHEAIETDIAAYEERVQA
+VVAVARELEAENYHDIKRITARKDNVIRLWEYLLELLRARRQRLEMNLGLQKIFQEMLYI
+MDWMDEMKVLLLSQDYGKHLLGVEDLLQKHALVEADIGIQAERVRGVNASAQKFATDGEG
+YKPCDPQVIRDRVAHMEFCYQELCQLAAERRARLEESRRLWKFFWEMAEEEGWIREKEKI
+LSSDDYGKDLTSVMRLLSKHRAFEDEMSGRSGHFEQAIKEGEDMIAEEHFGSEKIRERIA
+YIREQWAHLEQLSAIRKKRLEEASLLHQFQADADDIDAWMLDILKIVSSNDVGHDEYSTQ
+SLVKKHKDVAEEIANYRPTIDSLHEQASALPQEHAESPDVQGRLAGIEERYKEVAELTRL
+RKQALQDTLALYKMFSEADACELWIDEKEQWLNNMQIPEKLEDLEVIQHRFESLEPEMNN
+QASRVAVVNQIARQLMHSGHPSEKEIKAQQDKLNTRWSQFRELVDRKKDALLSALSIQNY
+HLECNETKSWIREKTKVIESTQDLGNDLAGVMALQRKLTGMERDLVAIEAKLSDLQKEAE
+KLESEHPDQAQAILSRLAEISDVWEEMKTTLKNREASLGEASKLQQFLRDLDDFQSWLSR
+TQTAIASEDMPNTLTEAEKLLTQHENIKNEIDNYEEDYQKMRDMGEMVTQGQTDAQYMFL
+RQRLQALDTGWNELHKMWENRQSLLSQSHAHQQFLRDTKQAEAFLNNQEYVLAHTEMPTT
+LEGAEAAIKKQEDFMTTMDANEEKINAVVETGRRLVSDGNINSDRIQEKVDSIDDRHRKN
+REAASELLMRLKDNRDLQKFLQDCQELSLWINEKMLTAQDMSYDEARNLHSKWLKHQAFM
+AELASNKEWLDKIEKEGMQLISEKPETEAVVKEKLTGLHKMWEVLESTTQTKAQRLFDAN
+KAELFTQSCADLDKWLHGLESQIQSDDYGKDLTSVNILLKKQQMLENQMEVRKKEIEELQ
+SQAQALSQEGKSTDEVDSKRLTVQTKFMELLEPLNERKQNLLASKEIHQFNRDVEDEILW
+VGERMPLATSTDHGHNLQTVQLLIKKNQTLQKEIQGHQPRIDDIFERSQNIITDSSLNAE
+AIRQRLADLKQLWGLLIEETEKRHRRLEEAHRAQQYYFDAAEAEAWMSEQELYMMSEEKA
+KDEQSAVSMLKKHQILEQAVEDYAETVHQLSKTSRALVADSHPESERISMRQSKVDKLYA
+GLKDLAEERRGKLDERHRLFQLNREVDDLEQWIAEREVVAGSHELGQDYEHVTMLQERFR
+EFARDTGNIGQERVDTVNHMADELINSGHSDAATIAEWKDGLNEAWADLLELIDTRTQIL
+AASYELHKFYHDAKEIFGRIQDKHKKLPEELGRDQNTVETLQRMHTTFEHDIQALGTQVR
+QLQEDAARLQAAYAGDKADDIQKRENEVLEAWKALLDACEGRRVRLVDTGDKFRFFSMVR
+DLMLWMEDVIRQIEAQEKPRDVSSVELLMNNHQGIKAEIDARNDSFTTCIELGKSLLARK
+HYASEEIKEKLLQLTEKRKEMIDKWEDRWEWLRLILEVHQFSRDASVAEAWLLGQEPYLS
+SREIGQSVDEVEKLIKRHEAFEKSAATWDERFSALERLTTLELLEVRRQQEEEERKRRPP
+SPEPSTKVSEETESQQQWDTSKGEQVSQNGLPAEQGSPRMAETVDTSEMVNGAAEQRTSS
+KESSPIPSPTSDRKAKTALPAQSAATLPARTQETPSAQMEGFLNRKHEWEAHNKKASSRS
+WHNVYCVINNQEMGFYKDAKTAASGIPYHSEVPVSLKEAICEVALDYKKKKHVFKLRLND
+GNEYLFQAKDDEEMNTWIQAISSAISSDKHEVSASTQSTPASSRAQTLPTSVVTITSESS
+PGKREKDKEKDKEKRFSLFGKKK
+>tr|Q3SYT5|Q3SYT5_BOVIN PNN protein OS=Bos taurus OX=9913 GN=PNN PE=2 SV=1
+MAVAVRTLQEQLEKAKESLKNVDENIRKLTGRDPNDVRPIQARLLALSGPGGGRGRGSLL
+LRRGFSDSGGGPPAKQRDLEGAVSRLGGERRTRRESRQESDPEDDDVKKPALQSSVVATS
+KERTRRDLIQDQNMDEKGKQRNRRIFGLLMGTLQKFKQESTVATERQKRRQEIEQKLEVQ
+AEEERKQVENERRELFEERRAKQTELRLLEQKVELAQLQEEWNEHNAKIIKYIRTKTKPH
+LFYIPGRMCPATQKLIEESQRKMNALFEGRRIEFAEQINKMEARPRRQSMKEKEHQVVVR
+NEEQKSEQEEGKVAQREEELEETGNQHNDVEIEEAGEDEEKEIGIVHSDAEKEQEEEEQK
+QEMEVKMEEETEVRESEKQQDSQPEEVMDVLEMVESVKNVIAEQEVMEINQVESIEPSEN
+ETSKELEPEMEFEVEPDKECKSVSPAKENASTLEMENEPEEKEEKESEPQPEPMAQPQSL
+PQPQPQSQSQSQSQPQQVLQPPPLSQPETLPLAVSQPPPQVIQEQGHLPPERKEFLVESV
+KLTEVTEPVLTVHSESKNKTKTRSRSRGRARNKTSKSRSRSSSSSSSSSSSTSSSSGSSS
+SSGSSSSRSSSSSSSSTSGSSSRDSSSSTTSSSESRSRSRGRGHNRDRKHRRSVDRKRRD
+ASGLERSHKSAKGGSSRDAKGSKDKNSRSDRKRSISESSRSGKRSSRSERDRKSDRKDKR
+R
+>tr|D9ZDE4|D9ZDE4_BOVIN C-C motif chemokine receptor 10 OS=Bos taurus OX=9913 GN=CCR10 PE=2 SV=1
+MGTEPAEQVSWGPYSGEDEAYSVEPLPELCYKADVQAFSRAFQPSVSLTVAALGLAGNGL
+VLATHLAARRAARSPTSAHLLQLALADLLLALTLPFAAAGALQGWSLGSATCRAISGLYS
+ASFHAGFLFLACISADRYVAIARALPAGPRPSAPGRAHLVSVIVWLLSLLLALPALLFSQ
+DGHREGQRRCRLIFPEGLTQTVKGASAVAQVVLGFALPLGVMAACYALLGRTLLATRGPE
+RRRALRVVVALVAAFVVLQLPYSLALLLDTADLLAARERSCPASKRKDLALLVTGGLALA
+RCGLNPVLYAFLGLRFRQDLRRLLRGGGCSPGPHPGGGGGRCPRRPRLSSCSAPTETNSF
+SSWDH
+>tr|E1BJB4|E1BJB4_BOVIN RPGRIP1 like OS=Bos taurus OX=9913 GN=RPGRIP1L PE=4 SV=3
+MSGPTDETAGDLPVKDIGLNLFGMGGLQETSTTRTMKSRQAVSRISREELEDRFLRLHDE
+NILLKQHARKQEDKIKRMATKLIRLVNDKKRYEQVGGGPKRLGRDVEMEEMIERLQEKVH
+ELERQNEVLKSRLISTKQQLQIQGHRHTPYSYVQSRVNTGRRRVNENAGLQECPRKGIKF
+QDTDVAETVQTMPTKYSNSLLKEARGEIRNLENVIESQRGQIEELERLAEILKTQLRRKE
+NEIELSLLQLREHQATDQRSNIRDNVELIKLHKQLVEKSNALSVMEGKFIQLQEKQKTLR
+ISHDALMANGDELNLQLKEQRLKCCSLEKQLRSMTFSERRIEELQDRISDLEKERELLKE
+NYDKLYNSAFSAAHEEQWKLKEQQLKIQIAQLETALKSDLTDKTEILDRLKTERDQNEKI
+SQENRQLQLQYLEQKQQLDELKNRMKFYNQESDINADELSEALLLIKAQKSQKNGDLSFL
+EKVDSKINKDLERSMKELQATHAETVQELEKTRNMLIMQHKINKDYQMEVEAVTQKMENL
+QQDYELKVEQYVHLLDIRAARIQKLEAQLKDIAYGTKQYKFKPEIMPDDSVDEFDETIHL
+ERGENLFEIHINKVTFSSEVLQASGDKEPVTFCTYAFYDFELQTTPIVRGLHPEYNFTSQ
+YLVHVNDLFLQYIQKNTVTLEVHQAYSTDYETIAACQLRFHEILEKSGRIFCTASLVGTK
+GDISNFGTVEYWFRLRVPMDQAIRLYRERAKALGYITSNFKGPEQMQWLSHQAPKTAQLS
+STDSTDGNLNELHITIRCCNHLQSRASHLQPHPYVVYKFFDFADHDTAIIPSSNDPQFDD
+HMCFPVPMNMDLDRYLKSESLSFYVFDDSDTQENIYIGKVSVPLISLAHDRCISGIFELT
+DHEKHPAGTIHVILKWKFAYLPPSGCITTEDLGNFRHKEESEVVQRLLPTSSFSTIIAAP
+TPKPRRLTTKDKKVSFMDITPHQHYKETSTLPEDRKEISPEVEHIPEREIDMPTVSHIPK
+VPQESSVDKVKENTEKTQQGKDDDVSLLSEGQLAERSLTSSEDETEITEELEPEDEGDRS
+ASDSDECIIPGPVSKNIKQPPEKIRIEIIALSLNDSRVTADDTIQRLFVECRFYSLPAEE
+TPVSLPKPKSGQWVYYNYSYVIYMDKENNQAKRDILKAILLKQEMPNRSVRFTVVSDPPE
+DEQDLECEDIGIANVDLADVFREGRDIIEQNIDVLDARASGGGIGKLRVTVEALHALRSV
+YEQFRDDLEA
+>tr|Q0II42|Q0II42_BOVIN Melanoma antigen family A, 11 OS=Bos taurus OX=9913 GN=MAGEA11 PE=2 SV=1
+MPHSQKSQSHKLQVGLQAEKEAQGLVGSQVPVAEEKATASLLSSLIQGTPEVVPTAGAQS
+VPQSSQGACSSSTTIEAIPLSKSNEGSSQEESPSSFQAPMFLLSDVLNKKLAQLVQLMRV
+KYVTKEPVTKAEILENVMKEHEDHFPVIFSKACECMEIVFGIEAKEVDPTSHSYVLQKTL
+GLTYDGMLNDGQSMPKTGLLIYILGVIFMEGNRATEERIWKVLNMIGVCAGQKDFIYGEP
+KKLITKDLVEEKYLEYHQVPNSDPPCYEFQWGPRAHAETSKMKILKFFSKVNGVDPTSFP
+YWYEEALRDEKERAAAGDATSAMASV
+>tr|Q3MHG5|Q3MHG5_BOVIN Proline rich 3 OS=Bos taurus OX=9913 GN=PRR3 PE=2 SV=1
+MPKRKKQNQQQQLQQQPPLPERDETGDEEDGSPIGPPSLLGPPPMANGKPGDPKSAFHRG
+PPGSRGPMIPPLLSLPPPPRGRGPIRGGLGPRSGPYGRGWWGVNAEPPFPGPGHGGPSRG
+GFHKEQRNPRRLKSWSLIKNTCPPKDGPQVMEDKSDRPVCRHFAKKGHCRYEDLCAFYHP
+GVNGPPL
+>tr|A5PK77|A5PK77_BOVIN SERPINA11 protein OS=Bos taurus OX=9913 GN=SERPINA11 PE=2 SV=1
+MGPAWLWLLGAGILASVHCQPLPAHGDKSLRVPEAPRGQLSESLPAYQKITPTLTSFALR
+LYKQLAAETPGNIFFSPVSLSSTLALLSLGVQANTRTQILEGLGFNLTETPEADIHRGFQ
+SLIHTLDLPSPKLELKLGNSLFLDTQLKPQQHILDNIRELYGVFAFSANFTNSDATRKQI
+NGYVSRQTYGQVVDCLEEFTPDTLMVLLNYMFFKAKWKHPFNRYQTQKQESFFVDGRTSL
+RIPMMHQKEMHRFLYDQEVACTVLQLEYSGNAQALLILPDPGKMAQVEAALQPETLKKWD
+KLLLPSLLDLHLPKFSISGTYNLEEILPHIGLTGLFNSEADYSGITGQLNRTISRVSHKA
+TVDVSERGTEAAVASSLLSQPRSLNATSAPHAGFNRPFLLLLWEVTTESPLFLGKVVNPA
+AG
+>tr|A0A3Q1LTI1|A0A3Q1LTI1_BOVIN Enhancer of polycomb homolog 1 OS=Bos taurus OX=9913 GN=EPC1 PE=4 SV=1
+MEHHLQRAISAQQVYGEKRDNMVIPVPEAESNIAYYESIYPGEFKMPKQLIHIQPFSLDA
+EQPDYDLDSEDEVFVNKLKKKMDICPLQFEEMIDRLEKGSGQQPVSLQEAKLLLKEDDEL
+IREVYEYWIKKRKNCRGPSLIPSVKQEKRDGSSTNDPYVAFRRRTEKMQTRKNRKNDEAS
+YEKMLKLRRDLSRAVTILEMIKRREKSKRELLHLTLEIMEKRYNLGDYSGEIMSEVMAQR
+QPVKPTYTIPLIPIANSSQFKHQEAMDVKEFKVNKQDKADLIRPKRKYEKKPKVLPSSAA
+AAPQQASPTTLPVFNAKDLNQYDFPSSDEEPLSQVLSGSSEAEEENDPDGPFAFRRKAGC
+QYYAPHLDQTGNWPWTSPSDGGIGDVRYRYCLTTLTVPQRCIGFARRRVGRGGRVLLDRA
+HSDYDSVFRHLDLDMLSSPQHSPVNQSANTSETNTSDKSFSKDLSQILVNIKSCRWRHFR
+PRTPSLHDSDNDELSCRKLYRSINRTGTAQPGTQTCSTSTQSKSSSGSAHFAFTAEQYQQ
+HQQQLALMQKQQLAQIQQQQATSNSSTTMPQGFVSKTLDSASAQFAASALVTSEQLMGFK
+MKEDVVLGIGVNGVLPASGVYKGLHLSSTTPTALVHTSPSTAGPALLQPASVTQTAGSHS
+APGHPATAANSATTQVLIGNNIRLTVPSSVATVNSITPINARHIPRTLSAVPSSALKLAA
+AANCQVSKVPSSSSVDAAPRENHDSEKPALNNIADNTVAMEVT
+>tr|A6QPV7|A6QPV7_BOVIN ZNF391 protein OS=Bos taurus OX=9913 GN=ZNF391 PE=2 SV=1
+MESLQGNTAQHPMDEKACKSEGQLSRQTKCPVQKKSSFEKTAIRKVSVSLKEIFAKEKGP
+EYSKFNLSSNFNTRQRIPKGARSPKSRKNSKDNSDLIKHQKLFLQRKPCKCNECGKAFNY
+ESDLIVHRRIHGGEKPFECNECGKTFSRSTHLIEHQRTHTGEKPYECPECGKAFSRSTHL
+SLHQRIHTGEKPYECSECGKAFSRSTNLSQHQRTHTQEKPYKCNECGKAFSDRSTIIQHQ
+RIHTGENPYECSECGKAYSWISSLIEHQRTHSGENPYECNDCGKVFSRSSSLAEHQRIHT
+GEKPHECRVCGKGFSRSSSLIIHQRTHTREKPFKCNSCEKAFSQSSTLIRHQQLHTKE
+>tr|Q0V7N6|Q0V7N6_BOVIN Cyclic AMP-responsive element-binding protein 1 OS=Bos taurus OX=9913 GN=CREB1 PE=2 SV=1
+MTMESGAENQQSGDAAVTEAESQQMTVQAQPQIATLAQVSMPAAHATSSAPTVTLVQLPN
+GQTVQVHGVIQAAQPSVIQSPQVQTVQISTIAESEDSQESVDSVTDSQKRREILSRRPSY
+RKILNDLSSDAPGVPRIEEEKSEEETSAPAITTVTVPTPIYQTSSGQYIAITQGGAIQLA
+NNGTDGVQGLQTLTMTNAAATQPGTTILQYAQTTDGQQILVPSNQVVVQAASGDVQTYQI
+RTAPTSTIAPGVVMASSPALPTQPAEEAARKREVRLMKNREAARECRRKKKEYVKCLENR
+VAVLENQNKTLIEELKALKDLYCHKSD
+>tr|Q08DZ6|Q08DZ6_BOVIN RWD domain containing 4 OS=Bos taurus OX=9913 GN=RWDD4 PE=2 SV=1
+MGANEDQEMELEALRSIYEGDESFRELSPVSFQYRIGENGDPKAFLIEISWTETYPQTPP
+IISMNAFFNNTISSDVKQSILAKLQEAVEVHLGTAMTYTLFEYAKDNKEQFMENHHPVHS
+ATSISNIISAETPNTAPSSKKKDKKEQLSKAQKRKLADKTDHKGELPRGWNWVDVVKHLS
+KTGSKDDE
+>tr|A6QL77|A6QL77_BOVIN Prolyl 4-hydroxylase subunit alpha-1 OS=Bos taurus OX=9913 GN=P4HA1 PE=2 SV=1
+MIWYILVVGILLPQSLAHPGFFTSIGQMTDLIHTEKDLVTSLKDYIKAEEDKLEQIKKWA
+EKLDRLTSTATKDPEGFVGHPVNAFKLMKRLNTEWSELENLVLKDMSDGFISNLTIQRQY
+FPNDEDQVGAAKALLRLQDTYNLDTDTISKGDLPGVKHKSFLTVEDCFELGKVAYTEADY
+YHTELWMEQALRQLDEGEVSTVDKVSVLDYLSYAVYQQGDLDKALLLTKKLLELDPEHQR
+ANGNLKYFEYIMAKEKDANKSSSDDQSDQKTTLKKKGAAVDYLPERQKYEMLCRGEGIKM
+TPRRQKKLFCRYHDGNRNPKFILAPAKQEDEWDKPRIIRFHDIISDAEIEVVKDLAKPRL
+SRATVHDPETGKLTTAQYRVSKSAWLSGYENPVVSRINMRIQDLTGLDVSTAEELQVANY
+GVGGQYEPHFDFARKDEPDAFKELGTGNRIATWLFYMSDVLAGGATVFPEVGASVWPKKG
+TAVFWYNLFASGEGDYSTRHAACPVLVGNKWVSNKWLHERGQEFRRPCTLSELE
+>tr|F1N1E5|F1N1E5_BOVIN Thioredoxin domain-containing protein 11 OS=Bos taurus OX=9913 GN=TXNDC11 PE=4 SV=1
+MSECGGRGGGGGSSSSSDDAEDEGGGGGPAGSGSLSPAPAASSEGRLRRGLRGASLMARR
+RPELLCGAVALGCALLLALKFTCSRAKDVIIPAKPPVSFFSSRSPVLDLFQGQLDYAEHI
+RRDSEVVLLFFYAPWCGQSIAARAEIEQAASRLSDQVLFVAINCWWNQGKCRKQKHFFYF
+PVIYLYHRSFGPIEYKGPMSAVYIEKFVRRVMKPLLYIPSQSELLDFLSNYEPGVLGYFE
+FSGSPQPPGYLTFFTSALHSLKKDYLGTVRFGVITNKHLAKLVSLVHSGSVYLHRHFNTS
+LVFPREVINYTAENICKWALENRETLVRWLWPHGGKSLLLNNELKKGPALFVFIPFNPLA
+ESHPLIDEITEVALEYNNCHGDQVVERLLQHLRRVDAPAFKSLAPDPPARLPDPPLITAS
+PCCNTVVLPRWHSISRTHNVCELCVNQTAGGLRPSSVSMPQCSFFEMAAALDSFYLKEQT
+FYHVVSDSIECSNFLSFYSPFSYYTACCRTINRGVAGFIDSEQGVFETPPVAFSSLEKKC
+EVESPGSVPHIEENRYLFPELETSSSSFTGLSCRTNKTLNIYLLDSNLFWLYAERLGAPS
+AARVKEFATIVDVKEESHYILDPKQALMKFTLESFIQNFSVLYSPLKRHLIGSDSTQFTS
+QRLITEVTTDTFWEVVLQKQDVLLLYYAQWCGFCPALNHVFIQLARLLPSDTFTVARIDV
+SQNDLPWEFMVDRLPTVLFFPCNRKDLSVKYPEDLPITLPNLLRFILHHSDPASDPRNLA
+GPPTAECLQNEAVLQQGHIAHLEREIRKLRAEIGTLQRAQVQVEARLASARRDEHRLLRQ
+QHTLERQHDLLRLHSEQLQALYEHKTRELDEVARKLQELADASETLLTENTWLKILVATM
+EQKLEGRDGADDRVPPSKARSEHPEPPGAPRLPASTPLPANISSTLASEGSPENRTD
+>tr|Q2HJG1|Q2HJG1_BOVIN Centrosomal protein 27kDa OS=Bos taurus OX=9913 GN=HAUS2 PE=2 SV=1
+MAAANPWDPATAPNAAGLLLGHFITSELVTEEMLNISKKSTSCFVNFSRLQQITDIQAEI
+YQKNLEIELLSLEKDAADVVHPSFLAQKCHSLQSMNNHLEAVLKEKRSLRQRLLKPMCQG
+NLPIEAVYHRYMVHLLELAVTFIERLEDHLETIRNIPHLDADLKKMSTALAKMDILVTET
+EELAENILKWREQQKEVSSYIPKILAEKNSLHKHDVIVPPLPLTSKVNVQTINAK
+>tr|F1N199|F1N199_BOVIN Roundabout guidance receptor 2 OS=Bos taurus OX=9913 GN=ROBO2 PE=4 SV=3
+MISNTRKSDAGMYTCVGTNMVGERDSDPAELTVFERPTFLRRPINQVVLEEEAVEFRCQV
+QGDPQPTVRWKKDDADLPRGRYDIKDDYTLRIKKAMSTDEGTYMCIAENRVGKVEASATL
+TVRARPVAPPQFVVRPRDQIVAQGRTVTFPCETKGNPQPAVFWQKEGSQNLLFPNQPQQP
+NSRCSVSPTGDLTITNIQRSDAGYYICQALTVAGSILAKAQLEVTDVLTDRPPPIILQGP
+ANQTLAVDGTALLKCKATGDPLPVISWLKEGFTFLGRDPRATIQEQGTLQIKNLRISDTG
+TYTCVATSSSGETSWSAVLDVTESGATISKSYDLNDLPGPPSKPQVTDVTKNSVTLSWQP
+GTPGILPASAYIIEAFSQSVSNSWQTVANHIKTTLYTVRGLRPNTIYLFMVRAINPQGLS
+DPSAMSDPVRTQDISPPAQGVDHRQVQKELGDVLVRLHTPVVLTPTTVQVTWTVDRQPQF
+IQGYRVMYRQTSGLQATTAWQNLDAKVPTERSAVLVNLKKGVTYEIKVRPYFNEFQGMDS
+ESKTVRTTEEAPSAPPQSVTVLTVGSHNSTSISVSWDPPPPDHQNGIIQEYKIWCLGNET
+RFHINKTVDAAIRSVIIGGLFPGIQYRVEVAASTSAGVGVKSEPQPIIIGGRNEVVITEN
+NNSITEQITDVVKQPAFIAGIGGACWVILMGFSIWLYWRRKKRKGLSNYAVTFQRGDGGL
+MSNGSRPGLLNAGDPSYPWLADSWPATSLPVNNSSSGPNEIANFGRGDVLPPVPGQGDKT
+ATMLSDGAIYSSIDFTTKTTYNSSSQITQATPYATTQILHSSSIHELAVDLPDPQWKSSI
+QQKTDLMGFGYSLPDQNKGNNGGKGGKKKKNKNSSKPQKNNGSTWANVPLPPPPVQPLPG
+TELEHYAVEQQENGYDSDSWCPPLPVQTYLHQGMEDELEEDDDRVPTPPVRGVASSPAIS
+FGQQSTATLTPSPREEMQPMLQAHLDELTRAYQFDIAKQTWHVQSNNQPPQPPVPPLGYM
+SGALISDLETDVPDDDADDEEEALEIPRPLRALEQTPGSSTDNLESSVTGSMVNGWGSAS
+DEDRKFSSHRSSVGSSSDGSIFASGSFAQALVAAADKAGFRLDGTSLTRTGKAFTSSQRP
+RPTSPFSTDSNTSAALSQSQRPRPTKKHKGGRVDPQPALPHRREGMTDEETLVPYSKPNF
+PSPGGHSSSGTASSKGSTGPRKADALRGSHQRNASDLLDIGYMGSSSQGQFTGEL
+>tr|A0A3Q1LS33|A0A3Q1LS33_BOVIN Methylated-DNA--protein-cysteine methyltransferase OS=Bos taurus OX=9913 GN=MGMT PE=4 SV=1
+MDETCEMKYKVVDSPLGKLEISGCERGLHGIKLHGGKTPDTGPAEAAAPAEQLGGSGAVL
+EPLLQCAAWLDAYFREPAVLEGLPVPALHHPIFQKESFTRQVLWKLLTLVKFGETVSYQQ
+LAALAGNPRAARAVGGAMRSNPIPILIPCHRVVCSSGAMGNYSGGVGVKEWLLAHEGRPA
+GKAARGGGSRATGSWRGALGSTASSQPAGRD
+>tr|A6H6Z5|A6H6Z5_BOVIN Polypeptide N-acetylgalactosaminyltransferase OS=Bos taurus OX=9913 GN=GALNT6 PE=2 SV=1
+MRLLRRRHMAVRLVMVGSAFVLFLFILQRDVSGREQATEKPWLRSLVSQKDHVLDLMLGA
+VHNLRDSMPKFQIRAPEPQQTLASTNQSCLPGFYTPAELKPFWERPPQDPNGPGADGKAF
+QKKEWTPQETQEKEEGYKKHCFNAFASDRISLQRALGPDTRPPECVDQKFRRCPPLPATS
+VIIVFHNEAWSTLLRTVYSVLHTTPAILLKEIILVDDASTDEYLKEPLERYVKQLQVVQV
+VRQQERKGLITARLLGASVAQAEVLTFLDAHCECFHGWLEPLLARIAEDETVVVSPNIVT
+IDLNTFEFSKPVQRGRIQSRGNFDWSLTFGWEVLPAREKQRRKDETYPIKSPTFAGGLFS
+ISKSYFEHIGTYDNQMEIWGGENVEMSFRVWQCGGQLEIIPCSVVGHVFRTKSPHTFPKG
+INVIARNQVRLAEVWMDGYKEIFYRRNLQAAQMAREKSFGDISERLQLRERLNCHNFSWF
+LDNVYPEMFVPDLKPTFFGALKNLGVDHCLDVGENNNGGKPLILYTCHGLGGNQYFEYTT
+QRDLRHNIAKQLCLHASAGTLGLRSCHFTGKNSQVPKDEEWEFTQDQLIRNSGSGTCLTS
+KDKKPVMATCNPSDPHQHWLFI
+>tr|Q2TBG1|Q2TBG1_BOVIN Chromosome 21 open reading frame 56 ortholog OS=Bos taurus OX=9913 GN=SPATC1L PE=2 SV=1
+MAEGSELMNRLMSENADLKKQVRLMKENQMLKRLLSESCQESCGRGGRDFLFPKAPAYPE
+ACSPGSTDFGRFTSGPDAPSQLQGSSLEDLLCSHAPLSSEDDASPGCVTSSQVPFKAFLS
+SPELRIPRGSDRKLSPLLSPLQDPLVEKTLLETRDVGRPKKVCFSESSLPSGDRTRRSYY
+LNEIQSFTGTEKDGRIVGEIAFQLDRRILAYVFPGVTRLYGFTVSNIPEKIKQTSIKSLD
+GSVDEKKLRELTHRYLTLTARLERLGYNRDVHPVFSEFLINTYGILKQRPDLRANPLHSS
+PAALRKLVIDVVPPKFLGDSLLLLNCLCELSKEDSRPLFAW
+>tr|Q0VCY5|Q0VCY5_BOVIN Family with sequence similarity 60, member A OS=Bos taurus OX=9913 GN=SINHCAF PE=2 SV=1
+MFGFHKPKMYRSIEGCCICRAKSSSSRFTDSKRYEKDFQSCFGLHETRSGDICNACVLLV
+KRWKKLPAGSKKNWNHVVDARAGPSLKTTLKPKKVKTLSGNRIKSNQISKLQKEFKRHNS
+DAHSTTSSASPAQSPCYSNQSDDGSDTEMASGSNRTPVFSFLDLTYWKRQKICCGIIYKG
+RFGEVLIDTHLFKPCCSNKKAAAEKPEEQGPEPLPISTQEW
+>tr|A2VEA2|A2VEA2_BOVIN G protein-coupled receptor 17 OS=Bos taurus OX=9913 GN=GPR17 PE=2 SV=1
+MNGLEVASPGLLANSSLAPMEQCGQETPLENVLFASFYLLDFILAFVGNALALWLFIRDH
+KSGTPANVFLMHLAVADLSCVLVLPTRLVYHFSGSHWPFGEIPCRLTGFLFYLNMYASIY
+FLTCISADRFLAIVHPVKSLKLRRPLHAHLACAFLWVVVAVAMAPLLVSPQTVRTNNTVV
+CLQLYREKASQHALASLAVAFTFPFVTTVTCYLLIIRSLRQGPRVERRLKNKAVRMIAAV
+LAIFLICFVPYHVHRSVYVLRYRGHRTSCAAQRALALGNRITSCLTSLNGALDPVMYFFV
+AEKFRDALCSLLCGKRLPGPPPSADGRTNESSLSARSEL
+>tr|A0A3Q1M204|A0A3Q1M204_BOVIN DUF4592 domain-containing protein OS=Bos taurus OX=9913 GN=KIAA1211L PE=4 SV=1
+MISTRVMDIKLREAAEGLGEDSTGKKKSKFKTFKKFFGKKKRKESSSSTGSSTWKQSQAK
+NEVMAIESGPVGYDSEDELEDSRGALGSRALSHDSIFIPESGQDPPRPVRVFSQENVCDR
+IKALQLKIQCNVKMGPPPPPGSLPTKRGDDAGMSSEDDGLPRSPPEMSLLHDIDSGTTIK
+VCVVSSSRPQSPDQLFSRQASETSASPRTSDSSMVPVADFDYPPEFSSCLDNSAAKHKLL
+VKPRNQRSSKMRRLSSRAQSESLSDLTCTPEEEEVDEKPPLQVSTEEQPSSGQQEAGQDR
+GSEPEGPAPVLPPAGPRVRRARLQHCPALTASMEEESPPGENPSSRPATPEGTLEATLKV
+MEVTEPLSGRTPHSESPSRPEGCAHPNPDSENQREDLSSESACPPGEDTADEAVSASGDN
+VEGRLSPCVPEGDTTPPEPGPAATPGTPPGPDGTDKAGQKEPLPTLDLPTPEGAGQESPA
+AAPSPPPPKSCLKHKAPSPPASEAPPQAPAPRSPEQGAAPRGPPKAERAGAPSGAPERKT
+EHGGCEARGAAKKFSVSSGREWPRAGGRLLEPRGPAVRLPLLRSAPAWKSEAALDDLPLA
+PEPQGPKPGPRKLPAPAERGSPDTADPAAQERAAGVEGGPFPVKLRSTSLSFKHREAPCS
+EGKAIKRYSAEVRLERGGLSLLSRDEKGPPGSGPSTQGARPPSEPGKAKTRPTEQLSSKP
+PLPRKPLLQTLTLPQLPAPPDTSSGDPEKVGLPMDPRKESRAERRSPHRAVEKVAGPGTN
+GQPTPPWITVGRQKRRGPLEQPPSQEDKPAARTLKSDTGRPAKEPMKQADFVRSKSFLLA
+PAKPPADQRQGAKLRLQEGLQRGISLSHQNLAAQSAVMMEKELHQLKRASYSSTDQPSWM
+ELARKKSQAWSDMPQIIK
+>tr|Q2NL15|Q2NL15_BOVIN Chromosome 9 C6orf118 homolog OS=Bos taurus OX=9913 GN=C9H6orf118 PE=2 SV=1
+MAEDMEPEFYLRWKHCETPGVKTLCNLRTLLNQLQKNHRDDVHMYTSGHLNPNKLYRPPE
+TILYHWPNANRPRESKVFGPERLSDKVKKMKDAWAHFTINTAVGPSDAENTPLFRYLNPP
+SQASHASQEDVSPKAGLREVGSPARPKREELRLPDMKVLKYKEVRSSRECVMSPPGRDEF
+QYVSSHLAAITKADSYRKFLSFQKQVLAKQDLLMRDFTGSQAARCHEKKLEQELQKICVC
+DPEEFNRLQVFGEVFEDICKSSLVFGDILKEIKEEYELYMLLLLKNHPTEQYKSLLTQVQ
+SLQKGKVKTADVEWAREELRVLVTALRAALDHDDRLRNELEQERVLLEAARNRAESPEKK
+VVKEENLTLIEKVEKKRCEVLHKWDEIQALERLIKTSFVHTGILQITESKIKSLETETIK
+LETANKILMKKIQFIVNFVKQIMGRNKLREEEQQNLWGFIKEFAKLKETDIS
+>tr|E1BA35|E1BA35_BOVIN Zinc finger and SCAN domain containing 10 OS=Bos taurus OX=9913 GN=ZSCAN10 PE=4 SV=2
+MGPRASLSRLRELCNHWLRPALHTKKQILELLVLEQFLSVLPPHLLARLQGQQLRDGEEV
+VLLLEGVQRESSNVGPLDFSFNAGKNCPRADVTLEEQGGSFQVSSHSPKKEAASEGPPAL
+EPSQALPPSQSGPSKPAEMGDWRRPLSSRQPLSPGPKRTLQAPQESALQGPELWPEESSG
+DQELAAVLESLTFEDVPAKKAWPVHPLGTGGRTLEEAFKAEEPKGVSWPSAASANSQAES
+PRVAEEPHAQSLGSGPETSSPGGEMSPPGRSDVLKVKAAEDAPKSDPEFKFICTDCGISF
+PQLARLEAHQLRSHPGARSFLCLCCGKAFGRSSILKLHMRTHTDERPHTCHLCGHRFRQS
+SHLNKHLQTHSSEPAFLCAECGQGFQRRARLTQHLLAHAQDQKPPGGTPETKPPAPPELT
+VVLCSHCGQTFQRRSSLKRHLRIHAKDKGHQCSECSGSLRPGPERRPYVCGECGKAFRRS
+EHLGAHWRVHTGERPFSCQVCGRSFSQSSQLVCHQRVHTGEKPYGCPHCGKRFMRRAGLA
+RHLLTHGGPRPHHCTQCGKSFSQTQDLARHRRSHTGEKPCRCSECGEGFSQSAHLARHQR
+IHTGEKPHVCDTCGHRFRNSSNLARHRRSHTGERPYSCEQCGRSFRRNAHLQRHLATHAG
+AGDEAASGPAEPPQECPECGKVFSRSCNLLRHMLVHTGARPYSCTQCGRSFSRNSHLLRH
+LRTHARETLY
+>tr|F1MI25|F1MI25_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=HIVEP1 PE=4 SV=3
+MEPELSTLSQKGSPCAAKIENLRPNKTARAPTHLHGGPREAADPHLPDAAAPSEQPLPPR
+TLYPSGPVSATQRRDPAVVPASSPAYHIHELPVPKHGPQSQQLPACSGFPPSLASLQGQE
+NAQLEHVYTAAATSPPGRTQVTPSNQQVDAAVSVPVSPTPSTQSPPTPIYNSSPVAAVVN
+HSVEQMCSLLLKDQKPKKQGKYICEYCNRACAKPSVLLKHIRSHTGERPYPCVTCGFSFK
+TKSNLYKHKKSHAHTIKLGLVLQPDAGGLFVSHESPKALSIHSDVEDSGDSEEDGAGDER
+PNDPGSVDLQPVQMIRMLSSSEALPKSGSIPSNPERMVGDFALQDGSSESQAVAELPKVV
+VHPISVSPLRVDSPKVTDSKPELPGTQKDLQGTRVLSHPAWASSLEADEKAHQKGDPTQP
+DGKPESPVGAAHAQLQRQQATDYCQEQQGKLLSPRSLGSTDSGYFSRSESADQTVSPPTP
+FARTLPAPEQDSAKNSGPSTPRVSTPAASAVPAGEKAPLLPGQMRPPLATKTLEERISKL
+ISDNEALVDDKQLDSVKPRRTSLSRRGSIDSPKSYIFKDSFQFDLKPMGRRTSSSSDIPK
+SPFTPTEKSKQVFLLSVPSLDCLPITRSNSMPTTGYSAVPASIIPAPHPLRGSQSFDDKI
+GAFYDDVFVPGPNPSVTQSGHPRTLVRQAAVEDSSASENHVLGAGQPWDESHPGGSPAGE
+TAAPRSKPPAPGSHLEKKKSHQGRGTMFECETCRNRYRKLENFENHKKFYCSELHGPKTK
+VAVRDPEHSSVPGGAQHYRVAGATGVWEQTTQMRKRRKMKSVGDDDELQQNESGAPPKSS
+EGSRSQSALGPAPSLSQQNLAIPSELQPRNLQRQTTQIQLGTRGPNQPRDPKPSSVLEEP
+MSSAAQDRAEPKRHGAGISVIQHTNSLSRPGAFDKLDAGEGASPVPFQELRTGKPGSLSV
+RGISQEEGCPSRHTSQPTLSDAPRGELQDGSRKISGERHVLGQPSRLVRQHSIQVPEILV
+TEEPDRDLESQGHDAEKSEKFSWPQRSETLSKLPTEKLPPKKKRLRLAEMEHSSAESSFD
+STLSRSLSRDSSLSHTSSFSASLDVEDVSKTEASPKIDFLHKADFLLIPAGSNTLSVPGG
+HREMRRAASEQIHCLQTSMEVSDFRSKSFDCGSIAPSQKVPPAESHPPTSPSGVGVAGHV
+PLLERRRGPLIRQISLNIAPENQLAPGNPLSFQTIAPPAVNTVPLQGPQLTHTVSAEFPA
+STLHSQTPVKDVRAEMASSHSPNLFPLQQLLGIHLLNQIPVPLSHPDTHMSLQVSSTQSV
+KPDASPGGGCVPSKSEDCFAPKYQLQCLAVPSSQPCASNPVQPLPKQGLSDAAGATPRAT
+SLTLPAKLAEATSNSCPPPPLKVGALGGQVPKGPTSLTLSTHLQTQVSTYCFAAVTALPQ
+ILVTQDLSNQPICQANRSTVPISEEQSSVPKPQNDPRNALPNPEGELVGQPVFSEMGQNP
+SPSESSPTTPKMSVGRLSPQQESSASSKRMLSPANSLDIAMEKHQKRAKDENGAVCATDT
+RPLEAVGSRAPDGSKQKKPVLVRQVCTTEPLEAVTLEQDVFPHPEVSTEALHLTAVSPAD
+NVSSGHCKPVAREPMKEVQEFESIPSAALLTPTVQNPAAPAEKTHISPLESIDNHQERKS
+PGIKNPGNKANVQEQSQPAATTPSMREAGDTQPSTSFPSLKTATSSTWCCLMRQKALHLP
+QKDQKTSAYTDWTVSASDPNPLGLPTKVALSLLNSKQKTGKSLYCQAITTHFKSDLLVYS
+SKWKSNLSKRALGNQKSTVVEFSSKDASEINSEQDKENSLIKSEPRRIKIFDGGYKSNEE
+YVYVRGRGRGKYICEECGIRCKKPSMLKKHIRTHTDVRPYHCTYCNFSFKTKGNLTKHMK
+SKTHSKKCLDLGVSVGLIDEQDTEESDEKQRFSFERSGYDPEESDGAEDDDIENEEEDED
+SQAESVLSAAPSAAASPQHLPARGGPGDPTGADEDASAPEGSPGGPTDAMDVLPRALPTK
+MTVLSALPSDRGGSPGSPGSAGPRAAGNAEAAACPPEGAPRSPCHQMSVDYPNPKEMLSG
+SAAGKAVALTQGAPCLSLPPPPASERSPQPGGAPPSTSPRPGPQEQKPQAALPPPAGLPS
+PQTHLFSHLPLHSQQQSRTPYNMVPVGGIQVVPAGLTYSTFVPIQAGPVQLTIPAVNVIH
+RPLGTPGDLSADGPGTASPAGVAELSSVVPCIPIGQIRVPTLQPLPALSMETVNIVGLAS
+ASLGPPVRPPGLALNAVGLQVVAANPASQSSPAPPAPIPGLQIVNIALPTLIPSVSQVPV
+DIQGAPEMPAPPNRASREAPPTQPVGAHPGGRTPSPQGSPGVQRESAQKGLDPPAPARDQ
+ARRDSSSPRDTGKGASANHPKPRHDTPGAPCKPTSAPLPPPGRPRLPLDPEGPRPPPRRP
+VTQFSDVSSDDDEDRLVIAT
+>tr|Q08DW0|Q08DW0_BOVIN CD47 molecule OS=Bos taurus OX=9913 GN=CD47 PE=2 SV=1
+MWPLVVVLLLGSVRCGSAQLIFNAIKSVEYTLCNQTVVIPCFVNNVETKNITELYVRWKF
+KGENIFIFDGSQRMSKPSSNFSSAEIAPSELLRGIASLKMAKSDAVLGNYTCEVTELSRE
+GETIIELKYRVVSWFSPNENILIVIFPVLAILLFWGQFGIVTLKYKSNYTKEKAIFLLVA
+GLLLTVLVIVGAFLFIPGEYSTKNASGLGLIVLPTIILILLHYCVFMIAMGMSSFTISIL
+ILQLLGYVLSVVGFSLCVSECIPVHGPLLISGLGIIALAELLGLVYMKCVASNHRTIQPP
+KSN
+>tr|A6QPW7|A6QPW7_BOVIN TNF receptor superfamily member 6b OS=Bos taurus OX=9913 GN=TNFRSF6B PE=2 SV=1
+MRAPPWPVTALLLALASRGAAASAPTYPWRDAETGEWLVCDQCPPGTFVQRPCGRNSPTT
+CGACPPRHYTQFWNYLERCRYCNVICGEREEEARPCGATHNRACRCRSGFFEHAGFCLEH
+ASCPPGAGVAAPGTPSQNTQCQPCPPGTFSASSSSSERCQPHRNCTALGLAVNVPGSPLH
+DALCTNCTSFQLGLLEPGAPGTEECEHAVVDFVAFQDISLKRLQRLQQALADPGTQRPPP
+PLREGRAALQRRLWQQLMELREARPGALGVRLLRALRAARLPGLERSIREHFLRAR
+>tr|A8E649|A8E649_BOVIN Non-specific serine/threonine protein kinase OS=Bos taurus OX=9913 GN=PRKAA1 PE=2 SV=1
+MVMEYVSGGELFDYICKNGRLDEKESRRLFQQILSGVDYCHRHMVVHRDLKPENVLLDAH
+MNAKIADFGLSNMMSDGEFLRTSCGSPNYAAPEVISGRLYAGPEVDIWSSGVILYALLCG
+TLPFDDDHVPTLFKKICDGIFYTPQYLNPSVISLLKHMLQVDPMKRATIKDIREHEWFKQ
+DLPKYLFPEDPSYSSTMIDDEALKEVCEKFECSEEEVLSCLYNRNHQDPLAVAYHLIIDN
+RRIMNEAKDFYLATSPPDSFLDDHHLTRPHPERVPFLVAETPRARHTLDELNPQKSKHQG
+VRKAKWHLGIRSQSRPNDIMAEVCRAIKQLDYEWKVVNPYYLRVRRKNPVTSTYSKMSLQ
+LYQVDSRTYLLDFRSIDDEITEAKSGTATPQRSGSVSNYRACQRNDSDAEAQGKSSEASL
+TSSVTSLDSSPVELTPRPGSHTIEFFEMCANLIKILAQ
+>tr|A7MB41|A7MB41_BOVIN DNA-binding protein SATB OS=Bos taurus OX=9913 GN=SATB1 PE=2 SV=1
+MDHLNEATQGKEHSEMSNNVSDPKGPPAKIARLEQNGSPLGRGRLGSTGAKMQGVPLKHS
+GHLMKSNLRKGTMLPVFCVVEHYENAIEYDCKEEHAEFVLVRKDMLFNQLIEMALLSLGY
+SHSSAAQAKGLIQVGKWNPVPLSYVTDAPDATVADMLQDVYHVVTLKIQLHSCPKLEDLP
+PEQWSHTTVRNALKDLLKDMNQSSLAKECPLSQSMISSIVNSTYYANVSAAKCQEFGRWY
+KHFKKTKDMMVEMDSLSELSQQGANHVSFGQQPAPGSTAEQPPSPAQLSHGSQPSVRTPL
+PNLHPGLVSTPISPQLVNQQLVMAQLLNQQYAVNRLLAQQSLNQQYLNHPPPVSRSMNKP
+LEQQVSTNTEVSSEIYQWVRDELKRAGISQAVFARVAFNRTQGLLSEILRKEEDPKTASQ
+SLLVNLRAMQNFLQLPEAERDRIYQDERERSLNAASAMGPAPLISTPPSRPPQVKTATIA
+TERNGKPENNTMNINASIYDEIQQEMKRAKVSQALFAKVAATKSQGWLCELLRWKEDPSP
+ENRTLWENLSMIRRFLSLPQPERDAIYEQESNAVHHHGDRPPHIIHVPAEQIQPPQAPPQ
+PQPAPPPAPAQAPPPPAGPRLPARQPPAAAPAEAEDESRPKARPRTKISVEALGILQSFM
+QDVGLHPDEEAIQTLSAQLDLPQHTVIKFFQNQRYHLKHHGRLKDHAGLEVDVADYKDDE
+LLQDPDEGAPGAGASPLFAVKLEDEPAADGSTDASADARD
+>tr|A0A3Q1MBP1|A0A3Q1MBP1_BOVIN Hypoxia up-regulated 1 OS=Bos taurus OX=9913 GN=HYOU1 PE=1 SV=1
+MAATVRRQRPRRLACWALMTVLLADLLALSDTLAVMSVDLGSESMKVAIVKPGVPMEIVL
+NKESRRKTPVTVTLKENERFFGDSAASMAIKNPKATLRYFQHLLGKQENNPHVALYKARF
+PEHELGFDPQRQTVYFQISPQLQFSPEEVLSMLLNYSRSLAEDFAEQPIKDAVITVPAFF
+NQAERRAVLQAARMAGLKVLQLINDNTATALSYGVFRRKDINSTAQNIMFYDMGSGSTVC
+TIVTYQTVKTKDAGIQPQLQIRGVGFDRTLGGLEMELRLREHLARLFNEQRKGQRAKDVR
+ENPRAMAKLLREANRLKTVLSANADHMAQIEGLMDDVDFKAKVTRAEFEELCADLFERVP
+GPVQQALQSAEMKLDEIEQVILVGGATRVPKVQEVLLKAVGKEELGKNINADEAAAMGAV
+YQAAALSKAFKVKPFVVRDAVIYPILVEFTREVEEETGVRSLKHNKRVLFSRMGPYPQRK
+VITFNRYSHDFNFHINYGDLGFLGPEDLRVFGSQNLTTVKLKGVGESFKKYPDYESKGIK
+AHFNLDESGVLSLDRVESVFETLVEDSPEEESTLTKLGNTISSLFGGGSTPDTKENGTDT
+VQEEEEGPAEGSKDEPGEQAELKEEAEAPAEDTSSPPPPEAKGAAAPEGEKAAEKDGGDK
+PEAQKPSEKREAGSEGAPPAPEEEKKQKPARKQRMVEEIGVELVVLDLPDLPEDQLARSA
+QKLQDLTLRDLQKQEREKAANSLEAFIFETQDKLYQPEYQEVSTEEQREDISGKLSATST
+WLEDEGFGATTAMLKEKLAELRKLCHGLFFRVEERKKWPERLSALDNLLNHSSMFLKGAR
+LIPEMDQIFTEVEMTTLEKIINETWAWKNTTVAEQAKLPATEKPVLLSKDIEAKMAALDR
+EVQYLLNKAKFAKPRPRPRDKNGTRAEAPLNASTSDQSEKVIPPPGQTEDTKPIPEPEKE
+TGPEAADSEPLELGGPAAESEQKEHLEQPTGQKRTLKNDEL
+>tr|Q0VCJ0|Q0VCJ0_BOVIN Mitochondrial fission process 1 OS=Bos taurus OX=9913 GN=MTFP1 PE=2 SV=1
+MSEPPSRGAERDLFRDTWVRYLGYANEVGEAFRSLVPAAVVWLSYGVSSSYVLADAIDKG
+KKARDVPGPEAGRSSRVTVAVVDTFVWQALASVAIPGFTINRVCAASLYILSTATRWPLA
+VRKWTTTTLGLLAIPVIIHPIDRSVDFLLDSSLRKLYPSVEKPSSS
+>tr|Q3ZBH5|Q3ZBH5_BOVIN Proliferation-associated 2G4, 38kDa OS=Bos taurus OX=9913 GN=PA2G4 PE=1 SV=1
+MSGEDEQQEQTIAEDLVVTKYKMGGDIANRVLRSLVEASCSGVSVLSLCEKGDAMIMEET
+GKIFKKEKEMKKGIAFPTSISVNNCVCHFSPLKSDQDYILKEGDLVKIDLGVHVDGFIAN
+VAHTFVVDVAQGTQVTGRKADVIKAAHLCAEAALRLVKPGNQNTQVTEAWNKVAHSFNCT
+PIEGMLSHQLKQHVIDGEKTIIQNPTDQQKKDHEKAEFEVHEVYAVDVLVSSGEGKAKDA
+GQRTTIYKRDPSKQYGLKMKTSRAFFSEVERRFDAMPFTLRAFEDEKKARMGVVECAKHE
+LLQPFNVLYEKEGEFVAQFKFTVLLMPNGPMRITSGPFEPDLYKSEMEVQDAELKALLQS
+SASRKTQKKKKKKASKTAENATSGETLEENEAGD
+>tr|F1MAZ9|F1MAZ9_BOVIN Nuclear factor of activated T cells 5 OS=Bos taurus OX=9913 GN=NFAT5 PE=4 SV=3
+MTLAVDCVGILKLRNADVEARIGIAGSKKKSTRARLVFRVNITRKDGSTLTLQTPSSPIL
+CTQPAGVPEILKKSLHSCSVKGEEEVFLIGKNFLKGTKVIFQENVSDENSWKSEAEIDME
+LFHQNHLIVKVPPYHDQHITLPVAVGIYVVTNAGRSHDVQPFTYTPDPAAVALNVNVKKE
+ISSPARPCSFEEAMKAMKTTGCNLDKVNMLPNALITPLISSTMIKSEDITPMEVTAEKRS
+PSIFKTTKTVGSTQQTLENLSHIAGNGSFSSSSSHLTSENEKQQQIQPKAYNPETLTTIQ
+TQDISQPGTFPAVSASSQLPSNDALLQQATQFQTRETQSREVLQSDGTVVNLSHLTETSQ
+QQQQSPLQEQAQTLQQQISSNIFPSPNSVSQQLQNTIQHLQAGSFTGSTASGSNGNVDLV
+QQVLEAQQQLSSVLFSAPDGNENVQEQLSADIFQQVSQIQNSVSPGMFSSTEPAVHTRPD
+NLIAGRAESVHPQNENTLSNQQQQQQQQQVMDSSAAMVMEMQQSICQAAAQIQSELFPSS
+ASANGNLQQSPVYQQTSHMMSALSANEDMQMQCELFSSPPAVSGNETTTTTTQQVATSGT
+TLFQTSNSGDGEETGAQAKQIQNSVFQTMVQMQHSGDSQPQVGLFSSTKSMISVQNSGTQ
+QQGNGLFQQGNEMMSLQSGNFLQQSSHSQAQLFHPQNPIADPQNLSQETQGSIFHSPSPI
+VHSQTSTASSEQMQPPMFHSQNTMAVLQGSSVPQDQQSANIFLSQSPMNNLQTNTVAQEE
+QISFFAAQNSISPLQSTSNTEQQAAFQQQAPISHIQTPMLSQEQAQPSQQGLFQPQVSLG
+SLPPNPMPQNQQGTIFQSQHSIVAIQSNSPSQEQQQQQQQQQQQQSILFSNQNAMAPMAS
+QKQPPPNMIFNPSQNPVANQEQQNQSIFHQQNNMAPMNQEQQPMQFQNQTTVSSLQNPGP
+AQSESSQTSLFHSSPQIQLVQGSPSSQEQQVTLFLSPASMSALQTSMNQQDMQQSPLYSP
+QNNMPGIQGATSSPQPQATLFHNTTGGTMNQLQNSPGSSQQTSGMFLFGIQNNCSQLLTS
+GPATLPDQLMAISPPGQPQNEGQPPVTTLLSQQMPENSPMASSINTNQNIEKIDLLVSLQ
+NQGNNLTGSF
+>tr|A0A3Q1LXQ4|A0A3Q1LXQ4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MRRMETTAIYPAMSPQDTQGLMPKNPALEDLFPKANEGMYQIFHLRNLNLMTDWEYTRVY
+ERQKVYLYGHKEMETVTHNANRTAKRNEQHESNWEKQQLQSSTSAETCKCLRKDFHPFMK
+HMCSLKENVKNLEGNLIFIANTHSDNCERRLRLNIHSRMSEHLQFNNECENSQTKQFEGS
+MSRVSLFFPKQIFSIHSKIYNVDDNGRDEIQPSWFNTYCGMVNTQQLSMYNKMSQTLNKS
+HISNNYKSICGGLRSCSDNETRYTVEEDSNLKKHQGPESSNKDSKSNKCRNTFDQISGFS
+LDKSTCTGDRTCSEYGKVSNHCSELTQQDIVQNAQEENKCKICEKVFSKSSNLSRHRRIH
+TGRKPFKCTECCTAFNCHSLLTQHQRIHAGEKPYICKECNKAFHRSSFLIEHQRIHTGEK
+PYKCTVCGKAFMYNSRLIQHQQIHAGEKPYKCTDCSKAFTYNSLLIQHRRIHTGEKPYKC
+TECSKAFTYNSHLIQHQRIHTGERPYKCTECSKAFTYNSLLTQHRRIHTGEKPYKCTECS
+KAFIYNSLLIQHQRIHTGEKPFKCTECSKAFTCNSDLIEHKRIHTGEKPYICKECNKAFR
+RSSFLTEHQRIHTGEKPYKCTVCGKAFTYNSRLIQHQRIHAEEKPYKCTECSKAFTRKSV
+LIKHRQIHTGEKPYKCTECSKAFTYNSRLIEHQQIHAGEKPYKCTECSKAFTYNSLLIKH
+RRIHSGEKPYKCTECSKAFPCNSDLIEHQRIHTGEKPYICKECNKAFRRSSFLTRHQRIH
+AGEKPYKCTVCGKAFTYNSSLIQHQRIHTGEKHYKCTECSKAFTCNSLLIQHQQNHTGEK
+PYKCTVCGKAFTYNSRLIKHQRIHAGEKPYKCTECSKAFTYNSLLIQHRRIHTGEKPYKC
+TECSKTFTYNSRLIQHQRIHSGEKPYKCTECSKAFTCNSSLIQHQRIHTGEKPYICKECN
+KAFHRSSFLTQHQRIHTGEKPYKCTECGKAFTYHSNLIQHKRIHAR
+>tr|Q0III1|Q0III1_BOVIN Methylmalonyl Coenzyme A mutase OS=Bos taurus OX=9913 GN=MMUT PE=2 SV=1
+MLRAKTRLFLLSPNHLRQLKGSSGSRLIWQRLLHQQQPLHPEWAALAKKQLKGKNPEELI
+WHTPEGISIKPLYSSRDTKDFPEELPGMKPFTRGPYPTMYTFRPWTIRQYAGFSTVEESN
+KFYKDNIKAGQQGLSVAFDLATHRGYDSDNPRVRGDVGMAGVAIDTVEDTKILFDGIPLE
+KMSVSMTMNGAVIPVLATFIVTGEEQGVPKEKLTGTIQNDILKEFMVRNTYIFPPEPSMK
+IIADIFQYTAKHMPKFNSISISGYHMQEAGADAILELAYTIADGLEYCRTGLQAGLTIDE
+FAPRLSFFWGIGMNFYMEIAKMRAGRRLWAHLIEKMFQPKNSKSLLLRAHCQTSGWSLTE
+QDPYNNIIRTTIEAMAAVFGGTQSLHTNSFDEALGLPTVKSARIARNTQIIIQEESGIPK
+VADPWGGSYMMESLTNDIYDAALKLINEIEEMGGMAKAVAEGIPKLRIEECAARRQARID
+SGSEVIVGVNKYQLEKEESVDVLAIDNTSVRNKQIEKLKKVKSSRDQALAERCLDALTAC
+AASGDGNILALAVDATRARCTVGEITYAMKKVFGEHKANDRMVSGAYRQEFGESKEIAFA
+IKRVEKFMEREGRRPRLLVAKMGQDGHDRGAKVIATGFADLGFDVDIGPLFQTPREVAQQ
+AVDADVHTVGVSTLAAGHKTLVPELIKELNALGRPDILVMCGGVIPPQDYEFLFEVGVSN
+VFGPGTRIPKAAVQVLDDIEKCLEKKQQSI
+>tr|A1A4J9|A1A4J9_BOVIN DnaJ (Hsp40) homolog, subfamily A, member 3 OS=Bos taurus OX=9913 GN=DNAJA3 PE=2 SV=1
+MAARHSTRWLLVAVGTPRLPAAAGRGARPPMGGVVGASLGRNLSVTAFPPSLGGRGPGAL
+LTLRPGVSFTGVKRYPFVCIASFHTSTHLAKEDYYQILGVPRNASQKEIKKAYYQLAKKY
+HPDTNKDDPKAKEKFSQLAEAYEVLSDEVKRKQYDTYGSAGFDPGAGSSGQSYWKGGPTV
+DPEELFRKIFGEFSSSSFGDFQSVFNQPQEYIMDLTFNQAAKGVNKEFTVNITDTCERCD
+GKGNEPGTKVQHCHYCGGSGMETINTGPFVMRSTCRRCGGRGSIITSPCVVCRGAGQAKQ
+KKKVVIPVPAGVEDGQTVRMPVGKREIFITFRVQKSPVFRRDGADIHSDLFISIAQAILG
+GTARTQGLYETINVTIPPGTQSDQKIRMSGKGIPRINSYGYGDHYIHIKIRVPKRLTSRQ
+QSLILSYAEDETDVEGTVNGVTNTSTGKRSTGN
+>tr|A7MBC1|A7MBC1_BOVIN IL13RA2 protein OS=Bos taurus OX=9913 GN=IL13RA2 PE=2 SV=1
+MAFIHLDIRCLYTLLICTAFGSTLSSNAEIKVNPPQDFQIVDPGYLGYLYLQWQPPVSLD
+NFNKCTVEYELKYRNVDSESWRTIITKNLLYKDGFDLNKGVEAKIHTLLPRQCTNGSEVQ
+SSWSEATYWISSQGNLDTKIQDMDCVYYNWQYLLCSWKPGMGAHLDSNYRLYYWYEGLDH
+VLECIDYIQAKGKNIGCRFPYLESSDYKDFYICVNGSSESQLIRPSYFIFQLQNIVKPLP
+PDYLSLTMKNSEVNLKWSIPRGPIPAKCLIYEIEFTEDDTAWVTTTIENEIYITRTSNES
+LQLCFLVRSKMNIYCADDGIWSEWSDEQCWKGDIWKEILLFFLVPFVLVSLFVLIVTCTL
+LYKQRNLLKMVFHTEKRSPF
+>tr|W0UV04|W0UV04_BOVIN Ribonuclease A K2 OS=Bos taurus OX=9913 GN=RAK2 PE=3 SV=1
+MHRNRRHSLTVNITPSLLYSFQGNMGTLINMLPLFLLFLLLKPLQFELIIDGSLTDKEFE
+EHLDGTSPTRAPTKELFKAHVIIDRKRPLHDPTYCSDEMKIKNIHRRLYCVKEHFFLQAS
+YEDIEKICHNTFMSCGEEVGTCYSSQKNIKAVHCVLTSGIKMPDCEYSSSYEKGWVFITC
+RWQNDIREIIPESVVGMLTSTRKVPSPYRS
+>tr|A6QQS4|A6QQS4_BOVIN SESN1 protein OS=Bos taurus OX=9913 GN=SESN1 PE=2 SV=1
+MRLATAANEAYTASLAVSELLGCKQCGGSRGQDEELGIRIPRPLGHGPSRFIPEKEILQV
+GSEDAQMHTLFTDSFAALGRLDNITLVMVFHPQYLESFLKTQHYLLQMDGPLPLHYRHYI
+GIMAAARHQCSYLVNLHVNDFLHVGGDPKWLNGLENAPQKLQNLGELNKVLAHRPWLITK
+EHIEGLLKAEEHSWSLAELVHAVVLLTHYHSLASFTFGCGISPEIHCDGGHTFRPPSVSN
+YCICDITNGNHSVDEMQVNSAGNVSVSDSFFEVEALMEKMKQLQECREEEEASQEEMASR
+FEIEKRESMFVFSSDDDEVTPARDVSRHFEDTSYGYKDFSRHGMHVPTFRVQDYCWEDHG
+YSLVNRLYPDVGQLIDEKFHIAYNLTYNTMAMHKDVDTSMLRRAIWNYIHCMFGIRYDDY
+DYGEINQLLDRSFKVYIKTVVCTPEKVTKRMYDSFWRQFKHSEKVHVNLLLIEARMQAEL
+LYALRAITRYMT
+>tr|Q0VCA4|Q0VCA4_BOVIN NOL4 protein OS=Bos taurus OX=9913 GN=NOL4 PE=2 SV=2
+MESERDVYRQFQDWCLRTYGDSGKTKTVTRKKYERIVQLLNGCESSSTDNAKFKFWVKSK
+GFQLGQPDEVRGGGGGAKQVLYVPVKTTDGVGVDEKLSLRRVAVVEDFFDIIYSMHVETG
+PNGEQIRKHAGQKRTYKAISESYAFLPREAVTRFLMSCSECQKRMHLNPDGTDHKDNGKP
+PTLVTSMIDYNMPITMAYMKHMKLQLLNSQQEEDESSIESDEFDMSDSTRMSAVNSDLSS
+NLEERMQSPQALHGQQDDDSATESFNGNETLGHSSIASGGTHSREMGDSNCDGKTGLEQD
+EQPLNLSDSPLSAQLTSEYRIDDHSTNGKNKYKNLLISDLKMEREARENGSKSPAHSYSS
+YDSGKNESVDRGAEDLSLNRGDEDEDDHDDHEDSEKANETDGVEAERLKAFNSRPIPSHL
+TSAVAESILASACESESRNAAKRMRLDRQQDESAPTDKQCKPEAAQGTYSTSAVSGSQEV
+LYINGNGTYSYHSYRGLGGGLLNLNDASSSGPTDLSMKRQLATSSGSSSSSSSRPQLSPT
+EINAVRQLVAGYRESAAFLLRSADELENLILQQN
+>tr|A1L526|A1L526_BOVIN Debranching RNA lariats 1 OS=Bos taurus OX=9913 GN=DBR1 PE=2 SV=1
+MRVAVAGCCHGELDKIYETLALAERRGPGRIDLLLCCGDFQAVRNEADLRCMAVPPKYRH
+MQTFYRYYSGEKKAPVLTIFIGGNHEASNHLQELPYGGWVAPNIYYLGHFECPPYNAATV
+RSIYHVRNIEVYKLKQLKQPMDIFLSHDWPRSIYHYGNKKQLLKTKSFFRQEVENNTLGS
+PAASELLEHLKPTYWFSAHLHVKFAALMQHQTMDKGQSTKATKFLALDKCLPHRDFLQVI
+EVEHDPSAPDYLEYDAEWLTVLRATDDLINVTERLWNMPENNGLHTRWDYSATKEAINEV
+LEKLNHDLKVPNNFSITAACYDPSKPQTQMQLVHRISPQTTEFCAQLGITDINVRLQKAK
+EDQHLCGDYEGQDDTEGNDSGEDPSEYNTDTSALSSINPDEIMLDEEEEYDDSIVSAHSD
+TNTPSVEPSCDQASDFSASFSDIRILPSSMTVSSDDTSGSPVCKEGNSGDPVDLGDGKDL
+TTVPLKRLSDEHEPEQRKKIKRRNQAIYAAVDDNDTA
+>tr|F1N5X4|F1N5X4_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=OR2C1 PE=3 SV=2
+MERANSSSLEGFILMGVSDHPQLETIFFVGILFSYLLTLFGNSAIILLFNLDARLHTPMY
+FFLSNLSSLDLAFTTSSVPQMLTNLWGADKTISYGGCVTQLYVFLWLGATECILLVVMAF
+DRFVAVCRPLHYTTIMNPRLCWLLAAIAWLGGLGNSVVQSTFTLQLPLCGHRRVDSFLCE
+VPAMIKLACVDTSLNEAVLNSVCTFFTAVPLSVILISYCYIAQAVLKIRSAEGRRKAFNT
+CLSHLVVVLLFYGSAIYGYLLPAKTSKQDQGKFISLFYSVVTPMVNPLIYTLRNKEVKGA
+LRRLLGKGREVS
+>tr|A8SM77|A8SM77_BOVIN ZNF713 protein OS=Bos taurus OX=9913 GN=ZNF713 PE=2 SV=1
+MSQESLTFQDVAVDFTREEWDQLYPAQKNLYRDVMLENYRNLVALGHQLYKPEVITQLEQ
+EEQWMMGRVSPPDTHPDGENRPEIKKSNHNMSDENQTHDMIMERLTGDSFWYSILGGLWE
+FDYQLEFNQENQQRYLGQVSFTHKKITQERGLECSRFGENYNLNSNLLMQQRIPSMKIPL
+NSDTQGNSIKRNSDLIYYQGNYVRENPYEYNECGKIFNQHLLLTSHIHTEGKHSECRKAF
+SQNSSLTQPQMVLTGEKPYKCDECGKRFSQRIHLIQHQRIHTGEKPFICNECGKAFRQHS
+SFTQHLRIHTGEKPYKCNQCGKAFSRITSLTEHHRLHTGEKPYECSFCGKAFSQRTHLNQ
+HERTHTGEKPYKCNECEKAFSQSAHLNQHRKIHTREKLCEYNKCEKTLSHSPSLTPQHIT
+QNF
+>tr|A8PAU8|A8PAU8_BOVIN MGC134232 protein OS=Bos taurus OX=9913 GN=MGC134232 PE=2 SV=1
+MSEQAEKSSSVRERPARQSSPEKPSEKELKQKKRLDRQLKRLSFQNPGPQVANFNPEIRQ
+QIKKGQMAKKNEFVSVKREGNKYDKKGRLTFNEADLCDCLDEDCLGCFYPCPKCKSTKCG
+PICRCNRRWAYDTIVNENGEVISKMPFDLSE
+>tr|A2VDQ3|A2VDQ3_BOVIN ZNF295 protein OS=Bos taurus OX=9913 GN=ZBTB21 PE=2 SV=1
+MEGLLHYINPAHAISLLSALNEERLKGQLCDALLIVGDQKFRAHKNVLAASSEYFQSLFT
+NKENEAQTVFQLDFCEPDAFDNVLNYIYSSSLFVEKSSLAAVQELGYSLGISFLTNIASK
+TPQAPFPTCPNRKKTFTEDEENSSQKRSVIVCQSRNEAPGKAGSQNTPELSHPPRPSASI
+AVKANASKPPGPKPTEPPHHVAPAEKSWPKDGPAVLARPPELAGPLEEPSRGGLAKRAVA
+PPSKPPPDRAAVDERPGASALLPKGVAVELALRSPRPPVLSLRGSPETPFLLKEAGRGSG
+PGEDRNLLYYSRLGLVIPAGAPAPGTQGVDRSGPLVKSLLRRSLSMDSQVPVYTPTAELQ
+APPGAGSGDVAGSVLSAFSSQRPPSKDGGETVAPDTRTPAPQPHRLRSFSASQPAERPGA
+PQGPEVRVKAEPRSPPEPCDIIRVTVGDAAAATRDLALQTEDDRKDPSRLPAKRRFQTDR
+RLPAKQPKAESPGSPGSGDPREEGSSPSALRADFPGSDLNKDEFEQGNHERLCRTATVCP
+YCSLRFFSPELKREHEGKCEFRKLTCLECMRTFKSAFSIWRHQVEVHNQNSMAPAAGTSP
+PRPDLNGEATGPARPLVPPEPGRADPAATAAKDDPAGGGRGPEPVNFDSEDSTCLPEDLS
+LSKPLKIQVKEEPPEEAEEEAPEAGAAPKDLWPCEKCGKTFPAPKQLERHQELLCSVKPF
+ICHVCNKAFRTNFRLWSHFQSHMAQASEDPAHRDPEAGPGPAGSPSPPPLPPPLPKIQPL
+EPDSPTGLPETPTPTADKLFAPRESDTLFYHAPPLSAITFKRQFMCKLCHRTFKTAFSLW
+SHEQTHN
+>tr|D7R519|D7R519_BOVIN Pannexin OS=Bos taurus OX=9913 GN=PANX1 PE=2 SV=1
+MAIAHLATEYVFSDFLLKEPSEAKFKGLRLELAVDKMVTCIAVGLPLLLVSLAFAQEISI
+GTQISCFSPSSFSWRQASFVDSYCWAAVQQKDSLQGDSGNLPLCLHKFFPYILLLVAILL
+YLPSLFWRFAAAPHVCSDLKFIMEELDKVYNRAIKAAKSMHDLDLRDGACPAPEVNENMR
+QSSQESHFRYPIVEQYLRTKKASRHLIVKYMSCRVLSLSTILLASLYLGYYLSLSSLSDE
+FVCSIKSGILKNDSTVPDKFQCKLIAVGIFRLLSFINLVVYVMLVPVVVYSLFVPFQQKM
+DVLKVYEILPTFDILHLKLEGYNDLSLYVLFLEENISELKSYKCLKVLENIKSSCQDVDP
+MLLLTNFGMIKMDMVDGKNPEPVEMMVEELGDQTTELQDLNVHSETKISNREKNARQRLL
+DTSC
+>tr|A5PJS5|A5PJS5_BOVIN ORC5L protein OS=Bos taurus OX=9913 GN=ORC5 PE=2 SV=1
+MPHLENMVLCRESQVSTLQSLFGERHHFSFPSIFIYGHTASGKTYVTQTLLRSLELPHVF
+VNCVECFTLRLLLEQILNKLNHLSSSESECSTHITCEIFNDFVRLFKRITEAENLKDQTV
+YIVLDKAEYLRDMEANLLPGFLRLQELTGRNVTVIFLSEIVWEKFRPNTGCFEPFVLYFP
+DYSIGNLQKILSHDHPPEYSADFYAAYINILLGVFYTVCRDLKELRHLAALNFPKYCEPV
+VKGEANERDTRKLWRNIEPHLKKAMQTVYLREISSSQWEKLQKDDTDPGQLKGLSAYTHV
+ELPYYSKFILIAAYLASYNPARTDKRFFLKHHGKIKKTNFLKKHEKTSNHLLGPKPFPLD
+RLLAILYSIVDSRVAPTANIFSQITSLVTLQLLTLVGHDDQLDEPKYKCTVSLDFIRAIA
+RTVNFDIIKYLYDFL
+>tr|A0A3S5ZPI2|A0A3S5ZPI2_BOVIN PICALM interacting mitotic regulator OS=Bos taurus OX=9913 GN=PIMREG PE=4 SV=1
+MASRWPAVGASLRRRSLQNQERLEESEALQPVASHPDTSSGALGSLCRQFQRRLPLRAVS
+LNLGVGPSWKRLETPEPGQQGLQAAAHSAKSTLGAMSQRIQESCQSGTKWLVETQVKARR
+RKRGAQKGSSPPAHSLSQRSTRLSVAAPAHSTLGPWEKEYHRLSVQMSSRAHPWRRSRRE
+AAFRSPYSSAEPLCSPSESDSDLEPVGAGIRHLQKVSQELEEAIVAEDSGDMTVSLIRD
+>tr|A6QR62|A6QR62_BOVIN ERBB3 protein OS=Bos taurus OX=9913 GN=ERBB3 PE=2 SV=1
+MRVNRALQVLGFLLSLARGSEVGNSQAVCPGTLNGLSVTGDAENQYQTLHKLYEKCEVVM
+GNLEIVLTGHNADLSFLQWIREVTGYVLVAMNEFSTLPLPNLRVVRGTQVYDGKFAIFVM
+LNYNTNSSHALRQLRLTQLTEILSGGVYIEKNEKLCHMDTIDWRDIVRDRDAEIVVKNNG
+KTCPPCHEACKGRCWGPGPEDCQTLTKTICAPQCNGHCFGPNPNQCCHDECAGGCSGPQN
+TDCFACRLFNDSGACVRQCPQPLVYNKLTFQLEPNPHTKYQYGGVCVASCPHNFVVDQTS
+CVRACPPDKMEVDKNGLKICEPCGGLCPKACEGTGSGSRFQTVDSSNIDGFVNCTKILGN
+LDFLITGLNGDPWHKIPALDPEKLNVFRTVREITGYLNIQSWPPHMHNFSVFSNLTTIGG
+RSLYNRGFSLLIMKNLNVTSLGFRSLKEISAGRIYISANRQLCYHHSLNWTRLLRGPSEE
+RLDIKHNRPRRDCVAEGKVCDPLCSGGCWGPGPGQCLSCRNYSRGGVCVTHCNFLNGEPR
+EFAHEAECFSCHQECQPMEGTVTCNGSGSDACAQCAHFRDGPHCVSSCPFGVLGAKGPIY
+KYPDAQNECRPCHENCTQGCKGPELQDCLGQLLPLISKTHLAMALTVVVGLAVTFLILGS
+TFLYWRGRKIQNKRAMRRYLERGESVEPLDPSEKANKVLARVFKETELRKLKVLGSGIFG
+TVHKGVWIPEGESIKIPVCIKVIEDKSGRQSFQAVTDHMLAIGSLDHAHIVRLLGLCPGS
+SLQLVTQYLPLGSLLDHVRQHRGALGPQLLLNWGVQIAKGMYYLEEHGMVHRNLAARNVL
+LKSPSQVQVADFGVADLLPPDDKQLLYNEAKTPIKWMALESIHFGKYTHQSDVWSYGVTV
+WELMTFGAEPYAGLRLAEIPDLLEKGERLAQPQICTIDVYMVMVKCWMIDENIRPTFKEL
+ANEFTRMARDPPRYLVIKRESGPGITPGAEPPPLTNKELEEVELEPELDLDLELEAEEEN
+LATTLGSALSLPIGTLNRPRGSQSLVSPSSGYMPMNQGNLGEVGQESAVFGGNERYPRPA
+SLHPMPRGRLASESSEGHVTGSEAELQEKVSMCRSQSRSPRPRGDSAYHSQRHSLLTPVT
+PQSPPGLEEEDVNGYVMPDTHIKGTSSREGTLSSVGLSSVLGTEDDDDEEYEYMNRRRRC
+SPSRPPRPSSLEELGYEYMDVGSDLSASLGSTQSCPLNPVPNMPNASTTPDEDYEYMNRR
+RGGGGPGGDYAAMDACPASEQGYEEMRAFQGPVLHGPQVHYARLKTLRSLEATDSAFDNP
+DYWHSRLFPKANAQRI
+>tr|Q29RT5|Q29RT5_BOVIN RNA binding motif protein 19 OS=Bos taurus OX=9913 GN=RBM19 PE=2 SV=1
+MSRLIVKNLPNGMKEERFRQLFTAFGTLTDCSLKFTKDGKFRKFGFIGFKSEEEAQTALN
+HFNKSFIDTSRITVEFCKAFGDPTKPRAWSKHAQKSSQSKPPPKDKDAISTEPKKDDKKK
+KAAGELEKLKDDNEFQEFLSVHQKRTQVATWANDALDAEPSKGKSKPANDYLNFDSDSGQ
+ESEEEEDGENSEEEEDGLKPKEPKEPKAAVQKELSDMDYLKSKVVAAESPSSEESEDEAV
+NCEEGSESEEEGSFAAPAQQDREAAPAKKNVMEFLAPLKPVAIRIVRNAHGNKTGYVFVD
+FSSEEEVRKALKCNREYMGGRYIEVFRERNIPVAKAPLKNGAKPWQGRTLGEHEEEEDLA
+DSGRLFVRNLPYTSSEEDLEKLFSRYGPVSELHYPIDSLTKKPKGFAFVTFMFPEHAVKA
+YAEVDGQVFQGRMLHVLPSTIKKEASEDANAPESSSYKKKKESKDKANSSSSHNWNTLFM
+GPNAVADAIAQKYSATKSQVFDHETKGSVAVRVALGETQLVQEVRRFLLDNGVCLDSFSQ
+AAAERSKTVILVKNLPAGTLAAELQETFSRFGSLGRVLLPEGGITAIVEFLEPLEARKAF
+RHLAYSKFHHVPLYLEWAPMGVFSSPIPQKEEPQDAPAEPAGTDRMEPETDGETPEGEQP
+TDRAAHDASAKMEEEEEEEEEEEEESLPGCTLFIKNLNFDTTEETLKGVFSKVGAVKSCS
+ISKKKNKAGALLSMGFGFVEYRKPEQAQKALKQLQGHVVDGHKLEVRISERATKPALTSA
+RKKQAPRKQTTSKILVRNIPFQADSREIRELFSTFGELKTVRLPKKLTGTGTHRGFGFVD
+FLTKQDAKRAFNALCHSTHLYGRRLVLEWADSEVSLPALRRKTAEHFHEPPKKKRSVVLD
+EILEQLEDSEDDGEAQTLQL
+>tr|A7MB13|A7MB13_BOVIN G protein-coupled receptor 65 OS=Bos taurus OX=9913 GN=GPR65 PE=2 SV=1
+MNSTCIDEQHDLEHYLFPVVYVFVVIVSIPANIGSLCVSFLQAKQENELGIYLFSLSLSD
+LLYASTLPLWINYTWNRDNWTFSPALCKGSAFFMYLNFYSSTAFLTCISIDRYLAVVYPL
+RFFFLRSRKCAFMVSLTIWVLETILNAVILWEDETAVEYCDAKKSNFTLCYDKYPLENWQ
+IRFNFARTCIGYVIPLVVIMTCNKKVYQAVRQNQATEHRERKRIIKLLISITLTFILCFT
+PFHVMLLIRSILEHDMNLKEQVFDHFKSGKQSYKIYRITVALTSLNCVADPILYCFITEI
+GRSDMWNILKFFTGKLNKSKRQGKSVLSVSTKDTIELEILE
+>tr|A4FV74|A4FV74_BOVIN COP9 signalosome subunit 8 OS=Bos taurus OX=9913 GN=COPS8 PE=2 SV=1
+MPVAVMAESSFSFKKLLDQCENQELEAPGGIATPPVYGQLLALYLLHNDMNNARYLWKRI
+PPAIKSANSELGGIWSVGQRIWQRDFPGVYSTINAHQWSEAVQPIMEALRDATRRRAFAL
+VSQAYTSIIADDFAAFVGLPVEEAVKGILEQGWQADSTTRMVMPKKPVAGALDVSFNRFI
+PLSEPAPVPPIPNEQQLARLTDYVAFLEN
+>tr|Q3B7N6|Q3B7N6_BOVIN Excision repair cross-complementing rodent repair deficiency, complementation group 5 OS=Bos taurus OX=9913 GN=ERCC5 PE=2 SV=1
+MGVQGLWKLLECSGRQISPETLEGKILAVDISIWLNQALKGVRDRHGNSIENAHLLTLFH
+RLCKLLFFRIRPIFVFDGDAPLLKKQTLAKRRHKKDLAASDSKTTTEKLLKTFLKRQVIK
+TALKSKREEALPSLTQVQREDDIYALPPLQEKEKNSSEEEDEKEWQERMSQKQALQEEFF
+QNPHAVDIESEDFNSLPLEVKHEILTDMKEFTKRRRTLFEAMPEESNDFSQYQLKGLLKK
+NYLNQHIENVEKEMNQQHSGQIQRQYEDEGGFLKEVESRRVVSEDTSHYILIKGVQAKKA
+TEADSEPLPSCSEKHSGALDTKSPPCEKLKPKKEPDASPPSPRTLLAMQAALLGSSSEDE
+LEGKHRRPCDVKSPPAPAPEGSVSPLTLLAIQRALDDDDEDLKLHASVQTEGAGRRKPRP
+LVSSSDEEAVEEPEVRDGDRELLTEAPRVASVTLTEEHVMKKSDEKEQTDSAPLLRTVFC
+QGSESSFPKEQMPSMHALKEPFQPSAESTAQDGKDLVPLESTVVPHGDAPGLPGEPEQIP
+TPPTSPSSVSRSGTYTRVPEPQQALPPESKGAASVLSSDDETEPEKNPAPDVAGTASLQE
+SSNTLSVAIDTVSDLGNEAPSSAPEHENFLKTIQEHESVGSAGQGLISVPESTEPTEVDS
+EDSESDGSFIEVQSINSSDELQAELQEASRPPSGQSEEEPTGTEKEEATGDSEGLPREIS
+ESEAVATEKHGETEKDAEDSLHEWQDIDLDELEALESNLLTQQNTLKAQKQQQERVAATV
+TGQMFLESQELLRLFGIPYIEAPMEAEAQCAILDLTDQTSGTITDDSDIWLFGARHVYKN
+FFNKNKFVEYYQYVDFHNQLGLDRNKLINLAYLLGSDYTEGIPTVGCVTAMEILNEFPGH
+GLEPLRKFSEWWHEAQENKKIRPNPYDTKVKKKLRKLQLTPGFPNPAVADAYLKPVVDES
+KGSFLWGKPDLDKIREFCQRYFGWNRTKTDESLFPVLKQLNVHQTQLRIDSFFRLAQQEK
+QEAKGIKSQRLNRAVTCMLRKEREEAASEVEAASVAMEKESEFLDEAKGKTQKRGTANRW
+KEPSSPKRKRLSDSKGGNESGGFLGEAYLSQSSEASSGEDAEYFPAMGVQRAKAPGASRA
+RSSAAQSAAQPASRRDGGTTTSSSSDDDDGGRAKPVLVTARPVFGKKKGRRRSTRGRKWK
+T
+>tr|A7MBG2|A7MBG2_BOVIN Abhydrolase domain containing 17B OS=Bos taurus OX=9913 GN=ABHD17B PE=2 SV=1
+MNNLSFSELCCLFCCPPCPGKIASKLAFLPPDPTYTLMCDESGSRWTLHLSERADWQYSS
+REKDAIECFMTRTSKGNRIACMFVRCSPNAKYTLLFSHGNAVDLGQMSSFYIGLGSRINC
+NIFSYDYSGYGASSGKPTEKNLYADIEAAWLALRTRYGIRPENVIIYGQSIGTVPSVDLA
+ARYESAAVILHSPLTSGMRVAFPDTKKTYCFDAFPNIDKISKITSPVLIIHGTEDEVIDF
+SHGLALFERCQRPVEPLWVEGAGHNDVELYGQYLERLKQFVSQELVNL
+>tr|Q0V7N7|Q0V7N7_BOVIN Galactose-1-phosphate uridylyltransferase OS=Bos taurus OX=9913 GN=GALT PE=2 SV=1
+MKRPWQGQVEHQPLTTVPRHDPHNPLCPGATRANGEVNPDYEGTFLFDNDFPALQPDAPS
+PGPSDHPLFQAEAAQGVCKVMCFHPWSDVTLPLMSVPEIRAVVDAWASVTEELGAQYPWV
+QIFENKGAMMGCSNPHPHCQVWASSFLPDVAQREERCQRAYQSQHGEPLLVEYGRQELLR
+KERLVLTSEHWLVLVPFWAVWPFQTLLLPRRHVRRLPELTPAERDDLSSIMKKLLTKYDN
+LFETSFPYSMGWHGAPTGSEAGANWDHWQLHAHYYPPLLRSATVRKFMVGYEMLAQAQRD
+LTPEQAAERLRALPEVHYRLGQKDRETAAIA
+>tr|Q1RMW8|Q1RMW8_BOVIN Ring finger protein, transmembrane 1 OS=Bos taurus OX=9913 GN=RNFT1 PE=2 SV=1
+MQANCSQLHSPSGAAGSEDASASQCVQARLTGEASCLYSGDVHIPISSLPKECAENPSSR
+NTRSGVHSCTHGCTHSRLRSHTHNEARQPDDSDMEYGDHGSSSFSEFRYLFKWLQKSLPY
+ILILGVKLVMQHTTA
+>tr|A6QPG6|A6QPG6_BOVIN LANCL2 protein OS=Bos taurus OX=9913 GN=LANCL2 PE=2 SV=1
+MEDRAFPNPFPDYEAAAERQAEEPPCSRTPLSPEELGLPFHSDGKIINNFTRRIQTKIKD
+LLQQMEEGLKTADPHDCSAYTGWTGIALLYLQLYRVTCDQSYLLRSLDYVKRTLRNLNGR
+RVTFLCGDAGPLAVGAVVYHKLKSDCESQECITKLLQLQRTIVCRDSDLPDELLYGRAGY
+LYALLYVNTEIGPGAVCESAIKEVVNAIIESGKALSKEEKKVERCPLLYQWHRKQYVGAA
+HGMAGIYYMLMQPAAKVDQETLTEMVKPSIDYMRHKRFRSGNYPSSLSNETDRLVHWCHG
+APGVIHVLMQAHKVFKEEKYLKDAVECSDVIWQRGLLRKGYGICHGTAGNGYSFLSLYHI
+TQDKKYLYRACKFAEWCLEYGAHGCRIPDRPYSLFEGMAGTIHFLSDILAPEASRFPAFE
+LSSSQRDTKVQKD
+>tr|G3MYA0|G3MYA0_BOVIN Phosphoinositide-3-kinase regulatory subunit 5 OS=Bos taurus OX=9913 GN=PIK3R5 PE=4 SV=2
+MQQGATTCTEDRIQHALERCLHGLSLSRRSTSWSAGLCLNCWSLQELVSRDPGHFLILLE
+QILQKTREVQEKGTYDLLAPLALLFYSTVLCTPHFPPDSDLLLKASRTYHRFLTWPVPYC
+SICQELLTFIDAELKAPGISYQRLVRAEQGLSTRSHRSSTVTVLLLNPVEVQAEFLAVAN
+QLSTPGPSPHSTYTTLLLHAFQATFGPHCDLPGLHCRLQSKTLAELEDIFTETAEAQELA
+SGIGDAAEARQWLRTKLQAVGEKAGFPGVLDTTKPGKLRTIPMPVARCYTYSWNQDSFDI
+LQEILLKEQELLQPGILGDDEDEDGEDEDEDEEEEEEDLDSDRHCAERDSLLSTSSMASH
+DSTVSLALSQASGPTLHGQLLTSFVSGLSDGMDSGYVEDSEESSFERPKRPGTQERRGHR
+RSGQKFNRIYKLFKSTSQLMLRRDSRALEGGPEGALPLRRAGSLCSPVSPLDGPRPASRA
+QRSRSLPQAKLSPPLPGWLLAPASRHQRRRPFLSGDEDPKASTLRVVVFGSDRISGKVAR
+AYSKLRRLESNRPLLTRFFKLQFFYVPVKRTGGCTSPASPCQTSPLPADSPRHPSPAELG
+AAPWGESTNDISHYLGMLDPWYERNVLGLMHLPPEVLCQSLKAESRPLEGSPAQLPILAD
+MLLYYCRFAARPVLLQVYQTELTFITGEKTTEIFIHSLELGHSAATRAIKASGPGSKRLG
+IDGDREAVPLTLQIIYSKGAISGRSRWSTMEKVCTSVNLSKACRQQEELDSSTEALTLNL
+TEVVKRQNPKSKKGFNQITTSQVKVDKVQIIGSNSCPFAVCLDQDERKILQSVVRCEVSP
+CYKPEKSNLCPRPQRPSYPPAQGQTTPDLCSLLCLPIMTFSGALP
+>tr|A7MBG4|A7MBG4_BOVIN KEAP1 protein OS=Bos taurus OX=9913 GN=KEAP1 PE=2 SV=1
+MQPEPRPSGAGAHTQFLPLRSQRPEGAGDTAMYASTECKAEVTPSQHGNRTFSYTLEDHT
+KQAFGIMNELRLSQQLCDVTLQVKYQDAPAAQFMAHKVVLASSSPVFKAMFTNGLREQGM
+EVVSIEGIHPKVMERLIEFAYTASISMGEKCVLHVMNGAVMYQIDSVVRACSDFLVQQLD
+PSNAIGIANFAEQIGCTELHQRAREYIYMHFGEVAKQEEFFNLSHCQLATLISRDDLNVR
+CESEVFHACINWVKYDCEQRRFYVQALLRAVRCHSLTPHFLQMQLQKCEILQSDSRCKDY
+LVKIFQELTLHKPTQVMPCRAPKVGRLIYTAGGYFRQSLSYLEAYNPSDGTWLRLADLQV
+PRSGLAGCVVGGLLYAVGGRNNSPDGNTDSSALDCYNPMTNQWSPCASMSVPRNRIGVGV
+IDGHIYAVGGSHGCIHHNSVERYEPEGDEWHLVAPMLTRRIGVGVAVLNRLLYAVGGFDG
+TNRLNSAECYYPERNEWRMITPMNTIRSGAGVCVLHNCIYAAGGYDGQDQLNSVERYDVE
+TETWTFVAPMKHRRSALGITVHQGRIYVLGGYDGHTFLDSVECYDPDTDTWSEVTRMTSG
+RSGVGVAVTMEPCRKQIDQQNCTC
+>tr|A6H781|A6H781_BOVIN KAT8 regulatory NSL complex subunit 3 OS=Bos taurus OX=9913 GN=KANSL3 PE=2 SV=1
+MAHRGGERDFQTSARRMGTSLLFQLSVHERELDLVFLDHSYAKPWSAHPDASSARPTRML
+FVTPRRQHESTIESDVPIDVETVISTPVPLYDNQKARSVMNECERHVIFARTDADAPPPP
+EDWEEHVNRTGWTLAQNKLFNKILKALQSDRLARLANEGACNEPVLRRVAVDKCARRVRQ
+ALASVSWDTKLIQWLHTTLVETLSLPMLAAYLDALQTLKGKIPTLIDRMLVSSNTKTGAA
+GAEALSLLLKRPWDPAVGVLSHNKPSKLPGSPLILIASSGPSSSVFPTSRRHRFWQSQLS
+CLGKVIPVATHLLSNGSGVGVLQCLEHMIGALRSKVLEIHSHFPHKPIILIGWNTGALVA
+CHVSVMEYVTAVVCLGFPLLTVDGPRGDVDDPLLDMKTPVLFVVGQNSLQCHPEAMEDFR
+EKIRAENSLVVVGGADDNLRISKAKKKSEGLTQSMVDRCIQDEIVDFLTGVLTRTEGHVG
+SEPRDQDAEKKKKPRDVARRDLAFEVSERGSRPASPAAKLPASPSGSEDLSSVSSSPTSS
+PKTKVTTVASSQKSSQIGSTQLLKRHVQRTEAVLTHRQAQGAAAWPRGLSWLLPSQLTSC
+GS
+>tr|E1BAM1|E1BAM1_BOVIN Ankyrin repeat domain 35 OS=Bos taurus OX=9913 GN=ANKRD35 PE=4 SV=1
+MKRIFSCSSSQVAVERWNRRDQKLLEAVQRGDVGRVAALASRKSARPTKLDSNGQSPFHL
+AASKGLTECLTILLASGADINSKNEDGSTALHLATISCQPQCVKVLLQHGANEDAVDAEN
+RSPLHWAASSGCASSVLLLCDHEAFLDVLDNDGRTPLMIASLGGHAAICSQLLQRGARVN
+VTDKNDKSALILACEKGSAEVAELLLSHGADAGAVDSTGHDALHYALRTQDRPLWRLLRQ
+ALNRQGRGGQGPVQHLNPASQTSPSEPQVGAPPKSPWRAEPEEEQEEEEDEDPCSEEWKW
+KYEEEKRKVSQLEQELLRKTEECKAQATAYLGLENQIQEQVRELGLLLSQEPRAPENQGS
+SLRHGGDGMEQGCALNLLAKHIQELKKHQQATAAAAANPVLASKKAEDSVPGEIQYEAQG
+RSQPEEQEPPQSPKSETVGKTTGQQLTNSDGQALRLDQTDQLFAGRKERPQAPGSEPTST
+VAEPAGTAAMNQLLLQLREELAAVWREKDAARGALSRSVLEGALGTPRAEAAAAAWEKME
+ARLEQVLVRLDRAKAGLQMKPKAPAQEPREEAPKALPGTITQEDEEKEKRVPGARGEPLG
+APGGDQMPGGGQARGQLEKEVSALRLSNSNLLEELGELGRERQRLQGELQSLSQRLQQEF
+VPRPEAQDQLQQLRRSVGLLTDELALEKQATEKLRKRLASQNRGLQGLWDCLPPDLVGQG
+SARSAAAEPLEDLQACISALVARHREAQQGLARLEEENQQLRGSPSRLGEPGVSSEASAS
+PQVAALEQDLGKLEEELRAVQATMSGKSQEIWKLKQLLYQATEEVEELRAREAASLRQHE
+KTRGSLVAQAQAWGQELKALLEKYNTACREMGRLREAAAEERRRSGDLAARAAEHERQAS
+EMRGRSQQFEKTAELLKEKVEHLIGACRDKEAKIKELLKKLEQLSEEVLAVRGDNARLAL
+QLQDSQKNHEEIISTYRKHLLNAAQGYMEQDVYNILLRILSIQE
+>tr|A0A3Q1MCL2|A0A3Q1MCL2_BOVIN Coiled-coil domain containing 146 OS=Bos taurus OX=9913 GN=CCDC146 PE=4 SV=1
+MEDSTTDTDQEEEEEKGGKAQEDPIYATAPTINIQDERFVDLSTTPAFICLHELHALGKL
+PGTRMAELKAKYTLLHDTVMSTQESEVQLLQDAKRFTEQIQEQQFHLQQADNFPQAFTTE
+VSKMREQLLKYQNEYNAVKEREFHNQYRLNSLVEEKNLILKEFEKIPKPGEMEKKMRLLR
+ESTEELRKEVMQKKLEIKNLREDLTSKQKQLLKEQKELEELLEYQVTLKDEVVHHQSIPV
+QIGKEIEKTTRKKVEMEKKKLVLEYELKELNDSLKKVETKINAVMEEKEDVIKEVEGKRA
+LLEIKEREYNQLVKLLELTRENEASSLTERGILDLNLRNCLIDKHNYHDELSRKQREKER
+DFRNLKKMELLLKVSWDALNQTQALHQRLLLEIEAIPKDDSTLSERRRELHKEVEVAKRN
+LTQQKILSEAESKLVEQQLAEENKLLKEQENIQELLFNLVRMTQIKIDEKEQKSKDFLKA
+QQKYTSIVREIKAKDLEIRIHRKKKREIHRRLREFAKLYDTIRNERNKFINLLHKAHQKV
+NEIKERHKMSLNELEILRNSAVTQERKLQNSMLKHANNITIRESMQNDVCKIVAKLQEMK
+EKKEAQLNNIDRLANMITMIEEEMVQLRKKYERAVQRRNESGVQLIEREEEVCIFYEKIN
+IQEKMKLNGEIELHVLEEKIRFLKLKIAEKQRQIHVTRKLLPVKSALDADLAVLQIQFSQ
+CTDKIKDLEKKFINPEGENRTRLLPGKDMTEEEMIKKMDELELQLAKKEEKLLEKDFIYE
+QVSRLTDRLYSKTQACKQDTLLLAKKMNGYQKKIKDATEKMMALVAELSMKQAMAIELQK
+EVKEKEEFIFTCNSRMEKGLPLNKEIEREWLKVLRDEEMYAMAIAEKSREFLEAETRQLP
+NGVYTTAEQRPNAYIPEAEATLPLPKPYGALAPFKPSEPGANIRHIRKPVIKPIEI
+>tr|A0A3Q1LFV9|A0A3Q1LFV9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=ALKAL2 PE=4 SV=1
+MHGPGRPLLLGLLLVLGAAGPGRGGAEPREAADRQTLLRLIVEIVQELRKYHSGESKRLQ
+LSGRQDYTLDRREVADYAYPEEQRVEIVPRDLRMKDKFLKHLTGKLAPRSFASPQILASQ
+ELLLSVSPCTLQALCISAQSAANTSTDFTTTPETAPSPHTTRGVPGF
+>tr|Q2KJ48|Q2KJ48_BOVIN Cerebellar degeneration related protein 2 OS=Bos taurus OX=9913 GN=CDR2 PE=2 SV=1
+MLAENLVEEFEMKEDEPWYDHQDLQQDLQLAAELGKTLLDRNTELEDSLQQMYTTNQEQL
+QEIEYLTKQVELLRQMNEQHAKVYEQLDVTARELEETNQKLVADSKASQQKILSLTETIE
+CLQMNIDHLQSQVEELKSSSQRRRSQGRHDQEKSAPSFSSLKELYDLRQHFVYDHVFAEK
+ITSLPSQQSPDEEENEHLKKTVTMLQAQLSLERQKRVTMEEEYGLVLKENSELEQQLGAT
+DAYRARALELEAEVAEMRQMLQAEHPFVNGVEKLVPDSLFVPFKEPGQSLLDEMLLTVPE
+AHRKPLKRSSSETVLSSLAGSDIVRGHEETCIRRAQAVKQRGISLLHEVDTQYSALKAKY
+EELLKKCQQEEDSLSHKAVQTSRAPAKDLAALNAQRTPSTLSWVPASVTPEPISSPTTST
+PPEYKALFKEIFSCIKKTKQEIDEQRTKYRSLSSHS
+>tr|A1A3Z1|A1A3Z1_BOVIN LDL receptor related protein 8 OS=Bos taurus OX=9913 GN=LRP8 PE=2 SV=1
+MGRPERGALRPLALLLLLLQLQHLAAAAADPLHGGQGSVKECDENQFRCRNERCIPSVWR
+CDEDDDCSDNSDEDDCPKKTCADSDFTCNNGHCIRERWKCDGEEDCPDGSDESEATCTKQ
+VCPAEKLSCGPTSHKCVPASWRCDGEKDCESGADEAGCATSLGTCRGDEFQCGDGTCVPA
+IKRCNQEQDCPDGSDETGCLQGLNECLHNNGGCSHICTDLKIGFECTCPAGYQLLDQKTC
+GDIDECEDPDACSQICVNYKGYFKCECHPGYEMDTLTKNCKAVAGRSPSLIFTNRHEVRR
+IDLVKRDYSRLIPMLKNVVALDVEVATNRIYWCDLSYRKIYSAYMDKASNPVEQDVLIDE
+QLHSPEGLAVDWVHKHIYWTDSGNKTISVATVDGKRRCTLFSRNLSEPRAIAVDPLQGFM
+YWSDWGFQAKIEKSGLNGVGRQTLVSDGIEWPNGITLDLLNQRLYWVDSKLHQLSSIDFS
+GGNRKMLISSPDFLSHPFGIAVFEDKVFWTDLENEAIFSANRLNGLEISVLAENLNNPHD
+IVIFHELKQPRAADACELSAQPNGGCEYLCLPAPQISSHSPKYTCACPDTMWLGPDMKRC
+YRAPQSTSTTTLASTTARTLADTTRAPGTTNHSPTYQNHSTETPSLATEVPSSVSVPRAP
+SISPSTPSPATSNHSQHYGNEDGKMGSTVTAAVIGIIVPMVVIALLCMSGYLIWRNWKRK
+NTKSMNFDNPVYRKTTEEEEEDELHIGRTAQIGHVYPAAISSFDHPLWAEPCLGETRELE
+DPAPALKELFVLPGEPRSQPHQLPKNPLSELPVVKCKRVALSLEDDGLP
+>tr|A6QL97|A6QL97_BOVIN TMX3 protein OS=Bos taurus OX=9913 GN=TMX3 PE=2 SV=1
+MAARRRGPALRFWAAVFLLDLAFCKGHVEDLDDSFKENRKDDIWLVDFYAPWCGHCKKLE
+PIWNEVGLEMKSLGSPVKVGKMDATSYSSIASEFGVRGYPTIKLLKGDLAYNYRGPRTKD
+DIIEFAHRVSGALIRPLPSQQMFEHMQKRHRVFFVYIGGESPLKEKYIDAASELIVYTYF
+FSASEEVVPAYVTLKEMPAVLVFKDETYFVYDEYEDGDLSSWINRERFQNYLTMDGFLLY
+ELGDTGKLVAIAVIDEKNTSVEHTRLKSIIQEVARDYRDQFHRDFQFGHMDGNDYINTLL
+MDELKVPTVVVLNTSNQQYFLLDRQIKNAEDMVQFINNILDGTVDAQGGDSILQRLKRIV
+FDAKSTVVSIFRSSPLMGCFLFGLPLGVISIMCYGICTADADGGYIEERYEVSKNEPDDS
+PEPAERPEPPQPRGAASPLPAPQEPKDVLEKKKD
+>tr|E1BNF2|E1BNF2_BOVIN Potassium voltage-gated channel subfamily J member 9 OS=Bos taurus OX=9913 GN=KCNJ9 PE=3 SV=1
+MAQENAAFSPGTEEPPRRRGRQRYVEKDGRCNVQQGNVRETYRYLTDLFTTLVDLQWRLS
+LLFFVLAYALTWLFFGAIWWLIAYGRGDLEHLEDTAWTPCVNNLNGFVAAFLFSIETETT
+IGYGHRVITDQCPEGIVLLLLQAILGSMVNAFMVGCMFVKISQPNKRAATLVFSSHAVVS
+LRDGRLCLMFRVGDLRSSHIVEASIRAKLIRSRQTLEGEFIPLHQTDLSVGFDTGDDRLF
+LVSPLVISHEIDAASPFWEASRRALERDDFEIVVILEGMVEATGMTCQARSSYLVDEVLW
+GHRFTSVLTLEDGFYEVDYASFHETFEVPTPSCSARELAEAAARLDAHLYWSIPSRLDEK
+VEEEGAGEGPGEEAEEEAGEGAGEGAGVDKEQNGCLPPPESESKV
+>tr|A7MB20|A7MB20_BOVIN LOC100124429 protein OS=Bos taurus OX=9913 GN=LOC100124429 PE=2 SV=1
+MAHDLVMFRDVTVDFSQEEWECLNSNQRNLYRDVILENYSNLVSLGGCSISKPDVITLLE
+QGKEPWMVVRDEKRRWRLDLESRYDTKKLFQVKDICEMSFSQWEIMERIRSCGLEDSFFR
+KDCEYKKFERQEGPHEAYFRQVKKTTSEKMPKNRKRTSLTLYPRIHSREKPYECGDCGKA
+FRVRQQLTFHQRIHTGEKPYECKECGKAFRQCAHLSRHQRIHASDKLLECKRCGKIFTCG
+ADLRVHQRIHVGEKPYDCKECGKAFRVRGQLNLHQRIHTGEKPYECKECGKTFRQYAHLT
+RHQRLNIAEKCYECKECGQAFLCSTGLRVHHKLHTGEKPYDCKECGKAFRVRQQLTLHQR
+IHTGEKPYDCKECGKTFSRAYHLTLHQRIHTGEKPYECKECQKFFRRYSELISHQGIHIG
+EKPYDCKECGKAFRLFSQLTQHQSIHFGEKPYKCKECEKNFRLLSQLTQHQSIHTGEKPY
+DCKECGKAFRLHSSLIQHQRIHSGEKPYKCKECKKAFRQHSHLTYHQRVHNVTK
+>tr|B2BBK0|B2BBK0_BOVIN TYRO protein tyrosine kinase-binding protein OS=Bos taurus OX=9913 GN=TYROBP PE=4 SV=1
+MEGLRPSDRLLSLLLTVGGLSLVLAQSECNCSSVSPGVLAGIVLGDLMLTLLIALAVYYL
+GRLVPRGRGATEAVTRKQHIPETESPYQELQGQRTDVYSDLNTQRPYYK
+>tr|E1BBU3|E1BBU3_BOVIN Doublecortin OS=Bos taurus OX=9913 GN=DCX PE=4 SV=3
+MELDFGHFDERDKTSRNMRGSRMNGLPSPTHSAHCSFYRTRTLQALSNEKKAKKVRFYRN
+GDRYFKGIVYAVSSDRFRSFDALLADLTRSLSDNINLPQGVRYIYTIDGSRKIGSMDELE
+EGESYVCSSDNFFKKVEYTKNVNPNWSVNVKTSANMKAPQSLASSNSAQARENKDFVRPK
+LVTIIRSGVKPRKAVRVLLNKKTAHSFEQVLTDITEAIKLETGVVKKLYTLDGKQVTCLH
+DFFGDDDVFIACGPEKFRYAQDDFSLDENECRVMKGNPSATAGPKASPTPQKSSAKSPGP
+TRRSKSPADSANGTSSSQLSTPKSKQSPISTPTSPGSLRKHKDLYLPLSLDDSDSLGDSM
+>tr|G0YQL2|G0YQL2_BOVIN SLAM family member 7 OS=Bos taurus OX=9913 GN=SLAMF7 PE=2 SV=1
+MLGAPACFIFLLCQLTGPAASGIPKKLVGAIAKNSRQKSPCHSDPKA
+>tr|A5PJK9|A5PJK9_BOVIN ZFP3 protein OS=Bos taurus OX=9913 GN=ZFP3 PE=2 SV=1
+MGTENKEVIPKEEISEESQPHGAFLEKMEEKLPKVVCQGHEFGAVCEEDILEGHSRESTE
+KILGQESSEERDFASELIIFKKSPSSEKDQEKDESERICRLSSNLLTRQGDTTLEAVSTF
+ATSGQNFIENLGPNKTHRSSVGEKPHTCKECGKAFNQNSHLIQHMRVHSGEKPFECKECG
+KTFGTNSSLRRHLRIHAGEKPFACNECGKAFIQSSHLIHHHRIHTGERPYKCEECGKAFS
+QNSALILHQRIHTGEKPYECNECGKTFRVSSQLIQHQRIHTEERYHECNECGKAFKHSSG
+LIRHQKIHTGEKPYLCNECGKGFGQSSELIRHQRIHTGDKPYECNECGKTFGQNSEIIRH
+IRIHTGEKPYVCKECGKAFRGNSELLRHERIHTGEKPYECFECGKAFRRTSHLIVHQRIH
+TGEKPHQCNECARTFWDNSELLLHQKIHIGEKPYECNECEKTFSQHSQLIIHQRIHTGEK
+PYECQECQKTFSRSSHLLRHQSVHCME
+>tr|Q309F3|Q309F3_BOVIN 15-hydroxyprostaglandin dehydrogenase [NAD(+)] OS=Bos taurus OX=9913 GN=HPGD PE=2 SV=1
+MHVNGKVALVTGAAQGIGRAFAEALLLKGAKVALVDWNLEAGVKCKAALDEQFEPQKTLF
+IQCDVADQEQLRDTFRKVVDHFGKLDILVNNAGVNNEKNWEKTLQINLVSVISGTYLGLD
+YMSKQNGGEGGIIINMSSLAGLMPVAQQPVYCASKHGIVGFTRSAAMAANLMNSGVRLNA
+ICPGFVDTPILKSIEKEENMGKYIEYMGPIKDMMKYYGILDPSMIANGLITLIEDDALNG
+AIMKITTSKGIHFQDYDTTPFHMKMQ
+>tr|A0A3Q1LWF5|A0A3Q1LWF5_BOVIN Syntaxin binding protein 5 like OS=Bos taurus OX=9913 GN=STXBP5L PE=4 SV=1
+MKKFNFRKVLDGLTASSPGSGSSSGSNSGGGAGSGSTHPAGTAGILREEIQETLTSDYFQ
+ICKTVRHGFPYQPTTLAFDPVQKILAIGTRTGAIRILGRPGVDCYCQHESGAAVLQLQFL
+INEGALVSASSDDTLHLWNLRQKRPAILHSLKFNRERITYCHLPFQSKWLYVGTERGNTH
+IVNIESFILSGYVIMWNKAIELSTKTHPGPVVHLSDSPRDEGKLLIGYENGTVVFWDLKS
+KRAELRVYYDEAIHSIDWHHEGKQFMCSHSDGSLTLWNLKSPSRPFQTTVPHGKSQREGR
+KPESCKPILKVEYKTCRNSEPFIIFSGGLSYDKACRRPSLTIMHGKAITVLEMDHPIVEF
+LTLCETPYPNEFQEPYAVAVLLEKDLIVVDLTQSNFPIFENPYPMDIHESPVTCTAYFAD
+CPPDLILVLYSTGVKHKKQGYSNKEWPISGGAWNLGTQTYPEIIITGHADGSIKFWDASA
+ITLQMLYKLKTSKVFEKQKVGEGKQTCEIVEEDPYAIQMIYWCPESRIFCVSGVSAYVII
+YKFSRHEITTEIVSLEVRLQYDIEDIITPEPETSPPFPDLSSQLPSRSLSGSTNTVASEG
+VTKDSIPCLNVKTRPVRMPPGYQAELVIQLVWVDGEPPQQITSLAVSSAYGIVAFGNCNG
+LAVVDFIQKTVLLSMGTIDLYRSSDIYQRQPRSPRKNKQFIADNFCMRGLSNFYPDLTKR
+IRTSYQSLTELNDSPVPQELERCKSPTSGLATRTPEAAPGFYRNEIPAMRQRNQVHHLPV
+KGSRKLSLPTDLKADLDHVNGHCTSPTSQSCSSGKRLSSADVSKINRWGPGRPPFRKAQS
+AACMEISLPVTTEESRENSYNRSRSSSISSIDKDSKEAITALYFMESFARKNDSTISPCL
+FVGTSLGMVLIISLNLPSADEQRFTEPVMVLPSGTFLSLKGAVLTFSCMDRTGGLMQPPY
+EVWRDPNNIDENEKSWRRKLVMNCPSPSQEIGDHQYTIICSEKQAKVFSLPSQTCLYVHN
+ITETSFILQADVVVMCNSACLACFCANGHIMIMSLPSLRPMLDVNYLPLTDMRIARTFCF
+TNEGQALYLVSPTEIQRLTYSQEMCDNLQDMLGDLFTPIETPEAQNRGFLKGLFGGSGQT
+FDREELFGEATAGKASRSLAQHIPGPGGIEGMKGAAGGVMGELTRARIALDERGQRLGEL
+EEKTAGMMTSAEAFSKHAHEVSCLCKYRHLHPVITLDI
+>tr|Q56JY2|Q56JY2_BOVIN Eukaryotic peptide chain release factor subunit 1 OS=Bos taurus OX=9913 GN=ETF1 PE=2 SV=1
+MVVVHFLAHSKEIQEKSCTNSLWISQRNMVEEVSQPCVLPV
+>tr|F1MCE2|F1MCE2_BOVIN Tubulin folding cofactor E like OS=Bos taurus OX=9913 GN=TBCEL PE=4 SV=1
+MDQPSGRSFMQVLCEKYSPENFPYRRGPGMGVHVPATPQGSPMKDRLNLPSVLVLNSCGI
+TCAGDEKEIAAFCAHVSELDLSDNKLEDWHEVSKIVSNVPQLEFLNLSSNPLNLSVLERT
+CAGSFSGVRKLVLNNSKASWETVHTILQELPDLEELFLCLNDYETVSCPSICCHSLKLLH
+ITDNNLQDWTEIRKLGVMFPSLDTLVLANNHLNAIEEPDDSLARLFPNLRSISLHKSGLQ
+SWEDIDKLNSFPKLEEVRLLGIPLLQPYTTEERRKLVIARLPSVSKLNGSVVTDGEREDS
+ERFFIRYYVDVPQEEVPFRYHELITKYGKLEPLAEVDLRPQSSAKVEVHFNDQVEEMSIR
+LDQTVAELKKQLKTLVQLPTSNMLLYYLDHEAPFGPEEMKYSSRALHSFGIRDGDKIFVE
+SKTK
+>tr|A7E2Z5|A7E2Z5_BOVIN TTLL11 protein OS=Bos taurus OX=9913 GN=TTLL11 PE=2 SV=1
+MVKDGDPSWKPTFIVKPDSGCQGDGIYLIKDPSDIRLSGTLQSRPAVVQEYICKPLLIDK
+LKFDIRLYVLLKSLEPLEIYIAKDGLSRFCTEPYQEPNPKNLHHIFMHLTNYSLNVHSGN
+FVHSDSTSTGSKRTFSSILYRLSSKGVDIKKIWSDIISLVIKTVIALTPELKVFYQSDIP
+AGRPGPTCFQILGFDILLMKNLKPILLEVNANPSMRIEHEQELSPGVFENVPSLVDEEVK
+VAVIRDTLRLMDPLKKKRESQSQLLGKSLASKENPPHSDLASASQGNANPDAHLPSICLK
+QVFPKYAKQFNYLRLVDRMANLFIRFLGIKGTMKLGPTGFRTFIRSVRPAPSGWGSGSLE
+TSLTSGWPLLPDCPWENL
+>tr|E1BPB7|E1BPB7_BOVIN Kelch like family member 8 OS=Bos taurus OX=9913 GN=KLHL8 PE=4 SV=1
+MASEAVNAKQARNRFTKGKRQQQIKNRSSLGDGDGEDSFLFEANEAWKDFHGSLLQFYEN
+GELCDVTLKVGSKLISCHKLALACVIPYFKAMFLSEMAEAKQTLIEIRDFDGDAIEDLVK
+FVYSSRLTLTVDNVQPLLYAACILQVELVARACCEYMKLHFHPSNCLAVRAFAESHNRVD
+LMDMADQYACEHFTEVVECEDFVSVSPQHLHKLLSSSDLNIENEKQVYSAAIKWLLANPQ
+HHPLWLDETLAQVRLPLLPVDFLMGVVAKEQIVKQNLKCRDLLDEARNYHLHLSSRAVPD
+FEYSIRTTPRKHTAGVLFCVGGRGGSGDPFRSIECYSINKNSWFFGPEMNSRRRHVGVIS
+VEGKVYAVGGHDGNEHLGSMEMFDPLTNKWMMKASMNTKRRGIALASLGGPIYAIGGLDD
+NTCFNDVERYDIESDQWSTVAPMNTPRGGVGSVALVNHVYAVGGNDGVASLSSVERYDPH
+LDKWIEVKEMGQRRAGNGVSELHGCLYVVGGFDDNSPLSSVERYDPRSNKWDYVAALTTP
+RGGVGIATVMGKIFAVGGHNGNAYLNTVEAFDPVLNRWELVGSVSHCRAGAGVAVCACLT
+SQIRDVGHGSSNVVDCM
+>tr|A7Z025|A7Z025_BOVIN PRPF8 protein OS=Bos taurus OX=9913 GN=PRPF8 PE=2 SV=1
+MAGVFPYRGPGNPVPGPLAPLPDYMSEEKLQEKARKWQQLQAKRYAEKRKFGFVDAQKED
+MPPEHVRKIIRDHGDMTNRKFRHDKRVYLGALKYMPHAVLKLLENMPMPWEQIRDVPVLY
+HITGAISFVNEIPWVIEPVYISQWGSMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYAD
+NILDVEPLEAIQLELDPEEDAPVLDWFYDHQPLRDSRKYVNGSTYQRWQFTLPMMSTLYR
+LANQLLTDLVDDNYFYLFDLKAFFTSKALNMAIPGGPKFEPLVRDINLQDEDWNEFNDIN
+KIIIRQPIRTEYKIAFPYLYNNLPHHVHLTWYHTPNVVFIKTEDPDLPAFYFDPLINPIS
+HRHSVKSQEPLPDDDEEFELPEFVEPFLKDTPLYTDNTANGIALLWAPRPFNLRSGRTRR
+ALDIPLVKNWYREHCPAGQPVKVRVSYQKLLKYYVLNALKHRPPKAQKKRYLFRSFKATK
+FFQSTKLDWVEVGLQVCRQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRF
+GNAFHLCREVLRLTKLVVDSHVQYRLGNVDAFQLADGLQYIFAHVGQLTGMYRYKYKLMR
+QIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAAGWRVWLFFMRGITPLLERWLGNLLARQF
+EGRHSKGVAKTVTKQRVESHFDLELRAAVMHDILDMMPEGIKQNKARTILQHLSEAWRCW
+KANIPWKVPGLPTPIENMILRYVKAKADWWTNTAHYNRERIRRGATVDKTVCKKNLGRLT
+RLYLKAEQERQHNYLKDGPYITAEEAVAVYTTTVHWLESRRFSPIPFPPLSYKHDTKLLI
+LALERLKEAYSVKSRLNQSQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMD
+LYSHLVPVYDVEPLEKITDAYLDQYLWYEADKRRLFPPWIKPADTEPPPLLVYKWCQGIN
+NLQDVWETSEGECNVMLESRFEKMYEKIDLTLLNRLLRLIVDHNIADYMTAKNNVVINYK
+DMNHTNSYGIIRGLQFASFIVQYYGLVMDLLVLGLHRASEMAGPPQMPNDFLSFQDIATE
+AAHPIRLFCRYIDRIHIFFRFTADEARDLIQRYLTEHPDPNNENIVGYNNKKCWPRDARM
+RLMKHDVNLGRAVFWDIKNRLPRSVTTVQWENSFVSVYSKDNPNLLFNMCGFECRILPKC
+RTSYEEFTHKDGVWNLQNEVTKERTAQCFLRVDDESMQRFHNRVRQILMASGSTTFTKIV
+NKWNTALIGLMTYFREAVVNTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVVFYTPK
+ELGGLGMLSMGHVLIPQSDLRWSKQTDVGITHFRSGMSHEEDQLIPNLYRYIQPWESEFI
+DSQRVWAEYALKRQEAIAQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRT
+DFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDMIQALGGVEGILEHTLFKGTYFPTWEG
+LFWEKASGFEESMKWKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGI
+FMHGKIPTLKISLIQIFRAHLWQKIHESIVMDLCQVFDQELDALEIETVQKETIHPRKSY
+KMNSSCADILLFASYKWNVSRPSLLADSKDVMDSTTTQKYWIDIQLRWGDYDSHDIERYA
+RAKFLDYTTDNMSIYPSPTGVLIAIDLAYNLHSAYGNWFPGSKPLIQQAMAKIMKANPAL
+YVLRERIRKGLQLYSSEPTEPYLSSQNYGELFSNQIIWFVDDTNVYRVTIHKTFEGNLTT
+KPINGAIFIFNPRTGQLFLKIIHTSVWAGQKRLGQLAKWKTAEEVAALIRSLPVEEQPKQ
+IIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKVEKFGDLILKATEPQMVLFNLY
+DDWLKTISSYTAFSRLILILRALHVNNDRAKVILKPDKTTITEPHHIWPTLTDEEWIKVE
+VQLKDLILADYGKKNNVNVASLTQSEIRDIILGMEISAPSQQRQQIAEIEKQTKEQSQLT
+ATQTRTVNKHGDEIITSTTSNYETQTFSSKTEWRVRAISAANLHLRTNHIYVSSDDIKET
+GYTYILPKNVLKKFICISDLRAQIAGYLYGVSPPDNPQVKEIRCIVMVPQWGTHQTVHLP
+GQLPQHEYLKEMEPLGWIHTQPNESPQLSPQDVTTHAKIMADNPSWDGEKTIIITCSFTP
+GSCTLTAYKLTPSGYEWGRQNTDKGNNPKGYLPSHYERVQMLLSDRFLGFFMVPAQSSWN
+YNFMGVRHDPNMKYELQLANPKEFYHEVHRPSHFLNFALLQEGEVYSADREDLYA
+>tr|A4FV40|A4FV40_BOVIN Translation initiation factor eIF-2B subunit gamma OS=Bos taurus OX=9913 GN=EIF2B3 PE=2 SV=1
+MEFQAVVMAVGGGSRMTDLTSSIPKPLLPVGNKPLIWYPLNLLERVGFEEVIVITTKDVQ
+KALCADFNKMKMKLDIVCIPDEADMGTADSLRHIYQKLKTDVLVLSCDLITDVALHEVVD
+LFRAHDASLAMLMRKGQESLEPVPGQKGKKKAVEQRDFVGVDSTGKRLLFMANEADLDEE
+LIIKGSILQKHPRIRFHTGLVDAHLYCLKKYVVDFLMENKSITSIRSELIPYLVRKQFSS
+ASSQQGQEEKEEDLKKKELKSLDIYSFIKEANTLTLAPYDTCWNTCRGDSWEGLSRSQVR
+CYVHIMKEGLCSRVSTLGLYMEANRQVSKLLPVICPEESLIHSSAQIVSKHMVGADSLIG
+PDTQVGEKSSIKHSVIGSSCVIRDRVTVTNCLLMNSVTVEEGSNIQSSIICNDAVIEKGA
+DIKNCLIGSGQRIEAKAKRVNVIVGNDQFLEI
+>tr|A0A3Q1LV44|A0A3Q1LV44_BOVIN Aldehyde dehydrogenase OS=Bos taurus OX=9913 GN=ALDH3A2 PE=1 SV=1
+MEREVQRVRAAFGSGRSRPLTFRRRQLEALRVMVQEREKDILAAIGADLSKSEFNAYSQE
+VITVLGEIDLMLEKLPEWAAAKPAQRNLLTMLDEAYIQPEPLGVVLIIGAWNYPFALTIQ
+PLIGAIAAGNAVIIKPSEVSENTAKLLAKLLPQYLDQDLYAVVTGGVEETTELLKQRFDH
+ILYTGNTTVGKIVMQAAAKHLTPVTLELGGKSPCYVDRDCDLDVACRRIAWGKFMNCGQT
+CIAPDYVLCEPSLQDLIVQKVQEAVKGFYGENVKESPDYERIVNLRHFKRIQSLLEGQKI
+AFGGEMDEATRYIAPTILTDVDPGTKVMQEEIFGPILPIVPVKNADEAIQFINEREKPLA
+FYVFSHNSKLIKRMIDGTSSGGVTGNDVIMHFTLSSLPFGGVGSSGMGAYHGKHSFDTFS
+HQRPCLLKTLKREGMNQLRYPPNSQSKVDWAKFFMLKRFNKERLCLLLLTLLGVLAAVLI
+KRYQAVLRTKALLIFLAVHRRAIP
+>tr|E1BA03|E1BA03_BOVIN Non-specific serine/threonine protein kinase OS=Bos taurus OX=9913 GN=PAK6 PE=4 SV=1
+MFRKKKKKRPEISAPQNFQHRVHTSFDPKEGKFVGLPPQWQNILDTLRRPKPVVDPSRIT
+RVQLQPMKTVVRGSSVPTDGYISGLLNDIQKLSVISSNTLRGRSPTSRRRAQSLGLLGDE
+QWAADPDMYLQSPQSERSDPHGLYLSCNGGAPAGRRQVPWPELQSPRVLPNGLAAKAQSL
+GPAEFQGATQRCLQLGTCLQSSPTGTSPPTATGRRGTKTARHGPEEARPQSCLVGSAAGR
+PGGEGSPSPKTQESSLKRRLFRSMFLSAPATAPPSSSKPGPPAQSKPSSSFRPALKGGPS
+SLVAKAQSLPSDQPVGPFSPLTASDTSSPQKSLRAAPAAGAPPGRSSPAGSPRTRHAQIS
+TSNLYLPQDPAVAKGALAGEDTGVVTHEQFKAALRMVVDQGDPRLLLDSYLKIGEGSTGI
+VCLAREKHSGRQVAVKMMDLRKQQRRELLFNEVVIMRDYQHLNVVEMYKSYLVGEELWVL
+MEFLQGGALTDIVSQVRLNEEQIATVCEAVLQALAYLHAQGVIHRDIKSDSILLTLDGRV
+KLSDFGFCAQISKDVPKRKSLVGTPYWMAPEVISRSLYATEVDIWSLGIMVIEMVDGEPP
+YFSDSPVQAMKRLRDSPPPKLKNSYKVSPVLRDFLDRMLVRDPQERATAQELLDHPFLLQ
+TGLPECLVPLIQLYRKQTSTC
+>tr|Q2TBR3|Q2TBR3_BOVIN SET nuclear oncogene OS=Bos taurus OX=9913 GN=SET PE=2 SV=1
+MSAPAAKVSKKELNSNHDGADETSEKEQQEAIEHIDEVQNEIDRLNEQASEEILKVEQKY
+NKLRQPFFQKRSELIAKIPNFWVTTFVNHPQVSALLGEEDEEALHYLTRVEVTEFEDIKS
+GYRIDFYFDENPYFENKILSKEFHLNESGDPSSKSTEIKWKSGKDLTKRSSQTQNKASRK
+RQHEEPESFFTWFTDHSDAGADELGEVIKDDIWPNPLQYYLVPDMDDEEGEGEEDDDDDE
+EEEGLEDIDEEGDEDEGEEDEDDDEGEEGEEDEGEDD
+>tr|Q3ZBI4|Q3ZBI4_BOVIN Zinc finger and SCAN domain containing 16 OS=Bos taurus OX=9913 GN=ZSCAN16 PE=2 SV=1
+MTTFLELEEQKRLLIVKAEDHYRGQDSISQKSSPHRRELFRQHFRKLCYRDAPGPREALI
+QLWDLCRQWLRPECHTKEQILDLLVLEQFLSILPRDLQAWVQAHHPETGEEAVIVLEDLE
+RELDEPRKQVPGNSERQDTLLDKLAPLRRPHESLTIQLHPKKTCQEQKSGEAQRNGDETK
+TNTEELSQKEDKSKDMEFFGKINDRLNKDILQYPESKDAFESEGIFKWQQRKRRCYKCDD
+CGKSFSHSSDLSKHRRTHTGEKPYKCDECGKSFIQRSHLIGHHRVHTGVKPYKCKECGKD
+FSGRTGLIQHQRIHTGEKPYECDECGRPFRVSSALIRHQRIHTANKLY
+>tr|Q08DD4|Q08DD4_BOVIN RARG protein OS=Bos taurus OX=9913 GN=RARG PE=2 SV=2
+MATNKERLFVPGALGPGSGYPGAGFPFAFPGALRGSPPFEMLSPSFRGLGQPDLPKEMAS
+LSVETQSTSSEEMVPSSPSPPPPPRVYKPCFVCNDKSSGYHYGVSSCEGCKGFFRRSIQK
+NMVYTCHRDKNCIINKVTRNRCQYCRLQKCFEVGMSKEAVRNDRNKKKKEVKEEGSLDSY
+ELSPQLEELITKVSKAHQETFPSLCQLGKYTTNSSADHRVQLDLGLWDKFSELATKCIIK
+IVEFAKRLPGFTGLSIADQITLLKAACLDILMLRICTRYTPEQDTMTFSDGLTLNRTQMH
+NAGFGPLTDLVFAFAGQLLPLEMDDTETGLLSAICLICGDRMDLEEPEKVDKLQEPLLEA
+LRLYARRRRPSQPYMFPRMLMKITDLRGISTKGAERAITLKMEIPGPMPPLIREMLENPE
+MFEDDSSQPGPHPKASSEDEVPGGQGRGGRSPHPDQGL
+>tr|F1MX69|F1MX69_BOVIN Phosphoglycerate mutase OS=Bos taurus OX=9913 GN=BPGM PE=3 SV=2
+MSKYKLIMLRHGEGAWNKENRFCSWVDQKLNSDGLQEARNCGKQLKALNFEFDLVFTSIL
+NRSIHTAWLILEELGQEWVPVESSWRLNERHYGALISLNREQMALNHGEEQVRLWRRSYN
+VTPPPIEESHPYYHEIYNDRKYKVCDVPLDQLPRSESLKDVLERLLPYWNERIAPEVLRG
+KTVLISAHGNSCRALLKYLEGISDEEIINITLPTGVPILLELDENLRTVGPHQFLGDQEA
+IQAAIKKVDDQGKVKRADK
+>tr|G3N2I6|G3N2I6_BOVIN Mitochondrial transcription termination factor 1 OS=Bos taurus OX=9913 GN=MTERF1 PE=4 SV=2
+MSFPKGLGHLTIMVPRKLLYVRSNYLFGSRCWMIRFSTEILFKSVSFRPFSKKCDNADSE
+PLENEELLNNLLTMGVDVDMARKRQPGVFNRTDTNEQDLQTFLLSKGASKEVIASIISRY
+PRAMTRTPESLSNRWDLWRRIVTSDLEIVNILERSPESFFRSSDNLNLENNIKFLYSVGL
+THKDLCRLLTNAPRTFSNSLNLNKQMVEFLQEICLSLGHNGPTDFVRKIIFKNPFILIQS
+TKRIKANIEFLQSTFCLTNEELLSLICGPGAKILDLSSDCMRRSYRNIKDKLSSLGCTAK
+EIRKFVLSYPDMIFLGEKKFNDKIDCLIEEKVNISQIIKHPRILDSSISTLKSRIKELVN
+VGYDLSTSNISLLSWSQKRYNAKLKKLCIEQNIVLEN
+>tr|A5D7E1|A5D7E1_BOVIN EH domain containing 3 OS=Bos taurus OX=9913 GN=EHD3 PE=2 SV=1
+MFSWLRSDDRRRKDPEVFQTVSDGLKKLYRTKLLPLEEHYRFHEFHSPALEDADFDNKPM
+VLLVGQYSTGKTTFIRYLLEQDFPGMRIGPEPTTDSFIAVMQGEMEGIIPGNALVVDPKK
+PFRKLNAFGNAFLNRFVCAQLPNPVLESISVIDTPGILSGEKQRISRGYDFAAVLEWFAE
+RVDRIILLFDAHKLDISDEFSEVIKALKNHEDKMRVVLNKADQIETQQLMRVYGALMWSL
+GKIVNTPEVIRVYIGSFWSHPLLIPDNRKLFEAEEQDLFRDIQSLPRNAALRKLNDLIKR
+ARLAKVHAYIISSLKKEMPSMFGKDNKKKELVNNLAEIYGQIEREHQISPGDFPALKRMQ
+DQLQAQDFSKFQPLKSKLLEVVDDMLAHDIAQLMVLVRQEETQRPAQIVKGGAFEGTLHG
+PFGHGYGEGAGEGIDDAEWVVARDKPMYDEIFYTLSPVDGKITGANAKKEMVRSKLPNSV
+LGKIWKLADIDKDGMLDDEEFALANHLIKVKLEGHELPNELPAHLVPPSKRKATE
+>tr|Q3ZCA8|Q3ZCA8_BOVIN Tumor protein D52 OS=Bos taurus OX=9913 GN=TPD52 PE=2 SV=1
+MDGREMDFYEDYESPFDFNTGVNKNYLYLSPSGNTSPPGSPTLQKFGLLRTDPVPEEGED
+VAATIGATETLSEEEREELRRELAKVEEEIQTLSQVLAAKEKHLAEIKRKLGINSLQELK
+QNIAKGWQDVTATSAYKKTSETLSQAGQKASAAFSSVGSVITKKLEDVKNSPTFKSFEEK
+VENLKSKVGGNKPAGGDFGEVLNSTASASATTTEPLPEQTQEGL
+>tr|B8YB76|B8YB76_BOVIN Homogentisate 1,2-dioxygenase OS=Bos taurus OX=9913 GN=HGD PE=1 SV=1
+MTELKYISGFGNECASEDPRCPGALPEGQNNPQVCPYNLYAEQLSGSAFTCPRSTNKRSW
+LYRILPSVSHKPFEFIDQGHITHNWDEVDPDPNQLRWKPFEIPKASQKKVDFVNGLHTLC
+GAGDIRSNNGLAIHIFLCNTSMGNRCFYNSDGDLLIVPQKGKLLIYTEFGKMLVQPNEIC
+VIQRGMRFSIDVFEETRGYILEVYGVHFELPDLGPIGANGLANPRDFLIPVAWYEDRQVP
+GGYTVINKYQGKLFAAKQDVSPFNVVAWHGNYTPYKYNLENFMVINSVAFDHADPSIFTV
+LTAKSVRPGVAIADFVIFPPRWGVADKTFRPPYYHRNCMSEFMGLIKGHYEAKQGGFLPG
+GGSLHSPMTPHGPDANCFEKASKAKLAPERIADGTMAFMFESSLNIAVTKWGLKTSNCLD
+ENYYKCWEPLKSRFTPNSRNPAETN
+>tr|F1MUH9|F1MUH9_BOVIN CTTNBP2 N-terminal like OS=Bos taurus OX=9913 GN=CTTNBP2NL PE=4 SV=1
+MNLEKLSKPELLTLFSILEGELEARDLVIEALKAQHRDTFIEERYGKYNISDPLMALQRD
+FETLKEKNDGEKQPVCTNPLSILKVVMKQCKNMQERMLSQLAAAESRHRKVILDLEEERQ
+RHAQDTAEGDDVTYMLEKERERLTQQLEFEKSQVKKFEKEQKKLSSQLEEERSRHKQLSS
+MLVRECKKATSKAAEEGQKAGELSLKLEKEKSRVSKLEEELAAERKRGLQTEAQVEKQLS
+EFDIEREQLRAKLNREENRTRTLKEEMESLKKVVKNLEALHQQSGPSEQVKKPVTVSKGT
+VTEPPTLVSAFCQTESFQAEKTHGSSTARVTTSELPGPTTPTYSYAKTNGHFDPEMQTTK
+ELIAGSNVENQVPPREKPVELTQEKAVENGGCPVGIETPGPAPSHLPSSGSSPSPSSTAS
+SSLTSSPCSSPVLTKRLLGSSASSPGYQSSYQVGINQRFHAARHKFQSQADQDQQASGLQ
+SPPSRDLSPTLIDNSAAKQLARNTVTQVLSRFTNQQGPIKPVSPNSSPFGTDYRNLASTV
+NARGDTNHSPTPGKGSSPLSPLSPGIKSPTIPRAERGNPPPIPPKKPGLTPSPSTTTPLT
+KTHSQASSLTTAEDLGSSCSSNAVVANGKDVEILLPTSS
+>tr|A6QNW5|A6QNW5_BOVIN RALGDS protein OS=Bos taurus OX=9913 GN=RALGDS PE=2 SV=1
+MMVDCQSSTQEIGEELVNGVIYSISLRKIQVHHGASKGQRWLGYENESALNLYETCKVRT
+VKAGTLEKLVEHLVPAFQGSDLSYVTIFLCTYRAFTTTQQVLDLLFKRYGCILPYSSEDG
+GPQDQLKNAISSILGTWLDQYSEDFCQPPDFPCLRQLVAYVQLNMPGSDLERRAHLLLAQ
+LEHADLSEAEPEAPVPALKPAAEPEPTLGPDLEPAPALAPEPALMPAPTLPSELEPALSQ
+TLELEPPAAPDPPWPLPVATENGLGEEKPHLLAFPPDLVAEQFTLMDAELFKKVVPYHCL
+GSIWSQRDKKGKEHLAPTVRATVAQFNSVANCVITTCLGDRSVSARHRARVVEHWIEVAR
+ECRVLKNFSSLYAILSALQSNSIHRLKKTWEEVSRDSLRVFQKLSEIFSDENNYSLSREL
+LIKEGTSKFATLEMNPKRAQRRPKEAGVIQGTVPYLGTFLTDLVMLDTAMKDYLYGRLIN
+FEKRRKEFEVIAQIKLLQSACNNYSITPEEHFGAWFRAMERLSEAESYTLSCELEPPSES
+ASNTLKVKKNTAIVKRWSDRQAPSTELSTSGSCHSKSCDQLRYGPYLSSGDIADALSVHS
+AGSSSSDVEEINMSFVPESPDGQEKKFWESASQSSPETSGISSASSSTSSSSASTTPVAS
+TRTHKRSVSGVCSYGSSLPLYNQQVGDSCIIRVSLDVDNGNMYKSILVTSQDKAPAVIRK
+AMDKHNLDEDEPEDYELVQVISDDRKLKIPDNANVFYAMNSTANYDFVLKKRTFTKGTKV
+RHGASSTLPRMKQKGLKIAKGIF
+>tr|A6QPH6|A6QPH6_BOVIN AMICA1 protein OS=Bos taurus OX=9913 GN=JAML PE=2 SV=1
+MFYPLKVILIPVLLGYSLGLDDLSISAVELTVHVGDSALLGCVSQSTGEKHVTKVDWMFS
+SGKHIEDEYVLFYYSNISVPVGHFQNRVRLVGDILHHDGSLLLQNVEETDQGNFTCEIRF
+QMESLVFKKVVVLHVLPEEPKELMVHVGDSTQMRCVFHSTEEKHMSRADWMFSSGEPAKE
+EIVLRYQRKLRTPMGYSQNWGRFLNRVNLVGDTSRNDVSIMLHGVKESDGGNYTCHIYLG
+NLTFRKTTVLQVILKESRTLVTPVALRPEILGGNQLVIIVGIVCATILLLPVLILIVKMA
+YGNKSSVTSTTLVKSLENTEKACAEKHIYSSITTQEVNDEEESSGKSEATYMTMNPVWPS
+LRSAPISPPDQRSTWGMAKTEQAF
+>tr|E1BBM5|E1BBM5_BOVIN Ring finger protein 167 OS=Bos taurus OX=9913 GN=RNF167 PE=4 SV=3
+MHPAAFPLPVVVVTVLWGAAPSRGLIRAISDHNASMDFADLPALFGAALSQEGLQGFLVE
+AHPDNACSPIAPPPPAPVNGSVFIALLRRFDCNFDLKVLNAQKAGYGAAVVHNVNSNELL
+NMVWNSEEIQQQIWIPSVFIGERSSEYLRALFVYEKGARVLLVPDNSFPLGYYLIPFTGI
+VGLLVLAMGAVMIVRCIQHRKRLQRNRLTKEQLKQIPTHDYQKGDQYDVCAICLDEYEDG
+DKLRVLPCAHAYHSRCVDPWLTQTRKTCPICKQPVHRGPGDDEQEEESQGQEGDEEGEPR
+DQPASERTPLLGSSPTPPSSFGSIAPAPLVFPGSSTDPSPSTSSAAILV
+>tr|A4FUE6|A4FUE6_BOVIN MOBKL3 protein OS=Bos taurus OX=9913 GN=MOBKL3 PE=2 SV=1
+MVMAEGTAVLRRNRPGTKAQDFYNWPDESFDEMDSTLAVQQYIQQNIRADCSNIDKILEP
+PEGQDEGVWKYEHLRQFCLELNGLAVKLQSECHPDTCTQMTATEQWIFLCAAHKTPKECP
+AIDYTRHTLDGAACLLNSNKYFPSRVSIKESSVAKLGSVCRRIYRIFSHAYFHHRQIFDE
+YENETFLCHRFTKFVMKYNLMSKDNLIVPILEEEVQNSVSGESEA
+>tr|Q0VC04|Q0VC04_BOVIN Carcinoembryonic antigen-related cell adhesion molecule 19 OS=Bos taurus OX=9913 GN=CEACAM19 PE=2 SV=1
+MEIPAGSQHAFPKSLLLSASILALWVPQGSWAALRIQKIPEQPQMNQDLLLSVQGIPNTF
+QDFSWYLGEEANGGTMLFTYIPKLLRPQRDGSAMHQRDIVGFSNGSMLLRHAQPSDSGTY
+QVAVTINPSWTMRAKTEVQVVEKPKELPVTNPPVSAGIVAAIVIGSLATGCMSVGIIAYL
+LVTRGWRAQNHRITATEKPELGPSHRAGDDSIYEAIPSPVLLVSPCDTGPMNTATPPDLP
+LPPPPPPPPPPPVPQPENHPYQDLLNPDPAPYCQLVPTP
+>tr|Q58DH6|Q58DH6_BOVIN Peroxisomal N(1)-acetyl-spermine/spermidine oxidase OS=Bos taurus OX=9913 GN=PAOX PE=2 SV=1
+MPLFSRWQMLLGRRLSPSDLTPRHRGPRALEVKALARASEAEKRLLLRLLAPFPRLLRTR
+TRPEQASRPPALSLGGDGRLQRRHRWIIHRPLRAGPRTSERPSATFPRGGVVEVGAHWIH
+GPSQGNPVFQLAAKYGLLGEKALSEENQLIETGGHVGLPSVSYASSGVSVSLELVAEMAS
+LFYSLIDQTREFLQAAETTPPSVGEYLKEKIRQHMAGWTEDEETKKLKLAILKNLFNVEC
+CVSGTHSMDLVALAPFGEYTVLPGLDCTFPEATKDSQTASWPPCPRT
+>tr|F1MY71|F1MY71_BOVIN Leucine zipper putative tumor suppressor 2 OS=Bos taurus OX=9913 GN=LZTS2 PE=3 SV=1
+MAIVQTLPVPLEPAPEAATAQQAPAMGSVSSLISGRPCPGGPAPPRHHGPPGPTFFRQQD
+GLLRGGYEAQEPLCPAVPPRKAVPGTNFTYINEDFRTESPPSPSSDLEDAREQRARNAHL
+RGPPPKLIPVSGKLEKNMEKIVIRPTAFKPVLPKPRGVPSLPSFLGPRATGPSGSQGSLT
+QLFGGPASSSSSSSSSAADKPLILSGWASGCPSGTLSDSGRNSLSSLPTYSTGGAEPATN
+SPGGHLPSHGPGRGALPGPARGAPTGPSHSDSGRSSSSKSTGSLGGRLAGGLLGSGPRAS
+PDSSSCGERSPPPPPPPPPPSDEALLHCVLEGKLRDREAELQQLRDSLDESEVTMCQVYE
+ERQRHWPREREALREDGVARAQRAQQLLQLQVFQLQQEKRQLQDDFAQLLQEREQLERRC
+AAFEREQRELGPRLEETKWEVCQKSGEISLLKQQLKESQSELVQKGSELVALRVALREAR
+AALRVSEGRARGLQEAARTRELELEACSQELQRHRQEAERLREKAGQLDSEAAGLREPPV
+PPATADPFLLAESDEAKAQRAAAGVGGSLRAQVERLRAELQRERRRGEEQRDSFEGERLA
+WQAEKEQVIRYQKQLQHNYIQMYRRNRQLEQELQQLSLELEARELADLGLAEPAPCICLE
+EITATEI
+>tr|A4IFK3|A4IFK3_BOVIN LMOD1 protein OS=Bos taurus OX=9913 GN=LMOD1 PE=2 SV=1
+MSKVAKYRRQVSEDPDIDSLLSTLSPEEMEELEKELDVVDPDGSVPVGLRQRNQTEKPST
+GAYNREAMLSFCEKETKKLIQREASVDEGKQVETKTDAKKGEDKGRDAGKKPLGPRRDSD
+LGKEPKKGSMKKSISRDKDEADGRSAERPKEEKLVRGLEKGRVRAVVDKKEAGKEGPAAP
+RKDEEKQRNVSRDKGKKGEQPEAASKTAAPEKAKGPRTGEDTRAEAQESKGGRRDRAARP
+EDEKARKEEPHEAKAPREDSKTPVPEKPTPGAPAKPADGPAKAEEEATPSIFDEPLERVK
+SNDPEVTEVNVNNSDCITTEILVRFAEALEFNTAVKVFALANTRADDHVAFAIAIMLKAN
+KTITSLNLDSNHITSKGILAIFRALLQNSSLTELRFHNQRHICGGKTEMEMAKLLKENTT
+LLKLGYHFELAGPRMTVTNLLSRNMDRQRQKRLQEQRQAQEAQAQEAQAGKALLEVPQAR
+PPARGSPRPSLQPPPKAAPKNSPGKGGAPAVPPPPPPPLAPPLIMENLRNSLSPATQRKM
+GDKALPAQEKNSRDQLLAAIRSSNLKQLKKVEVPKLLQ
+>tr|A6QL80|A6QL80_BOVIN PRMT3 protein OS=Bos taurus OX=9913 GN=PRMT3 PE=2 SV=1
+MCSLASGATGAAEEEDPPGLSDSGDEASWEDEDDADLPHAEQQTPCLFCDRLFTSAEETF
+SHCKLEHQFSIDNMVHKHGLEFYGYIKLINFIRLKNPTVEYMNSIYNPVPWEKEEYLKPV
+LEDDLLLQFDVEDLYEPVSVPFSYPNGLSENASLLEKLKHMETRALSAEAALAGAREDLQ
+KMKQFAQDFVMNADVRACPSSTTAIADLLEDEDGVYFSSYGHYGIHEEMLKDRVRTESYR
+DFIYQNPHIFKDKVVLDVGCGTGILSMFAAKAGAKKVLGVDQSEILYQAMDIIRLNKLED
+TVTLIKGKIEEVRLPVEKVDVIISEWMGYFLLFESMLDSVLYAKNKYLAKGGSVYPDICT
+ISLVAVSDMNKHADRIAFWDDVYGFNMSCMKKAVIPEAVVDILDPKTLISDACSIKHIDC
+HTTSVSDLEFSSDFTLKITETSLCTAIAGYFDIYFEKNCHNKVVFSTGPLSTKTHWKQTV
+FLLEKPFSVKAGEALKGKITVHKNKKDPRSLIVALTLNNTTQTYGLQ
+>tr|U5Y250|U5Y250_BOVIN Vaccination-induced cytokine-like protein OS=Bos taurus OX=9913 GN=LOC104969122 PE=2 SV=1
+MLSSPGFGSAWNHLNHTMFLYVLLACASWVLESHSAPMKIISGSTQDNHTAVCAEIEKEL
+MADNVTYKGSFERPQNTSEELCYGKFIEGFISTLNNISTKHKNDCHIEKVCKNMRQLTEI
+CPKLKLTGHNCITEKSNFSKFKEALKSVVISIEGWKSCKRIKGIL
+>tr|E1B8Q8|E1B8Q8_BOVIN Exportin-T OS=Bos taurus OX=9913 GN=XPOT PE=3 SV=3
+MDEQALLGLNPNADSDFRQRALAYFEQLKISPDAWQVCAEALAQRTYSDDHIKFFCFQVL
+EHQVKYKYSELTTVQQQLIRETLVSWLQAQMLNPQPEKTFIRNKAAQVFALLFVTEYLTK
+WPKFFFDILSVVDLNPRGVDLYLRILMAIDSELVDRDVVHTSEEARRNTLIKDTMREQCI
+PNLVESWYQILQNYQYTNSEVTCQCLEVVGAYVSWIDLSLIANDRFINMLLGHMSIEVLR
+EEACDCLFEIVNKGMDPVDKMKLVESLCQVLQSAGFFSIDREEDVDFLARFSKLVNGMGQ
+SLIVSWTKLIKNGDIKNAQEALQAIETKVALMLQLLIHEDDDISSNIIGFCYDYLHILKQ
+LTVLSDRQKANVETIMLAVMKKLTYDEEYNFENEGEDEAMFVEYRKQLKLLLDRLAQVSP
+ELLLASVRRVFSSTLQNWQTTRFMEVEVAIRLLYMLAEALPVSHGAHFSGDVSKASALQD
+MMRTLVTSGVSSYQHTSVTLEFFETVVRYEKFFTVEPQHIPCVLMAFLDHRGLRHSSAKV
+RSRTAYLFSRFVKSLNKQMNPFIEDILNRIQDLLELSPPENGYQSLLSSDDQLFIYETAG
+VLIVNSEYPAERKQALMRNLLTPLMEKFKILLEKLMLAQDEERQASLADCLNHAVGFASR
+TSKAFSNKQTVKQCGCSEVYLDCLQTFLPALSCPLQKDILRSGVRTFLHRMIICLEEEVL
+PFIPSASEHMLKDCEAKDLQEFIPLINQITAKFKIQVSPFLQQMFMPLLHAIFEVLLRPA
+EENDQSAALEKQMLRRSYFAFLQTVTGSGMSEVIANQGAENVERVLVTVIQGAVEYPDPI
+AQKTCFIILSKLVELWGGKDGPVGFADFVYKHIVPACFLAPLKQTFDLADAQTVLALSEC
+AVTLKTIHLKRASPSVPLVHSGRLGAPLFAFFFSPGPECVQYLQQEYLPSLQVAPEIIQE
+FCQALQQPDAKVFKNYLKVFFQRAKP
+>tr|Q0V8E2|Q0V8E2_BOVIN T-box 19 OS=Bos taurus OX=9913 GN=TBX19 PE=2 SV=1
+MSELDTQKTCDGTVSRLLNVVESELQAGREKGDPTEKQLQIILEDAPLWQRFKEVTNEMI
+VTKNGRRMFPVLKISITGLDPNAMYSLLLDFVPTDSHRWKYVNGEWVPAGKPEVSSHSCV
+YIHPDSPNFGAHWMKAPISFSKVKLTNKLNGGGQIMLNSLHKYEPQVHIVRVGDAHRMVM
+NCSFPETQFIAVTAYQNEEITALKIKYNPFAKAFLDAKERNHLKDIPEAMSESQHVTYSH
+LGGWIFSNPDGVCTAGNTNYQYTTPLPLSAPHTHHGCEHYPGIRGHHRQAPYPSAYMHRN
+HSPSVNLIESSSNNLQVFSGADNWTSLSSTPHASILSVPHTSGPVNPGPSPYPCLWTINN
+SGGGPAGSGSEVHANSPGAFLLGNPAVTSPVSTQTPTSLIHAMLRQQKFVEYLPCALTLT
+LK
+>tr|A4FUY8|A4FUY8_BOVIN IK cytokine OS=Bos taurus OX=9913 GN=IK PE=2 SV=1
+MPERDSEPFSNPLAPDGHDVDDPHSFHQSKLTNEDFRKLLMTPRAAPTSAPPSKSRHHEM
+PREYNEDEDPAARRRKKKSYYAKLRQQEIERERELAEKYRDRAKERRDGVNKDYEETELI
+STTANYRAVGPTAEADKSAAEKRRQLIQESKFLGGDMEHTHLVKGLDFALLQKVRAEIAS
+KEKEEEEMMEKPQKETKKDEDPENKIEFKTRLGRNVYRMLFKSKAYERNELFLPGRMAYV
+VDLDDEYADTDIPTTLIRSKADCPTMEAQTTLTTNDIVISKLTQILSYLRQGTRNKKLKK
+KDKGKMEEKKPPEADMNIFEDIGDYVPSTTKTPRDKERERYRERERDRERDRDRDRERER
+ERDRERERDREREEEKKRHSYFEKPKVDDEPMDVDKGPGSAKELIKSINEKFAGSAGWEG
+TESLKKPEDKKQLGDFFGMSNSYAECYPATMDDMAVDSDEEVDYSKMDQGNKKGPLGRWD
+FDTQEEYSEYMNNKEALPKAAFQYGIKMSEGRKTRRFKETNDKAELDRQWKKISAIIEKR
+KKMEADGVEVKRPKY
+>tr|Q3T120|Q3T120_BOVIN Elongation of very long chain fatty acids protein 1 OS=Bos taurus OX=9913 GN=ELOVL1 PE=2 SV=1
+MEAIVNLYQEMMKHADPRVQGYPLMESPLLMTSILLTYVYFVLSLGPRIMANRKPFQLRG
+FMVVYNFSLVALSLYIVYEFLMSGWLSSYTWRCDPVDFSNNPEALRMVRVAWLFLFSKFI
+ELIDTLIFVLRKKDGQVTFLHVFHHSVLPWSWWWGVKIAPGGMGSFHAMINSSVHVVMYL
+YYGLSALGPVAQPYLWWKKHMTAIQLIQFVLVSLHISQYYFLPSCNYQYPVIIHLIWMYG
+TIFFVLFSNFWYQSYTKGKRLPRVSQQNGVPGTTKVKAN
+>tr|Q3T0Q9|Q3T0Q9_BOVIN GLI pathogenesis-related 1 OS=Bos taurus OX=9913 GN=GLIPR1 PE=2 SV=1
+MSDSLSRRVTFAVTAWMLSVVSSSSAPANTLPDIENEDFIKDCVRMHNKFRSSVTPAASD
+MLYMTWDPLLAQIAKAWASHCEFAHNKRLKPPYKLHPNFTSLGENLWTGSLSIFSVSSAI
+TAWYDEVKYYDFKTRKCNKVCGHYTQVVWADSYKVGCAVHFCPRVSGFGALLNGAHFICN
+YGPPGNYPTWPYKKGSTCSACPRNDKCLDNLCTNPQRDKVTRYYSTVFPDWPIFPRDRYT
+SLFLIIIPPILILSVIIIIWAKGKYPHLFTLK
+>tr|A0JBZ9|A0JBZ9_BOVIN Bucentaur-2 OS=Bos taurus OX=9913 GN=p97bcnt2 PE=1 SV=1
+MEEFKSEDFSVSKEDEDYAPSGGECHEDAVNELVKEGEMGAEEETQKTKGTKRKAESILA
+RKRKHSGLSPQHEEEEDANRESGGSISEKEDVAAEQEKGAESEDAREEEEEEMLASSIGD
+VEPKSEVPPSTQVKTGEENKEMASSKVVKTEEQEKPKEPEEVKVTKVFDIAGEKVRFLRR
+QGRPGMSPEHEPPRSEGGQHATGEEQRSVTNTSSKNESTKRKWKRRANVVVTGEESKLRC
+CKEEYCIGTWNVRSMNPGKLDVVKQEMERINIDILGISELKWTGMGELNSDDHYIYYCGQ
+QSLRRNGVAIIVNKRVRNAVIGCNLKNDRMISVRFQGKPFNLTVIQVYAPTPYAPEPEVY
+RFYEDLQHLLEITPKIDVLFIIGDWNAKVGSQEIPRITGKFGLGVQNEAGRRLIEFCYQN
+RLVIANTLFQQHKRRLYTWTSPDGRYRDQIDYIICRQRWRSSVQSAKTRPGADCGSDHKL
+LIAKFRLKLKIIPKTTRPFRGTNEVGETSQEAKSVFKQTEKGKPQANVPSNVSSVPGGSG
+VSKEVGETSQEGKSVFKQNEKEEPQSSVPSAVPSLPAGSGVSKEVGETSQEGKSVFKQNE
+KEEPQSSVPSAVPSLPAGSGPENCDLEKNQDCSN
+>tr|F1MNN1|F1MNN1_BOVIN HAUS augmin like complex subunit 3 OS=Bos taurus OX=9913 GN=HAUS3 PE=4 SV=2
+MSCGKEFVETLKKIDYPKADILNGEDFDWLFVENEPFLKWFCGNVNEQNILSEEELEAFS
+ILQKSGKPILEGAALDEVLKTCKTSDLKTPTLNDKELEKLEDEVQTLQKLKNLKIQRRNK
+CQLMASVTSHKSLRLNAKEEETNKKLKQSQGILNATNNKISNELHTLTDGVAKLMMFFRR
+SNLDQGTNPLVFLSQFSLQNYLSQEEQSTAALTLYTKKQFFQGIHEVVESSNEENFQLLD
+MQAPSICDNQEVLEERRLEMGRLQLAYICAQHQLIHLKANNLSLKSSIKWAEENLHSLTS
+KALGKDNLDAKISSLNSEILKLEEQITHIKDKILPAMVKENAQLLNMPVVKGDFDRQIAK
+QDYYTERQELVLNQLIKQKASFELLHLSYEIELRKHWDIYRQLENLVHLLKQSNLMFHQR
+LEMLTDPSVSQQINPRNTIDTKDYSTHRLYQLLEGENKKKELFITHGNLEEVAEKLKQEV
+SLVQEQLAGSAQEHSFFLSKLNSDVNMLCEALYQGGNQLLLSDQELTEQFHQVESQLNKL
+NHLLTDIFADVKTKRKLLASNKLHQVERELYVYFLKDEDYLKNIVENLENQSKIKTIGLE
+D
+>tr|A5PKL2|A5PKL2_BOVIN RAS related 2 OS=Bos taurus OX=9913 GN=RRAS2 PE=2 SV=1
+MAAAGWRDGSGQEKYRLVVVGGGGVGKSALTIQFIQSYFVTDYDPTIEDSYTKQCVIDDR
+AARLDILDTAGQEEFGAMREQYMRTGEGFLLVFSVTDRGSFEEIYKFQRQILRVKDRDEF
+PMILIGNKADLDHQRQVTQEEGQQLARQLKVTYMEASAKIRMNVDQAFHELVRVIRKFQE
+QECPPSPEPTRKEKDKKGCHCVIF
+>tr|Q2HJB7|Q2HJB7_BOVIN TNF receptor superfamily member 19 OS=Bos taurus OX=9913 GN=TNFRSF19 PE=2 SV=1
+MASNVLLRQQKSFTVVVLLACLACGVICETGDCGQQEFRDQSGSCVLCKQCGPGMELSKE
+CGFGYGEDAQCVKCRPHRFKEDWGSQKCKPCLDCALLSRFQKANCSATGDAVCGDCLPGF
+YRKTKLVGFQDMECVPCGDPPPPYEPHCTSKVNLVRIPSTASSPRDTALAAVICSALATV
+LLALLVLCVIYCKRQFVEKKPSWSLRAQDLQYNGSELSCFDRPRLGAPAPRACCQCLRDS
+AQACGDSLPLSHQGSPRFLTEEGGGRPVTGFSTNQYCLWDN
+>tr|Q32S29|Q32S29_BOVIN Histone H2B OS=Bos taurus OX=9913 GN=H2B PE=3 SV=1
+MPEPAKKVPAPKKGSKKAVTKAQKKDGKKRKRSRKESYSVYVYKVLKQVHPDTGISSKAM
+GIMNSFVNDIFERIAGEASRLAHYNKPSTITPREIQTAVRLLLPGELAKHAVSEGTKAVT
+KYTSSK
+>tr|Q32KM0|Q32KM0_BOVIN Asialoglycoprotein receptor 1 OS=Bos taurus OX=9913 GN=ASGR1 PE=2 SV=1
+MTKEYQDLQHLDNEENDHQHRKRPLPPHSFSRRLCTGPSLLLVSMGLSLLLLVVLCVIGS
+QNSKLQEELSALRETFSNLTVSTEAKVKALSVQGGNVGRKVKSLESQLEKQQQELNADHS
+SLLLHVKQFVSDLRSLSCQMAVLQGNGSEKACCPVNWIDYEGSCYWFSRSGKPWPEAEKY
+CQLENAHLVVVGSWEEQKFIQHHMGPVNTWIGLMDQNGPWKWVDGTDYETGFKNWAPEQP
+DDWYGHGLGGGEDCAHITVDGRWNDDVCLRPYRWVCEAQRDGGNDS
+>tr|Q08DX4|Q08DX4_BOVIN WAS/WASL interacting protein family member 1 OS=Bos taurus OX=9913 GN=WIPF1 PE=2 SV=1
+MPVPPPPAPPPPPTFALANTEKPSLSKSEQAGRNALLSDISKGKKLKKTVTNDRSAPILD
+KPKGAAAGGGGGGGGGGSYGGGGGGGGGGNFGGGGPPGLGGLFQAGMPKLRSTANRENES
+GGSRPPILPPGGRATSAKPFTPPSGPGRFPVPPAGHRSGPPEPQRNRMPPPRPEVGAKPD
+NIPPPVPNTPRPSQSSLHNRGTPLVLGAPRQSSPGLTPPPFPGNRGAAFGGGSIRHTPSG
+SSTPFSTRPPLPPTPSRALDDKPPPPPPPVGNRPSIHRESVPLPPPQNSKPPVPSTPRPS
+SSSQAPPPPPPSRPGPPPLPPVSSGSGDEIPRLPQRNVSLSSSAPPLPSSGRSGPLPPPP
+SERPPPPVRDPPGRSGPLPPPPPTNRNGSTSRALPATPQLPSRSGIDSSPRSGPRPPLPP
+ERPGTGAPPPPPPSTSIRNGFQDSSCEDEWESRFYFHPISDLPPPEPYVPTTKSYPSKLA
+RNESRSGSNRRERGAPPLPPIPR
+>tr|Q3ZC35|Q3ZC35_BOVIN Cellular communication network factor 1 OS=Bos taurus OX=9913 GN=CCN1 PE=2 SV=1
+MSSRTARTLALAVTLLHLARLALSTCPTACHCPLEAPKCAPGVGLVRDACGCCKVCAKQL
+NEDCSKTQPCDHTKGLECNFGANSTALKGICRAQSEGRPCEYNSRIYQNGESFQPNCKHQ
+CTCIDGAVGCIPLCPQELSLPNLGCPNLRLVKVTGQCCEEWVCDDDSAKDPMDDGEGLQG
+KELAFDDSEVELTRNNELIAVGKSGFLKRLPVFGMEPRILYNPSSHGQKCIVQTTSWSQC
+SKTCGTGISTRVTNDNPECRLVKETRICEVRPCGQPVYSSLKKGKKCSKTKKSPEPVHFT
+YAGCSSVKKYRPKYCGSCVDGRCCTPQQTRTVKMRFRCEDGEMFSKNVMMIQSCRCNYNC
+PHANEAAFPFYRLFNDIHKFRD
+>tr|A7MBB0|A7MBB0_BOVIN VCAM1 protein OS=Bos taurus OX=9913 GN=VCAM1 PE=2 SV=1
+MPKKMFVIFGVSNILWMVFVVSQAIKVDMFPDESKIFAQIGDSVSLTCSATGCESPSLSW
+RTQMDSPLNGKVRNEGTTSTLIMDPVSFKDEHQYLCTVICGAAKLEKAIQVEIYSFSKGP
+EIHLSSPAEVGKPVTVTCSVPDVYPFERLEIELLKGNHPMKVQDFLEPSEKKAQETKSLD
+VTFSPTDEDIGTALVCQATLHIYDDDSPPKVRKTTKELEVYISPKDTAISVNPSTRLQEG
+DSVTMTCTSAGLPAPRILWSKKLDNGNRQLLSENATLTLISMRAEDSGIYVCEGNNPVGK
+DRKEVKLTVQEKMFTVEISPGPQIAAQVGDSVVLTCDVRDCESPSFSWRTQIDSPLNGKV
+RREGSKSTLTLSPVSFENEHFYLCTVICGQKKLEKGIQVELYSFPSDPEIEMSGPLVNGN
+PVTVSCKVPNVYPSDRLEIELFKGESIMMNKIFLEDVSKKALETKSLEMTFIPTVEDTGN
+VLVCLAQLPIDEMEFEPKQRQSTQILYVNVAPRDTTILVSPSSTLEEGSSVNMTCSSNGL
+PAPKILWSRQLSNGDLQPISENATLSFMSTKMEDSGIYVCEGINQAGISRKEVNLIIQVA
+PKDIQLTAFPSERVKEGDTVIISCICGNVPPTLIILKKKAETGYIVLKSTGGAYTIHRAQ
+LEDAGVYQCESKNEVGSQLRSLTLDVKGRESNKDYFSPEFLVLYCASALILTAIGMIIYF
+ARKANMTGSYSLVEAQRTKV
+>tr|Q2KJE9|Q2KJE9_BOVIN Solute carrier family 22 (Organic cation/carnitine transporter), member 5 OS=Bos taurus OX=9913 GN=SLC22A5 PE=2 SV=1
+MQDYDEVTAFLGEWGPFQRLIFFLLSASIIPNGFNGLSSVFFTATPEHHCRVPDTANLSS
+AWRNHSVPMRLQDGREVPQSCRRYRLAMMVNFSELGLEPGRDVDLEQLEQEGCLDGWEFS
+QDIYLSTIVTEWNLVCEDDWKAPLTISLFFVGVLMGSFISGQLSDRFGRKNVLFVTMGMQ
+TGFSFLQIFSKNFEMFTVLFFLVGMGQISNYVAAFVLGTEILGKSVRIIFSTLGVCIFYA
+FGYMLLPLFAYFIRDWRMLLLALTVPGVLCAALWWFIPESPRWLISQGRFQEAEVIIRRA
+AKTNGIIAPSTIFDSSELQDLSSKKQQSHSILDLIRTRNIRMITVMSIILWLTISVGYFG
+LSLDTPNLHGDVYLNCFLSAVVEVPAYVLAWLLLRHLPRRYSMATALFLGGSVLLFVQLV
+PPELYYLATVLVMVGKFGVTAAFSMVYVYTAELYPTVVRNMGVGVSSTASRLGSILSPYF
+VYLGAYDRFLPYILMGSLTILTAILTLFLPETFGTPLPDTIDQMLRVKGIKYRQTPSHTR
+MLKDGEESPTVLKSTSF
+>tr|A5PKI2|A5PKI2_BOVIN ZC3H11A protein OS=Bos taurus OX=9913 GN=ZC3H11A PE=2 SV=1
+MPNQGEDCYFFFYSTCTKGDSCPFRHCEAALGNETVCTLWQEGRCFRQVCRFRHMEIDKK
+RSEIPCYWENQPMGCQKLNCAFHHNRGRYVDGLFLPPSKTVLPTVPESPEEEVKASQLTV
+QQSKLSVQSNPSPQLRSVMKVESSENVPSPTHPPVVINAADDDEDDDDQFSEEGDETKTP
+TLQPTLEVHNGLRMTSARKPGVNLKQGESLNFGIKTLEEIKSKKMKEKSKKQGEGSSGAS
+SLLLQSQPMPGPEKENVRTVVRTVTLSSKQGDEPLVRLSLTERLGKRKFSVGGDSDAPLK
+RSLAQRLGKKIEAPEANTDKTPKKVQVSKSLKERLGMSTGPNSEEAAEKVTKVGEIHVKT
+LEEILLERANQKRGELQTKLKTEGPSKVDDSTSGARTSSTIRIKTFSEVLAEKKHRQQEA
+ERQKGKKDVSCVKPKTDNEMKKTVILPPTVASRGQSEEPAGKAKSMQEVHIKTLEEIKLE
+KALRVQQSSESSTSSQPQPEAMPAAKRLLRITKKTGIKEEKKLQEESDVASQSSVSRTEA
+KKASDETTTVGITKIQDKRCKTVREKYVPKLPEKGTSQKEKSILTPLRGDLLSYSTELAE
+KPVLTTMLGITRHLTKRLPSKSSQKAKVETSGIGDSILNVKCATQPLEKRSKAKPKVNVK
+PSVVKVVSSPKLAPKRKAVEVHPAVIAAVKPLNSSSVLLESSAKKAAVAIVPLLSEDKSV
+TVPETEKPRDSFVLPPAQSSSEPPPPEVSGPSSSQMATKTRRLSSASTGKPPLSMEDDFE
+KLIWEISGGKLEAEIDLDPGKDEDDLLLELSEMIDS
+>tr|Q3ZCA7|Q3ZCA7_BOVIN G protein subunit alpha i3 OS=Bos taurus OX=9913 GN=GNAI3 PE=2 SV=1
+MGCTLSAEDKAAVERSKMIDRNLREDGEKAAKEVKLLLLGAGESGKSTIVKQMKIIHEDG
+YSEEECKQYKVVVYSNTIQSIIAIIRAMGRLKIDFGEAARADDARQLFVLAGSAEEGVMT
+PELAGVIKRLWRDGGVQACFSRSREYQLNDSASYYLNDLDRISQTNYIPTQQDVLRTRVK
+TTGIVETHFTFKDLYFKMFDVGGQRSERKKWIHCFEGVTAIIFCVALSDYDLVLAEDEEM
+NRMHESMKLFDSICNNKWFTDTSIILFLNKKDLFEEKIKRSSLTICYPEYTGSNTYEEAA
+AYIQCQFEDLNRRKDTKEIYTHFTCATDTKNVQFVFDAVTDVIIKNNLKECGLY
+>tr|A4FV33|A4FV33_BOVIN Kinase OS=Bos taurus OX=9913 GN=ITPKA PE=2 SV=1
+MTLPGGPTGMARPGGAGPCSPGLERAPRRSVGELRLLFEARCAAVAAAAAAGQPRARGAK
+RRGGQVPNGLPRAPPAPVIPQLTVTAEEPDVPAASPGPPEPEGSWLPAVGSSHLQQPRRL
+STSSLSSTGSSSLPEDSEDDLLSDSESRSRGNVQLEAGEDVGQKSHWQKIRTMVNLPVMS
+PFKKRYAWVQLAGHTGSFKAAGTSGLILKRSSEPERYCLARLMADALRGCVPAFHGVVER
+DGESYLQLQDLLDGFDGPCVLDCKMGVRTYLEEELTKARERPKLRKDMYKKMLAVDPEAP
+TEEEHAQRAVTKPRYMQWREGISSSTTLGFRIEGIKKADGSCSTDFKTTRSREQVIRVFE
+EFVQGDAEVLRRYLNRLQHIRDTLEVSEFFRRHEVIGSSLLFVHDHCHRAGVWLIDFGKT
+TPLPDGQTLDHRRPWEEGNREDGYLLGLDNLIGILASLAER
+>tr|C5NU11|C5NU11_BOVIN CD274 molecule OS=Bos taurus OX=9913 GN=CD274 PE=2 SV=1
+MRIYSVLTFMAYCCLLKAFTITVSKDLYVVEYGSNVTLECRFPVDKQLNLLVLVVYWEME
+DKKIIQFVNGKEDPNVQHSSYHGRAQLLKDQLFLGKAALQITDVKLQDAGVYCCLISYGG
+ADYKRITLKVNAPYRKIYHTISVDPVTSEHELTCQAEGYPEADVIWTSSDHQVLSGKTSI
+TSSKREEKLFNVTSTLRINTTADKIFYCTFRRLGHEENNTAELVIPEPYLDPAKKRNHLV
+TLGALFLCLSVTLAVIFCLKRDVRMMDVEKCDTRDMNSKQQNATQFEET
+>tr|F1MGI2|F1MGI2_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 GN=LOC509881 PE=4 SV=2
+MGGRTTCLLPALFLLVIPGSSAISGPRAVRGVERGSLTVRCRYDPGYERYVKWWCRGAAW
+NNCRFVIKTTGSEKEVKKGRVSIRDNQKDRSFTVTMEELRLDDSDTYWCRIERTGPDLGN
+PVEVTIDPAPTVSISTLATSNANMFTAPVAPKENQGQLPLLGSVHFLLLVFLKMPLLLGM
+LGAVLWVNRPLRSSGGNPEKNQ
+>tr|A6H716|A6H716_BOVIN BRAP protein OS=Bos taurus OX=9913 GN=BRAP PE=2 SV=1
+MSVSLVVIRLELAEHSPVPAGFGFSAAVGEMSDEEIQKKTLASAVACLEGKSPGEKAAII
+HQHLGRREMTDVIIETMKANPDEPEATVEERKSSEASLTAQRSKDRSKEGINAALDSPSK
+QLPDQISFFSGNPSVEIVHGIMHLYKTNKMTSLKEDVRRSAMLCILTVPATMTSHDLMKF
+VAPFNEVIEQMKIIRDSTPNQYMVLIKFSAQADADSFYMACNGRQFNSIEDDVCQLVYVE
+RAEVLKSEDGASLPVMDLTELPKCTVCLERMDESVNGILTTLCNHSFHSQCLQRWDDTTC
+PVCRYCQTPEPVEENKCFECGVQENLWICLICGHIGCGRYVSRHAYKHFEETQHTYAMQL
+TNHRVWDYAGDNYVHRLVASKTDGKLVQYECEGDTCQEEKIDALQLEYSYLLTSQLESQR
+IYWENKIVRIEKDTAEEINNMKTKFKETIEKCDSLEHRLNDLLKEKQSVERKCTQLNTKV
+AKLTTELKEEQEMNKCLRANQVLLQNKLKEEERVLKETCDQKDLQITEIQEQLRDVMFYL
+ETQQKINHLPAETRQEIQEGQINIAMASASSPPSSGGSGKLSSRKGRSKRGK
+>tr|A6QP93|A6QP93_BOVIN KLHL2 protein OS=Bos taurus OX=9913 GN=KLHL2 PE=2 SV=1
+MSRLWPKTWKFLLIEWCWLPVVLTFTPCLQVLLPAAGLLQLQDVKKTCCEFLESQLHPVN
+CLGIRAFADMHACTDLLNKANTYAEQHFADVVLSEEFLNLGIEQVCSLISSDKLTISSEE
+KVFEAVIAWVNHDKDVRQEFMARLMEHVRLPLLPREYLVQRVEEEALVKNSSACKDYLIE
+AMKYHLLPTEQRILMKSIRTRLRTPMNLPKLMVVVGGQAPKAIRSVECYDFKEERWHQVA
+ELPSRRCRAGMVYMAGLVFAVGGFNGSLRVRTVDSYDPVKDQWTSVANMRDRRSTLGAAV
+LNGLLYAVGGFDGSTGLSSVEAYNIKSNEWFHVAPMNTRRSSVGVGVVGGLLYAVGGYDG
+ASRQCLSTVECYSATANEWTYIAEMSTRRSGAGVGVLNNLLYAVGGHDGPLVRKSVEVYD
+PATNTWRQVADMNMCRRNAGVCAVNGLLYVVGGDDGSCNLASVEYYNPTTDKWTVVSSCM
+STGRSYAGVTVIDKPL
+>tr|A6QQY8|A6QQY8_BOVIN FAST kinase domains 1 OS=Bos taurus OX=9913 GN=FASTKD1 PE=2 SV=1
+MLCLRAIRPFSSRVFHFRPFSFEPLISQMNNCTDEEQIFGLIEKNKSLLSEKQVGCALSM
+LWQFQKQKTSSVKNVDCIRNHPQFLTLCNLATTKMGFMSDSTLVNVLYIIQQFGIEAHDS
+LVEALVTEAWRRLERFDISVLSKFSSCLADQHLYYSPLMGKIADIVNRNLENIQDLRSLS
+VLMVSISSLISRRFQEKLVNKAELLFDTMDSSQVNTARRIVQFLRNIKYRYYPLLERCNK
+VFLSNMNHLDLDSISKILSLYYSLQFHSFEFILMTKKRLTEMIPLFDHPASCVKLFVALG
+PMAGPEEKKQLKSTILLMSEELTGQQALAVMGAMEEMESRNLRLIKKITSILHKHLDNYK
+PVELLRITQALIFLHFQSKELFVKLRELLLSYLKVSVIPSEISILVYALSMLPSAHLDEV
+RMSQIEAVLPQCDLYDLNIFATSVLRCIQYDHVYLNNIPAKQLKVLQKLDHYGHQRLQEC
+KSLNLLWEEVKSLKGDWFADSLLEETVVTLQRLMDEMNYINVAGIASFISRTNYLSTSLL
+DKIASVVLQQIEKIHPFAILAIILPFSTLNYDPPQRDEFFGTCIQHLNSYLSVLDPLVLV
+FLGYSLATLQYFPEDLLKAIFNIKFLARLDSQLELIYSSLNMKIQFRLMELNRAVCLECP
+EYQIPWFHDRFCQQHYNKDFGSMNGAQQQIYKMLAEVLGGINFVKASVLTPYYYTVDFEC
+ILDKRRNPLPYGSHNTTLEKLPKIHLESNTQIPGSRLPPGAEKIALEFLDSRAFCRNIPH
+LKGKSAMKKRHLEILGYHVIQIPHFEWNSMALSTRDARMDYLRERIFGEGKS
+>tr|Q1RMS1|Q1RMS1_BOVIN V-type proton ATPase subunit a OS=Bos taurus OX=9913 GN=TCIRG1 PE=2 SV=1
+MGSMFRSEEVALVQLFLPTAAAYTCVSQLGELGLVEFRDLNASVSAFQRRFVVDVRRCEE
+LEKTFTFLQEEVRRAGLMLPLPEAGLPAPPPRDLLRIQEETDRLAQELRDVRGNQQALRA
+QWHQLQLHSAVLGQGHSPPSVATHTDGPSERTPLLQAPGGPHQDLRVNFVAGAVEPHKAA
+ALERLLWRACRGFLIASFRETEQQLEDPVTGEPATWMTFLISYWGGQIGQKIRKITDCFH
+CHVFPFAEEEAARHAALQQLQQQSQELQEVLGETERFLSQVLGRVQRLLPPWQVQIRKMK
+AVYLALNQCSVSSTHKCLIAEAWCATRDLPTLQQALQDSSSEAGVSAVVHCIPCRDMPPT
+LIRTNRFTASFQGIVDAYGVGRYQEVNPAPYTIITFPFLFAVMFGDVGHGLLMFLFALAM
+VLAENQPAVKSAQNEIWRTFFGGRYLLLLMGLFSVYTGFIYNECFSRATAIFPSGWSVAA
+MANQSGWSDAFLAQHQLLALDPNVTGVFLGPYPFGIDPVWSLAVNHLSFLNSFKMKMSII
+LGVTHMTFGVVLGVFNHVHFGQWHRLLLETLPELVFLLGLFGYLVFLVVYKWLSFTAASA
+ATAPSILIHFINMFLFSRSRTNKPLFQGQEVVQSTLVVLALATVPVLLLGTPLFLRRRHQ
+RRQSSRRRQPLDEDKAGLLGQPDVSVASQNCDEEKAGCLGDQEEEEFVLSEVFMHQAIHT
+IEFCLGCISNTASYLRLWALSLAHAQLSEVLWAMVMRVGLGLGGKMGVEALVLVPVFAAF
+AVMTVAILLVMEGLSAFLHALRLHWVEFQNKFYSGSGYKLSPFTFAVEDE
+>tr|Q0VBY5|Q0VBY5_BOVIN WD repeat domain 41 OS=Bos taurus OX=9913 GN=WDR41 PE=2 SV=1
+MLRWLIGGGREPQGLAEKSPLQTIGEEQTQNPYTELLVLKAHHDIVRYLVQLDDSRFASA
+GDDGTVVVWNAQTGEKLLELNGHTQKITAIITLPCLETCEEKNQLILTASADRTVIEWDC
+DTGRQVQKVSCFQSTVKCLAVIQRLDVWLSGGNDLCVWNRKLDLLCQTSHLSDTGITALI
+EIPKNCVVAAVGKELIIFRLVAATEGSLGWDILEVKRLLDHQDNILSLVNVNDVSFVSGS
+HVGELIIWDTLGWTVQACERNFWDPSPQLDAQREIKLCQKPNDVSIHHLTWDEENVFVAV
+GRGLYVYNLPMKRVIACQKAAHDSSVLHVAKLPNRQVISCSEDGSVRIWELREKQQLSVE
+PAPTGFFNMWGFGRVNKQASHPAKKQQENATSCSLELIGDLIGHSSSVEMFLYFEDHGLV
+TCSADHLIILWKNGERESGLRSLKLFQKLEENGDLYLSV
+>tr|A0A3Q1LST7|A0A3Q1LST7_BOVIN Solute carrier family 8 member A3 OS=Bos taurus OX=9913 GN=SLC8A3 PE=3 SV=1
+MAWLRLQPLTSAFLHFGLITFVLFLNGLRAEAGGSGDVPSTGQNNESCTGSSDCKEGVIL
+PIWYPENPSLGDKIARVIVYFVALIYMFLGVSIIADRFMASIEVITSQEREVTIKKPNGE
+TSTTTIRVWNETVSNLTLMALGSSAPEILLSLIEVCGHGFIAGDLGPSTIVGSAAFNMFI
+IIGICVYVIPDGETRKIKHLRVFFVTAAWSIFAYIWLYMILAVFSPGVVQVWEGLLTLFF
+FPVCVLLAWVADKRLLFYKYMHKKYRTDKHRGIIIETEGDHPKGIEMDGKMMNSHFLDGH
+LVPLEGKEVDESRREMIRILKDLKQKHPEKDLDQLVEMANYYALSHQQKSRAFYRIQATR
+MMTGAGNILKKHAAEQAKKTSSMSEVHADEPEDFVSKVFFDPCSYQCLENCGAVLLTVVR
+KGGDTSKTLYVDYKTEDGSANAGADYEFTEGTVVLKPGETQKEFSVGIIDDDIFEEDEHF
+FVRLSNVRMEEEQPEEGMSPRALNSPPLPRAVLVSPCVATVTILDDDHAGIFTFECDTIH
+VSESIGVMEVKVLRTSGARGTVIVPFRTVEGTAKGGGEDFEDIYGELEFKNDETVKTVRV
+KIVDEEEYERQENFFIVLGEPKWMERGISALLLSTEVTDRKLTVEEEEAKRIAEMGKPIL
+GEHPKLEVIIEESYEFKTTVDKLIRKTNLALVVGTHSWRDQFMEAITVSAAGDEDEDESG
+EERLPSCFDYVMHFLTVFWKVLFACVPPTEYCHGWACFVVSILIIGMLTAIIGDLASHFG
+CTIGLKDSVTAVIFVAFGTSVPDTFASKAAAIQDVYADASIGNVTGSNAVNVFLGIGLAW
+SVAAIYWALQGQEFHVSAGTLAFSVTLFTIFAFVCISVLLYRRRPHLGGELGGPRGCKLA
+TTWLFVSLWLLYILFATLEAYCYIKGF
+>tr|A4IFT6|A4IFT6_BOVIN TMED7 protein OS=Bos taurus OX=9913 GN=TMED7 PE=1 SV=1
+MPRLGSAPRWAAAAGRWGCRLLVLLLFLVPGPGGASEITFELPDNAKQCFYEDITQGTKC
+TLEFQVITGGHYDVDCRLEDPDGNVLYKEMKKQYDSYTFTASKNGTYKFCFSNEFSTFTH
+KTVYFDFQVGEDPPLFPSENRVSALTQMESACVSIHEALKSVIDYQTHFRLREAQGRSRA
+EDLNTRVAYWSVGEALILLVVSIGQVFLLKSFFSDKRTTTTRVGS
+>tr|Q2KJ50|Q2KJ50_BOVIN Neurotrophin receptor associated death domain OS=Bos taurus OX=9913 GN=NRADD PE=2 SV=1
+MLQNSSHREAMVHVDKTRREQDREGVWAAAGGALAPSTSSPFSPEPPGASGSIIPVYCAL
+LATVVLGLLAYVVFKCWRSRKHRQQLAKARTAELGALSRDQLHGDSSVFRDSPAGLEPCA
+PSQGPPPELGCQLYLHLPRQQQEEVERLLEVSGEPANGWRGLAGRLGYQAEAVETMARSP
+GPASALLRDWAVQEGSGATLRALADALAAMGREDVIRALSSPAEGCSVV
+>tr|F1MJR6|F1MJR6_BOVIN Collagen type VIII alpha 2 chain OS=Bos taurus OX=9913 GN=COL8A2 PE=4 SV=3
+MQGALTPLSSLPPPLLLLLLLGCGPRAAASGGAAGAAGYPPAQYVQPMHKGPVGPPFREG
+KGQYLEMPLPLLPMDLKGEPGPPGKPGPRGPPGPPGFPGKPGTGKPGLHGQPGPAGPPGF
+SRMGKAGPPGLPGKVGPPGQPGLRGEPGIRGDQGLRGPPGPPGLPGPSGIAVPGKPGPQG
+VPGPPGFGGEPGPQGEPGPPGDRGLKGENGVGQPGLPGAPGQGGAPGPPGLPGPAGLGKP
+GLDGLPGAPGDKGDTGPPGVPGPRGEPGALGPKGPPGVDGVGAPGSAGLPGPQGPPGAKG
+EPGTRGPPGLIGPTGYGMPGLPGPKGDRGPAGVPGLLGDRGEPGEDGEPGEQGPQGLGGP
+PGLPGSAGLPGRRGVPGPKGETGPIGPPGVPGIRGDQGPSGLAGKPGLPGERGLPGAHGP
+PGPTGPKGEPGFTGRPGGPGAAGALGQKGDLGLPGQPGLRGPSGIPGLQGPAGPIGPQGL
+PGLKGEPGLPGPPGEGKVGEPGVAGPTGPPGVPGSPGLTGPPGPPGPPGPPGAPGAFDET
+GIAGLQLPNGGVEGAVLGKGVKPQLGLGELSAHATPAFTAVLTSPFPASGMPVKFDRTLY
+NGHSGYNPATGIFTCPVGGVYYFAYHVHVKGTNVWVALYKNNVPATYTYDEYKKGYLDQA
+SGGAVLQLRPNDQVWVQMPSDQANGLYSTEYIHSSFSGFLLCPT
+>tr|A6QNX8|A6QNX8_BOVIN ANKRD10 protein OS=Bos taurus OX=9913 GN=ANKRD10 PE=2 SV=1
+MPLASGEVEDDPDKMHVDRELATDMKNSSSVSNTLTNGCVANGHLDFPSTAQPCGMESRS
+GQCSAGLNGVGTGLVPGLPFPSSQGSPGVNGTEEPERSTQVSPETCGSLHLNGSPSSCVA
+SRPSWAEESLQYGHYHGFGDTAESIPELSSALEHASSVKAEQGYHSAVLGAMHLSHGS
+>tr|Q0P5D6|Q0P5D6_BOVIN Retinoic acid receptor responder (Tazarotene induced) 1 OS=Bos taurus OX=9913 GN=RARRES1 PE=2 SV=1
+MQLRRQPLSAPRPGDTRPAAQRLAVPLLLLLALQGAAASGGPEDSGWLQDAEPSSRLLSQ
+AARAALHFFNFRAASPSALQVLANVLDGRSWVNQKKEYKFDLVFTTEFYSAEEGEKRLGK
+CSAQVFFRNEKPRPAVNVTCTQLVKKERRQEEDYQLYKLMKQLKSPLNAVSIPDSHGYIA
+PSLRPIWDLAFLGSSYVMWQKSTPFLHYYMSQISSVKQWKTNDDAIDFDYTVLLHEFSTQ
+EIIPCRIHLVWYPSKPLKVKYHCQERQTPEEASGTEEGSAVALTELSNF
+>tr|Q95M13|Q95M13_BOVIN Fibroblast growth factor receptor OS=Bos taurus OX=9913 GN=FGFR3 PE=2 SV=1
+MGAPARALAFCVAVAVMTGAALGSPGVEPRVARRAAEVPGPEPSPQERAFGSGDTVELSC
+RLPAGVPTEPTVWVKDGVGLAPSDRVLVGPQRLQVLNASHEDAGAYSCRQRLSQRLLCLF
+SVRVTDAPSSGDDEGGDDEAEDTAGAPYWTRPERMDKKLLAVPAANTVRFRCPAAGNPTP
+SITWLKNGKEFRGEHRIGGIKLRQQQWSLVMESVVPSDRGNYTCVVENKFGRIQQTYTLD
+VLERSPHRPILQAGLPANQTAVLGSDVEFHCKVYSDAQPHIQWLKHVEVNGSKVGPDGTP
+YVTVLKTAGANTTDKELEVLSLRNVTFEDAGEYTCLAGNSIGFSHHSAWLVVLPAEEELV
+EAGEAGGVFAGVLSYGLGFLLFILAVAAVTLYRLRSPPKKGLGSPAVHKVSRFPLKRQVS
+LESSSSMSSNTPLVRIARLSSGEGPTLANVSELELPADPKWELSRARLTLGKPLGEGCFG
+QVVMAEAIGIDKDRAAKPVTVAVKMLKDDATDKDLSDLVSEMEMMKMIGKHKNIINLLGA
+CTQGGPLYVLVEYAAKGNLREYLRARRPPGTDYSFDTCRLPEEQLTFKDLVSCAYQVARG
+MEYLASQKCIHRDLAARNVLVTEDNVMKIADFGLARDVHNLDYYKKTTNGRLPVKWMAPE
+ALFDRVYTHQSDVWSFGVLLWEIFTLGGSPYPGIPVEELFKLLKEGHRMDKPANCTHDLY
+MIMRECWHAAPSQRPTFKQLVEDLDRVLTVTSTDEYLDLSVPFEQYSPGGQDTPSSGSSG
+DDSVFAHDLLPPAPSGSGGSRT
+>tr|Q3MHM1|Q3MHM1_BOVIN Calsequestrin OS=Bos taurus OX=9913 GN=CASQ2 PE=2 SV=1
+MTPSKSSKAPFTFLPSAFLGKKHFAQMKRAHLFVVGVYLLSSCRAEEGLNFPTYDGKDRV
+VSLTEKNFKQVLKKYDLLCLYYHEPLSSDKVVQKQFQLKEIVLELVAQVLEHKDIGFVMV
+DAKKEAKLAKKLGFDEEGSLYILKGDRTIEFDGEFAADVLVEFLLDLIEDPVEIINSKLE
+VQAFERIEDHIKLIGFFKSEESEHYKAFEEAAEHFQPYIKFFATFDKGVAKKLSLKMNEV
+DFYEPFMDEPIAIPDKPYTEEELVEFVKEHQRPTLRRLRPEDMFETWEDDLNGIHIVAFA
+ERSDPDGYEFLEILKQVARDNTDNPDLSIVWIDPDDFPLLVAYWEKTFKIDLFKPQIGVV
+NVTDADSVWMDIPDDDDLPTAEELEDWIEDVLSGKINTEDDDNDDEEDEDDDDDDDNSDE
+EDNDDSDDDDE
+>tr|C4T8B4|C4T8B4_BOVIN Pentaxin OS=Bos taurus OX=9913 GN=CRP PE=2 SV=1
+MERLLWCFLVLISFSSVSGQTDLHKKAFVFPKETENSYVSLKTQLRTPLKAFTVCLYFYT
+ELARTHSYSIFSYATKQQPNEILIFWSKGKGYIFGVHGKQVLFQSPENTQAPTHICASWE
+STSGIAELWVNGKPRMRKSLEKGAILGTEASIILGQEQDAFAGGFDRNQCLVGDIGDVNM
+WDFVLSPEEINAVYLGSTLSPNVLNWQALNYEAKGEVFIKPQLW
+>tr|A5D7D5|A5D7D5_BOVIN MATN2 protein OS=Bos taurus OX=9913 GN=MATN2 PE=2 SV=1
+MEKMLVGCFLLLFGPTLLLLPAEARERRPPPRSTSRGRHARTHPQTALLGSACENKRADV
+VFIIDSSRSVNTHDYAKVKEFIVDILQFLDIGPDVTRVGLLQYGSTVKNEFSLKTFKRKS
+EVERAVKRMRHLSTGTMTGLAIQYALNIAFSEAEGARPLRENVPRVIMIVTDGRPQDSVA
+EVAAKARDTGILIFAIGVGQVDFNTLKAIGSEPHEDHVFLVANFSQIETLTSVFQKKLCT
+VHMCSILEHNCAHFCINTPGSYVCRCKQGYILNSDEKTCRIQDLCAADDHGCEQLCVNLL
+GSFVCQCYSGYALAVDGKRCEPVDYCASENHGCEHECVNADGSYLCRCPKGFALNPDKKT
+CAKIDYCASPNHGCQHECVNTDDSYACRCLKGFTLNPDQKTCRRINYCALNKPGCEHECI
+NTEEGYYCRCRRGYTLDPNGKTCSRVDHCAEQDHGCEQLCLNTEDSFVCQCSEGFLINDD
+LKTCSRVDYCLLSRHGCEYSCVNTDRSFVCECPEGHVLRSDGKTCAKLDACALGKHGCEH
+LCISSGDSFVCRCFEGYILRGDGKTCRRKDVCQAVDHGCEHACVSTGESYVCKCMEGFRL
+AEDGKRCRRKDVCKSTHHGCEHICVNRGNSYICKCSKGFILAEDGRRCKRCTEGPVDLVF
+VIDGSKSLGEDNFEIVKQFVTGIIDSLAISPKAARVGLLQYSTLVRTEFTLRNFSSAKDM
+KKAVAHMKYMGKGSMTGLALKHMFERSFTQVEGARPLSARVPRVAIVFTDGRAQDDVSEW
+ASKAQASGITMYAVGVGKAIEEELQEIASEPTEKHLFYAEDFSTMGEISDKLQKGICEAL
+EDSDGRQDSPAGELPKRVHQPTESEPVTINIRDLLSCSNFAVQHRYLFEEDNLARSTQKV
+FHSTKSSGSPLEEKQDQCKCENLIMFQNLANEEVRKLTQRLEEMTQRMEALENRLRYR
+>tr|A0A3Q1MMS9|A0A3Q1MMS9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MKRQRNTQQIKEQDKCPPNQTKEEEIGNLPDKEFRIMIVKLIQNLETKMESQINSLETRI
+EKMQERFNKDLEEIKKSQYIMNNAISEIKNTLEATNSRITEAEDRISELEDRMVEINESE
+RIKEKRIKRNEDNLRDLQDNIKRYNIRIIGVPEEEDKKKDHEKILEEIIVENFPKMGKEI
+ITQVQETQRVPNRINPRRNTPRHILIKLTKIKHKEQILKAAREKQQITHKGIPIRITADL
+SIETLQARREWQDILKMMKENNLQPRLLYPARISFKYEGEIKSFSDKQKLREFCTTKPAL
+QQILKDIL
+>tr|A4FUC6|A4FUC6_BOVIN SFRS9 protein OS=Bos taurus OX=9913 GN=SRSF9 PE=2 SV=1
+MSGWADERGGEGDGRIYVGNLPSDVREKDLEDLFYKYGRISEIELKNRHGLVPFAFVRFE
+DPRDAEDAIYGRNGYDYGQCRLRVEFPRTYGGRGGWPRGGRSGPPTRRSDFRVLVSGLPP
+SGSWQDLKDHMREAGDVCYADVQKDGMGMVEYLRKEDMEYALRKLDETKFRSHEGETSYI
+RVYPERSTSYGYSRSRSGSRGRDSPYQSRGSPHYFSPFRPY
+>tr|A6QQT4|A6QQT4_BOVIN Aldehyde dehydrogenase OS=Bos taurus OX=9913 GN=ALDH3A2 PE=1 SV=1
+MEREVQRVRAAFGSGRSRPLTFRRRQLEALRVMVQEREKDILAAIGADLSKSEFNAYSQE
+VITVLGEIDLMLEKLPEWAAAKPAQRNLLTMLDEAYIQPEPLGVVLIIGAWNYPFALTIQ
+PLIGAIAAGNAVIIKPSEVSENTAKLLAKLLPQYLDQDLYAVVTGGVEETTELLKQRFDH
+ILYTGNTTVGKIVMQAAAKHLTPVTLELGGKSPCYVDRDCDLDVACRRIAWGKFMNCGQT
+CIAPDYVLCEPSLQDLIVQKVQEAVKGFYGENVKESPDYERIVNLRHFKRIQSLLEGQKI
+AFGGEMDEATRYIAPTILTDVDPGTKVMQEEIFGPILPIVPVKNADEAIQFINEREKPLA
+FYVFSHNSKLIKRMIDGTSSGGVTGNDVIMHFTLSSLPFGGVGSSGMGAYHGKHSFDTFS
+HQRPCLLKTLKREGMNQLRYPPNSQSKVDWAKFFMLKRFNKERLCLLLLTLLGVLAAVLI
+KAGYY
+>tr|E1BNF3|E1BNF3_BOVIN Forkhead box J1 OS=Bos taurus OX=9913 GN=FOXJ1 PE=4 SV=1
+MAESWLRLSGAGAAEEPGPEGGLEEPDALDDSLTSLQWLQEFSILNAKAPALPSGGTDPH
+GYHQVPGSAAPGSPLAADPACLGQPHTPGKPTSSCTSRSAPPGLQAPPPDDVDYATNPHV
+KPPYSYATLICMAMQASKATKITLSAIYKWITDNFCYFRHADPTWQNSIRHNLSLNKCFI
+KVPREKDEPGKGGFWRIDPQYAERLLSGAFKKRRLPPVHIHPAFARQAVQEPSAAPWAGP
+LTVNTEAQQLLREFEEATGEAGWGAGEGRLGHKRKQPLPKRVAKVPRPPSTLLLTQEEQG
+ELEPLKGNFDWEAIFDAGTLGGELGSLEALELSPPMSPASHGDVDLTVHGHHIDCPATWG
+ASVEQAADSLDFDETFLATSFLQHPWDESSSSCLPPEPLFEAGDATLATDLHDWASVGAF
+L
+>tr|E1BAY5|E1BAY5_BOVIN F-box protein 30 OS=Bos taurus OX=9913 GN=FBXO30 PE=4 SV=1
+MEEELQHSHCVNCVSRRCMTRPEPGISCDLIGCPLVCGAVFHACKADEHRLLCPFERVPC
+LNSDFGCPFTMARNKVAEHLETCPASVVCCTMEWNRWPVSYADRKSYENLSRDVDEVAQL
+DMALALQDQRMLLESLKVATMMSKATDKVSEPREQISVKPSVSEIPHTNGLVSVDEESYG
+ALYQATVETTRSLAAALDILNTATRDIGMLSTSLCASLNEMNEEQKAREGLQNRNQKDQD
+HLYEDEMGAVGGIDHKDTSQNAQLEQNGSSDLLCDFNASSYGTSALCNGFPLEDICAQVI
+EQNQDLHTDSKQSNLTNGECVASGGSSEPSSSLLVAAQVREVIPPNALPNGTVQHILVPD
+DDEDLCWKKVDLGDLRNVDVLSFSHPPSFKFLSNSCWSKPKEDKAVDTSDLEVAEDPMGL
+QGIDLITAALLFCLGDSPGGRGISDSRMADVYHVDFGTQTFSLPSTILATDTMVGEIASA
+SACDHANPQLSNPSPFQTLGLDLVLECVARYQPKQRPMFTFVCGQLFRRKEFSSHFKNVH
+GDIHAGLNGWMEQRCPLAYYGCTYSQRRFCPSTQGAKIIHDHHLRSFGVQPSVSTVLVEP
+ARNCVLGLHSDHLSSLPFEVLQHIAGFLDGFSLCQLSCVSKLMRDVCGSLLQSRGMVILQ
+WGKKKYPEGNSSWQIKEKVWRFSTAFCSVDEWKFADILSMADHLKKCSYNIVEKREEAIP
+LPCMCVTRELTKEGRSLRSVLKPVL
+>tr|F1MQJ9|F1MQJ9_BOVIN XIAP-associated factor 1 OS=Bos taurus OX=9913 GN=XAF1 PE=4 SV=3
+MEGALQVCRNCKRRVASNHLGLHEAYCLMYLVLCPECKEPVLQHEMEEHCQGGHQQVGCA
+MCQQSVPKHSLESHEAQECQERPVECQFCQLAVRLNKVDLHEHHCGQQTELCPDCGQHVM
+LRVLARHREKCQREQLRLQKGKSIPVPESNICCHYCNQMIPGNKYFHHLDRCRRVSGAVT
+PSPVGKPEIPPSSPLSWAAEDQTSKAEKDVRPKLKNRHRAPFLSEKSTRQAPRGTNKTTN
+LSLKSNGKLRASSPVEDETAYDILRRCSQCDILLPLPTLNHHQEKCRRLASSKGKQV
+>tr|Q08DQ4|Q08DQ4_BOVIN Serpin family B member 9 OS=Bos taurus OX=9913 GN=SERPINB9 PE=2 SV=1
+MDALCEANGTFTLRLLKALCEHRPSENVIFSPVSLSSVLAMVLLGAKGDTAAQMAQVLSL
+NTETDFHQDFQQLLVELNKTDTQYLLRTANRIFGEKTYEFLSTFKESCLRFYYAELEQLS
+FAEAAEPSRKHINAWISKKTEGKIPELLSADSINAETKLVLVNAVYFRGRWSEEFDKAYT
+REMPFRVNQKEQRPVQMMFQDSEFRLAHIKEVQAKVLELPYAGEELSMLVLLPDDHVPLN
+SVEKHLTWEKFLAWTHPDSMKKTQVSVFLPKFKLGKTYNMRSVLSGLRVAEAFQPGRADF
+SGMSHGKGLCLSTLAHRSVVEVNEEGTEAAAASAAIEVDCGLDQPRFCADHPFLFFIRHN
+GSRSTLFCGRFSSP
+>tr|A7Z018|A7Z018_BOVIN RCC1 protein OS=Bos taurus OX=9913 GN=RCC1 PE=2 SV=1
+MPPKRIAKRRSPPEDALPKSKKVKVSHRSHGTEPGVVLTLGQGDVGQLGLGENVMERKKP
+ALVSIPEDIVQAEAGGMHTVCLSKSGQVYSFGCNDEGALGRDTSVEGSEMVPGKVDLQEK
+VIQVSAGDSHTAALTEDGRVFLWGSFRDNNGVIGLLEPMKKSMVPVQVQLSVPVVKVASG
+NDHLVMLTVDGDLYTLGSGEQGQLGRVPELFANRGGRQGLERLLVPKCVMLKSRGSRGHV
+RFQDAFCGAYFTFAISSEGHVYGFGLSNYHQLGTQGTESCFVPQNLTSFKNSTKSWVGFS
+GGQHHTVCMDSEGKAYSLGRAEYGRLGLGEGAEEKSVPTLIPRLPTVSSVACGASVGYAV
+TKDGRVFAWGMGTNYQLGTGQDEDAWSPVEMTGKQLENRVVLTVSSGGQHTVLLVKDKEQ
+SW
+>tr|Q2ABB1|Q2ABB1_BOVIN Taste receptor type 2 OS=Bos taurus OX=9913 GN=T2R65A PE=3 SV=1
+MSDFVRPHRQQPTRLPHPWDSPGKNTGVGCHFLLQCMKVKSQSEVAQLCLTPSDPMDCSL
+PGSSIHGIFQARVLEWGWALTNHLTIWFATCLSIFYFLKIASFSHFFFMWLKWRMNRVLL
+VLFLAFFFLLSFDLLMQDALGELWMNTFREPERNMTLHLDASKIFYLKSLILLRLTYVIP
+FLLSLASFLLFFLSLVRHIKNFQVNLNHSRDFSTEADKRATKMVTTFLHLVIVYFISILI
+GEWIFFKLHWYEVMMFVMVIPTLFSSGHSFVIILGNSKLRQIAFRLLWGLKFSKN
+>tr|A6QP48|A6QP48_BOVIN MGC154957 protein OS=Bos taurus OX=9913 GN=TEPSIN PE=2 SV=1
+MSGVEGQVPAAADVGLPAGMGSQSRPQSALQGFGYSKERAHTGSAGEAFLSTIQKAAEVV
+ASAVRPGPESPSCQRSLPRGDTYQPAVTPSTSLGTHASGSSLPAASPGARAARHQPGQAG
+GGWDELDSSPSSQDSSQENDDLGKASDSGSRSGSDSPSGASRAPSDLAERVEAVTLSDCQ
+QELSLVRTVTQGQHTFLSREEVQHFVKECGLLNCEAVLELLIRHLAATSERVQMRALGAI
+ASLGCTDLLSQERVLLLTRPRLQELSAGSPGPVTNKATKILRHFEASCRQWPPSRRSPAE
+PGPAAAHVGPSDLLTDTLPFTGGPAFLQPLSSALFFPKGSAPPSGLQPSPVPPTSPDSCP
+LPVPGAGRDAETGLAGSTDQGAASEQGPLYMDTPKGGPEIGLGLSHSCSSLFAGMELVAC
+PRLVGAGTAAEEPLPAHQAPWTLSQRLAAKEPSGSEPSAFTFLNS
+>tr|F1MZB4|F1MZB4_BOVIN Peptidyl-glycine alpha-amidating monooxygenase OS=Bos taurus OX=9913 GN=PAM PE=4 SV=1
+MAGFRSLLVLLLVFPSGCVGFRSPLSVFKRFKETTRSFSNECLGTTRPVIPIDSSDFALD
+IRMPGVTPKQSDTYFCMSVRLPMDEEAFVIDFKPRASMDTVHHMLLFGCNMPASTGNYWF
+CDEGTCTDKANILYAWARNAPPTRLPKGVGFRVGGETGSKYFVLQVHYGDISAFRDNHKD
+CSGVSLHLTRLPQPLIAGMYLMMSVDTVIPPGGKVVNSDISCHYKKYPMHVFAYRVHTHH
+LGKVVSGYRVRNGQWTLIGRQSPQLPQAFYPVEHPVDVSFGDILAARCVFTGEGRTEVTH
+IGGTSSDEMCNLYIMYYMEAKHAVSFMTCTQNVAPDIFRTIPPEANIPIPVKSDMVMMHG
+HHKETENKDKTSLLQQPKREEEGVLEQGDFYSLLSKLLGEREDVVHVHKYNPTVKAESES
+DLVAEIANVVQKKDLGRSDTRESAEQERGNAILVRDRIHKFHRLVSTLRPAESRVLSLQQ
+PLPGEGTWEPEHTGDFHVEEALDWPGVYLLPGQVSGVALDPQNNLVIFHRGDHVWDGNSF
+DSKFVYQQRGLGPIEEDTILVIDPNNAAVLQSSGKNLFYLPHGLSIDKDGNYWVTDVALH
+QVFKLDPKSKEGPLLTLGRSMQPGSDQNHFCQPTDVAVDPDTGTIYVSDGYCNSRLVQFS
+PSGKFITQWGEASLESSPKPGQFRVPHSLALVPPLGQLCVADRENGRIQCFKTDTKEFVR
+EIKHPSFGRNVFAISYIPGLLFAVNGKPYFEDQEPVQGFVMNFSSGEIIDVFKPVRKHFD
+MPHDIAASEDGTVYVGDAHTNTVWKFTSTEKMEHRSVKKAGIEVQEIKESEAVVETKMEN
+KPASSELQKIQEKQKLVKEPGSGVPAVLITTLLVIPVVVLLAIALFIRWKKSRAFGDSER
+KLEASSGRVLGRLRGKGGGGLNLGNFFASRKGYSRKGFDRLSTEGSDQEKDEDASESEEE
+YSAPPPAPAPSS
+>tr|Q1RMQ2|Q1RMQ2_BOVIN Nuclear assembly factor 1 ribonucleoprotein OS=Bos taurus OX=9913 GN=NAF1 PE=2 SV=1
+MEVVEASAAQLQTLKFGGAGVGIGQEVAAPAPGPAPVAAPPADQGAPESAGEPSPQLLPP
+SLPGPPLEGSGLETSDSDSDSDSDSDSETDSDSSSSSSSSSSSSSSSSRMSLPPVLSDGE
+DDLLVEKENKNFPLKTKDELLLSELPSVEELTIVLPEDIELKPLGMVSSIIEQLVIIESM
+TNVPPVNEETVIFKTDRQAAGKIFEIFGPVAHPFYVLRFNSSEHIENKGIKIKDTMYFAP
+SMKDFTQYIFTEKLKQDRGSDASWKNDQEPPPEALDFSDDEKEKEAKQRKKSQIQGRKKF
+RSEFNEPGEDFAEVHHNWNVHSSASEHSKGYHNREFTRGFSQGENTEKKSIIMSWQKSCV
+>tr|A2VDV8|A2VDV8_BOVIN MinK-related peptide 3 OS=Bos taurus OX=9913 GN=KCNE4 PE=2 SV=1
+MLKMEPLNSTDAGTAAPSAPLESPVSGSGHGNEYFYVLVVMSFYGIFLIGITLGYMKSKR
+REKKASLLLLYRDEERLWGEAMKPLATLSGLRSVQAPTMLNVLQESVAPALSCTLCSMEG
+DSVSSESSSPDVHLTIQEEGADDELGETSETPLNESSEGSSENIHQNS
+>tr|F1MHV9|F1MHV9_BOVIN NAD(P)(+)--arginine ADP-ribosyltransferase OS=Bos taurus OX=9913 GN=LOC618664 PE=3 SV=3
+MIQATLLISLSCLSFYTLSSGVRRYDPGQGVTIQYLSLAPDTFDDAYVGCSEEMEEKAVL
+LLEKEMANHTRLRESWETAQKAWEQKRAGLTLPPGFRSQHGIAIMVYTNSSNTLYRELNQ
+AVRTGGGSWESYMKHFPFKALHFYLTRALQLLRGGGGCSREPRQEVFRGVRRIHFVPKSV
+GDSIRLGQFASSSLDEAVACGFGSATFFSLRTCSGAPIQALSVFPEEREVLIPPYEVFVV
+SNFSKDGNKSLMTLSSSDQMCSHFNCAYLGEKKRPSCEFVPIGGQGDSLSKGAFSLLSWK
+TLLLASWGFQLLGAGL
+>tr|Q29RN0|Q29RN0_BOVIN Cysteine/tyrosine-rich 1 OS=Bos taurus OX=9913 GN=CYYR1 PE=2 SV=1
+MEPHLTVAPTMPILGIFFLAPPPYNYDHEMEYCADLPPPYSPTPAQRSPPPPYPGNSRK
+>tr|Q2KI72|Q2KI72_BOVIN Mitogen-activated protein kinase OS=Bos taurus OX=9913 GN=MAPK9 PE=2 SV=1
+MSDSKCDSQFYSVQVADSTFTVLKRYQQLKPIGSGAQGIVCAAFDTVLGINVAVKKLSRP
+FQNQTHAKRAYRELVLLKCVNHKNIISLLNVFTPQKTLEEFQDVYLVMELMDANLCQVIH
+MELDHERMSYLLYQMLCGIKHLHSAGIIHRDLKPSNIVVKSDCTLKILDFGLARTACTNF
+MMTPYVVTRYYRAPEVILGMGYKENASQARDLLSKMLVIDPDKRISVDEALRHPYITVWY
+DPAEAEAPPPQIYDAQLEEREHAIEEWKELIYKEVMDWEERSKNGVVKDQPSDAAVSSNA
+TPSQSSSINDISSMSTEQTLASDTDSSLDASTGPLEGCR
+>tr|A5D7S5|A5D7S5_BOVIN SLC25A35 protein OS=Bos taurus OX=9913 GN=SLC25A35 PE=2 SV=1
+MDFLMSGLAACGACLFTNPLEVVKTRMQLQGELRAPGTYQRHYRNVFHAFITIGKVDGLA
+ALQRGLAPALLYQFLMNGIRLGTYGLAEAGGYLHTAEGTLNPVRSAAAGALAGVMGAYLG
+SPIYMVKTHLQAQAATEIAVGHQYNHQGMFQALTKIGQKHGLVGLWRGALGGLPRVIVGS
+STQLCTFSSTKDLMTQWEIFPPQSWKVALAAAMVSGIAVVLAMTPFDVVSTRLYNQPTDA
+QGKGLMYRGLLDALLQTARTEGIFANQHSLDSSDSIFYYRETSDQMTFHPSEGDRQHHSP
+SDFSGLNHYKG
+>tr|Q148D1|Q148D1_BOVIN Coiled-coil domain containing 12 OS=Bos taurus OX=9913 GN=CCDC12 PE=2 SV=1
+MAATAAGVGRLEEEALRRKERLKALREKTGRKDKEDGEPNTKQLREGEEEGEKHRELRLR
+NYVPEDEDLKKRRVPQAKPVAVEEKVKEQLEAAKPEPVIEEVDLANLAPRKPDWDLKRDV
+AKKLEKLEKRTQRAIAELIRERLKGQEENLASAVATTAATEPEACDSD
+>tr|Q3SZ17|Q3SZ17_BOVIN Nuclear protein 1 OS=Bos taurus OX=9913 GN=NUPR1 PE=2 SV=1
+MATFPRAASPSRQPPGPEDEDAVLDEYDLYSLAHSYPGVGGRKGRSKREAAINTNRHSPG
+GHERKLVTKLQNTERKKRGARP
+>tr|Q0VCN9|Q0VCN9_BOVIN Folate receptor 2 (Fetal) OS=Bos taurus OX=9913 GN=FOLR2 PE=2 SV=1
+MPWKLTPLLLFLGWMTSVCSARTRTDLLNVCMDAKHHKAEPGPEDKLHNQCTPWKKNACC
+SARVSQELHKDTSSLYNFTWDHCGKMEPACQRHFIQDNCLYECSPNLGPWIQEVNQKWRK
+ERFLNVPLCKEDCQSWWEDCRTSYTCKSNWHRGWDWTSGSNKCPTGTICRTFEAYFPTPA
+ALCEGLWSHSYKLSNYSRGSGRCIQMWFDPALGNPNEEVARFYALALTAEAWPQGIRPLL
+LCLALMLSLWLHD
+>tr|A7MBD3|A7MBD3_BOVIN Claudin OS=Bos taurus OX=9913 GN=CLDN19 PE=2 SV=1
+MANSGLQLLGYFLAMGGWVGIIASTALPQWKQSSYAGDAIITAVGLYEGLWMSCASQSTG
+QVQCKLYDSLLALEGHIQSARALMVVAVLLGFVAMVLSVVGMKCTRVGDSNPIAKGRVAI
+AGGALFLLAGLCTLTAVSWYATLVTQEFFNPSTPVNARYEFGPALFVGWAAAGLALLGGS
+FLCCTCPEPERANNSPQPYRPGPSTAAREYV
+>tr|Q08DJ3|Q08DJ3_BOVIN Far upstream element (FUSE) binding protein 1 OS=Bos taurus OX=9913 GN=FUBP1 PE=1 SV=1
+MADYSTVPPPSSGSAGGGGGGGGGGGVNDAFKDALQRARQIAAKIGGDAGTSLNSNDYGY
+GGQKRPLEDGDQPDAKKVAPQNDSFGTQLPPMHQQQRSVMTEEYKVPDGMVGFIIGRGGE
+QISRIQQESGCKIQIAPDSGGLPERSCMLTGTPESVQSAKRLLDQIVEKGRPAPGFHHGD
+GPGNAVQEIMIPASKAGLVIGKGGETIKQLQERAGVKMVMIQDGPQNTGADKPLRITGDP
+YKVQQAKEMVLELIRDQGGFREVRNEYGSRIGGNEGIDVPIPRFAVGIVIGRNGEMIKKI
+QNDAGVRIQFKPDDGTTPDRIAQITGPPDRCQHAAEIITDLLRSVQAGNPGGPGPGGRGR
+GRGQGNWNMGPPGGLQEFNFIVPTGKTGLIIGKGGETIKSISQQSGARIELQRNPPPNAD
+PNMKLFTIRGTPQQIDYARQLIEEKIGGPVNPLGPPVPHGPHGVPGPHGPPGPPGPGTPM
+GPYNPAPYNPGPPGPAPHGPPAPYAPQGWGNAYPHWQQQAPPDPAKTGTDPNSAAWAAYY
+AHYYQQQAQPPPAAPAGAPTTTQTNGQGDQQNPAPAGQVDYTKAWEEYYKKMGQAVPAPT
+GAPPGGQPDYSAAWAEYYRQQAAYYAQTSPQGMPQHPPAPQGQ
+>tr|A7MBA0|A7MBA0_BOVIN EGLN3 protein OS=Bos taurus OX=9913 GN=EGLN3 PE=2 SV=1
+MPLGHIMRLDLEKIALEYIVPCLHEVGFCYLDNFLGEVVGDCVLERVKQLHCNGALRDGQ
+LAGPRAGVSKRHLRGDQITWIGGNEEGCEAINYLLSLIDRLVLYCGSRLGKYYVKERSKA
+MVACYPGNGTGYVRHVDNPNGDGRCITCIYYLNKNWDAKRHGGVLRIFPEGKSFIADVEP
+IFDRLLFFWSDRRNPHEVQPSYATRYAMTVWYFDAEERAEAKKKFRNLTRKTEPALTED
+>tr|A0A3Q1LI10|A0A3Q1LI10_BOVIN Receptor-type tyrosine-protein phosphatase alpha OS=Bos taurus OX=9913 GN=PTPRA PE=3 SV=1
+MDDAVQVAKEVSMDSWFLLTLLGSGLIHVGASNTTTVSPSVGVTRSVKASTTESLKEETK
+TSNPTPSVTSSLTPTFSPNLTLGPVYVTTVNSSDSDNGTTRTVSTNSVVTTISPNGTWLP
+DNQFTDTRTEPWEGNASTAATTPETFPPSGNSDSKDRRDETPIIAVMVALSSLLVIVFII
+IVLYMLRFKKYKQAGSHSNSFRLSNGRTEDVEPQSVPLLARSPSTNRKYPPLPVDKLEEE
+INRRMADDNKLFREEFNALPACPIQATCEAASKEENKEKNRYVNILPYDHSRVHLTPVEG
+VPDSDYINASFINGYQEKNKFIAAQGPKEETVNDFWRMIWEQNTATIVMVTNLKERKECK
+CAQYWPDQGCWTYGNIRVSVEDVTVLVDYTVRKFCIQQVGDVTNRKPQRLITQFHFTSWP
+DFGVPFTPIGMLKFLKKVKACNPQYAGAIVVHCSAGVGRTGTFVVIDAMLDMMHTERKVD
+VYGFVSRIRAQRCQMVQTDMQYVFIYQALLEHYLYGDTELEVTSLETHLQKIYNKIPGTS
+NNGLEEEFKKLTSIKIQNDKMRTGNLPANMKKNRVLQIIPYEFNRVIIPVKRGEENTDYV
+NASFIDGYRQKDSYIASQGPLFHTIEDFWRMIWEWKSCSIVMLTELEERGQEKCAQYWPS
+DGLVSYGDITVELKKEEECESYTVRDLLVTNTRENKSRQIRQFHFHGWPEVGIPSDGKGM
+ISIIAAVQKQQQQSGNHPITVHCSAGAGRTGTFCALSTVLERVKAEGILDVFQTVKSLRL
+QRPHMVQTLEQYEFCYKVVQEYIDAFSDYANFK
+>tr|E1BM54|E1BM54_BOVIN Dickkopf WNT signaling pathway inhibitor 1 OS=Bos taurus OX=9913 GN=DKK1 PE=2 SV=1
+MTALGTAGAARVLVALVAAALCGHPLLGVSATLNFVLNSNAIKNLPRPLGGAAGHPGSAV
+SAAPGIPFEGGSKYQTIDNHQPYPCAEDEECSTDEYCASPTRGAGAQICLACRKLRKRCM
+RHAMCCPGNHCKNGICMPSDQNHFHRGEIEETIIESFGNEHSTLDGYSRRTTLSSRMYHT
+KGQEGSACLRSSDCAAGLCCARHFWSKICKPVLKEGQVCTKHRRKGSHGLEIFQRCYCGE
+GLSCQIQKDHHQASNSSRLHTCQRH
+>tr|Q1RMT5|Q1RMT5_BOVIN Armadillo repeat containing X-linked 2 OS=Bos taurus OX=9913 GN=ARMCX2 PE=2 SV=1
+MSRVRDAGCVAAGIVIGASAWYCVYKYARGRNHMMKKRLAKPKTRAVAETGARARAGLRA
+GFTIDLGPGFSPPTPVRTGAEERAQDESSALDTAGVEAVVPAASSAETQSGAGNKGQETE
+GAWVGLKAESVATVAFAVAPPLWEAKAPLAAKAPTMVGAPKLAEAPGAAEAPRAPVVPHV
+VPVPTEASQPTEPVEASIPAMPTGAAATLGVAAPSGTAEAPGPSGSSRTAAATKKATPGA
+HTGAIPKAGSATGAVPKGGAKGVTRSRTGGKGKGKKNKVEVDELGLGFRPGDGAAAAAAA
+SANGGQAFLAEVPDSEEGESGWTDTESESDSEPETQQKGKGRRPVPMQKRPFPYEIDEIL
+GVRDLRKVLALLQKSDDPFIQQVALLTLSNNANYSCNQDTIRKLGGLPIIANMINKTDPH
+IKEKALMAMNNLSENYENQGRLQVYMNKVMDDIMASNLNSAVQVVGLKFLTNMTITNDYQ
+HLLVNSIANFFRLLSQGGGKIKVEILKILSNFAENPDMLKKLLSTQVPSSFSSLYNSYVE
+SEILINALTLFEIIYDNLRAEVFNYREFNKGSLFYLCTASGVCVKKIRALADHHDLLVKV
+KVIKLVDKF
+>tr|Q58DG4|Q58DG4_BOVIN Carboxylic ester hydrolase OS=Bos taurus OX=9913 GN=CEL PE=2 SV=1
+MGRWELAVLGLACCLAVASAAKLGSVYTEGGFVEGVNKKLSLFGDSIDIFKGIPFAAAPK
+ALEKPERHPGWQGTLKAKSFKKRCLQATLTQDSTYGNEDCLYLNIWVPQGRKEVSHDLPV
+MIWIYGGAFLMGASQGANFLSNYLYDGEEIATRGNVIVVTFNYRVGPLGFLSTGDSNLPG
+NYGLWDQHMAIAWVKRNIEAFGGDPDNITLFGESAGGASVSLQTLSPYNKGLIKRAISQS
+GVGLCPWAIQQDPLFWAKRIAEKVGCPVDDTSKMAGCLKITDPRALTLAYKLPLGSTEYP
+KLHYLSFVPVIDGDFIPDDPVNLYANAADVDYIAGTNDMDGHLFVGMDVPAINSNKQDVT
+EEDFYKLVSGLTVTKGLRGANATYEVYTEPWAQDSSQETRKKTMVDLETDILFLIPTKIA
+VAQHKSHARSANTYTYLFSQPSRMPIYPKWMGADHADDLQYVFGKPFATPLGYRAQDRTV
+SKAMIAYWTNFARTGDPNTGHSTVPANWDPYTLEDDNYLEINKQMDSNSMKLHLRTNYLQ
+FWTQTYQALPTVTSAGASLLPPEDNSEASPVPPADNSGAPTEPSAGDSEVAQMPVVIGF
+>tr|F1MBR1|F1MBR1_BOVIN Heat shock 27kDa protein 2 OS=Bos taurus OX=9913 GN=HSPB2 PE=2 SV=2
+MSGRSVPHAHPATAEYEFANPSRLGEQRFGEGLLPEEILTPTLYHGYYVRPRAAPGGEGS
+RAGASELRLSEGKFQAFLDVSHFTPDEVTVRTVDNLLEVSARHPQRLDRHGFVSREFCRT
+YVLPADVDPWRVRAALSHDGILNLEAPRGGRHLDTEVNEVYISLLPAPPDPEEEEEAVGV
+EP
+>tr|A5PJP8|A5PJP8_BOVIN MAP2K4 protein OS=Bos taurus OX=9913 GN=MAP2K4 PE=2 SV=1
+MAAPSPSGGGGGGGGSGGGSGTPGPAGSPAPGHPAVSSMQGFQINFCEKAQSKRKALKLN
+FANPPFKSTARFTLNPNPAGVQNPHIERLRTHSIESSGKLKISPEQHWDFTAEDLKDLGE
+IGRGAYGSVNKMVHKPSGQIMAVKRIRSTVDEKEQKQLLMDLDVVMRSSDCPYIVQFYGA
+LFREGDCWICMELMSTSFDKFYKYVYSVLDDVIPEEILGKITLATVKALNHLKENLKIIH
+RDIKPSNILLDRSGNIKLCDFGISGQLVDSIAKTRDAGCRPYMAPERIDPSASRQGYDVR
+SDVWSLGITLYELATGRFPYPKWNSVFDQLTQVVKGDPPQLSNSEEREFSPSFINFVNLC
+LTKDESKRPKYKELLKHPFILMYEERAVEVACYVCKILDQMPATPSSPMYVD
+>tr|A4IFU5|A4IFU5_BOVIN Histone H2A OS=Bos taurus OX=9913 GN=HIST3H2A PE=2 SV=1
+MSGRGKQGGKARAKAKSRSSRAGLQFPVGRVHRLLRKGNYSERVGAGAPVYLAAVLEYLT
+AEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGRVTIAQGGVLPNIQAVLLPKK
+TESHHKAKGK
+>tr|A4IFC6|A4IFC6_BOVIN SCARB1 protein OS=Bos taurus OX=9913 GN=SCARB1 PE=2 SV=1
+MGNLSRARRVTAALGFIGLLFAVLGIIMIVMVPSIIKQQVLKNVRIDPNSLSFNMWKEIP
+VPFYLSVYFFNIVNPEGIIQGQKPQVQEHGPYVYREFRHKSNITFNNNDTVSFLEYRSYQ
+FQPDKSRGQESDYIVMPNILVLSASMMMENRPGLLKLMMTLAFSTLGQRAFMNRTVGEIM
+WGYDDPLIHLINQYFPNSLPFKGKFGLFAELNNSDSGLFTVFTGVKNFSRIHLVDKWNGV
+SKVNYWHSDQCNMINGTSGQMWAPFMTPESSLEFYSPEACRSMKLVYKEQGVFGGIPTFR
+FVAPSTLFANGSVYPPNEGFCPCRESGIQNVSTCRFNAPLFLSHPHFYNADPVLAEAVSG
+LHPNPKEHSLFLDIHPVTGIPMNCSVKLQLSLFVKSVKGIGQTGNIQPVVLPLMWFEESG
+AMEGETLETFYIQLVLMPKVLHYAQYVLLALGCVLLLIPIIYQIRSQGSKDAISQPCLAT
+QPDQLPSPYTPLLQDSLRGQPTSPKV
+>tr|Q08DR2|Q08DR2_BOVIN D4, zinc and double PHD fingers family 1 OS=Bos taurus OX=9913 GN=DPF1 PE=2 SV=1
+MATVIPGPLSLGEDFYREAIEHCRSYNARLCAERSLRLPFLDSQTGVAQNNCYIWMEKTH
+RGPGLAPGQIYTYPARCWRKKRRLNILEDPRLRPCEYKIDCEAPLKKEGGLPEGPVLEAL
+LCAETGEKKIELKEEETIMDCQKQQLLEFPHDLEVEDPEDDMPRRKNRAKGKAYGIGGLR
+KRQDTASLEDRDKPYVCDICGKRYKNRPGLSYHYTHTHLAEEEGEENAERHALPFHRKNN
+HKQFYKELAWVPESQRKHTAKKAPDGTVIPNGYCDFCLGGSKKTGCPEDLISCADCGRSG
+HPSCLQFTVNMTAAVRTYRWQCIECKSCSLCGTSENDDQLLFCDDCDRGYHMYCLSPPMA
+EPPEGSWSCHLCLRHLKEKASAYITLT
+>tr|A6QNR4|A6QNR4_BOVIN MTMR4 protein OS=Bos taurus OX=9913 GN=MTMR4 PE=2 SV=1
+MGEEGPPSLEYIQAKDLFPHKELVKEEENLQVPFTVLQGEGVEFLGRAADALIAISNYRL
+HIKFKDSVINVPLRMIDSVESRDMFQLHIACKDSKVVRCHFSTFKQCQEWLSRLSRATAR
+PAKPEDLFAFAYHAWCLGLTEEDQHAHLCQPGEHVRCRQEAELARMGFDLQNVWRVSHIN
+SNYKLCPSYPQKLLVPVWITDKELENVASFRSWKRIPVVVYRHLRNGAAIARCSQPEISW
+WGWRNADDEYLVTSIAKACALDPGPRTSGGSLSTGSSEASEACDTDFDSSLTACSGVESS
+TAAPQKLLILDARSYTAAVANRAKGGGCECEEYYPNCEVVFMGMANIHAIRNSFQYLRAV
+CSQMPDPSNWLSALESTKWLQHLSVMLKAAVLVANTVDREGRPVLVHCSDGWDRTPQIVA
+LAKILLDPYYRTLEGFQVLVESDWLDFGHKFGDRCGHQENAEDQNEQCPVFLQWLDSVHQ
+LLKQFPCLFEFNEAFLVKLVQHTYSCLYGTFLANNPCEREKRNIYKRTCSVWALLRAGNK
+NFHNFLYTPGSEMVLHPVCHVRALHLWTAVYLPASSPCTLGEESMDLYLSPVTQSQEFSG
+RSLDRLPKTRSMDDLLSACDTSSPLTRTSSDPNLNNHCQEARAGLEPWHGNPEASQTAFV
+ESGAGGPQQAIGEMAGPPSLPSSQKDYLSNKPFKSHRNGSPGYKPLGATVPQETKSSTSE
+PETKALTETQAPAPGPPAQDELDRTSDGTEEPPERCPEKAAIHTLSKVVSDKREGTGDFP
+ESSQNPLPGALQQAQLDSLLGMPSRRAPDHGLGTLCNPPSATYQTPPNPSAYVPNQDPPG
+CAASVANQEPPSSVLDTIHGEEDTGKRGNHRNGQLLENPRLGKVPLELARKPISQSQISE
+FSFLGSNWDSFHGMVTSLPSGETTPRRLLSYGCCSKRASSKQTRAPGPCFGGQWAQREGV
+KSPVCSSHSNGHCTGPGGKNNRMWLSGHPKQVPSMKPVALSCPSPVPPLYLDDDGLPFPT
+DVIQHRLRQIEAGYKQEVEQLRRQVRELQMRLDIRHCCAPPAEPPMDYEDDFTCLKESDG
+SDTEDFGSDHSDDCLSEASWEPVDKKETEDAFLAAVLLEFFLLPSVR
+>tr|A6QPB1|A6QPB1_BOVIN LDL receptor related protein 10 OS=Bos taurus OX=9913 GN=LRP10 PE=2 SV=1
+MLPAILLLFLGGTVAHPDRIIFPNPACEDPPAVLLEVQGTLQRPVGRDSRSSPANCTWLI
+LGRKEQTVTVRFQKLHLACGSERLTLRSPIQPQISLCEAPASPLQLPGGNVTITYSYVGA
+RAPMGQGFLLSYSQDWLMCLQEEFQCLNHRCVPLLQRCDGVDACGDGSDEAGCSSDPFPD
+LALAPVLTPPCNHTLEDFYGVFSSFGYSHLASVSHPQSCRWLLDPRDGRRLAVRFTALDL
+GYDDAVRVYDGAGPLETLRLLRSLTRFSNGKAVTVETLSGQATVDYRTVPWSTGRGFNAT
+YHVRGYCLPWDRPCGLSSGLGASEGLGERCYSEAQRCDGSWDCADGTDEENCPSCPPGHY
+PCGAAGTPGATACYLPTDRCNYQTFCADGADERRCRHCQPGNFRCRDEKCVYETWVCDGQ
+PDCADGSDEWDCSYALPRKVITAAVIGSLVCGLLLVIALGCTCKLYAIRTQEYSIFAPLS
+RMEAEIVQQQAPPSYGQLIAQGAIPPVEDFPTENPNDNSVLGNLRSLLQILRQDMTPGST
+SGARRRQRGRSMRRLVRRLRRWGLLPRTNPPARTPETRSQATPSTAPPETLDGSTGPAHE
+GGAVGGQDGDQAPPLPVKAPLPPASTSPACPTVPEAPGPLPAVPLEPSLLSGVVQALRGR
+LLPSLRPPGPTRASPGPHTTVLSPEDEDDVLLVPLAEPGVWVVEAEDEPLLA
+>tr|G3N1Y0|G3N1Y0_BOVIN Kelch like family member 31 OS=Bos taurus OX=9913 GN=KLHL31 PE=4 SV=1
+MAPKKKTVRKNKADVNEMTIIVEDSPLNKLNALNGLLEGGNGLSCISSELTDASYGPNLL
+EGLSKMRQEGFLCDLVISTKTKSFDVHKSVMASCSEYFYNILKKDPSTQRVDLNDIAPLG
+LATVIAYAYTGKLTLSLYTIGSIISAAVYLQIHTLVKMCSDFLIQEMSVENCMYIANIAE
+TYSLRNAKAAAQKFIRDNFLEFAETDHFMKLTFEQINELLIDDDLQLPSEIVAFQIAMKW
+LEFDQKRVKYAADLLSNIRFGTISAQDLVNYVQSVPRMMQDADCHKLLVDAMNYHLLPYH
+QNTLQSRRTRIRGGCRVLVTVGGRPGLTEKSLSRDVLYRDPEIGWSKLTEMPAKSFNQCV
+AVMDGFLYVAGGEDQNDARNQAKHAVSNFCRYDPRFNTWIHLANMTQRRTHFSLSVFNGL
+LYAVGGRNAEGSLASLECYVPSANQWLPKAPLEVARCCHASAVAEGRVLVTGGYIGGAYS
+RSVCAYDPARDAWQERPALGTPRGWHCAVALGERVFVMGGSQLGPRGERVDVLTVESFCP
+ASGQWSYAAPLPVGVSTAGASVLHGRAYLLGGWNEGERKYKKCIQCFNPELNEWTEDDEL
+PEATVGVSCCALAMPNPVTRESRASSVSSVPVSI
+>tr|A6QNK2|A6QNK2_BOVIN ZSWIM1 protein OS=Bos taurus OX=9913 GN=ZSWIM1 PE=2 SV=1
+MALTVLNELLIEDPSPPLLLYQLNKTAQLGTLNYQSCYMQRVFTHFPEILFIHRTYNPRG
+EVLYTFLVDGPRVPLEGHLSRAVYFAILAKEDAEALAHIFQVFKKFNPAWERVCTILVDP
+YFLLLPTLAMEFPAAEVLLSAFHICKFLQGKFCQLALEQPVERLLLTALRSTMCSATAGN
+LRKLYTLLSTCIPPAQLPALHSHWLLNDRIWLAHRWRSQAESSRYFQGLEVTTRLLSQFF
+GTTPVVEKGMSALLQYLQQNSGDKVSFNLGPSPLNRYTPLEGSPESPKVEQLVEARIQHS
+LNAICTGPAAQLCLGELAVVQKSMHLIGSGSEKVNIQILEDTHRVQPQPPASCSCYFNQA
+FRLPCRHILAMLSAHRQELKPDMLPAEWTAGCAPNLSDILESTWSETLEKRLAVALLTEE
+VSQLLQHCSQEEFERRYSTLRELADSWIGPYEQVQL
+>tr|A5PJR7|A5PJR7_BOVIN STX18 protein OS=Bos taurus OX=9913 GN=STX18 PE=2 SV=1
+MAVDITLLFRASVKTVKTRNKALGVAVGGGVEGSRDELFRRSPRPKDDFSSRAREVISHI
+GKLRDFLLEHRKNYINAYSHVMSEYGRMTDTERDQIDQDAQTFMRTCSEAIQQLRTQAHK
+GIHSQQVKEHRTAVLDFTEDYLKRVCKLYSEQRAIRVKRVVDKKRLSKLEPEPNTKTRES
+MSSEKVSQSPSKDSEEKPVPEENPEKVPVEAQPELGSWGDGKGEDELSPEEIQMFEQENQ
+RLIGEMNSLFDEVRQIEGKVVEITRLQEIFTEKVLQQEAEIDNIHQLVVGATENIKEGNE
+DIREAIKNNAGFRVWVLFFLVMCSFSLLFLDWYDS
+>tr|A5PJR2|A5PJR2_BOVIN MCL1 protein OS=Bos taurus OX=9913 GN=MCL1 PE=2 SV=1
+MFGLKRNAVIGLNLYCGGAGLGQGSGASSPGGRLLAAGKEATARREVGGGEAGTVIGGSA
+GPSPPATLAPDARRVARPSPIGAEGPDVTATPTRLLFFAPTRLASPPEEMESPISDAIMS
+PEEELDGCEPDPLGKRPAVRPLPLLVGEASNNSPGSDGSLPSTPPPAEEEEDELYRQSLE
+IISQYLREQATGAKDAKPLGGSGTTSRKALETLRRVGDGVQRNHETAFQGMLRKLDIKNE
+DDVKSLSRVMVHVFSDGVTNWGRIVTLISFGAFVAKHLKSINQESCIEPLAESITDVLVR
+SKRDWIVKQRGWDGFVEFFHVEDLEGGIRNVLLAFAGVAGVGAGLAYLIR
+>tr|F1MYM8|F1MYM8_BOVIN Alpha/beta hydrolase domain-containing protein 17A OS=Bos taurus OX=9913 GN=ABHD17A PE=4 SV=1
+MNGLSVTELCCLFCCPPCPGRIAAKLAFLPPEPTYSLVPEPEPGPGGAGAAPSGNLRALA
+GTPGRWKLHLMERADFQYSQRELDTIEVFLTKSSRGNRISCMYVRCVPGARYTVLFSHGN
+AVDLGQMSSFYIGLGTRINCNIFSYDYSGYGVSSGKPSEKNLYADIDAAWQALRTRYGIS
+PDSIVLYGQSIGTVPTVDLASRYECAAVVLHSPLTSGMRVAFPDTKKTYCFDAFPNIEKV
+SKITSPVLIIHGTEDEVIDFSHGLALYERCPKAVEPLWVEGAGHNDIELYSQYLERLRRF
+ISQELPSQRA
+>tr|A6H704|A6H704_BOVIN CHMP7 protein OS=Bos taurus OX=9913 GN=CHMP7 PE=2 SV=1
+MWSPEREAEAPAGGDPAGLLPPEWEEDEERMSFLFSAFKRSREVNSTDWDSKMGFWAPLV
+LSHSRRQGVVRLRLRDLQEAFQRKGSVPLGLATVLQDLLRRGELQRESDFMASVDSSWIS
+WGVGVFLLKPLKWTLSNMLGDHKVPAEEVLVAVELLKEKAEEVYRLYQNSPLSSHPVVAL
+SELSTLCAGSCPDERTFYLVLLQLQKEKRVTVLEQNGEKIVKFARGPHAKVSPVNDVDVG
+VYQLMQSEQLLSRKVESLSQEAERYKEEARRACRAGKKQLALRSLKAKQRTEKRIEALHA
+KLDTVQGILDRIYASQTDQMVFNAYQAGVGALKLSMKDVTVEKAESLVDQIQELCDTQDE
+VSQTLAGGVTNGLDFDSEELEKELDILLQDTTKEPSDLPYNPQETFYINSVPNTRISDAE
+LEAELEKLSLSEGGLVPSRKSPKRQLEPTL
+>tr|F1MMN3|F1MMN3_BOVIN N-deacetylase and N-sulfotransferase 4 OS=Bos taurus OX=9913 GN=NDST4 PE=4 SV=2
+MNLIVKLRRSFRTLIVLLATFCLVSIVISAYFLYSGYKQEMTLIETTAEAGCTDVKILPY
+RSMELKTVKPIDTSKTDPIVLLFVESQYSQLGQDIIAILESSRFQHHMVIAPGKGDIPPL
+TDNGKGKYILVIYENILKYVSMDSWNRELLEKYCVEYSVSIIGFHKANENSSPSTQLKGF
+PLNLFNNLALKDCFVNPHSPLLHITKAPKVEKGPLPGEDWTIFQYNHSTYQPVLLTELQT
+EKSLLSLSGKPLYATVIQDLGLHDGIQRVLFGNNLNFWLHKLIFIDAISFLSGKRLTLSL
+DRYILVDIDDIFVGKEGTRMNVKDVKALLETQNLLRTQVANFTFNLGFSGKFYHTGTEEE
+DEGDDLLLRSVDEFWWFPHMWSHMQPHLFHNESSLVEQMILNKEFALEHGIPINMGYAVA
+PHHSGVYPVHIQLYAAWKKVWGIQVTSTEEYPHLKPARYRKGFIHNSIMVLPRQTCGLFT
+HTIFYKEYPGGPQELDKSIRGGELFLTILLNPISIFMTHLSNYGNDRLGLYTFVNLANFV
+QSWTNLKLQTLPPVQLAHKYFELFPEQKDPLWQNPCDDKRHKDIWSREKTCDHLPKFLVI
+GPQKTGTTALYLFLLMHPSIISNLPSPKTFEEVQFFNGNNYHKGIDWYMDFFPTPSNITS
+DFLFEKSANYFHSEEAPKRAASLVPKAKIITILIDPSDRAYSWYQHQRSHEDPAALRFNF
+YEVITTGHWAPSDLKTLQRRCLIPGWYAVHIERWLTYFATSQLLIIDGQQLRSDPATVMD
+EVQKFLGVTPHYNYSEALTFDPQKGFWCQLLEGGKTKCLGKSKGRKYPPMDPESRTFLSN
+YYRDHNVELSKLLHRLGQPLPSWLRQELQKVR
+>tr|A0A3Q1MTM3|A0A3Q1MTM3_BOVIN Interleukin 2 receptor subunit beta OS=Bos taurus OX=9913 GN=IL2RB PE=4 SV=1
+MISEPAGAKALRLAWWGADGCTSGGQSPACLLGGGGGGWLLTRALSPSSSIQGSLPQPPL
+VDVMAAPALSCCLSLLILLLLLAIPQTSTAVNGLSISSPGRNRSGGGTLEGHGCPTASPT
+RRIFTAYASNITCFYNSRANVSCIWSHDEGLRATTCCLHSRQPQREVLFPTKQWNHTCEL
+QPVRPGSWACNLVLGHSPEAQKLTVVDVMKLTVMCSEGGKWRRMMTQDIKPFDYIRLVPP
+HSLQVVRIETHRCNITWTVSQVSHYIQNDVEFEARLRYADHSWEDARLLTLRQNQQWISL
+ENLAPGMEYELQVRAKPRLGSHEVWSHWSQPLAFRTVPAETKKKIPPLPWLNHIFLGVGS
+FFGLVLLVYFLGSFRCIRLWLKNVLKCHIPDPSEFFSQLSSEHGGDFQKWLSSPFPSSSF
+SRSGLDPEISPLEVLDRDAKATQLLLLQQDKGSSSSAETSGHSVTSCFTNQGYFFFHLPD
+ALEIEACQVYFAYDPCTEELDEGGPRAPEGALLPPLPTPPGDDDAYCTFPPGEDLLLFSP
+SLLSGPGPPNTAQWGTGAGEERLASSPQEGVPGDWTPQPLRPPALEAPDLVDLQSSPEHE
+LGEARERVPGPSPREGTSFPWASPPGQGQVRAPTVCLTLNTDAYLSLQELQDQDPAYLV
+>tr|E1BA84|E1BA84_BOVIN E74 like ETS transcription factor 4 OS=Bos taurus OX=9913 GN=ELF4 PE=2 SV=1
+MAVALQPSDLIFEFASNGMDDIHQLEDPSVFPAVIVEQVPYPELLHLYSGLELDDVHNGI
+ITDGTLCMTQDQILEGSFLLADDNETTSQTVSTPEVLLNVESPNNILDDKQIFSTSEMLP
+EPDPAPAMTLPNYLFPVSEPDALNRAGNTGDQEENCLEEKVPREESAKKTGKSKKRIRKT
+KGNRSTSPVTDLSIPIRKKSKDGKGSTIYLWEFLLALLQDRNTCPKYIKWTQREKGIFKL
+VDSKAVSKLWGKQKNKPDMNYETMGRALRYYYQRGILAKVEGQRLVYQFKEMPKDLVVIE
+DEDERSEVTATSPQASAPSTSSGGSSRRASSRVSSRAAPQGKGDTSWEKPKVQHVGLQPS
+ASLELGLSIDEEIPTTSAMLVSPPESQAKVTKAVSTSAVPSSIHLGVAPVRSGSALTLQT
+IPLTTVLTNGPPASTTASTQLVLQSVPPASTFKDTFTLQTSFPLNTSFQENQVAAPGAPL
+ILSGLPQLLAGANRPTNPAPPSVMGAGPAGPSSQAPGTVIAAFIRTSGATTAPGVKEGPL
+RPSSYVQGMMTGAPMEGLLVSEETLRELLREQAHLQPLPSQVVSRASHNPSLLGNQTLSP
+PSRPTVGLTPVAELELSSSSGSLFTAEPNVSTPGSLLTRSPTPASISPFNPTSLIKMEPH
+DM
+>tr|Q2KHY6|Q2KHY6_BOVIN Potassium inwardly-rectifying channel J8 OS=Bos taurus OX=9913 GN=KCNJ8 PE=2 SV=1
+MLARKSIIPEEYVLARIAAENLRKPRIRDRLPKARFIAKSGACNLAHKNIREQGRFLQDI
+FTTLVDLKWRHTLVIFTMSFLCSWLLFAIMWWLVAFAHGDIYAYMEKSGMEKSGLESTVC
+VTNVRSFTSAFLFSIEVQVTIGFGGRMMTEECPLAITVLILQNIVGLIINAVMLGCIFMK
+TAQAHRRAETLIFSRHAVIAVRNGKLCFMFRVGDLRKSMIISASVRIQVVKKTTTPEGEV
+VPIHQLDIPVDNPLESNNIFLVAPLIICHVIDKRSPLYDISATDLANQDLEVIVILEGVV
+ETTGITTQARTSYIAEEIQWGHRFVSIVTEEEGVYSVDYSKFGNTVKVAAPRCSARELDE
+KPSILIQTLQKSELSHQNSLRKRNSMRRNNSMRRNNSIRRNNSSLMVPKVQFMTPEGNQN
+TSES
+>tr|F1MUT3|F1MUT3_BOVIN Xanthine dehydrogenase/oxidase OS=Bos taurus OX=9913 GN=XDH PE=4 SV=2
+MTADELVFFVNGKKVVEKNADPETTLLAYLRRKLGLRGTKLGCGEGGCGACTVMLSKYDR
+LQDKIIHFSANACLAPICTLHHVAVTTVEGIGSTKTRLHPVQERIAKSHGSQCGFCTPGI
+VMSMYTLLRNQPEPTVEEIEDAFQGNLCRCTGYRPILQGFRTFAKNGGCCGGNGNNPNCC
+MNQKKDHTVTLSPSLFNPEEFMPLDPTQEPIFPPELLRLKDVPPKQLRFEGERVTWIQAS
+TLKELLDLKAQHPEAKLVVGNTEIGIEMKFKNQLFPMIICPAWIPELNAVEHGPEGISFG
+AACALSSVEKTLLEAVAKLPTQKTEVFRGVLEQLRWFAGKQVKSVASLGGNIITASPISD
+LNPVFMASGTKLTIVSRGTRRTVPMDHTFFPSYRKTLLGPEEILLSIEIPYSREDEFFSA
+FKQASRREDDIAKVTCGMRVLFQPGSMQVKELALCYGGMADRTISALKTTQKQLSKFWNE
+KLLQDVCAGLAEELSLSPDAPGGMIEFRRTLTLSFFFKFYLTVLKKLGKDSKDKCGKLDP
+TYTSATLLFQKDPPANIQLFQEVPNGQSKEDTVGRPLPHLAAAMQASGEAVYCDDIPRYE
+NELFLRLVTSTRAHAKIKSIDVSEAQKVPGFVCFLSADDIPGSNETGLFNDETVFAKDTV
+TCVGHIIGAVVADTPEHAERAAHVVKVTYEDLPAIITIEDAIKNNSFYGSELKIEKGDLK
+KGFSEADNVVSGELYIGGQDHFYLETHCTIAIPKGEEGEMELFVSTQNAMKTQSFVAKML
+GVPVNRILVRVKRMGGGFGGKETRSTLVSVAVALAAYKTGHPVRCMLDRNEDMLITGGRH
+PFLARYKVGFMKTGTIVALEVDHYSNAGNSRDLSHSIMERALFHMDNCYKIPNIRGTGRL
+CKTNLSSNTAFRGFGGPQALFIAENWMSEVAVTCGLPAEEVRWKNMYKEGDLTHFNQRLE
+GFSVPRCWDECLKSSEYYARKSEVDKFNKENCWKKRGLCIIPTKFGISFTVPFLNQAGAL
+IHVYTDGSVLVSHGGTEMGQGLHTKMVQVASKALKIPISKIYISETSTNTVPNSSPTAAS
+VSTDIYGQAVYEACQTILKRLEPFKKKNPDGSWEDWVMAAYQDRVSLSTTGFYRTPNLGY
+SFETNSGNAFHYFTYGVACSEVEIDCLTGDHKNLRTDIVMDVGSSLNPAIDIGQVEGAFV
+QGLGLFTLEELHYSPEGSLHTRGPSTYKIPAFGSIPTEFRVSLLRDCPNKKAIYASKAVG
+EPPLFLGASVFFAIKDAIRAARAQHTNNNTKELFRLDSPATPEKIRNACVDKFTTLCVTG
+APGNCKPWSLRV
+>tr|A0A3Q1MEZ8|A0A3Q1MEZ8_BOVIN Histone H4 OS=Bos taurus OX=9913 GN=LOC112445458 PE=3 SV=1
+MTGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLK
+VFLENVIRDAVTYTEHAKRKTVTAMDVVYALKRQGRTLYGFGG
+>tr|Q3ZBK4|Q3ZBK4_BOVIN WW domain binding protein 1 OS=Bos taurus OX=9913 GN=WBP1 PE=2 SV=1
+MARATGGNGSEEAWGALRVRQQQLRELCPGVNNQPYLCESGHCCGETGCCTYYYELWWFW
+LLWTVLILFSCCCAFRHRRAKLRLQQQQRQREINLLAYHGACHGAGPVPAGSLLDLRLLS
+AFKPPAYEDVVHRPGTPPPPYTAATGCPSTASSQCTCCSSASSCPARHEGTNVEDVSSHQ
+SAPPHQEGELGAGVSPAPTPPSCRYRRLTGDSGIELCPCPDSSEGEPVKEARVSATSQEV
+EDQSPCAQPLNPAPQVSPVGLASSEGDIP
+>tr|Q2T9N5|Q2T9N5_BOVIN Proline rich membrane anchor 1 OS=Bos taurus OX=9913 GN=PRIMA1 PE=2 SV=1
+MLLRDLVLRRGCCWPSLLLHCALHPLWGFVQVTHGEPQKSCSKVTDSCQHVCQCRPPPPL
+PPPPPPPPPPRLLSAPAPNATSCPAEESWWSGLVIVIAVCCASLVFLTVLVIICYKAIKR
+VLAERPIKGYGTVWRTLQAADCRQ
+>tr|A3KMW6|A3KMW6_BOVIN CBX6 protein OS=Bos taurus OX=9913 GN=CBX6 PE=2 SV=1
+MELSAVGERVFAAESIIKRRIRKGRIEYLVKWKGWAIKYSTWEPEENILDSRLIAAFEQK
+ERERELYGPKKRGPKPKTFLLKARAQAEALRISDVHFSVKPSASASSPKLHSSAAVHRLK
+KDIRRCHRMSRRPLPRPDPQGGSPGLRPPISPFSETVRIINRKVKPREPKRNRIILNLKV
+IDKGPGPGGAGQGAGALARPKVPSRNRVIGKSKKFSESILRTQVRHMKFGTFALYNKPPP
+GPLQPPPAGKTDITASPGQGLLLAPPSAPYDERSSSSSDCPSPVPHSSEPEDAPPKLLPE
+TTSPSAPDWREPEVLDLSLPPEAAATNKRAPPEVPAAASQALPTVPEPAGAASEPEAGDW
+RPEMSPCSNVVVTDVTSNLLTVTIKEFCNPEDFEKVAAGVAGAAAGGGSSGASK
+>tr|E1BIQ6|E1BIQ6_BOVIN BTB domain and CNC homolog 2 OS=Bos taurus OX=9913 GN=BACH2 PE=3 SV=2
+MSVDEKPDSPMYVYESTVHCANILLGLNDQRKKDILCDVTLIVERKEFRAHRAVLAACSE
+YFWQALVGQTKNDLVVSLPEEVTARGFGPLLQFAYTAKLLLSRENIREVIRCAEFLRMHN
+LEDSCFSFLQTQLLNSEDGLFVCRKDAACQRPHEDPEDSAGEEEDEEEETMDSDTAKMAC
+PRDQMLPDPISFEATTIPVAEKEEVLLPESDVPADTKESSAKDALTQYPRYKKYQLACTK
+NVYNASSHSTSGFASTFSEDHSGTSLKPGLAVGQIKSEPPSEENEEESITLCLSGDEPDV
+KDRAGDVEMDRKQPSPAPAPVPAPPAGAACLERSRGAPSPSCLRSLFSITKSVESSGLPG
+TSQQHFARSSACPFDKGITQGDLKTDYAPFPGNYGQPHMGQKDTSSFTMGSPLKGPGLEA
+LCKQEGELDRRSVIFSSSACDQVSTAVHSYSGVSALDKDLSEPVPKGLWVGAGQSLPSSQ
+AYPHGGLMADHLPGRMRPNTSCPVPIKVCPRSPPLETRTRTSSSCSSYSYAEDGSGGSPC
+SLPLCEFSSSPCSQGARFLATEHQEPGLMGDGMYNQVRPQIKCEQSYGTNSSDESGSFSE
+ADSESCPVQDRGQEVKLPFPVDQITDLPRNDFQMMIKMHKLTSEQLEFIHDVRRRSKNRI
+AAQRCRKRKLDCIQNLECEIRKLVCEKEKLLSERNQLKACMGELLDNFSCLSQEVCRDIQ
+SPEQIQALHRYCPVLRPVGLPTAPSINPTPLSVEQNLATPQCAVGESAPCCLEQGAAAPG
+PPWAPSNASENCTSARRLEGTDPGTFSERGPPLEPRSQTVTVDFCQEMTDKCTTDEQPRK
+DYTQ
+>tr|Q0II32|Q0II32_BOVIN Hypothetical LOC507206 OS=Bos taurus OX=9913 GN=TIMMDC1 PE=2 SV=1
+MEARPPAPRSFLCRSLRPFPRVFAAAAVAADPKALVEDQELSSFVSEPQYPESGWDRLRE
+LFVKDERQRTSKELQDIYKAAISAGIIGWAYGGIPAFIHAKQRYIEQSQAEVYHNQLDAV
+QSAHRAATRGFIRYGWRWSWRTAMFVTIFNTVNTGLNVYRNKNALSHFVIAGAVTGSLFR
+INLGLHGLVAGGIIGALLGTPIGSLLMALQMYCGETVQERKQKDRKAFQELKLEERKARL
+QFTELLPEEIESNLQKDQSKDDAQKIEALLNLPRNPSSTDKQDKD
+>tr|F1MUZ9|F1MUZ9_BOVIN 60 kDa heat shock protein, mitochondrial OS=Bos taurus OX=9913 GN=HSPD1 PE=1 SV=1
+MLRLPAVLRQMRPVSRALAPHLTRAYAKDVKFGADARALMLQGVDLLADAVAVTMGPKGR
+TVIIEQSWGSPKVTKDGVTVAKSIDLKDKYKNIGAKLVQDVANNTNEEAGDGTTTATVLA
+RSIAKEGFEKISKGANPVEIRRGVMLAVDAVIAELKKQSKPVTTPEEIAQVATISANGDK
+EIGNIISDAMKKVGRKGVITVKDGKTLNDELEIIEGMKFDRGYISPYFINTSKGQKCEFQ
+DAYVLLSEKKISSVQSIVPALEIANAHRKPLVIIAEDVDGEALSTLVLNRLKVGLQVVAV
+KAPGFGDNRKNQLKDMAIATGGAVFGEEGLNLNLEDVQPHDLGKVGEVIVTKDDAMLLKG
+KGDKAQIEKRIQEIIEQLDITTSEYEKEKLNERLAKLSDGVAVLKVGGTSDVEVNEKKDR
+VTDALNATRAAVEEGIVLGGGCALLRCIPALESITPANEDQKTGIEIIKKTLKIPAMTIA
+KNAGVEGSLIVEKIMQSSSEVGYDAMLGDFVNMVEKGIIDPTKVVRTALLDAAGVASLLT
+TAEVVVTEIPKEEKDPGMGGMGGMGGGMGGGMF
+>tr|A0A3Q1M173|A0A3Q1M173_BOVIN Transcription factor E2F8 OS=Bos taurus OX=9913 GN=E2F8 PE=3 SV=1
+MENEKENLFFEPHKRGLMKTPLKESTAANIVLADIQPDFGPLTTPTKPKEISQGEPWTPT
+ANLKMLISAVSPEIRNRDQKRGLFDNRNGLSDVKDCLHEHFSGDEYEKSQPSRKEKSLGL
+LCHKFLARYPNYPNPAVNNDICLDEVAEELNVERRRIYDIVNVLESLHMVSRLAKNRYTW
+HGRHNLNQILETLKSVGEENKYAEQIMMIKKKEYEQEFEVSKSYNTEDPIIKSNTGQNGH
+PDMCCAERPGVELRAASVNSRKDKSLKVMSQKFVTLFLVSTPQIVSLEIAAKILTWEDHV
+EDLDRSKFKTKIRRLYDIANVLSSLDLIKKVHVTEERGRKPAFKWTGPEISPNPSVSGLS
+PVLPCAASDLEARQSSKENCAKNLFSTRGKPNFTRHPSLIKLVKSIESDRRKINSAPSSP
+IKTHKAESTQNSVPFRSKMAQLAAICKMQLEEQSSEPRKNVTVQLAGSGHCKSVAPLDTP
+ANAEPEMMAPSLIQPLGVVPLLPSPLSPAVPVILPQTPSGTSYAIYLQPAQAQTITPPPG
+LSPTVCPTTSSNAMISEDSTDATGENADSDAPKSSVSTRPGSLLPGPERQGAKNREREPA
+REKGSKRASMLEDSGSKKKFKEDQKAPENVSTTLFPSGYLIPLTQCSTLGAESILSSNEN
+SGTLSPNHSIYSSPIAGVIPVTSSELTAVNFPSFQVTPLKLMVSPTSMAAVPVGNSPALS
+SSHPLPIQNPSSAIVNFTLQHLGLISPGVQVSTSPGPGTIAVSPRIEAVSVTPENAGAEQ
+GRATKCDASILSQNQTNGQSFAGTGAQQPVPVTPKGSQPVAESFFRTPGGPTKPTGSPCT
+DFDGANYTSVGTLLVPQRKLEVSVEDVH
+>tr|A5PK34|A5PK34_BOVIN DEF6 protein OS=Bos taurus OX=9913 GN=DEF6 PE=2 SV=1
+MALRKELLKSIWYAFTALDVEKSGKVSKSQLKVLSHNLYTVLHIPHDPVALEEHFRDDDD
+GPVSSQGYMPYLNKYILDKVEEGAFVKEHFDELCWTLTAKKNYQVDSNGNSMLSNQDAFR
+LWCLFNFLSEDKYPLIMVPDEVEYLLKKVLSSMSLEVGLGELEELLAQEAQAAQSSGGLS
+VWQFLELFNSGRCLRGVGRDTLSMAIHEVYQELIQDVLKQGYLWKRGHLRRNWAERWFQL
+QPSCLCYFGSEECKEKRGMIPLDAQCCVEVLPDREGKRCMFCVKTASRTYEMSASDTRQR
+QEWTAAIQTAIRLQAEGKTSLHKDLKQKRREQREQRERRRAAKEEEMLRLQQLQEEKERK
+LQELELLQEAQRQAERLMQEEEERRRSQHRELQQALEVQLREAEQARASMQAEMELKKEE
+AARQRQRIKELEEMQQRLQEALQLEVKARQDEEAVRLAQTRLLEEEEEKLKQLLQLKEEQ
+ERYIERAQQEKQELQQEMALQSRSLQQAQQQLEEVRQNRQRADEDVEAAQQKLRQASTNV
+KHWNVQMNRLMHPIKPGDKRPTTSSSFTGFQAPLLARRDSSLKRLTRWGSRDNKTPSPSS
+SDQQKSLNGGEDASSSASASQEDKLDLAPEN
+>tr|A5D7T2|A5D7T2_BOVIN MGC148871 protein OS=Bos taurus OX=9913 GN=SMOC2 PE=2 SV=1
+MLLPRLCWLPLLAALLPPAPAQKFSALTFLRVDQDKDKDCSLDCGGSAQKPLCASDGRTF
+LSRCEFQRAKCKDPQLEIAYRGNCKDVSRCVAERKYTQEQARRELQQVFIPECSDDGTYS
+QVQCHSYTGYCWCVTPNGRPIGGTAVAHKTPRCPGSINEKVPQREGTGKTDDASAPALET
+QPQGDEEDVASRYPTLWTEQVKSRQNKTNKNSVSSCDQEQQSALEEARQPKNDNVVIPEC
+AHGGLYKPVQCHPSTGYCWCVLVDTGRPIPGTSTRYEQPKCDNTARAHPVRTRDLYKSRQ
+LQGCPGAKKREFLTSVLDALSTDMVHAVSDPSSPGRLSEPDPSHTLEERVVHWYFKLLDK
+NNSGDIGKKEIKPFKRFLRKKSKPKKCVKKFVEYCDVNNDKSISLQELMGCLGATREEVK
+ADTRKRHTPRGNAESTSNRQPRKQG
+>tr|A5PJV4|A5PJV4_BOVIN RAB29, member RAS oncogene family OS=Bos taurus OX=9913 GN=RAB29 PE=2 SV=1
+MSSRDHLFKVLVVGDAAVGKTSLVQRYSQDSFSKHYKSTVGVDFALKVLQWSDSEMVRLQ
+LWDIAGQERFTSMTRLYYRDASACVIMFDVTNATTFSNSQKWKQDLDSKLTLPNGEPVPC
+LLLANKCDLSPWAVSRDQVDRFSKENGFTGWTETSVKENKNINEAMRVLIEKMMSNSRED
+MSLSTQGNYINLQTKPSSSWACC
+>tr|A4IFS3|A4IFS3_BOVIN CDH9 protein OS=Bos taurus OX=9913 GN=CDH9 PE=2 SV=1
+MRTYHCLSLFIWTYMYHTTDAIPFQEKGNSDLPSERIVGLTQDDGKMLHRTKRGWMWNQF
+FLLEEYTGTDTQYVGKVRVFI
+>tr|A7E328|A7E328_BOVIN NAD(P)(+)--arginine ADP-ribosyltransferase OS=Bos taurus OX=9913 GN=ART5 PE=2 SV=1
+MLAALLITLSCLSLHTLGSHGQGVTIQYLSLAPDTFDDDYVGCSEEMEEKAVLLLEKEMA
+DHALLRESWETAQKAWEKKRPGLTLPPGFRSQHGIAIIVYTSSSNALYWELNQAVRTGGG
+SWESYMKHFPFKALHFYLTRALQLLRGGGGCSREPGQVVFRGVGTIRFEPKSVGDSIRLG
+QFTSSSLDETVAHGFGNATFFSIRTCFGVPIQNLSVFPKEREVLIPPHEVFVVTDFFQNK
+THNLVTLSSTNHICSHFNCAYLGGEPCMREAGLPGGPQLISVPRAFKRPKSLMTKLRARS
+>tr|E1BDN6|E1BDN6_BOVIN Family with sequence similarity 53 member B OS=Bos taurus OX=9913 GN=FAM53B PE=4 SV=3
+MVMILSQSLDKQGADPVACRTFNPEPHTPKKMSQGPTLFSCGIMENDRWRDLDRKCPLQI
+DQPSASIWECLPDKCQDSALWHREAATTCAVTNLIKDLSLSDHNGHPSAPPSKRQCRSLS
+FSDEMASCRTSWRPLGSKVWTPVEKRRCYSGGSVQRYSNGFGTMQRSSSFSLPSRASTLS
+SPYDPAGLHPRPGGPPGPGVLGPATCGQAGDLWGPAASPVGGGRLDMQRSLSCSHEQFSF
+AEYCPPSASSTPASTPEPARRSGGLARSRSQPCVLNDKKVGIKRRRPEEAQEQRPSLDLA
+KMAQNCQTFSSLSCLNTGTEDRSTHSPFALHTRSWATLHSAPSAGGRTPAGTPVPEPLPS
+SLDDSLACREEPCCEELGTAWRDRRARSSPCSLDGELDIEQIENN
+>tr|E1BKU6|E1BKU6_BOVIN Zinc finger protein OZF OS=Bos taurus OX=9913 GN=LOC112445692 PE=4 SV=3
+MSHLSQQRICSGENPFACKVCGKIFSHKSTLTEHEHFHNREKPFECNECGKAFSQKQYVI
+KHQNTHTGEKLFECNECGKSFSQKENLLTHQKIHTGEKPFECKDCGKAFIQKSNLIRHQR
+THTGEKPFICKECGKTFSGKSNLTEHEKIHIGEKPFKCNECGTAFGQKKYLIKHQNIHTG
+EKPYECNECGKAFSQRTSLIVHVRIHSGDKPYECNVCGKAFSQSSSLTVHVRSHTGEKPY
+GCNECGKAFSQFSTLALHLRIHTGKKPYQCSECGKAFSQKSHHIRHQKIHTH
+>tr|F1MRU1|F1MRU1_BOVIN Interleukin 1 receptor like 1 OS=Bos taurus OX=9913 GN=IL1RL1 PE=4 SV=2
+MRLWVSVILTALIPSTSARFSRPFLGLENEALIVTCSRQEKYPYPVDWYYSKTNKSISTQ
+RGNRIVASGKHLKFLPAKVSDSGFYTCIVRRPTSNKTGYVNVTIFEKQPDCNIPDYLIYS
+TTSGSEKNSLIFCPTINRYNWTQPVEWFKNCEVLQGPRYLVHKSFLLIDDATNKDTGHYT
+CKFIHNEYGVKYIVTATKSFTVTEEKGFSLPPVIKAPPQNEIKEVEIGKPINITCLACFG
+KGPQVMAEAFWRVNGSEVKNFGETRIQVVQEQTQSDELTCRNTVLKIEDVKEEDLTSKYD
+CLAMNFHGFITHTVTLRRKNPMDQQSTYYMLAGFTLLLLLINALVIILKVFWIEIILFWR
+DIVRPSKTRNDGKIYDAYVIYPRSCKNSPEGASSVEYFVHQILPDVLENKCGYNLCIYGR
+DLLPGQDVASAVESSIRMSRRHLFILTPEIVHSKEFTYEREIALHSALIQQDSKAILIEM
+EALCPPGGLQFEELQDSLKHLIKVQGTIKWREDHVANKRSLNSKFWKHVRYHMPQVPSRL
+PRKTPSLASLSAQG
+>tr|A5PJI3|A5PJI3_BOVIN Nucleoporin NUP53 OS=Bos taurus OX=9913 GN=NUP35 PE=1 SV=1
+MAAFAVEPQAPTLGSEPMMLGSPTSPKPGVNAQFLPGFLMGDLPAPVTPQPRSISSPSIG
+VMEMRSPLLAGGSPPQPVVPAHKDKSGAPPVRSIYDDISSPGLGSTPLTSRRQANISVMQ
+SPLVGVVTTTPGTGQSVFSPANIGQPRKTTLSPAQLDPFYTQGDSLTSEDHLDDTWVTVF
+GFPQASASYILLQFAQYGNILKHVMSNTGNWMHIRYQSKLQARKALSKDGRIFGESIMIG
+VKPCIDKSVMENSDRCALSSPSLAFTPPIKTLGTPTQPGSAPRISTMRPLATAYKASTSD
+YQVISDRQTPKKDESLVSKAMEYMFGW
+>tr|Q2KJ00|Q2KJ00_BOVIN Similar to solute carrier family 5 (Sodium/glucose cotransporter), member 1 OS=Bos taurus OX=9913 GN=LOC531152 PE=2 SV=1
+MLSPWQGFGWTAQLFSSSSALDVLVIVLYFLLVLGIALWVIMSCSRGTEEDFFLAGRSLV
+WWQIGAFLFASNIGTGHFMGLAGKGASSGIAVGAFEWNAAFILCALGWVFSPVYMKSGVV
+TLPRYLRKRFGGYRIQFLLAVLYLVIYIFSKISVEICAGAVFMRLVLGLDVYLATVVLLS
+VTGICSVTGGFAAVVYTDLLHAGFVVLGSVLLMGYDGTEALRTRE
+>tr|G3N0D8|G3N0D8_BOVIN Claudin OS=Bos taurus OX=9913 GN=CLDN6 PE=3 SV=1
+MASAGLQILGIVLTLFGWVNALVSCTLPLWKVTAFIGNSIVVAQVVWEGLWMSCVVQSTG
+QMQCKVYDSLLALPQDLQAARALCVITLLVALLGLLVYLAGAKCTTCVEDKDSKARLVLT
+SGIIFVISGVLTLIPVCWTAHTIIQDFYNPLVVEAQKRELGASLYLGWAASGLLLLGGGL
+LCCTCPSGGSRSSSHYMARYSVSAPHTASRGPSEYPTKNYV
+>tr|A0A3Q1M283|A0A3Q1M283_BOVIN Prolactin receptor OS=Bos taurus OX=9913 GN=PRLR PE=3 SV=1
+MKENAASRVVFILLLFLSVSLLNGQSPPEKPKLVKCRSPGKETFTCWWEPGADGGLPTNY
+TLTYHKEGETLIHECPDYKTGGPNSCYFSKKHTSIWKMYVITVNAINQMGISSSDPLYVD
+VTYIVEPEPPANLTLELKHPEDRKPYLWIKWSPPTMTDVKSGWFIIQYEIRLKPEKATDW
+ETHFTPKQTQLKIFNLYPGQKYLVQIRCKPDHGYWSEWSPESSIQIPNDFPVKDTSMWIF
+VAILSAVICLIMVWAVALKGYSMVTCILPPVPGPKIKGFDVHLLEKGKSEELLRALESQD
+FPPTSDCEDLLMEFIEVDDCEDQQLMPRPSKEHTEQGVKPMHLDLDSDSGRGSCDSPSLL
+SEKCDEPQAHPSKFHTPEGPEKLENPETNLTCLQAPQSTSGEGKIPYFLANGPKSSTWPF
+PQPPSLYSPRYSYHNIADVCELALGMAGTTATSLDQTDQHALKASKTIETGREGKATKQR
+ESEGCSSKPDQDTVWPRPQDKTPLISAKPLEYVEIHKVSQDGVLALFPKQNEKFGAPEAS
+KEYSKVSRVTDSNILVLVPDPQAQNLTLLEEPAKKAPPALP
+>tr|Q6DTZ8|Q6DTZ8_BOVIN Beta A4 crystallin OS=Bos taurus OX=9913 GN=CRYBA4 PE=2 SV=1
+MSLQCTKSAGHWKIVVWDEEGFQGRRHEFTAECPSVLELGFETVRSLKVLSGAWVGFEHA
+GFQGQQYVLERGEYPSWDAWSGNTSYPAERLTSFRPVACANHRDSRLTIFEQENFLGRKG
+ELSDDYPSLQAMGWDGNEVGSFHVHSGAWVCSQFPGYRGFQYVLECDHHSGDYKHFREWG
+SHAQTFQVQSIRRIQQ
+>tr|A7YWH4|A7YWH4_BOVIN BoLA protein OS=Bos taurus OX=9913 GN=BoLA PE=2 SV=1
+MRVVGQRTLLLLLLLDALILTETRAGSHSLRYFYTAVSRPGLGEPRFISVGYVDDTQFVR
+FDSDAPDPRIEPTARWVEQEGPEYWHQETQRTKDTAQFFRVYLNTLRGYYNQSEAGSHTV
+QEMYGCDVGPDGLLRGYDQFAYDGRDYIALNEDLRSWTAADTAAQVTKHNAEAAGDAARV
+RIYLEGKCVEWLRRYLVTGKDTLLRADPPKTHVAHHPISDREVTLRCWALGFYPEEISLT
+WQRDGEDQTQDMELVETRPSGDGTFQKWAALVVPSGEEQRYTCHVQHEGLQEPLTLRWEP
+PQPSIPIMGIIVGLVHLMVTGAMVTGAVIWRKKHSGEKGRIYSQASSSDSDQGSDVPLTV
+PKV
+>tr|E1BKU0|E1BKU0_BOVIN Adenosine receptor A2 OS=Bos taurus OX=9913 GN=ADORA2A PE=3 SV=3
+MSGFSVYIAVELAIAVLAILGNVLVCWAVWINSNLQNVTNYFVASLAAADIAVGVLAIPF
+AVTLSTGFCAACHSCLFFACFVLVLTQSSIFSLLAIAIDRYIAIRIPLRYNGLVTGTRAK
+GIIAVCWVLSFAIGLTPMLGWNNCSQQESRNSSQVCGEGQVACLFEDVVPMGYMVYYNFF
+ACVLLPLLLMLGVYLRIFLAARRQLKQTESQPPPPGERPRSTLQKEVHAAKSLAIIVGLF
+ALCWLPLHVINCFTFFCPSCGHAPPWLMYLTIVLSHGNSVVNPFIYAYRIREFRHTFRRI
+VRSHVLRRRRRREPFKAGDPGARALAAHDPERVGLRLNGHPPGGVWANGSAPRPDGPALA
+LPSAGGARGSQRDARVPDVQLQGRRPESPGLGGPRGRAGVS
+>tr|Q5EA60|Q5EA60_BOVIN 1-acyl-sn-glycerol-3-phosphate acyltransferase delta OS=Bos taurus OX=9913 GN=AGPAT4 PE=2 SV=1
+MDLVALLKSHFLCHLIFCYVFIVSGLIINTIQLCTLLLWPVNKQLFRKINCRLSYCVSSQ
+LVMLLEWWSGTECVIYTDPRAYPKYGKENAIVVLNHKFEIDFLCGWSLAERFGVLGGSKV
+LAKKELAYVPIIGWMWYFTEMVFCTRKWEQDRKTVSESLLHLRDYPEKYFFLIHCEGTRF
+TEKKHQISMQVAQAKGLPSLKHHLLPRTKGFAVTVRSLRNVVSAVYDCTLNFRNNENPTL
+LGVLNGKKYHADLYVRRIPLEEVPEEEDKCAAWLHKLYQEKDAFQEEYSRTGTFPETPVV
+PPRRPWTLVNWLFWASMLLYPFFRFVVNMVSSGSSLTLASFVLVFFVASMGVRWMIGVTE
+IDKGSAYGNMDSKQKHSD
+>tr|F1MT30|F1MT30_BOVIN IFN-omega24 OS=Bos taurus OX=9913 GN=LOC523509 PE=3 SV=2
+MAFVLSLLMALVLVSYSPGGSLGCDLSQNHMLVGRQNLRLLGQMRRLSSRFCLQDRKDFA
+FPQETVEGSQLQEAQAISVLHEMLQQSFNLFHTERSSAAWDTTLLEQLCTGLHQQLDDLD
+ACLGQVMEEEDSALGRTGPTLAVKGYFQGIHVYLQEKEYSDCAWEIVRVEMMRALSSSTS
+LQERLRKMGGDLNSP
+>tr|Q3SX31|Q3SX31_BOVIN GTPase, IMAP family member 7 OS=Bos taurus OX=9913 GN=GIMAP7 PE=2 SV=1
+MAATPNNALRIVLVGKTGSGKSATANTILGYKKFESKMAANAVTKTCQKASREWKGRELL
+VVDTPGLFDTKDSLNTTCREISRCVLASCPGPHAIVLVLRLGRHTQEEQQTVELVKNLFG
+KAAMKYMIILFTCKEELENQSLSNFLEDSNGNLQSLLQECGDRCCAFSNSKNTEQAEKEA
+QVQELVELIDEMVQNNQGAYFSDPIYKETDQELKQQEEYLMKSYADTFNKQIEQVEVEYA
+QNPSEEKMKKIKSITDEYNEQMMNVREEAEKRILQAVFDKIKNMLSKIWQMLWK
+>tr|A5PJD4|A5PJD4_BOVIN KLKBL4 protein OS=Bos taurus OX=9913 GN=PRSS54 PE=2 SV=1
+MAAMRRALLLLLYVSHASASCGIQKSNIAVTSEEGLVEEQEFPWVVSLQDSQYTHLTFGS
+ILSEFWILSIASTFQNRKDAVAIIGIAKMDAKLIAHEEYPINTIIIHEDFDNKTMRNNIA
+LLKTDTAMQFNSLVRPICFLGRKLNRPPVLMNCWVAGWNPTSATGNHMTMSILRKISVKD
+IDSCPLNIEQKTGCGNHLEMETNAVCLGDPGNPMMCQLKEMSLWVLRGILSQGGEKCPGL
+FLYIRVEDYSDWITSKTRKTSSPLSSFHHWENPSPLPSYSSRDLVTQRKQAGLSQLVWPR
+LSFQEQESSQWARGLPGTLYHMKRLRT
+>tr|F1MWE4|F1MWE4_BOVIN Adherens junctions associated protein 1 OS=Bos taurus OX=9913 GN=AJAP1 PE=4 SV=2
+MWIQQLLGLSSMFIRWPGCSLGSHAWILIAMFQLALDLPTCESLGPGPEFRLLPRPPHRP
+PRLWSFKSGQPARIPAPVWSPRPPRVERGHSHGQMPSPRARRAHRPRDQEATLGPKGGLA
+KPPAAAKSSPSLGSSPTSSSSAGASGAPTDQQALLRRGKRHLQGPAFNSFDFRGSRPTTE
+TEFIAWGPTGEEEALESNTFPGFYGPTTVSIFQTRKTTVATTTTTATASTATSVTLQTQG
+VTEPLDPRSRIPVGVSTTEPSTSPSRDSGQDSQPPRVLGETSGLAVHQIITITVSLIMVI
+AALITTLVLKNCCAQSGNPRRNSHQRKTNHQEESCQNLTDFTPARVPSSLDIFTAYNETL
+QCSHECVRASVPVYTDETLHPAGEYKSTFNGNRPSSSDRHLIPVAFVSEKWFEISC
+>tr|A2VDU6|A2VDU6_BOVIN Adaptor related protein complex 1 associated regulatory protein OS=Bos taurus OX=9913 GN=AP1AR PE=2 SV=1
+MGNCCWTQCFGLLRKEAGRLQRVGGGGGSKYFRTCSRGEHLTIEFENLVESDEGESPGSS
+HRPLTEEEIVDLREKHYDSIVEKQKDLDMKIQKELALQEEKLRLEEEALYAAQREAARAA
+KQRKLLEQERQRVVQRCRASNNGEYQSPGPEDDFESCLRNIKSQYEVFRSSRLSSDATVL
+TPNTESSCDLMTKTKSTSGNDDSTSLDLEWEDEEGMNRMLPMRERSKTEEDILRAALKYS
+CKKTGSNPTSASDDSNGLEWENDFVSAEMDDNGNSEYCGFVNPVLELSDSGLKQYDSDQQ
+KR
+>tr|A6QPE6|A6QPE6_BOVIN CTXN3 protein OS=Bos taurus OX=9913 GN=CTXN3 PE=2 SV=1
+MDGGQPVPSPLLPLGNVSSDSSMSLEQKTTFVFVILLFIFLGILIVRCFRILLDPYRSMP
+TSTWADGLEGLEKGQFDHALA
+>tr|A6QLZ6|A6QLZ6_BOVIN GLRX5 protein OS=Bos taurus OX=9913 GN=GLRX5 PE=1 SV=1
+MSGSLGRAAATAASALLRWGRGAGGGLRGPGVRAAGSGGSGSSEHLDALVKKDKVVVFLK
+GTPEQPQCGFSNAVVQILRLHGVRDYAAYNVLDDPQLRQGIKDYSNWPTIPQVYLNGEFV
+GGCDILLQMHQNGDLVEELKKLGIRSALLDDTKDQDSK
+>tr|F1MZE1|F1MZE1_BOVIN Zinc finger and SCAN domain-containing protein 26 OS=Bos taurus OX=9913 GN=ZSCAN26 PE=4 SV=1
+MAIAWGTVPSLAPVNLKKEGLQVVKEDHLSAREQGVKLQGNGTGFRQEPLCKRFRQLRYE
+ETTGPREALSRLRELCRQWLQPETHTKEQILELLVLEQFLTILPEELQARLREHHLESGE
+DAVVFLEDLQLELGGTGQQEDPNQAKKQEVLMEKTAPGKATPERQVQPEGDVPQPEREKG
+EAKRIENGKLVVETDSCGRVESSGKPFEPMEVHYKDSNLDRQQAEPKEKTDCKCSEYGQA
+FFQHSDLIKHESSHRKEKLCEAEVCQSLSLPGHQKICSREKGHQCHECGKAFQRSSHLVR
+HQKIHLGEKPYQCKECGKVFSQNAGLLEHLRIHTGEKPYLCIHCGKNFRRSSHLNRHQRI
+HSQEEPCQCKECGKTFSQALLLTHHQRIHSHSRSHQCNECGKTFSLTSDLIRHHRIHTGE
+KPFKCTICQKAFRLNSHLAQHVRIHNEEKPYKCNECGEAFRQRSGLFQHQRYHHKNRLA
+>tr|A4IFL9|A4IFL9_BOVIN LOC100125304 protein OS=Bos taurus OX=9913 GN=ZNF613 PE=2 SV=1
+MIKDQRSLTLEDVAVDFTWEEWQLLAPPQKALYRDVMLENYSNLVSVGYQASKPAALSRL
+ERGELWPVEDKVHSRICPEMRKDDCLLQENLQNQSCPKRMERCHEHNALGNVYQSKNHCP
+LWQNHDVLYLHGKTLKSNLSSISQNRNYEVKNPVKANVNGKFFLHAKHEEFHSESKLPEC
+GIPMNTNSQIITCLGTQQINKPHVCTECGKAFIKKSRLIDHQRVHTGEKPHRCSVCGKAF
+SRKSRLNEHQKTHIGEKQYACNECDKVFPKKSRLLIHQKTHTGEKPYICDDCGKGFVKKS
+RLINHQRVHTGEKPHGCSLCDKAFSRKSRLMEHQRTHTGEKPYECTECDKAFRWKSQLNA
+HQKTHTGEKSYICSDCGKGFIQKGNLIVHQRTHTGEKPYVCTECGKGFIQKGNLLIHQRT
+HTGEKPYVCTECGKGFSQKTCLISHQRFHTGKTPFVCTECGKSCSHKSGLINHQRIHTGE
+KPYTCNDCGKAFRDKSCLNRHRRIHTGERPYGCNDCGKAFSHLSCLVYHKGMLHAREKRG
+DSVKLEDAFSKHLSPSHPSDMVQRKSPVSVVTVQMPSVAVQTPSHSNKPLEDKNIPLVGQ
+LGGRYAPSAGNRIRTEEKPSECGSTLSGQLCHIFVTKDTQE
+>tr|A2VDQ4|A2VDQ4_BOVIN KCNJ10 protein OS=Bos taurus OX=9913 GN=KCNJ10 PE=2 SV=1
+MTSVAKVYYNQTTQTESRPLMGPGIRRRRVLTKDGRSNVRMEHIADKRFLYLKDLWTTFI
+DMQWRYKLLLFSATFAGTWFLFGVVWYLVAVAHGDLLELGPPANHTPCVVQVHTLTGAFL
+FSLESQTTIGYGFRYISEECPLAIVLLIAQLVLTTILEIFITGTFLAKIARPKKRAETIR
+FSQHAVVAAHNGKPCLMIRVANMRKSLLIGCQVTGKLLQTHQTKEGENIRLNQVNVTFQV
+DTASDSPFLILPLTFYHVVDETSPLKDLPLRSGEGDFELVLILSGTVESTSATCQVRTSY
+LPEEILWGYEFIPAISLSASGKYIADFSLFDQVVKVASPSGLRDSTVRYGDPEKLKLEES
+LREQAEKEGSAVSVRISNV
+>tr|Q3SWX4|Q3SWX4_BOVIN Glioblastoma amplified sequence OS=Bos taurus OX=9913 GN=NIPSNAP2 PE=2 SV=1
+MAARVLRAGGAASASGFLRRASPGSLLPGLRKLTSSDNRPREDSWLKSLFVRKVDPRKDA
+HSNLLAKKETSSLYKLQFHNVKPECLEAYNKICQEVLPRIHEDKHYPCTLVGTWNTWYGE
+QDQAVHLWRYEGGYPALTEVMSKLKENQEFSEFRKARSNMLLSRKNQLLLEFSFWNEPIP
+RSGPNIYELRSYQLRPGTMIEWGNYWARAIRFRQDGNEAVGGFFSQIGQLYMVHHLWAYK
+DLQTREDIRNAAWHKHGWEELVYYTVPLIQEMESRIMIPQKTSPLQ
+>tr|Q32LH8|Q32LH8_BOVIN RAD18 protein OS=Bos taurus OX=9913 GN=RAD18 PE=2 SV=1
+MDSLAEPRWPPALAVMKKVDDLLRCGICFEYFNIAMMIPQCSHNYCSLCIRKFLSYKTQC
+PTCCVTVTEPDLKNNRVLDELVKNLNFARNHLLQFALESPPISAASSSSKNLATKADTAV
+AFRHSLKQGSKLMENFLIRESGGSASELLIKENESKLSPQKKLSSPAKTRGTPSAVKSAP
+GSSEDSVLETPSTSALKQVTKVDCPVCGVKIPENHINKHLDSCLSREEKKESLRSSVHKR
+KPLPKTVYNLLSDRDLKKKLKQHGLSVQGNKQQLIKRHQEFVHMYNAQCDALHPKSAAEI
+VQEIENMEKTRMRFEASKLNESVMVFTKNQTEKEIDEIHSKYRQEDNKIKSSDTPSVETN
+HFRQSKLDFPGKLERSRQDDSFSCTDIQEEALSSSESDSFKSSSSDIIRDLLEEEEAWEA
+SHKNDFQDREISPGQNRRTRSAESAELEPRNKRNRN
+>tr|Q3T0R9|Q3T0R9_BOVIN Hexosyltransferase OS=Bos taurus OX=9913 GN=B3GALT2 PE=2 SV=1
+MLQWRRRHCCFAKMSWNAKRSLFRTHLIGVLSLVFLFAMFLFFNHHDWLPGRAGFKENPV
+TYTFRGFRSTKSETNHSSLRNIWKETVPQTLRPQTATDPNNTDLSLQGVTGLENTLGANG
+SIYNEKGTGHPNAYHFKYIINEPEKCQEKSPFLILLIAAEPGQIEARRAIRQTWGNESLA
+PGIQITRIFLLGVSIKSSGYLQRAILEESRQYHDIIQQEYLDTYYNLTIKTLMGMNWVAT
+YCPRIPYVMKTDSDMFVNTEYLIHKLLKPDLPPRHNYFTGYLMRGYAPNRNKDSKWYMPP
+DLYPSERYPVFCSGTGYVFSGDLAEKIFKVSLSIRRLHLEDVYVGICLAKLRIDPVPPPN
+EFVFNHWRVSYSSCKYSHLITSHQFQPSELIKYWNHLQQNKHNACANAAKEKAGRYRHRK
+LH
+>tr|Q3ZCE2|Q3ZCE2_BOVIN ELAV-like protein OS=Bos taurus OX=9913 GN=ELAVL1 PE=2 SV=1
+MSNGYEDHMAEDCRDDIGRTNLIVNYLPQNMTQDELRSLFSSIGEVESAKLIRDKVAGHS
+LGYGFVNYVTAKDAERAINTLNGLRLQSKTIKVSYARPSSEVIKDANLYISGLPRNMTQK
+DVEDMFSRFGRIINSRVLVDQTTGLSRGVAFIRFDKRSEAEEAITSFNGHKPPGSSEPIT
+VKFAANPNQNKNVALLSQLYHSPARRFGGPVHHQAQRFRFSPMGVDHMSGLSGVNVPGNA
+SSGWCIFIYNLGQDADEGILWQMFGPFGAVTNVKVIRDFNTNKCKGFGFVTMTNYEEAAM
+AIASLNGYRLGDKILQVSFKTNKSHK
+>tr|Q08E01|Q08E01_BOVIN Adducin 3 OS=Bos taurus OX=9913 GN=ADD3 PE=2 SV=1
+MSSDASQGVVTTPPPPSMPHKERYFDRINENDPEYIRERNMSPDLRQDFNMMEQRKRVTQ
+ILQSPAFREDLECLIQEQMKKGHNPTGLLALQQIADYIMTSSFSGFTSPPLSLGMVTPIN
+DLPGADTSSYVKGEKLTRCKLASLYRLADLFGWAHLANTYISVRISKEQDHIIIIPRGLS
+FSEATASNLVKVNIIGEVVDQGSTNLKIDHAGFSPHAAIYSTRPDVKCVIHIHTLATAAV
+SSMKCGILPISQESLILGDVAYYDYQGSLDDQEERIQLQKVLGPSCKVLVLRNHGVVALG
+ETVEEAFHYIFNVQIACETQVQALAGAGGVDNLLVLDLQKYKASTHTVAASGGGGVNMGS
+HQKWKVGEIEFEGLMRTLDNLGYRTGYAYRHPLIREKPRHKSDVEIPATVTAFSFEDDTV
+PLSPLKYMAQRQQREKTRWLNSPNTYMKVNVPEESRNGETSPRTKITWMKAEDSSKVSSG
+TPIKIEDPNQFVPLNTNPNEVLEKRNKIREQNRYDLKTAGPQSQLLAGIVVDKPPSTMQF
+EDDDHAPPAPPNPFSHLTEGELEEYKRTVERKQQGLEENHELFSKSFISMDVPVLIVNGK
+DDVHGVEDELAQRVSRLTTSTTIESIEITIKSPEKIEEVLSPEGSPSKSPSKKKKKFRTP
+SFLKKNKKKEKVEA
+>tr|A5D7N5|A5D7N5_BOVIN PAPOLG protein OS=Bos taurus OX=9913 GN=PAPOLG PE=2 SV=1
+MKEMSANTMLDSQRQQKHYGITSPISLACPKEIDHIYTQKLIDAMKPFGVFEDEEELNHR
+LVVLGKLNNLVKEWISEVSESKNLPPSVVATVGGKIFTFGSYRLGVHTKGADIDALCVAP
+RHVERSDFFQSFFEKLKHQDGIRNLRAVEDAFVPVIKFEFDGIEIDLVFARLAIQTISEN
+LDLRDDSRLRSLDIRCIRSLNGCRVTDEILHLVPNKETFRLTLRAVKLWAKRRGIYSNML
+GFLGGVSWAMLVARTCQLYPNAAASTLVHKFFLVFSKWEWPNPVLLKQPEESNLNLPVWD
+PRVNPSDRYHLMPIITPAYPQQNSTYNVSTSTRTVMVEEFKQGLAVTDEILQGKSDWSKL
+LEPPNFFQKYRHYIVLTASASTEENHLEWVGLVESKIRVLVGNLERNEFITLAHVNPQSF
+PGNKEHHKDNNYVSMWFLGIIFRRVENAESVNIDLTYDIQSFTDTVYRQANNINMLKEGM
+KIEATHVKKKQLHHYLPAEILQKKKKQSLSDVTRSSSGPQSKRSSLDSNCLDSSRDTDNE
+TPFNSPVSANKPSKPDIPPSGETERNNAEPAAVIVEKPLSVPPAQGLSIPVIGAKVDSAL
+KAVSPPAVCTIPTVVGRNVIPRVTPHNPVQGQPHLNGMSNVTKNVTPKRPHSPSVDGSSK
+RLKDIEKFIRLESTFKESRAAEDRKRKSVDAIGGECMPIPTIDTSRKKRLPSKELPDSSS
+PVPANNIRVIKNSIRLTLNR
+>tr|Q32KR2|Q32KR2_BOVIN Acrosomal vesicle protein 1 OS=Bos taurus OX=9913 GN=ACRV1 PE=2 SV=1
+MKNFLLLVSLCFLGSARAASAQSDEFLGSMDHQASVQQLSSDYFSLANPLDEALYETSSI
+DNTLSERGSSETAAAQHASGEPLAVEHASGPTPVDHATAVEHAPGEAAAGEHTLNEHTPG
+EQLSVEQPIGEQPLGEQSVGEQAVGEQPTNEQVASDKPAGEQSAVEPISAEQVSAESSAE
+QPSELPPSSTFSGPPLSCHTCSYMNDQGKCLRGEGVCSTQNSQQCMLKKIFEGGKLQFMV
+QGCENMCPSMNLFSHGTRMQIICCRNQSFCNKI
+>tr|Q3ZCD6|Q3ZCD6_BOVIN TMEM9 domain family member B OS=Bos taurus OX=9913 GN=TMEM9B PE=2 SV=1
+MATLWAGLLRLASMLSLSCLALSVLLLVQLSDAAKNSEDVRCKCICPPYKDNSGHIYNKN
+ISQKDCDCLHVVDPMPVRGPDVEAYCLRCECKYEERSSVTIKVTIIIYLSILGLLLLYMV
+YLTLVEPILKRRLFGHSQLIQSDDDVGDHQPFANAHDVLARSRSRANVLNKVEYAQQRWK
+LQVQEQRKSVFDRHVVLS
+>tr|E1BH79|E1BH79_BOVIN Elongation factor like GTPase 1 OS=Bos taurus OX=9913 GN=EFL1 PE=4 SV=2
+MVLNSLDKMIQLQKNTANIRNICVLAHVDHGKTTLADCLISSNGIISSRLAGKLRYMDSR
+EDEQVRGITMKSSAISLHYAEGDKEYLINLIDSPGHVDFSSEVSTAVRICDGCIIVVDAV
+EGVCPQTQAVLRQAWLENIRPVLVINKIDRLIVELKFTPQEAYSHLKNILEQINALTGTL
+FTSKVLEERAEREAESQVNPNSEQGEQVYDWSTGLEDTDDSHLYFSPDQGNVVFTSAIDG
+WGFGIEHFAKIYSQKTGIRKEVLLKTLWGDYYINTKAKKIMKVDQAKGKKPLFVQLILEN
+IWSLYDAVLKKDKEKTDKIVTSLGLKIGAREARHSDPKVQINAICSQWLPISQAVLAMVC
+QKLPSPLDITAERVEKLMCAGSQTFDSLPPETQALKAAFMKCGSEDTAPVIIFVSKMFAV
+DAKALPQNKPRPLTQEEIAERRERARRRHAEKLAAAQGQAPVEPTQDAGALEMSPQGEEP
+KGDEQGVESETPKPVSPEGSEQESFIAFARVFSGVARKGKRIFVLGPKYSPLEFLQQVPL
+GFSAPLEDLPPVPHMACCTLENLYLLMGRELEDLEEVPPGNVLGIGGLQDFVLKSATLCT
+SPSCPPFIPLNFEATPIVRVAIEPKHPSEMPQLVKGMKLLNQADPCVQVFIQETGEHVLV
+TAGEVHLQRCLDDLKERFAKIEISVSEPIIPFRETITKPPKVDMVNEEIGKQQKVAVIHQ
+TKEDQSKIPEGIQVDSNGLITMMTPNKLATLSVRALPLPEEVTQILEESSDLIRSMEQLT
+SSLNEGKNNPQMIHQKTQEKIWEFKGKLEHHLTGRKWRNTVDQIWSFGPRKCGPNILVNK
+SEDFHNSVWTGLDGKASKEASRYRDLGNSIVSGFQLATLSGPMCEEPLMGVCFVLEKWDL
+SKFEEQTASNKQNQEPGDLVKERQGEGDTRSGGDEQQELQDYGSEPFEKRASQRGESPLT
+DCYGPFSGQLIATMKEACRYALQVKPQRLMAAMYTCDIMATSDVLGRVYAVLSKREGRVL
+QEEMKEGTDMFIIKAVLPVAESFGFADEIRKRTSGLASPQLVFSHWEIIPSDPFWVPTTE
+EEYLHFGEKADSENQARKYMNAVRKRKGLYVEEKIVEHAEKQRTLSKNK
+>tr|A0A3Q1MA85|A0A3Q1MA85_BOVIN Family with sequence similarity 171 member A2 OS=Bos taurus OX=9913 GN=FAM171A2 PE=4 SV=1
+MLLAAGTTDSEGVATLPLSYRLGTWVLVTAARPGFLTNSVPWRVDKLPLYASVSLYLLPE
+RPATLILYEDLVHILLGSPGARSQPWVQFQRRAARLPVTSTYSQLWASLTPASTQQEMRA
+FPAFLGTEASSSGNGSWLELMPVAAVSVHLLAGNGTEVPLSGPIHLSLPVPSEPRALAVG
+TSIPAWRFDPKSGLWVRNGTGVIRKEGRQLYWTFVSPQLGYWAAAMASPTAGLVTITSGI
+QDIGTYHTIFLLTILAALALLVLILLCLLVYYCRRRCLKPRQQHRKLQLSGPSDGNKRDQ
+ATSMSQLHLICGGSLEPAPSGDPEAPPPGPLHSAFSSSRDLTGSRDDFFRAKPRSASRPA
+AEPTGARGGEGAGLKGARSVEGPAGLEPGLEEYRRGPPGTPAFLQEPPSPPPPFEHYLGH
+KGAAESKTPDFLLSQSVDQLARPPSLSQAGQLIFCGSIDHLKDSVYRNVMPTLVIPAHYV
+RLGGEAGATGAGEESAPPEGAAPGPARPFPQPDPQRPLMPGHSGAGGEGGGGGGGEGWGS
+GRSAPVSGSVTIPVLFNESTMAQLNGELQALTEKKLLELGVKPHPRAWFVSLDGRSNSQV
+RHSYIDLQAGGGGRSTDASLDSGVDVHEARPARRRPLREERERAPATAPPAPPPPPPAPP
+RLALSEDTEPSSSESRTGLCSPEDNSLTPLLDEVAAPEGRAATVPRGRGRSRGDSSRSSA
+SELRRDSLTSPEDELGAEVGDEAGDKKSPWQRREERPLMVFNVK
+>tr|B2D2I8|B2D2I8_BOVIN Folliculogenesis specific bHLH transcription factor OS=Bos taurus OX=9913 GN=FIGLA PE=2 SV=1
+MSAGARGPAPEGPRRPRGRPSCPPPPRAAMDAAPELLRVPPAELLDDVLREQFGPLPQQA
+TICRLKRLPSSTQDVQLVLERRRVANAKERERIKNLNHGLAKLKALVPFLPQNRKPSKVD
+ILRGATEYIQVLSDILEEAKDSEKRDPDHQSYSSNTSELHTSSARELSRIIQRAGCAMGL
+KNEKEGSWADGGSG
+>tr|A2VE12|A2VE12_BOVIN Lysine methyltransferase 2E OS=Bos taurus OX=9913 GN=KMT2E PE=2 SV=1
+MSIVIPLGVDTAETSYLEMAAGSEPESVEASPVVVEKSNSYPHQLYTSSSHHSHSYIGLP
+YADHNYGARPPPTPPASPPPSVLISKNEVSIFTTPNFDETSSATTISTSEDGSYGTDVTR
+CICGFTHDDGYMICCDKCSVWQHIDCMGIDRQHIPDTYLCERCQPRSLDKERAVLLQRRK
+RENMSDGDTSATESGDEVPVELYTAFQHTPTSITLTASRVSKVNDKRRKKSGEKEQNISK
+CKKAFREGSRKSSRVKGSAPEIDPSSDVSNFGWETKIKAWMDQYEEANNNQYSESVQREA
+QRIALRLGNGNDKKEIKSDLNTNNLIFKPPVESHIQKNKKILKSAKDLPPDALIIEYRGK
+FMLREQFEANGYFFKRPYPFVLFYSKFHGLEMCVDARTFGNEARFIRRSCTPNAEVRHEI
+EDGTIHLYIYSIQSIPKGTEITIAFDFDYGNCKYKVDCACLKENSECPVLKRSSESTENI
+NSGYETRRKKGKKEKDISKEKDTQNQNITLDCEGTTNKMKSPETKQRKLSPLRLSVSNNQ
+EPDFIDDIEEKTPISNEVEMESEEQIAERKRKMVSWKASSSFTLSLVFSDIVLPRVLVAA
+FRWAFTLFFEISKQDGVTEHFSLALSLPFK
+>tr|E1BBR5|E1BBR5_BOVIN Homeobox protein OS=Bos taurus OX=9913 GN=PITX3 PE=3 SV=1
+MEFGLLSEAEARSPALSLSDAGTPHPPLPEHGCKGQEHSDSEKASVSLPGGSPEDGSLKK
+KQRRQRTHFTSQQLQELEATFQRNRYPDMSTREEIAVWTNLTEARVRVWFKNRRAKWRKR
+ERSQQAELCKGGFAAPLGGLVPPYEEVYPGYSYGNWPPKALGPPLAAKTFPFAFNSVNVG
+PLASQPVFSPPSSISASMVPSGATAPGTVPGHGALQGLGGGPPGLAPAAVSSGAVSCPYA
+SAAAAAAAAASSPYVYRDPCNSSLASLRLKAKQHASFSYPTVPGPPPAANLSPCQYAVER
+PV
+>tr|A4IFV5|A4IFV5_BOVIN LOC513767 protein OS=Bos taurus OX=9913 GN=LOC513767 PE=2 SV=1
+MEPGTGTFSEEQFREACAELQRPALSGAAWELLMETQGISVYGLLDQPTGLYEYKLIGTL
+RAPPDILADICMDLGYIRRRIPQVTEAYETECDGETVTYMKMEFPFLLSSRDCVYVKQRR
+DLDFRGQKIQVVLAKGTSLPQFPERPGFIRVSQCQVKLAVESAGHNRSKVFIYCFINPGG
+WIPSWLINMVAKSAIPGFLADLEDACLKYQRRT
+>tr|A6QNX2|A6QNX2_BOVIN DPP7 protein OS=Bos taurus OX=9913 GN=DPP7 PE=2 SV=1
+MSPHPWPTLLWALVLLLGLQPRGLEARAHRPKDPEFQEAYFEQLLDHFNFERFGNKTFLQ
+RFLMTEKFWNRGEGPIFFYTGNEGDVWSFANNSGFILELAEQQGALVVFAEHRYYGKSLP
+FGERSTWRGYTELLTVEQALADFAGLLRALRQELEAPDAPAIAFGGSYGGMLSAYLRIKY
+PHLVAGALAASAPVVSAAGLGDPYQFFQDVSADFQGQSPECARAVQDAFRQIRDLFQQGA
+PHVVSQEFGTCQPLSGPKDLTQLFGFARNAFTVLAMMDYPYATDFVGHLPAHPVQVGCSR
+LLSESSRIAGLRALAGLVYNSSGIEPCYDIYLQYQACADPTGCGLGSDAKAWDYQACTEI
+SLTFSSNNVSDLFPELPFTEAQRQQYCLDTWGVWPRQDWLQTSFGGGDLTAASNIIFSNG
+DLDPWARGGIQSNLSASVLAITIHGGAHHLDLRGSHPDDPASVVEARRLEAALIGKWVEA
+ARSTGLQG
+>tr|A0A3Q1N4H7|A0A3Q1N4H7_BOVIN Tankyrase 1 binding protein 1 OS=Bos taurus OX=9913 GN=TNKS1BP1 PE=1 SV=1
+MQVSAPREGAAMASPAPRGPDEELVSTGSERGDPRAKPPVKPKPRALPAKPALPAKPSLL
+VPIGPRPPRGPLAELPSARKMNMLAGPQPYGGNKRPLPFAPRSAAEALAGGEATREPGRE
+EPGKEETPPLTPPARCAAPGGVRKAPLPFRPASERFAAPTVEEILAKMDQPRKEGPASPD
+RLWGSRLTFNHDGSSRYGPRSYGVAPGPRDDAGTLSKEWSQEGPAGSPADCPEEPSKTPE
+QRKSPSDLTFNGDLAQPAVSEPTTDVLKVPWVTLENGGAPGPGLPAEALGSGPESPRFDS
+LQRGSPPQPHRPEAQRPAAPGPPSLPAETLGSPAAGPPEEGFHHPPASSAVAASEAPRPG
+SPPVRELAVHPSPERSPATAPQPLMAAGELPDVPRTFASGDGAAPGDEDCPAGGLAQRRF
+SEGVLRPPGPDQEQLGGSLATLPQAPGGLSALDHPLGSGTESSWSLSQSFEWTFPTRPAG
+LGVWRLDSPPPSPITEAAEAAEAAEAGNGAVSAREEAGNWAASTCEEAGGEAAEARDGAA
+STREEPGGGAASPREEAGGWATSTREEPGDGAAYAREEAGGGAAFTCEDPGDWAASACEE
+AGSGAVEAAEVRDRAASTREEAGGGATSIHEEAGDWPSSTREEGVSQRGSGAPSAAEGPG
+RPASRGPGDDPSSSPSPMGSGADCPLESSPTAGHPPAPALPQVETHGEREPPPPTREAAL
+RVLEPVLGQEQPAPPDQPCVLFVDAPEPGQALSAEEDPVALALAETTRSRTAVQDPCRAS
+PEPAGPESGSQWLDDLLASPPPSTRRSAPSELKGAPPPSACSEGLLGWAQKDLQSEFGIA
+RGPHPGGFRPSTWSQDASRDYSLGVASPRGDPGLGERDWASECGHGVGEGGPGEWAGRCA
+LDCEEGEVGGQDGRGAGAPGGLGTQDRAIGKPSAPQSHEVDLQHWEFGKRDSQGTYSSRD
+AELQDQEFGKRDSQGTYSSRDAELQDQEFGKRDSLGTYSGRDASLQDWDFGKRDALGAYA
+SRDEEGQGPESGQKDPLGRYSSSQDAGQQDPGFRRSDFGRSAWVPDYSGGLTRDFGARAL
+TAGFSLEEAQRQDTEFEKKTPGRVSPGVAGRDAGWPETTEAGSVFSASGSQLQDGDPGQR
+DPGGWQGGADSREVGGPQAGGARSPGEADREGAERGWAGDFGLGVGAQPELAFGPGQRGW
+GSGFCAEAAEQSPQFGIIGDDRAGGASLSTCGQLGGGPFLPPEGAVGWTDQLGLWNLEVS
+SCVRAGSSSEAREDGVGQTGWADQAGVTDAGLVRRSQSGGAEAPGRLGVGDQDWPSEVGG
+PSQTREGGVGQTDWSGAEAGEFLKSRERGVGQADWTPEPRDRELGPGGVDWGDSLGLRHL
+EVPCELDSETSQGPRGRRLDQVDWAQDSELRNVELPAEARECGVGDVSQPLEPGVGNDDL
+SALGLEARGSSEARELGVGEMSGPDAEDGDPFLPLVVICPEEDGSGLQESPAFGPSPGDH
+PARSPPTGSQGQPGERLAAHSPEATAPRESASLGSRAPLEEEGAAAGADRVEPREPGQDP
+LPSWRPQPDGEASWTDAVHSTRDATGASQGEQTPQGPPTSTPSQDFSFIEDTEILDSAMY
+RSRANLGRKRGHRAPAIRPGGTLGLSEAAASDARLFQDSTEPRASQTPSSDEEVVEEPQN
+RRTRVTKGLKVSLFPGLSPSALKAKLRSRNRSADEGEPSEGKAGQKESMQRSKSCKVPGL
+GKPHALPPKPDKSSGSEGSSPNWLQALKLKKKKV
+>tr|A2VE32|A2VE32_BOVIN Phosphodiesterase OS=Bos taurus OX=9913 GN=PDE4B PE=2 SV=1
+MTAKDSSKELTASESEVCIKTFKEQMHLELELPRLPANRPTSPKISPRSSPRNSPCFFRK
+LLVNKSIRQRRRFTVAHTCFDVENGPSPGRSPLDPQASSSSGLVLHATFPGHSQRRESFL
+YRSDSDYDLSPKAMSRNSSLPSEQHGDDLIVTPFAQVLASLRSVRNNFTLLTNLHGTSNK
+RSPAAGQPSVSRVNLPEESYQKLAMETLEELDWCLDQLETIQTYRSVSEMASNKFKRMLN
+RELTHLSEMSRSGNQVSEYISNTFLDKQNDVEIPSPTQKDREKKKKQQLMTQISGVKKLM
+HSSSLNNTSISRFGVNTENEDHLAKELEDLNKWGLNIFNVAGYSHNRPLTCIMYAIFQER
+DLLKTFKISSDTFVTYMMTLEDHYHADVAYHNSLHAADVAQSTHVLLSTPALDAVFTDLE
+ILAAIFAAAIHDVDHPGVSNQFLINTNSELALMYNDESVLENHHLAVGFKLLQEEHCDIF
+QNLTKKQRQTLRKMVIDMVLATDMSKHMSLLADLKTMVETKKVTSSGVLLLDNYTDRIQV
+LRNMVHCADLSNPTKSLELYRQWTDRIMEEFFQQGDKERERGMEISPMCDKHTASVEKSQ
+VGFIDYIVHPLWETWADLVQPDAQDILDTLEDNRNWYQSMIPQSPSPPLDEQNRDCQGLM
+EKFQFELTLEEEDSEGPEKDGEGHSYFGSTKTLCVIDPENRDPLGETEVDITTEDKSPID
+T
+>tr|A0A3Q1MH92|A0A3Q1MH92_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=SNPH PE=4 SV=1
+MAMSLPGGRRASGGSRRRTSPPVSVRDAYGTSSLSSSSNSGSCKGSDSSPTPRRSMKYTL
+CSDNHGIKPPTPEQYLTPLQQKEVCIRHLKARLKDTQDRLQDRDTEIDDLKTQLSRMQED
+WIEEECHRVEAQLALKEARKEIKQLKQVIDTVKNNLIDKDKGLQKYFVDINIQNKKLETL
+LHSMEVAQNGLAKEDGAGESAGGSPARSLTRSSTYTKLSDPAVCGDRPPGDHPGTSAEDG
+VDSGFAANDDTLSRTEALEASSLLSSGVDCGPEEPSSLHSSFSLGPRFPASNTYEKLLCG
+TEAGVQASCMQERAIQTDFVPSQPDLDTILEKVTQAQVCGMVPESGDRRLEPDPHPPGPR
+DPNSAVVVTVGDELEAPEPITWGPTPHHPGAHPNPSPSVSVACPVEEEEEAATAEKEPKS
+YWSRHYIVDLLAVVVPAVPTVAWLCRSQRRQGQPIYNISSLLRGCCTVALHSIRRISCRS
+LSHQGPTGTTAGGSSQL
+>tr|E1BEA2|E1BEA2_BOVIN Enhancer of mRNA decapping 3 OS=Bos taurus OX=9913 GN=EDC3 PE=4 SV=3
+MATDWLGSIVSINCGDSLGVYQGRVSAVDQVSQTISLTRPFHNGVKCLVPEVTFRAGDIT
+ELKILEIPGPGETQHFGDLHQTELGSSGVGCQVGINQNGTGKLVKKPASSSSVPQNIPKR
+TDVKSQDVAISPQQQQCSKSYVDRHTESLSQSKSFRRRHNSWSSSSRHPNQATPKKSGLK
+NGQMKNKDDECFGDDIEEIPDTDFDFEGNLALFDKAAVFEEIDTYERRSGTRSRGIPNER
+PTRYRHDENILESEPIVYRRITVPHNVSKEFCTDSGLVVPSISYELHKKLLAVAEKHGLT
+LERRLEMTGVCASQMALTLLGGPNRLNPKNVHQRPAVALLCGPHVKGAQGISCGRHLANH
+DVQVILFLPNFVKMLESITNELSLFSKTQGQQVSSLKDLPTSPVDLVINCLDCPENAFLR
+DQPWYKAAVAWANQNRAPVLSIDPPVHEGEQGIDAKWSLALGLPLPLGEHAGRVYLCDIG
+IPQQVFQEVGINYHSPFGCKFVIPLHSA
+>tr|Q0VC87|Q0VC87_BOVIN Translin-associated factor X OS=Bos taurus OX=9913 GN=TSNAX PE=2 SV=1
+MSSKEGSGGFRKRKHDNFPHNQRREGKDVNSSSPVMLAFKSFQQELDARHDKYERLVKLS
+RDITVESKRTIFLLHRITSAPDMEEILTESEVKLDGVRQKILQVAQELSVEDMHQFHRAI
+TTAFL
+>tr|Q5E9E0|Q5E9E0_BOVIN Galectin OS=Bos taurus OX=9913 GN=LGALS9 PE=2 SV=1
+MAFGGAQASYINPVVPFTGMIQGGLQDGHKITIIGAVLPSGGNRFAVNLQTGYNDSDIAF
+HFNPRFEEGGYVVCNTKQRGSWGTEERKMHMPFQRGCSFELCFQVQSSEFRVMVNGNLFT
+QYAHRVPFHRIDAISITGVVQLSSISFQPPGIWPANSAPIAQTFVHTIHSAPGQMFPNPV
+IPPAVYPNPVYQLPFFTSILGGLYPSKSILVSGTILPSAQRFYINLRSGSDIAFHLNPRF
+NENAVVRNTQINGSWGSEERSLPRGMPFFRGQSFSVWIMCEGHCFKVAVDSQHLFEYHHR
+LKNLPAINNLEVGGDIQLTHVQT
+>tr|Q1RMP5|Q1RMP5_BOVIN Chromosome 10 open reading frame 10 ortholog OS=Bos taurus OX=9913 GN=DEPP1 PE=2 SV=1
+MRSRLLLSVALLPTIRETSEEMLPGGAGEEPPASPSLDDYVRSICQLAQPTSVLDEAAAR
+VRTSRPHRIARSCAKSCPTGSLQDITTRFTGQQPSLPRTGTVDPLDWLFGESQEKQPSKR
+DVPRRTGPSADPWASRRQTDSGKAPGTPRGRLSDAKAPGHSLQRTSRDWHQCSQASGQPG
+QDAGSPTSPRHSSILRTLSLHLPVIHEL
+>tr|Q0VD49|Q0VD49_BOVIN Histone deacetylase 10 OS=Bos taurus OX=9913 GN=HDAC10 PE=2 SV=1
+MGTALVYHEDMTATRLLWDDPECEIECPERLTTALERLQQHGLKQRCLQLVAREASEAEL
+GLVHSPEYVALLQGTQALGTRELQALSKEYDAVYLHPSTFHCARLAVGAALQLVDAVLTG
+AVRNGLALVRPPGHHSQRATANGFCVFNNVAIAAKHAQQKHGLRRILIVDWDVHHGQGIQ
+YIFEDDPSVLYFSWHRYEHGHFWPCLRESDADAVGRGRGLGFTVNLPWNQVGMGNADYVA
+AFLHVLLPLAFEFDPELVLVSAGFDSAIGDPEGQMLATPECFAHLTHLLQVLAGGRVCAV
+LEGGYHLESLSQSVCMMVRALLGDPALPLSGPMEPHGSALESLQCVRAAQAPHWVSLQQQ
+GAAPVLSPGTPCPEGRPSPLPLGEPQFKAVVTQAAAALSSLLDQLRLHPTPPVRVAVALI
+APDTGLALPPGVLCEEGSLPQEETQAWARPHEALAQDGALTALGKVLYLLDRILDGQVSS
+GMAATPVPAAAATLDVAVRYGLSHGAQRLLCVAVGQLDRPPGLTDDGRNLWLNIGGEEAA
+APSMFHVSVPLPVTTGGFLSCALALVLPLAYSFQPDLVLVALGPAHGLRDPQAALLAALL
+RGPAGGRVFALVDEESTPQLATVLARVLNGEAPPSLGPFSMAAPEDTQALMYLRGRLEPR
+WKMLQVAAPH
+>tr|A5PKK0|A5PKK0_BOVIN FAM151B protein OS=Bos taurus OX=9913 GN=FAM151B PE=2 SV=1
+MTATAGGPGSWSENILEYFLRNNQITTEDGAQIVWYHAANHKVQVNEALRSTAHMIEADV
+VLPSDGSEHGQPIMAHPPEINSDNTLQEWLAEVIKTNKGIKLDFKSLAAVEPSMMLLENV
+KRHLKRPVWINADVLPGPNGNSRVVDAKPFIDTVTSFSPDVTFSLGWTTGWHPEKVNEGY
+SWTMVKEMEYICNELNQPVTFPVRAALVRQSCSQLLWLLKQSNRYSLTIWTGKNDSYSTE
+DLLFIRDHFDKKRVFYDILEPQNHEFKQAIGIKINL
+>tr|A4FUE2|A4FUE2_BOVIN ABCF3 protein OS=Bos taurus OX=9913 GN=ABCF3 PE=2 SV=1
+MATCAEILRSEFPEIDGQVFDYVTGVLHSGSADFESVDDLVEAVGELLQEVSGDSKDDAG
+IRAVCQRMYNTLRLAEPQTQGNSQVLLDAPIQLSKITENYDCGTKLPGLLKREQSSTVNA
+KKLEKAEARLKAKQEKRSEKDTLKTSSPLVLEEASASQAGSRKESRLESSGKNKSYDVRI
+ENFDVSFGDRVLLAGADVNLAWGRRYGLVGRNGLGKTTLLKMLATRSLRVPAHISLLHVE
+QEVAGDDTPALQSVLESDTVREDLLHRERELNAQIAAGRAEGSQAAQLAEIYAKLEEIEA
+DKAPARASVILAGLGFTPKMQQQPTREFSGGWRMRLALARALFARPDLLLLDEPTNMLDV
+RAILWLENYLQTWPSTILVVSHDRNFLNAIATDIIHLHSQRLDGYRGDFETFIKSKQERL
+LNQQREYEAQQQYRQHIQVFIDRFRYNANRASQVQSKLKMLEKLPELKPVDKELEVVMKF
+PDGFEKFSPPILQLDEVDFYYDPKHVIFSRLSVSADLESRICVVGENGAGKSTMLKLLMG
+DLTPVRGIRHAHRNLKIGYFSQHHVEQLDLNVSAVELLARKFPGRPEEEYRHQLGRYGIS
+GELAVRPVASLSGGQKSRVAFAQMTMPCPNFYILDEPTNHLDMETIEALGRALNNFRGGV
+ILVSHDERFIRLVCRELWVCEGGGVTRVEGGFDQYRALLQEQFRREGFL
+>tr|A0A3Q1LVE6|A0A3Q1LVE6_BOVIN Cysteine and serine rich nuclear protein 3 OS=Bos taurus OX=9913 GN=CSRNP3 PE=4 SV=1
+MSGILKRKFEEVDGSSPCSSVRESDDEVSSSESADSGDSVNPSTSNHFPPSSILKREKRL
+RTKNVHFSCVTVYYFTRRQGFTSVPSQGGSTLGMSSRHNSVRQYTLGEFAREQERLHREM
+LREHLREEKLNSLKLKMTKNGTVESEEASTLTVDDISDDDIDLDNTEVDEYFFLQPLPTK
+KRRALLRASGVKKIDVEEKHELRAIRLSREDCGCDCRVFCDPETCTCSLAGIKCQVDRMS
+FPCGCTKEGCSNTAGRIEFNPIRVRTHFLHTIMKLELEKNREQQIPALNGCHGEISAHGS
+SMGPVAHSVEYALADNFEIETEPQAAVLHLQSAEELDCQGEEEEEEEEDGSSFCSGVTDS
+STQSLAPSESEEEEEEEEEEEEEEDDDEKGDSFVEGLGPQAEVVPLPSVLCYSDGTAVHE
+SHAKNAPFYANSSTLYYQIDSHIPGTPSQMSENYPERETVKSGTLSLVPYTMTPEQFVDY
+ARQTEEAYGASHYPAANPSVIVCCSSSENDGGVPCNSLYPEHRSSHPQVEFHSYLKGPSQ
+EGFVSAMNGDSHISEHPAEDPLSLAEKSRLHEECIKSPVVETVPV
+>tr|A6QLE3|A6QLE3_BOVIN NUMB protein OS=Bos taurus OX=9913 GN=NUMB PE=2 SV=1
+MNKLRQSFRRKKDVYVPEASRPHQWQTDEEGVRSGKCSFPVKYLGHVEVDESRGMHICED
+AVKRLKATGKKAVKAVLWVSADGLRVVDEKTKDLIVDQTIEKVSFCAPDRNFDRAFSYIC
+RDGTTRRWICHCFMAVKDTGERLSHAVGCAFAACLERKQKREKECGVTATFDASRTTFTR
+EGSFRVTTATEQAEREEIMKQIQDAKKADTDKTAVGSSVAPGNIATSPSSPTSPTLDATA
+SLEMNNPHAIPRRHAPIEQLARQGSFRGFPALSQKMSPFKRQLSLRINELPSTMQRKTDF
+PIKHTVPEVDGEAESISSLCSQITSAFSTPSEDPFSSAPMTKPVTVVAPQSPAFQGTEWG
+QSSGAVSPGLFQAGHRRTPSEADRWLEEVSKSVRAQQPQASAAPLQPVLQPPPPTAISQP
+VPPFQGNAFLTSQPVPVGVVPPLQPAFVAAQSYPVANGMTYPASNVPVVGITPSQMVANV
+FGTAGHPQAAQPHQSPSLVKQQTFPQYETSSATASPFFKPPAQHLNGSAALNGVDDSRLA
+SGDKQTEAPAGTCTVDPFEAQWAALESKSKQRTNPSPTNPFSSDLQKTFEIEL
+>tr|A4FUB1|A4FUB1_BOVIN KH domain containing 4, pre-mRNA splicing factor OS=Bos taurus OX=9913 GN=KHDC4 PE=2 SV=1
+MSAGSATHPGAGGRRSKWDQPAPAPLLFLPPATPGGEVTSSGGSPGGSTAAPSGALDAAA
+AVAAKINAMLMAKGKLKPTQNAAEKLQAPGKGLTSNKSKDDLVVAEVEINDVPLTCRNLL
+TRGQTQDEISRLSGAAVSTRGRFMTTEEKAKVGPGDRPLYLHVQGQTRELVDRAVNRIKE
+IITNGVVKAATGTSPTFNGATVTVYHQPAPIAQLSPAVSQKPPFQSGMHYVQDKLFVGLE
+HAVPTFNVKEKVEGPGCTYLQHIQIETGAKVFLRGKGSGCIEPASGREAFEPMYIYISHP
+KPEGLAAAKKLCENLLQTVHAEYSRFVNQINTAVPLPGYTQPSAISSVPPQPPYYPSNGY
+QSGYPVVPPPQQPVQPPYGVPSIVPPAVSLAPGVLPALPTGVPPVPTQYPITQVQPPAST
+GQSPMSGPFIPAAPVKTALPAGPQPPPQPQPPLPSQPQAQKRRFTEELPDERESGLLGYQ
+VQASPVRMRLKVQDRSRQVPQAKSERGTGS
+>tr|A6QLQ6|A6QLQ6_BOVIN PARVB protein OS=Bos taurus OX=9913 GN=PARVB PE=2 SV=1
+MSSAPLRSPALRPHRMKKDESFLGKLGGTLARKKKAKEVSDLQEEGKNAINSPMCPAPVE
+VHPEDSLLEENEERTVIDPTSRDDPRFKELVKVLIDWINDVLVEERIIVKQLEEDLYDGQ
+VLQKLLEKLADCKLNVAEVTQSEIGQKQKLQTVLEAVQELLRPHSRPLQWTVDSIHGKNL
+VAILHLLVALAMHFRAPIHLPEHVSVQVVVVRKREGLLHSSHVTEELTTTTEMMMGRFER
+DAFDTLFDHAPDKLSVVKKSLITFVNKHLNKLNLEVTELETQFADGVYLVLLMGLLEDYF
+VPLHNFYLTPDSFDQKVHNVSFAFELMLDGGLKKPKARPEDVVNLDLKSTLRVLYNLFTK
+YKHLE
+>tr|G3N116|G3N116_BOVIN GRAM domain containing 2A OS=Bos taurus OX=9913 GN=GRAMD2A PE=4 SV=2
+MHGKTASLKKSTEKLGGVQRAQDTSLYWPEGLKGEDVKKCRQEGMLLSKYNQQYHKLFKD
+IPLEEVVLKVCSCALQRDLLLQGRLYISSNWLCFHASLFGKDIKVVIPVVSVQMIKKHKM
+ARLLPNGLAITTNTSQKYVFVSLLSRDSVYDMLRRVCTHLQPSSKKSLCVREFPEEPECE
+SLEALIPEMKWRKVCPASRSVSLPDSIPCISRASMESTDSFFPSREPLGSEISDCEEEKL
+EEGPMSNRELKLWDYPLLKVFFVLICFLVMSSSYLAFRISQLEQQLCSLNWGGSVPRHR
+>tr|F1MTT7|F1MTT7_BOVIN 3,2-trans-enoyl-CoA isomerase, mitochondrial OS=Bos taurus OX=9913 GN=ECI1 PE=1 SV=1
+MALASGVRFAERALLRPWALLRGTTLGRTEPAAGGGGDGARRFGSQRVLVEPDAASGVAV
+MKLRNPPINALSLELLTELVISLEKLENDKTFRGVILTSDCPRVFCAGLDLTEICGRNPA
+HCAEYWKAMQELWLRTYLSSLVLVAAINGACPAGGCIIALSCDCRVLADNPKYRIGLNET
+LLGIIAPFWLKDTYVNTIGHRASEQALQLGSLFPPAEALQVGLVDQVVPEDQVQSTALSE
+MARWLAVPDHARQLTKNMMRKATADRLLRQRDADTQNFVSFVCRDSIQKSLQAYLEKLRQ
+RKG
+>tr|E1BM20|E1BM20_BOVIN Prospero homeobox 1 OS=Bos taurus OX=9913 GN=PROX1 PE=4 SV=2
+MPDHDSTALLSRQTKRRRVDIGVKRTVGTASAFFAKARATFFSAMNPQGSEQDVEYSVVQ
+HADGEKSNVLRKLLKRANSYEDAMMPFPGATIISQLLKNNMNKNGGTEPSFQASGLSSTG
+SEVHQEDICSNSSRDSPPECLSPFGRPTMSQFDMDRLCDEHLRAKRARVENIIRGMSHSP
+SVALRGNENEREMAPQSVSPRESYRENKRKQKLPQQQQQSFQQLVSARKEQKREERRQLK
+QQLEDMQKQLRQLQEKFYQIYDSTDSENDEDGNLSEDSMRSEILEARAQDSVGRSDNEMC
+ELDPGQFIDRARALIREQEMAENKPKREGSHKERDPGPNSLQPEGKHLAETLKQELNTAM
+SQVVDTVVKVFSAKPSRQVPQVFPPLQIPQARFAVNGENHNFHTANQRLQCFGDVIIPNP
+LDTFGNVQMPGSTDQTEALPLVVRKNSSDQSASGPPAGGHHQPLHQSPLSATAGFTTSTF
+RHPFPLPLMAYPFQSPIGAPTGSFSGKDRASPESLDLTRETTSLRTKMSSHHLSHHPCSP
+AHPPSTAEGLSLSLIKSECGDLQDMSEISPYSGSAMQEGLSPNHLKKAKLMFFYTRYPSS
+NMLKTYFSDVKFNRCITSQLIKWFSNFREFYYIQMEKYARQAINDGVTSTEELSITRDCE
+LYRALNMHYNKANDFEVPERFLEVAQITLREFFNAIIAGKDVDPSWKKAIYKVICKLDSE
+VPEIFKSPNCLQELLHE
+>tr|Q29RN9|Q29RN9_BOVIN NK2 homeobox 5 OS=Bos taurus OX=9913 GN=NKX2-5 PE=2 SV=1
+MFPSPALTPTPFSVKDILNLEQQQRSLAAGELSARLEATLAPASCMLAAFKPEAYAGPEA
+AAPGFPELRAELGPAPSPAKCAPAFSAAPAFYPRAYGDPDPAKDHRADKKELCALQKAVE
+LEKPESDSAERPRARRRRKPRVLFSQAQVYELERRFKQQRYLSAPERDQLASVLKLTSTQ
+VKIWFQNRRYKCKRQRQDQTLELVGLPPPPPPPARRIAVPVLVRDGKPCLGDSAPYAPAY
+GVGLNAYGYNAYPAYPGYGGAACSPGYSCTAAYPAGPPPTQTATAAANNNFVNFGVGDLN
+AVQSPGIPQGNSGVSTLHGIRAW
+>tr|Q08DH1|Q08DH1_BOVIN Jun oncogene OS=Bos taurus OX=9913 GN=JUN PE=2 SV=1
+MTAKMETTFYDDALNASFLQSESGAYGYSNPKILKQSMTLNLADPVGSLKPHLRAKNSDL
+LTSPDVGLLKLASPELERLIIQSSNGHITTTPTPTQFLCPKNVTDEQEGFAEGFVRALAE
+LHSQNTLPSVTSAAQPVYANLSNFNPGSLSSGGGAPSYGAAGLAFPAQPQQQQQQPPQPP
+HHLPQQIPVQHPRLQALKEEPQTVPEMPGETPPLSPIDMESQERIKAERKRMRNRIAASK
+CRKRKLERIARLEEKVKTLKAQNSELASTANMLREQVAQLKQKVMNHVNSGCQLMLTQQL
+QTF
+>tr|F1MQ33|F1MQ33_BOVIN Sterol regulatory element-binding protein cleavage-activating protein OS=Bos taurus OX=9913 GN=SCAP PE=4 SV=2
+MTLTERLREKISQAFYNHGLFCASYPIPIILFTGLCILACCYPLLKLPLPGTGPVEFTTP
+VKDYSPPPLTSDHKPGEPNEQPEWYVGAPVAYIQQIFVKSSVSPWHKNLLAVDVFRSPLS
+RAFQLVEEIRNHVLKDSSGTRSLEDVCLQVTDLLPGLRKLRNLLPEHGCLLLSPGNFWQN
+DRERFHADPDIIRTIHQHEPKTLQTSATLKDLLFGVPGKYSGVSLYTRKRLVSYTITLVF
+QHYHAKFLGSLRARLMLLHPSPNCSLRAESLVHVHFKEEIGIAELIPLVTTYIILFAYIY
+FSTRKIDMVKSKWGLALAAVVTVLSSLLMSVGLCTLFGLTPTLNGGEIFPYLVVVIGLEN
+VLVLTKSVVSTPVDLEVKLRIAQGLSSESWSIMKNMATELGIVLIGYFTLVPAIQEFCLF
+AVVGLVSDFFLQMLFFTTVLSIDIRRMELADLNKRLPPEACLPPAKPVGRPTRFERQPTV
+RPSMPHTITLQPSSFRNLRLPKRLRVIYFLARTRLAQRLIMAGTVVWIGILVYTDPAGLR
+TYLAAQVTEQSPLGEGALAPLPVPSGVLPASHPDPAFSIFPPDASKLPENQTLPGEPPEP
+GGLAEGVHDSPAPEVTWGPEDEELWRKLSFRHWPTLFSYYNITLAKRYVSLLPVIPVTLR
+LNPREALEGRHPQDGRSAWPPPRPGQGGLWEAGPKGPGTAQAQRDLTLYKVAALGLASGI
+VLVLLLLCLYRVLCPRNYGQPGAGPGRRRRGELPCDDYGYAPPETEIVPLVLRGHLMDIE
+CLASDGMLLVSCCLAGHVCVWDAQTGDCLTRIPHPGQRRDSGVGSGLETQETWERLSDGG
+KGGPEEPGDSPPLRHRPRGPPPPALFGDQPDLTCLIDTNFSARPQLPEPAQPEPRYRAGR
+RAQDSAGYDFSRLVQRVYQEGGMAPVHTPALRPPSPGPTFPLAPEDEAGFPPEKSCPSLA
+WAPSADGSIWSLELQGSLIVVGRSSGRLEVWDAIEGTLRCSSEEVSSGITALVFLDRRIV
+AARLNGSLDFFSLETHTALSPLQFRGAPGRGSSPASPACSSSDRVACHLTHTVPCAHQKP
+ITALKAAAGRLVTGSQDHTLRVFRLEDSCCLFTLQGHSGAITTVYIDQTMVLASGGQDGA
+ICLWDVLTGSRVSHMFAHRGDVTSLTCTTSCVISSGLDDLISIWDRSTGIKLYSIQQDLG
+CGASLGVISDNLLVTGGQGCVSFWDLNYGDLLQTVYLGKNSEAQPARQILVLDNAAIVCN
+FGSELSLVYVPSVLEKLD
+>tr|A0A3S5ZPN8|A0A3S5ZPN8_BOVIN Potassium channel tetramerization domain containing 10 OS=Bos taurus OX=9913 GN=KCTD10 PE=4 SV=1
+MSGESVVSSAVPAAATRTTSFKGTSPSSKYVKLNVGGALYYTTMQTLTKQDTMLKAMFSG
+RMEVLTDSEGWILIDRCGKHFGTILNYLRDGAVPLPESRREIEELLAEAKYYLVQGLVEE
+CQAALQNKDTYEPFCKVPVITSSKEEQKLIATSNKPAVKLLYNRSNNKYSYTSNSDDNML
+KNIELFDKLSLRFNGRVLFIKDVIGDEICCWSFYGQGRKIAEVCCTSIVYATEKKQTKVE
+FPEARIYEETLNILLYEAQDGRGPDNALLEATGGAAGRSHHLDEDEERERERIERVRRIH
+IKRPDDRAHLHQ
+>tr|A7MB79|A7MB79_BOVIN Chromosome 3 C1orf109 homolog OS=Bos taurus OX=9913 GN=C3H1orf109 PE=2 SV=1
+MTEDQPLLAVQEALRKCFPVVDEQQGLWQSALRDCPPLLVSLSNLAEQLQAAQNLRFEDV
+PSLRPFPDLQERLRRKQLAAGDTILDKLGERLATLLKVRDVVSSHVERVLQIYEQHADTI
+GLDAVLQASAASPSVAEMLEWLQDIERHYRNSYLKRKYLLSSIQWEDLGNIQALPRAWDR
+ISEDEYPDLVQDVMLNVSFFLEE
+>tr|Q0VC25|Q0VC25_BOVIN Abasic site processing protein HMCES OS=Bos taurus OX=9913 GN=HMCES PE=2 SV=1
+MCGRTSCHLPREVLARACAYRDRQGHQRLPEWRDPDRYCPSYNKSPRSSSPVLLSRLHLE
+KDADSSERIIAPMRWGLVPYWFKEADLSKLQINTSNCRSDTIMEKRSFKVPLVKGRRCVV
+LADGFYEWQRRQATSHRQPYFIYFPQVKPEQSEQVGAVASPEDWEKVWDNWRPLTMAGIF
+DCWEPPAGGDCLYSYSIITVDSCKVLNDIHNRMPAILDGEEAVSKWLDFGEVPAQEALKL
+IRPTENIAFHRVSSVVNSSWNNAPECVLPLHLLAGKELTVSASSQKMLQWLATKSPKKEE
+PRTPQKAEPDVPQWSSQFLQKSPVTPKRGSAGLLERWLKQGQEEEPVAKRPHTQ
+>tr|A8E4L8|A8E4L8_BOVIN LIM domain 7 OS=Bos taurus OX=9913 GN=LMO7 PE=1 SV=1
+MQDHNKDDMSYRRISAIEPKSALPFNRFLPNKSRQPSYVPAPLRKKKLDKNEDNRRSWAS
+AVYTEADGAFPSNERRTWGTNVENWPTVQETSDSSCYLEENESKKSVPNTVKDDLYVRKL
+SPVMPSPGNAFDQFLPKCWIPEDVNWKRIKRETYKPWYKEFQGFSQFLLLQALQTYSDDI
+LSSETNIKIDPTAGPRLITRRRNLSYAPGCAPGDALEMPVLDPDLENDDFFVRKTRAFHA
+NPCVLRAFEDLRSLSGQDDPVERDIILQCREGELVFPDLEKDDMIVRRIPAQKTEVPLSG
+GPDRYQPVPLPEPWMLPPEIQAKFLCVLERTCPSKEKSTSCRVLVPSCRQKKDDMLARKI
+QSWKLGTAVPPVSFTPGPCSEADLQKWESIREASRLRHRKRLMVERLFQKIYGENGSKSL
+SDVSAEDIQSLRQLRYEEMQRIKSQLKEQDQKWQDDLAKWKDRRKSYTSDLQKKKEEREE
+IEKQALEKSERRSKTFNEMLQDRESQNQMSTVTSRRRLYSFDDGLNEEKRPPVPPMPETY
+SQSERVEEKGTTYPTEIPKQDSTTFAKRETTVTAEVQFPSRSPVEEQRPASLSSQHSLNT
+QMESTRVSATLPRSYQKTDAARLTSVVTPRPFGSQSRGISSLPRSYTMDDAWKYNGDIEG
+VKRTQSSSISISVQRPDTRQLASGSSSEREAEAPRESVMRLPSPTSPCSSLSQDQAATSK
+DTLSSISSPDLTSELGEGRSSPQAEVPRSQDQFSDMRISINQTPGSRLDFGFTVKWAFSR
+IFVASVEAGSPAEFSQLQVDDEIIAINNTRFSYKDTKEWEETMAKAQETGSLVMDIRRYG
+KSGSPETKWIDATSGIYSSDKASNLSVTTDFSESLQSSNTESKEINGICDESSTFESKAS
+EPISLKNLKRRSQFFEQGSSDSVVPDLPVPTISAPSRWAWDPEEERKRQERWQKEQDRLL
+QEKYQREQEKLREEWQRAKQEAERENSKYLNEELMVLNSNSISLTAREPALATRGEESKS
+PDKEGTRAEEKMRHQQQEEDANEDQRKKLQEQLTLERERKLKEQQYQEEQQQKRREVEEQ
+KHQAEKERETSIKIYQYRRPIDSYDIPKREEESSGLLPSDRNKSRSTTELDDFPTNKNGS
+NRYADRSGNSSSSQKSSKKEPAPSGAELERQQILQEMRKRTSLYDDNSWIRQRSSSVNKE
+PICLPGIMRRGESLDNLDSPRTNSWRQSPWLSQPSGVYASSSVQDFSRPPPQLLSTSNRA
+YMRNPSSSVPPPSAGSVKTTTPSPIPRSHSPAAPQPGSQPRNRSVSGKRVCSCCHNILGK
+GAAMIIESLGLCYHLHCFKCVACECDLGGSSSGAEVRIRNNQLYCNDCYLRFKSGRPTAM
+>tr|Q05JF3|Q05JF3_BOVIN Calsequestrin OS=Bos taurus OX=9913 GN=CASQ1 PE=1 SV=1
+MSAADRMGARAVPGLRLALLLLMVLGTPKSGVQGEEGLDFPEYDGVDRVVNVNAKNYKNV
+FKKYEVLALLYHEPPEDDKASQRQFEMDELILELAAQVLEDKGVGFGMVDSEKDAAVAKK
+LGLTEEDSVYVFKGDEVIEYDGEFSADTLVEFLLDVLEDPVELIEGERELQAFENIEDDN
+KLIGYFKNKDSEHYKAYEDAAEEFHPYIPFFATFDSKVAKKLTLKLNEIDFYEAFMEEPV
+TIPDKPNSEEEIVSFVEAHKRSTLRKLKPESMYETWEDDLDGIHIVAFAEETDPDGYEFL
+ETLKAVAQDNTDNPDLSIIWIDPDDFPLLVPYWEKTFNIDLSAPQIGVVNVTDADSVWME
+MDDEEDLPSAEELEDWLEDVLEGEINTEDDDEEDD
+>tr|F1MSX3|F1MSX3_BOVIN Synapse differentiation-inducing gene protein 1-like OS=Bos taurus OX=9913 GN=SYNDIG1L PE=4 SV=1
+MESLSELQNPLLPRSPTHLHGPYPYPEASPAWPCREKIYSYLLGGAGPAHAHQLLDPGSL
+QLAVEAWYRPSCLLGRDKVKEPRAGSCETSFTEGREPPAGPTERSTEPGQAEEDVAIQTV
+SYGVQEEFQGQEGDPEEEESDATSTESESEDNFLTLPPRDHLGLTIFSMLCCFWPLGIAA
+FYFSQGTSKAISKGDFRLANTTSRRALFLATLSIAVGAGLYVAVVVALAAYMSQNGHS
+>tr|Q6IED4|Q6IED4_BOVIN Putative ISG12(A) protein OS=Bos taurus OX=9913 GN=isg12(a) PE=2 SV=1
+MEFTKAVRLASNLASKILSAGSLAKVGGAASSSGLARLSPLGLTLPSSTALAGATSTLGS
+LVGTLKGSFLLGSPAAALSTLPVGGLTLPSSTALAGATSTLGSLVGTLKGSFLLGSPAAA
+LSTLPVGAKAAAAVVGGASTVAAVPMVLSAVGFTSAGITASSLAAKMMSISAIANGGGVA
+AGSLVATLQSVGASGLCLSSKLLVGIAGSTLVSKFLGL
+>tr|Q3SZ23|Q3SZ23_BOVIN DnaJ (Hsp40) homolog, subfamily C, member 30 OS=Bos taurus OX=9913 GN=DNAJC30 PE=2 SV=1
+MAARRDLKWSQLLLWRLWQPRGVPQNPRSGLGPEARTYTRSDGPYSRTALYDLLGVPSTA
+TQAQIKAAYYRQSFLYHPDRNSGSAEAAERFTRISQAYVVLGSTTLRRKYDRGLLSDEDL
+RGPGVRPSKTPAADPETPRTPPPGPRAHGRGPASPGAKRTMFDFDAFYQAHYGEQLERER
+RLRARREAFRKMQEDRAKKGLGWDETRDLAFVLVLLTVFLIVSSRI
+>tr|A5D7U6|A5D7U6_BOVIN Gamma-aminobutyric acid type A receptor beta3 subunit OS=Bos taurus OX=9913 GN=GABRB3 PE=2 SV=1
+MWGFAGGRFFGIFSAPVLVAVVCCAQSVNDPGNMSFVKETVDKLLKGYDIRLRPDFGGPP
+VCVGMNIDIASIDMVSEVNMDYTLTMYFQQYWRDKRLAYSGIPLNLTLDNRVADQLWVPD
+TYFLNDKKSFVHGVTVKNRMIRLHPDGTVLYGLRITTTAACMMDLRRYPLDEQNCTLEIE
+SYGYTTDDIEFYWRGGDKAVTGVERIELPQFSIVEHRLVSRNVVFATGAYPRLSLSFRLK
+RNIGYFILQTYMPSILITILSWVSFWINYDASAARVALGITTVLTMTTINTHLRETLPKI
+PYVKAIDMYLMGCFVFVFLALLEYAFVNYIFFGRGPQRQKKLAEKNAKAKNDRSKGDSNR
+VDAHGNILLTSLEVHNEMNEVAGGVGDTRNSARSFDNSGIQYRKQSMPREGHGRHMDRSV
+PHKKTHLRRRSSQLKIKIPDLTDVNAIDRWSRIVFPFTFSLFNLVYWLYYVN
+>tr|F1MDV3|F1MDV3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=SNTB1 PE=4 SV=2
+MAVAAGPAGGGGRAPRSGLLEVLVRDRWHKVLVNLGEDALLLSCEDGAANGLGAAAGASS
+RRGAGPAEAAPGVRTAFTDPPERVPEAVCSQKRGVKVLKQELGGLGISIKGGKENKMPIL
+ISKIFKGLAADQTQALYVGDAILSVNGADLRDATHDEAVQALKRAGREVLLEVKYMREAT
+PYVTKGSPVSEIGWETPPPESPRLGAGAPDPLASQPPSFHRDRRSIPLRMCFVTRSLALA
+DPESRQLEIHSPDAKHTVVLRGKDSATAQAWFSAIHATVSDLLTRVITEVREQLGSTGLA
+GGREIRHLGWLAEKVPGDSEKRWRPALVVLTEKDLLIYDSMPRRKEAWFSPVHTYPLLAT
+RLVHSGPGKGSPQAGMDLSFATRTGTQQGIETHLFRAEVSRDLSHWTRSIVQGCHDSAEL
+TVEISTACTYKNQECRLTIHYENGFSVTTEPQEGAFPKTVIQAPYEKLKTSSDDGIRMLY
+LDFGGKDGELQLDLHSCPKPIVFIIHSFLSAKITRLGLVA
+>tr|E1B8H0|E1B8H0_BOVIN Pseudopodium enriched atypical kinase 1 OS=Bos taurus OX=9913 GN=PEAK1 PE=4 SV=3
+MSACNTFTEHVWKPGECKNCFKPKSLHQLPPDPEKAPITHGNKTNANHSNNHRIRNTGNF
+RPPVAKKPTIAVKPTMMVADGQSVCGELSIQEHCENKPVVIGWNRNRMALNQKPLNNNNE
+DDVEGFSHVPKPYGNNDSAKKISNNNNGLTEVLKEIAGLDTTPQIKENETNSRETFLGRI
+NDCYKRSLERKLPPSCMMGGMKDTQGKHVILSGSTEVISNEGGRFCYPEFSSGEESEEDV
+LLSNMEEEHESWDESDEELLAMEIRMRGQPRFANFRANTLSPVRFFVDKKWNTVPLRNKS
+LQRICAVDYDDSYDEILNGYEENSVVSYGPGSTQSMVSSDSTSPDSSLTEESRSETASSL
+SQKICNGGIAPGNPGDSKDMKQSEPNYEGLSGNDQEKDSSQASKSSVKVPETHKAVLALR
+LEEKDGKIAVQTEKPESKASTDIAGQAVTINLVPVEEQAKPYRVVNLEQPLCKPYTVVDV
+SAAMASEHLEGHANSPKTKSSSSTPNSPVTSPALTSGHISAHFQKSSAIRYQEVWTSSTS
+PRQKIPKVELISSGTGPNVPPRKICHKSAPTSPTATNVSSKTIPVKSPNLSEIKFNSYNN
+AGMPPFPIIIHDEPTYARSSKNAIKVPIVINPNAYDNLAIYKSFLGTSGELSVKEKTTSV
+ISHTYEEIETESKASDNATSKPTECPQAKAFSNSTERKRGSVAQKVQEFNSCLNRSQSSP
+QRSYSSNHSSPAKLQRTTQEPVAKTESTQEPQVVGGGSSTREKASTVLSQMVASIQPPQS
+PPETPQSGPKACSVEELYAVPPDASAAKTTPKGTPVRPKSLFMSQPSAEAEAPQTTESPT
+TKAQKDPLTKPVTTPPSKLVTNPQSDPPAPFPPPRSTSSPYHASNLLQRHFTNWTKPTSP
+TRSTEAESVLHSEGSRRAADAKPKRWISFKSFFRRRKTDEEDDKEKDREKGKLVGLDGTV
+IHMLPPPPVQRHRWFTEAKGDSSEKPAIVFMYRCDPAQGQLSMDQGKARAEQSAVTEKGG
+AEEGSLQDSEKKKRSHSSPSQIPKKILSHVTHEVTEDFSPRDPRTVAVKQDGGGCTSVTP
+ALHLPELEREEEKEDISDPIDLNPCSATYSNLGQSRAAMIPPKHPRQPKGALDDVIAFGG
+KTDQEAPNALQPTPPPLPKKMIIRANTEPLSKDLQKSLETTLCVMANPTYDIDPSWDASS
+AGSSVSYELKGLDAESYDSLERPLYKERRVPSAANSISSLTTLSIKDRFSNSTESLSSRR
+GPSGRQGRSIQKPQRQALYRGLENREEVVGKIRSLHTDALKKLAVKCEDLFMAGQKDQLR
+FGVDSWSDFRLTSDKPCCEAGDAVYYTASYAKDPLNNYAVKICKSKAKESQQYYHSLAIR
+QSLAVHFNIQQDCGHFLAEVPKRLLPWEDPDAAEEEEDGMEATEEVKGEADGKGPDLGSE
+AESSHKESQAAVSRKQRSHVVVITREVPCLTVADFVRDSLAQHGKSPDAYERQVCLLLLQ
+LCSGLEHLKPYHVTHCDLRLENLLLVHYQPGGPTQGLGPAEPSPTPSCPTRLIVSNFSQA
+KQKSHLVDPEILRDQSRLAPEIITATQYKKCDEFQTGILIYEMLHLPNPFDENPELKEKE
+YTRADLPRIPLRSPYSRGLQQLASCLLNPNPSERILISDAKGILQCLLWGPREDLFQTFT
+ASPSPAHRNTLLQNWLDIKRTLLMIKFAEKSLDREGGVTLEDWLCAQYLAFATPDSLSCV
+VRILQPR
+>tr|Q2YDF5|Q2YDF5_BOVIN E3 ubiquitin-protein ligase RNF34 OS=Bos taurus OX=9913 GN=RNF34 PE=2 SV=1
+MWASCCGLLNEVMGTGAVRGQQSGFAGGTGPFRFTPNSDFSAYPPASAEGPNIVCKACGL
+SFSVFRKKHVCCDCKKDFCSVCSVLQENLRRCSTCHLLQETAFQRPQLMRLKVKDLRQYL
+ILRNIPIDTCREKEDLVDLVLCHHRLGSEDDLDTSSLNSSRSQTSSFFTHSFFSNYTAPS
+ATASSFQGELMGGDRTLGSGALAQEPSEIASANTEDDEDDDDDDDDDDDDDEENLEDRTP
+GLTKKRVRASLSDLSSLEDVEGMSVRQLKEILARNFVNYSGCCEKWELVEKVNRLYKENE
+ENQKSYGERLQLQDEEDDSLCRICMDAVIDCVLLECGHMVTCTKCGKRMSECPICRQYVV
+RAVHVFKS
+>tr|D3JEN6|D3JEN6_BOVIN Coiled-coil domain-containing protein 3 OS=Bos taurus OX=9913 GN=CCDC3 PE=2 SV=1
+MLRPLLLAALCLAGGLGTARGCQLPSEWRPLSEGCRAELAEIIVYAKVLALHEEVHGLYN
+YLPWQYEASDAGLFYSAEIEMLCDQAWGSMLEVPAGSRLNLTGLGYFSCHSHTVVQDYSY
+FFFLRMDENYNLLPHGVNFQDAIFPDTQENRRMFSSLFQFSNCSQGQQLATFSSDWEVQE
+DTRLMCSSVQKALFEEEDHVKKLQQKVATLEKRNKQLRERVKKVKRSLRQARKNGRHLEL
+LNRKLSEKLAAAAGALPHINALGREPAGAPYLRG
+>tr|A0A3Q1LYH0|A0A3Q1LYH0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=PXYLP1 PE=3 SV=1
+MLFRNRFVLLLALAALLAFVSLSLQFFHLIPVLATKNGVTSKSRKRIMPDPATEPPVMDP
+VYEALLYCNIPGVAERSMEGHAPHHFKLVSAHVFIRHGDRYPLYAIPKTKRPEIDCTLVA
+NRKPYHSKLEAFVNHMSKGPGAAFESPLHSLPLYSSHQLCEMGELTQTGVVQHLQNGQLL
+RDIYLKKHKLLPSDWAAEQLHLETTGKSRTLQSGLALLYGFLPDFDWKKVYFRHQPSALF
+CSGNCYCPLRNQYLEKEQRRQYLLRLNNRQLERTYEEMARIVDIPTKQLRAANPIDSLLC
+LFCHNVSFPCTKNGCITMDHFKVIKTHQIEDERERQEKKLYLGYALLGAHPILNQTVSRM
+QHTAEGKKEALFTLYSAHDVTLAPILSALGLTGARFPRFAARLVFELWQDREKPREHSIR
+ILYDGVDITFYTSFCQDHHRRSPKPMCPLENLVRFIKKDMFVALGGGSTNYYEACHREGF
+>tr|A7Z044|A7Z044_BOVIN ABCG4 protein OS=Bos taurus OX=9913 GN=ABCG4 PE=2 SV=1
+MAEKTLEAVVCGLRPGAVAMAVALEDGAEPPVLTTHLKKVENHITEAQRFSHLPKRSAVD
+IEFVELSYSVREGPCWRKRGYKTLLKCLSGKFCCREMIGIMGPSGSGKSTLMNLLAGYRK
+SGMKGQILVNGKPRELRTFRKMSCYIMQEDILLPHLTVLEAMMISANLKLNEKQEVKKEL
+VTEILTALGLLSCSHTRTALLSGGQRKRLAIALELVNNPPVMFFDEPTSGLDSASSFQVA
+SLMKSLAQGGRTIICTIHQPSAKLFEMFDKLYILSQGQCIFKGMVTNLIPYLKGLGLHCP
+TYHNPADFIIEVASGEYGDLNPLLFRAVQNGLCAMAEKNSGPEKNEVPTLCPPCPLEVDT
+IESHTFATSTLTQFCILFKRSFLSVLRDMVLTHLRFISHAVIGVLIGLLYLQTGNDANKV
+LNNTGCLFFSILFLMFAAMMPTVLTFPLEMAVFLREHLNYWYSLKIYFLAKTMADVPFQV
+ICPAVYCSIMYWMTSQPAETSRFLLFLALGASTALAAQSLGMLIGAASSSLQVATFLGPV
+TAIPVLLFSGFFVSFKAIPSYLQWSSYLSYVRYGFEGVILTIYGMGREDLSCLTEHCPFQ
+KPENILRAMDVEDGKLYVDFLALGIFFLLLRLLAYLVLRYRIKSKR
+>tr|F1MNY2|F1MNY2_BOVIN Cell migration inducing hyaluronidase 2 OS=Bos taurus OX=9913 GN=CEMIP2 PE=4 SV=2
+MHATNSRGHSPAFLQPQNGNSHHSSGYVPGKVVPLRPPPPPKSQASAKFTSIRQEAQASF
+GFSSEEQQVQRERRKQKRHKNTFICFAITSFSFFVALAVILGISSKYAPDENCPDQNPRL
+RNWDPGQDSAKQVVIKEGDMFRLTSDATVNSIVIQDGGLLVFGDDKDGSRNITLRTHYIL
+IKDGGALHIGAEKCRYKSKATIALYGKSDEGESMPTFGKKFIGVEAGGTLELHGTQKASW
+TLLVRTLNSSGLTFGSYAFKKDFSRGLNVRVIDQDTAKILEIERFDTHEFQNESRRLQKF
+LRDQDPGRIVAIAVGDSAVKSLLQGTIQMIQDRLGSKLIQGLGYRQAWALVGVIDGGSTS
+CNESVRNYENHSSGGKALAQREFYTVDGQKFSVTAYSEWKEGISFSGFWVEAIDGVKLHL
+LDDVSSWEPGDQIVVASTDYSMYQAEEFTLLPCPECSRFQVKVKETPQFLHMGEIIDGVD
+MRAEVGILTQNIVIRGEMEDSCYAENQCQFFDYDTFGGHVMIKKNFTSVHLSHVELKHMG
+QQHLGRYPVHFHLCGDVDYKGGYRHATFVDGLSIHHSFSRCITVHGTNGLLIKDTVGFDT
+LGHCFFLEDGIEQRNTLFHNLGLLTKPGTLLPTDRNNSMCITMREKVFGNYIPVPATDCM
+AVSTFWIAHPNNNLINNAAAGSQDAGIWYLFHKEPTGESSGLQLLAKPELTPLGIFYNNR
+VHSSFKAGLFIDKGVKTTNASAADPREYLCLDNSARFRPHQDGDPEKPRVAALIDRLIAF
+KNNDNGAWVRGGDIVVQNSAFADNGIGLTFASDGSFPSDEGSSQEVSESLFVGESRNYGF
+QGGQNKYVGTGGIDLKPRTLPRNRTFPIRGFQIYDGPIHLTRCTFQKYVPTLDRYTSAIG
+FLMKNSWQITPRNNISFVKFGPHVSLNVFFGKPGPWFEDCELDGDKNSIFHDIDGSVTGY
+KDAYVGRMDNYLIRHPSCINVTKWNAVVCSGSYAQVYVQTWSTPNLTMTITRDEYPDYPM
+VLRGINQKAAFSQYQPVIMLEKGYTIHWNGPAPKIAFLYLINFNKNDWIRVGLCYPSNTS
+FQVTFGFLQRHNGSLSKMEEYEPVHSLEELQKKQSERKFYFDSSTGLLFLYLKAKSHRDG
+HSYCSSQGCERVKIQAATDSKDISNCMAKAYPRYYRKPSALKSMPSMLKGLCQGCGTRQV
+VFTSDPHISYLPVQFRSPSQAEIQRGDASVISINGTDFPLRSVGVLLLIVDACSVPFRLT
+EKKIFSFTDVSRMEEYLKTSIPPRSVVLLSTRGQIKQFNISDSLVPLGLAKPANLYNKGS
+TIFLGFNGNFKPSWTKLYTSPARQGLGLLEQFIPLQLDEYGCHRADTIRRRDLELLKQTS
+KAH
+>tr|E1B777|E1B777_BOVIN WAS/WASL interacting protein family member 2 OS=Bos taurus OX=9913 GN=WIPF2 PE=4 SV=1
+MPIPPPPPPPPGPPPPPTFHQANTEPPKLSRDEQRGRGALLQDICKGTKLKKVTNVNDRS
+APILEKPKGSSGGYGSGAAALQAKGGLFQGGVPKLRPVGAKDSSENLAGKPVLQVPSSRA
+AAPRPPGTTAIGRPQDDTDSSRASLPELPRTQRPSLPDLSRPNPTSSTGMKHSSSAPPPP
+PPGRRANAPPTPLPMHSNKAAAYNREKPLPPTPGQRLHPGREGPSAPPPVKPPPSPVNVR
+SGPSGQSLAPPPPPYRQPPGVPNGPSSPTNESAPELPQRHNSLHRKTPGPARGLAPPPPT
+SASPSSQSNRPPPPTRDPPSRGAAPPPPPPMIRNGARDAPPPPPPYRVHGSEPLSRGKPP
+PPPSRTPAGPPPPPPPLRNGHRDSITTVRSFLDDFESKYSFHPVEDFPAPEEYKHFQRIY
+PSKTNRAARGAPPQPPILR
+>tr|A6QNV5|A6QNV5_BOVIN ZNF565 protein OS=Bos taurus OX=9913 GN=ZNF565 PE=2 SV=1
+MIQGLVTFRDVAIEFSQEEWKYLEPAQRDLYREVTLENFGNLVSLGLSISKPDVVSLLEQ
+GKEPWMVVNDMTGPWCPDLESRCKTFLQKDTFEVMSFNWELMESLQCYGEDWAYKGQFEK
+QQVNHECCFKQVKITYGNMPTFECGHTSLTLHPSSETGEKSIECNECGKAFSRGSHLIQH
+QKTHTGEKPFECKECGKAFSRTSHLVQHQRIHTGEKPYDCKECGKAFGRTSELILHQRLH
+TGVKPYECKECGKTFRQHSQLILHHRTHTGEKPYVCKDCGKAFIRGSQLTVHRRIHTGAR
+PYQCKECGKAFRQHSQLTVHQRIHTGEKPYECKECGKGFIHSSEVTRHQRIHSGEKPYEC
+KECGKAFRQHAQLTRHQRVHTGDRPYECKDCGKAFSRSSYLIQHQRIHTGDKPYECKECG
+KAFIRVSQLTHHQRIHTCEKPYQCRECGMAFIRSSQLTEHQRIHPGIKPYECRECGQAFI
+LGSQLIEHYRIHTG
+>tr|Q32PC7|Q32PC7_BOVIN Otoraplin OS=Bos taurus OX=9913 GN=OTOR PE=2 SV=1
+MARLVLLFLPGLVAICAVHGIFMDRLGSKKLCADDECVYTISLARAQEDYNAPDCRFINV
+KKGQWIYVYSKLVKENEAGEFWAGSVYGNQSEDEMGTVGYFPSNLVQEQHVYQEATKEVP
+TTDIDFFCE
+>tr|F1MZ25|F1MZ25_BOVIN FCH domain only 1 OS=Bos taurus OX=9913 GN=FCHO1 PE=4 SV=3
+MSYFGEYFWGEKNHGFEVLYHTVKQGPISTKELADFIRERATIEETYSKAMAKLSKLASN
+GTPMGTFAPLWEVFRVSSDKLALCHLELTRKLQDLIKDVLRHGEEQLKAHKKCKEEAVGT
+LDAIQVLTGVSQLLPKSRENYLNRCMDQERLRRESTSQKEMDKAETKTRKAAESLRRLVE
+KYNSARSDFEQKMLDSALRFQAMEETHLRQMKALMGSYAHSVEDTHVQIGQVHEEFKQNV
+ENISVEMLLRKFAESKGTGQEKPGPLDFEAYRTATLQEAMKRLRGAKAFRLPGLSRRERE
+PPTAVDSLEPDLGTCPEVDEEGFTVRPDVTQNSTAESTHFSSSDSDFDDEEPRKIYVHIK
+PASARAPPCSPKAAAAQLKATAGSLILPPGPGGTMKRHSSRDTPGRPQRPQSAPRASSCV
+EKLQSDEQASKNLFGPTLESALDHEDFTGSSSLGFTSSPSPFSSSSPENVEDSGLDSPSH
+AAPGPSPDSWVPRPGTPQSPPTCRVSPPESRGTQSLLPSDSPHPLAASPSPWGPEAVAGG
+DLMPVPADLAVREGLAAPSRRPRSRKASCPLMRSNGDLSRSLSPSPLGSSAPSSIPERPS
+FASQTGHGVSRGPSPVVLGSQDALPVATAFTEYVHAYFRGHSPSCLARVTGELTMTFPAG
+IVRVFSGTPPPPVLSFRLVHTAPIEHFQPNADLLFSDPSQSDPETKDFWLNMATLTETLQ
+RQAEQNPAASYYNVVLLRYQFSRPGPQSMPLQLSAHWQCGPTLTQVSVEYSYQPGATTVP
+TPLTNVQILLPVGEPVTNVRLQPAATWNLEEKRLLWKLPDVSEAGGSGRLSASWEPCSGP
+STPSPVAAQFTSEGATLSGVDLELVGSGYRMSLVKRRFATGKYLVSC
+>tr|Q1JPF3|Q1JPF3_BOVIN Ganglioside-induced differentiation-associated protein 1-like 1 OS=Bos taurus OX=9913 GN=GDAP1L1 PE=2 SV=1
+MATPNNLTPTNCSWWPISALESDAAKPAEAPDAPEAASHAHWPKESLVLYHWTQSFSSQK
+VRLVIAEKGLACEERDVSLPQSEHKEPWFMRLNLGEEVPVIIHRDNIISDYDQIIDYVER
+TFTGEHVVALMPEAGSPQHARVLQYRELLDALPMDAYTHGCILHPELTTDSMIPKYATAE
+IRRHLANATTDLMKLDHEEEPQLSEPYLSKQKKLMAKILEHDDVSYLKKILGELAMVLDQ
+IEAELEKRKLENEGQKCELWLCGCAFTLADVLLGATLHRLKFLGLSKKYWEDGSRPNLQS
+FFERVQKRFAFRKVLGDIHTTLLSAVIPNAFRLVKRKPPSFFGASFLMGSLGGMGYFAYW
+YLKKKYI
+>tr|A0A3Q1MXN9|A0A3Q1MXN9_BOVIN Triple QxxK/R motif containing OS=Bos taurus OX=9913 GN=TRIQK PE=4 SV=1
+MGRKDASTIKLPVDQYRKQIGKQDYKKTKPILRATKLKAEAKKTAIGIKEVGLVLAAILA
+LLLAFYAFFYLRLSTDVDPDLEPDEE
+>tr|E1BGY4|E1BGY4_BOVIN TNF superfamily member 4 OS=Bos taurus OX=9913 GN=TNFSF4 PE=2 SV=2
+MKMEGVQPLDENVGNVPGRRFLRNKLLLVASIIQGLGLLLCLTYICLHFYAQVPSQYPPI
+QSIRVQFTKCENENGFIITSPDADGTMKVQNNSIIITCDGFYLISLKGYFSQELSLRLLY
+RKGREPLFSLNMVKIVDSVTVAYLRFKDKVYLNMTTQNASCEDIQVNGGELILIHQNPGG
+FCVY
+>tr|A0A3Q1M7Z2|A0A3Q1M7Z2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC112441502 PE=4 SV=1
+MDISACEHLLTERGTQQTAGTMGTPSGPPRRRCIPWNRLLLAVSLLTFWTPPTTAQPTIE
+TMPPLAAEGSDVLLLAHNVAKNPLGYAWYRGERVDNSQLIASYRVDTNATTKGPAHSGRE
+TLYPNGTLLIQSVTQEDTGSYTLLVTNDDLRTERQTGHLHVHPVLPTPVITSNNSNPWEH
+KDTVVLTCGPETQNTSYMWWISNQSLPKSTRLKLSEDKRTLTVFNVTRKEKGPYVCETRN
+LVSISHSDPFTLDVLYPVARPSLQVSNTTVPEHEGPVVLTCLTDETGVSIRWLFKGQSLL
+LADRMTLSSDSSTLTIDPVSREDAGGYQCEVSNRGNSSRSGPLRLRVTWRKNAQALSVGA
+ITGIVIGVLLVLTLLAVLGCFIFLHRGNWPPASTSGGGPSGSSVSQASLPDARPPAPIYQ
+ELLHPDTDVYCNISHKADVGP
+>tr|A6H755|A6H755_BOVIN LOC504511 protein OS=Bos taurus OX=9913 GN=LOC504511 PE=2 SV=2
+MGSVCNTAGVVIDGYPVTKYQVSLLEARSIIPMIIFELDVPSKEIFRRLLLEKKKESSLP
+YPLHNSSQIIAVKNSRYRKNIGEIRQYYEVQHQNWYVIDGFHSKWWIWNEVIKKVKMVNK
+YMQIYMERIKAGKAACIDKLCISPEELISRLGEFGQFCPVSLAESYELVDCSSNDSLEFA
+AEFRGHYYKMSSLEKLNKFLDNPEFYVPPLAPHPLPPTDMIPKRLTLSELKSRFPKCAEL
+QGYCPVTYQDGRQRYEALVPGNIHYALEYRDRIYICESREKLQKFLRSPQKYWNQKLPYK
+LPPLKEPMSLTSLPLPGYLEQGIATALIKAMNAAGCLKPKFPFLSVRRSALLYIALHLKA
+FNPNSSEYTRKKYKKKMEQFVERCELITYLSAKMTKKYKEPQFRAIDFDHKLQTFLSLRN
+IDPVNG
+>tr|A1A4M0|A1A4M0_BOVIN Translocase of inner mitochondrial membrane 13 OS=Bos taurus OX=9913 GN=TIMM13 PE=2 SV=1
+MEGGFGSDFGGSGGGKLDPGLIMEQVKVQIAVANAQELLQRMTDKCFRKCIGKPGGSLDN
+SEQKCIAMCMDRYMDAWNTVSRAYNSRLQRERANM
+>tr|A0A3Q1NIY5|A0A3Q1NIY5_BOVIN Putative RNA polymerase II subunit B1 CTD phosphatase RPAP2 OS=Bos taurus OX=9913 GN=RPAP2 PE=4 SV=1
+MADWVGPCSAGRKARRSRASRDVAGTKQTSALIQEDASQRKAELEAAVRKKIEFERKALH
+IVEQLLEENISEEFLRECGKFITPAHYSDVVDERSIIKLCGYPLCQNKLGIVPKQKYKIS
+TKTNKVYDITERKCFCSNFCYKASKFFEAQIPKSPVWIREEERHPDFQLLQDGQSGPSGE
+EIQLCSKAIKTSDIDSPGHFEKHYESSSSSSHSDSSSDNEQDFVSSILPGNRPNATRPQL
+HEKSIMKKKAGQKVNSQHESKEQTVVDVIEQLGNCRLDNQEKATACELPLQNVNTQISSN
+SSLQKKLEASEISDIKYSSSKVTLVGISKKSAEHFKRKFAKSNQVSGSASSSLQVCPEIA
+KANLLKALKETLIEWKTEETLRFLYGQNYASVCLKSSSTPLVKEEELDEDDMNSDPDSHS
+PALQELNSLDESLPFRASDTAIKPLPSYENLKKETETLNLRIREFYRGRYVLNEETTKSQ
+DSEEHDPTFPLIDSSSQNQIRKRIVLEKLNKVLPGLLGPLQITLGDIYTQLKNLVHTFRL
+TNRNIIHKPAEWTLIALVLLSILTSTLGIQKLSQENVMFTQFMTTLLEELHLKNEDLESL
+TIIFRTSC
+>tr|A4IFN9|A4IFN9_BOVIN SMG5 protein OS=Bos taurus OX=9913 GN=SMG5 PE=2 SV=1
+MSQGPPTGESSEPEAKVLHTKRLYRAVVEAVHRLDLILCNKTAYQEVFKPENISLRNKLR
+ELCVKLMFLHPVDYGRKAEELLWRKVYYEVIQLIKTNKKHIHSRSTLECAYRTHLVAGIG
+FYQHLLLYIQSHYQLELQCCIDWTHVTDPLIGCKKPVSASGKEMDWAQMACHRCLVYLGD
+LSRYQNELAGVDTELLAERFYYQALSVAPQIGMPFNQLGTLAGSKYYNVEAMYCYLRCIQ
+SEVSFEGAYGNLKRLYDKAAKMYHQLKKCETRKLSPSKKRCKDIKRLLVNFMYLQSLLQP
+KSSSVDSELTSLCQSVLEDFNLCLFYLPSSPNLSLASEDEEEYESGYAFLPDLLIFQMVI
+ICLMGVHSLKRAGSKQYSAAIAFTLALFSHLVNHVNIRLQAELEEGENPVPAFQSDGTDE
+PESREPLEKEEEPGPEPPPVVPPEGEVRKSRKFSRLSCLRRRRHPPKAGDDSDLSEGFDS
+DSSHDSARASEGSDSGSDKSLEGGGTAFDAETDSEMNSQESRSDLEDMEDEDGTRSPALE
+PSRARSEAPESLNGPLGPSEASIASNLQAMSTQMFQTKRCFRLAPTFSNLLLQPTTEAPV
+SATLRRCVNGDVDKPSEPASEEGSESEGSESSGRSFRNERSIQEKLQVLMAEGLLPAVKV
+FLDWLRTNPDLIVVCAQSSQSLWNRLSVLLNLLPAAGELQESGLALCAEVQELLEGCELP
+DLPSSLLLPEDTALRNLPPLRAAHRRFSFDTARLLLSTLEETVVRICCIRSFGHFVARLQ
+GSILQFNAEVGIFVSIAQSEQESLLQQAQAQFRMAQEEARRNRLMRDMAQLRLQLEVSQL
+EGSLQQPKAQSAMSPYLVPDTQALCHHLPVIRQLATSGRFIVIIPRTVIDGLDLLKKEHP
+GARDGIRYLEAEFKKGNRYIRCQREAGKSFERHKLKRQDADAWTLYKILDSCKQLTLAQG
+AGEEDPSGMVTIITGLPLDNPSTLSGPMQAALQAAAHASVDIKNVLDFYKQWKEIG
+>tr|Q0VC86|Q0VC86_BOVIN PTK2 protein tyrosine kinase 2 OS=Bos taurus OX=9913 GN=PTK2 PE=2 SV=1
+MAAAYLDPNLNHTPSSSTKTHLGTGVERSPGAMERVLKVFHYFESNSEPTTWASIIRHGD
+ATDVRGIIQKIVDSHKVKHVACYGFRLSHLRSEEVHWLHLDMGVSNVREKYELAHPPEEW
+KYELRIRYLPKGFLNQFTEDKPTLNFFYQQKISRAHSIRS
+>tr|A7Z054|A7Z054_BOVIN TXNIP protein OS=Bos taurus OX=9913 GN=TXNIP PE=2 SV=1
+MVMFKKIKSFEVVFNDPEKVYGSGEKVAGRVIVEVCEVTRVKAVRILACGVAKVLWMQGS
+QQCKQTLDYLRYEDTLLLDDQPAGENEMVILRPGNKYEYKFGFELPQGPLGTSFKGKYGC
+VDYWVKAFLDRPSQPTQETKKNFEVMDLVDVNTPDLLEPVSAKKEKKVSCMFIPDGRVSV
+SARIDRKGFCEGDEINIHADFENTCSRIVIPKAAIVARHTYLANGQTKVLTQKLSSVRGN
+HIISGTCASWRGKSLRVQKIRPSILGCNILRVEYSLLIYVSVPGSKKVILDLPLVIGSRS
+GLSSRTSSMASQTSSEMSWVDLNIPDTLEAPPCYMDSIAEDHRLESPTTPLLEDTDGSQD
+SPIFMYAPEFRFMPPPTYSEVDPSVLNNNVQ
+>tr|Q58DB9|Q58DB9_BOVIN Chromosome 11 open reading frame 16 OS=Bos taurus OX=9913 GN=C15H11orf16 PE=2 SV=1
+MDSSARPGTPLPKYCSVATTLKAPAWAGTAPPWDLSFTCPLACRAPWLTRHSLLTRYASY
+YPCLHIADPAWQGPGWLGRVGEAADTWVLARREPDGFYYRAQIKAAPELERQGVLLVEFE
+APLATGPELPAQRQSVVLEEDVIQFSPTMEFSLRPGDKVLAPWGPDQQRYGPGTVLLGLE
+AGDLQRASRKEEEITVHFWNGRTAPVPLRGVQWVPPAVWKKAVDGLHKPFARQHPRPLLW
+APCCSLVGPVTGFVTSGLPLSPPFLCAPCYPHAGCRLPVQGCLCCCPLAGPTWWPPIRTS
+GVAAREPPEAELKPTAQLLPLENPEEEEVAVQAPAAVSSSSTSSSSEDEDLENELEMGPP
+QRLLVDSTVNTDPILLEKSPRKQGGLCQPEWRYWRRNGPEPYPGKPGIRLCSTQKDEKSN
+RQQREKPAAAGSPRELALNVTGMKLLQILPKEAGHRKLSQGTAAHQRGQNSETKSPKDQG
+S
+>tr|E1B749|E1B749_BOVIN Solute carrier family 5 member 9 OS=Bos taurus OX=9913 GN=SLC5A9 PE=3 SV=1
+MSTELAAMGPGASGSGVSTATVPRSAPGPRGSLHAYDIAVLVVYFVFVIGVGVWSSIRAS
+RGTIGGYFLAGRSMSWWPIGASLMSSNVGSGLFIGLAGTGAAGGLAVGGFEWNATWLLLA
+LGWIFVPVYIAAGVVTMPQYLKKRFGGQRIQVYMSVLSLILYIFTKISTDIFSGALFIQM
+ALGWNLYLSTVTLLVVTAVYTITGGLTAVIYTDTLQTVIMVGGALVLMFLGFQEVGWYPG
+LEERYRQALPNVTVPNTTCHLPRPDAFHMFRDPVRGDLPWPGLIFGLTVLATWCWCTDQV
+IVQRSLSAKSLSHAKGGSILGGYLKILPMFFIVMPGMISRALYPDEVGCVDPDVCQAICG
+ARVGCSNIAYPKLVIALMPVGLRGLMIAVIMAALMSSLTSIFNSSSTLFAIDVWQRFRKN
+ATEQELMVVGRVFVVFLVVISILWIPIIQSSNSGQLFDYIQSVTSYLAPPITALFLLAIF
+CKRVTEPGAFWGLLFGLVVGLLRMILEFCYPAPACGEEDRRPAVLKDFHYLYFALLLCGL
+TTIVIVAVSLCTAPIPEEKLARLTWWTRHHPLSELEKEPPESTAGTAEVASGEGPTGARG
+AEDPGQGQEQPGAPRRSWGQWLWRWLCGLSGAPEQALSPVEKAALEQKLTSIAEEPLWRS
+VCNINAVLLLAVNVFLWGYFA
+>tr|A1A4P3|A1A4P3_BOVIN Purkinje cell protein 2 OS=Bos taurus OX=9913 GN=PCP2 PE=2 SV=1
+MAGSPDQEGFFNLLSHVQGGRMEEQRCSLQAGPGPASDSRESGQQESSPAPEMDSLMDML
+ANTQGRRMDDQRVTVSALPGFQPLGPKDGVQKRAGTLSPQPLLTPQDPAALSFRRNSSPQ
+PQTQAP
+>tr|Q29RK7|Q29RK7_BOVIN LY6/PLAUR domain containing 1 OS=Bos taurus OX=9913 GN=LYPD1 PE=2 SV=1
+MWVLGVAATFCGLFLLQGFALQIQCYQCEEFQLNNDCSSPEFIVNCTVNVQDMCQKEVME
+QSAGIMYRKSCASSAACLIASAGYQSFCSPGKLNSVCISCCNTPLCNGPRPKKRSSSATA
+LRPWLPSTILLLKIALFLAHC
+>tr|Q2YDE0|Q2YDE0_BOVIN FGF1 intracellular binding protein OS=Bos taurus OX=9913 GN=FIBP PE=2 SV=1
+MTSELDIFVGNTTLIDEDVYRLWLDGYSVSDAVALRVRSGILEQTGATAAVLQSDTMDHY
+RTFHMLERLLHAPPKLLHQLIFQIPPSRQALLIERYYAFDEAFVREVLGKKLSKGTKKDL
+DDISTKTGITLKSCRRQFDNFKRVFKVVEEMRGSLVDNIQQHFLLSDRLARDYAAIVFFA
+NNRFETGKKKLQYLSFGDFAFCAELMIQNWTLGAVDSQVDDMDMDLDKEFLQDLKELKVL
+VADKDLLDLHKSLVCTALRGKLGVFSEMEANFKNLSRGLVNVAAKLTHNKDVRDLFVDLV
+EKFVEPCRSDHWPLNDVRLFLNQYSASVHSLDGFRHQALWDRYMGTLRGCLLRLYHD
+>tr|A4IFD5|A4IFD5_BOVIN MGC138914 protein OS=Bos taurus OX=9913 GN=MGC138914 PE=2 SV=1
+MLRQYVHQFGQKLIRRQRLRPREGPEKRTARRLNTLDLIALGLGGTLGNGMYILVGEVSV
+YEAGPAIIICYLLAGLSTLLSGLCYAELAARVPRSGSVYLYSYVTMGQLCAFITGWNLIL
+SYVMGTACVSRAWSAAFDSLIGNHISQAFQGTFSLNVPYFLATYADFFALGLVLLLTGLL
+FLGARESTLVNKVFTGLNLLVLSFTILSGFIKGDPHNWKLTEEDYRLATSGSSDTYSLGP
+LGSGGFLPFGFEGILQGAATCVYAFVGFDVIATTGKEVQNPRRSIPLGIVITIFIGFLAY
+FGVSAALTLMVPYYQIQPQSPLLQAFLHVGWNPARYVVAVGTLCALTSSLLGTMFTMPRL
+IYVMAEDGLLFRGLARLHGHRGTPIWAILVSGMLAAVLALLLELIDLVYLVSIGTLLAYS
+LVAFSVLVLRYQPEQNFSKNEKKDDEIDISQWEASPSEPASEAGTSRTLKTLWFPTSTTP
+TVKSGQIVYGCAFLLVLLLIILSLVLAQWPSQVFSGDPVLTTVAVLLLLLITGVMAIIWR
+QPQDPTPLHFKVPALPVLPLVSIFVNIYLLMQMNTGTWVLFGIWMVIGFAIYFGYGIRHS
+LEENNDQQPPDSTSQMLDQNMPNDESG
+>tr|A0A3Q1N682|A0A3Q1N682_BOVIN NBPF domain-containing protein OS=Bos taurus OX=9913 GN=LOC788142 PE=4 SV=1
+MLQLKPGMHQPLEKKGPSERRVKEQKTQPEKARFSSMSYSEKYSLIQDQARELTHLREKI
+RIGRAVSSLLIQHVQNAVKAFEELLSSRKIDSNVEQHFREQLAKGSQLAERLASRFNTDD
+CISKKNQREQVLKRLSILREKQKMGKKTEVLQTQQDAQPQTWPQTCSSSHAQSTAHHSPS
+STYLLLDEQKVRPAVDMANVSPATPADSASLPSNHSEAVSAQPFYPLGGTTEQNETPDPG
+HHGSNHPWEEMKPQKMNASGNLSSSSSLDRPNSKPSGADLLEKNLIEIQNLRQRLEESVF
+INDRLRERLEYVLSDAGQGKGAAQSASDVSLTTPHSYTESHSSGSENALDPGILPHWLKH
+LMQRLHCNWTVGHITLVGRK
+>tr|Q3T103|Q3T103_BOVIN CDP-diacylglycerol--inositol 3-phosphatidyltransferase OS=Bos taurus OX=9913 GN=CDIPT PE=2 SV=1
+MASENIFLFVPNLIGYARIVFAIISFYFMPCCPLTASSFYLLSGLLDAFDGHAARVLNQG
+TRFGAMLDMLTDRCSTMCLLVNLALLYPRATLLFQLSMSLDVASHWLHLHSSVVRGSESH
+KMIDLSGNPVLRIYYTSRPALFTLCAGNELFYCLLYLFNFSEGPLVGSVGLFRMGLWITA
+PIALLKSLISVIHLITAARNMAALDAADRAKRK
+>tr|A7MBH8|A7MBH8_BOVIN FBXO44 protein OS=Bos taurus OX=9913 GN=FBXO44 PE=2 SV=1
+MAVGNINELPENILLELFTHVPARQLLLRCRLVCSLWRDLIDLVTLWKRKCLREGFITED
+WDQPVADWKVFYFLRSLRRNLLHNPCAEEGFEFWSLDVNGGDEWKVEDLSKDQRKEFPND
+QVKKYFVTSYYTCLKSQVVDLKAEGYWEELMDTTRPDIEVKDWFAARPDCGSKYQLCVQL
+LSSAHAPLGTFQPDPAMIQQKSDAKWREVSHTFSNYPPGVRYIWFQHGGVDTHYWAGWYG
+PRVTNSSITIGPPLP
+>tr|A1L539|A1L539_BOVIN Glypican 6 OS=Bos taurus OX=9913 GN=GPC6 PE=2 SV=1
+MPSWIGAVILPLSGLLLSLPARAEVKARSCGEVRQAYGAKGFSLADIPYQEIAGEHLRIC
+PQEYTCCTTEMEDKLSQQSKLEFENLVEETSHFVRTTFVSRHKKFDGVTASISVLLQHHP
+KMEVERKSLHPEMDLIRKRVLSSWHSQLQDCIWI
+>tr|A7YWT7|A7YWT7_BOVIN CLUAP1 protein OS=Bos taurus OX=9913 GN=CLUAP1 PE=2 SV=1
+MSFRDLRNFTEMMRALGYPRHISMENFRTPNFGLVSEVLLWLVKRYEPQNDIPSDVETEQ
+DRVFFIKAIAQFMATKAHIKLNTKKLYQADGYAVKELLKITSVLYNAMKTKGMEGSKRAE
+EDVSKFKFDLGSKIADLKAARQLASEITSKGASLYDLLGKEVELRELRTEAVARPLEINE
+TEKVMRIAIKDILAQVQKTKDLLNNVASDEANLEAKIEKRKLELERNRKRLQTLQSVRPA
+FMDEYEKIEEELQKQYEIYLEKFRNLAYLEQQLEDHHRMEQERFEETENTLRLMQNKLKE
+EGKRLLKSGSNGDSDVDIQEDDESDSELEERRLSQLRTAMEVLTQGQPSKHVVGTMQGGD
+TDDDMEAAPAFLGKCKTSSSKKQEDLEDSEIDMEDDEDDDDLEDESVALSAAKPSRRGRK
+PEPLEESDSDF
+>tr|A0A3Q1LMH2|A0A3Q1LMH2_BOVIN Neuregulin 4 OS=Bos taurus OX=9913 GN=NRG4 PE=4 SV=1
+MTTDHEEPCGPSHRSFCLNGGLCYVIPTIPSPFCRCIENYTGARCEEVFLPSSSIQTKSD
+LFTAFVALTVLLGTLILGALYFLCRKGHLQRASSVQYDINLVETSGTSVPHSHGEH
+>tr|A6QL51|A6QL51_BOVIN SAMD14 protein OS=Bos taurus OX=9913 GN=SAMD14 PE=2 SV=1
+MASSKLREPVDEVFDLDLAVPETVRLDSSLHKARAQLLAKGRRHRPSRSRLRDSASSAED
+GEGSDGPGGKGTDGCGSPLHRLRSPLHSGPGSPAGGSFCLEPPGLRRSLDEDEPPPSPLA
+RYRPLHNTASHEGLAAASGSPPRSAASSDSSPSFVRRHPRAELHSEDDSRDASPPEPASP
+TIGLDKKTRRKFLDLGVTLRRASTSKSRKEKGSNRLSMGSRESVEGSSRSGGSPFLPFSW
+FTDSGKGSASSGSTTSPSCSPKREGFSPKKSASQESTLSDDSTPPSSSPKIPSGPRQAAK
+CSYPYHTLSQSSDEFLDEPLPTVYHWTSQQVGQWLQSLNLEQYAAEFAARQVDGPQLLQL
+DGSKLKSLGLSNSHDRALVKRKLKELAAAAEKERKAQEKAARQREKLRRREQEAKKS
+>tr|A7MB21|A7MB21_BOVIN FERMT2 protein OS=Bos taurus OX=9913 GN=FERMT2 PE=1 SV=1
+MALDGIRMPDGCYADGTWELSVHVTDLNRDVTLRVTGEVHIGGVMLKLVEKLDVKKDWSD
+HALWWEKKRTWLLKTHWTLDKYGIQADAKLQFTPQHKLLRLQLPNMKYVKVKVNFSDRVF
+KAVSDICKTFNIRHPEELSLLKKPRDPTKKKKKKLDDQSEDEALELEGPLITPGSGSIYS
+SPGLYSKTMTPTYDAHDGSPLSPTSAWFGDSALSEGNPGILAVSQPITSPEILAKMFKPQ
+ALLDKAKINQGWLDSSRSLMEQDVKENEALLLRFKYYSFFDLNPKYDAIRINQLYEQAKW
+AILLEEIECTEEEMMMFAALQYHINKLSIMTSENHLNNSDKEVDEVDAALSDLEITLEGG
+KTSTILGDITSIPELADYIKVFKPKKLTLKGYKQYWCTFKDTSISCYKSKEESSGTPAHQ
+MNLRGCEVTPDVNISGQKFNIKLLIPVAEGMNEIWLRCDNEKQYAHWMAACRLASKGKTM
+ADSSYNLEVQNILSFLKMQHLNPDPQLIPEQITTDINPECLVSPRYLKKYKNKQITARIL
+EAHQNVAQMSLIEAKMRFIQAWQSLPEFGITHFIARFQGGKKEELIGIAYNRLIRMDAST
+GDAIKTWRFSNMKQWNVNWEIKMVTVEFADEVRLSFICTEVDCKVVHEFIGGYIFLSTRA
+KDQNESLDEEMFYKLTSGWV
+>tr|F1MM24|F1MM24_BOVIN Solute carrier family 38 member 4 OS=Bos taurus OX=9913 GN=SLC38A4 PE=4 SV=2
+MDPMELSNVNIEPDDESISGESIQDSYTRMGNLEKAAMSSQFTNEDAESQKFLTNGFLGK
+KKLADYDDEHHPGTTSFGMSSFNLSNAIMGSGILGLSYAMANTGIILFIIMLLAVAVLSL
+YSVHLLLKTAKEGGSLIYEKLGEKAFGWPGKIGAFISITMQNIGAMSSYLFIIKYELPEV
+IRAFMGLEENTGEWYLNGNYLVIFVSVGIILPLSLLKNLGYLGYTSGFSLTCMVFFVSVV
+IYKKFQIPCPLPILDHSVGNLTFNNTVPMHVVMLLNNTESSGVNFMMDYTHQNAAGLDEN
+QAKGSLHGSGVEFEAHSDDKCQPKYFVFNSRTAYAIPILAFAFVCHPEVLPIYSELKDRS
+RRKMQTVSNVSITGMLVMYLLAALFGYLTFYGEVEDELLHAYSKVYTFDTPLLMVRLAVL
+MAVTLTVPIVLFPIRTSVTTLLFPKRPFSWIRHFLIAAIIIALNNVLVILVPTIKYIFGF
+IGASSATMLIFILPAVFYLKLVKKEPFRSPQKVGALIFLVVGIIFMMGSMALIIIDWIYD
+PPNSKHH
+>tr|E1BPM6|E1BPM6_BOVIN Zinc finger and BTB domain containing 48 OS=Bos taurus OX=9913 GN=ZBTB48 PE=4 SV=2
+MDGSFVQHSVRVLQELNKQRERGQYCDATLDVGGLVFKAHWSVLACCSHFFQSLYGDGSG
+GSVVLPAGFAEIFGLLLDFFYTGHLALTSGNRDQVLLAARELRVPEAVELCQSFKPKASV
+GQPPSGQSGLGKSAPRDVNSHLKESAGVEKEEVSRTLGQIPRDPELSGSLSPQRPRLGLP
+AQSESPSFLRGKLKQALKLCPPGDKEPEDCKVPPRPFEAEGVQLQGGTNEWEVVVQVEDD
+GDGDYDSETETVPTKRKANVIRKPCAAEPARSVGSLAAEPAENRKGTAVPVECPTCHKKF
+LSKYYLKVHNRKHTGEKPFECAKCGKCYFRKENLLEHEARNCMNRSEQVFTCSVCQETFR
+RRMELRVHMVSHTGEMPYKCSSCSQQFMQKKDLQSHMIKLHGAPKPHACPTCAKCFLSRT
+ELQLHEAFKHRGEKLFVCEECGHRASSRNGLQMHIKAKHRNERPYVCEFCSHAFTQKANL
+NMHLRTHTGEKPFQCHLCGKTFRTQASLDKHNRTHTGERPFSCEFCEQRFTEKGPLLRHV
+ASRHQEGRPHFCQICGKTFKAVEQLRVHVRRHKGVRKFECTECGYKFTRQAHLRRHMEIH
+DRVENYNPRQRKLRNLVIEDEKMVVVALQPPPDLEVGSAEVIVESLAHGSLASQLPGQRL
+CAEESFTGAGVMEPSLIITAAIPEDCDT
+>tr|A2VDV5|A2VDV5_BOVIN LOC100125763 protein OS=Bos taurus OX=9913 GN=LOC100125763 PE=2 SV=1
+MVYYPELSVWVCQEPFPDKEMEGRLPKGRLPVPKEVNRKKDGGIGAASLTPLGSNELHSP
+GTSYLHSF
+>tr|E1BHK2|E1BHK2_BOVIN Adducin 1 OS=Bos taurus OX=9913 GN=ADD1 PE=4 SV=2
+MNGDTQAAVVTSPPPTTAPHKERYFDRVDENNPEYLRERNMAPDLRQDFNMMEQKKRVSM
+ILQSPAFCEELESMIQEQFKKGKNPTGLLALQQIADFMTTNVPNVYPAAPQGGMAALNMS
+LGMVTPVNDLRGSDSIAYDKGEKLLRCKLAAFYRLADLFGWSQLIYNHITTRVSSEQEHF
+LIVPFGLLYSEVTASSLVKVNLQGDIVDRGSTNLGVNQAGFTLHSAIYAARPDVRCVVHI
+HTPAGAAVSAMKCGLLPISPEALSLGEVAYHDYHGILVDEEEKILIQKNLGPKSKVLILR
+NHGLVSVGESVEEAFYYIHNLVVACEIQVRTLASAGGPDNLVLLDPGKYKAKSRAPGSPA
+GEGSGSPPKWQIGEQEFEALMRMLDNLGYRTGYPYRYPALREKSKKHSDVEAPASVTGYS
+LASDGDSGTCSPLRHSFQKQQREKTRWLNSGRGDDASEEGQNGSSPKAKTKWTKEDGHRT
+STSAVPNLFVPLNTNPKEVQEMRNKIREQNLQDIKTAGPQSQVLSGVVMDRSLVQDAPLS
+DCTERIEGLERTEQTFSPAKSLSLRKGELVTASKAIIEKEYQPHVIVSTTGPNPFTTLTD
+RELEEYRREVARKQKGPEENLDQAREEKEKSPPEPHTPPSTPVKLEEDLPREPPSADDSD
+AATFKPTLPDLSPDEPSEALGFPTLEKEEEEEEEGLGEAGGPPSPSGSPSRSPPVASPEP
+APAQVAEEAASPAAEDAGSDGSPGKSPSKKKKKFRTPSFLKKSKKRSDS
+>tr|A0A3Q1LXM0|A0A3Q1LXM0_BOVIN Interleukin-12 subunit beta OS=Bos taurus OX=9913 GN=IL12B PE=3 SV=1
+MHPQQLVVSWFSLVLLASPIVAMWELEKNVYVVELDWYPDAPGETVVLTCDTPEEDGITW
+TSDQSSEVLGSGKTLTIQVKEFGDAGQYTCHKGGEALSRSLLLLHKKEDGIWSTDILKDQ
+KEPKAKSFLKCEAKDYSGHFTCWWLTAISTDLKFSVKSSRGSSDPRGVTCGAASLSAEKV
+SLEHREYNKYTVECQEGSACPAAEESLLIEVVVEAVHKLKYENYTSSFFIRDIIKPDPPK
+NLQLRPLKNSRQVEVSWEYPDTWSTPHSYFSLTFCVQVQGKNKREKKLFMDQTSAKVTCH
+KDANVRVQARDRYYSSFWSEWASVSCS
+>tr|A6QLH2|A6QLH2_BOVIN CCKAR protein OS=Bos taurus OX=9913 GN=CCKAR PE=2 SV=1
+MDVVDSLLMNESNLTPPCELGIENETLFCLDQPHPSKEWQPAVQILLYSLIFLLSVLGNT
+LVITVLIRNKRMRTVTNIFLLSLAVSDLMLCLFCMPFNLIPNLLKDFIFGSAVCKTTTYF
+MGTSVSVSTFNLVAISLERYGAICKPLQSRVWQTKSHALKVIAATWCLSFTIMTPYPIYS
+NLVPFTKNNNQTANMCRFLLPSDVMQQSWHTFLLLILFLIPGIVMMVAYGLISLELYQGI
+KFDASQKKSARERKRSSASSGRYADSAGCCLQRPKHPRKLELRQLSTGSAGRADRIRSSS
+PAASLMAKKRVIRMLMVIVVLFFLCWMPIFSANAWRAFDTASAERRLSGTPIAFILLLSY
+TSSCVNPIIYCFMNKRIVEAALRLRSPSLFQEHSVTTHLTMTTDGNRKQTLFWPFSVLQT
+SRSKGEL
+>tr|Q0VD08|Q0VD08_BOVIN Neurensin 1 OS=Bos taurus OX=9913 GN=NRSN1 PE=2 SV=1
+MSSCSNVCGSRQAQAATEAGHQRYGVRSYLHQFYEDCTTSIWEYEDDFQIQRSPNRWSSV
+FWKVGLISGTVFVILGLTVLAVGFLVPPKIEAFGEANFVVVDTHAVQFNGALDMCKLAGA
+VLFCIGGTSMAGCLLMSVSAKSYSKEEKFLQQRFKERIADIKAHTQPITRAPGPGETKIP
+VTLSRVHNVQPLAAT
+>tr|E1BA05|E1BA05_BOVIN Oxysterol-binding protein OS=Bos taurus OX=9913 GN=OSBPL8 PE=3 SV=3
+MSQRQGKEAFPTPTKDLYQPSFSPASPHTPGFERGKEDISQNKDESSLSMSKSKSESKLY
+NGSEKDSSASSKLTKKESLKVQKKNYREEKKRATKELLSTITDPSVIVMADWLKIRGTLK
+SWTKLWCVLKPGVLLIYKTQKNGQWVGTVLLNACEIIERPSKKDGFCFKLFHPLEQSIWA
+VKGPKGEAVGSITQPLPSSYLIIRATSESDGRCWMDALELALKCSSLLKRTMIREGKEHD
+LSISSESTHVTLYGLLRANNLHSGDNFQLNDSEIERQHFKDQDMYSDKSDKENDQEHDES
+DNEVLGKSEESDTDTSERQDDSYIEPEPVEPLKETTYTEQSHEELGEAGEASQTETVSEE
+NKSLIWTLLKQVRPGMDLSRVVLPTFILEPRSFLDKLSDYYYHADFLSEAALEENPYFRL
+KKVVKWYLSGFYKKPKGLKKPYNPILGETFRCLWIHPRTNSKTFYIAEQVSHHPPISAFY
+VSNRKDGFCLSGSILAKSKFYGNSLSAILEGEARLTFLNRGEDYVMTMPYAHCKGILYGT
+MTLELGGTVNITCQKTGYSAILEFKLKPFLGSSDCVNQISGKLKLGKEVLATLEGHWDSE
+VFITDKKTDNSEVFWNPTPDIKQWRLIRHTVKFEEQGDFESEKLWQRVTRAINAKDQTEA
+TQEKYVLEEAQRQAARERKTKNEEWTCKLFELDSLTGEWHYKFADTRPWDPLNDMIQYEK
+DGVIQTKVKHRTPMVSVPKMKHKPTRQQKKVAKGYSSPEPDIQDSSGSEAQSVKPSARRK
+KGIELGDIQTSIESIKQTQEEIKRNIMALRNHLISSTPATDYFLQQKDYIIIFLLILLQV
+IINFMFK
+>tr|F1MTU6|F1MTU6_BOVIN Thioredoxin-like protein OS=Bos taurus OX=9913 GN=TXNL4A PE=3 SV=1
+MSYMLPHLHNGWQVDQAILSEEDRVVVIRFGHDWDPTCMKMDEVLYSIAEKVKNFAVIYL
+VDITEVPDFNKMYELYDPCTVMFFFRNKHIMIDLGTGNNNKINWAMEDKQEMIDIIETVY
+RGARKGRGLVVSPKDYSTKYRY
+>tr|A0A3Q1MJV4|A0A3Q1MJV4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC112442215 PE=4 SV=1
+MVPLLLLPLLWGGSLQELPGYGLEVQESVAVKACMDVRVTCSFSYPWNSGYPRYSSGELF
+IYWFREKDQHTNDAVATNDPRKRVRPETQDRFSLLGDPSNNDCSLSIRQARLSDSGVYYF
+RVERGYDVRYSYRDKKLNLLVTEKPDIQFLEPLESGRPTKLTCRLSLACDEPHPLLFSWV
+GDALDAMNPDTLHSSELTLTPRPQDHGTNLTCLVTLQGSQVALERTIWLNVSYAPKNLRM
+SLSFRNVTAHKILQNTASILISEGQALQLLCVADSNPSAQLSWFQGSPTLEATPISSTGV
+LEIPQVPAGDGEVTCRAQNPLGSQQVSFSLSVQKGPPPCSCETEEQQGSWPLVLTLIRGA
+LMGAGFLLTYGLTWTYYTRCGGH
+>tr|A6QPI5|A6QPI5_BOVIN Graves disease carrier protein OS=Bos taurus OX=9913 GN=SLC25A16 PE=2 SV=1
+MAAAAAALAATEPPPAMPQAAGAGGPAARRDFYWLRSFLAGGIAGCCAKTTVAPLDRVKV
+LLQAHNHHYRHLGVFSTLRAVPKKEGYLGLYKGNGAMMIRIFPYGAIQFMAFEQYKTLIT
+TKLGVSGHVHRLMAGSMAGMTAVICTYPLDMVRVRLAFQVKGEHTYTGIIHAFKTIYAKE
+GGFLGFYRGLMPTILGMAPYAGVSFFTFGTLKSVGLSYAPTLLGRPSSDNPNVLVLKTHI
+NLLCGGVAGAIAQTISYPFDVTRRRMQLGAVLPEFEKCLTMRETMKYVYGHHGIRKGLYR
+GLSLNYIRCVPSQAVAFTTYELMKQFFHLN
+>tr|A2VDQ8|A2VDQ8_BOVIN ELK4, ETS transcription factor OS=Bos taurus OX=9913 GN=ELK4 PE=2 SV=1
+MDSAITLWQFLLQLLKEPQNDHMICWTSNNGEFKLLQAEEVARLWGIRKNKPNMNYDKLS
+RALRYYYVKNIIKKVNGQKFVYKFVSYPEILNMDPMTVGRVEGECEALSLSELSSSSSKD
+VENGGREKPPQPGAKTSSRNDYIHSGLYSSFTLNSLNSSNRKLFKSIKVENPAEKLAEKK
+SPQEPTPSVIKFVTTPSKKPPVESPAASISAGPGISPSSEETIQALETLASPRLPSLEAP
+TSASGVTAPFTTTPPVSSVSPLQEPPRTPSPPLSSNPDMDTDMESVASQPMQLPENLSLE
+PKDQDLALLEKDKTNNSSRSKKPKGLELAPTLVITGSDPSPLGILSPSLPTASLTPALFS
+QTPILLTPSPLLSSIHFWSTLSPVAPLSPARLQGANTLFQFPSVLNSHGPFTLSGLDGPS
+TPGPFSPDLQKT
+>tr|A6QQK9|A6QQK9_BOVIN NAT9 protein OS=Bos taurus OX=9913 GN=NAT9 PE=2 SV=1
+MRLNQNTLLLGKKVVLVPYTSEHVPRYHEWMKSEELQRLTASEPLTLEQEYAMQRSWRED
+ADKCTFIVLDAEKWLAQSGTSEESCMAGDVNLFLTDLGDPSLGEIEVMIAEWYLYLQAS
+>tr|A5D7S1|A5D7S1_BOVIN ATR interacting protein OS=Bos taurus OX=9913 GN=ATRIP PE=2 SV=1
+MAGISASGSRRRSGPPAPSPGPPPNTGHPPSKRARGIPATPDPEDPFGLHGDFTADDLEE
+LDTLASQALSQCPTTAPDVSNVHKVTRLDGMSKYPAGKNRESVPVKDNFELEVLQAQYKE
+LKEKMKAMEEEVLIKNGEIKILRDSLHQTESILEEQRRSHFLLEQEKTQALSEKEKEFSK
+KLQSLQSELQFKDAEMNELRTKLQSSERANKLAVPTVSHVSPRKSPSVVIKPEACSPQFG
+KPSFPTKESFSANKSLPQPCQTEPAYKSPMSREVAENKVHSLGGGPIKQEEPQKSLLDSW
+RSNNQGSILINLLLKQPLIPGSPLGLCHLLSSCPEAPAGTQFQLPGLGSTLTGISSLRTT
+GPRDGSFPVSALREAQHMALTGLNLVARNEEGSSDRDPAEGGGRAFPLHRLPGAVHLLPL
+VQFFIGLHCQALQDLAAAKRSGAPADPPTHPSRVSPGVEASPEDSLYNLEGFSVAALSVL
+QHLVCHSGAVVCLLLSGAGAEPAAGEGDQSQVHGHSHGDATSAPEGLAKDQGQHPLLKML
+LHLLASSSAATGHLQASVLSQCLKVLVELAENASFDFLPRFQCVFRVLPQCLRPETPLPS
+VLLTVELLSLLVDHEKLAPQLCSHSEGCLLLLLYMYITSRPDRAASETQWLQLEQEAVWL
+LAKLGVQSPSSPVTASSCQCNVEVVRALTVVLHRQWLTVRRARGPPRTEQQRRTVRCLRD
+TVLLLHGLSQKDKLFTVHCVEVLHQYDQVMPGVSTLIRGLPDVTDCEEAALEDLCAVETD
+MDEPEMDCG
+>tr|Q2WGK0|Q2WGK0_BOVIN Prolactin-related protein VIII OS=Bos taurus OX=9913 GN=PRP8 PE=2 SV=1
+MAPAPSFCGHQWTYNPVQGSCLLLLLVMSNLLLCQGRTCPFCCSDVFDIPLESLRNLFLN
+ATMLSRDIAIHSSIMFTDFDEKYAQGKQYDINDKSCHTDPLPTPEEREQALKINNEDLSK
+WIIMLLYSWKRPLNHLVTELQNMKEVSDTILSSARQNLKKVQELQEFIERQFSQIIFPVR
+RLTEGEIVWSELPSLVSSDEDIRHSAFYNLFKCLQRDSHKVDMYTKIMLCRINNTC
+>tr|D5MTL9|D5MTL9_BOVIN Glycophorin B variant 1 OS=Bos taurus OX=9913 GN=gypb PE=2 SV=1
+MDRKLIFVLLLSGYIFTKPVAAQTPGPPDTPGLPAMVIAILALIAGIIGITIGSVSLFFI
+LQMRNQVSPRVSETPGQTEQKEDLPQ
+>tr|Q2NL36|Q2NL36_BOVIN Diablo IAP-binding mitochondrial protein OS=Bos taurus OX=9913 GN=DIABLO PE=2 SV=1
+MAALRSWLSRSVSSLFRYRQCVPVVANFKKRCFSELIRPWHKTVAVGFGVTLCAVPIAQK
+PEPHSLSNDTLMRRAVSLVTDSTSTFLSQTTYALIEAITEYTKAVYTLISLYRQYTSLLG
+KMNSQEEDEVWQVIIGARVEMTSKQQEYLKLETTWMTAVSLSEMAAEAAYQTGADQASIT
+ARSHIQLVKSQVQEVRQLSQKAETKLAEAQTEELRQKTQEDGSERAEPEEQEAYLRED
+>tr|A6QQD1|A6QQD1_BOVIN MYLIP protein OS=Bos taurus OX=9913 GN=MYLIP PE=2 SV=1
+MDGLAPYRLKLRVKFFVEPHLILQEQTRHIFFLHIKEALLAGHLQCSPEQAVELSALLAQ
+TKFGDYNQNTAKYSYEELCAKELSSATLNSIVAKHKELEGTSQASAEYQVLQIVSAMENY
+GIEWHSVRDSEGQKLLIGVGPEGISICKDDFSPINRIAYPVVQMATQSGKNVYLTVTKES
+GNSIVLLFKMISTRAASGLYRAITETHAFYRCDTVTSAVMMQYSRDLKGHLASLFLNENI
+NLGKKYVFDIKRTSKEAYDHARRALYNAGVVDLLPRSDPSPPNSPLKSSESSTSCSSCEG
+LGCQQTRALQEKLRKLKEAMLCVLCCEGEINSAFCPCGHTVCCEGCAAQLQSCPVCRSRV
+DHIQHVYLPTHTSLLNLTVI
+>tr|Q3T0I6|Q3T0I6_BOVIN Small nuclear RNA activating complex polypeptide 2 OS=Bos taurus OX=9913 GN=SNAPC2 PE=2 SV=1
+MKPPQRRRAIPRRYLVEVTGPAAWRASEKRQLLRLLQARQGQPELDAAELARELPGRSNT
+EIQDFIRQLKGRVAREAIQRIHPGGPKGPRRWETQTPAPIEVWMDLAEKITGPLEEALTV
+AFSQVLAIAATEPVSLLHSVPSKPTQACGKPLLLRAPGGQEDLGPEASSPAPEAPGGGEV
+PGSTLKTPGPAPEASSESLAGQSAEGDFSVDFEKIYTYLSSVSHGGQGPELSAAESAVVL
+DLLMALPEELSRLPCATLVQHMSGMYRHLTAPQRDLASGGLASGTEDSGAGSRGQEETGQ
+ASPQAPENAGSSQPISSWQAAGVCPLNPFLVPLELLRQVAR
+>tr|Q3T047|Q3T047_BOVIN Cytochrome P450, family 3, subfamily A, polypeptide 5 OS=Bos taurus OX=9913 GN=CYP3A5 PE=1 SV=1
+MELIPNFSVETWVLLAISLVLLYLYGTYSHGLFKKLGVPGPRPLPLFGNVLSYRKGVCEF
+DEECFKKYGKMWGIFEGKHPLLVITDPDMIKTVLVKECYSVFTNRRVFGPMGVMKNAVSV
+AEDEQWKRIRTLLSPTFTSGKLKEMFPIIGKYGDVLVRNLRKEAEKGTSVDMKEVFGAYS
+MDVITSTSFGVNIDSLGNPQDPFVENAKKLLRFDILDPFLLSVVLFPFLIPIFEVLNISI
+FPKSAVNFLTTSVKKIKESRLKDTQKPRVDFLQLMINSQNSKETDNHKALSDQELMAQSI
+IFIFGGYETTSTSLSFIIYELATHPDVQQKLQEEIDATFPNKAPPTYDVLAQMEYLDMVV
+NETLRMFPIAVRLERFCKKDVEIHGVSIPKGTTVTVPISVLHRDPQLWPEPEEFRPERFS
+KKNKDSINPYVYLPFGTGPRNCIGMRFAIMNMKLAVVRVLQNFSFKPCKETQIPLKIKSQ
+GLLRPEKPIVLKVVLRDETISGA
+>tr|A6QP39|A6QP39_BOVIN MSLN protein OS=Bos taurus OX=9913 GN=MSLN PE=2 SV=1
+MALQTAPPRLGSWGTPAHGSLLLLLLCLGWVLPSRAQAADVGLALLSSSVQRTSRDLSWQ
+QPELTVLLPRARRGTEKKACPPGREAQVVDENLFFYEEWELEACVDGALLATQMRQVNLI
+PFTYQQLHIFKRKLDEFYPQGYPQSLIERLSYFFLYVTPEDIHKWNVTSLDTVKSLLKVS
+QGRGVDAQVAALIARYVGGGGQLDKAALDTLAIFRPAYLCFLRPEQLDSVQLSVLWMTTP
+QDLDACSPPQMAVLYHKAHMAFQNVSGSEYFTRIKPFLGGASTEDLRVFTSQNISIDAAA
+FKKLTTEAVLSLTVAEVQKLLGPNLVGLKAETGNMFLRDWISRQSQEDLDRLGLGLVGGV
+PNGYLVLDLRGREASSGGPHRLGQGPGPVLTVTASLLLVLVLS
+>tr|Q32L84|Q32L84_BOVIN Synovial sarcoma, X breakpoint 5 OS=Bos taurus OX=9913 GN=SSX5 PE=2 SV=1
+MNRGSFWENPREDRKKLEKKSKTFKTISQYFSKKEWARLGYSEKITYVNMKRNYETMTRL
+GLQCTPPAFMCPKNGATKSKRCDSKTKNPREKDEPPQGTSNMQGGKCQKVMPKKPVKGKK
+RSKIVPGTSGPEQAQRQLRSQAKASISAQQSKKTAGSKKKKVSAIRLRERKPVAYEEISD
+PEEESNGNTVDVWANRGQKREPVAQEEISDHEEESNRNTVNVWANRLRKRKPVAYEEISD
+PEEEEDEDD
+>tr|Q1RMK0|Q1RMK0_BOVIN Ring finger protein 115 OS=Bos taurus OX=9913 GN=RNF115 PE=2 SV=1
+MAEASAAGAGAGSAVAAHRFFCHFCKGEVSPKLPEYICPRCESGFIEEVTDDSSFLGGGS
+STSTQFSEFWDRLDPTMFFQDFRPFLSSSLLDQDNRANERSHQTHTDFWGPSRPPRLSVT
+QRYRSRGSTRPERSPAFERVLQQIIAGFIPGSPFSWSGMLHSNPGDYAWGQTGLDAIVTQ
+LLGQLENTGPPPADKEKITSLPTVTVTQEQVDKGLECPVCKEDYTVEEEVRQLPCNHYFH
+SSCIVPWLELHDACPVCRKSLNGEDSTQQTQRSGASASNRFSSDSQLHDRWTF
+>tr|E1BD13|E1BD13_BOVIN Synuclein alpha interacting protein OS=Bos taurus OX=9913 GN=SNCAIP PE=4 SV=3
+MEAPEYLDLDEIDFSDDISYSVTSLKTIPELCRRCDSQNEDRSVSSSGWNCGVSTLITNT
+QKPTGIADVYSKFRPVKRVSPLKHQPETLENNESDDQKNQKAEYQKGNDPDPGPQPEELG
+PRAVEGSPQRKSTEPSTLLGELEHYDLDMDEILDVPYIKSSQQLASFTKATSEKRILGLC
+TSINGLSGKACPTGSAESSPSNMTPFCVLSPVKSPHLRKASSVVQDQQKLSPEESESSPP
+LVKSGSAYEPENQGKDFLHKTFSDPHSRKVDKAMAPDCQLRAFHLQSSATDPKLEEQIGG
+MNWTSSQGPEERNEYLKKVKSILNIVKEGQISLLPHLAADNLDKIHDESGNNLLHVAASQ
+GHAECLQHLTSLMGEDCLNERNVEKLTPAGLAIKNGQLECVRWMVSETEAIAELSCSKDF
+PSLIHYAGCFGQEKILLWLLQFMQEQGISLDEVDQDGNSAVHVASQHGYLGCIQTLVEYG
+ANVTMQNHAGEKPSQSAERHGHSLCSRYLVVVETCMSLASQVVKLTKQLKEQTTQRVTLQ
+NQLQQLLEAQKSEGKSLLSSPSSPSSPACRKSQWKSPDAEDESVAKSKSGVQEGIQVLGS
+LSASSRARAKVKDEDSDKILRQLLGKDISENVCTQEKLSLEFQDAQTSSRHSKKIPLEKR
+ELKLARLKQLMQRPLSEADTDSNNSEDPKGTPVRKVDRPRPQPIVESVEGVDSAESLHLM
+IKKHTLASGRRFPFSIKASKSLEGHSPSPTSESSEPDLESQCPGSGNTPSSQPSGEAPQP
+SPDSTAAQKVATSPKSALKSPSSKRRTSQNSKLRVTFEEPVVQMEQTSLELNGEKEREKG
+RTLQRTSAASESGDQLKRPFGTFRSIMETLSGNQNNNNNYQASNQLKTSTLPLTSLGRKV
+TDGKGNTGSSASKGKNKAEMYGSCINLSSNTLIEEHLHNYARHDDISRKMKKSYSIKHVA
+EPESKELFL
+>tr|A6QLB2|A6QLB2_BOVIN DDX23 protein OS=Bos taurus OX=9913 GN=DDX23 PE=2 SV=1
+MAGELADKKDRDASPSKEERKRSRTPDRDRDRDRDRKSSPSKDRKRHRSRDRRRGVSRSR
+SRSRSKSTERDRRHKERERDKERDRNKKDRDRDKDGHRRDKDRKRSSLSPGRGKDFKSRK
+DRDSRKDEEDEHGDKKPKAQPLSLEELLAKKKAEEEAEAKPKFLSKAEREAEALKRRQQE
+VEERQRMLEEERKKRKQFQDLGRKMLEDPQERERRERRERMERETNGNEDEEGRQKIREE
+KDKSKELHAIKERYLGGIKKRRRTRHLNDRKFVFEWDASEDTSIDYNPLYKERHQVQLLG
+RGFIAGIDLKQQKREQSRFYGDLMEKRRTLEEKEQEEARLRKLRKKEAKQRWDDRHWSQK
+KLDEMTDRDWRIFREDYSITTKGGKIPNPIRSWKDSSLPPHILEVIDKCGYKEPTPIQRQ
+AIPIGLQNRDIIGVAETGSGKTAAFLIPLLVWITTLPKIDRIEESDQGPYAIILAPTREL
+AQQIEEETIKFGKPLGIRTVAVIGGISREDQGFRLRMGCEIVIATPGRLIDVLENRYLVL
+SRCTYVVLDEADRMIDMGFEPDVQKILEHMPVSNQKPDTDEAEDPEKMLANFESGKHKYR
+QTVMFTATMPPAVERLARSYLRRPAVVYIGSAGKPHERVEQKVFLMSESEKRKKLLAILE
+QGFDPPIIIFVNQKKGCDVLAKSLEKMGYNACTLHGGKGQEQREFALSNLKAGAKDILVA
+TDVAGRGIDIQDVSMVVNYDMAKNIEDYIHRIGRTGRAGKSGVAITFLTKEDSAVFYELK
+QAILESPVSSCPPELANHPDAQHKPGTILTKKRREETIFA
+>tr|Q2YDF0|Q2YDF0_BOVIN BCL2 like 11 OS=Bos taurus OX=9913 GN=BCL2L11 PE=2 SV=1
+MAKQPSDVSSECDREGGQLQPAERPPQLRPGAPTSLQTERQDRSPAPMSCDKSTQTPSPP
+CQAFNHYLSAMASMRQSQAVPADTRPEIWIAQELRRIGDEFNAYYPRRVFVRHQAVEGHP
+QMVLLRVLRYIVRLVWRMQ
+>tr|A4IF68|A4IF68_BOVIN ID1 protein OS=Bos taurus OX=9913 GN=ID1 PE=2 SV=1
+MKVASGSAAAAAGPSCALKAGKAAGGAGEVVRCLSEQSVAISRCAGGPGARLPALLEEQP
+VNVLLYDMNGCYSRLKELVPTLPQNRKVSRVEILQHVIDYIWDLELELNSESHVGTPGGR
+GLPARAPLSTLNGEIGALAAEAACVPADDRILCR
+>tr|Q0VCT6|Q0VCT6_BOVIN Basic helix-loop-helix family member e41 OS=Bos taurus OX=9913 GN=BHLHE41 PE=2 SV=1
+MDEGIPHLQERQLLEHRDFIGLDYPSLYMCKPKRSMKRDDSKDTYKLPHRLIEKKRRDRI
+NECIAQLKDLLPEHLKLTTLGHLEKAVVLELTLKHLKALTALTEQQHQKIIALQNGERSL
+KSPIQSDLDAFHSGFQTCAKEVLQYLARFESWTPREPRCVQLINHLHAVATQFLPTPQLL
+TQQVPLSKGTGAPTAAAPAGSGAAPCLERAGQKLEPLAHCVPVIQRTQPSSELAAAENDT
+DTDSGYGGEAEARPDREKGKGAGASRVTIKQEPPGEDSPPPSPACPPCCRPLPRRRRPPP
+QPRPSCHTRWRPLGLCTPRTRTAAPTCPSPALASPGTRRALLRKIPRSQQRKPSESVLHL
+RGDQGSRGIIILIS
+>tr|A5D7V3|A5D7V3_BOVIN ESM1 protein OS=Bos taurus OX=9913 GN=ESM1 PE=2 SV=1
+MKRLLLLATLLVPAHLAAVWSRKYAVDCPERCDSSQCKSSSRCKRTVLDDCGCCRVCAAG
+LGETCYRTVSGMDGVKCGPGLRCQFYNEEDDFGDEFGICKDCPFGTFGMECKQICSCQSG
+ICNRVTGKCLKFPFFQYSVAKATNQRFVSHTEHDVASGDGNAVREELVKENDAPSPVMKW
+LNPR
+>tr|Q2KJ67|Q2KJ67_BOVIN Zinc finger protein 566 OS=Bos taurus OX=9913 GN=ZNF566 PE=2 SV=1
+MAQESVMFSDVSIDFSQEEWEFLNDDQRDLYRDVMLENYSNLVSMGHSISKPDVISFLEQ
+GKEPWLVDRELTRDQWPVLESRCETKKLFLKKEIYEIESAQWELMDRLTRHDLQCSSFRD
+EWECNGQFEKQHGSQEGHFSQLIFTHEGMPTFSQHPSFTLQQIMNNNKEKYCATKEYRKT
+FRHDSQLTTHQIIHTVEKPYECKECGKAFRHPSRLSHHQKIHSGKKPFECKECGKTFICG
+SDLTRHHRIHTGEKPYECKECGKAFSSGSNFARHQRIHTGEKPYECKECGKAFSSGSNFT
+QHQRIHTGEKPYECKICGNAFSQSSQLIKHQRIHTGEKPYECKECEKAFRSGSDLTRHQR
+IHTGEKPYECKICGKAYSQSSQLTSHHRIHTSEKAYEYRECAKTFNYDSQLVQHQNLYW
+>tr|Q0IIL6|Q0IIL6_BOVIN Hydroxysteroid (17-beta) dehydrogenase 4 OS=Bos taurus OX=9913 GN=HSD17B4 PE=1 SV=1
+MASTLRFNGRVVLVTGAGGGLGRAYALAFAERGASVVVNDLGGDFTGVGKGSLAADKVVE
+EIRRKGGKAVANYDSVEEGEKIVKTALDAFGRIDIVINNAGILRDRSFSRISDEDWDKIQ
+RVHLRGSFLVTRAAWDHMKKQKFGRIIMTSSASGIYGNFGQANYCAAKLGLLGLSNCLAV
+EGKKNNIHCNTIAPTAGSRMTQSILPEDLVEALKPDYVAPLVLWLCHESCEENGGLFEVG
+AGWIGKLRWERSLGALVRQRTQPMTPEAVKANWTKICDFDNATKPKSIQESIGSIVEALN
+KINSGGEVSANPTSRATSATTSEFARAIGHKFPPLYSSYAELDTIMYALGVGASIKEPKD
+MKFIYEGSSDFSCLPTFGVILAQKSIMNGGLAEIPGLSINLAKILHGEQYLELHKPIPRA
+GKLRCEAIVADILDKGSGLVILVDVYTYSGEELICYNQFSIFVVGSGGSGGKRTSDKAKA
+AVAIPNRPPDAVLTDTTSLNQAALYRLSGDWNPLHIDPNFASLAGFDKPILHGLCTFGFS
+ARHVLQQFADNDVSRFKAIKVRFAKPVYPGQTLQTEMWKEGNRIHFQTKVQETGGIVISN
+AYVDLVPASAISAKTPSEGAGLQSTLVFEEIGRRLQGIGEEVVKKVRAVFEWHITKGENT
+AAKWTIDLKTGSGKVYQGPAKGSADVTITLSDEDFMEVVLGKLDPQKAVFSGRLKARGNI
+LLSQKLQMILKDYAKL
+>tr|A0A3Q1NM57|A0A3Q1NM57_BOVIN Death associated protein kinase 1 OS=Bos taurus OX=9913 GN=DAPK1 PE=4 SV=1
+MTVFRQENVDDYYDTGEELGSGQFAVVKKCREKSTGLQYAAKFIKKRRTKSSRRGVSRED
+IEREVSILKEIQHPNVITLHEVYENKTDVILILELVAGGELFDFLAEKESLTEEEATEFL
+KQILNGVYYLHSLQIAHFDLKPENIMLLDRNVPKPRIKIIDFGLAHKIDFGNEFKNIFGT
+PEFVAPEIVNYEPLGLEADMWSIGVITYILLSGASPFLGDSKQETLANVSAVNYEFEEEY
+FSNTSALAKDFIRRLLVKDPKKRMTIQDSLQHPWIKPKDTQQALSRKASAVNMEKFKKFA
+ARKKWKQSVRLISLCQRLSRSFLSRSNMSVARSDDTLDEEDSFVMKAIIHAINDDNVPGL
+QHLLGSLSNYDVNQPNKHGTPPLLIAAGCGNIQILQLLIKRGSRIDIQDKGGSNAIYWAS
+RHGHVDTLKFLNENKCPLDVKDKSGETALHVAARYGHADVVQLLCSFGSNPNFQDKEEET
+PLHCAAWHGYYSVAKALCEAGCNVNIKNREGETPLLTASARGYQDIVECLAEHGADLNAS
+DKDGHIALHLAVRRCQMEVIQTLISQGSSVDFQDRHGNTPLHVACKDGNVPIVLALCEAN
+CNLDLSNKYGRTPLHLAANNGILDVVRYLCLTGANVEALTSDGKTAEDLAKSEQHEHVAG
+LLTRLRKDTHRGLFIQQLRPTQNLQPRIKLKLFGHSGSGKTTLVESLKCGLLRSFFRRRR
+PRLSSTNSTRFPPSPLASKPAVSVSISNLYPGCENVSVRSRSMMFEPGLTKGVLEVFGAP
+SHHPHCATDDQSTKAIDIQNAYLNGIGDFSVWEFSGNPVYFCCYDYFAANDPTSIHVIVF
+SLEEPYEIQLNQVIFWLSFLKSLVPVEEPIAFGGKLKNPLRVVLVATHADIVNLPRSAGG
+EFGYDKDTSLLKEIRNRFGNDLHISNKLFVLDAGASGSKDMKVLRNHLQEIRSQIVSVCP
+PMTHLCEKIISTLPSWRKLNGPNQLMSLQQFVYDVQDQLNPLASEADLRHIAQQLHSAGE
+INIMQSETVQDVLLLDPRWLCTNVLGKLLSVETPRALHHYRGRYTMEDVQRLVPDSDVEE
+LLQILDAMDICARDLSSGTMVDIPALIKTDSLHRSWTEEEDEARVYGGVRVVPVEHLTPF
+PCGIFHKVQVNLCRWIHQQSNEGDADIRLWVNGCKIANRGAELLVLLVNHGQGIEVQVRG
+LETEKIKCCFLLDSVCSTIENVMATTLPGLLTVKHYLSPQQLREHHEPVMIYQPRDFFRA
+QTLKETSLTNTMGGYKESFSSIMCFGCHDVYSQASLGMDIHASDLNLLTRRKLSRLLDPP
+DPMGKDWCLLAMNLGLPDLVAKYNTNNGSPKEFLPSPVHALLREWTSYPESTVGILMSKL
+RELGRRDAADFLLKASSVFKINLDGNGQEAYASSCNSGTSYNSISSVVSR
+>tr|Q32L01|Q32L01_BOVIN Transcription initiation factor IIA subunit 2 OS=Bos taurus OX=9913 GN=GTF2A2 PE=2 SV=1
+MAYQLYRNTTLGNSLQESLDELIQSQQITPQLALQVLLQFDKAINAALAQRVRNRVNFRG
+SLNTYRFCDNVWTFVLNDVEFREVTELIKVDKVKIVACDGKNTGSNTTE
+>tr|E3UM64|E3UM64_BOVIN Complement C3d receptor 2 OS=Bos taurus OX=9913 GN=CR2 PE=2 SV=1
+MGAAGPIWVFLTLLAPGVLGQCKFLPRYPFAKPKIQSDQSEFAVGKTWEYECLPGYFKKS
+FFVTCLKTSNWSNAQQFCKRKSCTVPRELLHGSVHTPQGIMFGATITFSCDRGYRLIGDT
+SATCLISDNTVTWDKDLPLCESIPCEPPPAISNGDFQSSNRDYFYYGTVVTYQCHVGQNG
+KKLFDLLGEKSIYCTSKDNQVGIWNSPPPQCIPVVKCPIPEVENGAMESGFRRSFSLNDS
+VMFKCKPGFTMKGSNTVWCQPNSKWNPPLPKCFKGCLPPPHTHHGNYNQLDEEFFAVGQE
+VSYSCEPGYTLIGTNPIQCTSLGTWSHLVPKCEVKSCDDIPNQLLNGRVVAPPNLQLGAE
+VSFVCDKGYRLNGQSSSQCVSEGMRVFWSNKFPVCERIFCDPPPSIKNGRSSYYSGPVAH
+NAVVTYTCQSAFRLIGERRLFCISKDNVNGIWDKAPPICEYYDRNSVCSEPIVPGGYRSK
+TSRPPFRHGDAVTFSCNANFTMKGNKTVWCRANRRWGPTPLPTCESDIPLECPSLPTIAN
+GHHTGESVGSFAPGLTVTYSCEPGYLLLGENTIHCLSSGDWSAVAPTCKEAECEAPGPFL
+NGQIKRPTSFGVGATVNFSCNEGYRLQGPLSSQCVIFGQRTFWTRMPACEEILCPSPPPI
+LNGRHTGTSSVIFRYGSTVSYTCDPGPEKGVNFILVGERTIRCTTDSQKTGTWSGPAPRC
+ELSVSELLCPPPQIPRGQISSGQRDQYSYNDTVVFACMFGFTMKGSRAVRCNAQGTWEPS
+VPVCEKDCQAPPKILNGQKEDRHRVRFDPGTSIKYSCDLGYELVGEESIHCTPDGVWMPT
+APTCKVAECEPVGKQVFKKPKNQFIRPDVNSSCDEGYRLGESVYQQCQGTIPWFMEIRLC
+KDITCPPPPVIYNGVHTGSSSEDVLYGTTVTYTCNPGPERGVKYNLIGESTIRCISNDQE
+TGIWSGPAPLCKLSLPAVQCSHVHVANGYKISGKEAPYFYNDSVIFKCDDGFILKGSSQV
+RCKANNTWDPEIPVCEKGCQPPSGLHHGRHTGGNRVLFVSGMTVDYTCDLGYLLVGNRSI
+HCMPSGNWSPSVPRCEEAQCQPLEEDVREPPVDSLVVPANISCQEGYRMMKYAFQKCQDD
+ENRVWFQRIPVCEIIHCQDPPVINNGRYRGVLPGGFPYGSEVSYECDQGFDLLGEKSIRC
+ISDSKGLVSWSGRAPQCLKSPPVTHCPNPEVMHGHKLNKTYSSYSHNDILHIACNPGFIM
+NGSHLIRCHTNNKWVPGVPTCIKKAFFGCQRPLTISHGNHTGGDITRFSPGMSILYSCNQ
+GYLLVGEALLLCTHEGTWNQPAPYCKEVNCSFPEHINGIQNGLEPGRMYQYGAVVTLMCE
+DGYTLEGSPQSQCQEDHRWNPPLAVCKSPSSLAPLIAGFSAGVIALFCLGAVALYMISKH
+RERNYYTNTNYKEDVHLETLDIYSVDPYSPAN
+>tr|A6QLF0|A6QLF0_BOVIN CDKL1 protein OS=Bos taurus OX=9913 GN=CDKL1 PE=2 SV=1
+MEKYEKIGKIGEGSYGVVFKCRNRDTGQIVAIKKFLESEDDPVIKKIALREIRMLKQLKH
+PNLVNLIEVFRRKRRLHLVFEYCDHTVLHELDRHQRGVPEHLVKSITWQTLQAVNFCHKH
+SCIHRDVKPENILVTKQSVIKLCDFGFARLLTGPSDYYTDYVATRWYRSPELLVGDTQYG
+PPVDVWAIGCVFAELLSGVPLWPGKSDVDQLYLIRKTLGDLIPRHQHVFSTNQYFSGVKI
+PDPEDMEPLELKFPNISYSALGLLKGCLHMNPAERLTCEQLLQHSYFDSIREIGDSARQH
+EKPTRKTLRQSRKHLPGLQCLPQLTSSSILPALDNKKHCYNTKKLNYHFPNI
+>tr|A5PJN7|A5PJN7_BOVIN BFAR protein OS=Bos taurus OX=9913 GN=BFAR PE=2 SV=1
+MEECPQNDLDAASLEKNEPLESTSPQISINEFSCHCCYDILVNPTTLNCGHSFCRHCLAL
+WWASSKKTECPECREKWEGFPKVNILLRDAVEKLFPDAIRMRFEDIQQNNDIVQSLAAFQ
+KFGNDHTPSAPHTGRGNQHRGGGFYSGVLTALTGVAVVLLVYHWSSRESERHLLVHKAVA
+RWTAEEVVLWLEQLGPWASLYRDRFLSERVNGRLLLTLTEEEFSKAPYTIESSSHRRAIL
+MELERVKALGVKPPQNLWEYKAVNPGRSLFLLYALKSSPRLGLLYLYLFDYTDTFLPFIH
+TICPLQEDGSGDDIISKLLDLREPTWKQWREFLIKYSFLPYQLIAEFAWDWLEVHYWTSR
+FLIVNAMLLSVLELFSFWRIWSRSELKTVPQRMWSHFWKVSTQGLFVAMFWPLIPQFVCN
+CLFYWALYFNPIINIDLVVKEVRRLETQVL
+>tr|Q2KIS5|Q2KIS5_BOVIN Hydroxysteroid (17-beta) dehydrogenase 11 OS=Bos taurus OX=9913 GN=HSD17B11 PE=1 SV=1
+MTGKTKYTQSSQQQKRIQFFLASFLLLPFLLVCIVESLLKLFIPKKRKSVTGEIVLITGA
+GHGIGRQTAYEFAKLKCKLVLWDINKHGLEETATECKRLGAKAHTFVVDCSNREDIYSSA
+KKVKAEVGDVSILVNNAGVVYTSDLFATQDPQIEKTFEVNILAHFWTTKAFLPEMMKNNH
+GHIVTVASAAGHTGVPFLLAYCSSKFAAVGFHKALTEELSALKRTGVKTTCLCPNFINTG
+FIKNPSTSLGPTLEPEEVVNKLIDGILTEQKMIFVPSSLNFLSLMEKVLPERFQAILKRK
+IDIRFDAVIGYKMKGK
+>tr|E1BM61|E1BM61_BOVIN Aminopeptidase OS=Bos taurus OX=9913 GN=LVRN PE=3 SV=1
+MGPPSSSGFYVSRAVALLLAGLAAALLLALAVLASLYGHCARVPPSELRDGGAPAAAPSL
+PGKQEPTSQPGPTPEPDVAATRSSWRPPGPWDQLRLPPWLVPLHYELELWPRLRPDDLSA
+SALRFTGRVNITVRCTTATARLLLHSLFLDCESAEVRGPLSLDAGDATAGRVSVVEMWFA
+VDMQYLVLELGEALQPGSLYELQLSFSGPVSQDTREGLFLNLYTDQGERRALLASHMEPT
+FARNVFPCFDEPALKATFNITVIHHPRYVALSNMPKLSQSEKEDVNGSKWTVTTFHTTPH
+MPTYLAAFVICDYEHIGRIERGKEIRIWARKDAIADGNADFALNITGPIFSFLEDLFNIS
+YPLPKTDIIALPTFDNRAMENWGLLIFDESLLLLQPNDKLTEKKIMISYIVSHEIGHQWF
+GNLVTMNWWNNIWLNEGFASYFEFGIINHFNPKLPMNEIFFSNILHGVLREDHALVSRAV
+SMKVESFTETNEIDELFDLITYNKGASLARMLSSFLNEKIFISALKSYLETFSYSNAEQD
+DLWRHFQMAIDDQSKILLPATVKSIMDSWTHQSGFPVITLNVSTGVMKQEPFYLGKVKNQ
+TLLTHNDTWIIPILWMKNGTTQSLVWLDKSSKVFPEMQISDSDHDWVILNLNMTGYYRVN
+YDKLGWKKLNQQLEKDHKAIPVIHRLQLVDDAFSLSKNDYIEIETALDLTKYLAEEDEII
+VWHAVLMNLVTRDLVSDGNNHDIYPLLKKYLLKRLISIWNTYSTMIRENVTALQEDYLAL
+ISLDKVFGTACLLGLEDCLQLSRELFRNWMNHPENEIPNPIKNVILCYGIALGNDKEWDF
+LLKIYNNNTEEEERIQLAYAMSCSKDPRILNRYMDYAITVSPFTFNETNVIEAVAASEVG
+RYVAKDFLINNWQAVSERYGTQSLVTLLYVIGRTISTDLQISELQQFCSNMLEEHQRLTV
+QAKLQTIKNENLKNKERSARIAAWLRKNT
+>tr|Q58D96|Q58D96_BOVIN Isocitrate dehydrogenase [NAD] subunit, mitochondrial OS=Bos taurus OX=9913 GN=IDH3G PE=2 SV=1
+MALKVATAAGGAVKAALRPALLWRPWEVLGSHEAPRRSFSPPSAKYGGRHTVTMIPGDGI
+GPELMLHVKSVFRHACVPVDFEEVHVSSTADEEDIRNAIMAIRRNRVALKGNIETNHNLP
+PSHKSRNNILRTSLDLYANVIHCKSLPGVVTRHRDIDILIVRENTEGEYSSLEHESVAGV
+VESLKIITKAKSLRIAEYAFQLAQESGRKKVTAVHKANIMKLGDGLFLQCCREVAARYPQ
+ITFENMIVDNTTMQLVSRPQQFDVMVMPNLYGNIVNNVCAGLVGGPGLVAGANYGHVYAV
+FETATRNTGKSIANKNIANPTATLLASCMMLDHLKLHSYATSIRKAVLASMDNENMHTPD
+IGGQGTTSEAIQDIIRHIRVINGRAVEA
+>tr|A6H7G8|A6H7G8_BOVIN General transcription factor IIH subunit 4 OS=Bos taurus OX=9913 GN=GTF2H4 PE=2 SV=1
+MESTPSRGGLNRVHLQCRNLQEFLGGLSPGILDRLYGHPATCLAVFRELPSLAKNWVMRM
+LFLEQPLPQAAVALWVKKEFSKAQEESTGLLSGLRIWHTQLLPGGLQGLILNPIFRQNLR
+IALLGGGKAWSDDTSQLGPDKHARDVLSLDKYAEERWEVVLHFMVGSPSAAVSQDLAQLL
+SQAGLMKSAEPGEPPCITSAGFQFLLLDTPAQLWYFMLQYLQTAQSRGMDLVEILSFLFQ
+LSFSTLGKDYSVEGMSDSLLNFLQHLREFGLVFQRKRKSRRYYPTRLAINLSSGVSGAGG
+TAHQPGFIVVETNYRLYAYTESELQIALIALFSEMLYRFPNMVVAQVTRESVQQAIASGI
+TAQQIIHFLRTRAHPVMLKQTPVLPPTITDQIRLWELERDRLRFTEGVLYNQFLSQVDFE
+LLLAHARELGVLMFENSAKRLMVVTPAGHSDVKRFWKRQKHNS
+>tr|A6QLF6|A6QLF6_BOVIN BRD7 protein OS=Bos taurus OX=9913 GN=BRD7 PE=2 SV=1
+MGKKHKKHKSDKHLYEEYVEKPLKLVLKVGGNEVTELSTGSSGHDSSLFEDKNDHDKHKE
+RKRKKRKKGEKQIPGEEKGRKRRRVKEDKRKRDRDHVDIEAEKDLQCQAPVRLDLPPEKP
+LASSLAKQEEVEQTPLQEALNQLMRQLQRKDPSAFFSFPVTDFIAPGYSMIIKHPMDFST
+MKEKIKNNDYQSIEELKDNFKLMCTNAMIYNKPETIYYKAAKKLLHSGMKILSQERIQSL
+KQSIDFMADLQKSRKQRDRTDTSQSGEDSGSWPRERDDAGDAEAQGFKSPNKENKKKDKD
+VLEDKFKSNNLEREQEQIDRIVKESGGKLTRRLVNSQCEFERRKPDGTTTLGLLHPVDPV
+VGEPGYCPVRLGMTTGRLQSGVNTLQGFKEDKRNKVTPVLYLSYGPYSSYAPHYDSTFAN
+ISKDDSDLIYSTYGEDPDLPSDFSIHEFLATCQDYPYVMADSLLDVLTKGGHSRTLQESE
+TSSPEDEGQTRILDTAKEMEVTEVELTGCLDSNNQDKLTALKAVTNFGAPVEVFDSEEAE
+VFQRKLDETTKLLRELQEAQNERLSTRPPPNMICLLGPSYREMHLAEQVTNNLKELAQQV
+TPGDVVSTYGVRKAMGISVPSPVIANNLVDLTADFEEPRKTDVTECEPDGI
+>tr|A6QQI0|A6QQI0_BOVIN LOC100125413 protein OS=Bos taurus OX=9913 GN=LOC100125413 PE=2 SV=1
+MQPLESVTFEDVAVDFTQEEWALLDTSQRKLFRDVMLENISHLVFVGYQHCKLDVIFQLE
+QGEELWIEGRGFFQSHSPGRESAFKEELLATQHISKKDTSTIIPMTFHTPEDPCECNDLG
+EDFSPSSTLSQHLLTHTEKTPNISKQYQKSQSDQSYLNPHKQIHSRGKSCECHLCGKAYS
+NCSSLRRHEMTHTGEKPYECHICGNAFIQSSDLRKHNLTHTGEKPYECHLCGKAFSQSSN
+LRQHERTHTGEQPYECQLCGKTFSKCSALRRHERTHTGEKPYECHLCGKAFSQCSALRRH
+EGTHNGEKIMNVFSKYSDLR
+>tr|Q2TBM8|Q2TBM8_BOVIN WD repeat domain 26 OS=Bos taurus OX=9913 GN=WDR26 PE=2 SV=1
+MQESGCRLEHPSATKFRNHVMEGDWDKAENDLNELKPLVHSPHAIVVRGALEISQTLLGI
+IVRMKFLLLQQKYLEYLEDGKVLEALQVLRCELTPLKYNTERIHVLSGYLMCSHAEDLRA
+KAEWEGKGTASRSKLLDKLQTYLPPSVMLPPRRLQTLLRQAVELQRDRCLYHNTKLDSNL
+DSVSLLIDHVCSRRQFPCYTQQILTEHCNEVWFCKFSNDGTKLATGSKDTTVIIWQVDPD
+THLLKLLKTLEGHAYGVSYIAWSPDDNYLVACGPDDCSELWLWNVQTGELRTKMSQSHED
+SLTSVAWNPDGKRFVTGGQRGQFYQCDLDGNLLDSWEGVRVQCLWCLSDGKTVLASDTHQ
+RIRGYNFEDLTDRNIVQEDHPIMSFTISKNGRLALLNVATQGVHLWDLQDRVLVRKYQGV
+TQGFYTIHSCFGGHNEDFIASGSEDHKVYIWHKRSELPIAELTGHTRTVNCVSWNPQIPS
+MMASASDDGTVRIWGPAPFIDHQNIEEECSSMDS
+>tr|A6QR69|A6QR69_BOVIN GPI mannosyltransferase 1 OS=Bos taurus OX=9913 GN=PIGM PE=2 SV=1
+MSPTTHWGDKFLNLRVPPAGVFVVAFFARVALIFYGIFQDRTLLVRYTDIDYQVFTDAAR
+FVTEGRSPYLRATYRYTPLLAWLLTPNIYLNELFGKFLFISFDLFTAFLLYRLLLLKGLG
+RRQACGYCVFWLLNPLPMAVSSRGNADSIVASLVLMTLYLIEKRLVACAAVSYGFAVHLK
+MYPVTYILPIALHLLPERDSDEGLRQSRYSFQVRLYEFLKRLCHRAVLLFVAVAGLTFFA
+LSFGFYYRYGWEFLEHAYLYHLTRRDIRHNFSPYFYMLYLTAESKWSFSLGIAAFLPQFI
+LLSAVSFAYYRDLVFCCFLHTSIFVTFNKVCTSQYFLWYLCLLPLVMPLVRIPWRRAVVL
+LMLWFIGQALWLAPAYVLEFQGKNTFLFIWLAGLFFLLINCSILIQIISHYKEEPLTDRT
+KYD
+>tr|A0A3Q1LMJ0|A0A3Q1LMJ0_BOVIN Paired related homeobox 1 OS=Bos taurus OX=9913 GN=PRRX1 PE=4 SV=1
+MTSSYGHVLERQPALGGRLDSPSNLDTLQAKKNFSVSHLLDLEEAGDMVAAQADESVGEA
+GRSLLESPGLTSGSDTPQQDNDQLNSEEKKKRKQRRNRTTFNSSQLQALERVFERTHYPD
+AFVREDLARRVNLTEARVQVWFQNRRAKFRRNERAMLANKNASLLKSYSGDVTAVEQPIV
+PRPAPRPTDYLSWGTASPYRSSSLPRCCLHEGLHNGF
+>tr|A0A3Q1LQU8|A0A3Q1LQU8_BOVIN Semaphorin 4D OS=Bos taurus OX=9913 GN=SEMA4D PE=3 SV=1
+MRMCGPVGGLLTALAVVFGTAVAFAPVPRITWEHREVQLVQFHEPGIFNYSALLLSENED
+TLFVGAREAVFALNAHNISKKQHEAYWKVSEDKKARCAEKGKSKQTECLNYIRVLQPLST
+NALYVCGTNAFQPACDHLNLTSFKFLGKNEDGKGRCPFDPAQSYTSVMVDGELYSGTSYN
+FLGSEPIISRNSTHSPLRTEYAIPWLNEPSFVFADVVRESPEGEDLGDDRVYFFFTEVSV
+EYEFVFKLMIPRIARVCKGDQGGLRTLQKKWTSFLKARLICSRPDSNLVFNVLRDVFVLR
+SADLKEPVFYGVFTPQLNNVGLSAVCAYNLSTAEAVFSRGKYMQSATVEQSHTKWVRYNG
+AVPTPRPGACINREARAANFSSSLNLPDKTLQFVKDHPLMDDSVTPIDSRPRLIKGDVNY
+TQIVVDRARALDGTIHDVMFVSTDRGALHKAISLENDIHIIEETQLFQDFEPIQSLLLSS
+KKGRKFVYAGSNSGVVQAPVAFCGKHGTCEDCVLARDPYCAWSPAVAACVALHQTDSPSR
+GLIQEMNGDASTCPDKIKESYLQHFFKHGGTAELKCSQKSNLARVVWKFQNGVLKAESPK
+YSLVGRKNLLIFNLSEGDSGVYQCLSEEKVKNKTILQVVAKHVLEVKTVQRTTVASTSPA
+TRTEGSRITPRVSVGPTPVSFPQTPAVQVTTLGAVPPPSSPVAPTSTSCEPKIVINTVPQ
+VHSEKTLYLKSSDNRLLMFLFLFFFALFLCLVSYNCYKGYLPGQCLKFRSAMLLGKKKPT
+SDFSDFEQSVKETLVEQGSFSQQNGGQPKPALDTGYETEQDTMASRLPTDREDSQKIDEL
+PVRDRPFDVKCELKFADSDADGD
+>tr|Q1RMM7|Q1RMM7_BOVIN Myosin light chain 4 OS=Bos taurus OX=9913 GN=MYL4 PE=2 SV=1
+MPPKKPEPKKEVAKPATAPAASPAPPPEPLKEPAFDPKSVKIDFTADQIEEFKEAFSLFD
+RTPTGELKIAYGQCGDVLRALGQNPTNAEVLRVLGKPKPEEMNSKMLDFETFLPILQHIS
+RNKEQGTYEDFVEGLRVFDKESNGTVMGAELRHVLATLGEKMSEAEVEQLLAGQEDANGC
+INYEAFVKHIMSG
+>tr|Q3ZC90|Q3ZC90_BOVIN NK2 homeobox 3 OS=Bos taurus OX=9913 GN=NKX2-3 PE=2 SV=1
+MMLPSPVTSTPFSVKDILNLEQQQQQHFHGAHLQADLEHHFHSAPCMLAAAEGTQFSDGG
+EEDEEEEGEKLSYLNSLATADGHGDSGLCPQSFVHTVLRDSCSGPKEHEEEPEVVRDGNQ
+KSCQLKKPLEAAGDCKAAEESERPKPRSRRKPRVLFSQAQVFELERRFKQQRYLSAPERE
+HLASSLKLTSTQVKIWFQNRRYKCKRQRQDKSLELGGHAPPPPPRRVAVPVLVRDGKPCV
+TPGAPAYGAPYSVGAGAYSYNSFPAYGYGNSAAAAAAAAAAAAAAAAYSGSYGCAYPASG
+GSGGGGGGASSAASAMQPACSASGGGPFVNVSNLAGFGGGGGAQPLHQGATAGAACAQGT
+LQGIRAW
+>tr|Q0P592|Q0P592_BOVIN Fructosamine 3 kinase related protein OS=Bos taurus OX=9913 GN=FN3KRP PE=2 SV=1
+MEELLKRELGCDSVKATGHSGGGCISQGQSYDTDKGRVFVKVNSKPEARRMFEGEVASLT
+AILRTGTVKVPKPIKVLDAPGGGSMLVMEHLDMRHLSSHAAKLGTQLADLHLDNKRLGET
+LQKEAGIVGRRDELVARPFVAQFGFDVVTCCGYLPQVNDWQQDWVTFYARQRIQPQMDLV
+EQRSGDREARELWAALQLKIPDLFHDLDIIPALLHGDLWGGNVAEDSSGPIIFDPASFYG
+HSEYELAIAGMFGGFSSAFYSAYHSKIPKAPGFEKRLKLYQLFHYLNHWNHFGSGYRGSS
+LSIMRNLVT
+>tr|A5PJC3|A5PJC3_BOVIN Asparaginyl-tRNA synthetase 2, mitochondrial OS=Bos taurus OX=9913 GN=NARS2 PE=2 SV=1
+MFRARCLLRALRSCSSAPCPRQRPAARVSVRGALGVQSANGERVKVQGWIRSIRSQKEVL
+FLHVNDGSSLESLQVVADSSFDSRELAFGSSVEVQGQLVKSPSKKQNVELKAEKIEVVGS
+CDAKAFPFKYKERHPLEYLRQYPHLRCRTNVLGSVLRVRSEATAAIHSFFKDSGFVHIHT
+PVITSNDCEGAGELFQVEPSSKIKVPEENFFNIPVFLTVSGQLHLEVMSGAFTQVFTFGP
+TFRAENSQSRRHLAEFYMVEAEISFLESLQDLMQVMESLFKTTAMTVLSNCPKDVELCHK
+FLAPGQKDRLEHMLKNNFLIISYTEAVEILKQASQNFTFTPEWGADLHTEHEKYLVKHCG
+DIPVFVINYPLVLKPFYMRDNEDGPQHTVAAVDLLVPGVGELFGGSLREERYHLLEQRLA
+RLGLTEAYQWYLDLRRFGSVPHGGFGMGFERYLQCILGIDNIKDVIPFPRFTHSCLL
+>tr|A8NGN2|A8NGN2_BOVIN INTS4 protein OS=Bos taurus OX=9913 GN=INTS4 PE=2 SV=1
+MAAHLKKRVYEEFTKVVQQQQEEVATKKLRMTKPSKSAALHIDLCKATSPADALQYLLQF
+ARKPVEAESVEGVVRILLEHYYKENDPSVRLKIASLLGLLSKTAGFSPDCIMDDAINILQ
+NEKSHQVLAQLLDTLLAIGTKLPENQAIQMRLVDVACKHLTDTSHGVRNKCLQLLGNLGS
+LEKNVTKDAEGLAVRDVQKIIGDYFNDQDPRVRTAAIKAMLQLHERGLKLHQTIYNQACK
+LLSDDYEQVRSAAVQLIWVVSQLYPESIVPIPSSNEEIRLVDDAFGKICHMVSDGSWMVR
+VQAAKLLGSMEQVSSHFLEQTLDKKLMSDLRRKRTAHERAKELYSSGEFSSGRKWGDDAP
+KEEIDTGAVNLIESGACGAFVHGLEDEMYEVRIAAVEALCMLAQSSPSFAEKCLDFLVDM
+FNDEIEEVRLQSIHTMRKISNNITLREDQLDTVLAVLEMLEVSGKSAPNPGASLGA
+>tr|F1MGV3|F1MGV3_BOVIN Ring finger protein 145 OS=Bos taurus OX=9913 GN=RNF145 PE=4 SV=1
+MAAKEKLEAVLNVALRVPSIMLLDVLYRWDVSSFFQQIQRSSLNNNPLFQYKYLALNMHY
+VGYILSVVLLTLPRQHLVQLYLYFLTALLLYAGHQISRDYVRSELESAYEGPMYLEPLSM
+NRFTTALIGQLVVCTLCSCVMKTKQIWLFSAHMLPLLARLCLVPLETIVIINKFAMIFTG
+LEVLYFLGSNLLVPYNLAKSAYRELVQVVEVYGLLALGMSLWNQLVVPVLFMVFWLVLFA
+LQIYSYFSTRDQPASRERLLFLFLTSIAECCSTPYSLLGLVFTVSFVALGVLTLCKFYLQ
+GYRAFMNDPAMNRGMTEGVTLLILAVQTGLIELQVVHRAFLLSIILFIVVASILQSMLEI
+ADPIVLALGASRDKSLWKHFRAVSLCLFLLVFPAYMAYMICQFFHMDFWLLIIISSSILT
+SLQVLGTLFIYVLFMVEEFRKEPVENMDDVIYYVNGTYRLLEFLVALCVVAYGVSETIFG
+EWTVMGSMIIFIHSYYNVWLRAQLGWKSFLLRRDAVNKIKSLPIATKEQLEKHNDICAIC
+YQDMKSAVITPCSHFFHAGCLKKWLYVQETCPLCHCHLKNSSQLPGLGADPVPQPPAGAE
+QHALRGGTEAPGQELPQGPRAQEGSRDKDVPQPPAGAEQHALQGGTEAPGQELPQGPGAQ
+EGSRDKDEGIARRSDSQEGTCDPKENPPGAEDEALPVEVGLEEKQQE
+>tr|F1MCP8|F1MCP8_BOVIN Caprin family member 2 OS=Bos taurus OX=9913 GN=CAPRIN2 PE=4 SV=3
+MVQLSSSPFGYQSPSGHSEEGKQRTMKSAKPQVNHSQHGESQRAMSPLQSALSSAASPSQ
+AYETYIDNGLICLKHKIRNIEKKKLKLEDYKDRLKNGEHLNPDQLEAVEKYEEVLHNLEF
+AKELQKTFSGLSQDLLKAQKKAQRREHMLKLEAEKKKLRTILQVQYVLQNLMQEHVQKDF
+KGGLNGAVYLPLKELDYLIKFSKLTCPERNESLSVEDQMEQSSLYFWDLLEGSEKAVVGT
+TYKHMKDLLSKLLNSGYFESIPVPKHAKEKEVSLEEEMLIKSEKKKQLLKTESVKESESL
+MELAQPEIQPQEFLNRRYMTEVDYSSKQDEEQSWEADYARKPNLPKCWDMLTEPDGQEKK
+QETFKSWESSVKHQEVSKPVVSLEQRKQEIPKLRSTLQEEQKKQDVSKTKPTPGQWKQEA
+SKSKPGYIQEEQKKQETLKPWPVQPQKEQEPKKQTSKSWTPSVQSDQDITKSWTSPTCEE
+QDSRHPETPKSWENNVESQKHPLTPQSQISPKSWGVAAASLIPNDQLLPRKFNTESKDVP
+KPMHQPVGSSSTLPKDPVLRKEKLQDLMTQIQGTCNFMQESILDFDKPSSAIPSSQPPSA
+TPGSPVASTEQNLSNQSDFLQEPLQAASSPVTCSSNACLVTTDQASSGSETEFMTSETPE
+AAVSPSKQPSSLASPNPPMSKGSEQGFQSPPASSSSVTINTAPFQAMQTVFNVNAPLPPR
+KEQEIKESPYSPGYNQSFTTASTQTPPQCQLPAIHVEQTVLSQDTAASFPDGTIQVSNGS
+LAFYPAQTNVFPRPSQPFVNSRGSVRGCTRGGRLLTNSYRSPGGYKGFDNYRGPPSISNG
+NYSQLQFQAREYPGTPYSQRDNFQQCYKRGGTSAGPRANSRAGWSDSSQVSSPERDNETF
+NSGDSGQGDSRSMTPVDVPVTNPAATILPVHVYPLPQQMRVAFSAARTSNLAPGTLDQPI
+VFDLLLNNLGETFDLQLGRFNCPVNGTYVFIFHMLKLAVNVPLYVNLMKNEEVLVSAYAN
+DGAPDHETASNHAILQLFQGDQIWLRLHRGAIYGSSWKYSTFSGYLLYQD
+>tr|A2VDZ8|A2VDZ8_BOVIN RUN and SH3 domain containing 2 OS=Bos taurus OX=9913 GN=RUSC2 PE=2 SV=1
+MPLFELSRMDSPPKLTGETLIVHHIPLVHCQVPDRQCCGGGGGGSGSTRPNPFCPPELGI
+TQPDQDLGQADSLLYSSLQSAPGGSTRSADSAKSRGRDGRGPGAPKRHNPFLLQTGVAEP
+GLGDLYEDSISDSAAQQSFHLHRAGQPTFHLSSFQLPPPGPRVGRPWGAARSRAGVVEGQ
+EQEPVTILDAPHCSTGRCCRPEPEAETMELDECGGPGGSGSGGGASDTSGFSFDQEWKLS
+SDESPRNPRCSGSGTQHCRCSSTSSQSEAADQSMGYVSDSSCNSSDGVLVTFSTLYNKMH
+GNSRANLNSAPQSCSDSSFYSHSDPGAFYLDLQPSPAESKMSCESHHPDSGGREGGYGCP
+HASSPELDANCNSYRPHCEPCPAVADLTACFQSQARLVVATQNYYKLVTCDLSSQSSPSP
+AGSSVTSCSEEHTKISPAPGPGPDPGPSQPSEYYLFQKPEVQPEEQEAVGSEVEAEAPMG
+RTVIEGQVYTNTSPPNLSIGRQRSRSYDRSLERSPPVRLGSLERMLSCPVRLSEGPAAIT
+GPSSPPRRVTSFAELAKGRKKAAGSGSPPLRASTGDSSQEFSLIQEAQQDRVGPLDEGTH
+CSHSLPPMPLEPGMDLVGPEPWSTQVCQGSQSSEMPPAGLRAAGQGPLAQLMDPGPALPG
+SPANSHTQRDARAKADGGGAESRPVLRYSKEQRPTTLPIQPFVFQHHFPKQLAKARALHS
+LSQLYSLSGCSRAPQPAPAAAPTAQGPGPAPSGEPQAPTHRGARKARPEPETSRPSPLGS
+YSPIRSTGPFGPSTDSSASTSCSPLPEQATATESPPPWGLSCPPAVRPAVSQQPQKEDPK
+ILTLAEYRLHGTGSLPPLGSWRSSLGRAESLARGGGEGSMASRPSNANHLSPQALKWREY
+RRKNPLGPPGLSGSLDRRPQDARLARRNPIFEFPGSLGAAGHLNCRLNGQVVKPLPLTCP
+DFQDPFSLTEKPPAEFCLSPDGSSEAVSIDVLQKKGLVKAVNTAVDLIVAHFGTSRDPGV
+KAKLGNSSVSPNVGHLVLKYLCPAVQAVLEDGLKSFVLDVIIGQRKNTPWSVVEASTQLG
+PSTKVLHGLYNKVSQFPELTSHTMRFNAFILGLLNIRSLEFWFNHLYNHEDIIQTHYQPW
+GFLNAAHTVCPGLFEELLLLLQPLALLPFSLDLLFQHRLLQSGQQQRQHKELLRVSQDLL
+LSAHSTLQLARSRSQDGPGDVDRAAPGERVKGVGAPEGGEEEEEEEETEAAGSSGRGRWA
+RSGQAGWWYQLMQSSQVYIDGSSEGSRFPRGSSTGNSSEKKKGAGGVGPPPREGVVEGAE
+ACPAPEEALGRERGWPFWMGSPPDSVLAELRRSREREGSAAPTAENEEGASEPSPGGIKW
+GHLFGSRKVQREARPTNRLPSDWLSLDKSVFQLVAQTVGARREPEPKDSLQEPPSPGLPS
+KPPCEVKALCHHLATGPGQLSFRKGDTLRVLGPAGADWLRCSRGPDTGLVPLAYVTLTPT
+PSPSPGSSQN
+>tr|G3MZZ8|G3MZZ8_BOVIN FERM and PDZ domain containing 1 OS=Bos taurus OX=9913 GN=FRMPD1 PE=4 SV=1
+MEELETSLFQTRKAHRIEQMVARWLRRSRDSSARAKVATADGPPGVPTQTIIPVKYTVKI
+DKDTLLQDYGFHISESLPLTVVSVTAGGSAHGKLFPGDQILQMNDEPAEDLSCERAVNIL
+REAEDSLSITVVRCTSGVPKSSFLTEEKRARLKTNPVKVHFAEEVLVSGHSQGNSLLCMP
+NVLKLYLENGQTKAFKFEAHTTVKDIILTVKEKLSIRSTEYFALVLEEQYNVSRLHLLHE
+EELIQQVVEREESHDYRCLFRVCFVPRDPLDLLKEDPVAFEYLYLQSCSDVLQERFAVEM
+KCSSALRLAALHIQERIYACAQPQKISLKYIEKDWGIENFISPTLLRNMKGKDIKKAISF
+HMKRNQNLLEPRQKQLISAAQLRLNYLQILGELKTYGGKIFNATLMLQDRESYIALLVGA
+KYGISQIINSKLNIMSTLAEFANISRVELTEESEKVSMVKVYLQDVKVLTLLLESNSAKD
+LACLIAGYYRLFVDPVTSIFLWPGNKQQVHRVSAEEGYESRACSDSEESSEVDCVPEPFS
+DRCLPKLGPCRPLTEEEQPSGDSSMPAVAGKGPSTCGASSTTDSAESEASDSANTESRGC
+RTSGSSESMDALEEDDLDACSSSRSGFFHLGLPGFPESLDSDSQEERSRVETGGFLCLLD
+LAQRANPQSQKTEFSESPAPEAFSWGPELNALRLDPRLYEGSRIDYYSLCTNVSPASHLS
+NSSDSTATRQGGGTAALGQRGGSEAPSSSVLQALAPGLPLALEDGSSDEEYYDAADKLTP
+PEALSGLRAASAVETSAMGSQNEASPCSPEVSLNPGPDGREPNRRGGVKKYAKTLRKRRS
+FLQTDHTCQVSFPLVASASLESVDDVCYYNREPYLALGAPSPTVSSLQDVQGEPGVLETK
+ALGLLTPLRETKSKNPASRAMEMEPETMETKSVIDSRVSSISAIRLRIDPNHKENSGIAP
+LTSSVASSPANTPHGLNPGSSGPDTAQAGPPQTLSPFQDLNGSAPQELTMELGNSTLSLS
+SADVNPDRVCLTVSPGPHTIAQGDTLELGGVQLETGLGSLFINPMPETAPQDTEPLLSSQ
+DRPKSDDCGINSEETMASFPAKEEQQGQLSLEHREEHRENICREVTNKNDTSLFHDESGK
+DPGDPKVDGLDVLPQTTGVSAPARGMVASLFLETPVTGTEQTPPSSPTEPQGQSREIPGQ
+ACQAQEQKLSADLDFNSDFLLRDQTISSAFSLEEVKAEPSNHVTGEDTTPRDIPQHVSLN
+PGPALPEPLPCPQEEPHLEGSNHFPVPESEGKRPSICLPAEKSLLCFAPESYPKGSDSLR
+TAPSLGFAGVNETVAPRMGMEQCSCQFSYATCFPGLQPETEEEDRDLEACPTAPLTSPPS
+SGSRLALPWRPARAHSCSAELPSRNSHIWPEYCSRALRQLKAAPASIPEGFIQLIESLLE
+LQDILEASWGNGNKHPPEKCTWHFSESRSRLCMGSQKLLSSCQHVIRMDQTPEEMQSAVR
+DTFQHLVQLAGLCFQFTDCSRCSTRHREAAGNLRDVVYTYHQFVEAAKLTCERGYHDLSV
+KLLARQCTALTASVFCLTQKFRASTAL
+>tr|Q0VC26|Q0VC26_BOVIN SRY (Sex determining region Y)-box 18 OS=Bos taurus OX=9913 GN=SOX18 PE=2 SV=1
+MQRSPLGYGAQDDPPARRDCAWAPGPGAAAEPRGLPAVPVVPTAPAAPASPPSPPRSPPR
+SPEPGRYGLSPAGRGERQGTDESRIRRPMNAFMVWAKDERKRLAQQNPDLHNAVLSKMLG
+KAWKELSPAEKRPFVEEAERLRVQHLRDHPNYKYRPRRKKQARKARRLEPGLLLPGLAPP
+PPPPPPEPFPAATGPARVFRELPPLGAEFDGLGLPTPERSPLDGLEPGEAAFFPPPAAPE
+DCSLRAFRAPYGPAELPRNPGGCFGAPPAEALRTAPGPAAPLCGLYYSAPGAPGPGPYPG
+PLSPPPEAPPLESAEPLGPAADLWADVDLTEFDQYLNCSRTRPDAAGLPYHVALAKLAPR
+TMSCPEESSLIAALSDASSAVYYSACISG
+>tr|A5PJG8|A5PJG8_BOVIN KLRF1 protein OS=Bos taurus OX=9913 GN=KLRF1 PE=2 SV=1
+MQDEEIYMTLNTQLKKRSSIQTFQFKCQDCSVMSHRYKILLGISGGLNGILVLTLITLSL
+LVSQEVLPKCLKQYNSNITQHDDTGYLEVNSDTRGNIHKKDADHCVSRATGHKGMCSSEW
+LKYQEKCYWFSNEMKNWSDSYKYCLGEKSHLLIIQNQLELAFIQKTLKQSNYVWIGLNFT
+SLKRTWTWVDDSPLDSKIFFIKGPAQENSCAAVKENGIYSETCSSVFKWICQY
+>tr|Q3MHJ8|Q3MHJ8_BOVIN Stathmin OS=Bos taurus OX=9913 GN=STMN2 PE=2 SV=1
+MAKTAMAYKEKMKELSMLSLICSCFYPEPRNINIYTYDDMEVKQINKRASGQAFELILKP
+PSPISEAPRTLASPKKKDLSLEEIQKKLEAAEERRKSQEAQVLKQLAEKREHEREVLQKA
+LEENNNFSKMAEEKLILKMEQIKENREANLAAIIERLQEKERHAAEVRRNKELQVELSG
+>tr|A4IFP2|A4IFP2_BOVIN KRT4 protein OS=Bos taurus OX=9913 GN=KRT4 PE=2 SV=1
+MIARQQYVRGGPRGFSCGSAIVGGVKKAAFSSASMSGGAGRCSSGGFGSRSLYNLGGNKS
+ISISMAGCRQGAGFGAAGGFGAAGGFGSGFGAGGFGSGFGGSFGGRGGAGFPVCPAGGIQ
+EVTINQSLLTPLHVEIDPEIQKVRTEEREQIKLLNNKFASFIDKVRFLEQQNKVLETKWK
+LLQQQTTTTSVKNLEPFFEAYINALRKQVDSLANDKGRLQSELKIMQDSVEDYKTKYEDE
+INKRAAAENDFVVLKKDVDAAYMNKVELEAKVDALNDEINFLRVLYAAELSQMQTQVSDT
+SVVLSMDNNRNLDLDSIIAEVRAQYEEIAQRSKAEAEALYQIKVQQLQTSVDQHGDSLRN
+TKNEISELNRLIQRLRAEIENVKKQCQTLQGSVADAEQRGEVALKDAYSKRTELEAALQK
+AKEELARVLREYQELMSVKLALDIEIATYRKLLEGEECRMSGECQSAVSISVVGGAASAG
+GLGGALGGSSGFGLGSGSCAVGLGGGLGGSSGFGLGSGSGSGFGFGGGIGGSSSGKIIST
+TTVSKKSFR
+>tr|F1MNI3|F1MNI3_BOVIN Zinc finger protein 507 OS=Bos taurus OX=9913 GN=ZNF507 PE=4 SV=3
+MEEGSSVAMLVSDIGEQEAMLTAKTVISSSLEIDEQRKAKTDPLIHVIQKLSKIVEHEKS
+QKCLLIGKKRPRSSAAAHSLLESQESCEIPAKVTQSPATDIRRAEMSQIHFTPDSPAQSD
+GKAMSYQCSLCKFLSSSFSVLKDHIKQHGQQNEVILMCAECHITSKSQEELEAHVVNDHE
+NDANSHTQSKAQQCISPTNPLCHQTSERKNETIPDIPASVDNLQTHTVQTASVAEIGKRK
+WYAYEQYGMYRCLFCSYTCGQQRMLKTHAWKHAGEVDCSYPIFENENEPLGLLDSSVAAA
+PSGVHAVVIAIGDNELSIHNGPSVQVQICSSEPLSSSSPLEQSAEGGVHLSQSVTLDPNE
+EEMLEVISDAEESLVGDSLLSSAQKIISSSPNKKGHVNVIVERLPSAEETLSQKHFLMNA
+EIEEGKSLSPAEAKLVCEGRGEVYHADKCTVDIGGLIIGWGNSEKKDSELINKGLATDEN
+APPGRRRTNSESLRLHSLAAEALVTMPIRAAELTRTNLGHYGNINLLDPDTGQRQVDGAV
+AAYSKMMSPLKNSPEGLASFNQSNSTLVALPEGRQELADGQVKTGISMSLLTVIEKLRER
+TDQNASDDDILKELQDNAQCQPNSDASLSGSSVVEYIPNAERPYRCRLCHYSSGNKGYIK
+QHLRVHRQRQPYQCPICEHIADNSKDLESHMINHCKTRIYQCKQCEDSFHYKSQLRNHER
+EQHSLPDTLSIATSNESRISSDKTDGKCVQEGNKSSVQKQYRCDVCDYTSTTYVGVRNHR
+RIHNSDKPYRCSLCGYVCSHPPSLKSHMWKHASDQNYNYEQVNKAINDAISQSGRIRGKS
+SGKMVLNSNEERADSLTSGSSENLVSSSELIPQAPNEVRGPNENEKLSPASNTSKNSSLA
+PPGVEYCVLLFCCCICGFESTSKENLLDHMKEHEGEIVNIILNKDHNTTLNTN
+>tr|A0A3Q1LNT9|A0A3Q1LNT9_BOVIN MAGE domain-containing protein OS=Bos taurus OX=9913 GN=LOC112445597 PE=4 SV=1
+MPVVPTNELCTSEEDLQGQIQAEGPVEAQLLGAEAEDASTPLASFPPVSSSSAAGDAEIL
+LMQALNRMTCELLEFLILKYGTQEPIFQAEMLNTVLRDNQAHFPVVFRKATQYMQLAFGL
+DMKEVDHREHIYVMVPVLGLTLNEMQRDEQSIPKAGLLVTVLSLILLAGDRISEKKVWGT
+LSKMGVFSGIEHCIYGEPKELLTQVWVRAGYLQYRQVPYSHPARYEFLWGPRAYAETSKQ
+KVKDYLRKVKGRGPRFFPPRCA
+>tr|A7MBD5|A7MBD5_BOVIN PIK3R6 protein OS=Bos taurus OX=9913 GN=PIK3R6 PE=2 SV=1
+MESSDVELDLQRSVQAVLRELSAQAPALQSNRGMWRWSLHKKVERDPGKSPMLVRILLRE
+LEKAESEDARHIIIPLLHTLMYVLTKATGITEELHRRTYAFCTRLLTLPAPYCTIALDCA
+IRLKTETAVPGTLYQRLVIAEQNLTSELYPYRESALLLEIEAASGQQTPEACMRHVVSHA
+LQAALGEACHAGALQRKLLASSRPALEGYFHAVVTAVEQMAREPSPSREGHLERLEEIYC
+SLLGPAAAARGRCGGDSLQDEPLSVPLPSPRITFHLWTDEEQLWKELVLFLRPQTQLRLS
+ADLEALDLQGLLPDWELARASMLSTDSGIERDLPLGADELLAPGSPEAERAGLQRKGGIK
+KRVWPADIVMPGSWDGPPGLHRRTGRPSGDGELLPGVSRLHTARVLVLGDDRMLGRLARA
+YRNLRKRETQRFCLTPRLSLQLYYIPLLAPETSAASRRSELGELAAFLGRADPWYEGIVN
+SLCPTIHKLAEMPLSLDTSQTVDPFILDAITYYVRMGTQPVYFQIYTVKIFSGDLSQDPA
+EDIFLTELKVKIQDSKFPKDSFSPRRRGVAEGPGAELSICYQKALLSHRTREVTVSLRAT
+GLVLKTLPASDTEVSGPTLCTPTAAPDTDHMCLKVSVTEIVKTSNLAGRSFSVVTNTFRT
+ANIQIQSQDRRLLTLLLDKDSCRTYKDVVRFEVAPCPEPCSRTQKSKVLGLSSHQQEMER
+NKAKAKPLLIPINTFSGIVQ
+>tr|Q0VC27|Q0VC27_BOVIN High mobility group AT-hook 1 OS=Bos taurus OX=9913 GN=HMGA1 PE=2 SV=1
+MSESSSKSSQPLASKQEKDGAEKRGRGRPRKQPPKEPSEVPTPKRPRGRPKGSKNKGAAK
+TRKTTTTPGRKPRGRPKKLEKEEEEGISQESSEEEQ
+>tr|A4IFM4|A4IFM4_BOVIN Claudin OS=Bos taurus OX=9913 GN=CLDN8 PE=2 SV=1
+MATYALQIAGLVLGGVGMVGTVAATVMPQWRVSAFIESNIVVFENLWEGLWMSCMRHANI
+RMQCKIYDSLLALSPDLQAARGLMCAASVLAFLAFLTAVLGMKCTRCAGDDDKVKGHILL
+TAGVIFIITGLVVLIPVSWVANSIIRDFYNPIVDIAQKRELGEALYIGWTTALVLIVGGA
+LFCCVSCCHEKSSSYRYSIPSHRTTQKSYHAEKKSPSVYSKSQYV
+>tr|A5PJJ9|A5PJJ9_BOVIN CDK3 protein OS=Bos taurus OX=9913 GN=CDK3 PE=2 SV=1
+MDMFQKVEKIGEGTYGVVYKARNKETGQLVALKKIRLDLETEGVPSTAIREISLLKELKH
+PNIVRLLDVVHSEKKLYLVFEFLSQDLKKYMDSTPASELPLHLVKRYLFQLLQGVNFCHT
+HRVIHRDLKPQNLLISELGTIKLADFGLARAFGVPLRTYTHEVVTLWYRAPEILLGCKFY
+STAVDIWSIGCIFAEMVTRRALFPGDSEIDQLFRIFRTLGTPSEAMWPGVTQLPDYKGSF
+PKWTSKGLEEVVPNLEPEGQDLLLQLLQYDPSRRISAKAALAHPYFSSTETSSAPHQCML
+ERFCC
+>tr|Q1RMQ8|Q1RMQ8_BOVIN Nuclear receptor coactivator 4 OS=Bos taurus OX=9913 GN=NCOA4 PE=2 SV=1
+MSTSQDQSGCSTSREPLLRCCDARRDLELAIGGVLRAEQQIKDNLREVKAQIHSCISRHL
+ECLRSREVWLYEQVDLIYQLKEETLQQQVQQLYWLLGQFNCLIHQLECTQNKDLANQVSA
+CLERLGSLTLKPEDSTALLFEADITALRQAITTFGSLKTIQIPEHLMAHASSSNIGSFLE
+KRGYIPLPEQKSASSTTAAPLSEWLLGSKPAVGHQAPYLPSTNLQDWLNHKQTLENSQTS
+ARACSFLNKVWGNLKGLENWLHKSQQQEVSEKPSFQKSNSYSTTSSYSMEIEKVGDLEPL
+DQDEMDLSDWLVTPQESHKLEKPENGGCETTSEKFKLLFQVFHESYNVNDWLVKSDSCTY
+CQGNQPKGVEIENLGNLKCLNDHLEAKKPLSTPSLTTEDWLVQNHQDPYKVEEVCKANEP
+CTSFAECVCDENCEKEALCKWLLKKEGKDKNGMPVDPKPEPGKHKDSLNTWLSPSGREAA
+EQARAPQATAAGVADSFQVIRSSPLSEWLMTPSHKEGCPNKEAPLTEDRAGKQKLTSPLA
+TAWCPFNTADWVLPAKKTGNLSQLSSGEDKWLLRKKAKGVLLDSPLQEEHSFPPDRYGLP
+AVCDLFACMQLKVDKEKWLYRTPLQM
+>tr|A7Z029|A7Z029_BOVIN Phospholipid-transporting ATPase OS=Bos taurus OX=9913 GN=ATP10D PE=2 SV=1
+MTELLQWARYHWQRPIGRTNTDDDERPYSYSSLLACGGKSSQTPRLAGKHRVVVPHLQLF
+KEEYEKFSGTYVNNRIRTTKYTLLNFVPRNLFEQFHRVANLYFLFLVVLNWVPLVEAFQK
+EITMLPLVVVLTIIAIKDGLEDYRKYKIDKQINNLVTKVYSRKEKKYVDRCWKDVTVGDF
+IHLSCNEVIPADMVLLFSTDPDGICHIETSGLDGESNLKQKQVVRRYAEQDSEVDPEKFS
+SRIECESPNNDLNRFRGFLEHSNKERVGLSKENLLLRGCTIRNTEAVMGIVVYAGHETKA
+MLNNSGPRYKRSKLERRANTDVLWCVLLLVVMCLTGALGHGIWLSRYENIPFFNIPEPDG
+HSLSPVLAGFYMFWTMIILLQVLIPISLYVSIEIVKLGQVYFIQSDVDFYNEKMGSTVQC
+RALNITEDLGQIQYLFSDKTGTLTENKMVFRRCSVAGFDYCHEENAKRLESYQEAASEDE
+DSADTPSGSLSNMAKPRALSCRTVHSRPLGSISSNHLTGSCFALESREGASEVPHSRQAA
+FSSPIETDVVPDTRLLDKFSQITPLLFTPTDETIPDPPLETLYIIDFFIALAICNTVVVS
+APNQPRQKVGLSSLRGIPIKSLEEIKNLFQRLSVRRSSSPSLASGKEPSGVPNAFVSRLS
+LFNRMKPASPVEEEISQTSESLQGSDDSACPTEIEKQNSDAGIANGKVEALPGQPLTSSL
+CYEAESPDEAALVYAARAYQCTLQSRTPEQVVVDFAALGPLTFQLLHILPFDSVRKRMSV
+VVRHPLSNQVVVYTKGADSAIMELLSVASPDGPGLEKQQMMIREKTQKHLDDYAKRGLRT
+LCIAKRVMSDTEYAEWLRNHFLAETSIDNREELLLESAMRLENKLTLLGATGIEDRLQEG
+VPEAIEALHKAGIKIWMLTGDKQETAVNIAYACKLLEPNDKLFVLNTESKNACEMLMDTI
+LKELQKNPASPEQASLSASLHQPPPTLQGSGLRAALIITGKTLEFALQESLQKQFLELTA
+RCQAVVCCRATPLQKSEVVKLVRSHLRVMTLAIGDGANDVSMIQVADIGIGISGQEGMQA
+VMASDFAVSQFRHLSKLLLVHGHWCYTRLSNMILYFFYKNVAYVNLLFWYQFFCGFSGTS
+MTDYWVLIFFNLLFTSAPPVIYGVLEKDVSAETLMQLPELYRSGQRSEAYLPLTFWITLL
+DAFYQSLVCFFVPYYTYQGSDIDIFTFGNPLNTAALFVILLHLVIESKSLTWIHMLVIAG
+SILCYFCFALAFGAMCVTCNPPSNPYWIMQEHMADPVFYLVCVLTTCVALLPRFIYRVLQ
+GSLFPSPILRAKHLDRLPAEERIEALKKWRGTGQMDQLTSKYADHSAVESERIPIYDPSA
+VFAVMSATACAVEKGNLPVCETALDSDFSETKASGMAGPSKD
+>tr|A5PKG4|A5PKG4_BOVIN NFS1 protein OS=Bos taurus OX=9913 GN=NFS1 PE=2 SV=1
+MLLRTAWRRVATAAPAAPGPRAKPPIRGLRLRGIDLTPQSAVASDAAIALEAESVLRPLY
+MDVQATTPLDPRVLDAMLPYLVNYYGNPHSRTHAYGWESEAAMECARQQVASLIGADPRE
+IIFTSGATESNNIAIKGVARFYRSRKKHLITTQTEHKCVLDSCRSLEAEGFKVTYLPVKK
+SGIIDLKELEAAIQPDTSLVSVMTVNNEIGVKQPIKEIGQICSSRKVYFHTDAAQAVGKI
+PLDVNDMKIDLMSISGHKIYGPKGVGAIYIRRRPRVRVEALQSGGGQERGMRSGTVPTPL
+VVGLGAACEVAQQEMEYDHKRISKLAERLIQKIMKSLPDVVMNGDPEHHYPGCINLSFAY
+VEGESLLMALKDVALSSGSACTSASLEPSYVLRAIGTDEDLAHSSIRFGIGRFTTEEEVD
+YTVEKCIHHVKRLREMSPLWEMVQDGIDLKSIKWTQH
+>tr|A7E323|A7E323_BOVIN EXOSC10 protein OS=Bos taurus OX=9913 GN=EXOSC10 PE=2 SV=1
+MAPPSARESKAQSATTAAKSDGEMVLPGFPDADSFVKFALGAVVAVTKASGGLPQFGDEY
+DFYRSFPGFQAFCETQGDRLLQCMSRVMQYHGCRSNIKDRSKVTELEDKFDLLVDTNDVI
+LERVGILLDEASGVNKNQQPVLPAGLQVPQTIVSSWNRKAGEYSKKTKSETFRLLHAKNI
+IRPQLKFREKIDNSNTPFLPKIFIKPNAQKPLPQALSKERRERPQDRPEDLDVPPALADL
+IHQQRTQQVEQDMFAHPYQYELDHFTPPDSVLQKPEPQLYRPVGETPCHFVSTLDELVEL
+NEKLLKCQEFAVDLEHHSYRSFLGLTCLMQISTRTEDFIIDTLELRSDMYILNESLTDPA
+IVKVFHGADSDIEWLQKDFGLYVVNMFDTHQAARLLNLGRHSLDHLLKLYCSVESNKQYQ
+LADWRIRPLPEEMLSYARDDTHYLLYIYDKMRLELWERGNEQPTQLQVVWQRSRDICLKK
+FIKPIFTDESYLELYRKQKKHLNTQQLTAFQLLFAWRDKTARREDESYGYVLPNHMMLKI
+AEELPKEPQGIIACCNPVPPLVRQQINEMHLLIQQAREMPLLKSEVAAGVRKSGPLPNPE
+RLENVLFGPHDCSHTPSDGYPLVPTDGPVPMQKQEGLLSAQEDEETLPDIRCLVATAVVT
+LFNEPSAEGNEKSPLTVAQKKAQNIMESFENPFRMVSTGYIC
+>tr|Q3T154|Q3T154_BOVIN Phospholipase A(2) OS=Bos taurus OX=9913 GN=PLA2G2D4 PE=2 SV=1
+MGLSLLCALLVFAGVAPAEADILDLNEMVRQVTGKIPIFFYSHYGCYCRKGGQGQPRDAT
+DRCCREHDCCYRHLKSDNCDISFDHYDYTFFQGKVQCSTKGSWCEQQLCACDKTLAFCLQ
+RNLNTYKNHLRRLSRCEGETLACPPSS
+>tr|F1MYK6|F1MYK6_BOVIN SR-related CTD associated factor 8 OS=Bos taurus OX=9913 GN=SCAF8 PE=4 SV=3
+MDTRSILYSLNDYKPPISKAKMTQITKAAIKAIKFYKHVVQSVEKFIQKCKPEYKVPGLY
+VIDSIVRQSRHQFGQEKDVFAPRFSNNIISTFQNLYRCPGDDKSKIVRVLNLWQKNNVFK
+SEIIQPLLDMAAGIPPPVVTPVLASTTAAMSNTPGTPVTPVTPANVVQGLPDPWVSQITN
+TDTLAAVAQILQSPQGQQLQQLIQTLQIQQQKPQPSILQALDAGLVVQLQALTAQLTAAA
+AAANTLNPLEQGVSFNKKLMDRFDFGEDSEHSEEPKKEIPASQLSHVSESVNNSIFHQIA
+EQLQQQNLEHLRQQLLEQQQPQKATPQDSQEGTFGSEHSASPSQGSSQQHFLEPEANLDD
+SIDIQQQDMDIDEVQDAVEEEIFEQEAKKVAVRSRSRTHSRSRSRSPRKRRSRSRSGSRK
+RKHRKRSRSRSRERKRKSSRSYSSERRAREREKERQKKGLPPIRSKTLSVCSTTLWVGQV
+DKKATQQDLTNLFEEFGQIESINMIPPRGCAYVCMVHRQDAFRALQKLSSGSYKIGSKVI
+KIAWALNKGVKTEYKQFWDVDLGVTYIPWEKVKVDDLEGFAEGGMIDQETVNTEWETVKS
+SEPVKETVQTTQSPPPAEKETVVTTQAEVFPPPVAMLQIPVAPAVPAVNLVPPTFPVSMP
+VPPPGFSPIPPPPFLRASFNPSQPPPGFMPPPVPPPVVPPPTIPPVVPTSLVQPPLSMTP
+ETVKDVGFGSLVLPGGSVASSLATATLPAGNVFNPPTKQAEPEEKVPHLIDHQISSAENT
+RSVIPNDISSGPAILGQPPNVTSNSGILGVQRPNVSSNNEILGVRPSNVSSSSGIIGPQP
+PNILNNSGILGLQPPNVSSSSGLLGVLPPNMPNNSGLIGVQPPGIPSTSGLLGTQPPAGP
+QNLPPLNLPNQRMPAMPMLDIRPGLIPQTPGPRFPLIQPGIPPQRGLPPPAVLDSALHPP
+PRGPFPPGDIFSPPERPFLVPGRQNVDNVPNPEKRIPLGNDNIQQEGDRDYRFPPIEPRE
+AISRPPPVDVRDVVGRPVDPREGPGRPPLDARDHFGRPPVDIRENLVRPGIDHLGRRDHF
+GFNPEKPWGPRDFDEREHRVLPVYGGPKGLHEERGRFRSGNYRFDPRSGPWNRGFGQEVH
+RDFDDRRRPWERQRDRDDRDFDFCREMNGNRLGRDRIQNTWIPPPHARVFEFFEGATSQR
+KGDNVPQVNGENTERHAQPPPLPAQSDPELYEKLASSSEVNKKSDTVADVESEPVVESTE
+TEGT
+>tr|A1A4P2|A1A4P2_BOVIN Interferon induced transmembrane protein 5 OS=Bos taurus OX=9913 GN=IFITM5 PE=2 SV=1
+MDTSYPREDPRAPTPRKADGNAHTALTLGAPRPPPRDHLIWSVFSTLYLNLCCLGFLALA
+YSIKARDQKVAGDLEAARRLGSKAKCYNILATMWALVPPVLLLVLLVTGALHLSRLAKGS
+AAFFSTKFDDADYD
+>tr|A7MBI8|A7MBI8_BOVIN NUDT9 protein OS=Bos taurus OX=9913 GN=NUDT9 PE=2 SV=1
+MAGGFLGKTLAAVSLPVALASVTVKSLGSCSVAACRNSLSSCRFHVNSNIMSGFNGTKEN
+SHNKARTSPYPGSKVQRSQVPNDKVDWLVEWHDYNPVEYTAVSVLAGPQWADPQITESNF
+SPKFNEKDGQVERKSQNGLYEVKNGRPRNPAGRTGLVGRGLLGRWGPNHAADPIITRWKR
+DRSGNKITHPISGKNILQFVAIKRKDCGEWAIPGGMVDPGEKISATLKREFGEEALNSLQ
+KSSAEKRELQEKLQKLFNQEHLVIYKGYVDDPRNTDNAWMETEAVNYHDETGEIMDNLTL
+EAGDDAGKVKWMDISDKLKLYASHAQFIKSVAEKRDAHWSKDSETGCPG
+>tr|Q3T185|Q3T185_BOVIN Derlin OS=Bos taurus OX=9913 GN=DERL2 PE=2 SV=1
+MLVYVWSRRNPYVRMNFFGLLNFQAPFLPWVLMGFSLLLGNSIIVDLLGIAVGHIYFFLE
+DVFPNQPGGIRILKTPSILKAIFDTPDEDPNYNPLPEERPGGFAWGEGQRLGG
+>tr|Q24K14|Q24K14_BOVIN Dehydrogenase/reductase (SDR family) member 7 OS=Bos taurus OX=9913 GN=DHRS7 PE=1 SV=1
+MSWELLLWLLALCALLALVVQLLRFLRADADLTLLWAEWQGRRPEWELTDMVVWVTGASS
+GIGEELAYQLSKLGVSLVLSARRMHELERVKRKCLENGNLKEKDILILPLDLTDRSSHEM
+ATKAVLQEFGRIDILVNNGGVSQRALCVDTSLDVFKELIELNYLGTVSLTKCVLPHMIER
+KQGKIVTVNSMVGIIAAPLSTGYCASKHALRGFFNTLRSELATYPGITISNICPGPVQSN
+IVKNALTEEVTKPTGSTADQSYKMATSRCVRLMLVAMANDLKEVWISDQPFLLMIYLWQY
+MPTLAWWLTNKAAKRRIENFKSGVDADSSYFRSVKKKHD
+>tr|A4FV63|A4FV63_BOVIN MGC143285 protein OS=Bos taurus OX=9913 GN=NKAPD1 PE=2 SV=1
+MWKIRELEKQMDDAYRGTKRRMLPSSSSRMRSDGFDEESQRDYWRPKNEICGALDDGFLK
+AKSWNKKLYDYEANMPDRWGHSGYKELYPEEFETDSSDQQDITNGKKTSPQVKSSTHESH
+KHKKSKKSHKKKQKKKSHKKQKKSKKEATDITADSSSEFSEETGASSTRKRKQPHKRKKK
+SRKKSLKKSPLLEAESDTSPSDDSASSSSEESEERDTKKTKRKKREKKAHIPVVNNEIQE
+RTNKRTNWKVATDERSAESSEDD
+>tr|Q1JQC8|Q1JQC8_BOVIN Chromosome 11 C9orf78 homolog OS=Bos taurus OX=9913 GN=C11H9orf78 PE=2 SV=1
+MPVTGKTFRRRRADSESEEDEQDSEEVRLKLEETREVQNLRKRPNGMKTGGMVDMKKLKE
+RGKDKISEEEDLHLGTSFSAETNRRDEDADMMKYIETELKKRKGIVEHEEQKVKPKNAED
+CLYELPENIRVSSAKKTEEMLSNQMLSGIPEVDLGIDAKIKNIISTEDAKARLLAEQQNK
+KKDSETSFVPTNMAVNYVQHNRFYHEELNAPIRRNKEEPKARPLRVGDTEKPEPERSPPN
+RKRPANEKATDDYHYEKFKKMNRRY
+>tr|Q2KHW1|Q2KHW1_BOVIN Progestin and adipoQ receptor family member 6 OS=Bos taurus OX=9913 GN=PAQR6 PE=2 SV=1
+MLSLKLPQLLRVHQVPRVFWEDGIMSGYRRPTSSALDCVLSSFQMTNETVNIWTHFLPTW
+>tr|Q3SZ46|Q3SZ46_BOVIN Nucleolar protein 7 OS=Bos taurus OX=9913 GN=NOL7 PE=2 SV=1
+MVQLRPRASRAPASASAMVDEGQPASEEEAGHGLLLGQPSSGAAAEPLEEDEEGDDELDD
+EAPEELTFASAQAEAKEEERRVRETVRRDKALLKEKRKRREELFIEQKKRKLLPDTILEK
+LTTASQTSVKKSPGKLKEVNLQKKNEEREKGSDSKKAKVQKVQTVGQNKSYMAVRLKDQD
+LRDSRQEAAKAFIQNCLYGPGTNRTTVNKFLSLENKRLPMKKAAAQFLNNTWGSQRKQNA
+KRFTKRWMVRKMKTPKT
+>tr|K7DXW4|K7DXW4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC538688 PE=3 SV=2
+MGKITFYEDRGFQGRHYECSSDHSNLQPYFSRCNSIRVDSGCWMIYEQPNFQGPQYFLRR
+GDYPDYQQWMGLNDSIRSCRLIPHTSSHRLRIYEREDYRGQMVEITEDCSSLHERFHFSE
+IHSFNVLEGWWVLYEMPNYRGRQYLLRPGDYRRYHEWGAVDARVGSLRRAVDFY
+>tr|Q29RR2|Q29RR2_BOVIN ASPH protein OS=Bos taurus OX=9913 GN=ASPH PE=2 SV=1
+MAPRKNAKGGGGNSSSSSSGSPTGCTSGGSSSPGARRETKQGGLKNGRKGGLSGSSFFTW
+FMVIALLGVWTSVAVVWFDLVDYEEVLAKAKDFRYNLSEVLQGKLGIYDADGDGDFDVDD
+AKVLLGLTKDGSNENIDSLEEVLNILAEESSDWFYGFLSFLYDIMTPFEMLEEEEEESET
+ADGVDGTSQNEGVQGKTCVILDLHNQ
+>tr|A6QQV1|A6QQV1_BOVIN Chromosome X CXorf58 homolog OS=Bos taurus OX=9913 GN=CXHXorf58 PE=2 SV=1
+MSHSLKVPVTGVPKSDNPHLGKGHKVHLSNTVKTKEKQQNKDVSAHIIQKAWLSHLDKTV
+FQLLKHTICAAEHHVTHEILKKVSPLEAELAKDPCMKCKVRFRFSGEIFPPFIVFKIFLH
+TEGHGYKYFSGKKFLKPSSEAEADAYKIMGRKKFYHQIKEDERLFQKFKITDDIDVVTLK
+DYMQEPKMDIPGAKQKETVVFSAPSFDIVKVKELSDDELEKEEKELFAWCQDLFINNSP
+>tr|Q2NKS8|Q2NKS8_BOVIN Peptidylprolyl isomerase OS=Bos taurus OX=9913 GN=LOC526524 PE=2 SV=1
+MGVQVETISPGDGRTFPKHGQTCVVHYTGTLEDGKKFDSSRDRNKPFKFVLGKKQVIRGW
+EEGIAQMSIGQRAKLTVSPDYAYGSRGHPGIIPPNATLIFDVELLKLE
+>tr|Q1RMW0|Q1RMW0_BOVIN Phospholipid scramblase OS=Bos taurus OX=9913 GN=PLSCR3 PE=2 SV=1
+MAGYLPPKGYAPSPPPPYPVTAGYPEPALHPGPGPGQVSGPGQAPVPVHVPAPAPGFALF
+PSPGPGAPGPAAPFLPLPGVPSGLEFLVQIDQILIHQKAEPVETVLGWETCNRYELRSGA
+GQPLGQAAEESNCCARLCCGARRPLRVRLVDPGDREVLRLLRPLHCGCSCCPCGLQEMEV
+QAPPGTTIGHVLQTWHPFIPKFSIQDADRQTLLRVVGPCWTCGCGTDTNFEVKTPDESRS
+VGRISKQWGGLLREALTDTDDFGLQFPLDLDVRVKAVLLGATFLIDYMFFEKRGGAGPSA
+ITS
+>tr|A1L578|A1L578_BOVIN Caveolae associated protein 1 OS=Bos taurus OX=9913 GN=CAVIN1 PE=1 SV=1
+MEDTQLHIIEQPLSGYPDAGDQGSSTMGAPAAEEPSGAGSEELIKSDQVNGVLVLSLLDK
+IIGAVDQIQLTQAQLEERQAEMEGAVQSIQGELSKLGKAHATTSNTVSKLLEKVRKVSVN
+VKTVRGSLERQAGQIKKLEVNEAELLRRRNFKVMIYQPKKKLNAPSDRATPKIVPFDLLP
+ETEPFDMKPETVPDLPPFDQTPRTLPYYPEPTGPLPTPEEPTAGGGA
+>tr|A6QNL2|A6QNL2_BOVIN Non-specific serine/threonine protein kinase OS=Bos taurus OX=9913 GN=MARK2 PE=2 SV=1
+MLRGRNSATSADEQPHIGNYRLLKTIGKGNFAKVKLARHILTGKEVAVKIIDKTQLNSSS
+LQKLFREVRIMKVLNHPNIVKLFEVIETEKTLYLVMEYASGGEVFDYLVAHGRMKEKEAR
+AKFRQIVSAVQYCHQKFIVHRDLKAENLLLDADMNIKIADFGFSNEFTFGNKLDTFCGSP
+PYAAPELFQGKKYDGPEVDVWSLGVILYTLVSGSLPFDGQNLKELRERVLRGKYRIPFYM
+STDCENLLKKFLILNPSKRGTLEQIMKDRWMNVGHEDDELKPYVEPLPDYKDPRRTELMV
+SMGYTREEIQDSLVSQRYNEVMATYLLLGYKSSELEGDTITLKPRPSADLANSSAPSPSH
+KVQRSVSANPKQRRFSDQAGPAIPTSNSYSKKTQSSNAENKRPEEDRESGRKASSTAKVP
+ASPLPGLERKKTTPTPSTNSVLSTSTNRSRNSPLLERASLGQASIQNGKDSTAPQRVPVA
+SPSAHNISSSGGAPDRTNFPRGVSSRSTFHAGQLRQVRDQQNLPYGVTPASPSGNSQGRR
+GASGSIFSKFTSKFVRRNLNEPESKDRLETHRPHVVGSGGNDKEKEDFREAKPRSLRFTW
+SMKTTSSMEPNEMMREIRKVLDANSCQSELHEKYMLLCMHGTPGHESFVQWEMEVCKLPR
+LSLNGVRFKRISGTSMAFKNIASKIANELKL
+>tr|B3STU9|B3STU9_BOVIN Chromodomain Y like OS=Bos taurus OX=9913 GN=CDYL PE=2 SV=1
+MDALTANGTTTLQTSVTGVTAGKRKFIDDRRDQPFDKRLRFSVRQTESAYRYRDIVVRKQ
+DGFTHILLSTKSSENNSLNPEVMKELQSALSTAAADDSKLVLLSAVGSVFCCGLDFIYFI
+RRLTDDRKRESARMAEAIRNFVNTFIQFKKPIIVAVNGPAIGLGASILPLCDVVWANEKA
+WFQTPYTTFGQSPDGCSTVMFPKIMGGASANEMLLSGRKLTAQEACGKGLVSQVFWPGTF
+TQEVMVRIKELASCNPVVLEESKALVRCNMRLELEQANERECEVLKKIWGSAQGMDSMLK
+YLQRKIDEF
+>tr|E1BJ30|E1BJ30_BOVIN PR/SET domain 1 OS=Bos taurus OX=9913 GN=PRDM1 PE=4 SV=1
+MLDICLEKRVGTTLAAPKCSSSAVKVPGLAEGTEGTMKMDMEDADMTLWTEAEFEEKCTY
+IVNDHPWDSGVEGGTSVQAEASLPRNLLFKYATNSKEITGVVSKEYIPKGTRFGPLIGEI
+YTSDAVPKNANRKYFWRIYSRGELHHFIDGFNEEKSNWLRYVNPAHTAREQNLAACQNGM
+NIYFYTIKPIPANQELLVWYCRDFAERLHYPYSGELTMMNLTQTQSRPKQQSTEKHELCP
+KSVPKREYSVKEILKLDSHPSKGKDLYRSNISPLTSEKDAEDFRKNGSPEMPFYPRVVYP
+IRAPLPEDFLKAPLGCYGMERPPYITHSPISSSTTPSPSARSSPDRSLQSCSPHSSPGNT
+VSPRAPGPQEARDSYAYLNAPYGAAAEGLGSLAGYGPPTHLPPAFIPSYNAHYPKFLLPP
+YGMNCNGLGAAVSNINGLNSFGLFPRLYPVYSSLLGGGGLPPPVLASASLPSSLPSEGAR
+RLLQPEHPREVLVPAPHSAFSLPGAAASLKDKACTPTSGSPTAGTAATAEHVVQPKATSA
+AAAAPGGEEAVNLIKNKRNMTGYKTLPYPLKKQNGKIKYECNVCAKTFGQLSNLKVHLRV
+HSGERPFKCQTCNKGFTQLAHLQKHYLVHTGEKPHECQVCHKRFSSTSNLKTHLRLHSGE
+KPYQCKVCPAKFTQFVHLKLHKRLHTRERPHKCAHCHKSYIHFCSLKVHLKGHCPVAPAT
+GLPLEDLARINEEIEKFDISDNADRLEDMEDNIDMTSVVENEILAVVRKEKEETGLKASL
+QRNLGNGLLSGYNLYESTEPSLLKLPHSNPLPLGPVKVKEETVEPMDP
+>tr|U3Q4C5|U3Q4C5_BOVIN Erythrocyte membrane protein band 4.1 like 2 OS=Bos taurus OX=9913 GN=EPB41L2 PE=2 SV=1
+MTTEVGSASEVKKESDQLGADATKEKPKEVAENQQNQTSDPEEEKGSQSSPPAESQSSPR
+RQKKEKDPSESRGISRFIPPWLKKQKSYTLVAAKDGGDKKEPAQPVVGEQVLDKEESLPE
+EERRAKGDAEETAQRKQQEIKVDVKEEKPLQLVEEVSKEREEEKVKEIQEAEFMDEAAKR
+ETKEVQTNELRAEKASLKAPKKTKTVQCKVTLLDGSEYSCDLEKRAKGQVLFDKVCEHLN
+LLEKDYFGLVFQENPEQKNWLDPAKEIKRQLRNLPWLFSFNVKFYPPDPSQLTEDITRYF
+LCLQLRQDIASGRLPCSFVTHALLGSYTLQAELGDYDPEEHASHDLSDFQFAPTQTKELE
+EKVAELHKTHRGLSPAQADSQFLENAKRLSMYGVDLHHAKDSEGVDIKLGVCANGLLIYK
+DRLRINRFAWPKILKISYKRSNFYIKVRPAELEQFESTIGFKLPNHRAAKRLWKVCVEHH
+TFYRLVSPEQPPKAKFLTLGSKFRYSGRTQAQTRQASSLIDRPAPHFERTSSKRVSRSLD
+GAPIDAVDQSLMKDFPGPAGEVSAPGPGVVSTAVVQDGDGRRDLRSPAKVPHMPLIEGKS
+SLETLNVVEEKKQAEVGKDERVIPEEMNGKELSPGRGPGEMRKVEPGTQKDSTSLSSESS
+SSSSESEEEEDVGEYRPHHRVTEGTIREEQEEDEEEVEEAPGQAAKVVDREDPVPAASPV
+THAGASVGPVETVPQEKVVAPQIPAEKSVNEGAVKQDTGEETEEEPQKVNGEVAHVDTDV
+LPQIICCSEPPVVKTEMVTISDASQRTEISTKEVPIVQTETKTITYESPQIDGGAGGDSG
+TLLTAQTITSESVSTTTTTHITKTVKGGISETRIEKRIVITGDADIDHDQALAQAIREAR
+EQHPDMSVTRVVVHKETELEEGEE
+>tr|A6QP59|A6QP59_BOVIN NADPH oxidase activator 1 OS=Bos taurus OX=9913 GN=NOXA1 PE=2 SV=1
+MASLRDLLRDWHQGAQAVARGDWDCALRLFSSISEPPARVSFNVGCVHLLAGDPEAALRA
+FDQAVTKDACLAVGFLQRGVANFQLERFMEALSDFQRTLAQLRDNTAIDYTQLGLRFKLQ
+AWEVLFNMAAVQSQLGLWAEAACSLGDAISKGPEGARNGLDVALGQVQKQVPLQPRQVPR
+GEVFRPHRRHVEHLEPVDFLGKAKVLASALPTDSHGVAPPQPQALDVRGEARPRAAAR
+>tr|A0JN42|A0JN42_BOVIN TELO2 interacting protein 1 OS=Bos taurus OX=9913 GN=TTI1 PE=2 SV=1
+MAVFDTPEEAFGVLRPVCVQLTKTQTVENVERLQAQLQAVSDSALQELQQYILFPLRFTL
+KTPGPKRERLIQSVVECITFVLSSTCVKEQELLQELFSELSACLYSPSSRKPAAVSEELK
+LAVIRGLGTLMHSAYGDILLNFYEPSILPRLGFAVSLLLGLAEQEKSKEIKMAALTCLQV
+LLFQCDCPDHPRTLDALQQKQLGDLFASFLPGLSTTLTRVITGDFKQGHSIVVSSLKVFY
+KTVSFIMADDQLGRVSEVQAKSAVERRVAELMVHREASWVKNTGDKLTILIKKITECISV
+HPHWKVRLELIDFVEALLLKCSRSLIESAGPLLKALVSLTNDESPDVQAQCDKALRRFAD
+EKVVVGSRAFADILSENLHSLATSLPRLMSSQDDQGRFSTLSLLLGYLKLLGPKVDFVLN
+SVAHLQRLSKALIQVLELDVADVKIVEERRWNSEHLSASSEAPAARPWAQMQRRYFRFFT
+DERVFLLLRQVCQLLGFYGNLYLLVDHFMELYHESMVYRKQAAMILNELVVGAAGLGVEN
+LHEKHTPADLQELREIVTSILEVYTSQENWYLVTCVEAEEAGEEPTMRWTGLQALASGPH
+TCPPTSFPEFSKPSPTVCSMNSNIWQICIQLEGIGHFAHALGKDFRLLLMSALYPVLEKA
+GDQTLLISQAAIGTMTDICQACGYDSLQHLINENSDYLVNGISLNLRHLSLHPHTPKVLE
+VMLQNSDSSLLPLVADVVQDVLATLDQFYDKKAATFVSVLHALMAALVQWFPDAGHLGQL
+QEQSVGEEGSPLNQRPASLEKGLENPTTAEDIEQFVLNYLKEKDVAAGNVSDLDNEDEEQ
+SDPPEVEGDDTGPHVEPPLPVQIQIATDVMERCVHLMSDKSLKMRLKVLNVLDLCVVVLQ
+SHKNQLLPLAHRAWPSLVHRLTNDDPLAVLRAFKVLRTLGGKCGDFLRSRFCKDVLPKLA
+GSLVSQAPVSARAGPVYSHTLAFKLQLAVLQGLGPLCERLDLGEGDLSKVADACLIYLSA
+KQPVKLQEAARSVFLHLMKVDPDSTWFLLNELYCPEEFTPPHPSLHPVQLRGATRQQNPY
+SANVLLLLPELQ
+>tr|Q32LA3|Q32LA3_BOVIN Chromosome 12 C13orf46 homolog OS=Bos taurus OX=9913 GN=C12H13orf46 PE=2 SV=1
+MDKDGSATHRRHRPGPGAPPMGVGPAHLKAASEMAELQRSRSVGGLLQKGDPPSCIKKLC
+KELESEDPGKDVRSEAEDASCQASLEDKQDRGQDARGQALEDANVEEASTRHEQPAGGEG
+ADECAPEDEELEPKSVKLDDLLEKEKPPVFVEIDLGDLAEEVVTCITKEEKRPQRDMGDV
+SEDESRTSWVCCIPYSTRKKVKQSA
+>tr|Q0P5N3|Q0P5N3_BOVIN Oxysterol-binding protein OS=Bos taurus OX=9913 GN=OSBPL9 PE=2 SV=1
+MASIMEGPLSKWTNVMKGWQYRWFVLDYNAGLLSYYTSKDKMMRGSRRGCVRLRGAVIGI
+DDEDDSTFTITVDQKTFHFQARDADEREKWIHALEETILRHTLQLQISTTLAFFQSSGIS
+PVLEFSKIIGLDSGFVPSVQDFDKKLTEADAYLQILIEQLKLFDDKLQNCKDDEQRKKIE
+TLKETTNSMVESIKHCIVLLQIAKSTINPVDAIYQPSPLEPVVNTMPSQTVLPPEPTQLC
+KSEQRPSSLPVGPVLATLGHHQTPTPNSTGSGHSPPSSSLTSPSHVNLSPNTVPEFSYSS
+SEDEFYDADEFHQSGSSPKRLIDSSGSASVLTHSSSGNSLKRPDTTESLNSSMSNGTSDA
+DLFDSHDDRDDEGEAGSVEEHKSVIMHLLSQVRLGMDLTKVVLPTFILERRSLLEMYADF
+FAHPDLFVSISDQKDARDRMVQVVKWYLSAFHAGRKGSVAKKPYNPILGEIFQCHWTLPN
+DTEENVELVSEGPVPWVSKNSVTFVAEQVSHHPPISAFYAECFNKKIQFNAHIWTKSKFL
+GMSIGVHNIGQGCVSCLEHDEHYILTFPNGYGRSILTVPWVELGGECNINCSKTGYSANI
+VFHTKPFYGGKKHRITAEIFSPNDKKSFCSIEGEWNGVMYAKYSTGENVVFIDTKKLPII
+KKKVRKLEDQNEYESRCLWKDVTFNLKIRDIDAATEAKHRLEERQRAEARERKEKEIQWE
+TRLFHEDGECWVYDEPLLKRLGAAKH
+>tr|A5PJS7|A5PJS7_BOVIN CRLS1 protein OS=Bos taurus OX=9913 GN=CRLS1 PE=2 SV=1
+MLASRMARASWGALRVAAWAPGARPGKGRARGALLPPAPGCLGFLAERWWLRPAALGLRL
+PGASPRAHCSGAEKATPGPATGEDATVEARRGQWGPTSASNLYENPWTIPNMLSMTRIGL
+APVLGYLIIEEDFNIALGVFALAGLTDLLDGFIARNWASQKSALGSALDPLADKILISIL
+YISLTYADLIPVPLTYMIISRDVMLIAAVFYVRYRTLPTPRTLSKYFNPCYATARLKPTF
+ISKVNTAVQLILVAASLAAPVFNYADSIYLQILWCFTAFTTAASAYSYYHYGRKTVQVIK
+GR
+>tr|Q0P580|Q0P580_BOVIN Histone acetyltransferase type B catalytic subunit OS=Bos taurus OX=9913 GN=HAT1 PE=2 SV=1
+MAGFGAMEKFLVEYKSAVEKKLAEYKCNTNTAIELKLVRFPEDLENDIRTFFPEYTHQLF
+GDDETAFGYKGLKILLYYIAGSLSTMFRVEYASKVDENFDCVEADDVEGKIRQIIPPGFC
+TNTNDFLSLLEKEADFKPFGTLLHTYSVLSPTGGENFTFQIYKADMTCRGFREYHERLQT
+FLMWFIETASFIDVDDERWHYFLVFEKYNKDGATLFATVGYMTVYNYYVYPDKTRPRVSQ
+MLILTPFQGQGHGAQLLETVHRYYIASPSVLDITAEDPSKSYVKLRDFVLVKFCQDLPCF
+SREKLMQGFSEDMAIEAQQKFKINKQHARRVYEILRLLVTDMSDAEQYRSYRLDIKRRLI
+SPYKKKQRDLAKMRKCLRPEELTNQMNQIEISMQHEQLEESFQELVEDYRRVIERLAQE
+>tr|A0A3Q1NDR9|A0A3Q1NDR9_BOVIN Ankyrin repeat domain 6 OS=Bos taurus OX=9913 GN=ANKRD6 PE=4 SV=1
+MSQQDAVAALSERLLIAAYKGQTENVVQLINKGAKVAVTKHGRTPLHLAANKGHLSVVQI
+LLKAGCDLDVQDDGDQTALHRATVVGNTEVIAALIQEGCALDRQDKDGNTALHEASWHGF
+SQSAKLLVKAGANVLAKNKAGNTALHLACQNSHAQSTRVLLLGGSRADLKNNAGDTCLHV
+AARYNHLSIIKLLLSAFCSVHEKNQAGDTALHIAAALNHKKVVKILLEAGADGTIVNNAG
+RTPLETARYHNNPEVALLLTKAPQVLRFSRGRSLRKKRERLKEERRAQSVPRDEVAQSKG
+SVSAGDTHSSEQAAPRKEEAREDVLSASPEPRAKDSRQRKSRPKVSAFSDPTPPADQQPG
+QQKNVHAHSHPKKRPRHRCSPPPPPHEFRAYQLYTLYRGKDGKVMQAPINGCRCEPLINK
+LENQLEATVEEIKAELVSVQDKMNVKLGHMENKTQHQMRVLDKLMVERLSAERTECLNRL
+QQHSDSEKHEGEKRQMSLVDELKTWCMLKIQNLELKLSGDSRASRTKSTPSTCESSTGVD
+QSVVTAGPVAASDSSPQVVRPKEKALSSTATHRLQQQELSSSDCTGSRLRNVKVQTASLP
+LKETARCDPQAGPCVDRGTQTKKSGKSGQTRHRGQQPAVSTAGGQPLPSAAGGEQTAPHV
+RDTSQALELTQYFFEAVSTQMEKWYERKIEEARSQASQKAQQDKATLEEHIKSLEEELAK
+LRTKVQKEN
+>tr|E1BPY4|E1BPY4_BOVIN Nuclear receptor coactivator OS=Bos taurus OX=9913 GN=NCOA2 PE=3 SV=1
+MSGMGENTPDPSRAETRKRKECPDQLGPSPKRSTEKRNREQENKYIEELAELIFANFNDI
+DNFNFKPDKCAILKETVKQIRQIKEQEKAAAANIDEVQKSDVSSTGQGVIDKDALGPMML
+EALDGFFFVVNLEGNVVFVSENVTQYLRYNQEELMNKSVYSILHVGDHTEFVKNLLPKSI
+VNGGAWSGEPPRRNSHTFNCRMLVKPLPESEEEGHDNQEAHQKYETMQCFAVSQPKSIKE
+EGEDLQSCLICVARRVPMKERPALPSSESFTTRQDLQGKITSLDTSTMRAAMKPGWEDLV
+RRCIQKFHAQHEGESVSYAKRHHHEVLRQGLAFSQIYRFSLSDGTLVAAQTKSKLIRSQT
+TNEPQLVISLHVLHREQNVCVMNPDLTGQAMGKPLNPISSSSPAHPAMCSGNPGQDMTLS
+SNINFPMNGPKEQMGMPMGRFGGSGGMNHVSSMQATTPQGSNYALKMNSPSQSSPGLNPG
+QPSSMLSPRHRMSPGVAGSPRIPPSQFSPAGSLHSPVGVCSSTGNSHSYTNSSLNALQAL
+SEGHGVSLGSSLASPDLKMGNLQNSPVNMNPPQLSKMGSLDSKDCFGLYGEPPEGPAGPA
+ESSCHPGEPKDPSEAGLPPAGSNERPDGQSRLLDNKGQTKLLQLLTTKSDQIEPSPLPSS
+LSDTNKDSTGSLPGPGSTHGTSLKEKHKILHRLLQDSSSPVDLAKLTAEATGKELSQEAS
+STAPGSEATIKQEPVSPKKKENALLRYLLDKDDTKDIGLPEITPKLERLDSKTDPASNTK
+LIALKTEKEEMSFEPSDQPGSELDNLEEILDDLQNSQLPQLFPDTRPGAPAGSVDKQAII
+NDLMQLTAESSPVTPVGAQKTAMRIPQSTFNNPRPGQLGRLLPNQNFPLDITLQSPTGAG
+PFPPIRNSSPYPVIPQPGMMGNQGMIGNQGNLGNSSTGMIGSNAARPTMPSGEWAPQSPA
+VRVTCAATSNAMNRPIQGGMIRNPTASIPMRPNSQPGQRQMLQPQVMNMGPSELEMNMGG
+PQYSQQQAPPNQTAPWPESILPIDQAPFASQNRQPFGSSPDDLLCPHPAAESPSDEGALL
+DQLYMALRNFDGLEEIDRALGIPELVSQSQGVDPEQFSSQDSSLMLEQKTPVFPQQYASQ
+AQMAQGSYTPMQDPNFHTMGQRPSYATLRMQPRPGLRPTGLVQSQPNQLRLQLQHRLQAQ
+QNRQPLMNQISNVSNVNLTLRPGVPTQAPINAQMLAQRQREILNQHLRQRQMHHQQQQVQ
+QRTLMMRGQGLNMTPSVVAPSGVPAAMSNPRIPQANAQQFPFPPNYGISQQPDPGFTGAA
+APQSPLISPRLAHTQSPMLQQSQANPAYQASSDMNGWAQGSMGANSMFSQQSPPHFAQQA
+NTSMYNNNMNINVSMATNTGGMNNMNQMTGQISMTSVTSVPTSGLSSMGPEQVNDPALRG
+GGLFPSQLPGMDMIKQEGDASRKYC
+>tr|A5PJE4|A5PJE4_BOVIN TTC29 protein OS=Bos taurus OX=9913 GN=TTC29 PE=2 SV=1
+MTTLPPLPMTRPKLTALARQKLPCSPRTKPRSELIKEKDDIDHYLEVNFKGLSKEEVAAY
+RNSYTKNVCVEMLRDGYHKSFTEFFALTEKWDALREAARVRSLSWAQRPLEEQPDKLDHF
+YYYLTRAETAERKEYFEEVYNNLYALACYFNNSEDKWVRNHFYERCFKIAQVIKIDGGKK
+EAEAHGHMGLLFEEDGQLLEAAEHYEAFHQLTQGRIWKDETGRVLNLLACESLLRTYRLL
+SDKMLENKEYKQAIRILIKACEIAKEGNDKKMEGEASYYLGLAHLAAGEYETALAVLNTY
+GKISADLDDDLSLGRAYEATAKVLQSQGQMAEAVKYLKKVVKIAKNNFQNLDFVRASTML
+GDIYNEKGHYSKASEYFQQAFNTTADIMNISLKDETKVHYGIAKAHQMMLTVNSCIESAD
+LTSLDYLLSWKESRSDITPDPTSGESRRSTMESLYHTSEFSEEESSGFSGNQKK
+>tr|Q2KHZ0|Q2KHZ0_BOVIN TRIO and F-actin binding protein OS=Bos taurus OX=9913 GN=TRIOBP PE=2 SV=1
+MTPDLLNFKKGWMSILDEPGEWKKHWFVLTDSSLKYYRDSTAEEADELDGEIDLRSCTDV
+TEYAVQRNYGFQIHTKDAVYTLSAMTSGIRRNWIEALRKTVRPTSAPDVTKLSDCNKENT
+LHSYGTPKGSLKAGEQRVGSEVISRGGPRKADGQRQLLDYVELSPLTQGSPQRARTPART
+LDRPAKQEELERDLAQRSEERRKWFEATDSRATETPAGEGPRRVLGAPLTEDQQSRLSEE
+IEKKWQELEKLPLRENKRVPLTALLNQGRGERRGAPSDSHEALEKEVQSLRAQLEAWRLQ
+GEAPQGAPKSQEDGHIPPGYISQEACERSLAEMESSHQQVMQELQRHHERELQRLQQEKE
+WLLAEETAATASAIEAMKKAYQEELSRELNKTRTLQQGPDGLRKQHQSDVEALKRELQVL
+SEQYSQKCLEIGALTRQAEEREHTLRRCQQEGQELLRHNQELHTRLSEEIDRLRSFIASQ
+GTGNGCGRSSERSSCELEVLLRVKENELQYLKKEVQCLRDELQMMQKDKRFTSGKYQDVY
+VELNHIKTRSEREIEQLKEHLRLAMAALQEKEAVRNSLAE
+>tr|A0JN77|A0JN77_BOVIN Dihydroxyacetone kinase 2 homolog (S. cerevisiae) OS=Bos taurus OX=9913 GN=TKFC PE=2 SV=1
+MTSKKLVNSVAGCADDALAGLVACNPSLQLLQGHRVALRSDLDSLKGRVALLSGGGSGHE
+PAHAGFIGKGMLTGVIAGAVFTSPAVGSILAAIRAVAQAGTVGTLLIVKNYTGDRLNFGL
+AREQARAEGIPVEMVVVGDDSAFTVLKKAGRRGLCGTVLIHKVAGALAEAGVGLEEITDR
+VSVVAKAMGTLGVSLSSCSVPGSKPTFELSADEVELGLGIHGEAGVRRIKMATADEIVAL
+MLDHMTSSSNASHVPVPPGSSVVLMVNNLGGLSFLELGIIADAAVCSLEGRGVKIARALV
+GTFMSALEMPGVSLTLLLVDEPLLKLIDAETTASAWPNVAKVWVTGRKRSRAAPTEPLAA
+PDSTTAAGEASKQMVLVLEWVCTTLLGLEEHLNALDRAAGDGDCGTTHSRAARAIQGWLK
+EGPPPASPAQLLSKLSFLLLEKMGGSSGALYGLFLTAAAQPLKAKTDLPAWSAAMDAGLE
+AMQKYGKAAPGDRTMLDSLWAAGQELQAWKSPGANMLQILTKAVKSAEAAAEATKNMEAG
+AGRASYISSARLDQPDPGAVAAAAILRAILEVLQSQGA
+>tr|A4IFE8|A4IFE8_BOVIN Sulfotransferase OS=Bos taurus OX=9913 GN=CHST1 PE=2 SV=1
+MQCSWKAVLLLALASIAIQYTAIRTFTAKSFHTCPGLAEAGLAERLCEEGPTFAYNLSRK
+THILILATTRSGSSFVGQLFNQHLDVFYLFEPLYHVQNTLIPRFTQGKSPADRRVMLGAS
+RDLLRSLYDCDLYFLENYIKPPPVNHTTDRIFRRGASRVLCSRPVCDAPGSGDLVLEEGD
+CVRRCGLLNLTVAAEACRERSHVAIKTVRVPEVNDLRALVEDPRLNLKVIQLVRDPRGIL
+ASRSETFRDTYRLWRLWYGTGRKPYNLDVTQLTTVCEDFSNSVSTGLMRPPWLKGKYMLV
+RYEDLARNPMKKTEEIYGFLGIPLDSHVARWIQNNTRGDPTLGKHKYGTVRNSAATAEKW
+RFRLSYDIVAFAQNACQRVLAQLGYKMARSEEELKNPSISLVEERDFRPFS
+>tr|Q58D50|Q58D50_BOVIN IRF9 protein OS=Bos taurus OX=9913 GN=IRF9 PE=2 SV=1
+MASGRTRCTRKLRNWVVEQVESGQFPGVCWEDAAKTMFRIPWKHAGKQDFREDQDAAFFK
+AWAIYKGKYREGSSEGPAIWKTRLRCALNKSCEFEEVPEIGHRDGAEPYKVYRLLPPGTV
+PAQSGTQKLPSKRPHSSASSEKKEEEVTAKNCILSPSLLQEPPQNEMVETNGGAGRLDFG
+STGSSSSSSSSSGSSSSSSNSPEPQEGRDTAEALFQGELLSLEVLPPPDSDYSLLLTFIY
+NGRVVGEAQVQSLDCRLVAEPSSSQCSMEQVIFPKPDPREPAHRLLNQLQRGVLLASNSR
+GLFVQRLCPIPISWNAPQMPPGPGPHLLPTNECVELFRTSYFCRDLARYFQGLGPPPKFQ
+VTLNFWEENPDPSHTSQSLIAVQMEQAFARRMLKETPEEQAATLSLLQSLQDPVPPLLSI
+PPVFFETASASLLSTCLPQ
+>tr|A6QQ05|A6QQ05_BOVIN TPSB1 protein OS=Bos taurus OX=9913 GN=TPSB1 PE=2 SV=1
+MLHLLALALLLSLVSAAPGQALQRAGIVGGQEAPGSRWPWQVSLRVSHQYWRHHCGGSLI
+HPQWVLTAAHCVGPEVHGPSYFRVQLREQHLYYQDQLLPISRIIPHPNYYSVENGADIAL
+LELDEPVSISCHVQPVTLPPESETFPPGTQCWVTGWGNVDNGRRLPPPFPLKQVKVPVVE
+NSVCDRKYHSGLSTGDNVPIVQEDNLCAGDSGRDSCQGDSGGPLVCKVNGTWLQAGVVSW
+GDGCAKPNRPGIYTRVTSYLDWIHQYVPQGP
+>tr|A7MAZ7|A7MAZ7_BOVIN CD8A protein OS=Bos taurus OX=9913 GN=CD8A PE=2 SV=1
+MASLLTALILPLALLLLDAAKVLGSLSFRMSPTQKETRLGEKVELQCELLQSGMATGCSW
+LRHIPGDDPRPTFLMYLSAQRVKLAEGLDPRHISGARVSGTKFQLTLSSFLQEDQGYYFC
+SVVSNSILYFSNFVPVFLPAKPATTPAMRPSTRAPTSAPQTRSVSPRSEVCRTSAGSAVD
+TSRLDFACNIYIWAPLVGTCGVLLLSLVITGICYRRNRRRVCKCPRPVVRQGGKPNLSEK
+YV
+>tr|A6QR02|A6QR02_BOVIN THAP domain containing 6 OS=Bos taurus OX=9913 GN=THAP6 PE=2 SV=1
+MVKCCSAVGCASRCLPNSKLKGLTFHVFPTDENIKRKWVLAMKRLDVNAAGIWEPKKGDV
+LCSRHFKKTDFDRSTPNIKLKPGVVPSIFDSVSHSQEHSYSVMDSPKKLKHKLDHVISEL
+EDTKKSLQNVLNREKRFQKSLRKTIRELKDECLISQETAKRLEAFCWDWCQESIEQDYIS
+>tr|A6H726|A6H726_BOVIN G protein-coupled receptor class C group 5 member D OS=Bos taurus OX=9913 GN=GPRC5D PE=2 SV=1
+MYEDCKESTGDYYFLCDTEGAWGIVLESLAAVGIVVTVMLLLAFLFLMRRVQDCAHWNVL
+PTQFLFLLGVLGLFGLAFAFIIQLNQQTAPIRYFLFGVLFALCFSCLLAHASNLVKLVRG
+RASFSWTTILCIAIGVSLLQTIIAIEYVTLIMTRGGMFVHMTPYQLNVDFVVLLVYVLFL
+MALTFFVSKTTFCGPCENWKQHGRLIFVTVLVSIIIWVVWISMLMRGNTQLQRQPQWDDP
+VICIALVTNAWVFLLLYIVPELCILYRSCQQDCPLPGNACPLPTYQRSFRAENQELSRDC
+>tr|A5PK69|A5PK69_BOVIN RAC3 protein OS=Bos taurus OX=9913 GN=RAC3 PE=2 SV=1
+MQAIKCVVVGDGAVGKTCLLISYTTNAFPGEYIPTVFDNYSANVMVDGKPVNLGLWDTAG
+QEDYDRLRPLSYPQTDVFLICFSLVSPASFENVRAKWYPEVRHHCPHTPILLVGTKLDLR
+DDKDTIERLRDKKLAPITYPQGLAMAREIGSVKYLECSALTQRGLKTVFDEAIRAVLCPP
+PVKKPGKKCTVF
+>tr|A5PJR3|A5PJR3_BOVIN Dicarbonyl/L-xylulose reductase OS=Bos taurus OX=9913 GN=DCXR PE=2 SV=1
+MDLRLAGRRALVTGAGKGIGRSIVKALHAAGARVVAVSRTQADLDSLVRECPGVETVCVD
+LADWEATEQALGGVGPVDLLVNNAAVAFLQPFLEVTKEAYDMSFNVNLRAVIQVSQIVAR
+GLIARGAPGVIVNVSSQASQRGLTNHSVYCSTKGALDTLTKVMAVELGPHKIRVNAVNPT
+VVMTPMGQAAWSDPQKAKAMLDRIPLGRFAEVENVVDTILFLLSDRSSMTTGSTVPVDGG
+FLAT
+>tr|A7YWI5|A7YWI5_BOVIN INO80C protein OS=Bos taurus OX=9913 GN=INO80C PE=2 SV=1
+MAAQIPIVATTSTPGIARNSKKRPASPSHNGSSAGGYSASKKKKVSASGFAQGISMDGVT
+ENKMVPSDFSTGPMEKAAKPLPFKDPNFVHSGHGGAVAGKKNRTWKNLKQILASERALPW
+QLNDPNYFSIDAPPSFKPAKKYSDVSGLLANYTDPQSKLRFSTIEEFSYIRRLPSDVVTG
+YLALRKATSIVP
+>tr|Q0VCJ5|Q0VCJ5_BOVIN MOB kinase activator 1A OS=Bos taurus OX=9913 GN=MOB1A PE=2 SV=1
+MSFLFSSRSSKTFKPKKNIPEGSHQYELLKHAEATLGSGNLRQAVMLPEGEDLNEWIAVN
+TVDFFNQINMLYGTITEFCTEASCPVMSAGPRYEYHWADGTNIKKPIKCSAPKYIDYLMT
+WVQDQLDDETLFPSKIGVPFPKNFMSVAKTILKRLFRVYAHIYHQHFDSVMQLQEEAHLN
+TSFKHFIFFVQEFNLIDRRELAPLQELIEKLGSKDR
+>tr|E1BGC6|E1BGC6_BOVIN Mixed lineage kinase domain like pseudokinase OS=Bos taurus OX=9913 GN=MLKL PE=4 SV=3
+MDQLKQIISLGRLIYNQCEEMKYCQKQCRRLGNRVQSLLQPLQMLQDQGERNLPPQITAA
+LSRFQDALEEAKERIDKFSNKSNIQKFLTAGHDSIIFGGVNQRLSDVWEELSLLLQVDQW
+RHTSSLSLGVSWQQEDQQDAEEDRKAIQGLSEKETVKTLLMQLEETIEAVKNWMRSDSQK
+PKKGFPQDQIKEIKKEELPEADWILLKENESSTLYEGKYHESPVAIKVFNNSQAKDIGVV
+RHTFNNEIRTMKKFDSPNILRMFGICIDETVTPPQLSIVMEYCELGTLRELLDREKDLTL
+AKRIILAVEAARGLYRLHHSETPSELHRNISSTSFLVTKDYNVKLAGFELSKTQTSISRQ
+TRGKAAERVSSAAYISPQRLENVYNKYDIKAEIYSFGIVLWEIATGKSPFEGCDSKKIYQ
+LVAESRHREPVGEDCPSELQEIIDDCRAYEPSRRPSVKEILKRLSSFY
+>tr|E1BL43|E1BL43_BOVIN Orthodenticle homeobox 1 OS=Bos taurus OX=9913 GN=OTX1 PE=4 SV=1
+MMSYLKQPPYGMNGLGLAGPAMDLLHPSVGYPATPRKQRRERTTFTRSQLDVLEALFAKT
+RYPDIFMREEVALKINLPESRVQVWFKNRRAKCRQQQQSGSGTKSRPAKKKSSPVRESSG
+SESSGQFTPPAVSSSASSSSSASSASANPAAAAAAGLGGNPAVAVPSSLSTPAASSIWSP
+ASISPGSAPASVSMPEPLAAPSNASCMQRSVAAGAASAAASYPMSYGQGGSYGQGYPAPS
+SSYFGGVDCSSYLAPMHSHHHPHQLSPMAPSSMASHHHHHPHAHHPLSQSSGHHHHHHHH
+HHQGYGGSGLAFNSADCLDYKEPGAAAASSAWKLNFNSPDCLDYKDQASWRFQVL
+>tr|E1BFR6|E1BFR6_BOVIN Transmembrane protease, serine 13-like OS=Bos taurus OX=9913 GN=TMPRSS13 PE=3 SV=3
+MERDSRRNASPAGTPPPRAFPGRAPAARASPSRSSSGRSSSTMSPLTTSSPTRVYLVRAT
+PVGTVPVHASPAGPTPAARAARESPGITLPKFTWQEGQKRLLLIGCVLLLIALVVSLIIL
+FYFWRGHTGVNYKEPRESCPSHAVRCDGVEDCKLKSDELGCVRFDWDKSLLKVYSGSSHQ
+WLPVCSDSWNDSYSQKTCQQLGFESAYWTTKVDNRNVDSSFSVSEFNSTIQQSTYRSDCP
+SQQYVALQCSHCGLRAMTGRIVGGARAPESKWPWQVSLQFSRTHICGGTLIDAQWILTAA
+HCFFITREKILEGWKVYVGTNDLHHLPESASVAQIIINSNYSDDQDDYDIALMRLSKPLT
+LSAHVHPACLPMHGQTFGLNEICWITGFGKTKETDERTSPFLREVQVNLIDFKKCNDYLV
+YDSYLTPRMMCAGDLRGGRDSCQGDSGGPLVCEQNGRWYLAGVTSWGTGCGQRNKPGVYT
+KVTEVLPWIYSKMEREMRFRKS
+>tr|E1B7G6|E1B7G6_BOVIN Ankyrin repeat domain 50 OS=Bos taurus OX=9913 GN=ANKRD50 PE=4 SV=3
+MLANVDGKKPIMTNPWEEKVCKMAQTSLLQGKQFYCREWVFHKLQHCLQEKTNCCNSAVN
+TPPLVMNSGNNASVVSGKGAAWGVLLVGGPGSGKTALCTELLWPSSPTSLQRGLHRQALA
+FHFCKAQDSDTLCVGGFIRGLVAQICRSGLLQGYEDKLRDPAVQSLLQPGECERNPAEAF
+KRCVLLPLLGMKPPQQSLYLLVDSVDEGCNITEGEQTSTSLSGTVAELLAGHHEFFPPWL
+LLLCSARKQSKAVTKMFTGFRKISLDDLRKAYIVKDVQQYILHRLDQEEALRQHLTKETA
+EMLNQLHIKSSGCFLYLERVLDGVVENFIMLREIRDIPGTLNGLYLWLCQRLFVRKQFAK
+VQPILNVILAACRPLTITELYHAVWTKNMSLTLEDFQRKLDVLSKLLVDGLGNTKILFHY
+SFAEWLLDVKHCTQKYLCNAAEGHRMLAMSYTCQAKNLTPLEAQEFALHLINSNLQLETA
+ELALWMIWNGTPVRDSLSTLIPKEQEVLQLLVKAGAHVNSEDDRTSCIVRQALEREDSIR
+TLLDNGASVNQCDSNGRTLLANAAYSGNLDVVNLLVSRGADLEIEDAHGHTPLTLAARQG
+HTKVVNCLIGCGANINHTDQDGWTALRSAAWGGHTEVVSALLYAGVKVDCADADSRTALR
+AAAWGGHEDIVLNLLQHGAEVNKADNEGRTALIAAAYMGHREIVEHLLDHGAEVNHEDVD
+GRTALSVAALCVPASKGHASVVSLLIDRGAEVDHCDKDGMTPLLVAAYEGHVDVVDLLLE
+GGADVDHTDNNGRTPLLAAASMGHASVVNTLLFWGAAVDSIDSEGRTVLSIASAQGNVEV
+VRTLLDRGLDENHRDDAGWTPLHMAAFEGHRLICEALIEQGARTNEIDNDGRIPFILASQ
+EGHYDCVQILLENKSNIDQRGYDGRNALRVAALEGHRDIVELLFSHGADVNYKDADGRPT
+LYILALENQLTMAEYFLENGANVEASDAEGRTALHVSCWQGHLEMVQVLITYHADVNAAD
+NEKRSALQSAAWQGHVKVVQLLIEHGAVVDHTCNQGATALCIAAQEGHIDVVQVLLEHGA
+DPNHADQFGRTAMRVAAKNGHSQIIKLLEKYGASSLNGCSPSPVHTMEQKPLQSVSSKMQ
+SLTIKSNSSGSTGGGEAQPSLRSLPNGPAHAFSSPSESPDSTVDRQKSSLSNNSLKSSKN
+SSLRTTSSTATAQTVPIDSFHSLSFTEQIQQHSLPRSRSRQSIVSPSSTTQSLGQSHHSP
+SSEFEWSQVKPSLKSTKTNKGGKSENSNKSGSAGKKAKQNNSSQPKVLEYEMTQFDKRGP
+TAKSGTSAPSKQMPADSQCKIMIPSAQPEIGRSQQQFLIHQQSGEQKKRNGIMTNPNYHL
+QSNQVFLGRVSVPRTIQDRGHQEVLEGYPSSETELSLKQALKLQIEGSDPSFNYKKETPL
+>tr|A0A3Q1M4J2|A0A3Q1M4J2_BOVIN TIR domain containing adaptor protein OS=Bos taurus OX=9913 GN=TIRAP PE=4 SV=1
+MASSTSSPAPGSRSKKPLGKMADWFRQALARKPTKMPVSPESALSDVSHPSSPDSPPSLG
+SSSEVSPIPAPSHGSADGGGGSGNSGNSSGGRWSKDYDVCVCHSEEDLAAAQELVSYLEG
+GAASLRCFLQLRDATPGGAIVSELCHALSSSHCRVLLITPGFLRDPWCRYQMLQALSEAP
+GAEGRTIPLMSGLSRAAYPAELRYMYFVDGRGPEGGFRQVKEAVMRCKLWGRACSTWAFR
+RHPVLSSMGFTLFYLFRKIFVCSASFSWRGSSLKCEGSFSCHLRTLRCREAGSSSLTRDG
+TTSLPSALHWEHRVLTAGPPGKSLGFT
+>tr|Q148C2|Q148C2_BOVIN Troponin C type 2 (Fast) OS=Bos taurus OX=9913 GN=TNNC2 PE=2 SV=1
+MTTDQQAEARSYLSEEMIAEFKAAFDMFDADGGGDISVKELGTVMRMLGQTPTKEELDAI
+IEEVDEDGSGTIDFEEFLVMMVRQMKEDAKGKTEEELAECFRIFDRNADGYIDAEELAEI
+FRASGEHVTDEELESLMKDGDKNNDGRIDFDEFLKMMEGVQ
+>tr|A6QQB4|A6QQB4_BOVIN C16H1ORF26 protein OS=Bos taurus OX=9913 GN=SWT1 PE=2 SV=1
+MSNKESCEKKETSRRKGTTPSSNIEKSDLADILYCNMKRRQELKRLNVETDPPRKRPKID
+SSSQGLVTLQETSYSNNNQIISQSPSSNGTKKDISKCVDFQVKDIKLANVKSKLDHGIKN
+FSCPKVAKDVKPKAEGQTSEKKSPHLLAQREKMKEFKKERSNKFRDSSEKCASEKCKRIQ
+LSQDCNSSKIIKEPFESRRRINFKILVKSRNTLQNLVQENVFSSGSNKLKTKQEKKECPE
+GTQFSLKFTRHRSEYLFSDSTYKQTVHEWEGAYHEHQEINDISSGENLTQSFEAPCSSVS
+LESIQDTDQEMQIVEELHAARVGKSMDLPVVPPSGELMSMEIDVVEDDIHSSAANAASDK
+KLLIVIDTNILMNHLKFVRLLKTREIPGFDSLVLIIPWVVVQELDRMKAGKLLKHAQHKA
+IPAVHFINDSLRNQDRKLWGQSIQLASQKLYGLSDENNDDRVLKCCLQYQELFPCSLVIL
+CTCSGEANSML
+>tr|A7MBA1|A7MBA1_BOVIN Kinesin-like protein OS=Bos taurus OX=9913 GN=KIFC1 PE=2 SV=1
+MEPQRSPLLEVKGNVELKRPLAKAASRLPLSGRRLKRGPDQMEEALEPEKKRTRGLGTRV
+TTTHPRAAALSSAPQTQGQTAVPKAPRKPAPRCSTAVAPVLKTQKPGPVVPVQKPGTTAA
+PPMVGGKKPKRPAWDLKGQLCDLNAELKCYRERKQVLDQENQQLRDQLQEAQQQALALGA
+ERKTLEEELTRVRAQAEQGQRELGNLSARVLELEERLGTQEGLVQELQKEQLRLQEERRG
+LAARLGEQERRLQTSEASLSDSQEEVASLRQEAAAREAILAEREDRLHGLEMERRRLHNQ
+LQELKGNIRVFCRVRPVLPGESTPSPGFLQFPSGPCGPSDPPTRLSLSRSDERRGTLSGA
+PAGPTRHEFSFDRVFPPGSGQDEVFEEISMLVQSALDGYPVCIFAYGQTGSGKTFTMEGG
+PGGDPQMEGLIPRALRHLFSVAQELSGQGWTYSFVASYVEIYNETVRDLLATGTRKGQGG
+ECEIRRAGPGSEELTVTNARYVPVSCEREVEALLHLARQNRAVARTAQNERSSRSHSVFQ
+LQISGEHASRGLQCAAPLSLVDLAGSERLDPGLALGPGERERLRETQAINSSLSTLGLVI
+MALSNKESHVPYRNSKLTYLLQNSLGGSAKMLMFVNISPLEENVSESLNSLRFASKVNQC
+VIGTAQANKK
+>tr|Q3ZBU0|Q3ZBU0_BOVIN PDZ and LIM domain 5 OS=Bos taurus OX=9913 GN=PDLIM5 PE=2 SV=1
+MSNYSVSLVGPAPWGFRLQGGKDFNMPLTISSLKDGGKASQANVRIGDVVLSIDGISAHG
+MTHLEAQNKIKGCTGSLNMTLQRASATPKLEPVPVQKPTVTSVCAETAQELAEGQRRGSQ
+GDSKQQNGKIPPKRPPRKHIMDRNTEFYHIPTHSDASKKRLIEDTEDWRPRTGTTQSRSF
+RILAQITGTEHLKESEADNTKKAKEKIPLHVFSPKYTKLRDWHHEVSARALNVQ
+>tr|A0A3Q1MWF7|A0A3Q1MWF7_BOVIN Cysteine-rich protein 1 OS=Bos taurus OX=9913 GN=CRIP1 PE=4 SV=1
+VPPALYLGKGLERYDTLICISLHYSGYLRGSSTLRRGWGGARGVLSPALGSLRPESGARA
+GLLRGSSPVQSARVPADLLDPLGPHSAVGQARVLPQGESGPDDLSWPVGWNPRPPGPSPG
+LRGAGRWGRVPKGRSPGVLERRGPGATHPVQRSGLLPPGHLPRSGATMPKCPKCSKEVYF
+AERVTSLGKDWHRPCLKCEKCGKTLTSGGHAEHEGKPYCNHPCYAAMFGPKGFGRGGAES
+HTFK
+>tr|A0A3Q1NBN2|A0A3Q1NBN2_BOVIN Pleckstrin homology and RhoGEF domain containing G1 OS=Bos taurus OX=9913 GN=PLEKHG1 PE=4 SV=1
+MELSDSDRPVSFGSTSSSASSRDSHGSFGSRMTLVSSSHLGLFPQDKEAGAIKLELMPAR
+PFSSSELQTDRAAGQQSTDEGGERQPRAQRRVEANGPTKTGAESATSPKLLYVDRVVQEI
+LETERTYVQDLKSIVEDYLDCIRDQTKLPLGTDERSALFGNIQDIYHFNSELLQELENCE
+NDPVAIAECFVSKSEEFHIYTQYCTNYPRSVAVLTECMRNKMLAKFFRERQETLKHSLPL
+GSYLLKPVQRILKYHLLLHEIENHLDKDTEGYDVVLDAIDTMQRVAWHINDMKRKHEHAV
+RLQEIQSLLTNWKGPDLTSYGELVLEGTFRLQRAKNERTLFLFDKLLLITKKRDDTFTYK
+AHILCGNLMLVEVIPKEPLSFSVFHYKNPKLQHTVQAKSQQDKRLWVLHLKRLILENHAA
+KIPAKAKQAILEMDAIHYPGFCYSPEGETCGSRNGSAPYRLRRKSEPSSRSHKVLKTSET
+AQDIQKVSREEGSPQLTSAGPSPAQRNSQPSSSTILSVLRGGGAVRNIWTDHQIRQALFP
+SRRSQENEEDEDDYQMFLPSFSSSDLNSAQLCEDGTSSRPCSWHMGQIESSETSSSGHRI
+VRRASSAGESNTCPPDIRTRDSGGSQYSSRRELQGDPKTEGQDGTTPYGSSIELTIDDID
+HVYDNISYEDLKLMVAKREDAEVSPQKPVRDSVRPKSTPELAFSKKPAGPEEESTLHPRR
+DGALPAGEAWNQSMHDLQVMEENVYDTIALPEAPSRDFKGSSPKRSARSTFLGLEADLGC
+CDSLRASASQDSLQFSEDEAPYPPGSSDNDYLSLLYNSFGCNLALADRSISDKLSEEVDE
+IWNDLENYIKKNEVKARNRLLAAFPVSKDDVQERPLAGSTPELSARSPLSLAAGRALLAP
+PADRPGPAPCALDRDPASKDSSCLSLHRLSLASDLPPAESPYDVAGGSLSSADLENPEPG
+LDNVDKTRSRVFLMARQYSQKIKKANQLLKVKNPELEQTLSSQPQKPASKDLAAILEEKR
+QGGPAIGARIAEYSQLYDQIVFRETPFKTQKDGWASPQDPSNLSSASPSHAQQGSEDWLL
+HSTYSNGELADFCPWPEQDLKSKYPILEINARSTPKQLSAACSVPSLQTSDPLLGFVQRH
+SVVVSQPNKENSCQGHLYNSLGRKGISAKSQPYNRSQSSSSILINKSADSINYPSGVAKQ
+QPVSLHRSSRWESHQDLLPSIADSGQQGPEKRSDLTLQDSQKVLVVNRNLPLSAQMATQN
+YFSNFKETEGDEDDYVEIKSEEDESELELSHSRRRKSDPKITDADFADNVCSHTTSYSLN
+SPCTPKKPVSGKLGISPYLTPYNDPDKLNDYLWKGPSPNQQNIVQSLREKFQCLSSSSFA
+>tr|Q08DT5|Q08DT5_BOVIN TNF superfamily member 18 OS=Bos taurus OX=9913 GN=TNFSF18 PE=2 SV=1
+MSLSHMENMPLSHSSLQGAQRPSWKQWLFYSTVIIVLLLCSFSALIFTFLPLKTAQGPCV
+AKFGPLPSKWQMPSPEPSCVNKTADWRLKILQNGLYLIYGQVAPNTAYKGRAPFEVQLRK
+NEDPIQALTNNSMIQNVGGAYEFHAGDVIDLIFNAEHQVLKNKTYWGIFLLTNPQFIS
+>tr|A7E3R1|A7E3R1_BOVIN Transmembrane protein 19 OS=Bos taurus OX=9913 GN=TMEM19 PE=2 SV=1
+MRDLDDSTYRKYIKMITNIVILSLIICISSAFWIMSMTASTYYGNFQPVSPWRWLFSVVV
+TVLIVSNGFKKKSLDHSGALGGLVVGFILTIANFSFFTSLVTFFLSSSKLTKWKGEAKKH
+LDSEYKEGGQRNWIQVFCNGAVPTELALLYMIESGPGEIPIDFSRQHTASWMCLSLLAAL
+ASSAGDTWASEVGPVLSKSTPRLITTWEKVPVGTNGGVTVVGLASSLLGGTFVGITYFLT
+QLVFVNDLDISAPQWPIIAFGGLAGLLGSIVDSYLGATMQFSGLDESTGMVVNSPGKEVK
+YIAGKPILDNNAVNLFTSVLVALLLPTAAWGFWPRQ
+>tr|A4FUG6|A4FUG6_BOVIN ALG2 protein OS=Bos taurus OX=9913 GN=ALG2 PE=2 SV=1
+MAEKPGQDADSDPKPTVLFLHPDLGVGGAERLVLDAALALQARGCSVKIWTAHYDPGHCF
+AESRELSVHCAGDWLPRSLGWGGRGAAVCAYVRMIFLALYVLFLGDEEFDVVVCDQVSAC
+IPVFKLARRRKKILFYCHFPDLLLTRRDSFIKRLYRAPIDWVEEYTTGMADCILVNSRFT
+AAIFKETFKSLSHIDPAVLYPSLNIVSFDSAIPEKLDDIVPQGKKFIFLSINRYERKKNL
+TLAVEALVKLRGRLTSQDWDKVHLIIAGGYDERVLENVQHYQELKQVVQQSDLGQYVTFL
+RSCSDKQKISLLRGCTCVLYTPSNEHFGIVPLEAMYMQCPVIAVNSGGPLESVVHSVTGF
+LCDPDPEHFSEAIEKFIHEPSLKATMGLAGRNRVKEKFSPEAFTEQLYQYVTKLLV
+>tr|F1MP99|F1MP99_BOVIN D-aspartate oxidase OS=Bos taurus OX=9913 GN=DDO PE=4 SV=2
+MDTVRIAVVGAGVMGLSTAVCISKMVPGCSITVISDKFTPETTSDVAAGMLIPPTYPDTP
+IQKQKQWFKETFDHLFAIVNSAEAEDAGVILVSGWQIFQSIPTEEVPYWADVVLGFRKMT
+KDELKKFPQHVFGHAFTTLKCEGPAYLPWLQKRVKGNGGLILTRRIEDLWELHPSFDIVV
+NCSGLGSRQLAGDSKIFPVRGQVLKVQAPWVKHFIRDSSGLTYIYPGISNVTLGGTRQKG
+DWNLSPDAEISKEILSRCCALEPSLRGAYDLREKVGLRPTRPGVRLEKELLAQDSRRLPV
+VHHYGHGSGGIAMHWGTALEATRLVNECVQVLRTPAPKSKL
+>tr|Q3T082|Q3T082_BOVIN BTG anti-proliferation factor 3 OS=Bos taurus OX=9913 GN=BTG3 PE=2 SV=1
+MKNEIAAVVFFFTRLVRKHDKLKKEAVERFAEKLTLILQEKYKNHWYPEKPSKGQAYRCI
+RVNKFQRVDPDVLKACENSCILYSDLGLPKELTLWVDPCEVCCRYGEKNNAFIVASFENE
+DENKDEISKKVTRALDKVTSDYHSGSSSSDEETCKEVEVKPNSVAATPSPVYQISELIFP
+PLPMWHPLPRKKPIMYRGNGNQSHYPPPIPFGYPNQGRKNKPYRPVPVTWVPPPGMHCDR
+NHWINPHMLAPH
+>tr|E1BN46|E1BN46_BOVIN G protein-coupled receptor 87 OS=Bos taurus OX=9913 GN=GPR87 PE=3 SV=1
+MGLNLTLAKLPDNELHGPGNHTPSNTSDGSGKNTTVHNEFDTIVLPGLYLVIFVASILLN
+GLAVWIFFHIRNKTSFIFYLKNIVVADLIMTLTFPFRIVHDAGLGPWYFKFILCRYSSVL
+FYANMYTSIVFLGLISIDRYLKVVKPFGDSRMYSITFTKVLSVCVWVLMAVLSLPNIILT
+NVQPTRENIHECTKLKSPLGVKWHNAITYVNSCLFVVVLVILIGCYIAISRYIHKSSRQF
+ISQSSRKRKHNQSIRVVVAVFFTCFLPYHLCRIPFTFSHLDRLLDESAHKILYYCKEMTL
+FLSACNVCLDPIIYFFMCRSFSRRLFKKSNIRTRSESIRSLQSVRRSEVRIYYDYTDV
+>tr|A4IFB7|A4IFB7_BOVIN Coagulation factor II (Thrombin) receptor-like 2 OS=Bos taurus OX=9913 GN=F2RL2 PE=2 SV=1
+MRAVIFAAIGALLLSPASCQSGMEYDADNLAKPTLSIKTFRGAPQNSFEEFPLSAIEGWT
+GTTKTVKIKCPEELDSNLHVNNATMGYLSSPLSTKLIPAIYILVFAVGMPANAVTLWMLF
+RTRTIRMTIFYTNLAIADFLFCVTLPFRIAYHLNGNNWVFGEVMCRATTVIFYGNMYCSI
+LLLACISINRYLAIVHPFTYRGLPKRTYALLTCGLVWTTVFLYMLPFFILKQEYYLVQQD
+ITTCHDVHNTCESSSPFQLYYFISLAFFGFLIPFLVIIYCYTAIIWTLNAKDRRWLWYIK
+ASLLTFVIFTICFAPSNIILIIHHANYYYSNTDALYFVYLIALCLGSLNSCLDPFLYFLM
+SKITDHSTAYLTMVKLS
+>tr|Q5BIR8|Q5BIR8_BOVIN Fasciculation and elongation protein zeta 1 OS=Bos taurus OX=9913 GN=FEZ1 PE=2 SV=1
+MEAPLVSLDEEFEDLRPCCSEDPEEKPRCFYGSSPHHLEDPSLSELENFSSEIISFKSME
+DLVNEFDEKLNVCFRNYNAKTENLAPVKNQFQIQEEEETLQDEEVWDALTDNYIPSLSED
+WRDPNMEALNGNNSDTEIHEKEEEEFNEKSEHDSGITEEPLLTADQVIEEIEEMMQNSPD
+PEEEEEVLEEEDGGETSSQADSVLLQEMQALTQTFNNNWSYEGLRHMSGSELMELLDQVE
+GAIRDFSEELVQQLARRDELEFEKEVKNSFITVLIEVQNKQKEQRELMKKRRKEKGLSLQ
+SSRIDKGSQMPLKRFSMEGISNILQSGIRQTFGPSGSDKQYLNTVIPYEKKASPPSVEDL
+QMLTNILFAMKEDNEKVPTLLTDYILKVLCPT
+>tr|Q08DL2|Q08DL2_BOVIN STAM-binding protein-like 1 OS=Bos taurus OX=9913 GN=STAMBPL1 PE=2 SV=1
+MDQPLTVNSLKKLAAMPDHTDVSLTPEERVRALSKLGGNITINEDITPRRYFRSGVEMER
+MASVYLEEGNLESAFVLYNKFITLFVEKLPNHRDYQQCAVPEKQDIMKKLKEIAFPRTDE
+LKKDLLKKYNVEYQEYLQSKNKYKAEILKKLEHQRLIEAERKRIAQMRQQQLESEQFSIF
+EDQLKKQELARSQLRSQETPALSEQIDGSALSCFSTHQNSSLLNVLADQSSKSDATSYAG
+HSPPVNRALKPAASLSAVQNLVVEGLRCVVLSRDLCHRFLLLAESNTVRGIETCGILCGK
+LTHNEFTITHLIVPKQSAGPDYCDVENVEELFSVQDQHDLLTLGWIHTHPTQTAFLSSVD
+LHTHCSYQLMLPEAIAIVCSPKHKDTGIFRLTDAGMLEVSACKKKGFHPHTKDPRLFSVC
+RHVLVKDIKIIVLDLR
+>tr|A6QQM1|A6QQM1_BOVIN CCNY protein OS=Bos taurus OX=9913 GN=CCNY PE=2 SV=1
+MEFNPSDHPRASTIFLSKSQTDVREKRKSLFINHHPPGQIARKYSSCSTIFLDDSTVSQP
+NLKYTIKCVALAIYYHIKNRDPDGRMLLDIFDENLHPLSKSEVPPDYDKHNPEQKQIYRF
+VRTLFSAAQLTAECAIVTLVYLERLLTYAEIDICPANWKRIVLGAILLASKVWDDQAVWN
+VDYCQILKDITVEDMNELERQFLELLQFNINVPSSVYAKYYFDLRSLAEANNLSFPLEPL
+SRERAHKLEAISRLCEDKYKDLRRPTRKRSASADNLTLPRWSPAIIS
+>tr|A0A3Q1M546|A0A3Q1M546_BOVIN ICAM_N domain-containing protein OS=Bos taurus OX=9913 GN=LOC616254 PE=4 SV=1
+MALTSLLPTEPASRGPKDSFRPGCKGLKPGSEIRSSPGNSGCWKLDLSHPVYPSQHHPFA
+DTGSPQPFPVSLRTPPKMSPFGGWGMLAAFLAMLCCRGSNEKVFEGPEHLMVGFGEFQVI
+NCTASCTDPKKLVLETHLNKTLLDSQAQWKLFRVYNISKDEELLCSFTCAGKQETKVFNI
+TVFYPPKQVLLTLSHTSVAVGTLFTIECMVPAVAPLEGLTVTLLRGTEILYNQTFVGTAR
+FPQDAVVTHHTTAHREDGHHNFSCEAQMDLRSRGGGLVHRVSDPQRLEVKEPEPNYQMVI
+MAIVIVLLLLFVTFVFLCFVFSEKWRRGRTGHYPVQAAWNRLRRSHRAQPL
+>tr|Q08DM3|Q08DM3_BOVIN Malic enzyme OS=Bos taurus OX=9913 GN=ME2 PE=2 SV=1
+MLSRFKIVATPCTLACRHLHTKEKGKPLMLNPRTNKGMAFTLQERQMLGLQGLLPPKIET
+QDIQALRFHRNLKKLNGPLEKYIYIMGIQERNEKLFYRILQDDIETLMPIVYTPTVGLAC
+SQYGHIFRRPKGLFISISDRGHVRSIVDNWPENHVKAVVVTDGERILGLGDLGVYGMGIP
+VGKLCLYTACAGIRPDRCLPVCIDVGTDNPALLKDPFYMGLYQKRDRSQRYDDLIDEFMK
+AITDRYGQNTLIQFEDFGNHNAFRFLRKYREKYCTFNDDIQGTAAVALAGLLAAQKVIGK
+PISDHRILFLGAGEAALGIANLIVMAMVENGLSEEEARKKIWMVDKFGLLFKGRKAKIES
+HQEAFAHSAPESLPDTFEEAVNILKPSAIIGVAGAGRLFTPGVIKAMAEINERPIIFALS
+NPTAQAECTAEEAYTLTEGRCLFASGSPFEPVKLSDGQVFTPGQGNNVYIFPGVALAVIL
+CNTRHISDHVFLEAAKALTSQLTDKELAQGRLYPPLSNIQEVSINIAIKVTEYLYANKMA
+FRYPEPEDKAKYIRERIWRSEYDSLLPDVYEWPEAAAHPPPITE
+>tr|G5E6D9|G5E6D9_BOVIN Transmembrane protein 164 OS=Bos taurus OX=9913 GN=TMEM164 PE=4 SV=2
+MSRYSYQSLLDWLYGGVDPSFAGNGGPDCAAFLSWQQRLLESVVVLTLALLEILVALRHI
+LRQTKEDGRGGRGCQPEQVTQRPEEGKESLSKNLLLVALCLTFGVEVGFKFATKTVIYLL
+NPCHLVTMMHIFLLACPPCPGAIVIFKLQMHMLNGALLALLFPVVNTRLLPFELEIYYIQ
+HVMLYVVPIYLLWKGGAYTPEPLSSFRWALLSTGLMFFYHFSILQILGLVTEVNLNNMLC
+PAISDPFYGPWYRIWASGHQTLMTMTHGKLVILFSYMAGPLCKYLLDLLRLPAKKID
+>tr|F1MMK1|F1MMK1_BOVIN Laminin subunit beta 3 OS=Bos taurus OX=9913 GN=LAMB3 PE=1 SV=3
+MRPLLLLYFGLPSLLCAQQACSRGACYPPVGDLLIGRTRFLRASSTCGLTKPETYCAQYG
+EWQMKCCKCDSRVAHNYNSHQVENVVSSSGPTRWWQSQNDVSPVFLQLDLDRKFQLQDIM
+MDFKGPVPAGLLIERSSDFGKTWQVYQYLAADCGSAFPWVRQGQPQGWQDARCQTLPQRP
+NGHPNGAKVQLNLMDLASGIPATQSQKIQELGEITNLRVNFTKLAPVPQRGYHPPSAYYA
+VSQLRLHGSCLCHGHADRCAPSSRTPASPSSAVQVHEVCVCQHNTAGPNCERCAPFYNNR
+PWRPAEDQDPHECQRCDCNGHSETCHFDPAVFAASQGAHGGVCDNCRDHTEGRNCERCQL
+HYFRNRRPGAPIQETCIPCECDPDGAVPGAPCDPLTGQCVCKEHVQGERCDLCKPGFTGL
+TFSNPQGCHRCDCSVLGARRDVPCDEETGRCVCLPHVVGPKCDQCAPHHWKLASGRGCEP
+CACDPHNSLGPQCNQFTGQCACREGFGGLTCRAAAIRQCPDRTYGDAAAGCRACDCDFRG
+TEGPGCDKASGRCLCRPGLTGPRCDQCQRGYCDRAPVCVACHPCFQTYDGGLRERALRLA
+GLRNASAALWPQPGLEDHGLASRMLDAKSKMEQIQATLGDALVTEEEVAQVANAIFSIRR
+TLQGLQLDLPLEEETLSLPGDLGSLDRSFNRLLVTYQSKREQFEKISSADPSGAFRMLTA
+AHQRSSQAAQQVSDSSRRLLQLRDSRREAERLEQQVAGGPGAGGPQLAALRLEMASVPDL
+TPTINQLCGGSREMPCTPGACPGELCPRDNRTACGSRCRGALPRAGGALRTAGQVAEQLR
+AFSTQLQQTRQMIRAAEEAASQVQSDTQLLETQVSASRSQMEEDVRRTRLLIQQVREFLS
+DPDTDTATIQEVSEAVLALWLPTDSATVLQKMNEIQAIAARLPNVDLVLSQTKQDVARAR
+RLQAEAEQARSRAHAVEGQVEEVLGALRQGTLALQEAQDTMRGTRRSMRLIQDRVAEVQQ
+VLGPAERLVTSMTQQLGGFRARMEELGRRARQQQAQAAQARQLAEEASKEALNAQEGFER
+VKQKYAELKDRLDQSSMLGEQGSRILSVKTEAEELFGETMEMMDRMRDMESELLQGSQAI
+VLRSADLTGLEQRVEQIRNHINGRVLYYATCK
+>tr|A4FV00|A4FV00_BOVIN Mitogen-activated protein kinase OS=Bos taurus OX=9913 GN=MAPK10 PE=2 SV=1
+MSKSKVDNQFYSVEVGDSTFTVLKRYQNLKPIGSGAQGIVCAAYDAVLDRNVAIKKLSRP
+FQNQTHAKRAYRELVLMKCVNHKNIISLLNVFTPQKTLEEFQDVYLVMELMDANLCQVIQ
+MELDHERMSYLLYQMLCGIKHLHSAGIIHRDLKPSNIVVKSDCTLKILDFGLARTAGTSF
+MMTPYVVTRYYRAPEVILGMGYKENVDIWSVGCIMGEMVRHKILFPGRDYIDQWNKVIEQ
+LGTPCPEFMKKLQPTVRNYVENRPKYAGLTFPKLFPDSLFPADSEHNKLKASQARDLLSK
+MLVIDPAKRISVDDALQHPYINVWYDPAEVEAPPPQIYDKQLDEREHTIEEWKELIYKEV
+MNSEEKTKNGVVKGQPSPSGAAVNSSESLPPSSSVNDISSMSTDQTLASDTDSSLEASAG
+PLGCCR
+>tr|A6QPB7|A6QPB7_BOVIN N-acetylated alpha-linked acidic dipeptidase like 1 OS=Bos taurus OX=9913 GN=NAALADL1 PE=2 SV=1
+MQWVKVLGGVVGAAALLGLGIIVGHFAIPKGADSPAPSASASQDLDLKILETVIEQLDAN
+RIRENLRELSKEPHLATTPRDEALVQLLLQRWQDPESGLDSARTTEYEVLLSFPSGEQPN
+RVAVVNSTGAILFSCRHSEENLTGEQGGPNVVPPYAAYAPPGTPQGPLVYANQGSEEDFK
+ELQNKGVKLQDSIVLTRYGGVGRGAKAVNAAKYGVAGVLVYTDPKDINDGKSLPNETFPH
+SWCLPPSGVERGSYFEYFGDPLTPYLPAMPSSFRLNSDNASGFPPIPIQPIGFEDAQVLL
+CNLGGNLAPADWQGGLGCDYNLGPGFRSNGIFPEDGQVNVSVHNRLELRNSSNVLGIIRG
+AVEPDRYVLYGNHRDSWVHGAVDPSTGTAVLLELSRVLGTLLKKGTWRPRRSIVFASWGA
+EEFGLIGSTEFTEEFFSKLQERAVAYINVDISVFANATLRVQGTPPIQSVVFSAAKQISA
+PGSSGLSIYDNWIRYYNRSSSVYGLVPSVGTLGAGSDYAPFIHFLGISSMDIAYTYDRSK
+TSARIYPTYHTAFDTFDYVDKFLDPGFSSHQAVARTAGSVLLRLSDSLFLPLNVSDYSET
+LRSFLQAAEDLRVLLEQHGISLGPLVTAVERFEGAATAFNQRIATLREGTPDPLQVRMIN
+DQLMLLERTFLNSQAFPEERYYSHVLWAPRTGSVATFPGLSNAYSQAKNTGHEPAAWAEV
+QRQLSIVVAALEGAAATLRPVADL
+>tr|Q1JQC0|Q1JQC0_BOVIN Ras association (RalGDS/AF-6) domain family (N-terminal) member 7 OS=Bos taurus OX=9913 GN=RASSF7 PE=2 SV=1
+MLSGLAAMELKVWVDGIQRVVCGVSEQTTCQEVVIALAQAIGQTGRFVLVQRLREKERQL
+LPQECPVGAQATCGQFASDVQFVLRRTGPSLAGRPSSDSCPPPERCPIRASLPPKPRPAL
+DREPCKALTFSLGCSGQAPGPVPPEPLAPVVPVPGCCTDLQGLERRVRRNAVELGQEAFW
+EQELRREQAREREAQARLQALSAATAEHAARLQALDAQARALEAELHLAAEAPRPSSPTA
+SVAERLRQDLATQERQSMEVQGSLALVGRALEAAEHALQAQAQELEELNRELRQCNLQHF
+IQQTGAALPPPPRPDGDPTGTQDLLPPSREEPFTGGPRSPALVSSLNPEIAPMRQNSLR
+>tr|Q2KJB3|Q2KJB3_BOVIN Angiopoietin-like 3 OS=Bos taurus OX=9913 GN=ANGPTL3 PE=2 SV=1
+MYTIKLFLIIAPLVISSRTDQDYTSLDSISPEPKSRFAMLDDVKILANGLLQLGHGLKDF
+VHKTKGQINDIFQKLNIFDQSFYDLSLQTNEIKEEEKELRRATSKLQVKNEEVKNMSLEL
+DSKLESLLEEKILLQQKVRYLEDQLTDLIKNQPQIQEYLEVTSLKTLVEQQDNSIKDLLQ
+IVEEQYRQLNQQQSQIKEIENQLRRTGIKESTEISLSSKPRAPRTTPSFHSNETKNVEHD
+DIPADCTIIYNQGKHTSGIYSIRPSNSQVFNVYCDVKSGSSWTLIQHRIDGSQNFNETWE
+NYKYGFGRLDGEFWLGLEKIYSIVMQSNYILRIELEDWKDKYYTEYSFHLGDHETNYTLH
+LAEISGNGPKAFPEHKDLMFSTWDHKAKGHFNCPESNSGGWWYHDVCGENNLNGKYNKPK
+AKAKPERKEGICWKSQDGRLYSIKATKMLIHPSDSENSE
+>tr|A6QR17|A6QR17_BOVIN S1PR3 protein OS=Bos taurus OX=9913 GN=S1PR3 PE=2 SV=1
+MAMILTPRPRPYTSNETLHEHYSYVGKLEGRLKDAPEGSTLTTVLFLIICSFIVLENLMV
+LIAIWKNNKFHNRMYFFIGNLALCDLLAGIAYKVNILMSGKRTLSLSLTVWFLREGSMFV
+ALGASTCSLLAIAIERHLTMIKMRPYDANKKHRVFLLIGMCWLIAFSLGALPILGWNCLH
+NLPDCSTILPLYSKKYIAFCISIFMAILVTIVILYARIYFLVKSSSRRVASPHNSERSMA
+LLRTVVIVVSVFIACWSPLFILFLVDVACKVKECAVLFKAQWFIVLAVLNSAMNPIIYTL
+ASKEMRRAFFRLVCTCLVRGRGAHSSPIQPALDPSRSKSSGSNNSSPSPKSKEDLPQTAA
+SPCITDRNKTLQNGVIYK
+>tr|A0A3Q1NE82|A0A3Q1NE82_BOVIN AHNAK nucleoprotein OS=Bos taurus OX=9913 GN=AHNAK PE=1 SV=1
+MEKEEETTRELLLPNWQGSGSHGLTITQRDDGVFVQEVMQNSPAARTGVVKEGDQIVGAT
+IYFDNLQSGEVTQLLNTMGHHTVGLKLHRKGDRSPEPGQTWTHEVFSSHSSEVVLSGDDE
+EYQRIYTTKIKPRLKSEDGVEGDAGETQSRTITVTRRVTAYTVDVTSREGAKDIDISSPE
+FKIKIPRHELTEISTVDVETQPGKTVIRLPSGSGAGSPTTASTVDIRAGSISTAGPELPG
+AGHSKVQVTVPGVKVGSPGVSVNAKGLDLGSKGGIQVPGVDVSSFLGGMAVEGQGPSLDG
+KIKFPTMKVPKFGVSVGPEGQAPEAGLSVSPPELSVGHKGGKPGLTVAGSIQTPQPEVSA
+PSANTEGLEGKLKGPQITGPSVEGALGLKGAKPQGSLGVDISVPQTEGSISGSRVEVQAP
+DTDIHGPGGKVNVPKVKAPQFSVSGSKGDRPGIDVTLPTGEVTLPGVSGNVDLPEVASGD
+LEGKLKGPKIKAPEVTIQKPKISMQDVDLSLGSSKLKGDIKVSAPGVKGDVKGPQVAVKG
+AKVDIETPSLEGTVTGPKLSGPSGQAGTCRISMADVDLNVAAPKVKGGVDVMLPKVEGKV
+SVPEADVKGPKVDVSAPDVEVHGPDWNLKMPKMKMPKFSTPGVKGEGPDVDVSLPKGDVS
+ISGPKVNVDAPNVNIGGLAANLKGPDVQLPEVSVKTPKLSMPDVDFHVKGPKVKGEYDVT
+APKLEGELKGPTVDIVVPDVDVQGPDWHLKMPKMKMPKFTVPGFKAEGPEVNVNLPKPDV
+DISGPKVDVSAPDMSIEGPEGKLKGPKFKMPEMNIKAPKISIPDVDLHLKGPSVKGEYDV
+TVPKVEGEIKVPDVELKSAKVDIDAPDVDVHGPDWHLKIPKMKMPKFSMAGFKGEGPEVD
+VNLPKTDIDIAEPKLDVEGPDVNIEGPEGKLKGHKFRMPEMNIKAPKISMPDVDLHVKGP
+KVKGEYDVTVPKLEGDLKGPKVDLSAPDVEVHGPDWNLKMPKMKMPKFTMPSLKGEGPEL
+DMNLPKVDLDISAPKVDINAPDLSLEGPEGKLKGPKFKMPEMHFRAPKMTLPDVDMDLKG
+PKMKGSLDVSAPKIEGEMKAPEVDIKGPKLDVKAPDVEVQGADWSLKMPKMKMPKFSMPS
+FKGQGPDVDVNLPKADIDVSGPKVDIEAPDVSLEGPEGKLKGPKFKMPEMHFKGPKISMP
+DVDLNLKGPKVKGDVDVSVPKIEGEMKVPDVDIKGPKVDVDVPDVDVKGPDWHLKMPKVK
+MPKFSMPGFKGEGPEVDVNLPKADIDISGPKVDIEAPDVSLEGPEGKLKGPKFKMPEMHF
+KGPKISMPDVDLNLKGPKVKGDVDVSVPKIEGEMKVPDVDIKGPKVDVDVPDVDVKGPDW
+HLKMPKVKMPKFSMPGFKGEGPEVDVNLPKADIDISGPKVDIEAPDVSLEGPEGKLKGPK
+FKMPEMHFKGPKISMPDVDLNLKGPKVKGDVDVSVPKIEGEMKVPDVDIKGPKVDVDVPD
+VDVKGPDWHLKMPKMKMPKFSMPGFKGEGPEVDVSLPKADIDISGPKVDIEAPDVSLEGP
+EGKLKGPKFKMPEMHFKGPKISMPDVDLNLKGPKVKGDVDVSVPKIEGEMKVPDVDIKGP
+KVDIDVPDVDVQGPDWHLKMPKMKMPKFSVPGFKGEGPEVDVNLPKADIDISGPKVDIEA
+PDVSLEGPEGKLKGPKFKMPEMHFKTPKISMPDIDLNLKGPTVKGDVDVSLPKVEGDLKG
+PDVDIKGPKVDVDIPDVDVQGPDWHLKMPKIKMPKFSMPGFKGEGPEVDVNLPKADIDVS
+GPKVDVEVPDVNIEGPDAKVKGPKFKLPEMNIKPQKISMPDVSLHLKGPKMKGDYDVTVP
+KVEGEIKAPDVDIKGPKVDINAPDAGVHGPDWHLKMPKVKMPKFSMPGFKGEGPEVDVNL
+PKADIDISGPKVDIDAPDVNIEGPEGKLKGPKFKMPSMHIQTHKISMPDVGLNLKSPKLK
+TDVDVSLPKVEGDLKGPGIDVKAPKMDVDVGDIDIECPEGKLKGPKFKMPEMHFKAPKIS
+MPDVDLNLKGPKVKGDVDVSVPKIEGEMKVPDVDIKGPKVDVDVPDVDIKGPDWHLKMPK
+VKMPKFSMPGFKGEGPEVDVNLPKADIDISGPKVDVEAPDVSLEGPEGKLKGSKFKMPKL
+NIKAPKISMPDVDLNLKGPKLKGEIDVSVPELEGDLKGPQVDIKGPSVDVEVPDVDLECP
+DAKLKGPKFKMPEMHVKAPKISMPDVDLHLKGPKVKGDVDVSVPKLEGDLKGPSVDVEVP
+DVDLECPDAKLKGPKFKMPEMHFKAPKISMPDVDLHLKGPKVKGDVDVSVPKLEGDLKGP
+SVDVEVPDVDLECPDAKLKGPKFKMPEMHFKAPKISMPDVDLNLKGPKVKGDVDVSVPKI
+EGEMKVPDVDIKGPKVDVDVPDVDVKGPDWHLKMPKMKMPKFSMPGFKGEGPEVDVNLPK
+ADIDISGPKVDIEAPDVSLEGPEGKLKGPKFKMPEMHFKGPKISMPDVDLNLKGPKVKGD
+VDVSVPKIEGEMKVPDVDIKGPKVDIDVPDVDVQGPDWHLKMPKMKMPKFSMPGFKGEGP
+EVDVNLPKADINISGPKMDIKGPDVNLEGPEGKLKGPKFKMPEMNIKAPKISMPDFDLHL
+KGPKVKGDVDVSLPKVEGDLEAPEVDIKGPKVDVAVPDVDVHGPDWHLKMPKMKMPKFSM
+PGFKAEGPDMDVNLPKADIDVSGPKVDIEGPDVHLEGPEGKWKSPKFKMPEMHFKTPKIS
+MPDIDLNLTGPKIKGDVDVTCPKVEGDFKGPEIDLKGPKVDIDVPDVDVQGPDWHLKMPK
+MKMPKFSMPGFKGEGPEVDVNLPKADIDVSAPKVDIEGPDVNLEGPEGKLKGPKFKMPEM
+NIKAPKISMPDFDLHLKGPKVKGDVDVSLPKVEGDLEAPEVDIKGPKVDVAVPDVDVHGP
+DWHLKMPKMKMPKFSMPGFKGEGPEVDVNLPKADIDISGPKVDIEGPDVSLEGPEGKLKG
+PKFKMPEMNIKAPKISMPDIDLNLKGPKVKGDVDVSLPKVEGEMKVPEVDIKAPKVDIDV
+PDVDVHGPDWHLKMPKIKMPKISMPGFKGEVPEVDVNLPKADIDVSGPKVDIDVPDVNIE
+GPDAKLKGPKFKTPEMNIKAPKISMPDLDLNLKGPKVKGEVDVSLPNVEGDLKGPSLDIK
+GPKMDVDAPDIDIHGPEGKLKGPKLKMPDMHVNMPKISMPEIDLNLKGSKLKGDVDVSGP
+KLEGDIKAPKLDIKGPEVDIPGPKLNIEGKSKKSRFKLPKFNFSGSKVQTPEVDVKVKKP
+DLDVTGPKVDINAPDVEVQGKVKGPKFKMPFLSISSPKVSMPDVELNLKGPKVKGDLDVA
+GPNLEGDLKGPKVDIKAPEIHLDAPDVDVHGPDWNLKMPKMKMPKFSVPGLKAEGPDMAV
+DLPKGDINIEGPKMDIEGPEVKVEGLDGGLKGPKFKMPDINIKAPKISMPDIDLNLKGPT
+VKGDVDISLPKVEGDLKGPDIDIKGPKVDIDVPDVDVHGPDWHLKMPKVKMPKFSMPGFK
+GEGPEVDVNLPKADIDVSGPKVDIDVPDVNIEGPDAKLKGPKFKMPEMNIKAPKISMPDI
+DLNLKGPKVKGDVDISLPKVEGDLKGPEVDIKGPKVDIDVPDVNIEGPDAKLKGPKFKMP
+EMNIKAPKISMPDLDFNLKGPKLKGDVDVSLPKVEGDLKGPEVDIKGPKVDVETPDINIE
+GSEGKLKGPKFKMPEMHLKAPKISMPDIDLNLKGPKVKGDVDISLPKVEGDLKGPEVDIK
+GPKVDIDAPDVDVQGPDWHLKMPKMKMPKFSMPGFKGEGPEVDVNLPKADIDVSAPKVDI
+EGPDVSLEGPEGKLKGPKFKMPEMNIKAPKISMPDFDLHLKGPKVKGDVDVSLPKVEGDL
+KAPEVDIKGPKVDIDAPDVNVHGPDWHLKMPKIKMPKISMPGFKGEGPEVDVNLPKADID
+ISGPKVDIDTPDIDIHGPEGKLKGPKFKMPDLHLKAPKISMPEVDLNLKGPKVKGDVDVS
+LPKVEGDLKGPDVDIKGPKVDIDVPDVDVHGPDWHLKMPKVKMPKFSMPGFKGEGPEVDV
+NLPKADIDISGPKVDIDVPDVNIEGPDAKLKGPKFKMPEMNIKAPKISMPDIDLNLKGPK
+VKGDVDISLPKVEGDLKGPEVDIKGPKVDIDVPDVDVHGPDWHLKMPKMKMPKFSMPGFK
+GEGPEVDVNLPKADIDVSGPKVDIDVPDVNIEGPDAKLKGPKFKMPEMNIKAPKISMPDL
+DFNLKGPKMKGDVDISLPKVEGDLKAPEVDIKGPKLDIDAPDISIEGPEGKLKGPKFKMP
+EMNIKAPKISMPDFDLNLKGPNVKGGVDLSLPKVEGDLKGPEVDIEGPEGKLKGPKFKMP
+DVHFKTPQISMSDIDLNLKGPKIKGDLDTSIPKLEGDLKGPKVDVKGPALDIDTPDIDIH
+GPEGKLKGPKFKMPDLHLKAPKISMPEVDLNLKGPKVKGDVDVSLPKVEGDLKGPDVDIK
+GPKVDIDVPDVDVHGPDWHLKMPKVKMPKFSMPGFKGEGPEVDVNLPKADIDISGPKVDI
+DVPDVNIEGPDAKLKGPKFKMPEMNIKAPKISMPDIDLNLKGPKVKGDVDISLPKVEGDL
+KGPEVDIKGPKVDIDVPDVDVHGPDWHLKMPKVKMPKFSMPGFKGEGPEVDVNLPKADID
+ISGPKVDIDVPDVNIEGPDAKLKGPKFKMPEINIKAPKISMPDVDLDLKGSLGKGDFDVS
+VPKIEGTFKGPEVDLKGPSLDVEGPDAKLSGPRLKMPSLDISAPKVTAPDIDLHLKTPKI
+GISGPKLEGGELDLKGPKVDLEAPSLDVHVESPDVNIEGPDVKIPKFKKPKFGFGAKSPK
+ADFKSPILDVTVPEAELNVEAPDISVGGKGKKSKFKMPKIHMSGPKMKAKKQGFDLNAPG
+GEIDASLKSPDIDVNVAGPDASLKVDVKSPKTKKPMFGKMYFPDVEFDIKSSKFKAEASL
+PSPKIGGEIQAPDLDISSPGINVEGPDIKLKAPKIKAPGVDVSGPKIEGDLKGPRVQANL
+DAPDVNIEGPDAKVKAPSFGISAPQVSIPDVNVSLKGPKIKGDVPSVGLEGPDVDLQGPE
+SKIKFPKFSMPKIGIPGVKVDGGGAEVQLPSLEGGLNAPDVKLEGPDVSLKGPKVDLPSV
+NLSTPKISGPDLDLNLKGPSLKGDMDVSVPSMRVHAPGFDLRGAGGKVKVEGDGVKVPGI
+DATAALDIGVPDVTLKGPSLQGDLAVSGDIKCPSVSVGAPDLSLEAPEGGIKLPKMKLPQ
+FGISAPGSDVDINVKGPQVTGELKGPGMDVNLGGLNLKGPQISGPQISVPDVDLSLEGPK
+VKGSLGAGGEMKGPTVGLPGISVQGLEGSLQVPGMKSSGCDVALPGVNVKLPTGQISGPE
+IKGDLKGSGIGFRGAAPDIDVKGPSLNVASPESDFGVSLKGPKIKGGMDVSGGVSAPDIS
+LGEGHVNVKGSGGEWKGPQLSSALNLDAPAFAGGLHFSGPKVEGGVKGGQVGLQGPGLSV
+SGPQGHLESGSGKVTFPKMKIPKFTFSGRELVGREVGVDINFPKVEASIQSGAGEGEWEE
+SDVKLKKSKIKMPKFTFSKPKGKAGVAGSPEASVSGSKGDLKSSKASLGSLEGEAEVDTS
+SPKGKFSLFKSKKPSHRSNSFSDEREHSAPTTPTGTLEFEGGEVALEGGKVKGKHGKLKF
+GTFGGLGSKSKGHYEVTGSDDEAGKLQGSGVSSSSKKSRLSSSSSNDSGTKVGIQLPEVE
+LTVSTKKE
+>tr|G3N387|G3N387_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LCOR PE=4 SV=2
+MQRMIQQFAAEYTSKNSSTQDPSQPNSTKNQSLPKASPVTTSPTAATAQNPVLSKLLMAD
+QDSPLDLTVRKSQSEPSEQDGVLDLSTKKSPCAGSTSLSHSPGCSSTQGNGENSAEAIAV
+HSNHQSKSPLEKFMVKLCTHHQKQFIRVLNDLYTESQPSSEDLQSSDSGAMDASTCDAGC
+AQLGTRHAEKDALCLNMKSSTSVDLSIDSGGSHSPPHLTEQALKEPLPETNSVDGREKTL
+TIVQKDSSELPTTKPNSMDNSTLGYLTAPNSSSLNFHRISKNLEGQTTEQEQDTDVKICE
+DGKDHVQSAALVENLIAVKAAAENNEESNSCIVSQRNSFKALSEEAWDSGFMGNSPRTAD
+KENALQCSSKTPLRQDLEACEQDSRPKQENHLHSLGRNKMGYHLQPSDKSQFDHSKDGWL
+APSPMPPVHKASNGHSRTKMIPTSIKTARKSKRASGLRINDYDNQCDVVYISQPITECHF
+ENQRSILSSRKTARKSTRGYFFNGDCCELPTVRTLAKNLHSQEKASCSTVASEAVVTPKQ
+TLVTSAPQPTVEVEHPREDKPEEPSKETTPLTEGDRDASPEKESQEPEVCLVTNNANPSG
+SPTSKETAASSPVWPLPAHLPEEDPPEGSSMVSAPTGSEMSSPERDQQPAEVLSTEEMSV
+PRDCPLLPSADSISEGGSDDVAPRPVSPPETAEREESPLCSESQSASAGLDPPMSPGKAE
+EEPSVATEAETEDAQELDTDPLSKESSTLTNENPSEIEESEAAGGTEKLEGEDGDVKHPS
+EKDACDQNTESPEENLDKKKKGRKFPEASDRCLRSQLADSSSAERCLKNQSSDSSSPSAD
+VKVSKSSGAKRSKKEGYPVGTIPESFPTEGCHTKALEDTENPVVNEKTPEKDAEQEVEVG
+GVITRQTLKNMLVKEVKGEEGSIFPSSDPLATVGQTLPGEKLEIYVQSKLGENSTQEPSE
+SIPCTFPEQSQGKPGPVPAKETEEAVNETDSADSQHKDGDSDDVPSSTLGSSSSGNDDTA
+VPPKWAPRLTRLTSSTYNLRHTHSLDSLDTIKVTSEKEAAQGSPIPKENAASESGDPIDE
+GDVDTVMDEQPKFVEWCAEEENQELIANFNAQYMKVQKGWIQLEKEAQPTPRARNKSDKL
+KEIWKSKKRSRKCRGSLEVQKFSPVQMLFMTNFKLSNVCKWFLETTETRSLVIVKKVNTR
+LPGDIPPVKHPLQKYPPSSLYPSSLQAERLKKHLKKFPGATPAKNNWKTQKLWAKFRENP
+DQVEPEDGSDVSLSSSPEESVEEAKEGRSSHPPTSSPTPASTRILRKYSNIRGKLRAQQR
+LIRNEKLESPVGPAVESKQSCKSVCINPLMSPKLALQVGADGFPIKPKSPDATKARKGKQ
+VPEVLPKAEVQNKRRRTEGGSTQDRKDKGPAVRASRERHVDGSTRISAAKKPAARDRSSQ
+LPKKTSSKENKVKIPKKSPGKSCPPSRKEKENANKRPTQPSASDPVTKSAKQKGAGESSS
+RPQKATNRKQSSGKTRARPSTKTPENSAAQRKRKRKAKLDSSHSKRRRLDAK
+>tr|A0A3Q1LN78|A0A3Q1LN78_BOVIN ADP ribosylation factor interacting protein 1 OS=Bos taurus OX=9913 GN=ARFIP1 PE=4 SV=1
+MSDCSNDTSTLGTSKPATEEVKSSSEESTMAQESPKNSAAEIPVTSNGEVDDSHEHGFNR
+DLKRSLPAGLGLSETQITSHGFDSTKEGVIEAGSFQGSPAPPLPSVMSPSRVAASRLTQQ
+GSDLIVPAGSQRTQTKSGPVILADETKNPAMEKLELVRKWSLNTYKCTRQIISEKLGRGS
+RTVDLELEAQIDILRDNKKKYENILKLAQTLSTQLFQMVHTQRQLGDAFADLSLKSLELH
+EEFGYNADTQKLLAKNGETLLGAINFFIASVNTLVNKTIEDTLMTVKQYESARIEYDAYR
+TDLEELNLGPRDANTLPKIEQSQHLFQAHKEKYDKMRNDVSVKLKFLEENKVKVLHNQLV
+LFHNAIAAYFAGNQKQLEQTLKQFHIKLKTPGVDAPSWLEEQ
+>tr|A5PKJ8|A5PKJ8_BOVIN PCDHGA8 protein OS=Bos taurus OX=9913 GN=PCDHGA8 PE=2 SV=1
+MAAPKNYGGRGELVLLCALLGALGKIGRGQIHYSVPEETDKGSFVGNISKDLGLESQELS
+KHGVRIVSRGRTQLFALNPRSGSLVTAGRIDREELCAQSARCFVNINILVEDEGKLWGIE
+VEITDINDNNPKFQVENLEVKINEIALPGTRYPLPEAVDPDVGLNSLQSYQLSPNNHFSL
+DVQTGDDGAVSPELVLERALDREEEAAHYLVLIATDGGEPRRSSTVHIRVTVLDTNDNAP
+VFAQPIYRVKVPENVPPGTRLLTVSASDPDEGTNGEVAYKFWKISEKQSPLFQLNENTGE
+ISTAKSLDYEECTFYDMEIQAEDVGALLGRTKVLISVEDVNDNRPEVTITSLFSPVLENT
+LPGTVIAFLNVHDRDSGKNGQVVCYTRDNLPFQLEKSIDNYYRLVTWKYLDREKISMYNI
+TVAASDLGTPPLSTEIHIALQVADINDNPPIFPHASYSAYIPENNPRGASIFSVTAHDHD
+SGSNAQVTYSLTKGSIMAVPLSSYVSINSDTGVLYALHSFDYEQIQDLQLLVTARDNGDP
+QLSSNVSLSLFILDQNDNAPEILYPMLPTDGSTGVELAPRSAEPGYLVTKVVAVDRDSGQ
+NAWLSYRLLKASEPGLFTVGLHTGEVRTARALLDRDSLKQSLVMTVHDHGQPPLSATVTL
+TVAVADSIPDVLADLGSIRTLTNSEDSDLTLYLVVAVAVVSCIFLAFVIVLLSLRLWRWH
+KSRLLQASSGRLAGLPASGFVGMEGVQAFLQTYSHEVSLTSDSPRSHMIFPQPNYADMLI
+SQDSCEKNDSLLTSIDFHECRDEAAAVQQAPPNTDWRFSQAQRPGTSGSQNGDETGTWPN
+NQFDTEMLQAMILASASEAADGSSTLGGGAGTMGLSARYGPQFTLQHVPDYRQNVYIPGS
+NATLTNAAGKRDGKAPAGGNGNKKKSGKKEKK
+>tr|Q08DB1|Q08DB1_BOVIN T cell activation RhoGTPase activating protein OS=Bos taurus OX=9913 GN=TAGAP PE=2 SV=1
+MKLTSSCHASKTLNACDMETLIECQSEGNIKEHPLLASCESEDNICQLIEIKKRKKVSNW
+LLLMRRLSSSSDVSAASEPELKTSLFDQPLSAICSDNTLPGPIQDILTILCLKGPSTEGI
+FRKAANEKARKELKEELSSGGVVDLRSLPVHLLAVVLKDFLRSIPLKLLSCDLFEEWMGA
+LAKQSEEDRIEALKQVADKLPRPNHLLLKHLVSVLHVISKNSEVNRMDASNLAICIGPNV
+LSPENEHNLSLEARRDLNDKVKTLVEFLIDNCFEIFGEDFPAHSRIASDDSLEHTDSSDM
+STLQNDSAYDSNDPDHDVEPAGSPSSQPPGPPELAAGGVEPRAPLRPWEPVVNTTARLKG
+FLGQPDRRYSDPSTTFSPECLEGRRANPKLTRSEDDFTAVAQAASRFAGEEAEDPFPEEV
+FPAAEGRAQRPRDLGEWSPTQGSVSPCARVPKAPSSSSLDAFSDSSPLASPSSPKRNFFT
+RHQSFTKAEKSKPNREIKKHSMSFSFASHQRGLTKMRSFGATKSKGCPRDQEKRGSKKES
+QLAGRIVQESSSDAPGQAVLGFNSGAYALSVEDVFRLVDQRHPGRPPSYEEAVRLQALEL
+APRGGQTVGSLRARVLSLDAGLLPPLPAHPHGDSRNIRGPEPLDGLRGGLGTETWRQSCA
+PKDTAGRVMVPGTSELQRLRTASESQQKGRQAVLARRCSQPVFDAEQLRFAKESYI
+>tr|A4IFV9|A4IFV9_BOVIN PRG3 protein OS=Bos taurus OX=9913 GN=PRG3 PE=2 SV=1
+MKGCLLLLLLLLGTVSALYLENHVPHVGSPETQADLSQDAEGSGGQEGELALSGEVLESG
+REEAEDTHDDEGASDPDDLDEDVQCPKEEETVQLPGSPECKSCRYRLVGTPKKFKKAQRV
+CRKCYRGNLASIHSLKFNLQVQSLATRINEAQVWIGGFIRGWCLWRTYRWTDGSSWNFTY
+WARWQPTFGRGRCVALCTRGGHWRRAPCKRRLPFICSY
+>tr|E1BP11|E1BP11_BOVIN Regulatory factor X1 OS=Bos taurus OX=9913 GN=RFX1 PE=4 SV=3
+MATQAYVTELQAAPQPSQPPQAPPQAQPPPPPSAAPQPPQPPATAATPQPQYVTELQNPQ
+PQAQPPGSQKPYVTELPAAPTPSQPAGAPTPSPASQQYIVVTVSEGAMRASETVSEASPG
+STASQTGVPTQVVQQVQGTQQRLLVQTSVQAKPGHVSPLQLTNIPVSQQALPTQRLVVQS
+TAPGGKGGQVSLTVHGTQQVHSPPERSPVQANSSSGKTAGAPTGTVPQQLQVHGVQQSVP
+VTQERSVVQATPQVPKAGPVQQLTVQGLQPVHVAQEVQQLQQVPVSHVYPSQVQYVEGGD
+ASYTASAIRSSTYSYSETPLYPQTAGTSYYEAAGTAAQVSTPATSQAVASSGSVPMYVSG
+SQVVASSTSSGSGASSSSGSGSGSSSSGGGGGGGGGGGGGGSGGGAGTYVIQGGYMLGGA
+SQSYSHTTRASPATVQWLLENYETAEGVSLPRSTLYCHYLLHCQEQKLEPVNAASFGKLI
+RSVFMGLRTRRLGTRGNSKYHYYGLRIKASSPLLRLMEDQQHMAMRGQPFSQKQRLKPIQ
+KMEGMTNGVAVGPQQATGLSDISAQVQQYQQFLDASRSLPDFSELDLQGKVLPEGIGPGD
+IKAFQVLYREHCEAIVDVMVNLQFTLVETLWKTFWRYNLSQPSEAPPLAVHDEAEKRLPK
+ASLVLLSKFEPVLQWTKHCDNVLYQGLVEILIPDVLRPIPSALTQAIRNFAKSLESWLTH
+AMVNIPEEMLRVKVAAAGAFAQTLRRYTSLNHLAQAARAVLQNTAQINQMLSDLNRVDFA
+NVQEQASWVCRCEDRVVQRLEQDFKVTLQQQNSLEQWAAWLDGVVSQVLKPYQGSTGFPK
+AAKLFLLKWSFYSSMVIRDLTLRSAASFGSFHLIRLLYDEYMYYLIEHRVAQAKGETPIA
+VMGEFANLATSLNPLDPDKDEEEEEEEDSEDELPQDISLAAGGESPALGPEALEPPAKLA
+RTDARGLFVQALPSS
+>tr|A5PJP5|A5PJP5_BOVIN PINK1 protein OS=Bos taurus OX=9913 GN=PINK1 PE=2 SV=1
+MAVRQALGRGLQLGRALLLRFTAKPGPAYGWGRPERPGPAAGWGRGERPGPAAGPGTEPC
+RLGLGLPGRYRFFRQSVAGLAERLQRQFVVRARGGAGPCGRAVFLAFGLGLGLIEEKQAE
+GRRAASACEEIQAIFTQKNKLLPDPLDTRRWQGFRLEEYLIGQSIGKGCSAAVYEAAMPV
+LPQSLEEAESLGQLLPGKGPEILPRGEEAPAPRAPAFPLAIKMMWNISAGSSSEAIFSTM
+SQELVPASRVALAGEYGAVTDRRPKGGPKQLAPHPNIIRVLRAFTSSVPLLPGALVDYPD
+VLPPRLHPAGLGHGRTLFLVMKNYPCTLRQYLRGNTPSPRLATVMTLQLLEGVDHLVQQG
+VAHRDLKSDNILVELDADGCPWLVITDFGCCLADERVGLQLPFTSWYVDRGGNGCLMAPE
+VSTACPGPRAVIDYSKADAWAVGALAYEIFGLPNPFYGQGRAHLESRSYQEAQLPALPEW
+VPRDVRCLVRLLLQREVCKRPSARVAANVLHLSLWGEHTLAPKNLKLDKMIAWLLQQSAA
+TLLANRLTEKNCVETKMKMLFLANLEYEALSQAALLLDSWRAAPRQLL
+>tr|Q32KU8|Q32KU8_BOVIN NudC domain containing 2 OS=Bos taurus OX=9913 GN=NUDCD2 PE=2 SV=1
+MSAPFEERSGVVPCGTPWGQWYQTLEEVFIEVQVPPGTRAQDIQCGLQSRHVALAVGGRE
+ILKGKLFDSTIADEGTWTLEDRKMVRIVLTKTKRDAANCWTSLLESDYAADPWVQDQMQR
+KLTLERFQKENPGFDFSGAEISGNYTKGGPDFSNLEK
+>tr|A0A3Q1MQ98|A0A3Q1MQ98_BOVIN Transporter OS=Bos taurus OX=9913 GN=SLC6A6 PE=3 SV=1
+MATKEKLQCLKDFHKDILKPSPGKSPGTRPEDEAEGKPPQREKWASRIDFVLSVAGGFVG
+LGNVWRFPYLCYKNGGGAFLIPYFIFLFGGGLPVFFLEVIIGQYTSEGGITCWEKICPLF
+AGIGYASIVIVSLLNIYYIIILAWAMYYLFQSFQSELPWAKCNHSWNTPHCLEDTLRRNR
+SLWISNSTANFTSPVTEFWERKVLSLSSGIDEPGALKWDLALCLLLVWLVCFFCIWKGVK
+STGKVVYFTATFPFAMLLVLLVRGLTLPGAGAGIKFYLYPDISRLEDPQVWIDAGTQIFF
+SYAICLGAMTSLGSYNKYKYNSYRDCMLLGCLNSGTSFVSGFAIFSILGFMAQEQGVDIA
+DVAESGPGLAFIAYPKAVTMMPLPTFWSILFFIMLLLLGLDSQFVEVEGQITSLVDLHPS
+LLRKGFHREIFIASICCVSYLLGLTMVTEGGMYVFQLFDYYAASGVCLLWVAFFECFAIA
+WIYGSDNFYDGIEDMIGYRPGPWMKYCWAVVTPLLCTGCFIFSLVKYVPLTYNKVYTYPT
+WAIGLGWCLALSSMVCVPLVMVIRLAQTEGPFLVRLKYLLTPREPNRWAVEHEGAMPYSS
+RMAVNNTLRKPTHIIVETMM
+>tr|E1BJJ0|E1BJJ0_BOVIN Solute carrier family 16 member 12 OS=Bos taurus OX=9913 GN=SLC16A12 PE=4 SV=1
+MAKVKRARSTSPPDGGWGWMIVAGCFLVTICTRAVTRCISIFFVEFQTYFSQDYAQTAWI
+HSIVDCVTMLCAPLGSVVSNHFSCQVGIMLGGLLASTGLILGSFATSLKHLYLTLGVLTG
+LGFALCYSPAIAMVGKYFSRRKALAYGISLSGSGIGIFILAPVVQLLIEQFSWRGALLIL
+GGFVLNLCVCGALMRPITLKEDQTTPEQNHVCRTQTQDFKQASPCSTWTKEWVQTCLCGS
+LQQEYSFLLMSDFVVLAVSVLFMAYGCSPLFVYFVPYALSVGVTHQQAAFLMSILGVIDI
+IGNITFGWLTDRRCLKNYQYVCYLFAIGLDGLCYLCLPMLQSLPLLVPFACTFGYFDGAY
+VTLIPVVTAEIVGTTSLSSALGVVYFLHAVPYLVSPPIAGWLVDTTGSYTAAFLLCGFSM
+IFSSLLLGFARVVKKMKRTQLRFLAKESDPKLQLWTNGSVAYSVARELDQRDGESVALAM
+LGSSPT
+>tr|A5D791|A5D791_BOVIN Eukaryotic translation initiation factor 2 alpha kinase 3 OS=Bos taurus OX=9913 GN=EIF2AK3 PE=1 SV=1
+MERATGPGSLARTLLLPLLLGLVAGTVTARRTSDLLAPTAEAAFGLGAAAAPTSATRVPA
+TGAVIAAEVTVEDSEALPAAAGEQEAREPEPEPEEEPDIRPCGRSLVIISTLDGRIAALD
+PENHGKKQWDLDVGSGSLVSSSLSKPEVFGNKMIIPSLDGDLFQWDRDRESMETVPFTVE
+SLLESSYKFGDDVVLVGGKSLTTYGLSAYSGKVRYICSALGCRQWDNDEMEQEEDILLLQ
+RTQKTVRAVGPRSGNEKWNFSVGHFELRYIPDMETRAGFIESTLKPSGNKEQSKIISDVE
+EQEAVMMDTVIKVSVADWKVMAFNKKGGHLEWEYQFCTPIASAWLVKDGKVIPISLFDDT
+SYAPNDGVLEDEEDIVEAARGATENSVYLGMYRGQLYLQSSVRISEKFPTSPKALESVSS
+ENAIIPLPTIKWKPLIHSPSRTPVLVGSDEFDKCLSNDKFSHEEYSNGALSILQYPYDNG
+YYLPYYKRERNKRSTQITVRFLDNPNYKNIRKKDPVLLLHWWKEIVGTIVFCIVATTFIV
+RRLFHPHPHRQRKESETQCQTENKYDAVSGEANDSSWNDIKNSGYVSRYLTDFEPIQCMG
+RGGFGVVFEARNKVDDCNYAIKRIRLPNRELAREKVMREVKALAKLEHPGIVRYFNAWLE
+APPEKWQEKMDEIWLKDDSTDWPLSSPSPVDAPSVKIRRMDPFSTKECIEIIAPSPHSSR
+SFSVGISCSQTSSSESQFSPLEFSGMDHGNVSKSADAVCQLQDSCLTDCEGEDGTMDGND
+EGHSFELCPSEASPYIRSRERTSSSIVFEDSGCDNASSKEEPRMNQPPVGNHYANKLSAL
+RHSGSKSSEPTVSVSPSRPTTLSLDLTKSSVGKLQPSSPKVYLYIQMQLCRKENLKDWLN
+SRCTIEARERSVCLHIFLQIAEAVEFLHSKGLMHRDLKPSNIFFTMDDVVKVGDFGLVTA
+MDQDEEDQMVLTPMPGYARHTGQVGTKLYMSPEQIHGNSYSHKVDIFSLGLILFELLYPF
+GTQMERVRILTDVRDLKFPPLFAQKYPREYAMVQDMLSPSPTERPEAASIIENAIFEDLE
+FPEKTLLRQRSRSMSSPGAKHSRHSSSPHSPLPSN
+>tr|F1MMR8|F1MMR8_BOVIN Nudix hydrolase 5 OS=Bos taurus OX=9913 GN=NUDT5 PE=3 SV=1
+MEQETAGSSRNTEQSIISEELIAEGKWVKLEKTTYRDPTGKTRTWETVKRTTRKGQSADG
+VAIIPVLQRTLHYECIILVKQFRPPMGGYCLEFPAGLIDDNESPEAAALRELEEETGYKG
+DVAECSPAVCMDPGLSNCTAHIVTVTINGDDAENVRPKPKPGDGGTSSHPGG
+>tr|A0A3Q1LX31|A0A3Q1LX31_BOVIN Histatherin OS=Bos taurus OX=9913 GN=HSTN PE=4 SV=1
+MKIFIFVFIMALILAMIVSILGIQKRHRKRKKHHRGYFQQYQPYQRYPLNYPPAYPFP
+>tr|A6QM08|A6QM08_BOVIN CCDC85A protein OS=Bos taurus OX=9913 GN=CCDC85A PE=2 SV=1
+MSKTAGGASAAESCPPTSAGPSAAAAVEDLSKVSDEELLQWSKEELIRCLRRAEAEKVSA
+MLDHSNLIREVNRRLQLHLGEIRGLKDINQKLQEDNQELRDLCCFLDDDRQKGKRVSREW
+QRLGRYTAGVMHKEVALYLQKLKELEVKQEEVVKENMELKELCVLLDEEKGPGCAGSRCS
+IDSQASLCQLSATTAPYVRDVGDGSSTSSTGSTDSPDHKHHASGSSPEHLQKPRAEGSPE
+HSKHGSASPEHLQKARASGTPDHPKALKGPSPEHHKPLCKGSPEQQRHPHAGNSPETLPK
+HVLSGSPEHFQKHRPGGSPEHTRHSGGSPEHLQKHALGGSLEHLPRVRGTSPEHLKQHFG
+GSPDHKHVGGGGSGSSSREGTLRRQAQEDASAHHRNVYSGMNESTLSYVRQLEARVRQLE
+EENRMLPQVVWRKLGDAAGSCPGIRQHLSGNQYKGPM
+>tr|A4FV59|A4FV59_BOVIN PHD finger protein 5A OS=Bos taurus OX=9913 GN=PHF5A PE=2 SV=1
+MAKHHPDLIFCRKQAGVAIGRLCEKCDGKCVICDSYVRPCTLVRICDECNYGSYQGRCVI
+CGGPGVSDAYYCKECTIQEKDRDGCPKIVNLGSSKTDLFYERKKYGFKKR
+>tr|A5D7P1|A5D7P1_BOVIN Ribulose-phosphate 3-epimerase OS=Bos taurus OX=9913 GN=RPE PE=2 SV=1
+MASGCKIGPSILNSDLASLGAECLRMLDSGADYLHLDVMDGHFVPNITFGHPVVESLRKQ
+LGQDPFFDMHMMVSRPEQWVKPMAVAGANQYTFHLEATDNPGALIKDIRENGMKVGLAIK
+PGTSVEYLAPWANQIDMALVMTVEPGFGGQKFMEDMMPKVHWLRTQFPSLDIEVDGGVGP
+DTIHKCAEAGANMIVSGSAIMRSEDPRSVINLLRNVCSEAAQKRSLDR
+>tr|Q3SZ30|Q3SZ30_BOVIN A-kinase interacting protein 1 OS=Bos taurus OX=9913 GN=AKIP1 PE=2 SV=1
+MENCLVAAALNGVDRRSLQRSARLGQEVLERAKRRAVDWPSVELPKGSVGIVSRVRHYGE
+RGPAASPQRLLPGKREDRHPTLSASFRTMAEYMNYTSSQCGKYYSSAPEEGGATHVYRYH
+RGTSELHLCSDAGSGQAENISKDLYIEVYPGTYSVTVGADDLTKKTHIVAVDSGQSVDLV
+FPI
+>tr|A5PKG2|A5PKG2_BOVIN PAIP1 protein OS=Bos taurus OX=9913 GN=PAIP1 PE=2 SV=1
+MSDGFDRAPEQTRPLRAPSSSPDNIPQQNSESAMAKPQVVVAPVLMSKLSVNAPEFYPSS
+YSSNYTESYEDGCEDYPTLSEYVQDFLNHLTEQPGSFETEIGQFAETLNGWVTTDDALQE
+LMELIYQQATSIPNFSYMGARLCNYLSHHLTISPQSGNFRQLLLQRCRTEYEVKDQAAKG
+DEVTRKRFHAFVLFLGELYLNLEIKGTNGQVTRADILQTGLRELLNALFSNPMDDNLICA
+VKLLKLTGSVLEDAWKEKGKTDMEEIIQRIENVVLDANCSRDVKQMLLKLVELRSSNWGR
+VHAASTYREATPENDPNYFMNEPTFYTSDGVPFTAADPDYQEKYQELLEREDFFPDYEEN
+GTDLSGGGDPYLDDIDDEMDPEIEEAYEKFCLESERKRKQ
+>tr|Q70E76|Q70E76_BOVIN Alpha2,3-sialyltransferase OS=Bos taurus OX=9913 GN=ST3GAL4 PE=2 SV=1
+MISKSRWKLLAMLALFLAVMVWYSISREDRYIELFYFPVPGKKEPCLQGEAEKMVSKLFG
+NYSREQPFFLQLKDYFWVKTPSLYELPYGTKGSEDLLLRVLAITSYSIPESIQSLKCRRC
+VVVGNGHRLRNSSLGEAINKYDVVIRLNSAPVAGYEQDVGSKTTMRLFYPESAHFNPKVE
+DNPDTLLVLVAFKAMDFHWIESILSDKKRVRKGFWKQPPLIWDVNPKQIRILNPFYMEIA
+ADKLLSLPIHQPHKIKQKPTTGLLAITLALHLCDLVHIAGFGYPDAHQKKQSIHYYEYIT
+LKSMMWSGHNVSQEALAIKRMLEIGAVKNLTYF
+>tr|A0A3Q1LWJ5|A0A3Q1LWJ5_BOVIN Coiled-coil domain-containing protein 190 OS=Bos taurus OX=9913 GN=CCDC190 PE=4 SV=1
+MKRMERHMVRGPLHKHFDLERKSAKQAEARLSQRLQRLEDICLYHVKSLTREQRQLQKEL
+QRLQQDIIKKKLCSYFGNRSQKRPEDVLTLSSQGGQKHRALQANKASALTTNTTQGRSKT
+KSQMPPFHHIGPKDPMKSKQQALSQNNRTSHFIEEKLQAQEKESINPPKGKGSNESISLL
+CHNQDVSTHTVDGGPGSGPAGDSEPGHSDETRSNDASTRPDHNPAKQTPLDPVEGGGDFK
+DKPQTSTYLELFEKARKAHYLRHRVPPESERLLSIAEIFGHKESSQSRAEKGCENRVTI
+>tr|Q3T0U3|Q3T0U3_BOVIN Es1 protein OS=Bos taurus OX=9913 GN=ES1 PE=1 SV=1
+MAAFRALAAARLAVTPGFAPRPGLWPLPFGGPAPSSRAAFHASAPRPGPRVAVVLSGCGV
+YDGTELHEASSILVHLSRGGAEVQIFAPDVPQMHVIDHIKGQPSEGETRNVLTESARIAR
+GKITDLAKLTAVNHDAAIFPGGFGAAKNLSTFAVDGGTCKVNRDVERVLKEFHQAGKPIG
+LCCIAPVLAAKVLRGVEVTVGHEQEEGGKWPHAGTAEVIKALGAKHCVMGVTEAHVDQKN
+KVVTTPAFMCDTALHHIHDGIGAMVRKVLELAGR
+>tr|Q2KI57|Q2KI57_BOVIN Transportin 2 OS=Bos taurus OX=9913 GN=TNPO2 PE=2 SV=1
+MDWQPDEQGLQQVLQLLKDSQSPNTATQRIVQDKLKQLNQFPDFNNYLIFVLTRLKSEDE
+PTRSLSGLILKNNVKAHYQSFPPPVADFIKQECLNNIGDASSLIRATIGILITTIASKGE
+LQMWPELLPQLCNLLNSEDYNTCEGAFGALQKICEDSSELLDSDALNRPLNIMIPKFLQF
+FKHCSPKIRSHAIACVNQFIMDRAQALMDNIDTFIEHLFALAVDDDPEVRKNVCRALVML
+LEVRIDRLIPHMHSIIQYMLQRTQDHDENVALEACEFWLTLAEQPICKEVLASHLVQLIP
+ILVKGMKYSEIDIILLKGDVEEDEAVPDSEQDIKPRFHKSRTVTLPHEAERPDGSEDAED
+DDDDDALSDWNLRKCSAAALDVLANVFREELLPHLLPLLKDLLFHPEWVVKESGILVLGA
+IAEGCMQGMVPYLPELIPHLIQCLSDKKALVRSIACWTLSRYAHWVVSQPPDMHLKPLMT
+ELLKRILDGNKRVQEAACR
+>tr|Q32LM9|Q32LM9_BOVIN LRAT protein OS=Bos taurus OX=9913 GN=LRAT PE=2 SV=1
+MKNPMLEAVSLVLEKLLFISYFKFFSSGAPGEDKAGNTLYEISSFLRGDVLEVPRTHLTH
+YGIYLGDNRVAHMMPDILLALTDDKGLTQKVVSNKRLILGVIGRVASIRVDTVEDFAYGA
+EILVNHLDRSLKKKALLNEEVAQRAEKLLGITPYSLLWNNCEHFVTYCRFGTAISPQADK
+DISKCTAQECGNAVPLPATPESCPVIAAEVIRVSTQRS
+>tr|Q08DQ6|Q08DQ6_BOVIN Zyxin OS=Bos taurus OX=9913 GN=ZYX PE=1 SV=1
+MAAPRPPRAISVSAPVFYAPQKKFAPVVAPKPKVNPFRPGDSEPPPAAGAQRAQMGRVGE
+IPPPPPEDFPLPPPPVVGEADDAEGALGGAFPPPPPPIDEPFPPAPLEEEIFPSPPPPLV
+EEGGPEAPTQFPSQPREKVSSIDLEIDSLSSLLDDMTKNDPFKARVSSGYVPPPVTTPFI
+SKSNTKPSTGGTAPLPPWKSPSSSQPVSQAQPQSQTQFHVQSQPQAKPQAPPQPVPLATT
+QPRGPLAPSPAPKFSPVTPKFTPVASKFSPGAPGGPGSQPHQKLGPPEAPSSTGTGSPQP
+PSFTYAQQKEKPQVQEKQHPVPPPTQNQNQVRPAGAPGPLTLKEVEELEQLTQKLMQDME
+HPQKQSVPINESCGRCHQPLARSQPAVRALGQLFHITCFTCRQCEQQLQGQQFYSLEGAP
+YCEGCYTDTLEKCSTCGQPITDRMLRATGKAYHPQCFTCVVCACPLEGTSFIVDQANRPH
+CVPDYHKQYAPRCSVCAEPIMPEPGREETVRVVALDKNFHMKCYKCEDCGKPLSIEADDN
+GCFPLDGHVLCRKCHTARA
+>tr|A4IFV2|A4IFV2_BOVIN CA8 protein OS=Bos taurus OX=9913 GN=CA8 PE=2 SV=1
+MADLSFIEDSVAFPEKEEDEEEEEEGVEWGYEEGVEWGLVFPDANGEYQSPINLNSREAR
+YDPSLLDIRLSPNYVVCRDCEVANDGHTIQVILKSKSVLSGGPLPQGHEFELYEVRFHWG
+RENQRGSEHTVNFKAFPMELHLIHWNSTLFGSIDEAVGKPHGIAIIALFVQIGKEHVGLK
+AVTEILQDIQYKGKSKTIPCFNPNTLLPDPLLRDYWVYEGSLTIPPCSEGVTWILFRYPL
+TISQLQIEEFRRLRTHVKGAELVEGCDGILGDNFRPTQPLSDRVIRAAFQ
+>tr|A5D7R6|A5D7R6_BOVIN ITIH2 protein OS=Bos taurus OX=9913 GN=ITIH2 PE=1 SV=1
+MKGLTCFFLCFFLSESRGFEIPTNGLSEFADYGDHVELAPGKFQFVPENRRYQRSISGES
+GERTEDVDQVTVYSYKVQSTITSRVANTVIQTKVVNHSPQPQNVVFDVQIPKGAFISNFS
+MTVDGTTFTSSIKEKTVGRALYAQARAKGKTAGLVRSRALDMEDFKTEVSIAPGAKVQFE
+LHYQEVKWRKLGSYEHRLHLKPGRLAKHLEVDVRIIEPQGLRSLHVLDTFDGHFDGVPVV
+SKGPQKAHIAFKPTVAQQRKCLNCSETMVDGELVVMYDVNREEKVGELEVFNGYFVHFFA
+PENMDPIPKNILFVIDVSGSMWGIKMKQTVEAMKTILDDLRTEDHFSVVDFNHNVRTWRN
+DLVSATKTQVADAKNYIEKIQPSGGTNINEALLRAIFILNEANNLGMLDPNSVSLIILVS
+DGDPTVGELKLSKIQKNVKQNIRDNISLFSLGIGFDVDYDFLKRLSNDNRGIAQRIYGNQ
+DTSVQLKKFYNQVSTPLLRNVQFNYPQPSVTDVTQNSFHNYFGGSEIVVAGKVDPEKLGQ
+LQSIITATSANAELVLETLAEMDGLEDFLSKDKHADPDFTKKLWAYLTINQLLAERSLAP
+TAAMKRKITKTILQMSLDHHIVTPLTAMVIENEAGDERMLADSPPQDHSCCSGALYHGSK
+VSPNSVPSWVNPSPAPVLPMPAVGAQVLESTPPPHVMRVENDPHFIIYLPKSQKNICFNI
+DSEPGKILNLVSDPELGILVNGQLVGAKKPKNKKLSTYFGKLGFYFQREDVKVEISTETI
+SLSRGSRTSVLSWSDTAHVLNQRVLVSVKKEKTVTVTLDKEMFFSVLLHRVWKKHPINVD
+FLGIYIPPTNKFSPNVHGLIGQFMNEPKIHVFNERPGKDPEKPEASMEVKGQKLVVTRGL
+QKDYRTDRVFGTDVPCWFVHNSGKGFIDGHYKDYFVPQLYSFLRRP
+>tr|A4FUZ1|A4FUZ1_BOVIN Lactoylglutathione lyase OS=Bos taurus OX=9913 GN=GLO1 PE=2 SV=1
+MAEPQPASGGLTDEAALSCCSDPDPSTKDFLLQQTMLRIKDPKKSLDFYTRILGMTLLQK
+LDFPTMKFSLYFLAYEDKNDIPKDKDEKVAWVFSRKATLELTHNWGTEDDETQSYHSGNS
+DPRGFGHIGIAVPDVHGACKRFEELGIKFVKKPDDGKMKGLAFIQDPDGYWIEILNPNTM
+ITII
+>tr|A0A088QMV3|A0A088QMV3_BOVIN 20beta-hydroxysteroid dehydrogenase type 2 OS=Bos taurus OX=9913 GN=hsd20b2 PE=2 SV=1
+MEVEWDALRVLGAVTALFLLLWATWAVGSTVYIYLLPQARRSNHWLRAHGAWAVVTGATS
+GIGKAYAHELARRGLNVVLISRDLSKLKHEAKEIEGLYGKRTRVIQVDFTGGLEIYETIE
+AGLKGLEVGVLVNNVGQKYTPRLSKLLDCEDTAKKLQDIINCNMVSVAQMTRILLPGMVS
+RGKGIIINISSVADRRPYPYLAVYAATKAFVRSFSVAVGVEYRSKGVTVQTVSPFLVETN
+MTYPMKKGLLVVSSEDFARQALDTLGLTSETTGCLSHAVQDFLLTMLLPSWFFISPRGFF
+LLESCNVAGFLSQRT
+>tr|A2VDR6|A2VDR6_BOVIN TLE family member 4, transcriptional corepressor OS=Bos taurus OX=9913 GN=TLE4 PE=2 SV=1
+MIRDLSKMYPQTRHPAPHQPAQPFKFTISESCDRIKEEFQFLQAQYHSLKLECEKLASEK
+TEMQRHYVMYYEMSYGLNIEMHKQAEIVKRLNAICAQVIPFLSQEHQQQVVQAVERAKQV
+TMAELNAIIGQQQLQAQHLSHGHGLPVPLTPHPSGLQPPAIPPIGSSAGLLALSSALGGQ
+SHLPIKDEKKHHDSDHQRDRDSIKSSSVSPSASFRGAEKHRNSTDYSSDSKKQKTEEKEI
+AARYDSDAEKSDDNLVVDVSNEDPSSPRGSPAHSPRENGLDKTRLLKKDAPISPASIASS
+SSTPSSKSKELSLNEKSTTPVSKSNTPTPRTDAPTPGSNSTPGLRPVPGKPPGVDPLASS
+LRTPMAVPCPYPTPFGIVPHAGMNGELTSPGAAYAGLHNISPQMSAAAAAAAAAAAYGRS
+PVVGFDPHHHMRVPAIPPNLTGIPGGKPAYSFHVTADGQMQPVPFPPDALIGPGIPRHAR
+QINTLNHGEVVCAVTISNPTRHVYTGGKGCVKVWDISHPGNKSPVSQLDCLNRDNYIRSC
+RLLPDGRTLIVGGEASTLSIWDLAAPTPRIKAELTSSAPACYALAISPDSKVCFSCCSDG
+NIAVWDLHNQTLVRQFQGHTDGASCIDISNDGTKLWTGGLDNTVRSWDLREGRQLQQHDF
+TSQIFSLGYCPTGEWLAVGMENSNVEVLHVTKPDKYQLHLHESCVLSLKFAHCGKWFVST
+GKDNLLNAWRTPYGASIFQSKESSSVLSCDISVDDKYIVTGSGDKKATVYEVIY
+>tr|A6H7H0|A6H7H0_BOVIN IQ motif containing GTPase activating protein 3 OS=Bos taurus OX=9913 GN=IQGAP3 PE=2 SV=1
+MERRGAGPGRAIYERLTAEEMDEQRRQNIAYQYLCRLEEAKRWMEACLKEELPSPVELEE
+SLRNGVLLAKLGHCFAPSVVPLKKIYDAEQLRYQATGLHFRHTDNINFWLSAIAHIGLPS
+TFFPETTDIYDKKNMPRVVYCIHALSLFLFRLGLAPQIHDLYGKVKFTAEELSNMASELA
+KYDLQLPAFSKIGGILANELSVDEAAVHAAVLAINEAVERGVVRDTLAALQNPNALLENL
+REPLAAIYQELLAQAKMEKAANARNCFLQNDGESQDIYDCYLTQAEIQGNINHVNVHGAL
+EVVDDALERQSPEALLEALQDPVLTLQGVRRDFADWYLEQLSSDREQKAQELGLMELLEK
+EEVQAGVAAANIKGDQEQAMLRAVQRINKAIRRGVAADTVKELMCPEAQLPPVYPFASAV
+YQQELAVLQQQQQGELAQEELFVAVEMLSAVVLINRALEAKDASGFWSSLVNPATGLAEV
+DRENAQRYFDALVKLRQICGVDGAFLSWNDLQAAVSQVNAQVQEETDQILAVSLINEALD
+QDNPEKTLSSLLLPAASLDDISLPVAPRYHLLLMAAKRRKAQVTGDPEAVLWLEEIRQEV
+VRANQDTDAAQRMALGVAAINQAIKEGKAAQTERVLRNPSVGLRGVVPDCADGYQRLLED
+AMAKKQRPGDTALWVQHAMKDGTAYYFHLQTFQGTWERPPGCHLNTSHLTWKEIQSAVTK
+VTTAHDRQQLWKANVGFIIRLQARLRGFLVRQKFAKHSHFLRTWLPAVVKIQAHWRGYRQ
+RKIYLKRLQYLKANTNAVIKIQAWARMWAARRRYRKRLGYFQKNVNSIVKIQAFFRARKA
+RDDYRMLVHTPHPPLSVVRRFVHLLNQSQEDFLAEAELLKLQEEVVRKIRSNQQLEQDLN
+LMDIKIGLLVKNRITLQEVVSHCKKLTKKNKEQLSDMMVLDKQKGLKSLSKEKRQKLEAY
+QHLFYLLQTQPSYLAKLIFQMPQNKTTKFMESVIFSLYNYASNSREAYLLLQLFKAALQE
+EIKSKVEQPQDMVTGNPTVVRLVVRFYRNGRGQSALREILGKVIQDVLEDKMLSVHTDPV
+HLYKSWINQTEAQTGQRSHLPYDVTPEQALSHPEVQRRLDISLRNLLTVTDKFLAAIMSS
+VDQIPYGMRYVAKVLKTTLAEKFPDATESEIHKVVGNLLYYRFLNPAVVAPDAFDIVAMA
+AGGALAAPQRHALGAVAQVLQHAAAGKAFSRESQHLRVLNDYLEETHLKFRRFICRACQV
+LEPEERFAMDEYSDMVAVAKPMVYITMGELVNTHRLLLEHQDWIAPDHRDPLHELLEDLG
+ELPTVPDLIGENVAMDGNVDLSKLEVSLTLTNKFEGLETDADDTNSQSLLLSTKQMLADI
+MQFHSGDSLQDILSLSASREEEAAHKRLMCRRQACEAQTPEPLRRHRSLTAHSLLPLAEK
+QRRVLRNLRRLEGLGLVSARDGYQGLVDELAKDIRNQRKHRQRRKAELLKLQATLQGLST
+KTTFYEEQGDYYSQYIRACLDHLAPSSKSSGKGKKQVSLHYTAAQLLEKGVLVEIEDLPT
+SHFRNVIFDITPGEEAGRFEVNAKFLGVDMERFQLHYQDLLQLQYEGVAVMKLFNKAKVN
+VNLLIFLLNKKFLRK
+>tr|Q0VCK8|Q0VCK8_BOVIN NDRG family member 4 OS=Bos taurus OX=9913 GN=NDRG4 PE=2 SV=1
+MPECWDGEHDIETPYGLLHVVIRGSPKGNRPAILTYHDVGLNHKLCFNTFFNFEDMQEIT
+KHFVVCHVDAPGQQVGASQFPQGYQFPSMEQLAAMLPSVVQHFGFKYVIGIGVGAGAYVL
+AKFALIFPDLVEGLVLMNIDPNGKGWIDWAATKLSGLTSTLPDTVLSHLFSQEELVSNTE
+LVQSYRQQISNVVNQANLQLFWNMYNSRRDLDISRPGTVPNAKTLRCPVMLVVGDNAPAE
+DGVVECNSKLDPTTTTFLKPGKLTEAFKYFLQGMGYIAYLKDRRLSGGAVPSASMTRLAR
+SRTASLTSANSVDGSRPQACTHSESSEGLGQVNHTMEVSC
+>tr|Q1LZH4|Q1LZH4_BOVIN Uncharacterized protein MGC140080 OS=Bos taurus OX=9913 GN=MGC140080 PE=2 SV=1
+MDPNEMPGMPSCLPDSTPGLETGAPRGIELGPRGSIRRCARCHNHGITDQIKDEEHLCLF
+QACKCHKCVLSSEHDSILPAERDLKTDQGPHLRRRPTRGRIRGGTTSPRARSRGKKSGTQ
+ARVPDNLRRRSAARSSPGLFVSVLDSSTLEEATNNFSFEEVAQIPCPAQQAPEASNQASV
+SASSEWQQKLEAAQALLTLKRSSWAPSGSISVPQPCVAPAPDEDKGPQPSSPSL
+>tr|A3KN07|A3KN07_BOVIN DDX41 protein OS=Bos taurus OX=9913 GN=DDX41 PE=2 SV=1
+MAESEPERKRARTDEATATGSRSEAEDEDDEDYVPYVPLRQRRQLLLQKLLQRRRKGAAE
+EEQQDSGSEPRGDEDDIPLGPQSNVSLLDQHQHLKEKAEARKESAKEKQLKEEEKILESV
+AEGRALMSVKEMAKGITYDDPIKTSWTPPRYVLSMSEERHERVRKKYHILVEGDGIPPPI
+KSFKEMKFPAAILRGLKKKGIHHPTPIQIQGIPTILSGRDMIGIAFTGSGKTLVFTLPVI
+MFCLEQEKRLPFSKREGPYGLIICPSRELARQTHGILEYYCRLLQEDSSPLLRCALCIGG
+MSVKEQMETIRHGVHMMVATPGRLMDLLQKKMVSLDICRYLALDEADRMIDMGFEGDIRT
+IFSYFKGQRQTLLFSATMPKKIQNFAKSALVKPVTINVGRAGAASLDVIQEVEYVKEEAK
+MVYLLECLQKTPPPVLIFAEKKADVDAIHEYLLLKGVEAVAIHGGKDQEERTKAIEAFRE
+GKKDVLVATDVASKGLDFPAIQHVINYDMPEEIENYVHRIGRTGRSGNTGIATTFINKAC
+DESVLMDLKALLLEAKQKVPPVLQVLHCGDESMLEIGGERGCAFCGGLGHRITDCPKLEA
+MQTKQVSNIGRKDYLAHSSMDF
+>tr|Q0VD44|Q0VD44_BOVIN Twisted gastrulation BMP signaling modulator 1 OS=Bos taurus OX=9913 GN=TWSG1 PE=2 SV=1
+MKSPSVVMLTLTVLVFLTWVPMSLSCNKALCASDVSKCLIQELCQCRPGEGNCSCCKECM
+LCLGTLWDECCDCVGMCNPRNYSDTPPTSKSTVEELHEPIPSLFRALTEGDTQLNWNIVS
+FPVAEELSHHENLVSFLETVNQPHHQNVSVPSNNVHAPYSSDKEHMCTVVYFDDCMSIHQ
+CKISCESMGASKYRWFHNACCECIGPECIDYGSKTVKCMNCMF
+>tr|Q08E51|Q08E51_BOVIN Transmembrane and coiled-coil domain family 2 OS=Bos taurus OX=9913 GN=TMCC2 PE=2 SV=1
+MKRCKSDELQQQQGEEDGAGLEDAACHLPGADIRPGEAAGANSAGGPTSDAGAAAAPNPG
+PRSKPPDLKKIQQLSEGSMFGHGLKHLFHSRRRSREREHPSSQDPQQQQQGMSDHESPDE
+KERSPEMHRVSYAMSLHDLPARPTAFNRVLQQIRARPSIKRGASLHGGGGGGSRRAKSSS
+LEPQRGSPHLLRKTPQDSGLAAILHQHQGRPRSSSTTDTALLLPDGGSLLAEEAEGLGDK
+VDKGDFLALNLAGGPGHGDADGPISLDVPDGAPDPQRTKAAIEHLHQKILKITEQIKIEQ
+EARDDNVAEYLKLANNADKQQASRIKQVFEKKNQKSAQTIAQLHKKLEHYRRRLREIEQN
+GPSRQPKDVLRDMQQGLKDVGANVRAGISGFGGGVVEGVKGSLSGLSQATHSAVVSKPRE
+FASLIRNKFGSADNIAHLKDPLDDGPPEEAARALSGSATLVSSPKYASDDECSSASASSA
+GAGSNSGAGPAAAAPGSPKSGQLYGAPGNVDAVLEELREIKEGQSHLEDSMEDLKAQLQR
+DYTYMTQCLQEERYRYERLEEQLNDLTELHQNEMTNLKQELASMEEKVAYQSYERARDIQ
+EAVESCLTRVTKLELQQQQQQVVQLEGVENANARALLGKFINVILALMAVLLVFVSTVAS
+FITPLMKTRLRVSSTALLALVLLLLWKHWDSLTYLLEHVLLPS
+>tr|Q148F9|Q148F9_BOVIN Chromosome 25 C7orf26 homolog OS=Bos taurus OX=9913 GN=C25H7orf26 PE=2 SV=1
+MSDIRHSLLRRDALSAAKEVLYHLDIYFSSQLQSAPLPIVDKGPVELLEEFVFQVPKERG
+AQPKRLNSLQELQLLEIMCNYFQEQTKDSVRQIIFSSLFSPQGNKADDSRMSLLGKLVSM
+AVAVCRIPVLECAASWLQRTPVAYCVRLARALVDDYCCLVPGSVQTLRQILSASPRFCCQ
+FITSVTALYDLSSDDLIPPLDLLEMIVNWILEDPRLILITFLNTPIAANLPIGFLELTPL
+TGLIRWCVKAPLAHKRKKKTPLANGHVAAKVPKDLGGAERAAHLLYSKLHLSVLQVLLML
+QAHLTEKNLYGRLGLVLFDHMVPLVEEVNRLADELNPLNASQEIELALDRLAQALQVAMA
+SGALLCTRDDLRTLCSRLPHNNLLQLVISGPVQQSPHTALPPGFYPHIHTPPLGYGAVPA
+HPAAHPALPTHPGHTFISGMTFPFRPIH
+>tr|Q0IIB2|Q0IIB2_BOVIN NFKB inhibitor beta OS=Bos taurus OX=9913 GN=NFKBIB PE=2 SV=1
+MAGVACLGKAADADEWCDSGLGSLGPDAAAPGGPGLGAELGPGLSWAPLVFGYVTEDGDT
+ALHLAVIHQHEPFLDFLLGFAAGTEYLDLQNDLGQTALHLAAILEEASAVEKLYAAGSSL
+LVAERGGHTALHLACRVGAHTCARVLLQPRPQRPRGAPKTYLAQGSDHTPDTDHTPIALY
+SEPDVEKEEDESEEDWKLQLEAEDYEGHTPLHVAVIHKDAEMVRLLQEAGADLNKPEPTC
+GRSPLHLAVEAQAADVLELLLKAGADPAVRMYGGRTPLGSAMLRPNAILARLLRAHGAPE
+PEDEDKPGPCSSSSSSDSESGDEGDEYDDIVVHSGRSPNQLPPTPASKPLPDDPI
+>tr|Q2KI50|Q2KI50_BOVIN Serine/threonine kinase 16 OS=Bos taurus OX=9913 GN=STK16 PE=2 SV=1
+MGHALCICSRGTLTIDHKRYLFIHKLGEGGFSFVDLVEGLHDGQFYALKRILCHEQQDQE
+EAQREADMHRLFHHPNILRLVAYCLRERGTKHEAWLLLPFFKRGTLWNEIEKLKDKGNFL
+TEEQIIRLLLGICRGLEAIHAKGYAHRDLKPTNILLGNEGQPVLMDLGSMNQACIHVEGS
+RQALALQDWAAQRCTISYRAPELFSVQSHCVIDERTDVWSLGCVLYAMMFGEGPYDMVFQ
+KGDSVALAVQNQLSIPQSPRYSSALRQLLTSMMTVDPQQRPHIPLLLSQLEVLQPPARDE
+HTTHI
+>tr|A5PKA3|A5PKA3_BOVIN CCDC80 protein OS=Bos taurus OX=9913 GN=CCDC80 PE=2 SV=1
+MTWRMGPSFTMLLALWLVCGSEPHGQTMNRGSRGGQKVPLVSAVNRRPARLLRHTGRSQG
+IERTTLEKPNLQPLQRRRSIPVLRVAHATAPPASLGIHGAPVRTQQSPGARSSPREMVRD
+EGSSARSKMLRFPSGSSSPNILASFAGKNRVWVISAPHASEGYYRLMMSLLKDDVYCELA
+ERHIQQIVLFHQAGEEGGKVRRITSEGRVLEQPLDPSLIPKLMSFLKLEKGKFGMVLLKK
+TLQVEERYPYPVRLEAMYEIIDQGPIRRIEKIRQKGFVQKCKASGVEGQVVEEGNNGGEA
+GRPGLGSEKRKEEQRRAQVPPTRESRVKVMRKPATTVGAPPPPPPTPRATTLPPAPVTTV
+TRATSRVVTAAARPTTTTAFPTTQRPWTPRVHLSSAPHRPPATAEVTTAKGPVASENLYP
+PPRKEQPRERPLTTRRPSKATSFEVFTAAPSIAISEPSTRAGAGRFRDNRTDRREHGPRD
+PNVVPSSHKPAKGKPPKKKAQDKILSNEYEDKYDLSRPTTSQLEEELQRGNMPPKKAKES
+KKHEKLEKPEKEKKKKVKSEKADKLLKSEKQVKKDKAEKKSRQEKEKNKKKKVGRTEQDG
+HLKPAKPFTQNPRKLVTDLLGPFEGKRRLLLITAPKAENSMYVQQRDEYLESFCKMATRK
+VSVITIFGPVSNSTMKIDHFQLDNEKPMRVVDDEDLVDQHLISELRKEYGMTYNDFFMVL
+TDVDLRVKQYYEVPIAMKSVFDLIDTFQSRIKDMEKQKKDGIICKEDKKQSLENFLSRFR
+WRRRLLVISAPNDEDWAYSQQLSALSGQACNFGLRHITVLKLLGVGEEVGGVLELFPING
+SSVVEREDVPAHLVKDIRNYFQVSPEYFSMLLVGKDGNVKSWYPSPMWSMVIVYDLIDSM
+QLRRQEMAIQQSLGMRCPEDEYAGYGYHSYHQGYQDGYQDDYRHHESYHHGYPY
+>tr|Q2YDE1|Q2YDE1_BOVIN Eukaryotic translation initiation factor 4 gamma 3 OS=Bos taurus OX=9913 GN=EIF4G3 PE=2 SV=1
+MNSQPQTRSPFAAGPRPAHHQFFQRPQIQPPRATIPNSSPSIRPGAQTPTAVYQANQHIM
+MVNHLPMPYPVPQGPQYCIPQYRHSGPPYVGPPQQYPVQPPGPGPFYPGPGPGDFPSAYG
+TPFYPSQPVYQSAPIIVPTQQQPPPAKREKKTIRIRDPNQGGKDITEEIMSGGGSRNPTP
+PIGRPTSTPTPPQQLPSQVPEHSPVVYGTVESAHLAASTPVTAASDQKQEEKPKPDPVLK
+TPSPVLRLALSGEKKEQAGPTSEITAVESIPELPLPPSPTAISPLARSTITSPTSVALSS
+QPIFTTAIDDRCELSSSKEDTIPIPSPTSCTEASDPLPTDEIDDDICKKSCSVAPNDIPL
+ISSTNLINEMNGVNEKLPATESIVEIVKQEVLPLTLELEILENPPEEIKVECVPTPITPS
+IVPSSSPSPPAPPASPSPTPVIVPAAATSVNTGRGSTGVQRAFEEGENIRTCLSEDAKEI
+QNKVEVEADGQTEEIVDSQNLSSRKSPVPETPNEC
+>tr|E1BKS0|E1BKS0_BOVIN EPS8 like 1 OS=Bos taurus OX=9913 GN=EPS8L1 PE=4 SV=2
+MSTTSGPEASLKQSAKSIYEQRKRYSIEVMSDFSTNEVNHLVTFSLGEDAIHTVEDASQK
+LALMDSQGRIWAQDMLLSVSASHVTLLDPVSKEELESYPVSAIVRCDTVRPPGQKRPLLL
+LVCQEPERAQPDVHFFQGRCVGVESIREEIQRALHNYRSGCGERRAAALRATRMQPQQHP
+SPVAEAAPLPHCPMFRAAIHTVQPAAGRGRPQAEPIPEAEETCRPSREEVCPSSDPASPD
+LGPRGPDLASLQAEREVDILNHVFDDVESFVSRLQKSAEATRVLEQRERSRRTRHQEAGE
+SLLALRAKPPSGAEYTDVLQKIKYAFSLLARLRGNIANPTSQELLHFLFGPLQMIIDTLG
+GPQLASGVRRPHLTSEAVKLLRENVTQRENTLWTSLGDSWTHPGVDLPPEEGPPYRPEFY
+SAWEPPAMDPQGRAWEDPVEKQLQHERRRQQQSAPQVAVNGHPDEEPEAEPQGPEPAGKW
+VLCNYDFQARNSSELSVKEWDILEVLDDQRKWWKVQDQRGQEGYVPYNILTPHPGPRGGR
+SLENSTAVPPPPPAPAPGLAPPAPPASASAPAPPPPPLLPAAPALAPGLAQTQGPAPASA
+RSPRDSCENLNNLDSGKKEKFSPMLMLSINKELQARLAQGLTGPSRAAPGPRAPESQLSP
+HSSASEVCAWLQAKGFSSGTIAALGELSGAQLFSLPKEKFRALSPEEGARVYSQITVQRS
+LLEDKENVSELEAVMKKQKKRMENEIETEVF
+>tr|F1N0K5|F1N0K5_BOVIN NK1 OS=Bos taurus OX=9913 GN=LOC616323 PE=4 SV=1
+MTSWAVLLVTSVLLVAPGLAFSGLTPECHDQETAHLWDGDKLLQGLAPEDPQGDQREELN
+QFCGPCQKIMQMLKYMVGNRPSKNVIIHVTSKVCSKMGLWSILCNQMMKKYLNRISKDIM
+ARKTPQAICVDIKLCKRKAGLI
+>tr|A6QR41|A6QR41_BOVIN Chromosome 8 C9orf72 homolog OS=Bos taurus OX=9913 GN=C8H9orf72 PE=2 SV=1
+MSTLCPPPSPAVAKTEIALSGESPLLAATFAYWDNILGPRVRHIWAPKTEQVLLSDGEIT
+FLANHTLNGEILRNAESGAIDVKFFVLSEKGVIIVSLIFDGNWNGDRSTYGLSIILPQTE
+LSFYLPLHRVCVDRLTHIIRKGRIWMHKERQENVQKIVLEGTERMEDQGQSIIPMLTGEV
+IPVMELLSSMKSHSVPEEIDIADTVLNDDDIGDSCHEGFLLNAISSHLQTCGCSVVVGSS
+AEKVNKIVRTLCLFLTPAERKCSRLCEAESSFKYESGLFVQGLLKDSTGSFVLPFRQVMY
+APYPTTHIDVDVNTVKQMPPCHEHIYNQRRYMRSELAAFWRATSEEDVAQDTFIYTDESF
+TPDLNIFQDVLHRDTLVKAFLDQVFHLKPGLSLRSTFLAQFLLVLHRKALTLIKYIEDDT
+QKGKKPFKSLRNLKIDLDLTAEGDLNIIMALAEKIKPGLHSFIFGRPFYTSVQERDVLMT
+F
+>tr|A0A3Q1MGE5|A0A3Q1MGE5_BOVIN Dynein regulatory complex subunit 4 OS=Bos taurus OX=9913 GN=GAS8 PE=4 SV=1
+MSKEQVEEHIGRIREELDREREERNYFQLERDKIHTFWEITRRQLEEKKAELRNKDREME
+EAEERHQVEIKVYKQKVKHLLYEHQSSLTEMKAEGTVVMKLAQKEHRAQEGTLRRDMRAL
+KVELKEQELANEVMVKNLRLKHTEEITKMRNDFERQVREIEAKYDKKMKMLRDELDLRRK
+TEIHEVEERKNGQITTLMQRHEEAFTDIKNYYNDITLNNLALINSLKEQMEDMRKKEEHL
+EKEMTEVAMQNRRLADPLQKAREEMSDMQKKLGSYERDKQILVCTKARLKVTEKELKSLR
+WEHEVLEQRFIKVQQERDDLYHKFTSAILEVQQKAGFRNLVLERKVQALVAAVEKKEVQF
+NEVLAASNLDPAALTLVSRKLEDVLESKNSAIKDLQYELARVCKVRRAPHRPLTQEPPIL
+TLTPEIRVLTQSEAICARPGSLGLYVAEPLLAPPGARPAWCPVRPSLWHRGASLASGAVV
+RGFSLLPQVNVHVLQAGAVRAPAFLDHSWRLPAQCSDPGNHLCPAPSVPSSWLSPQFCQG
+LACGDTDEVLPAESRHPTSVTQTRALGLGAPGEGTAIMGTGLRGDQIPWPSLPIGPSPGA
+LATLMRTRRKLGPCTGCVRLSGELP
+>tr|E1BDG7|E1BDG7_BOVIN Ubiquitin specific peptidase 28 OS=Bos taurus OX=9913 GN=USP28 PE=3 SV=1
+MTAELQQDDAAGATDRHGSSCQMLLNQLREITGIQDPSFLHEALKASNGDITQAVSLLTD
+ERVKEPSQETAAEPSEEEGSAASKEELAKVIDLTHDSKDDLQAAIALSLLESPKIQADGR
+DLNRMHEATSAETKRSKRKRCEVWGENPNPNDWRRVDGWPVGLKNVGNTCWFSAVIQSLF
+QLPEFRRLVLSYSLPQNVLENCPSHTEKRNIVFMQELQYLFALMMGSNRKFVDPSAALDL
+LKGAFRSPEEQQQDVSEFTHKLLDWLEDAFQLAVNVNNPRNKSENPMVQLFYGTFLTEGI
+REGKPFCNNETFGQYPLQVNGYRNLDECLEGAMVEGDIELLPSDHSVKYGQERWFTKLPP
+VLTFELSRFEFNQSLGQPEKIHNKLEFPQIIYMDRYMYRSKELVRSKRDCIRKLKEEIKI
+LQQKLERYVKYGSGPARFPLPDMLKYVIEFASTKPASESSASQSDACMTEPLSSAHCLTS
+DLTSKESTSEESTSQEAEGTFSSSEDSGRKSRMAEQPLKPPRSSVEMPAHPAPRTVTDEE
+INFVKTCLQRWRNEIEQDIQDLKNSIASTTQTIEQMYCDPLLRQVPYRLHAVLVHEGQAN
+AGHYWAYVYNQPRQVWLKYNDISVTESSWEELERDSYGGQRNVSAYCLMYINDKLPHFNA
+EAAPNELDQMSGEVEALSVELKHYIQEDNWRFEQEVEEWEEEQSCKIPQMASSTSSASQD
+FSPSQESSVASSHGARCLSSEHAVIVKEQTAQAIANTAHAYENSGVEAALSEVMLSPAMQ
+GVILAIAKARQTFDRDGSEAGLIKAFHEEYSRLYQLAKETPTSHSDPRLQHVLIYFFQNE
+APKRVVERTLLEQFADKNLSYDERSISIMKVAQAKLKEIGPDDMNMEEYKKWHEDYSLFR
+KVSVYLLTGLELYQKGKYQEALSYLVYAYQSNATLLMKGPQRGVKESVIALYRRKCLLEL
+NAKAASLFETNDEHSVTEGINVMNELIIPCIHLIINNDISKDDLDAIEVMRNHWCSYLGQ
+DIAENLQLCLGEFLPRLLDPSAEIIVLKEPPTIRPNSPYDLCSRFAAVMESIQGVSAVTV
+K
+>tr|A6QLS6|A6QLS6_BOVIN FERM domain containing 6 OS=Bos taurus OX=9913 GN=FRMD6 PE=2 SV=1
+MNKLNFHNNRVMQDRRSVCIFLPNDESLNIIINVKILCHQLLVQVCDLLRLKDCHLFGLS
+VIQNNEHVYMELSQKLYKYCPKEWKKEASKVRQYEVTWGIDQFGPPMIIHFRVQYYVENG
+RLISDRAARYYYYWHLRKQVLHSQCVLREEAYFLLAAFALQADLGNFKRNKHYGKYFEPE
+AYFPSWVVSKRGKDYILKHIPNMHKDQFALTASEAHLKYIKEAVRLDDVAVHYYRLYKDK
+REIEASLTLGLTMRGIQIFQNLDEEKQLLYDFPWTNVGKLVFVGKKFEILPDGLPSARKL
+IYYTGCPMRSRHLLQLLSNSHRLYMNLQPVLRHIRKLEENEEKKQYRESYISDNLDLDMD
+QLEKRSRASGSSAGSMKHKRLSRHSTASHSSSHTSGIEADTKARDAGPEDAYPGSAIHRK
+LKTCSSMTSHGSSHTSGVESGGKDRLEEDSQDDEIEMLVDDPRDLEQMNEESLEVSPDMC
+IYITEDMLLSRKLNGHSGLIVKEIGSSTSSSSETVVKLRGQSTDSLPQTICRKPKTSTDR
+HSLSLDDIRLYQKDFLRIAGLCQDTAQSYTFGCGHELDEEGLYCSSCLAQQCVNIQDAFP
+VKRTSKYFSLDLTHDEVPEFVV
+>tr|A6QLV8|A6QLV8_BOVIN NRIP3 protein OS=Bos taurus OX=9913 GN=NRIP3 PE=2 SV=1
+MFYSGLLTEGGRKETDMREAASLRQQRRMKQAVQFIHKDSADLLPLDGLKKLGSSKDTQP
+HNILQRRLMETNLSKLRSSRVPWASKTNKFNQAKSEGLKKSEDDDMILVSCQCAGKDVKA
+LVDTGCQYNLISSACVDRLGLKEHVRSHKHEGERLSLPRHLKVVGQIEHLVITLGSLRLD
+CPAAVVEDNEKNLSLGLQTLRSLKCIINLDKHRLIMGKTDKEEIPFVETISLNEDNTSEA
+>tr|A5PJW9|A5PJW9_BOVIN HHIP protein OS=Bos taurus OX=9913 GN=HHIP PE=2 SV=1
+MLKMLSFKLLLLAVALGFFEGDAKFGERSEGSGVRRRRCLNGNPPKRLKKKDRRMMSQPE
+LLSGGEMLCGGFYPRLSCCLRSDSPGLGRLDSKIFSVTNNTECGKLLEEIKCAVCSPHSQ
+SLFYSPEREALERDLVLPLLCKDYCTEFFYTCRGHIPGLLQTTADEFCFYYARKDGGLCF
+PDFPRKQIRGPASNYLDQMEEYDKVEEISRKHKHNCFCIQEVVSGLRQPVSALQSGDGSH
+RLFILEKEGYVKILTPEGEIFKEPYLDIHKLVQSGIKGGDERGLLSLAFHPNYKKNGKLY
+VSYTTNQERWAMGPHDHILRVVEYTVSRKNPHQVDLRTARVFLEVAELHRKHLGGQLLFG
+PDGFLYIILGDGMITLDDMEEMDGLSDFTGSVLRLDVDTDMCHAPYSIPRSNPHFNSTNQ
+PPEVFAHGLHDPGRCAVDRHPTDININLTILCSDSNGKNSSSARILQIIKGKDYESEPSL
+LEFKPFSNGPLVGGFVYRGCQSERLYGSYVFGDRNGNFLTLQQSPVSKQWQEKPLCLGNG
+GSCRGYFSGHILGFGEDELGEVYILSSSKSMTQTHNGKLYKIIDPKRPLMPEECRAVVQP
+AQTLTSECSRLCRNGYCTPTGTCCCSPGWEGDFCRIAKCEPACCHGGVCVRPNKCLCKKG
+YLGAQCEQVDRNLRRVTRAGILDQIIDMTSYLLDLTSYIV
+>tr|Q3ZC95|Q3ZC95_BOVIN Tyrosine-protein kinase OS=Bos taurus OX=9913 GN=BTK PE=1 SV=1
+MATVILESIFLKRSQQKKKTSPLNFKKRLFLLTVQKLSYYEYDFERGRRGSKKGSIDVEK
+ITCVETVVPEKNPPPERQIPRRGEESSETEQISIIERFPYPFQVVYDEGPLYVFSPTEEL
+RKRWIHQLKNVIRYNSDLVQKYHPCFWIDGQYLCCSQTAKNAMGCQILENRNGSLKPGSS
+HRKTKKPLPPTPEEDQILKKPLPPEPTAAPVSTSELKKVVALYDYMPMNANDLQLHKGNE
+YFILEESNLPWWRARDKNGQEGYIPSNYVTEAEDSIEMYEWYSKHMTRSQAEQLLKQEGK
+EGGFIVRDSSKAGKYTVSVFAKSTGEPQGVIRHYVVCSTPQSQYYLAEKHLFSTIPELIN
+YHQHNSAGLISRLKYPVSQQNKNAPSTAGLGYGSWEIDPKDLTFLKELGTGQFGVVKYGK
+WRGQYDVAIKMIKEGSMSEDEFIEEAKVMMNLSHEKLVQLYGVCTKQRPIFIITEYMANG
+CLLNYLREMRHRFQTQQLLEMCKDVCEAMEYLESKQFLHRDLAARNCLVNDQGVVKVSDF
+GLSRYVLDDEYTSSVGSKFPVRWSPPEVLMYSKFSSKSDIWAFGVLMWEIYSLGKMPYER
+FTNSETAEHIAQGLRLYRPHLASERVYAIMYSCWHEKADERPTFKILLSNILDVMDEES
+>tr|Q8WNW7|Q8WNW7_BOVIN NF kappa B inhibitor alpha (Fragment) OS=Bos taurus OX=9913 GN=NFKBIA PE=2 SV=1
+MFQPAEPGQDWAMEGPRDALKKERLLDDRHDSGLDSMKDEEYEQMVKELREIRLEPQEAP
+RGAEPWKQQLTEDGDSFLHLAIIHEEKALTMEVVRQVKGDLAFLNFQNNLQQTPLHLAVI
+TNQPEIAEALLEAGCDPELRDFRGNTPLHLACEQGCLASVGVLTQPRGTQHLHSILQATN
+YNGHTCLHLASIHGYLGIVELLVSLGADVNAQEPCNGRTALHLAVDLQNPDLVSLLLKCG
+ADVNRVTYQGYSPYQLTWGRPSTRIQQQLGQLTLENLQTLPESEDEESYDTESEFTEDEL
+PYDDCVLGGQRLTL
+>tr|F1MM77|F1MM77_BOVIN FLYWCH-type zinc finger 1 OS=Bos taurus OX=9913 GN=FLYWCH1 PE=4 SV=3
+MPLPEPSEQEGESVKAGQEPSPEPPEPGTDVVLEAPTKPMEFSELVLLAASTESGDGMDT
+QPEEVHCVLTLEMADPDTLAGTPQILPVEEQCGVVQPRPQTQALKPSKPNIVTQPLEFLR
+TPFGGRLLVLESFLYKQEKAVGDKVYWKCREHTELGCRGRAITRGPRATIMRGHCHPPDE
+EGLAARRQRQKRLGPALPEGLAGSQGPSSLVEEPLEGAGPWLCPVEPDPTPGPMLSYLVP
+EEDEGLRALALLRLPPKKRSTLGSRGPPPLEFLRTCYGGSFLVHQSFLYKREKAVGDKVY
+WTCRDHTQHGCRSRAITQGRRVTVMRGHCHAPDLEGLKARRQQERAMAALRAQPGGPGGP
+EDKPLQGVDSLLYRRGPGPLTLTRPRPRKRLMANDEELPAEPQGEEDKDEDPGGPEFLRT
+PLGGSFLVYESFLYRREKAAGEKVYWTCRDQARMGCRSRAITQGRRVTVMRGHCHPPDLG
+GLEALRQREKRPGTAQRGSTGGPEFLRTPLGGSFLVYESFLYRREKAAGEKVYWTCRDQA
+RMGCRSRAITQGPRVMVMRRHCHPPDLGGLEALRQREQLPSPAQREGSETPQPLEFLRTS
+LGGRFLVYESFLYRKEKAAGEKVYWMCRDQARKGCRSRAITQGPRVTVMRGHCHPPDLAG
+LEALRRREQLPSLAQQEDPEKVKLLPEVQLCLETCAPECQQSYGKVESTQLDNESQ
+>tr|A4FUB2|A4FUB2_BOVIN STK19 protein OS=Bos taurus OX=9913 GN=STK19 PE=2 SV=1
+MSRKRHRLVPETFGLKRRRELGDVEADPLRGESVHVAVTRSLRPGSAREAVAEIVRLFPR
+VLFEDALPPIALRSQVYSLVPDRTVADRQLKALQEQGEIRIVQLGFDLDAQGIMFTEDYR
+TRVLKACDGRPYAAAVQKFLASVLPACGDLSFQQDQMTQTFGFRDPEIT
+>tr|A7YWH0|A7YWH0_BOVIN Protein tweety homolog OS=Bos taurus OX=9913 GN=TTYH2 PE=2 SV=1
+MPAARVEYIAPWWVVWLHSVPHLGLRLQPVDSTFRPRDESYQESLLFLGLVAAVGLGLNL
+VLLAAYLVCVCCCRQDDAVQTKRPRSCCVTWTAVVAALICCAAVGVGFYGNSEANDGVYQ
+LLYALDNANHTFSGIDVLVSGTTQKMKVDLEQHLARLGEIFATRGDYLQTLKFLQQTAGS
+IIAQIAGVPVWTEVTEELTELANQTSSVEYYRWLSYLLLFVLDLVLCLLACLGLAKRSRC
+LLASMLCCGVLALLFSWTSLAADAAAAVGTSDFCAAPDTFILNITEGQVRPEVTQYYLYC
+SQSASSPFQQALTVFQRSLTAMQIQVAGLLQFAVPRFPTAEKDLLGIQLLLNSSESGLHQ
+LTALLDCRGLHKDYLDALAGICYDGLEGLLYLGLFSLLAALAFSIMICLGPGAWKHCATR
+DRDYDDIDDDDPFNPQARRIATHNPPRGQLRSFCSYSSGLGSQGSLHPAQTISNAPVSEY
+MNQAALFGGNPRYENVPLIGRGSPPPTYSPSMRATYLSVADEHLRHYGNEFPG
+>tr|E1BKE4|E1BKE4_BOVIN GLIS family zinc finger 2 OS=Bos taurus OX=9913 GN=GLIS2 PE=4 SV=1
+MHSLDEPLDLKLSITKLRAAREKRERTLSAARHRALHRELGLADDSPTPGSPGSPPSGFL
+LNPKFPEKVEGRFSAAPLVDLSLSPPSGLDSPNGSSSLSPERQGNGDLPTAPAGPDLQPL
+RYLDGVPSSFQFFLPLGSGGALHLPASSFLTPPKDKCLSPELPLPKQLVCRWAKCNQPFE
+LLQDLVDHVNDYHVKPEKDAGYCCHWEGCARHGRGFNARYKMLIHIRTHTNEKPHRCPTC
+SKSFSRLENLKIHNRSHTGEKPYVCPYEGCNKRYSNSSDRFKHTRTHYVDKPYYCKMPGC
+HKRYTDPSSLRKHIKAHGHFVSHEQHELLQLRPPPKPPLPAPDGSPYVSGAQIIIPNPAA
+LFGGPGLPGLPLPLAPGPLDLSALACGNGGGAGGAGGMGPGLPGPVLPLNLAKNPLLPSP
+FGAGGLGLPVVSLLAGSAGGKAEGEKGRGAMPARALSSEGRKTPLERTEGSRSRPSPDGL
+PLLPGTVLDLSTGVNSAASSPEALAPGWVVIPPGSVLLKPAVVN
+>tr|Q0VBZ1|Q0VBZ1_BOVIN Myosin binding protein H OS=Bos taurus OX=9913 GN=MYBPH PE=2 SV=1
+MTEKATSEAPACGLEETTSESAHVPLTEPFGDTAAPQAPGGEQAPGGQQASDPQESARQP
+PDPAASAAPAGPAATDPALPREDVPSAPLLLAVEDVSDSSVTVSWEPPERLGRLGLQGYV
+LELRREGALDWVPVNARPMMVTQQTLRNLAVGDKFFVRVAAVSSAGAGPPAVLERLIHIQ
+ETIEAPKIRVPRHLRQTYIRQVGESINLQIPFQGNPKPQALWTHNGHALDSQRVSVRTGD
+QDSILFIRSAQRSDSGCYELTVQLKDLEAKAAINILVIEKPGPPRSIRLLDVWNCNATLE
+WTPPQDTGNTELLGYTVQKADKKTGQWFTVLERCHPTSCTVSDLIVGNSYSFRVFSENLC
+GLSASAAVTKELAHIVKTDIVAKPKSFVERDFSEAPSFTQPLADHTSTPGYSTQLSCSVR
+ASPKPKIIWMKNKMDIQGDPKYRALSEQGVCTLEIRKPSPFDSGVYTCKAINVLGEASVD
+CRLEVKASATH
+>tr|F1MP31|F1MP31_BOVIN Myb-like domain-containing protein OS=Bos taurus OX=9913 GN=GON4L PE=4 SV=1
+MLPCKKRRTTLTESPQHQGNQEEDDLDLQAAGKPESDLGSVKDLGSVSLSWSPSHGRAAD
+LEVQAAGIQLGMEDPSLSSRMLTEDTNVAVLEAVDVAISQEITLASLESSQPVNTHIGKG
+KLQATSSRRGKKITLRPGSVTQEDRGDHPIAKEPFSEEPSEEVKAEGGKSQMHSGGEMPS
+LPSDSHSAKPAAPPRKSSQPDVCASPQEKPLRTLAHQAEEETEDGGLFIPMEEHDGEENE
+KRRKKKKGTKRKRDGRDQEERTLSCDLKLDDMLDRTLEDGAKQHNLTAVNVRNILHEVIT
+NEHVVAMMKAAISETEDMPMFEPKMTRSKLKEVVEKGVVIPTWNISPIKKANEIKPPQFV
+DIHLEDDDSSDEEYQPDDEEEDETAEESLLESDVESTASSPRGAKKSRLRQSSEMTETDE
+ESGILSEAEKVTTPAIRHISAEVVPMGPPPPPKPKQTRDSTFMEKLHAVDEELASSPVCM
+DSFQPMDDSLIAFRTRSKMPLKDVPLGQLEAELRAPDITPDMYDPNTADDEDWKMWLGGL
+MNDDVGNEDEADDDDDPEYNFLEDLDEPDTEDFRTDRAVRITKKEVNELMEELFETFQDE
+MGFSNMEDDGPEEEERVAEPRPNFNTPQALRFEEPLANLLNEQHRTVKELLEQLKMKKSS
+AKQQQEVERVKPQREKVHQTLILDPAQRKRLQQQMQQHVQLLTQIHLLASSNPSLSSEAS
+TTRIFLKELGTFAQSSIALHHQFNSKFQTLFQPCNLMGAMKLIEDFSTHVSVDWSPRKTV
+KKTACKLPCLPKQVAWILATSKVFMYPELLPVCSLKAKNPQDKIFFTKAEDNLLALGLKH
+FEGTEFPKPLISKYLLTCKTAHQLTVRIKNLNMNRAPDNIIKFYKKTKQLPILMKCCEEI
+QPHQWKPPVEREEHRLPFWLKASLPSIQEELRRIADGAREVGNVTGTTEINSDPGLGKGS
+SELESETRYPLLLPKGVVLKLKPVANRFSRKAWRQKRSSTLKPFFFGPSPSLQPSSSSGK
+TPARSAHSEAPPSKMVVRIPPLIQPATVLQAVPGGPPLGVPGGDSFESPAALPATAAEAR
+TSFPLPEPQTLLSSAPVPKLMLPSLAPSKFRKPCVKRRASKRKGPKASLCLKPAPLIHPA
+PVFFTVPATTVKVVSLGSGCNVMQPVSAAVAQSPQTIPITTLLVNPTPFPCSLNQPLVAS
+SIPPLIVSGTSVNLSVPSTPEDKAPVNVDVGCPLAEGKNAFQGLEPKLEPRELSPLCAAV
+FPKEEHSPGPPAADRVCQEQLSESSAYGWTIVKTEEGRQAPEPLPQGFRESLNTCPEDLE
+EMVKMEPKDPGEDTCGGSLEQGSHEEIKEEHSVESDTSFPSEESSRPREGKKQTGLQQEE
+QRSQAAQTLSASQEPPGEGNSGDVSKGSPRDASFSTDPEMVLSSPLGKPEDLSSVDGQSV
+GTPAGPETAGEKDGPEEEEEEDFDDLTQDEEDEMSSASEESVLSVPELQETMEKLTWLAS
+ERRMSQEGESEEENSQEENSEPEEEEEEEAEGMENLQKEDEMMDEAIGDPAEKPPATFDS
+PKAAPEVETSRTPPGESIKAAGKGRSNHRARSKRGSRARASKDTSKLLLLYDEDILERDP
+LREQKDLAFAQAYLTRVREALQHIPGKYEDFLQVIYEFESSTQRQTAVDLYKSLQILLQD
+WPQLLKDFAAFLLPEQALACGLFEEQQAFEKSRKFLRQLEICFAENPSHHQKIIKVLQSC
+ADCLPQEITELKTQMWQLLKGHDHLQDEFSIFFDHLRPAASRMGDFEEINWTEEKEYEFD
+GFEEVALPDVEEEEEPPKIPTTSKNKRRKEIGVQNHDKEAEWPDAAKDCACSCHEGGPDS
+KMKKSKRRSCSHCSSKVCDSKSYKSKEPHELVGSSPHREASLMPGAKEAGQGKDVMEEEA
+PEERESVEAAQSRTGRSTRKGEMPAAGLAAGSTLPSPQEVTFTDQLLLDGPPPPCSPETP
+QLPSTAGTVLCAVRSNQAGPAVLSGPRASPRPQHEGEDHKPGAELEPSMPWDASETEKLP
+GTVEPPASFLSPVSSRTGDFGRRQVPGRADTQESWLPSGRAGVSTADGMSPLRGVSSAGV
+DTAETSKAPRGGLAKDSGAQGKGPAGELQAKATEATVCANNSKVSSTGEKVVLWTREADR
+VILTMCQEQGAQPQTFSVISQQLGNKTPTEVSHRFRELVQLFHTACEASSEDEDDATSTS
+NTDQLSDHGDLLSEEELDE
+>tr|A0A3Q1MBH1|A0A3Q1MBH1_BOVIN Meiotic nuclear division protein 1 homolog OS=Bos taurus OX=9913 GN=MND1 PE=3 SV=1
+MMEIFYETKDVFQLKDMEKIAPKEKGITAMSVKEVLQSLVDDGMVDCERIGTSNYYWAFP
+SKALHARKRKLEVLDSQLSEGNQKYANLQKSIEKAKVGRHETEERTMLAKELSSLRDQRE
+QLKAEVEKYRECDPQVVEEIRQANQVAKEAANRWTDNIFAIKSWAKRKFGFEENKIDKNF
+GIPEDFDYID
+>tr|A5PJJ4|A5PJJ4_BOVIN LOC787225 protein OS=Bos taurus OX=9913 GN=LOC787225 PE=2 SV=1
+MTVSCCGPTFSSFSCGRGCLQPCCYRDPCCCRPVSCQTTVSRPVTCVPRCTRPICEPCRR
+PVCCDPCSLQEGCCRPITCCPTSCQAVVCRPCCWATTCCQPISVQSPCCRPTCCRPAPCR
+TTCRTC
+>tr|Q0VC49|Q0VC49_BOVIN Casein kinase 1, epsilon OS=Bos taurus OX=9913 GN=CSNK1E PE=2 SV=1
+MELRVGNKYRLGRKIGSGSFGDIYLGANIASGEEVAIKLECVKTKHPQLHIESKFYKMMQ
+GGVGIPSIKWCGAEGDYNVMVMELLGPSLEDLFNFCSRKFSLKTVLLLADQMISRIEYIH
+SKNFIHRDVKPDNFLMGLGKKGNLVYIIDFGLAKKYRDARTHQHIPYRENKNLTGTARYA
+SINTHLGIEQSRRDDLESLGYVLMYFNLGSLPWQGLKAATKRQKYERISEKKMSTPIEVL
+CKGYPSEFSTYLNFCRSLRFDDKPDYSYLRQLFRNLFHRQGFSYDYVFDWNMLKFVSLLK
+AEAGMGDWTGKANVTLWWAGLPEAGSYELDGTRDPHSSFIRADSLCCRGQQGPGGVPPPS
+GPERAHLGLPSVLDAWVSGARMALVGPPTVLILACSVLLLVSCTCQLAAFAESPLASLDP
+FIVASFSLVVAPWVLLGTSLFSLSLKSCGGGVGRSVQPLGLGLRNL
+>tr|Q3ZCJ9|Q3ZCJ9_BOVIN Regenerating islet-derived 3 gamma OS=Bos taurus OX=9913 GN=REG3G PE=2 SV=1
+MLPSLGLPRLSWMLLSCLMLLSQVQGEDSQRSERISCPRGSIAYGSSCYVLYKVARSWMS
+ANIACQRRHSGHLASVLSGTEGSFLASLVRNNLNTQSDVWIGLHDPTEGSEPDAGGWEWS
+STAMFNYFAWERIPSTVSGLDHCGILSRTSGYLKWKNYNCNVNLPYICQFKG
+>tr|A1L528|A1L528_BOVIN RAB1A, member RAS oncogene family OS=Bos taurus OX=9913 GN=RAB1A PE=1 SV=1
+MSSMNPEYDYLFKLLLIGDSGVGKSCLLLRFADDTYTESYISTIGVDFKIRTIELDGKTI
+KLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLQEIDRYASENVNKL
+LVGNKCDLTTKKVVDYTTAKEFADSLGIPFLETSAKNATNVEQSFMTMAAEIKKRMGPGA
+TAGGAEKSNVKIQSTPVKQSGGGCC
+>tr|Q29RU7|Q29RU7_BOVIN Uncharacterized protein MGC137454 OS=Bos taurus OX=9913 GN=MGC137454 PE=2 SV=1
+MKKSRSVMTVTADDNVKDYFECSLSKSYSSSSNTLGIDLWRGRRCCSGNLQLPPLSQRQS
+GRSRTPEGDGISRPTTLPLMTLPSIAITTVTQECICSIQAKPTVVIFMETIQWFPSNQVS
+CGFLGYKQRHNSCGQLQKLRRKLSF
+>tr|E1B8B4|E1B8B4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=SCAI PE=4 SV=3
+MSSGGAEDDIPQGERKTVTDFCYLLDKSKQLFNGLRDLPQYGQKQWQSYFGRTFDVYTKL
+WKFQQQHRQVLDNRYGLKRWQIGEIASKIGQLYYHYYLRTSETSYLNEAFSFYSAIRQRS
+YYSQVNKEDRPELVVKKLRYYARFIVVCLLLNKMDVVKDLVKELSDEIEDYTHRFNTEDQ
+VEWNLVLQEVAAFIEADPVMVLNDDNTIVITSNRLAETGAPLLEQGMIVGQLSLADALII
+GNCNNQVKFSELTVDMFRMLQALEREPMNLASQMNKPGMQESADKPTRRENPHKYLLYKP
+TFSQLYTFLAASFKELPANSVLLIYLSATGVFPTGRSDSEGPYDFGGVLTNSNRDIINGD
+AIHKRNQSHKEMHCLHPGDLYPFTRKPLFIIVDSSNSVAYKNFTNLFGQPLVCLLSPTAY
+PKALQDQSQRGSLFTLFLNNPLMAFLFVSGLSSMRRGLWEKCQEYLRKINRDIAQLLTHS
+RSIDQAFLQFFGDEFLRLLLTRFIFCSATMRMHKIFRETRNYPESYPQLPRDETVENPHL
+QKHILELASILDVRNVFLENTIDDY
+>tr|A6QP65|A6QP65_BOVIN CYB5RL protein OS=Bos taurus OX=9913 GN=CYB5RL PE=2 SV=1
+MDQGEEGDEEEAWLQLRPVEPLPSQCCGSGCSPCVFDIYQRDLARWEAARASKDRSLLSR
+EETQSCPSKLSPETFLAFLISAVDRLTEDTYLVRFALPGNSQLGLRPGQHLILRGTVDDL
+EIQRAYTPISPANAEGYFEVLIKCYQTGLMSRYVKSWKAGDTAFWRGPFGGFFYKPNQFH
+GPFTRLWRPLPKYTL
+>tr|A6QL68|A6QL68_BOVIN CLYBL protein OS=Bos taurus OX=9913 GN=CLYBL PE=2 SV=1
+MAQRLLRRAARGATAAAALPRLKASLAAEVSRLGCSSSPSHKYIPRRAVLYVPGNDEKKI
+NKIPSLNVDCAVLDCEDGVAVNKKDEARLRIVKTLEDFDLGPTEKCVRINSVSSGLAEED
+LEAILQSRVLPSSLMLPKVEGPEEIQWLSDKFSFYLKDRKLEQPMNLIPFVETAMGLLSF
+KAVCEEAVKNGPRVGLFLDAVVFGGEDFRASIGATSSKETQDILYARQKIIVVAKAFGLQ
+AIDLVYIDFRDEEGLLRQSREGAAMGFTGKQVIHPNQIAVVQEQFSPSAEKIKWAEELIA
+AFKEHQQLGKGAFTFRGSMIDMPLLKQAQNIVTLATSIKEK
+>tr|A0JN96|A0JN96_BOVIN Serine/threonine kinase 3 OS=Bos taurus OX=9913 GN=STK3 PE=2 SV=1
+MEQPPAPKSKLKKLSEDSLTKQPEEVFDVLEKLGEGSYGSVFKAIHKESGQVVAIKQVPV
+ESDLQEIIKEISIMQQCDSPYVVKYYGSYFKNTDLWIVMEYCGAGSVSDIIRLRNKTLTE
+DEIATILKSTLKGLEYLHFMRKIHRDIKAGNILLNTEGHAKLADFGVAGQLTDTMAKRNT
+VIGTPFWMAPEVIQEIGYNCVADIWSLGITSIEMAEGKPPYADIHPMRAIFMIPTNPPPT
+FRKPELWSDDFTDFVKKCLVKNPEQRATATQLLQHPFIKNAKPVSILRDLITEAMEIKAK
+RHEEQQRELEEEEENSDEDELDSHTMVKTSSESVGTMRATSTMSEGAQTMIEHNSTMLES
+DLGTMVINSEDEEEEDGTMKRNATSPQVQRPSFMDYFDKQDFKNKSHENCNQNMHEPFPM
+SKNVFPDNWKVPQDGDFDFLKNLSLEELQMRLKALDPMMEREIEELRQRYTAKRQPILDA
+MDAKKRRQQNF
+>tr|A7MB88|A7MB88_BOVIN TMEM63A protein OS=Bos taurus OX=9913 GN=TMEM63A PE=2 SV=1
+MTESPFLELWQSRAVAIPERLGIGDQPNDSYCYNSAKNSTVLQGVTFGGIPTVLFIDVTC
+FLFLIAAFSIIRRKFWDYGRIALVSEGNSESRFRRLSSSSSGQQDFESEMGLCSWLTAIF
+RLHDDQILEWCGEDAIHYLSFQRHIIFLLVVVSCLSLCVILPVNLSGDLLDKDPYSFGRT
+TIANLQTDNNLLWLHTVFAILYLILTVVFMRHHTQSIKYKEESLVRRTLFVTGLPRHAKK
+ETVESHFRDAYPTCEVVEVQLCYDVAKLIYLCKERKKTEKSLTYYTNLQVKTGQRTFINP
+KPCGQFCCCEVRGCEWEDAISYYTRMKDGLMERITEEECRVQDQPLGMAFVTFQEKSMAT
+YVLKDFNACKCQGLQCKGEPQPSSHGRELRISRWSVTFAAYPEDICWKNLSIQGFRWWFQ
+WLGINFILFVVLFFLTTPSIILSTMDKFNVTKPIHALNNPVISQFFPTLLLWSFSALLPT
+IVYYSTLLESHWTKSGENWIMMTKVYIFLIFMVLILPSLGLTSLDFFFRWLFDKTSSEAS
+IRLECVFLPDQGAFFVNYVIASAFIGNGMELLRLPGLILYTFRMVMAKTAADRKNVKQNQ
+AFEYEFGAMYAWMLCVFTVIMAYSITCPIIVPFGLIYILLKHMVDRHNLYFAYLPAKLEK
+RIHFAAVNQALAAPILCLFWLYFFSFLRLGLKAPLTLFTFLVLLLTILVCLAYTCFGCFK
+HLSPLNYKTEESASDKGNEAGAHVPPPFTPYVPRILNSSSSEKTALSPQQQTYGAINNIS
+GTVAGQCLAQSPEDRVAAADQGD
+>tr|A4FV55|A4FV55_BOVIN Serine/threonine-protein phosphatase 2A 56 kDa regulatory subunit OS=Bos taurus OX=9913 GN=PPP2R5C PE=2 SV=1
+MLTCNKAGSRMVVDAASSNGPFQPVALLHIRDVPPADQEKLFIQKLRQCCVLFDFVSDPL
+SDLKWKEVKRAALSEMVEYITHNRNVITEPIYPEVVHMFAVNMFRTLPPSSNPTGAEFDP
+EEDEPTLEAAWPHLQLVYEFFLRLLESPDFQPNIAKKYIDQKFVLQLLELFDSEDPRERD
+FLKTTLHRIYGKFLGLRAYIRKQINNIFYRFIYETEHHNGIAELLEILGSIINGFALPLK
+EEHKIFLLKVLLPLHKVKSLSVYHPQLAYCVVQFLEKDSTLTEPVVMALLKYWPKTHSPK
+EVMFLNELEEILDVIEPSEFVKIMEPLFRQLAKCVSSPHFQVAERALYYWNNEYIMSLIS
+DNAAKILPIMFPSLYRNSKTHWNKTIHGLIYNALKLFMEMNQKLFDDCTQQFKAEKLKEK
+LKMKEREEAWVKIENLAKANPQYAVYSQASTISIPVAMETDGPLFEDVQMLRKTVNEEAR
+QAQKDPKKERPLARRKSELPQDLHTKSALEAHGRAEEPAPQDGR
+>tr|G5E5J5|G5E5J5_BOVIN Vitamin D3 receptor OS=Bos taurus OX=9913 GN=VDR PE=3 SV=1
+MEATAASTSLPDPGDFDRNVPRICGVCGDRATGFHFNAMTCEGCKGFFRRSMKRKALFTC
+PFNGDCRITKDNRRHCQACRLKRCIDIGMMKEFILTDEEVQRKREMILKRKEEEALKDSL
+RPKLSEEQQRIITTLLEAHHKTYDDTYSDFSQFRPPVRNSEDEGNRPLRSILTPSFSGNS
+SSSCSDHCTSSPDTMEPTSFSNQDLNEEDSDDPSVTLDLSQLSMLPHLADLVSYSIQKVI
+GFAKMIPGFRDLTPEDQIVLLKSSAIEVIMLRSNQSFTLDDMSWTCGSPDYKYQVSDVTR
+AGHSLELIEPLIKFQVGLKKLNLHEEEHVLLMAICIVSPDRPGVQDAALVEAIQDRLSNT
+LQTYIRCRHPPPGSHLLYAKMIQKLADLRSLNEEHSKQYRCLSFQPESSMKLTPLLFEVF
+GNEIS
+>tr|Q148D3|Q148D3_BOVIN Fumarate hydratase OS=Bos taurus OX=9913 GN=FH PE=1 SV=1
+MDRALRLLARSRLLSRNPGSAPKPGLGPGGPAVLLLRPPNAARMASQNSFRIEYDTFGEL
+KVPNDKYYGAQTVRSTMNFKIGGMTERMPIPVIKAFGILKRAAAEVNQDYGLDPKIANAI
+MKAADEVAEGKLNDHFPLVVWQTGSGTQTNMNVNEVISNRAIEILGGELGSKKPVHPNDH
+VNKSQSSNDTFPTAMHIAAAIEVHEVLLPGLQKLHDALEAKSKEFDQIIKIGRTHTQDAV
+PLTLGQEFSGYVQQVKYATTRIKAAMPRIYELAAGGTAVGTGLNTRIGFAEKVAAKVAVL
+TGLPFVTAPNKFEALAAHDALVELSGAMNTTACSLMKIANDIRFLGSGPRSGLGELILPE
+NEPGSSIMPGKVNPTQCEAMTMVAAQVMGNHVAVTVGGSNGHFELNVFKPMMIKNVLHSA
+RLLGDASVSFTENCVVGIQANTERISKLMNESLMLVTALNPHIGYDKAAKIAKTAHKNGS
+TLKATAIELGYLTAEQFDEWVKPKDMLGPK
+>tr|A6QLR0|A6QLR0_BOVIN ERG protein OS=Bos taurus OX=9913 GN=ERG PE=2 SV=1
+MASTIKEALSVVSEDQSLFECAYGTPHLPKTDMTASSSGDYGQTSKMSPRVPQQDWLSQP
+PARVTIKMECNPNQVNGSRNSPDECSMAKGGKMVGSPDTVGMNYSSYMEEKHMPPPNMTT
+NERRVIVPADPTLWSTDHVRQWLEWAVKEYGLPDVDILLFQNIDGKELCKMTKDDFQRLT
+PSYNADILLSHLHYLRETPLPHLTSDDVDKALQNSPRLMHARNTDLPYEPPRRSAWTSHG
+HPAPQSKAAQPSPSTVPKTEDQRPQLDPYQILGPTSSRLANPGSGQIQLWQFLLELLSDS
+SNSNCITWEGTNGEFKMTDPDEVARRWGERKSKPNMNYDKLSRALRYYYDKNIMTKVHGK
+RYAYKFDFHGIAQALQPHPPESSLYKYPSDLPYMGSYHAHPQKMNFVAPHPPALPVTSSS
+FFAAPNPYWNSPTGGIYPSARLPASHMPSHLGTYY
+>tr|Q2YDD7|Q2YDD7_BOVIN Galectin OS=Bos taurus OX=9913 GN=LGALS8 PE=2 SV=1
+MMSLNNLQNVIYNPVIPYVGTISEQLEPGTLIVLRGHVPSDSDRFQVDLQCGSSVKPRAD
+VAFHFNPRFKRANCVVCNTLRNEKWGWEEITYDMPFKKEKSFEIVIMVLKEKFQVAVNGR
+HTLLYAHRISPERIDTLGIYGKVIIHSVGFSFSSDLGSTQGSTLEPTGISKENVQKSGES
+QLPSNRGDISKIVPRTVYTKSKASPANHTLTCAKILPTNCLSKTLPFVARLNSSMGPGRT
+IVIKGEVNTNAKGFTVDLLSGKSKDIALHLNPRLNVKAFVRNSFLQEAWGEEERNITCFP
+FSPGMYFEMIIYCDAREFKVAVNGVHSLEYKHRFKELSKVDTLEIDGDIHLLEVRSW
+>tr|J9QD95|J9QD95_BOVIN Fibroblast growth factor receptor 2 OS=Bos taurus OX=9913 GN=FGFR2 PE=2 SV=1
+MVSWGRFLCLVVVTMATLSLARPSFNLVDDTTVEPEEPPTKYQISQPEVYVAAPRESLEL
+RCLLRDAAMISWTKDGVHLGPNNRTVLIGEYLQIKGATPRDSGLYACTAARNVDSETVYF
+MVNVTDAISSGDDEDDADGSEDFVSENSNSKRAPYWTNTEKMEKRLHAVPAANTVKFRCP
+AGGNPTPTMRWLKNGKEFKQEHRIGGYKVRNQHWSLIMESVVPSDKGNYTCVVENDYGSI
+NHTYHLDVVVSAESSSSMNSNTPLVRITTRLSSTADTPMLAGVSEYELPEDPKWEFPRDK
+LTLGKPLGEGCFGQVVMAEAVGIDKEKPKEAVTVAVKMLKDDATEKDLSDLVSEMEMMKM
+IGKHKNIINLLGACTQDGPLYVIVEYASKGNLREYLRARRPPGMEYSYDINRVPEEQMAF
+KDLVSCTYQLARGMEYLASQKCIHRDLAARNVLVTENNVMKIADFGLARDINNIDYYKKT
+TNGRLPVKWMAPEALFDRVYTHQSDVWSFGVLMWEIFTLGGSPYPGIPVEELFKLLKEGH
+RMDKPANCTNELYMMMRDCWHAVPSQRPTFKQLVEDLDRILTLTTNEEYLDLSQLLEQYS
+PSYPDTRSSCSSGDDSVFSPDPMPYEPCLPQYPHRNGSVKT
+>tr|A5PJF2|A5PJF2_BOVIN AK7 protein OS=Bos taurus OX=9913 GN=AK7 PE=2 SV=1
+MAEEEESAAPAEKAIRIQRVFINLVDSYSSRNIGKFLSNCVVGASLEEITEEEEEDDENK
+SAMPEASMAKLKEGTFQIVGTVSKLDIPRPEFAVETYCGISQEDLLLRLLECDVIIYNIT
+ENPQQAEEAIWAVSASGTSPMSQLLTSDDENTGASGSASILPTRI
+>tr|A7YWJ7|A7YWJ7_BOVIN FYB protein OS=Bos taurus OX=9913 GN=FYB1 PE=2 SV=1
+MAKFNTGGNPTEEVSVNNRPFKVPGQNSPSGVQAKKNLFNNQGNASPPAGLSNVPKFGSP
+KPPLGVKPSPEEKPDKEPKPPFLKPAGVSPRFGPQANSATRDSEVKAGFLKPVGPKPINL
+PKEDAKPAFPWPPGAKPSLPSVNQDHDLKPGPKPGSMPPALENEPKQVLSKLAGAKGKFL
+SASQDLDPKPLFPKPVFGQKPPMNTEDPQEDESPTKTAAPQRGPLVPLAAKAKSGPLKPA
+REEPENKEHGGETSVSPFPGVVLKPAANRGAPGGLPKNTEEKREDRKMDAAKNIFLNKIT
+QEESAPGAPPAKFLKTPSKLTSTGPWSQNQDKEKGDKNSATPKQKPLPSLFTLGPPPPKP
+SRPPHVDLSKFRKATSGNSTNKGPMTFSTTALPPPPPSHPASQPPLPASHPTQLPAPSLP
+PRNIKPPLDLKGPVNEENQDSVMHSDGTGNLDEEQESEGETYEDIDTSKEKEKKREKEEK
+KRLELEKKEQKEREKKEQEIKKKFKLTGPIQVIHQAKACCDVKGGKNELSFKQGENIEII
+RITDNPEGKWLGRTARGSYGYIKTTAVEIDYDSLKRKKGCLSALSTRLLEDDQEVYDDVA
+EQENVSSHSQSGSGGMFPPPPDDDIYDGIEEEDAANGSTLQVEEKSNTWSWGILKMLKGK
+DDKKKSIREKPKDSESDNDEGSSFPSPPKQVDMGDEVYDDVDASDFPPPPIEISQGAKTK
+AEEKDPKKLKKQEKEEKDFRKKFKYDGEIRVLYSTKVAPSLSSKKWGNRDLQIKPGESLE
+VIQNTDDTKVLCRNEEGKYGYVLRSYLVDNDGEIYDDIADGCIYDND
+>tr|A0A3Q1MY85|A0A3Q1MY85_BOVIN Ubiquitin carboxyl-terminal hydrolase 16 OS=Bos taurus OX=9913 GN=USP16 PE=3 SV=1
+MGKKRTKGKTVPIDDSSESLEPVCRHIRKGLEQGNLKKALVNVEWNICQDCKTDNKVKDK
+SEEETEENPSVWLCLKCGHQGCGRNSQEQHALKHYMKPRSEPHCLVLSLDNWSVWCYLCD
+DEVHYCNSNRLGQVVDYVRKQAGNTTPESAEDNGNIELENKKLEKESKNEQEREKKENMA
+RENPSMNSTSQITVKGLSNLGNTCFFNAVMQNLSQTPVLRELLKEVKMSGTIVKIEPPDL
+ALTEPLEINLEPPGPLTLAMSQFLNEMQETKKGIVTPRELFSQVCKKAVRFKGYQQQDSQ
+ELLRYLLDGMRAEEHQRVSKGILKAFDNSTEKVDEELKNKVKEYEKKKSVPSFVDRIFGG
+ELTSTIMCDECRTVSLVHESFLDLSLPVLDDQSGKKSINDKNLKKTMEDEDKDSEEEKDN
+DSYLKERNDIPSGTSKHLQKKAKKQAKKQAKNQRRQQKIQGKVLHLNDVYAIDHPEDNEC
+EVEMSLQREADIKSNHISQEEVAPKEYCVNQKDLNGHEKMIESITDNQKSTEEAAMKNVS
+VDNDLEVLASSATECPRNLNGAYLKEGSNGEVDISSGFENLNLNAALQPDEINIEILDDD
+PTPGTKVYEVVNEDPETAFCTLANREAFNTDECSVQHCLYQFTRNEKLRDANKLLCEVCT
+RRQYSGPKANMKGERKHIYTNAKKQMLISLAPPVLTLHFKRFQQAGFNLRKVNKHIKFPE
+ILDLAPFCTLKCKNVAEEHTRVLYSLYGVVEHSGTMRSGHYTAYAKTRTANTHLSNLVLH
+GDIPQDFEMESTKGQWFHISDTHVQAVPTTKVLSSQAYLLFYERIL
+>tr|A6H7J3|A6H7J3_BOVIN GAN protein OS=Bos taurus OX=9913 GN=GAN PE=2 SV=1
+MAEGSAVSDPQHATRLLRALSSFREESRFCDAHLVLDGEEIPVQKNILAAASPYIRTKLN
+YNPPKDDGSTYKIELEGISVMVMREILDYIFSGQIRLNEDTIQDVVQAADLLLLTDLKAL
+CCEFLEGCIAAENCIGIRDFALHYCLHHVHYLATEYLETHFRDVSSTEEFLELSPQKLKE
+VISLEKLNVGNEKYVFEAVIRWIAHDTEIRKVHMKDVMSALWVSGLDSSYLREQMLNEPL
+VREIVKECSNIPLSQPQQGEAMLASFKPRGYSECIVTVGGEERVSRKPTAAMRCMCPLYD
+PNRQLWIELAPLSMPRINHGVLSAEGFLFVFGGQDENKQTLSSGEKYDPDANMWTALPPM
+NEARHNFGIVEIDGMLYVLGGEDGEKELISMECYDIYSKTWTKQPDLTMVRKIGCYAAMK
+KKIYAMGGGSYGKLFESVECYDPRTQQWTAICPLKERRFGAVACGVAMELYVFGGVRSRE
+DIQGGEMVTCKSEFYHDEFKRWIYLNDQNLCIPASSSFVYGAVPIGASIYVIGDLDTGTN
+YDYVREFKRSTGTWQHTKPLLPSDLRRTGCAALRIANCKLFRLQLQQGLFRIRVHSP
+>tr|A6QPF2|A6QPF2_BOVIN FANCM protein OS=Bos taurus OX=9913 GN=FANCM PE=2 SV=1
+MSGRQRTLFQTWGSKVSRSTGAPTCNSGTERPQSTGISRARFPAVAEAAGAAEVEPESDD
+DVLLVAVYEAERQLNLENGGFCTSAGALWIYPTNCPVRDYQLHIARTALFCNTLVCLPTG
+LGKTFIAAVVMYNFYRWFPSGKVVFMAPTKPLVTQQIEACYRVMGIPQSHMAEMTGSTQA
+FTRKEIWSSKRVLFLTPQVMVNDLSRGACPAAEIKCLVIDEAHKALGNYAYCQVVRELVK
+YTNHFRVLALSATPGSDIKAVQQVITNLLIGQIELRSEDSPDILPYSHERRVEKLVVPLG
+EELEAIQKAYIQILEAFASSLIQRNVLMRKDIPNLTKYQIILARDQFRKNPSPNIVLSTE
+ELMLLNCGVGEDS
+>tr|Q5MYB8|Q5MYB8_BOVIN Carboxylic ester hydrolase OS=Bos taurus OX=9913 GN=BREH1 PE=1 SV=1
+MGLVALVLTSLAISTAWGLPPSPPIVDTAQGRVLGKYVSLKGFAQPVGVFLGIPFAKPPL
+GSLRFAPPQPAEPWTFVKNTTSYSPMCSQNAVLVEMTSDLISNGMETVKTKFSEDCLYLN
+IYTPADLTKRSRLPVMVWIHGGALLTGGASSCDGLVLSAHENVVVVTIQYRLGIWGFFST
+GDEHSRGNWGHLDQVAALHWVQENIANFGGDPGSVTIFGGSAGAESVSVLVLSPLAKNLF
+HRAISESGVALIPGLVKKDSKAEAKRIAAFAGCKTITSAVLVHCLRQKTEDELLEITQKM
+NFFTLNFLGDPTKTYPFLPTVVDGVLLPKMPEEMLAEKNFNTVPYIVGINKQEFGWILPL
+LMGFPLTAGKMDQEMATSFVWKSYPILNIPEELAPVATDKYLGGTHDPVQKKDLLLDLIA
+DGLFGVPSVNTARYHRDAGVPTYMYEFQYRPRFSSELKSKTVIGDHGDEQSSVFGIPVLQ
+DGASEEEINLSKMMMKFWANFARNGNPNGKGLPHWPAYDQKEGYLQIGVNTQAAEKLKSE
+KVAFWNELLSQEATKKAPRSKHVEL
+>tr|A5D7H0|A5D7H0_BOVIN TLC domain containing 1 OS=Bos taurus OX=9913 GN=TLCD1 PE=2 SV=1
+MPPVLVPALPLLLGATLTFRAVRHALCRLPLPAHVRADPLRTWRWHNLLVSFAHSIVSGI
+WALLCIWQTPEMLVEIEKAWSLSGYLLVCFSAGYFIHDTVDIVISHQSRASWEYLVHHVM
+AMGAFFSGIFWSRFVGGGVLTLLVEVSNIFLTIRMMMKINNAQHLLLYRVNKYINLVMYF
+LFRLAPQAYLTHLFLGYAGQRTLGTFLLAILLMLDVMILVYFSRLLRSDFCPQRVPSHQH
+KDKFLTE
+>tr|A6QLL3|A6QLL3_BOVIN CDX1 protein OS=Bos taurus OX=9913 GN=CDX1 PE=2 SV=1
+MYVGYVLDKDSPVYPGPARPASLSLGPQAYGPPPPPPGPPQYPDFTGYSHVEPGPVPPAA
+WSAPFSAPKDEWAAAYGPGPTAPTSSPAPLAFGPPPDFGAVPTPPGPGPGLLAQPLGGPG
+TPSSPGAQRRTPYEWMRRSVAAGGGGGSGKTRTKDKYRVVYTDHQRLELEKEFHYSRYIT
+IRRKSELAANLGLTERQVKIWFQNRRAKERKVNKKQQQQQPPQPPPPAHDITSTPAGPPL
+GGLCPSSASLLGASSPVPVKEEYLP
+>tr|A7YY65|A7YY65_BOVIN MTCH1 protein OS=Bos taurus OX=9913 GN=MTCH1 PE=2 SV=1
+MGASDPEVASWAPGGAAGMAGAGAGAGARGGAAAGVEARARDPPPAHRAHPRHPRPAAQP
+SARRMDGASGGLGSGDNAPTTEALFVALGAGVTALSHPLLYVKLLIQVGHEPMPPTIGTN
+VLGRKVLYLPSFFTYAKYIVQVDGKIGLFRGLSPRLMSNALSTVTRGSMKKVFPPDEIEQ
+VSNKDDMKTSLRKVVKETSYEMMMQCVSRMLAHPLHVISMRCMVQFVGREAKYSGVLSSI
+GKIFKEEGLLGFFVGLIPHLLGDVVFLWGCNLLAHFINAYLVDDSVSDTPGGLGNDQNPG
+SQFSQALAIRSYTKFVMGIAVSMLTYPFLLVGDLMAVNNCGLQAGLPPYSPVFKSWIHCW
+KYLSVQGQLFRGSSLLFRRVSSGSCFALE
+>tr|F1MLX7|F1MLX7_BOVIN Kelch repeat and BTB domain containing 12 OS=Bos taurus OX=9913 GN=KBTBD12 PE=4 SV=2
+MEYKSEGKEKYQHSLNLLNKIKSMKDLAEMIDVVIIAEGEAFPCHRLVLAAFSPYFKAMF
+TCGLLECTQREVVLHDITAESVAVLLRYMYHAALEVSNANVQTVAMAAYFMQMEDVFQAC
+QKHMMAHMDASNCVGIYYFAKQIGAEDLADQSRKYLYRHFAEVSLHEEILEVEVHQLLTL
+IQSDDLNVSREESILDLVLRWVNHRPDRRTEHLVELLKQVRLQLVSPSFLRQALRRNTVL
+LCDANCIDLIQNAFKAIKTPQPHALSLRYGMETTSLLLCIGTNASGIRSRHRNYGDASFC
+YDPVSRKTCFISSPKYGEGLGTVCTGVVMEDNSVIVAGEASASKLSRQKSKSVEIYRYHD
+RGNQFWEKLCTAEFRELYALGAVHNDLYVIGGQMKVKNQYLITNCVDKYSVERDTWKRVS
+PLPLQLACHAVATVNNKLYVIGGWTPQMDLPDEEPDRLSNKLLRYDPSQDQWTERAPMKY
+SKYRFSTAVVNSEIYVLGGIGCVGRDKGQVRKCLDAVEIYNPDGDFWREGPPMPVPLLAL
+RSNSTSAGAVDGKLYVCGGFHGADRHEVICKEILELDPWENQWNVVAVDVLMHDSYDVCL
+VARMNPRDLIPPPSDLVEEGGGR
+>tr|Q0P5L6|Q0P5L6_BOVIN Centromere/kinetochore protein zw10 OS=Bos taurus OX=9913 GN=ZW10 PE=2 SV=1
+MASFVTEVLAHSGRLEKEDLGTRISRLTQRVEEIKGEVCSMISKKYSEFLPSMQSAQDLV
+TQVDKLSDDIGLLKSRIETEVRRDLHVSTAEFTDLKQQLERDSVVLCLLRQLQEFSTAIE
+EYNCALAGKKYISAAQHLEEAQKCLKLLKSRKCFDLKILKSLSMELTIQKQNILYHLGEE
+WQKLIVWKFPPSKDISNLESCLQTELHLCTEQSQKEEKNPVPPISSVLLAFSLLGELHTK
+LKSFGQMLLKYILKPLASCPSLLAMIESQPNITIIRFESVMTDLEHPSPSEVFAKIRLVL
+EVVQKQLLDLPLDADLENLKTSKITLAEMLGDIIWEDLSECLIKDCLVYSIPTNSSKLQQ
+YEEIIQSTEEFENALKEMRFLKGDTTDLLKYARNINSHFANKKCQDVIVAARNLMTSEIH
+NTVKITPASQISVPDLLRPNEDDKLQVQEVSTAQCNEVANLEPENTLDQHSFSLPTCRIS
+ESVKKLMELAYQTLLEATTSSDQCAVQLFYSVRNIFHLFHDVVPTYHKENLQKLPQLAAI
+HHNNCMYIAHHLLTLGHQFRLRLAPILCDGTTTFVDLVPGFRRLGTECFLAQMRAQKGEL
+LERLSSARNFSNMDDEDNYSAASKAVRQVLHQLKRLGVVWQDVLPVNIYCKAMGTLLNTA
+VSEIIGRITALEDISTEDADRLYSLCKTVVDEGPQVFAPLSEENENKKYREEVPVYVSKW
+MPFKELMMMLQASLQEIGDRWADGKGPLATAFSSSEVKALIRALFQNTERRAATLAKIK
+>tr|Q29RN2|Q29RN2_BOVIN Glycogenin 1 OS=Bos taurus OX=9913 GN=GYG1 PE=2 SV=1
+MTDQAFVTLTTNDSYAKGALVLGLSLKQHRTTRRLVVLITPQVSDSMRKALETVFDEVIM
+VDVLDSGDSAHLTLMKRPELGVTLTKLHCWSLTQYSKCVFMDADTLVLANIDDLFEREEL
+SAAPDPGWPDCFNSGVFVYQPSVETYNQLLHLASEQGSFDGGDQGLLNTFFSSWATTDIR
+KHLPFIYNLSSISIYSYLPAFKAFGANAKVVHFLGRTKPWNYTYDPQTKSIQSESHDPNM
+THPEFLSLWWNIFTTSVLPVLQQFGLVKDTRSYVHVENVSGAVSQLSLGEIPAPAQPFVS
+SEERKERWEQGQADYMGADSFDNIKRKLDTYLQ
+>tr|Q0VCU5|Q0VCU5_BOVIN RAD51 associated protein 1 OS=Bos taurus OX=9913 GN=RAD51AP1 PE=2 SV=1
+MVRPARHKKPVNYSQFEDSDSDDDFVPATAPLNKKSRTTQKELKLEKPEPKLKNLQEKDI
+SLQEKTPKKRMALDDKLYKRDLEVALALSVKEVPAVTIDVEQSQGFDKHGKKETGATSKS
+PHVSNCSVAGDYLDLDKITEEDESQDTPRRRKAASQAVVQQRKILLENGYDDGANDSEPD
+FATGEDSEDDSDFSGSEDDESFTVRRSKVKETKRKEMKAKPPAEKKEKPKPKPGARVTSV
+DCSPAAVKSESRSSPRKEASRTPSQICSPAAESKRPKWVPPAMSGSSSRSPLAGVAVKSP
+SQSLRLGLSRLARVKPLHPNATSSCV
+>tr|A2VE30|A2VE30_BOVIN IL2RA protein OS=Bos taurus OX=9913 GN=IL2RA PE=2 SV=1
+MEPSLLMWRFFVFIVVPGCVTEACHDDPPSLRNAMFKVFRYEVGTMINCDCKTGFRRVSA
+VMRCVGDSSHSAWENRCFCNSTSPAKNPVKQVTPAPEEQREKKPTDAQNQTQPPEEADLP
+GHCEEPPPWEHEREPLKRVYHFTLGQTVHYQCAQGFRALQTSPAESTCMMINGELRWTRP
+RLKCIREGEHGQASDDAEPQESTEAPPGSGTFLPTRMAGTTDFQKPTDEIATLDTFIFTT
+EYQIAVAGCTLLLASILLLSCLTWQRKWKKNRRTI
+>tr|E1BND5|E1BND5_BOVIN Nuclear receptor coactivator 6 OS=Bos taurus OX=9913 GN=NCOA6 PE=4 SV=2
+MVLNDLPNLEDIYTSLCSSTVEDSEMDFDSGLEDDDSKSDSILEDSTIFVAFKGNIDDKD
+FKWKLDTILENVPNLLHMESSKLKVQKVEPWNSVRVTFNIPREAAERLRILAQSNNQQLR
+DLGILSVQIEGEGAINLALAQNRSQDVRMNGPMGAGSSVRMEAGFPMAGGPGLIRMTSPA
+TVMIPQGGNVSSSMMAPGPNSELQPRTPRPASQSDAMDPLLSGLHIQQQSHPSGSLAPPH
+HPMQPVPVNRQINPASFPQLQQQQQQQQQQQQQQQQLQARPPQQHQQQQPQGIRPQFTAP
+TQVPVPPGWNQLPSGALQPPPAQSSLGTMTANQGWKKAPLPGPMQQQLQARPSLATVQTP
+SHPPPPYPFGSQQASQAHTNFPQMSNPGQFTAPQMKSLQGGPSRVPTPLQQPHLTNKSPA
+SSPSSFQQGSPASSPTVNQTQQQMGPRPPQNNPLPQGFQQPVSSPGRNPMVQQGNVPPNF
+MVMQQQPPNQGPQSLHPGLGGMPKRLPPGFSAGQANPNFMQGQVPSTTATTPGNSGAPQL
+QANQNVQHAGGQGAGPPQNQMQVSHGPPNMMQPSLMGIHGNMNSQQAGSSGVPQVNLGNM
+QGQPQQGPPSQLMSMHQQIVPAQGQMVQQQGTLTPQNPMILSRAQLMPQGQMMVNPQSQN
+LGPSPQRMTPPKQMLPQQGPQMMAPHNQMMGPQGQVLLQQNPMIEQIMTNQMQGNKQQFN
+TQNQSNVMPGPAQIMRGPTPNLQGNMVQFTGPMSGQMLPQQGPVNNSPSQVMGMQGQVLR
+PPGPSPHMAQQHGDPATTANNDVSLSQMMPDVSMQQSNMVPPHVQAMQGNSASGNHFSGH
+GMPFNAPFNGAPNGNQMSCGQNPGFPVNKDVTLTSPLLVNLLQSDISAGHFGVNNKQNNT
+NANKPKKKKPPRKKKNSQQDLNTPDTRPAGLEEADQQPLPGEQGINLDSSGPKLPEFSNR
+PPGYPSQPVEQRPLQQLPPQLVQHVAPPAQPPPQQPQPPPSQPQSQQQQQQQQQQQQMMM
+MLMMQQDPKSVRLPVSQNVHPPRGPLNPDSQRMPMQPSGSVPVMVSLQGPASVPPSPDKQ
+RMPMPVNTPLGSTSRKMMYQENPQNPASSPLGEMSSLPEASGSEGPSVSGGPNNMPSHLV
+VSQSQLVMTGPKPGPSPLSAAQGATPQQPPVNSLPSSHGHHFPNVAAPTQTSRPKTPNRA
+SPRPYYPQTPNNRPPSTEPSEISLSPERLNASIAGLFPPQINIPLPPRPNLNRGFDQQGL
+NPTTLKAIGQAPSNLTMNNPSNFAAPQTHKLDSVVVNSGKQSNSGAPKRASPSNSRRSSP
+GSSRKTTPSPGRQNSKAPKLTLASQTNATLLQNVELPRNVLVSPTPLANPPVPGSFPNNS
+GLNPQNPTMPVATIGGVLEDNKDSLNMPQDSDCQNSQVRKEQVNIELKAVPPQEVKMVVP
+EDQCKKDGQSLEPNKPPSVEENKNLVSPAMREAPTSLSQLLDNSGAPNVTIKPPGLTDLE
+VTPPGVSGEDPKKASVIPSLQDPSSKEPSSSLNLPHSNEPCSTLVHPELSEVSSNVTPSI
+PQVMSRPVSSSSISTPLPPNQITVFVTSNPITTSANTSAALPTHLQSALMSTVVTMPNVG
+NKVMVSEGQSAAQSNARPQFITPVFINSSSIIQVMKGSQPSTIPTAPLTTNSGLMPPSVA
+VVGPLHIPQNIKFSSAPVLPNAPTSSPAPNIQTGRPLVLNSRATPVQLPSPPCTTSPVVP
+PHPPVQQVKELNPDETSPQVSTSADQSTLPSSQATTVVSPLLTNSPGSSVNRRSPVSSSK
+GKGKVDKIGQILLTKACKKVTGSLEKGEEQYGADGETEGQGLETPAPGLLGTEQLCTELD
+SKTPTPPAPTLLKMTSSPVGPGSASAGPSLPSSTLPTNVRSIVTALVPSELISAAPTTKS
+NHVGIASEPLAGGLVEEKVGSHPELLPSIAPSQSLVPKETPATALQGSVPRPELEANAAI
+VSGQSSEPKEIIEKSKTPSRRNSRTDEPAVASESVENGHRKRSSRPASASSSTKDVTSAV
+QSKRRKSK
+>tr|Q3SZR7|Q3SZR7_BOVIN Lipid droplet associated hydrolase OS=Bos taurus OX=9913 GN=LDAH PE=2 SV=1
+MDSEIKEEIPVHEEFILCCGVETQVLKCGPWTDLINNQSGTRPKLLIFIIPGNPGFSAMY
+VPFAKALYSATKRRFPVWIISHAGHALAPRGKKILKSSEGTEKNPKICMEPQKTPNRQNN
+LEEED
+>tr|Q05B59|Q05B59_BOVIN Triggering receptor expressed on myeloid cells 2 OS=Bos taurus OX=9913 GN=TREM2 PE=2 SV=1
+MEPVVLLILLAVTELSRAHNTTVFQGMMGRSLRVSCPYNSLKHWGRRKAWCRQLGEEGLC
+QQVVSTHPSWLLSFLKRRNGSTAITDDALGGTLTITLRNLQTHDAGLYQCQSLHGSEADT
+LRKVLVEVLADPRDYQDPGDLWIPEGSESFENAQVEHSISRSLSEEESPFPPTSILFLLA
+CIFLSKLLAASALWAAAWHGQKQRPPQASGPDCGHNPGYQLQTLTELRDV
+>tr|A0A3Q1M5C4|A0A3Q1M5C4_BOVIN Transmembrane protein 33 OS=Bos taurus OX=9913 GN=TMEM33 PE=4 SV=1
+MADTAPNGPQGAGAVQFMMANKLDTAMWLSRLFTVYCSALFVLPLLGLHEAASFYQRALL
+ANALTSALRLHQRLPHFQLSRAFLAQALLEDSCHYLLYSLIFVNSYPVTMSIFPVLLFSL
+LHAATYTKKILDAKDSNSLPLLRSLLDKLSANQQNILKFIACNEIFLMPATVFMLFSGQG
+SLFQPFIYYRFLTLRYSSRRNPYCRTLFNELRIVVEHLIMKPACPLFVRRLCLQSIAFIS
+RLAPTVA
+>tr|F1MSW4|F1MSW4_BOVIN Mitotic spindle assembly checkpoint protein MAD2B OS=Bos taurus OX=9913 GN=MAD2L2 PE=4 SV=1
+MTTLTRQDLNFGQVVADVLCEFLEVAVHLILYVREVYPVGIFQKRKKYNVPVQMSCHPEL
+NQYIQDTLHCVKPLLEKNDVEKVVVVILDKEHRPVEKFVFEITQPPLLSISSDSLLSHVE
+QLLRAFILKISVCDAVLDHNPPGCTFTVLVHTREAATRNMEKIQVIKDFPWILADEQDVH
+MHDPRLIPLKTMTSDILKMQLYVEERAHKSS
+>tr|Q2TBS0|Q2TBS0_BOVIN RPA interacting protein OS=Bos taurus OX=9913 GN=RPAIN PE=2 SV=1
+MAERPGSRHHSLYKLTGSPPWKEAFRQGCLERMRNSRDRLLSKYRQAGGSMSGGAQNTLL
+VQEVMEEEWNALQSVESWPQAWAQLEEPMDLAVLEEIQQELIDQEQSIISEYEKSLQFDE
+QCLSVMLAEWEANPLICPVCTKYNLRIAGGLVVCQCGLYIQSHSPEVTEQKLRACLEDSV
+NEHSAHCPHTPEFSVTEGTEEKSCLLMSCLACDTWAVIL
+>tr|F1MB18|F1MB18_BOVIN NK2B OS=Bos taurus OX=9913 GN=LOC104968634 PE=1 SV=3
+MTSWAVLIIASVLLVAPGLAFSGLTPESHDQATTHQCDGDELCQGLDPEDPQGDLLLQGE
+ELGLLCGSCQRIIQHLMDKLGDQPDENTVIEAASKVCGKMGPLKGLCKSITKRFLRRIAA
+DITAGKTSRVVCEDIKMCKSKPVGFI
+>tr|Q08DN5|Q08DN5_BOVIN Carnitine O-acetyltransferase OS=Bos taurus OX=9913 GN=CRAT PE=1 SV=1
+MLAFAARTVVKPLGLLKPSSLMKVSSRFKAHQDSLPRLPVPPLQQTLDHYLKALQPIVSE
+EEWAQTKQLVEEFQTAGGVGERLQKGLERRARKMENWLSDWWLKTAYLQYRQPLVIYSSP
+GVMLPKQDFVDRQGQLRFAAKLIEGVLDFKAMIDNETLPVEYLGGKPLCMNQYYQILSSC
+RVPGPKQDLVTKFSRTKKPPMHITVVHNYQFFELDVYHSDGTPLTSDQIFMQLEKVWNSS
+LQTNKEPVGILTSNHRNSWAKAYSTLIKDKVNRESVHSIQRSIFTVCLDAPMPRVSEDTY
+RSQVAGQMLHGGGSKLNSGNRWFDKTLQFIVAEDGACGLIYEHAAAEGPPIVSLLDHVIE
+FTKRPELVRSPMVPLPMPKKLRFNITPEIKSDIEKAKQNLSIMIQDLDITVMVFHHFGKD
+FPKSEKLSPDAFIQMALQLAYYRIYGQACATYESASLRMFHLGRTDTIRSASMDSLTFVK
+AMDDPNVTEHEKVELLRKAVQAHRAYTDRAIRGEAFDRHLLGLKLQAIEDLVSMPDIFMD
+TSYAIAMHFNLSTSQVPAKTDCVMFFGPVVPDGYGVCYNPMETHINFSVSAYNSCAETNA
+VHLAHYLEKALLDMRALLQSHPRAKL
+>tr|A0JNC5|A0JNC5_BOVIN Chromosome 23 C6orf62 homolog OS=Bos taurus OX=9913 GN=C23H6orf62 PE=2 SV=1
+MGDPNSRKKQALNRLRAQLRKKKESLADQFDFKMYIAFVFKEKKKKSALFEVSEVIPVMT
+NNYEENILKGVRDSSYSLESSIELLQKDVVQLHAPRYQSMRRDVIGCTQEMDFILWPRND
+IEKIVCLLFSRWKESDEPFRPVQAKFEFHHGDYEKQFLHVLSRKDKTGIVVNNPNQSVFL
+FIDRQHLQTPKNKATIFKLCSICLYLPQEQLTHWAVGTIEDHLHPYMPE
+>tr|B9VJV9|B9VJV9_BOVIN G protein-coupled receptor 43 OS=Bos taurus OX=9913 GN=GPR43 PE=2 SV=1
+MPDWDSSLILTAYIIILLTGLPANLLALRAFLGRVRQPHPAPVHILLLSLTLADVLLLLL
+LPFKIIEAASDFRWELSNLACALMGFGFYGSIYCSTLLLAGISVERYLGVAFPVQYKLSR
+RPVYGVIAALIAWVMSFGHGTVVIIVQYLNSTQRAPKENETTCYENFTQEQLRLLLPIRL
+ELCLLLFFFPMVVTTFCYSRFVWIMLTQPHMGAQKQRRAMGLAIVSLLNFLLCFGPYNIS
+HLVGFYMKASPKWRREAVVFGSLNASLDPLLFYFSSSVVRRSFGKGLQALYHWGSSLLGR
+RCKETAEAANEDPGVSQAEGAPSSDFTLD
+>tr|A5PKH9|A5PKH9_BOVIN POC1 centriolar protein B OS=Bos taurus OX=9913 GN=POC1B PE=2 SV=1
+MASSPEDPVLERYFKGHKAAITSVDFSPNGKQLATGSWDTFLMLWSLRPQARAFRYVGHK
+DVITSVQFSPLGNLLASASRDRTIRLWIPDKRGKSSEFKAHTAPVRSVDFSADGQFLASA
+SEDKSIKVWNMYRQRFLYSLYRHTHWVRCAKFSPDGRLIVSCSEDKTIKIWDTTNKQCVN
+NFSDFVGFANFVAFNPNGTCIASAGSDHTVKIWDIRVNKLLQHYQVHSGGVNCVSFHPSG
+NYLITASSDGTLKILDLLEGRLIYTLQGHTGPVFTVSFSKGGELFTSGGADAQVLLWRTN
+FDELNYKDVSKRNLKRLHFDSPPHLLDIYPRTPHPHEGRIDTVEINPKLDVTDLQTSTPP
+VVDILSFDSTTTTETTVRTLPDKGEEICGYFLNPSLMSSECSPTILKKKTEDISDLPSES
+QRSIPLAVTDALEHIMEQLNVLTQTVSILEQRLTLTEDKLKDCLENQQKLFNAIQQKI
+>tr|A0JNE8|A0JNE8_BOVIN LPCAT4 protein OS=Bos taurus OX=9913 GN=LPCAT4 PE=2 SV=1
+MSQGSPGDWAPLDPTPGPPAPPNPFVHELHLSRLQRVKFCLLGALLAPIRVLLAFIVLFL
+LWPFAWLQVAGLTEEQLQEPITGWRKTVCHHGVLGLSRLLFFLLGFLRIRVRGQRASRLQ
+APVLVAAPHSTFFDPIVLLPCDLPKVVSRAENLSVPVIGALLRFNQAILVSRHDPASRRR
+VVEEVRRRATSGGKWPQVLFFPEGTCSNKKALLKFKPGAFIAGVPVQPVLIRYPNSLDTT
+SWAWRGPGVLKVLWLTASQPCSIVDVEFLPVYRPSPEESRDPTLYANNVQRVMAQALGIP
+ATECEFVESLPVIVVGRLKVALEPQLWELGRVLRKAGLSPGCVDAGTEPGQSRRISQEEF
+AKQLQLSDSQTVAGAFSYFQQDADGLVDFRDVALALAALSGGRSLEELTRLAFELFAEEP
+EEQAEEQAKGPGRLLYKDGFSTILHLLLGSPRPAARTLHAELCQAGARQGLSLCEFQDFS
+LHHPLHGKLFSTYLRPSQTPPASSPGSTTTLANGTVQAPKQKGD
+>tr|Q0VD52|Q0VD52_BOVIN RAS guanyl releasing protein 3 OS=Bos taurus OX=9913 GN=RASGRP3 PE=2 SV=1
+MGSSGLGKAATLDELLSTCIEMFDDNGELNNSYLPRIVLLMHRWYLSSTELAEKLLCIYR
+NASGESCDEFRLKICYFMRYWIVKFPAEFNLDLGLIRMTEEFREVASQLGYEKHTSLIDI
+SSIPSYDWMRRVTQRKKVSKKGKACLLFDHLEPIELAEHLTFLEHKSFRRISFTDYQSYV
+IHGCLENNPTLERSIALFNGISKWVQLMVLSKPTPQQRAEVITKFINVAKKLLQLKNFNT
+LMAVVGGLSHSSISRLKETHSHLSSEVTKNWNEMMELVSSNGNYCNYRKAFADCDGFKIP
+ILGVHLKDLIAVHVIFPDWTEENKVNIVKMHQLSVTLSELVSLQNASHHLEPNLDLINLL
+TLSLDLYHTEDDIYKLSLVLEPRNSKSPTSPTTPTKPVVPLEWASGVMPKPDPTVINKHI
+RKLVESVFRNYDHDHDGYISQEDFESIAANFPFLDSFCVLDKDQDGLISKDEMMAYFLRA
+KSQLHCKMGPGFVHNFQEMTYLKPTFCEHCAGFLWGIIKQGYKCKDCGANCHKQCKDLLV
+LACRRFARAPSLGSSHGSLPGSPSLPPVQDEVFEFPGVTAGHRDLDSRAITLVTGSSGKI
+SVRLQRATTSQATQTEPVWSEAGWGDSGSHTFPKMRSKFHDKAAKDKGFAKWENEKPRVQ
+AGVDVVDRGTTFEPDQDEADMDETKQDGEDG
+>tr|A5PKF1|A5PKF1_BOVIN C-C motif chemokine OS=Bos taurus OX=9913 GN=CCL22 PE=2 SV=1
+MASLQTPLLAALILLAMILQATEAGPYGANVEDSVCCRDYIRYPLPLRLVKYYYWTSNSC
+RRPGVVLLTVKDREICADPKQHWVKKILQKLNQ
+>tr|Q32P61|Q32P61_BOVIN Histone H2A OS=Bos taurus OX=9913 GN=LOC523631 PE=2 SV=1
+MSPGRHLWNCRRSRRRSLSRSTRAELQFPVSRVDRLLREGQFANRLSSATPVFLTGILEY
+LIANILDLAGKEACTNHRVRISPEHVQTALVNNENLRCLFQPGAFSQPAASPPAPEN
+>tr|Q08DN3|Q08DN3_BOVIN CDK5 regulatory subunit associated protein 1 OS=Bos taurus OX=9913 GN=CDK5RAP1 PE=2 SV=1
+MHPFQCVLRARRCLRRGPLASVSWLLLRTCRAHSSVPSSACPSPQRQQEDGVWKDFSSRL
+ATGPTFQDFLRSASVPPEQPSSSEVEDPPPYLTVDELLGRQRRVYLETYGCQMNVNDTEI
+AWSILQKSGYLRTSNLQEADVILLVTCSIREKAEQTIWNRLHQLKSLKSKRLRSRVPLRI
+GILGCMAERLKEEILNREKMVDILAGPDAYRDLPQLLAVAESGQQAANVLLSLDETYADV
+MPVQTSPSATSAFVSIMRGCDNMCSYCIVPFTRGRERSRPVASILEEVRKLSEQGLKEVT
+LLGQNVNSFRDNSEVQFNNAVSTNLSRGFSTNYKAKQGGLRFAHLLDQVSRIDPEMRIRF
+TSPHPKDFPDEVLQLIRERDNICKQIHLPAQSGSSRVLEAMRRGYSREAYVELIHHIRES
+IPGVSLSSDFIAGFCGETEEDHLQTVSLLREVQYNIGFLFAYSMRQKTRAYHRLKDDIPE
+EVKLRRLEELITVFREEATKANKSFVGCTQLVLVEGPSKRSAADLCGRNDGNLKVIFADA
+EMEDATDSRLRVRAQPGDYVLVKITSASSQTLKGHVLCKTTLKDSTAYC
+>tr|Q58DW7|Q58DW7_BOVIN MHC class II antigen OS=Bos taurus OX=9913 GN=HLA-DMB PE=2 SV=1
+MTVLLSLLLGFSLGCTAAGGFVAHVESTCVLDDDGDPKDFSYCISFNKDLLTCWDPLQAS
+MIPREFGVLNGLARYLSQFLNNNSYLIQRLSNGLQNCAAHTQPFWSSLTHRTRPPTVQVA
+KTTPFNTRESVMLACYVWGFYPADVAITWRRNGQEVLPHGRAWRIIQPNGDWTYQTVSHL
+ATTPSFGDTYTCVVEHIGAPELILQDWTPGLLPAQTVKVSVALVTLVLGLIIFVFGLHSW
+RRATSSGYIFLPGSTYPEGQHN
+>tr|A6QLZ9|A6QLZ9_BOVIN Carbohydrate sulfotransferase OS=Bos taurus OX=9913 GN=CHST13 PE=2 SV=1
+MASPCWRRRALAAACLGSVLLLLGAALRALRPAFESNAVGTSWLGGKRRSPLQTLYDLDQ
+GPRSALAEVHRQRRELLHRACSRHTRRQRLLRPEDLRHVLVDDARGLLYCYVPKVACTNW
+KRVLLALNGRGSGDPRAIPAPDAHAPGRLPSLADFSPAEVNRRLRTYLAFLFVREPFERL
+ASAYRNKLQRPWGAAFQRRFGTDIVRRLRPHPSPDALARGHDVRFAEFLAYLLDPRTRRE
+GPLNEHWERAHALCHPCRLRYDVVGKFETLAEDAAFVLGLAGAPGLRFPEPPPGARAAAR
+DRAERLFRDISPFYQRRLFGLYKMDFLLFNYSVPSYLRLR
+>tr|A0A3S5ZPD8|A0A3S5ZPD8_BOVIN GATA zinc finger domain containing 2A OS=Bos taurus OX=9913 GN=GATAD2A PE=4 SV=1
+MPRSQFRMTEEACRTRSQKRALERDPVEDDVESKKIKMERGVLASDLNADGDTRVTPESG
+AGPAQGLLRGTEATAMGRGEGQVGDGPVDMRTTHSDMKSERRPPSPDVIVLSDNEQPASP
+RVNGLTEEPPKETSAEALMKSSPEERERMIKQLKEELRLEEAKLVLLKKLRQSQIQKETP
+TQKPAGSTGSSVTTPPPLVRGTQNIPSGKPSLQTSSARMPGSVIPPPLVRGGQQTSSKLG
+PQANSQVVMPPLVRGAQQIHNIRQHSSTGPPPLLLAPRASVPSVQIQGQRIIQQGLIRVA
+NVPNTSLLVNIPQPSPASLKGTTATSAQANSTPSSVASVVTAADSPASRQAAAKLALRKQ
+LEKTLLEIPPPKPPAPEMNFLPSAANNEFIYLVGLEEVVQNLLETQAGRMSAAVVLSREP
+YMCAQCKTDFTCRWREEKGGTIMCENCMASNQKKALKVEHTSRLKAAFVKALQQEQEIEQ
+RLLQQGAAPAQAKAEPASAPHPVLKQVIKPRRKLAFRSGEARDWSNGAVLQASSQLSRGS
+ATTPRGILHTFSQSPKLQNAASATTLVGRTGRHSERAVSAGKGSTTSNWKKAPLSTGGAL
+AFVSPSLAVHKTSSAVDRQREYLLDMIPPRSIPQSATWK
+>tr|A6QL85|A6QL85_BOVIN RCC2 protein OS=Bos taurus OX=9913 GN=RCC2 PE=1 SV=1
+MPRKKAAAAWEEPSSGNGTARAGPRKRGGPAGRKRERPERCSSSSGGGSSGDEDGLELDG
+APGGGKRAARPAATKAGGAAAVITEPEHTKERVKLEGSKCKGQLLIFGATNWDLIGRKEV
+PKQQAAYRNLGQNLWGPHRYGCLSGVRVRTVVSGSCAAHSLLITTEGKLWSWGRNEKGQL
+GHGDTKRVEAPRLVEGLSHEVIVSAACGRNHTLALTETGSVFAFGENKMGQLGLGNQTDA
+VPSPAQIMYNGQPITKMACGAEFSMIMDCKGNLYSFGCPEYGQLGHNSDGKFIARAQRIE
+YDCELVPRRVAIFIEKTKDGQILPVPNVVVRDVACGANHTLVLDSQKRVFSWGFGGYGRL
+GHAEQKDEMVPRLVKLFDFPGRGASQIYAGYTCSFAVSEVGGLFFWGATNTSRESTMYPK
+AVQDLCGWRIRSLACGKSSIIVAADESTISWGPSPTFGELGYGDHKPKSSTAAQEVKTLD
+GIFTEQVAMGYSHSLVIARDESEAEKEKIRKLPEYNPRTL
+>tr|A6H7H2|A6H7H2_BOVIN KLC1 protein OS=Bos taurus OX=9913 GN=KLC1 PE=1 SV=1
+MYDNMSTMVYMKEDKLEKLTQDEIISKTKQVIQGLEALKNEHNSILQSLLETLKCLKKDD
+ESNLVEEKSNMIRKSLEMLELGLSEAQVMMALSNHLNAVESEKQKLRAQVRRLCQENQWL
+RDELANTQQKLQKSEQSVAQLEEEKKHLEFMNQLKKYDDDISPSEDKDADSTKEPLDDLF
+PNDDDDPGQGIQQQHSSAAAAAQQGGYEIPARLRTLHNLVIQYASQGRYEVAVPLCKQAL
+EDLEKTSGHDHPDVATMLNILALVYRDQNKYKDAANLLNDALAIREKTLGKDHPAVAATL
+NNLAVLYGKRGKYKEAEPLCKRALEIREKVLGKDHPDVAKQLNNLALLCQNQGKYEEVEY
+YYQRALEIYQTKLGPDDPNVAKTKNNLASCYLKQGKFKQAETLYKEILTRAHEREFGSVD
+DENKPIWMHAEEREECKGKQKDGTSFGEYGGWYKACKVDSPTVTTTLKNLGALYRRQGKF
+EAAETLEEAAMRSRKQGLDNVHKQRVAEVLNDPENTEKRRSRESLSVDVVKYESGPDGGE
+EVSMSVEWNGDGTGSLKRSGSFSKLRASIRRSSEKLVRKLKGGSARDSEPRNPGMKRASS
+LNVLNVGGKAAEDHFQARSPTPL
+>tr|A1A4M8|A1A4M8_BOVIN Torsin family 3 member A OS=Bos taurus OX=9913 GN=TOR3A PE=2 SV=1
+MLGGTERLLWLLLLSLLLPPPSAWGHGGQARPREGTEEAGSARAWLAFQGLRERLRAAGA
+LSRRYWALFSCRVWPEGCGEDEEASARPPGWSLALLGQQYLDILSTWSCSFWDCCDRGDC
+KIINNFTGLKADLRVRLHGQHLARELVLTAVRDYLEKPRPDKALALSFHGWSGTGKNFVA
+RMLAENLYQDGLRSDCVKVFISTLHFPHPKNVDLYEEELTDKIRKTQEHCRQTLFILDEA
+EKLHPGLLEALRPHLERQAPNNHRAESKRTIFLFLSNLGGNIINEVVLNLLKAGQSREEV
+RMEHLESRLQAEIVASTDSGFGHSRLVKENLIDLFIPFLPLEFRHVRLCARDAFLSQDLL
+YTEEALDEMARMMVYVPKEEQLFSSQGCKSISQRISYVLPEG
+>tr|A4FV43|A4FV43_BOVIN BAALC protein OS=Bos taurus OX=9913 GN=BAALC PE=2 SV=1
+MGCGGSRADAIEPRYYESWTRETESTWLTYTDSDAQPSNAAPDSGPEAGGLHAGVLEDGV
+TSNGVPRSTAPSGISNPEKKMSCGTQCPNPQSLSSGPLTQKQNGLRTAEAKRETKRMTAK
+EVTINVAESIRQVDRSRRIAKNCIN
+>tr|A6QQQ1|A6QQQ1_BOVIN EF-hand calcium binding domain 2 OS=Bos taurus OX=9913 GN=EFCAB2 PE=2 SV=1
+MADDRDRDSTEVAVAEFHKKIKEAFEVFDHESNNTVDVREVGTIIRSLGCCPSEGELHDL
+IAEVEEEEPTGYIRFEKFLPVMTEVLLERRYRPIPEDILLRAFEVLDPAKRGFLSKDELI
+KYMTEEGEPFSQEEMEEMLSAAIDPESNSIHYKDYITMMVIDEN
+>tr|Q1LZD4|Q1LZD4_BOVIN Sulfotransferase OS=Bos taurus OX=9913 GN=CHST4 PE=2 SV=1
+MIPPKRMKLLLFLASQMAIFILFILVYSHDDNSLQVKEEPKSPHTHVLVLSSWRSGSSFV
+GQLFGQHPDVFYLMEPAWHVWMTFTQSTAQRLHMAVRDLIRAIFLCDMSVFDAYMNPGPR
+KQSSLFQWDGSRALCSPPACNLFPRDKIIPQAHCRILCHQQPFEVVEEACRTYSHVVLKE
+VRFFNLQVLYPLLRDPSLNLHIVHLVRDPRAVFRSREHTTNELKIDSHIVMGQHWQKLKK
+EDQPYYAMQVICQSQLEIFKAVQSLPKALRQRYLLVRYEDLVRDPLGQTARMYEYVGLKF
+LPRLQTWVHNITRGKGMGKHAFHTNARNALNVSQAWRWSLPYKKVFRLQKVCRNTMNLLG
+YHFVTSDQEQKNLSLDLLSTWSPYELVYQED
+>tr|M5FKF6|M5FKF6_BOVIN Target of rapamycin complex subunit LST8 OS=Bos taurus OX=9913 GN=MLST8 PE=4 SV=1
+MNTSPGTVGSDPVILATAGYDHTVRFWQAHSGICTRTVQHQDSQVNALEITPDRTMIAAA
+GYQHIRMYDLNSNNPNPIISYDGVNKNVASVGFHEDGRWMYTGGEDCTARIWDLRSRNLQ
+CQRIFQVNAPINCVCLHPNQAELIVGDQSGAIHIWDLKTDHNEQLIPEPEVSITSAHIDP
+DASYMAAVNSTGNCYVWNLTGGIGDEVTQLIPKTKIPAHTRYALQCRFSPDSTLLATCSA
+DQTCKIWRTSNFSLMTELSIKSSNPGESSRGWMWGCAFSGDSQYIVTASSDNLARLWCVE
+TGEIKREYGGHQKAVVCLAFTTACWASLVPGHCRQPSRWHQPGVSVQLPRSA
+>tr|F1MMV6|F1MMV6_BOVIN Calcium binding protein 39 like OS=Bos taurus OX=9913 GN=CAB39L PE=4 SV=2
+MPLFSKSHKNPAEIVKILRDNLAILEKQDKKTDKASEEVSKSLQAMKEILCGTSDKEPPV
+EAVAQLSQELYNTGLLVTLIADLQLIDFEGKKDVTQIFNNILRRQIGTRIPTVEYISAHP
+HILFMLLKGYEVPQIALRCGIMLRECIRHEPLAKIILFSNQFQDFFKYVELSTFDIASDA
+FATFKDLLTRHKVLVADFLEQNYDTIFEDYEKLLQSENYVTKRQSLKLLGELILDRHNFA
+IMTKYISKPENLKLTMNLLRDKSPNIQFEAFHVFKVFVASPHKTQPIVEILLKNQPKLIE
+FLSNFQKERTDDEQFTDEKNYLIKQIRDLKKVAP
+>tr|A6QPC6|A6QPC6_BOVIN SIRPA protein OS=Bos taurus OX=9913 GN=SIRPA PE=2 SV=1
+MEPARPAPGRLRPLLCLLLAASSAWTGAAGEGELQVIQPERSVSVAAGETATLQCTVTSL
+SPVGPIKWFRGTGPGRELIYSQKEAPFPRVTSVADATKRNNTDFSIRISNITPADTGVYY
+CVKFRKGERGDVEFKSGPGTHLTVSAKGSTSSTRLHEPEKNTRETTQIQDNNDITYADLN
+LPKGKKSTPKANEPNNHTEYASIQARPPPVSEDTLTYADLDMVHLNRTPKQPAPKPEPSY
+SEYASVQVQRK
+>tr|A0A3Q1LWK1|A0A3Q1LWK1_BOVIN Erythrocyte membrane protein band 4.1 like 2 OS=Bos taurus OX=9913 GN=EPB41L2 PE=4 SV=1
+MTTEVGSASEVKKESDQLGADATKEKPKEVAENQQNQTSDPEEEKGSQSSPPAESQSSPR
+RQKKEKDPSESRGISRFIPPWLKKQKSYTLVAAKDGGDKKEPAQPVVGEQVLDKEESLPE
+EERRAKGDAEETAQRKQQEIKVDVKEEKPLQLVEEVSKEREEEKVKEIQEAEFMDEAAKR
+ETKEVQTNELRAEKASLKAPKKTKTVQCKVTLLDGSEYSCDLEKRAKGQVLFDKVCEHLN
+LLEKDYFGLVFQENPEQKNWLDPAKEIKRQLRNLPWLFSFNVKFYPPDPSQLTEDITRYF
+LCLQLRQDIASGRLPCSFVTHALLGSYTLQAELGDYDPEEHASHDLSDFQFAPTQTKELE
+EKVAELHKTHRGLSPAQADSQFLENAKRLSMYGVDLHHAKDSEGVDIKLGVCANGLLIYK
+DRLRINRFAWPKILKISYKRSNFYIKVRPAELEQFESTIGFKLPNHRAAKRLWKVCVEHH
+TFYRLVSPEQPPKAKFLTLGSKFRYSGRTQAQTRQASSLIDRPAPHFERTSSKRVSRSLD
+GAPIDAVDQSLMKDFPGPAGEVSAPGPGVVSTAVVQDGDGRRDLRSPAKVPHMPLIEGKK
+NSLRVEGDNIYVRHSNLMLEDLDKAQEEILKHQASISELKRNFMESTPEPRPNEWEKRRI
+TPLSLQTQGSKGDHLFKDILSMKEKHQMVAARTVEEKTQEADKRRAPESEGPCAGATDAV
+KSSLETLNVVEEKKQAEVGKDERVIPEEMNGKELSPGRGPGEMRKVEPGTQKDSTSLSSE
+SSSSSSESEEEEDVGEYRPHHRVTEGTIREEQEEDEEEVEEAPGQAAKVVDREDPVPAAS
+PVTHAGASVGPVETVPQEKVVAPQIPAEKSVNEGAVKQDTGEETEEEPQKVNGEVAHVDT
+DVLPQIICCSEPPVVKTEMVTISDASQRTEISTKEVPIVQTETKTITYESPQIDGGAGGD
+SGTLLTAQTITSESVSTTTTTHITKTVKGGISETRIEKRIVITGDADIDHDQALAQAIRE
+AREQHPDMSVTRVVVHKETELEEGEE
+>tr|Q3T0Z9|Q3T0Z9_BOVIN SLC39A5 protein OS=Bos taurus OX=9913 GN=SLC39A5 PE=2 SV=1
+MGPPMSHLLAGLCVWVALGQVEGSAPYLGPAEQEQNHYLAQLFGLYGENGTLTAAGLARL
+LHSLGLGRVQALRLGHHGPPAGRGAPPAGDNSTHRPQDPELSVDVWTGLPLDPSGWGDLA
+ETEAPGPPHGPGPSGLGLFHRLLLLDHSLADHLNEDCLNGSQLLVNFGLSPAAPLTPRQF
+ALLCPALLYQIDSRVCIRAPAPTPSGDLLSALIHSTLAVLLLSLPAPLSLLLLRLLGPRL
+LRPLLGFLGAVAVGTLCGDALLHLLPHAQGGQHTGAGGQPEEDLGPGLSVLGGLFLLFIL
+ENVLGLLRRRGRKPRCCGQKRKDLRTPHLDLEDGSGVALQPLQAAPEEWRRDTGPVGSIG
+F
+>tr|A6QR03|A6QR03_BOVIN EXTL1 protein OS=Bos taurus OX=9913 GN=EXTL1 PE=2 SV=1
+MQSWRRKSLWLALSASWLLILLRVFPVLRLAEPARRQAGAPQGWPRWLDAELLQSFSQPG
+ELLEDGPQPPQAPRGGSCYRGACFDASRCRGGGLKVFVYPAVGPISETPRKVLTSIEGSR
+YHTASAAEACLLILVLSPDTPAGECHPELPQWDGGKNHLVLSLHPAPCLRIFQLGQAMVA
+EASPTMDTFRPGFDVALPLLPEAHPLRGGALGQLQQHSPLPGVALIAMAEERGGWRTVGT
+NFSACPWDGRCEQDHGPKQTHPRATLPNATFCLIPGRNPDALHFLQALQAGCIPVLLSPR
+WELPFSEVIDWTKAAVVADERLPLQVLAALQEMPLTRVLALRQQAQFLWDAYFSSVEKVI
+HTTLEIIQDRVSGASAHPSLLWNSPPGALLALSTFSTSPSDFPFYHLQQGSRPLGRFSAL
+IWVGALGQPPLKLIQAVAGAQHCAQILVLWSSKKPPPPRWPETAVPLTVLDGHRKASDRF
+LPYSAISTDAILSLDAHSSLSTSEVDFAFMVWQSFPERMVGFLTWSHFWDETQGGWGYTG
+ERANEFSMVLTSAAFYHRYYHTLFTHSLPKALRTLADESPPCVEVLMNFLVAAVTKLPPI
+KVPYGPWHQEAKPPLVPGSPRLRSEPRPAAQDCINQMAAGFGHMPLVSSRLRLDPVLFKD
+PVSVLRKKYRSLEKP
+>tr|Q58DU1|Q58DU1_BOVIN CGI-119 protein OS=Bos taurus OX=9913 GN=CGI-119 PE=2 SV=1
+MADFDPRYPCSSIEDDFNYGSCVASASVHIRMAFLRKVYSILSLQVLLTTVTLAFFLYFD
+SIRTFVHESPALILVLALGSLGLILALTVNRHKHPLNLYLLFGFTLLESLTVAFVVTFYD
+VYVVLQAFILTCAVFLGLTVYTLQSKRDFSKFGAGLFAGLWILCLSGILRLFFYSETVEL
+VLAAGGALLFCGFIIYDTHSLMHRLSPEEYVLAAINLYLDIINLFLHLLRVLEAANKK
+>tr|E1BH07|E1BH07_BOVIN Phosphatase domain containing paladin 1 OS=Bos taurus OX=9913 GN=PALD1 PE=4 SV=3
+MGTTASTAQQTVSASAPFEGLQDGGSMDGRHSLSVHSFQTTGLHNSKAKSIIPNKVAPVV
+ITYNCKEEFQIHDELLKAHYTLGRLSDATPEHYLVQGRYFLVRDVTEKMDTLGTLQSCGA
+PNFRQVQGGLAVFGMGQPSLSGFRQVLQKLQKDGHRECVIFCVREEPVLFLRAAEDFIPY
+TPRDKQNLHENLQGLGPGVQAERLELAIRKEIHDFAQLSENKYYVYHNTEDLRGEPHAVA
+IRGEDDVHVTEEVFKRPLFLQPSYRYHRLPLPEQGAPLETQFDAFVSVLRETPSLLLLQD
+AHGPPPALLFSCQTGVGRASLGMVLGTLLLFHRGGTALRPEAAPMKTKPLSMEQLEVVQS
+FLHMVPEGRRMVEEVDRAIAACAELHDLKEVVLGSQRELEGSRAQGSSSQHGVQQRALQS
+LERYFYLILFNYYLHEQYPLAFALSFSRWLCVHPELYRLPVILSSAGPVAPKDLIALGSL
+VADDLVSPDALSTIREMDVANFRRVPRLPIYGMAQPSAKALGSVLAYLTDSKRKLQQVMW
+VNLREEAVLECDGRTHSLRWPGPPMAPDQLENLETQLKAHLTRPPPDADGPQTHRFQTCL
+TMQEVFSQHHGACPGLTYHRIPVPDFCAPREEDFDRLLGALQAALAKDPGTGFVFSCLSG
+QGRTTTAMVVAVLAFWHIRGFPEVGEEELVSVPDAKFTKGEFEVVMKVVQLLPDGHRVKR
+EVDAALDTVSETMTPMHYHLREIIICTFRQVSPGPRAVPACTAQEGADLGVRRLGRPTGP
+SGALSPFYASWKSR
+>tr|A2VDQ0|A2VDQ0_BOVIN Intraflagellar transport 81 OS=Bos taurus OX=9913 GN=IFT81 PE=2 SV=1
+MSDQIKFIVDNLNKEPFRKNYNLITFDSLEPMQLLQVLNDVLAEIDPKQVVDIREEMPEQ
+TAKRMLSLLGILKYKPPGNAADMSTFRQGLVIGSKPVIYPVLHWLLQRTNELKKRAYLAR
+FLIKLEVPSEFLQDETVADTNKQYEDLMEAFKTLHKECEQLKISGFSTAEIRRDISAMEE
+EKDQLIKRVERLKKRVETVQNHQRMLKIARQLRVEKEREEFLAQQKQEQKNQLFHAVQRL
+QRIQNQLKSMRHAAADATPESLMKRLEEEIKFNSYMVTEKFPKELENKKKELHFLQKVVS
+EPAMGHSDLLELESKINEINTQINQLIEKKMMRNEPIEGKLSLYRQQASIISRKKEAKAE
+ELQEAKEKLANLEREVSVKTSQTREYDGTEVLKGDEFKRYVSKLRSKSTVFKKKHQIIAE
+FKAEFGLLQRTEELLKQRHENIQHQLQTIEEKRGISGYSYTQEELERVSALKSEVDEMKG
+RTLDDMSEMVKKLNSLVSEKKSALAPIIKELRQLRQKCQELTQECDEKKSQYDSCAAGLE
+SNRSKLEQEVRGLREECLQEESRYHYINCMIKNLEVQLRRATDEMKAYVSSDQQEKRKAI
+REQYTKNIAEQENLGKKLREKQKAVRESHGPNMKQAKMWHDFEQLMECKKQCFLKQQTQT
+SIGQVIQEGGEDRLIL
+>tr|Q2KIQ7|Q2KIQ7_BOVIN Splicing factor 3B, 14 kDa subunit OS=Bos taurus OX=9913 GN=SF3B6 PE=2 SV=1
+MAMQAAKRANIRLPPEVNRILYIRNLPYKITAEEMYDIFGKYGPIRQIRVGNTPETRGTA
+YVVYEDIFDAKNACDHLSGFNVCNRYLVVLYYNANRAFQKMDTKKKEEQLKLLKEKYGIN
+TDPPK
+>tr|A2VE19|A2VE19_BOVIN PLEKHF1 protein OS=Bos taurus OX=9913 GN=PLEKHF1 PE=2 SV=1
+MVDHLANTEINSQRIAAVESCFGASGQPLALPGRVLLGEGVLTKECRKKAKPRIFFLFND
+ILVYGSIVLNKRKYRSQHIIPLEEVTLETLPETLQAKNRWMIKTAKKSFVVSAASATERQ
+EWISHIEECVRRQLLATGLQPSTEHAAPWIPDKATDICMRCTQTRFSALTRRHHCRKCGF
+VVCAECSRERFLLPRLSSKPLRVCSLCFRELAAQKRKEDVEEQGLGSPGPSAHLAGAVCG
+ASSGDDDDSDEDREGSGDGDWPSHVEFYASGVSWSSFHS
+>tr|E1BGZ0|E1BGZ0_BOVIN Glutamate ionotropic receptor AMPA type subunit 3 OS=Bos taurus OX=9913 GN=GRIA3 PE=3 SV=3
+MARQKKMGQSVLRAVFFLVLGLLGHSHGGFPNTISIGGLFMRNTVQEHSAFRFAVQLYNT
+NQNTTEKPFHLNYHVDHLDSSNSFSVTNAFCSQFSRGVYAIFGFYDQMSMNTLTSFCGAL
+HTSFVTPSFPTDADVQFVIQMRPALKGAILSLLGHYKWEKFVYLYDTERGFSILQAIMEA
+AVQNNWQVTARSVGNIKDVQEFRRIIEEMDRRQEKRYLIDCEVERINTILEQVVILGKHS
+RGYHYMLANLGFTDILLERVMHGGANITGFQIVNNENPMVQQFIQRWVRLDEREFPEAKN
+APLKYTSALTHDAILVIAEAFRYLRRQRVDVSRRGSAGDCLANPAVPWSQGIDIERALKM
+VQVQGMTGNIQFDTYGRRTNYTIDVYEMKVTGSRKAGYWNEYERFVPFSDQQISNDSASA
+ENRTIVVTTILESPYVMYKKNHEQLEGNERYEGYCVDLAYEIAKHVRIKYKLSIVGDGKY
+GARDPETKIWNGMVGELVYGRADIAVAPLTITLVREEVIDFSKPFMSLGISIMIKKPQKS
+KPGVFSFLDPLAYEIWMCIVFAYIGVSVVLFLVSRFSPYEWHLEDNNEEPRDPQSPPDPP
+NEFGIFNSLWFSLGAFMQQGCDISPRSLSGRIVGGVWWFFTLIIISSYTANLAAFLTVER
+MVSPIESAEDLAKQTEIAYGTLDSGSTKEFFRRSKIAVYEKMWSYMKSAEPSVFTKTTAD
+GVARVRKSKGKFAFLLESTMNEYIEQRKPCDTMKVGGNLDSKGYGVATPKGSALRNAVNL
+AVLKLNEQGLLDKLKNKWWYDKGECGSGGGDSKDKTSALSLSNVAGVFYILVGGLGLAMM
+VALIEFCYKSRAESKRMKLTKNTQNFKPAPATNTQNYATYREGYNVYGTESVKI
+>tr|A0A3Q1MWX3|A0A3Q1MWX3_BOVIN UBIQUITIN_CONJUGAT_2 domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MVALKWINKELSDVARDPPAQYSAGPVGDDTFHGQITITKKNGNPYQGSLFSGTIHFPKD
+YPFILPKVAFATEFIIQT
+>tr|A4IFC1|A4IFC1_BOVIN ATOH1 protein OS=Bos taurus OX=9913 GN=ATOH1 PE=2 SV=1
+MSRLLHAEEWAEVKELGDHHRHPQPHHLPQPPPPQPPATLQAREHPVYPAELSLLDSTDP
+RAWLAPTLQGICTARAAQYLLHSPELGASEAAAPRDEADARGELVRRSGGSGSSKSPGPV
+KVREQLCKLKGGVVVDELGCSRQRAPSSKQVNGVQKQRRLAANARERRRMHGLNHAFDQL
+RNVIPSFNNDKKLSKYETLQMAQIYINALSELLQTPSSGDQPPPPPASCKSDHHHLRAAA
+PYEAGAGTATAAGTSPASGGAQRPTPPGSCRTRFSAPASAGGYSVQLEALHFSTFEDSAL
+TAMMAQKNLSPSLPGGILQPVQEESSKTSPRSHRSDGEFSPHSHYSDSDEAS
+>tr|A4FV81|A4FV81_BOVIN ARMC7 protein OS=Bos taurus OX=9913 GN=ARMC7 PE=2 SV=1
+MAQKPKVDPHVGRLGYLQALVTEFQQTQSQDAKEQVLANLANFAYDPGNYQYLRQLQVLD
+LFLDSLSEENETLVEFAIGGLCNLCADRANREHILQAGGVPLIVNCLSSPSEETVLSAVT
+ALMGLGSPGARPHPELTARPVVQCMLRFSLSASARLRNLAQIFLEDFCSPSQVAEARSWR
+ADAALGIPLPRTEGPQQP
+>tr|A6QQ80|A6QQ80_BOVIN V-set and transmembrane domain containing 1 OS=Bos taurus OX=9913 GN=VSTM1 PE=2 SV=1
+MITEFLFLLCLGLCLGNEDEENNGNQEESKPAPAKTDTRIVFVAAFSCLSLFLLFLAIFF
+IYRCTQQDSSEEESIKRSCHSKVHKQRDADVSRLERISESPDEPEGVTYAQLNTAALSGA
+ASVPAEETPNSCDYTMVKV
+>tr|A5PK73|A5PK73_BOVIN Fructose-bisphosphate aldolase OS=Bos taurus OX=9913 GN=ALDOB PE=2 SV=1
+MAHQFPALTSEQKKALSETARRIVANGKGILAADESVGTMGNRLQRIKVENTEENRRQFR
+ELLFTVDSSISQSIGGVILFHETLYQKDGQGKLFRDILKEKGIVVGIKLDQGVAPLAGTN
+KETTVQGLDGLSERCAQYKKDGADFGKWRAVLKIDNQCPSHLAIQENANTLARYASICQQ
+NGLVPIVEPEVIPDGSHDMEHCQYVTEKVLAAVYKALNDHHVYLEGTLLKPNMVTAGHAC
+TKKYTPEQVAMATVTALHRTVPAAVPGICFLSGGMSEEDATLNLNAINLCPLPKPWKLSF
+SYGRALQASALAAWGGKAENKKATQEAFMKRALANSQAAKGQYVHMGSSGSASTQSLFTA
+SYTY
+>tr|A5PJG2|A5PJG2_BOVIN POPDC2 protein OS=Bos taurus OX=9913 GN=POPDC2 PE=2 SV=1
+MSSNRSMEGQLLWHGPLCIAWRQDLEGAVFHLANCFLLLGFMGGSGVYGCFYLFGFLGTG
+YLCCVLWGWFGACGLDIVLWSVLLAMACVLQLAHLVYRLREDTLPEELDLLYKTLCLPLQ
+VPLPAYKEIVHCCEEQVLTLATEQTYAVEGETPIDRLSLLLSGRVRVSQDGQFLHYIFPY
+QFMDSPEWESLHPSEEGVFQVTLTAETECSYISWPRKNLHLLLTKERYISRLFSVLLGYD
+ISEKLYALNDKLFAKFGLRFDIRLPSLYHVLGSAAPDAGPQSEKDDEEVREPAATPPQAL
+HTSVQQTPPSSPPPLVTSSPAPPPWVRMSRPDSGVLGEDSTSLVLEDFEEVSGSESFMDY
+KSDGEYMR
+>tr|A6H743|A6H743_BOVIN ZFAND2B protein OS=Bos taurus OX=9913 GN=ZFAND2B PE=2 SV=1
+MEFPDLGAHCSEPSCKRLDFLPLKCDACSGIFCADHVAYAQHHCGSAYQKDIQVPVCPLC
+NVPVPVARGESPDRAVGEHIDRDCRSDPAQQKRKIFTNKCERAGCRQREMMKLTCDLCGR
+NFCIKHRHPLDHDCSGEGHPTSRAGLAAISRAQTLASSTKTTPSPNQTLPSSSSTSRAKT
+QSPTRTAAPVITLQSGLSEDEALQRALELSLAETKPQVPSSQEEEDLALAQALSASEAEY
+RQQQAQSRSLKPSNCNLC
+>tr|F7VJN2|F7VJN2_BOVIN Major histocompatibility class I related protein OS=Bos taurus OX=9913 GN=MIC2 PE=2 SV=1
+MGLSRVCLFLAHAAFFVLLGNAAGSHSLLYNMTVLSRDGFVQSRFFAEGFLDRQTFLHYD
+HKKGRAEPWGRWAEKLAAETWETESTDLNESSKELRKLLAEILSLQEEKGGLHSLQETVG
+CNIDEDSHPQGFRLLYFNGELLLSCYPEPHGCTLPQSSARTLAMEMELSKHYQAYVQGEL
+CWRLQSYLESWTGFTERTVPPAVNVTHSQDSEGMVHLTCKAFGFFPRNISVVWFRNEEPM
+SRDAQESGDVLPDGNGTYYTWETIRIPQGEEQRVKCIVEHSGNHSAHLIPLGKTLAHQSS
+WWIVSVSVVFIIGFCVCCCIKKRKTASATGRPEPIRLQDLDQFQTEPTDHNGLTHPEFQS
+LCHTPAPSV
+>tr|A2VE07|A2VE07_BOVIN STK24 protein OS=Bos taurus OX=9913 GN=STK24 PE=2 SV=2
+MAHSPVQSGLPGMQNLKADPEELFTKLEKIGKGSFGEVFKGIDNRTQKVVAIKIIDLEEA
+EDEIEDIQQEITVLSQCDSPYVTKYYGSYLKDTKLWIIMEYLGGGSALDLLEPGPLDETQ
+IATILREILKGLDYLHSEKKIHRDIKAANVLLSEHGEVKLADFGVAGQLTDTQIKRNTFV
+GTPFWMAPEVIKQSAYDSKADIWSLGITAIELAKGEPPHSELHPMKVLFLIPKNNPPTLE
+GNYSKPLKEFVEACLNKEPSFRPTAKELLKHKFIIRNAKKTSYLTELIDRYKRWKAEQSH
+EDSSSEDSDTETDTDGQASGGSDSGDWIFTIREKDPKSLENGALQPSDLERNKMKDIPKR
+PFSQCLSTIISPLFAELKEKSQACGGNLGSIEELRGAIYLAEEACPGISDTMVAQLVQRL
+QRYSLSGGGTSSH
+>tr|Q08E22|Q08E22_BOVIN Chromosome 17 open reading frame 28 ortholog OS=Bos taurus OX=9913 GN=HID1 PE=2 SV=1
+MGSADSKLNFRKAVIQLTTKTQPVEATDDAFWDQFWADTATSVQDVFALVPAAEIRAVRE
+ESPSNLATLCYKAVEKLVQGAESGCHSEKERQIVLNCSRLLTRVLPYIFEDPDWRGFFWS
+TVPGAGRGGGEDDDENARPLAESLLLAIADLLFCPDFTVQSHRRSTVDSAEDIHSLDSCE
+YIWEAGVGFAHSPQPNYIHDMNRMELLKLLLTCFSEAMYLPPAPDSSSINPWVQFFCSTE
+NRHALPLFTSLLNTVCAYDPVGYGIPYNHLLFSDYREPLVEEAAQVLIVTLDHDSATSAS
+PTVDGTTTGTAMDDADPPGPENLFVNYLSRIHREEDFQFILKGIARLLSNPLLQTYLPNS
+TKKIQFHQELLVLFWKLCDFNKKFLFFVLKSSDVLDILVPILYFLNDARADQSRVGLMHI
+GVFILLLLSGERNFGVRLNKPYSVRVPMDIPVFTGTHADLLIVVFHKIITSGHQRLQPLF
+DCLLTIVVNVSPYLKSLSMVAANKLLHLLEAFSTTWFLFSAAQNHHLVFFLLEVFNNIIQ
+YQFDGNSNLVYAIIRKRSVFHQLANLPTDPPAIHKALQRRRRPPEPLSRAGSQEGASMEG
+SRPAVPAEPGTLKTSLVATPGIDKLTEKSQVSEDGTLRSLESAPQQSSAEDSPATEEPSQ
+AWREQRRLSSASASGQWSPTSEWILSWKSKLPLQTIMRLLQVLVPQVEKICIDKGLTDES
+EILRFLQHGTLVGLLPVPHPILIRKYQANSGTAMWFRTYMWGVIYLRNVDPPVWYDTDVK
+LFEIQRV
+>tr|Q08D92|Q08D92_BOVIN Acyl-CoA dehydrogenase long chain OS=Bos taurus OX=9913 GN=ACADL PE=2 SV=1
+MAKRLLRGTLRLWGGRAAPCLPPASRCSHSGGEERLETSSAKKLTDIGTRRIFSLEHDIF
+RESVRKFFQEEVIPYHAEWEKAGEVSRELWEKAGKQGLLGISIADHHGGIGGDLYSSAIV
+WEEQAYSNCTGPGFGLHSDIVMPYITNYGSEEQIKRFIPEMVAGKCIGAIAMTEPGAGSD
+LQGIRTNAKKDGSDWILNGSKVFVTNGWLCDIVIIVAITNREAHSPAHGISLFLVENGMK
+GFIKGRKLDKIGLKAQDTAEFFFEDVRLPAGALLGEENKGYYYLMQELPQERLLISEIAV
+SASEFMFEETRDYVKQRKAFGKTVADLQTVKHKLAELKTSICVTRAFVDSCLQLHRVKRL
+DVATAAMAKYWASDLQNRVAYDCLQLHGGWGYMFECPIAKAYVDARVQTIYGGTNEIMKE
+LIAREIIHDQ
+>tr|A6QLT0|A6QLT0_BOVIN KCTD6 protein OS=Bos taurus OX=9913 GN=KCTD6 PE=2 SV=1
+MDNGDWGYMMTDPVTLNVGGHLYTTSLTTLTRYPDSMLGAMFGGDFPTARDPQGNYFIDR
+DGPLFRYVLNFLRTSELTLPLDFKEFDLLRKEADFYQIEPLIQCLNDPKPLYPVDTFEEV
+VELSSTRKLSKYSNPVAVIITQLTITTKVHSLLEGISNYFTKWNKHMMDTRDCQVSFTFG
+PCDYHQEVSLRVHLMEYITKQGFTIRNTRVHHMSERANENTVEHNWTFCRLARKTDD
+>tr|Q5E9G7|Q5E9G7_BOVIN Cadherin 16 OS=Bos taurus OX=9913 GN=CDH16 PE=2 SV=1
+MVAAWLWLLCFLVPQALPRAQPAELYVEVPENYGGNFPLYLTKLLLPREETEGQIVVSGD
+AGVAGEGLFAVDPESGFLLVTRALDREEQAEYQLQVTLETENRRVLWGPQPVRVRVKDEN
+DQVPHFSQATYKVHLSRGTRPGVPFFFLEASDGDEPGTTNSDLRFHILNQAPAQPASDMF
+QLEPRLGALALSPEGSTSLEKALEGYYQLLVQVKDMGDQASGHQATATIDVSIVENTWVP
+LDPVHLAENLQVPYPHHIAQVHWNGGDVHYHLESQPQGPFDVDTEGKLYVTQELDREAQA
+EYLLQVWAQNTHSEDYAAPLELQVVVTDENDNAPICPPRGPSVSIPELSPPGTKVAKISA
+EDADAPSSPNSHVVYQLLSPEPEEPAELRAFELDSTSGSVTLGAAQLQAGQNILLQVLAM
+DLGGTDGGLSSTCEIPVTITDINDHAPEFTTSQIEPVSLPEDAEPGTLVTTLTATDADLE
+PAFRLMDFAIEAGDEEGTFSLDWEPDSAHVQLRLLKNLSYEAAPSHKLVVVVRNVEELVG
+PGPGPGATATVTVLVERVVPPPQLDRESYEASVPISTPAGSLLLTVQPSDPLSSPLRFSL
+VNDSEGWLCIKEISGEVHTARPLQGAQPGDMYTVLIEAQDADEPTLSTSATLVIHFLKAL
+PAPTPTLAPVPSRHLCTPRQDHGVVVSGPREDPDRAGGHGPFSFALGPNPTVQRDWRLRA
+LNGSHAYLTLGLHWVEPREHVVPIAVSHNDQIWQIQVRVIVCRCNVEGQCMRKVGRMKGM
+PTKLSAVGILVGTLIAIGIFLILIFTHLTLARKKDLDQPVDSVPLKVAV
+>tr|A7MB18|A7MB18_BOVIN NTN4 protein OS=Bos taurus OX=9913 GN=NTN4 PE=2 SV=1
+MGSCARLLLLWGCSAVAAGLSGVAGVSSRCEKACNPRMGNLALGRKLWTDTTCGQNATEL
+YCFYSENTDLTCQQPKCDKCNAAQPHLAHLPAAMADSSFRYPRTWWQSAEDVHREKIQLD
+LEAEFYFTHLIMVFKSPRPAAMVLDRSQDFGKTWKPYKYFATNCSATFGLEDDVVKKGAI
+CTSRYSNPFPCTGGEVIFRALSPPNDMENPYGAKVQEQLKITNLRVQLLKRQSCPCQRNH
+LNAEPQHFTHYAIYDFIVKGSCFCNGHADQCIPIDGFRPVKAPGAFHVVHGKCICKHNTA
+GTHCQHCAPLYNDRPWEAADGKTGSPNECRTCKCNGHADACHFDINVWEASGNRSGGVCN
+DCQHNTEGQHCQRCKPGFYWDLRRPFSAPDACKSCSCHPVGSAVLPFSSVTFCDPSNGDC
+PCKPGVAGPHCERCMVGYWGFGDYGCRPCDCAGSCDPHTGDCLSSTDIDWYHEVPDFHSM
+HNKSEPTWDWKDEQGFSALRHSGKCECKEQVLGNSKAFCGMKYSYVLKIKILSAHDKGSH
+AEVNVKIKKVLKSTKLKILRGKRTLYPESWTNRGCTCPILNPGLEYLVAGHEDVRTGKLV
+VNMKSFVQHWKPSLGRKVMDILRRECK
+>tr|A6QLE9|A6QLE9_BOVIN MTMR14 protein OS=Bos taurus OX=9913 GN=MTMR14 PE=2 SV=1
+MAGARAAAAASAGSSASSGSPQPQEPGLGELLEEFSRTQYRAKDCSGTGGSKVERIEKRC
+LELFGRDYCYSVIQNVNGDICGHYPQHIVFLEYESSEKEKDTFQSTVQVSKLQDLVNRSK
+TARCRGRFVCPVILFKGKHICRSATLAGWGELYGRTGYNYIFSGGADDAWADAEDLTEED
+CALRSSDTHLFDKVRGYDIKLLRYLSVKYICDLMVENKKVKFGMNVTSSEKVDKAQRYAD
+FTLLSIPYPGCEFFKEYKDRDYMAEGLIFNWKQDYVDAPLSIPDFLTCSLNIDWSQYQSW
+DLVQQTQNYLKLLLSIINNDDDSGLLVHCISGWDRTPLFISLLRLSLWADGLIHTSLKPA
+EILYLTVAYDWFLFGHMLVDRLSKGEEIFFFCFNFLKHIISEEFSALKTQRRKSLPARDA
+GFTVEDICMLRRKDRGSTTSLGSDFSLVMESSPGAAGSFTYETVELVPAGSQTQAAWRKS
+HSSSPQSMLWNRPQPSEDRLPPHQGLVEAKSSSSSSSNHSDNFFRMGSSPLEVPKPRLTA
+LSERETRLQEVRSAFLAAYSSTVGLRAAAPSPSGAIGGLLEQFVRGVGLRGTSSSTL
+>tr|Q0VC60|Q0VC60_BOVIN Dihydrouridine synthase 2 OS=Bos taurus OX=9913 GN=DUS2 PE=2 SV=1
+MTVNNLSLCYHNKLILAPMVRVGTLPMRLLALDYGADIVYCEELVDLKMLQCKRVVNEAL
+STVDFVAPDDRVVFRTCEREQSKVVFQMGTSDAERALAVARLVENDVAGIDVNMGCPKEY
+STKGGMGAALLSDPDKIEKILSTLVKGTRRPVTCKIRILPSLEDTLSLVKRIERTGIAAI
+AVHGRKREERPQHPVSCEAIKAIAETLSIPVIANGGSHDHIQGYLDIEDFRRATAASSVM
+VARAAMWNPSIFLKEGPRPLEEVMQKYIRYAVQYDNHYTNTKYCLCQMLREQLESPQGRL
+LHAAQSSQEICEAFGLGAFYEETTRELDARRAKLLARSPEEAEEPAEDTSGIIKMAIKFD
+RRAYSPQITPKMCLLEWCRREKLAQPVYETVQRPLDRLFCSVVTVAEQKYQSTLWDKSKK
+LAEQAAAIVCLRSQGLPEGRLGEESPSLHKRKREALDQDPGDPRTQEPAMPGELCKKPFV
+ALASGQESPLEGW
+>tr|F1MCP7|F1MCP7_BOVIN GRAM domain containing 4 OS=Bos taurus OX=9913 GN=GRAMD4 PE=4 SV=2
+MLRKLDKIRFRGHKRDDFLDLAESPNASDTECGDEIPLKIPRTSPRDSEELRDPAGPGTL
+IMAAGVQDFNRTEFDRLNEIKGHLEIALLEKHFLQEELRKLREETNAEMLRQELDRERQR
+RMELEQKVQEVLKARAEEAPAQQPPKGQAQVNNGAERRSQGLCPRVQKWFYEKFGEYVED
+FRFQPEESTVETEEPLSARRLTENMRRLKRGAKPVTSFVKNLSALSDWYSVYTSAIAFTV
+YMNAVWHGWAIPMFLFLAILRLSLNYLIARGWRIQWSIVPEVSEVVEPPKEELTVSEKFQ
+LVLDVAQKAQNLFGKMADILEKIKNLFMWVQPETTQKLYVALWAAFLASCFFPFRLVGLA
+LGLYAGIKFFLIDFIFKRCPRLRAKYDTPYIIWKSLPTDPQLKERSSTAVSRRLQTASSR
+SCVSSAPAGLSRDEDTGRLHGAKKGNFHEIFNLTENERPLAVCENGWRCCLINRDRKMPT
+DYIRNGILYVTENYLCFESSKSGSSKRNKVIKLMDITDIQKYKVLSVLPGSGMGIAVSTP
+STQKPLVFGAMVHRDEAFETIFSQYMKITSAAAAGADS
+>tr|Q2KHU2|Q2KHU2_BOVIN Leucine rich repeat containing 2 OS=Bos taurus OX=9913 GN=LRRC2 PE=2 SV=1
+MGHKVVVFDISVVRALWETRVKKHKAWQKKEAERLEKSALEKIKEEWNFVAECRRKGIPQ
+AEYCKNGFVDTSVRLLERIERRSLASQSALSKERDKRSSEFVFELSGDHWKEFPDSLKEQ
+THLKEWHISNTLIQIIPKYIELFQAMRILDLPKNQISRLPAEIGCLKNLKELNVSFNHLK
+SIPPELGDCENLEKLDCSGNLELTELPFELSNLKQVSFVDISANKFASVPICVLRMSNLK
+WLDISNNNLSDLPQDIDRLEGLQTFLLYKNKLTYLPYALLNLKKLTLLVVSGDHLVELPT
+ALCDSSTPLKFVSLKDNPLENTQCQDGDEMMESERDRQHFDKEFMKAYIEDLKERESVPS
+YTTKVSFSLQL
+>tr|F1MYD0|F1MYD0_BOVIN Cullin 1 OS=Bos taurus OX=9913 GN=CUL1 PE=3 SV=2
+MSSNRSQNPHGLKQIGLDQIWDDLRAGIQQVYTRQSMAKSRYMELYTHVYNYCTSVHQSN
+QARGAGVPPSKSKKGQTPGGAQFVGLELYKRLKEFLKNYLTNLLKDGEDLMDESVLKFYT
+QQWEDYRFSSKVLNGICAYLNRHWVRRECDEGRKGIYEIYSLALVTWRDCLFRPLNKQVT
+NAVLKLIEKERNGETINTRLISGVVQSYVELGLNEDDAFAKGPTLTVYKESFESQFLADT
+ERFYTRESTEFLQQNPVTEYMKKAEARLLEEQRRVQVYLHESTQDELARKCEQVLIEKHL
+EIFHTEFQNLLDADKNEDLGRMYNLVSRIQDGLGELKKLLETHIHNQGLAAIEKCGEAAL
+NDPKMYVQTVLDVHKKYNALVMSAFNNDAGFVAALDKACGRFINNNAVTKMAQSSSKSPE
+LLARYCDSLLKKSSKNPEEAELEDTLNQVMVVFKYIEDKDVFQKFYAKMLAKRLVHQNSA
+SDDAEASMISKLKQACGFEYTSKLQRMFQDIGVSKDLNEQFKKHLTNSEPLDLDFSIQVL
+SSGSWPFQQSCTFALPSELERSYQRFTAFYASRHSGRKLTWLYQLSKGELVTNCFKNRYT
+LQASTFQMAILLQYNTEDAYAVQQLTDSTQIKMDILAQVLQILLKSKLLVLEDENANVDE
+VELKPDTLIKLYLGYKNKKLRVNINVPMKTEQKQEQETTHKNIEEDRKLLIQAAIVRIMK
+MRKVLKHQQLLGEVLTQLSSRFKPRVPVIKKCIDILIEKEYLERVDGEKDTYSYLA
+>tr|E1BE56|E1BE56_BOVIN Tumor necrosis factor ligand superfamily member OS=Bos taurus OX=9913 GN=TNFSF10 PE=2 SV=1
+MALKQAPGSRLGQICMPILIFTVLLQAFGMAVFYMYFNKELKQMQNKYFKSGLACFLEED
+DRSWDSRDDESIINPCWELKSQLYLFVKKMTLRTFEEMIPTNPEKQYNPYLEREKGPKRV
+AAHITGSNRKKSTLPVPGSKNEKAVGHKINSWESSRKGHSFLNNLYLRNGELVILQTGFY
+YIYSQTYFRFQEPEEVLGTVSTEENRKKIKQMVQYIYKYTNYPDPILLMKSARNSCWSKD
+SEYGLYSIYQGGIFELKENDRIFVSVTNERLVDLDQEASFFGAFLIG
+>tr|F1MV51|F1MV51_BOVIN APC, WNT signaling pathway regulator OS=Bos taurus OX=9913 GN=APC PE=4 SV=2
+MAAASYDQLLKQVEALKMENSNLRQELEDNSNHLTKLETEASNMKEVLKQLQGSIEDEAM
+ASSGQIDLLERLKELNLDSSNFPGVKLRSKMSLRSYGSREGSVSSRSGECSPVPMGSFPR
+RGFVNGSRENTGYLEELEKERSLLLADLDKEEKEKDWYYAQLQNLTKRIDSLPLTENFSL
+QTDMTRRQLEYEARQIRVAMEEQLGTCQDMEKRAQRRITRIQQIEKDILRIRQLLQSQAT
+EAERSSQSKHEAGSHEAERQNEGQGVAEINMATSGSGQGSTTRIDHETASVLSSSSTHSA
+PRRLTSHLGTKVEMVYSLLSMLGTHDKDDMSRTLLAMSSSQDSCISMRQSGCLPLLIQLL
+HGNDKDSVLLGNSRGSKEARARASAALHNIIHSQPDDKRGRREIRVLHLLEQIRAYCETC
+WEWQEAHEQGMDQDKNPMPAPVEHQICPAVCVLMKLSFDEEHRHAMNELGGLQAIAELLQ
+VDCEMYGLTNDHYSITLRRYAGMALTNLTFGDVANKATLCSMKGCMRALVAQLQSESEDL
+QQVIASVLRNLSWRADVNSKKTLREVGSVKALMECALEVKKESTLKSVLSALWNLSAHCT
+ENKADICAVDGALAFLVGTLTYRSQTNTLAIIESGGGILRNVSSLIATNEDHRQILRENN
+CLQTLLQHLKSHSLTIVSNACGTLWNLSARNPKDQEALWDMGAVSMLKNLIHSKHKMIAM
+GSAAALRNLMANRPAKYKDANIMSPGSSLPSLHVRKQKALEAELDAQHLSETFDNIDNLS
+PKASHRSKQRHKQNLYGDYVFDTNRHDDNRSDNFNTGNMTVLSPYLNTTVLPSSSSSRGS
+LDSSRSEKDRSLERERGISLGNYHPATENPGTSSKRGLQISTTAAQIAKVMEEVSAIHTS
+QEDRSSGSTTELHCGTDERNALRRSSTTHTHANTYNFTKSENSNRTCPIPYAKVEYKRSS
+NDSLNSVSSSDGYGKRGQMKPSIESYSEDDESKFCSYGQYPADLAHKIHSANHMDDNDGE
+LDTPINYSLKYSDEQLNSGRQSPSQNERWARPKHILEDEIKPNEQRQSRSQSTAYPVYPE
+STDDKHLKFQPHFGQQECVSPYRSRAANGSETNRVGSNHGISQNVNQSLCQEDDYEDDKP
+TNYSERYSEEGQHEEEERPTNYSIKYSEEKHHVDQPIDYSLKYTTDIPSSQKPAFSFSKN
+SSGQSTKTEHISSSSENTSTTSSNAKRQNQLHPSSAQSRSGQTPKATSSSCKVPSINQET
+IQTYCVEDTPICFSRCSSLSSLSSAEDEVGCDQTTQEAESANTLQIAEIKDNSGPRSNED
+SVSKVPAGSQHIRTKSSRLQASGLSSESARHKAVEFSSGAKSPSKSGAQTPKSPPEHYVQ
+ETPLMFSRCTSVSSLDSFESRSIASSVQSEPCSGMVSGIISPSDLPDSPGQTMPPSRSKT
+PPPPPPPPPQTVQTKQEVPKNKAPSAEKRESGPKQAAVNAAVQRVQVLPEADTLLHFATE
+STPDGFSCSSSLSALSLDEPFIQKDVELRIMPPVQENDNGNETESEQPEESNENQEKEAE
+KPTDSEKDLLDESDDDDIEILEECIISAMPTKSSRKAKKPAQTTSKLPPPVARKPSQLPV
+YKLLPSQNRLQAQKHVSFTPGDDMPRVYCVEGTPINFSTATSLSDLTIESPPNELAAGEG
+VRAGAQSSEFEKRDTIPTEGRSTDEAQRGKASSVTVPELDDSKTEEGDILAECINSAMPK
+GKSHKPFRVKKIMDQVQQASMSSSGTNKNQLDGKTKKPTSPVKPIPQNTEYRTRVRKNTD
+SKNNLNAERNFSENKDSKKQHLKNNSKDFNDKLPNNEDRVRGSFTFDSPHHYTPIEGTPY
+CFSRNDSLSSLDFDDDDVDLSREKAELRKGKENKESEAKVTNHTELTSNQQSASKTPAVT
+KQPINRGQSKPVLQKQSTFPQSSKDIPDRGAATDEKLQNFAIENTPVCFSRNSSLSSLSD
+IDQENNNNKENEPVKETEPPASQGEPGKPQASGYAPKSFHVEDTPVCFSRNSSLSSLSID
+SEDDLLQECISSAMPKKKKPSRLKPDNEKHSPRNMGGILAEDLTLDLKDIQRPDSEHGLS
+PDSENFDWKAIQEGANSIVSSLHQAAAAACLSRQASSDSDSILSLKSGISLGSPFHLTPD
+QEEKPFTSNKGPRILKPGEKSTLETKKIESENKGIKGGKKVYKSLITGKVRSNSEISSQM
+KQPLQTNMPSISRGRTMIHIPGVRNSSSSTSPVSKKGPPLKTPASKSPSEGQPATTSPRG
+TKPSVKSELSPVTRQASQTAGSNKGPSRSGSRDSTPSRPAQQPLSRPMQSPGRNSISPGR
+NGISPPNKLSQLPRTSSPSTASTKSSGSGKMSYTSPGRQMSQQNLTKQTGLSKNGSGIPR
+SESASKGLNQMSNSNGSNKKVELSRMSSTKSSGSESDRSERPVLVRQSTFIKEAPSPTLR
+RKLEESASFESLSPSSRPDSPTRSQAHTPVLSPSLPDMSLSTHSSLQSGGWRKLPPNLSP
+TIEYNDGRPVKRHDIARSHSESPSRLPINRSGTWKREHSKHSSSLPRVSTWRRTGSSSSI
+LSASSESSEKAKSEDEKQVNSISGSKQTKENQVSTKGTWRKIKESEISPTNSTSQTTSSG
+AANGAESKTLIYQMAPAVSKTEDVWVRIEDCPINNPRSGRSPTGNTPPVIDTVSEKGNPN
+PKDSKDNQGKQNVSNGSAPTRTMGLENRLNSFIQVDPPDQKGTETKPGHSNNPVPASETS
+ESSIAERTPFSSSSSSKHSSPSGTVAARVSPFNYNPSPRKSSTDGTSARPSQIPTPVSNN
+TKKRDSKPDSTEPSGTQSPKRHSGSYLVTSV
+>tr|A2VDS5|A2VDS5_BOVIN ATP-sensitive inward rectifier potassium channel 12 OS=Bos taurus OX=9913 GN=KCNJ12 PE=2 SV=1
+MTASGRTNPYSIVSSEEDGLRLVTMSGANGFGNGKVHTRRRCRNRFVKKNGQCNIEFANM
+DEKSQRYLADMFTTCVDIRWRYMLLIFSLAFLASWLLFGVIFWVIAVAHGDLEPAEAHGR
+TPCVLQVHGFMAAFLFSIETQTTIGYGLRCVTEECPVAVFMVVAQSIVGCIIDSFMIGAI
+MAKMARPKKRAQTLLFSHNAVVALRDGKLCLMWRVGNLRKSHIVEAHVRAQLIKPRVTEE
+GEYIPLDQIDIDVGFDKGLDRIFLVSPITILHEIDEASPLFGISRQDLETDDFEIVVILE
+GMVEATAMTTQARSSYLANEILWGHRFEPVLFEEKNQYKIDYSHFHKTYEVPSTPRCSAK
+DLVENKFLLPSTNSFCYENELAFLSRDEEDEVDGEQDSLGPQARRDFDRPQAGTALEQRP
+YRRESEI
+>tr|A5PJ92|A5PJ92_BOVIN C9ORF59 protein OS=Bos taurus OX=9913 GN=FAM78A PE=2 SV=1
+MPSFPWDCWSSLEIRVVLCAMGCIQSIGGKARVFREGITVIDVKASIDPIPTSIDESSSV
+VLRYRTPHFRASAQVVMPPIPKKETWIVGWIQACSHMEFYNQYGEQGMSSWELPDLQEGK
+IEAISDSDGVNYPWYGNTTETCTIVGPTKRNSKFIISMNDNFYPSVTWAVPVSESNVAKL
+TNIYRDQSFITWLVATNTSTNDMIILQTLHWRMQLSIEVNPTRPLGQRARLREPIAQDQP
+KILSKNEPIPPSALVKPNANDAQVLMWRPKFGPPLVVIPPKHR
+>tr|A5PKB9|A5PKB9_BOVIN Chromosome 25 C16orf54 homolog OS=Bos taurus OX=9913 GN=C25H16orf54 PE=2 SV=1
+MPPTPQPPSVQTEASSWAPLPCGPCIPIMVALATLAAVFLLATAVLAERLFRRSLQLDPS
+IRAPTLVWRPGGELWIEPIGTPRERSEDWYGSEVPLLTDRAPDPPAQGGTLEARATAPPA
+PSPPHSPPSSLVPQTPPNARTRSTFWGPQVWEERPQAPGLVSWAEPEQRPEANAYPGSPQ
+ARRVRPGSPDLEWGLQPRVTLEQISAFWRREGRSSVGL
+>tr|Q8SPQ5|Q8SPQ5_BOVIN NK receptor KIR2DL1 OS=Bos taurus OX=9913 GN=KIR2DL1 PE=2 SV=1
+MLSAIVSLACLGFFLIQSIWAQEGGHDKPSLSAWPSPVVPQGQHVTLRCQSPLGFDRFRL
+HKDDRTNVPELQGIIFWKNFLMGPVTEAHAGTYRCHGHYSHLPNLWSAPSDPLEIVVTGL
+SKKPSLSAQGGPVVRSGENVTLVCSSESAFDQFHLLREGVNLGRPLAGGRGPRGALQAEF
+PLGPGTPDHSGVYRCYGSFTRSPYSWSDSSDPLYLSVTGNSSSSQPLHTKPNSNSGNPRH
+LHALVGPSVAFVFLVSLISFLIHHWGPDAKDAVIMNSQPEVGRRVNREDPDAEELKELTY
+TDLDCSVFTQKIITPTSQRPREPSTNASVYMDLATC
+>tr|A7YW30|A7YW30_BOVIN CD8B protein OS=Bos taurus OX=9913 GN=CD8B PE=2 SV=1
+MQARLWLLLAAQLAALHGSLALVQTPAFLMVQTNQMVTLSCKTQTSPSNTRIYWLRLRQA
+LSANSHYEFLAYWESKKTVYGKEVDSEKLTVHGNPPQSVLTLQNVKPADSGVYFCMIIGI
+PNLIFGTGTQLSVVDVLPTSPQPTKKTSPKKKVCHRVPTQVTQKRLSCAPLILGLLAAGV
+LILLVSLGVAIHLRCLQRRARLRLLKQFYK
+>tr|A3KMX9|A3KMX9_BOVIN Cholesterol side-chain cleavage enzyme, mitochondrial OS=Bos taurus OX=9913 GN=CYP11A1 PE=2 SV=1
+MLARGLPLRSALVKACPPILSTVGEGWGHHRVGTGEGAGISTKTPRPYSEIPSPGDNGWL
+NLYHFWREKGSQRIHFRHIENFQKYGPIYREKLGNLESVYIIHPEDVAHLFKFEGSYPER
+YDIPPWLAYHRYYQKPIGVLFKKSGTWKKDRVVLNTEVMAPEAIKNFIPLLNPVSQDFVS
+LLHKRIKQQGSGKFVGDIKEDLFHFAFESITNVMFGERLGMLEETVNPEAQKFIDAVYKM
+FHTSVPLLNVPPELYRLFRTKTWRDHVAAWDTIFNKAEKYTEIFYQDLRRKTEFRNYPGI
+LYCLLKSEKMLLEDVKANITEMLAGGVDTTSMTLQWHLYEMARSLNVQEMLREEVLNARR
+QAEGDISKMLQMVPLLKASIKETLRLHPISVTLQRYPESDLVLQDYLIPAKTLVQVAIYA
+MGRDPAFFSSPDKFDPTRWLSKDKDLIHFRNLGFGWGVRQCVGRRIAELEMTLFLIHILE
+NFKVEMQHIGDVDTIFNLILTPDKPIFLVFRPFNQDPPQA
+>tr|Q08E34|Q08E34_BOVIN Translocase of outer mitochondrial membrane 70 OS=Bos taurus OX=9913 GN=TOMM70 PE=2 SV=1
+MAASKPVEAAMVSAAGPGSGSGMGGGSATSGPGTGSLPRWQLALAVGAPLLLGAGALYLW
+SRQRRRRETGARGDSGGLKRNSERKTPEGRASPAPGSGHPDGPGTHLEMNSLDRAQAAKN
+KGNKYFKAGKYEQAIQCYTEAISLCPTEKNVDLSTFYQNRAAAFEQLQKWKEVAQDCTKA
+VELNPKYVKALFRRAKAHEKLDNKKECLEDVTAVCILEGFQNQQSMLLADKVLKLLGKEK
+AKEKYKNREPLMPSPQFIKSYFSSFTDDIISQPMLKGEKSDEDKDKEGEALEVKENSGYL
+KAKQYMEEENYDKIISECSKEIDAQGKYMAEALLLRATFYLLIGNANAAKPDLDKVISLQ
+EANVKLRANALIKRGSMYMQQQQPLLSTQDFNMAADIDPQNADVYHHRGQLKILLDQVEE
+AVADFDECIRLRPESALAQAQKCFALYRQAYTGNNSSQIQAAMKGFEEVIKKFPRCAEGY
+ALYAQALTDQQQFGKADEMYDKCINLEPDNATTYVHKGLLQLQWKQDLDKGLELISKAIE
+IDNKCDFAYETMGTIEVQRGNMEKAIDMFNKAINLAKSEMEMAHLYSLCDAAHAQTEVAK
+KYGLKPPTL
+>tr|F6RYN2|F6RYN2_BOVIN RNA binding motif protein 47 OS=Bos taurus OX=9913 GN=RBM47 PE=1 SV=1
+MTAEDSTAAMSSDSATGSSAKVPEGVAGAPNEAALLALMERTGYSMVQENGQRKYGGPPP
+GWEGPHPQRGCEVFVGKIPRDVYEDELVPVFETVGRIYELRLMMDFDGKNRGYAFVMYCH
+KNEAKRAVRELNNYEIRPGRLLGVCCSVDNCRLFIGGIPKMKKREEILEEIAKVTEGVLD
+VIVYASAADKMKNRGFAFVEYESHRAAAMARRKLMPGRIQLWGHQIAVDWAEPEIDVDED
+VMETVKILYVRNLMIETTEDTIKKSFGQFNPGCVERVKKIRDYAFVHFASREDAVHAMNN
+LNGTELEGSCLEVTLAKPVDKEQYSRYQKAAKGGGAAEAAVVQQPSYVYSCDPYTLAYYG
+YPYNALIGPNRDYFVKAGSIRGRGRGAAGNRAPGPRGSYLGGYSAGRGIYSRYHEGKGKQ
+QEKGYELVPNLEISAVNPVAIKPGTVAIPAIGAQYSMFQAAPAPKMIEDGKIHTMEHMIS
+PIAVQPDPASAAAAAAAAAAVIPAVSTPPPFQGRPITPVYTVAPNVQRIPTAGIYGASYV
+PFAAPATATIATLQKNAAAAAAMYGGYAGYIPQAFPAAAIQVPIHDVYQTY
+>tr|Q29RM7|Q29RM7_BOVIN Fas (TNFRSF6) associated factor 1 OS=Bos taurus OX=9913 GN=FAF1 PE=2 SV=1
+MTSNMDREMILADFQACTGIENIDEAITLLEQNNWDLVAAINGVIPQENGILQSDYGGET
+ISGPAFDPASHPAPAPAPSSSSAFRPVMPSRQIVERQPRMLDFRVEYRDRNVDVVLEDSC
+TVGEIKQILESELQIPMSKMLLKGWKTGDVEDSTVLKSLHLPKNNSLYVLTPDLPPPSSS
+SHAGALQESLNQNFMLIITHREVQREYNLNFSGSSTIQEVKRNVYDLTSIPVRHQLWEGW
+PTSATDDSMCLAESGLSYPCHRLTVGRRSSPAQTREQSEEQSTDVHMVSDSDGDDFEDAS
+EFGVDDGEVFGMASSALRKSPMMPENAENEGDALLQFTAEFSSRYGDCHPVFFIGSLEAA
+FQEAFYVKARDRKLLAIYLHHDESVLTNVFCSQMLCAESIVSYLSQNFITWAWDLTKDAN
+RARFLTMCNRHFGSVVAQTIRTQKTDQFPLFLIIMGKRSSNEVLNVIQGNTTVDELMMRL
+MAAMEIFTAQQQEDIKDEDEREARENVKREQDEAYRLSLEADRAKREAHEREMAEQFRLE
+QIRKEQEEEREAIRLSLEQALPPEPKEEIAEPVSKLRIRTPSGEFLERRFLASNKLQIVF
+DFVASKGFPWDEFKLLSTFPRRDVTQLDPNKSLLEVKLFPQETLFLEAKE
+>tr|Q17QI9|Q17QI9_BOVIN Phosphatidylinositol-4,5-bisphosphate 4-phosphatase OS=Bos taurus OX=9913 GN=PIP4P1 PE=2 SV=1
+MAADGERSPLLSEPIDGGAGGNGLVGPGGSGAGPGGGLTPSAPPYGAAFPPFPEGHPAVL
+PGEDPPPYSPLTSPDSGSAPMITCRVCQSLINVEGKMHQHVVKCGVCNEATPIKNAPPGK
+KYVRCPCNCLLICKVTSQRIACPRPYCKRIINLGPVHPGPLSPEPQPVGVRVICGHCKNT
+FLWTEFTDRTLARCPHCRKVSSIGRRYPRKRCICCFLLGLLLAVTATGLAFGTWKHARRY
+GGIYAAWAFVILLAVLCLGRALYWACMKVSHPVQNFS
+>tr|Q29RS1|Q29RS1_BOVIN Hydroxysteroid (17-beta) dehydrogenase 13 OS=Bos taurus OX=9913 GN=HSD17B13 PE=1 SV=1
+MKIILDLLLLLLIIIYSYLESLVKVFIPRRRKSVAGEIVLITGAGHGIGRQTAYEFAKRK
+SRLVLWDINKHGVEETAAECRKLGATTHVFVVDCSNREEIYSSVNQVKKEVGDVTIVVNN
+AGAIYPADLLSTKDEEITKTFEVNILGHFWITKALLPSMIRRNHGHIVTVASVCGHGVIP
+YLIPYCSSKFAAVGFHRALTSELEALGKTGIKTSCLCPVFVNTGFTKNPSTRIWPVLETD
+KVVRSLIDGILTDKKMIFVPSYINISLTIERFLPERAVAAINHVQDIHFEAVIGHKIKMK
+>tr|Q0VC88|Q0VC88_BOVIN 3'-phosphoadenosine 5'-phosphosulfate synthase 2 OS=Bos taurus OX=9913 GN=PAPSS2 PE=2 SV=1
+MSEVKKQKTENQQKSTNIVYQAHHVSRNKRGQVVGTRGGFRGCTVWLTGLSGAGKTTISF
+ALEEYLVSHAIPCYSLDGDNIRQGLNKNLGFSTWDREENIRRIAEVAKLFADAGLVCITS
+FISPFAKDRENARKIHESSGLPFFEIFVDAPLNICERRDVKGLYKRARAGEIKGFTGIDS
+DYEKPESPELVLKTNLSSVSDCMQQVVELLQEQNIVPHTVIKGIHELFVPENKLDQVRAE
+AEALPSLSITKLDLQWVQVLSEGWATPLKGFMREKEYLQVVHFGTLIDDGVINMSIPIVL
+PISADDKTRLEGCSKFVLTHGGRRVAILEDPEFYEHRKEERCSHVWGTTCAKHPYIKMVM
+ESGDWLVGGDLQVLERIQWNDGLDQYRLTPLELKQKCKEMDADAVFAFQLRNPVHNGHAL
+LMQDTRRRLLERGYKHPVLLLHPLGGWTKDDDVPLDWRMKQHAAVLEEEVLDPKSTIVAI
+FPSPMLYAGPTEVQWHCRARMVAGANFYIVGRDPAGMPHPETKRDLYEPTHGGKVLTMAP
+GLTSVEIIPFRVAAYNKAKKAMDFYDPERHDEFDFISGTRMRKLAREGENPPDGFMAPKA
+WKVLTDYYASLEKNN
+>tr|Q0II62|Q0II62_BOVIN THAP domain containing 10 OS=Bos taurus OX=9913 GN=THAP10 PE=2 SV=1
+MRCGNTTKSGKLLFRFPKGPGRATAVGPLRAGPPANWYRGSDSSVICSEHFAPACFDVSS
+VIQKNLPFSERLRPVAGAASILHSVSSLLKPTGKEEGDCAGGLGREESPRQSGSPRLPQG
+QPRVHSSGPRRGLSASQIKGHSKSPPRVLQEPQSVARLLYLMLSWKSHLTTKVFVNR
+>tr|A5D7P3|A5D7P3_BOVIN Platelet-activating factor acetylhydrolase IB subunit alpha OS=Bos taurus OX=9913 GN=PAFAH1B1 PE=2 SV=1
+MVLSQRQRDELNRAIADYLRSNGYEEAYSVFKKEAELDMNEELDKKYAGLLEKKWTSVIR
+LQKKVMELESKLNEAKEEFTSGGPLGQKRDPKEWIPRPPEKYALSGHRSPVTRVIFHPVF
+SVMVSASEDATIKVWDYETGDFERTLKGHTDSVQDISFDHSGKLLASCSADMTIKLWDFQ
+GFECIRTMHGHDHNVSSVAIMPNGDHIVSASRDKTIKMWEVQTGYCVKTFTGHREWVRMV
+RPNQDGTLIASCSNDQTVRVWVVATKECKAELREHEHVVECISWAPESSYSSISEATGSE
+TKKSGKPGPFLLSGSRDKTIKMWDVSTGMCLMTLVGHDNWVRGVLFHSGGKFILSCADDK
+TLRVWDYKNKRCMKTLNAHEHFVTSLDFHKTAPYVVTGSVDQTVKVWECR
+>tr|A6QPD5|A6QPD5_BOVIN UDP-glucuronosyltransferase OS=Bos taurus OX=9913 GN=LOC781988 PE=1 SV=1
+MKTVKGFYVLFMLHLWFFDSGRTGKILVWPMDFSHWINLKIILDELHLRGHEITVLVPST
+SSLLDHTQIPFNVEILETPISKESFMEEFTANLYTLCFELPKVSWWERQVRLTKMLKEFL
+ATTRSICDSVVTNKELLTRLQEAKFDICIADPLSFCGELVAELLNIPFIYSFRFSYGNVI
+ERLCAGLPMPSSYVPGAISGLTDSMTFMQRLENWLSYTINDLMYSYFVFPEWDEYYSKVL
+GKSTKLCEIMGKAEMWLIRTNWDFEFPHPFLPNFEFVGGLHCKPAKPLPKEFEEFVQSSG
+KNGVVVFTLGSMVKNLTEENSKMIASALAQIPQKVLWKYGGKKPENLGANTRIYEWIPQN
+DLLGHPQTRAFITHCGTNGVYEAIYHGVPMVGIPLFGDQYGNVARVKAKGAAVELDLQRM
+TSSDLLNALKAVINNPIYKENAMKLSRIHHDTPVKPLKRAVFWIEFIMRHKGAKHLRPAF
+HDLTWYQHHSLDVIGFLLACVATIIFLVTKCCLFCCGKFGKISKKKKRE
+>tr|A6QPB6|A6QPB6_BOVIN NEDD9 protein OS=Bos taurus OX=9913 GN=NEDD9 PE=2 SV=1
+MKYKNLMARALYDNVPECAEELAFRKGDILTVIEQNTGGLEGWWLCSLHGRQGIVPGNRV
+KLLIGPVQETSSNQDLPTSGLGAPPFGQQKLYQIPNPQGAPRDTIYQVPPSYQNQGIYQV
+PTGHGTQEQDVYQVPPSGQRGAGGANGPHLSKKVITPVRTSHGYVYEYPTRYQKDVYDVP
+PFQTAHGVYDIPPSSVKVPVFSVPVGEIKPQGVYDIPPSKGGKEEFERQQKELLEKENII
+KQNKMQLEHHQLSQFQLLEQEITKPVENDISKWKPSQSLPTTSSGVSAQDRQLLCFYYDQ
+CETHYISLLNAIDALFSCLSSAQPPRIFVAHSKFVILSAHKLVFIGDTLTRQVAAQDVRN
+KVMNSSNQLCEQLKTIVLATKTAALHYPSTPALQEMVHQVTDLSRNAQLFKRSLLEMATF
+>tr|A0JNE1|A0JNE1_BOVIN PAN3 poly(A) specific ribonuclease subunit homolog (S. cerevisiae) OS=Bos taurus OX=9913 GN=PAN3 PE=2 SV=1
+MGTPAAAGLAPGMSLSAGSSPLHSPKITPHTSPAPRRRSHTPNPANYMVPSSASTPGNNS
+VSQTPSSGQVIQKETVGGTTYFYTDTTPAPLSGMRSFKNYHRTACRAEGQIIEVFPNYHI
+YPPTAPHVAYMQPKANAPSFFMADELRQELINRHLITMAQIDQADMPAVPTEVDSYHSLF
+PLEPLPPPNRIQKSSNFGYITSCYKAVNSKDDLPYCLRRIHGQHDGPLPRQHAGLLPESL
+IWAYIVQLSSALRTIHTAGLACRVMDPTKILITGKTRLRVNCVGVFDVLTFDNSQNNNPL
+ALMAQYQQADLISLGKVVLALACNSLAGIQRENLQKAMELVTINYSSDLKNLILYLLTDQ
+NRMRSVNDIMPMIGARFYTQLDAAQMRNDVIEEDLAKEVQNGRLFRLLAKLGTINERPEF
+QKDPTWSETGDRYLLKLFRDHLFHQVTEAGAPWIDLSHIISCLNKVIWV
+>tr|Q3SZK3|Q3SZK3_BOVIN Growth hormone inducible transmembrane protein OS=Bos taurus OX=9913 GN=GHITM PE=2 SV=1
+MLAARLVCLRALPSRVFHPAFTKASPVVKNSITKNQWLLTPSREYATKTRIGIRRGKTTQ
+ELKEAALEPSVEKIFKIDQMGRWFIAGGAAVGLGALCYYGLGMSNEIGAIEKAVIWPQYV
+KDRIHSTYMYLAGSIGLTALSAVAVSRTPALMNFMMRGSWITIGATFAAMIGAGMLVQSI
+SYEQSPGPKHLAWLLHSGVMGAVVAPLTILGGPLLLRAAWYTAGIVGGLSTVAMCAPSEK
+FLNMGAPLGVGLGVVFVSSLGSMFLPPTTVAGATLYSVAVYGGLVLFSMFLLYDTQKVIK
+RAEVVPMYGVQKYDPINSMLGIYMDTLNIFMRVATILATGGNRKK
+>tr|A6QLS9|A6QLS9_BOVIN RAB10 protein OS=Bos taurus OX=9913 GN=RAB10 PE=1 SV=1
+MAKKTYDLLFKLLLIGDSGVGKTCVLFRFSDDAFNTTFISTIGIDFKIKTVELQGKKIKL
+QIWDTAGQERFHTITTSYYRGAMGIMLVYDITNGKSFENISKWLRNIDEHANEDVERMLL
+GNKCDMDDKRVVPKGKGEQIAREHGIRFFETSAKANINIEKAFLTLAEDILRKTPVKEPN
+SENVDISSGGGVTGWKSKCC
+>tr|A6QQ31|A6QQ31_BOVIN General transcription factor IIF subunit 2 OS=Bos taurus OX=9913 GN=LOC511494 PE=2 SV=1
+MASGGSRLKPQSIPLNLEGIRQNQRMWLVKVPKYLSQQWSEAPGSGEVGKLKIATNQGKS
+EISFTLNKELTDIRGTDGQPASVHAPMEHQFLLQTDRGQVLTVLTEHEPDQFSLQGTVVH
+RGECRPAPSENYMRLKRMQIEGASKPARTVQKLEKVVTTNYKPVANHQYNIEYEKRKKET
+GKRVKADKDQVLTLLFAAFEKHQYYNIKDLVGITMQPVVYLKEILNEIGVRNVKGPHKNT
+WELKEEYRCYQRAAKRE
+>tr|F1MCP5|F1MCP5_BOVIN Kinesin motor domain-containing protein OS=Bos taurus OX=9913 GN=KIF4A PE=3 SV=2
+MKEEVKGIPVRVALRCRPLVPKEINEGCQMCLSFVPGEPQVVVGTDKSFTYDFVFDPSTE
+QEEVFNTAVAPLIKGVFKGYNATVLAYGQTGSGKTYSMGGAYTAEQENEPTVGVIPRVIQ
+LLFKEIDKKSDFEFTLKVSYLEIYNEEILDLLCPSREKAPQINIREDPKEGIKIMGLTEK
+TVFIALDTVSCLEQGNNCRTVASTAMNSQSSRSHAIFTISIEQRKKSDKNSSFRSKLHLV
+DLAGSERQKKTKAEGDRLKEGININRGLLCLGNVISALGDDKKCGFVPYRDSKLTRLLQD
+SLGGNSHTLMIACVSPADSNLEETLNTLRYADRARKIKNKPVVNIDPQTAELNHLKQQVQ
+QLQVLLLQAHGGTLPGSINVEPSENLQSLMEKNQSLVEENEKLSRGLSEAAGQTAQMLER
+IILTEQANEKMNAKLEELRKHAACKVDLQKLVETLEDQELKENVEIIRNLQQVITQLSDE
+TVACMTAAIDTAVEPETQEADSPDSSRSSDAFTTQHALRQAQMSKELVELNKALALKEAL
+AKKMTQNDNQLQPIHFQYQDNIKNLELEVINLQKEKEELVLELQTAKKDVNQAKLSERRR
+KRLQELEGQIADLKKKLNEQSKLLKLKESTEHTVSKLNQEIRVIKNQRVQLMRQMKEDAE
+RFRQWKQQKDKEVIQLKERDRKRQYELLKLERNFQKQSNVLRRKTEEAAAANKRLKDALQ
+KQREVADKRKESQSRGMEGTAARVKSWLGNEIEIMVSTEEAKRHLNDLLEDRKILAQDVA
+QLKLKMESGENPPPKLRRRTFSLAELRGQVPASEDSIKKQIESLETEMELRSAQIADLQQ
+KLLDAESEDRLKHRWENIATILEAKCALKYLTGELVSSKIQVSKLESNLKQNKASHADMQ
+KMLFEERNHFAEIEAELQAELVRMEQQHQERVLYLLSQLQQSQMAEKQLEESVSEKEQQL
+LNTLKCQDEELEKMREVCEQNQQLLRENELMKQKLNLLQVASKQKSHLPKDTLLSPDSSF
+EYIPPKPKPSRFKEKFLEQSMDIEDLKYCSEYSVNEPEDNDDDDGDGNDEEWKPTKLVKV
+SKKNIQGCSCKGWCGNKQCGCRKQKLDCGADCSCDATKCRNRQQDKDSLGTVERTQDSEG
+SFKLEDPTEVTPGLSFFNPVCATPNSKILKEMSDEEQVLLKKTAPAASSLGLSESKDVAT
+ETQGNKAPGKKKKRALASNTSFFSGCSPIEEEAH
+>tr|A6QQC3|A6QQC3_BOVIN DCTD protein OS=Bos taurus OX=9913 GN=DCTD PE=2 SV=1
+MSEVPCRKRDDYLEWPEYFMAVAFLSAQRSKDPNSQVGACIVNAENKIVGIGYNGMPNGC
+SDDLLPWRRTAENILDTKYPYVCHAELNAIMNKNSADVKGCTMYVALFPCNECAKLIIQA
+GIKEVIFMSDKYHDSNETTAARLLFEMAGVSFRKFTPKCSQIVIDFDSINSRPSQKLQ
+>tr|A5D7P7|A5D7P7_BOVIN ABCB6 protein OS=Bos taurus OX=9913 GN=ABCB6 PE=2 SV=1
+MVTVGNFCEAEGPAGPVWAKGGLSPCFFFTLVPSILMALGALALVPAFPCRRPEGPGGAD
+RVSWAAGPRVAPYVLQLLLATLQVALPLAGLVGRVGTAQGAPLPGYLFLASVLGTVASAC
+GLAMLVTERSQALQKLAMGVWIKFRHSPGLLLLWTVSFAAENLALVSWNNPQWWWARANL
+SQQVQFSLWVLRYVVSGGLFVLGLWAPGLRPQSYTLRVNEEDQDVERSQVPSTEGPPRST
+WRDLGRKLRLLSGYLWPRGSPALQFVVLICLGLMGLERGLNVLVPIFYRDIVNLLTQKAP
+WNSLVWTVIIYVFLKFLQGGGTGSTGFVTNLRTFLWIRVQQFTSRQVELRLFSHLHELSL
+RWHLGRRTGEVLRIVDRGTSSVTGLLSYLVFNIIPTLADIIIGIVYFSMFFNAWFGLIVF
+LCMGFYLALTIVVTEWRTKFRRAMNMQENATRARAVDSLLNFETVKYYNAESYEVERYRE
+AIIKYQSLEWKSNASLVLLNQTQNLVIGLGLLAGSLLCAYFVTEQKLQVGDFVLFGTYII
+QLYMPLNWFGTYYRMIQTNFIDMENMFDLLKEKTEVKDLPGAGPLRFQRGQIEFENVHFS
+YTDGRETLQDVSFTVMPGQTLALVGPSGAGKSTVLRLLFRFYDISSGCIRIDGQDVSQVT
+QASLRSHIGVVPQDTVLFNDTIANNIRYGRITAGNDEVKAAAQAAGIHDTITAFPEGYDT
+QVGERGLKLSGGEKQRVAIARTILKAPDIVLLDEATSALDTHNERAIQASLAKVCANRTT
+IVVAHRLSTVVSADQILVIKDGRIVERGRHEALLSRGGVYADMWQLQQQGQEVPEDTKPQ
+TKA
+>tr|E1BIT2|E1BIT2_BOVIN Mitochondrial elongation factor 1 OS=Bos taurus OX=9913 GN=MIEF1 PE=4 SV=1
+MAGSGERKSKKDDNGIGTAIDFVLSNARLVLGVGGAAMLGIATLAVKRMYDRAISAPTSP
+TRLSHSGKRSWEEPNWMGSPRLLNKDMKAGLSRSLQALPTGSSAFDTDTFCPPRPKPLAR
+KGQVDLKKSRLRMSLQEKLLTYYRNRAAIPAGEQARAKQAAVDICAELRSFLRAKLPDMP
+LRDMYLSGSLYDDLQVVTADHIQLIVPLVLEQNLWSCIPGEDTIMNVPGFFLVRRENPEY
+FPRGSSYWDRCVVGGYLSPKTVADTFEKVVAGSINWPAIGSLLDYVIRPAPPPEALTLEV
+QYERDKHLVIDFLPSVTLGDTVLVAKPHRLAQYDNLWRLSLRPAETARLRALDQADSGCR
+SLCLKILKAVCKSTPALGRLTASQLTNVILHLAQEEADWSPDVLADRFLQALRGLISHLE
+AGILPSVLNPKVNLFAELTPEEIDELGYTLYCSLSEPEVLLQT
+>tr|Q3SYV8|Q3SYV8_BOVIN Solute carrier family 13 (Sodium-dependent dicarboxylate transporter), member 2 OS=Bos taurus OX=9913 GN=SLC13A2 PE=2 SV=1
+MATCWQGLWAYRFYLIVFFLPIFLLPLPILVPTKEACCAYTIILMALLWCTEALPLAVTA
+FLPVIMFPMMGIMTASTVSLEYLKDTNILFIGGMMVALAVETWNLHKRIALRVLLIIGVR
+PALLILGFMLVTAFLSMWISNTATTAMMLPIAHAVLEQLHKMPTDRDVEEGRHNPAFELQ
+EQKEETKLDEKDNKQDCPALPTPSESKTQQNKEQLRFSQGLSLCVCYSASIGGIATLTGT
+TPNLVLQGQVNSIFPRNGNVVNFASWFGFAFPAMIILLLLSWVWLQILFLGFDFRKNFGL
+GEQSKNQERAAFEVIRREHKLLGPINFAEKAVTFLFVLLVVLWFTREPGFFTGWGNLAFS
+DENGKSMTSDGTVAILIAVIMFIVPSKIPGLTQKPGNPGKLKAPPALLTWDIVKKKMPWN
+IVILLGGGFALAKGSEESGLSKWLGDKLTPLESVPPAAIAFIICLLIAVFTECTSNVATT
+TLFLPILASMSQAICIHPLYVMLPCTLASSLAFMLPVATPPNAIAFSFGGLRVTDMARAG
+FMLNIIGVLVITLAINSWSIPMFDLHSFPSWAQSNTTGLCGVSQANVTTSSP
+>tr|A6H7D9|A6H7D9_BOVIN CLRN3 protein OS=Bos taurus OX=9913 GN=CLRN3 PE=2 SV=1
+MPTAKKTLTFLLSFVTSLGAFIVLCFVLATQQWIRSTIAISDSSSNGSVIITYGLLRGKS
+IQELNHGLAESDKNFEVLGTLTNSSPKTLHSVVIVFLALGLFSSLLSAGFTFCNSVSNPY
+QTFLGPTGVYTWSGLSASFTFITMVLFVGNTQSNRLSEELAQRLYPLYPAATQQGTTHAY
+RYSFWLTLLVILLNVVTTVIIIFYQKARYQRKQEQRKPMESAPRDGILF
+>tr|Q3T0U5|Q3T0U5_BOVIN Charged multivesicular body protein 2A OS=Bos taurus OX=9913 GN=CHMP2A PE=2 SV=1
+MDLLFGRRKTPEELLRQNQRALNRAMRELDRERQKLETQEKKIIADIKKMAKQGQMDAVR
+IMAKDLVRTRRYVRKFVLMRANIQAVSLKIQTLKSNNSMAQAMKGVTKAMGTMNRQLKLP
+QIQKIMMEFERQAEIMDMKEEMMNDAIDDAMGDEDDEEESDAVVAQVLDELGLSLTDELS
+NLPSTGGSLSVAAGGKKAEATASALVDADADLEERLKNLRRD
+>tr|Q0II75|Q0II75_BOVIN Ketohexokinase OS=Bos taurus OX=9913 GN=KHK PE=1 SV=1
+MEEKQILCVGLVVLDIINVMDKYPEEDTDSRCLSQRWQRGGNASNSCTVLSLLGAPCAFM
+GSLARGHVADFVLDDLRRYSVDLRYMVFQTTGSVPISTVIISEASGSRTILHAYSFLVAD
+FRQRGVDVSQVAWQSRGETPCSCCIINNSNGSRTIVLYDTNLPDVSAKDFEKVELTRFKW
+IHIEGRNASEQVKMLQRIEQHNARQPPESKIQVSVEVEKPREELYQLFGYGDVVFVSKDV
+AKHFGFRSAKEALRGLYSRVRKGATLVCAWAEEGADALGPDGRLLHSDAFPPPRVVDTLG
+AGDTFNAAVIFSLSQGKSMQEALRFGCQVAGKKCGLQGFDGIV
+>tr|A0JNQ1|A0JNQ1_BOVIN Solute carrier family 10 (Sodium/bile acid cotransporter family), member 4 OS=Bos taurus OX=9913 GN=SLC10A4 PE=2 SV=1
+MDSTDNATLLFGTDNGTLLFGQSTLPPDNYTLSPTASSLSPGPDAPLAPASSAGPGPVLS
+VAPGPGVSFSPGPTPTPAPTAGSFAGGAGGPSPTLFARPEAAHEPPFWDTPLNHGLNVLV
+GAALCITMLGLGCTVDGNHFGAHVRRPVGALLAALCQFGFLPLLAFLLALAFSLDGSAAV
+AVLLCGCCPGGNLSNLMSLLVDGDMNLSIIMTISSTLLALVLMPLCLWIYSRPWIDTPLV
+QLLPIGTVILTLCSTLIPIGLGVFIRYRYSRVADYIVKISLWSLLMTLVVLFILTGTMLG
+PELLASIPAAVYVVAIFMPLAGYASGYGLATLFHLPPNCKRTVSLETGSQNVQLCTAILK
+LAFPPQNIGSMYMFPLLYALFQSAEAGIFVLIYKMYGSGVLHKQDPLDEDEDTDISYKKL
+KEEEMADTSYGTVKADNLIMMETTQTSL
+>tr|Q2KIB8|Q2KIB8_BOVIN POU domain protein OS=Bos taurus OX=9913 GN=POU3F2 PE=2 SV=1
+MATAASNHYSLLTSSASIVHTEPPGGMQQGAGGYREAQSLVQGDYGALQSNGHPLSHAHQ
+WITALSHGGGGGGGGGGGGGGGGGGGGGDGSPWSTSPLGQPDIKPSVVVQQGGRGDELHG
+PGTLQQQQQQQQQQQQQQQQQQQQRPPHLVHHAANHHPGPGAWRSAAAAAHLPPSMGASN
+GGLLYSQPSFTVNGMLGAGGQPAGLHHHGLRDAHDEPHHADHHPHPHSHAHQQPPPPPPP
+QGPPGHPGAHHDPHSDEDTPTSDDLEQFAKQFKQRRIKLGFTQADVGLALGTLYGNVFSQ
+TTICRFEALQLSFKNMCKLKPLLNKWLEEADSSSGSPTSIDKIAAQGRKRKKRTSIEVSV
+KGALESHFLKCPKPSAQEITSLADSLQLEKEVVRVWFCNRRQKEKRMTPPGGTLPGAEDV
+YGGSRDTPPHHGVQTPVQ
+>tr|Q3ZBW8|Q3ZBW8_BOVIN SAM domain, SH3 domain and nuclear localization signals 1 OS=Bos taurus OX=9913 GN=SAMSN1 PE=2 SV=1
+MLKRKPSNASEKEKHQKPKRSSSFGNFDRFWNNSTAKPDDSTGVCEGEPINESGEQNKTS
+HNGASLGKKMRAISWTMKKKAGKKYIKALSEEKDEEDGEDTLPYRNSDPTLGTHIEKISL
+KTSDSLDSLYSGQSSSSGITSCSDGTSNRDSFRLDDDSPYSGPFCGRARVHTDFTPSPYD
+TDSLKIKKGDIIDIICKTPMGMWTGMLNNKVGNFKFIYVDVISEEAAPKKMKTQRRSGVE
+KPETLQEFLERIHLQEYTSTLLLNGYETLEDLKDIKESHLIELNIKNPEDRMRLLSAAEN
+LLDEETIQEQESESMPLSLNKSQLDDCPRDSGCYISSENSDNGKEDLESENLSDMVQKIT
+IREPSD
+>tr|A5PJC5|A5PJC5_BOVIN MLYCD protein OS=Bos taurus OX=9913 GN=MLYCD PE=2 SV=1
+MRVLGPGLSAGRLLRLRLPPRQPQPPGPRLSSGRPAAAGALERAMDELLHRAVPAMPAYE
+LREKTPARAENQCADFVSFYGGLAETAERAELLGRLARGFGVDHSQVAEQSAGVLQLRQQ
+PREAAVLLQAEDRLRYALVPRYRSLFHHISKMEGGVRFLVRLRADLLEAQALKLVDGPHV
+REMNGVLKNMLSEWFSSGFLNLERVTWHSPCEVLQRISEAEAVHPVKTWMDMKRRVGPYR
+RCYFFSHCSTPGEPLIVLHVALTSEISSSIQTIIVKECPPSETEERNKITTAIFYSLSLT
+QQGLQGVELGAFLIKRVVKELQKEFPALGAFSSLSPIPGFTKWLLGLLKSKAKEHGRSGL
+LTDSESQEIAELTGGPALETLQTLLSSSEWAQSEQLARALQAPLMRLCAWYLYGEKHRGY
+ALNPVAHFHLQNGAVLWRINWLADVSLKGVTGACGLMVNYRYFLEDTAANSTAYLGSKSI
+KASEQVLSLVAQFQKNSKL
+>tr|A3KMV9|A3KMV9_BOVIN AZIN1 protein OS=Bos taurus OX=9913 GN=AZIN1 PE=2 SV=1
+MKGFIDDANYSVGLLDEGTNLGNVIDNYVYEHTLTGKNAFFVGDLGKIVKKHSQWQNIVA
+QIKPFYTVKCNSTPAVLEILAALGTGFACSSKTEMALVQELGVSPENIIYISPCKQVSQI
+KYAAKVGVNIMTCDNEVELKKIARNHPNAKVLLHIATEDSIGGGEGNMKFGTTLKNCRHL
+LECAKELDVQIIGVKFHVSSACKESQVYVHALSDARCVFDMAGEFGFTMNMLDIGGGFTG
+TEFQLEEVNHVISPLLDVYFPEGSGIKIISEPGSYFVSSAFTLAVNIVAKKVVENDKFSS
+GVGKTGSDEPAFMYYMNDGVYGSFASKLSEDLNTIPEVHKKYKADEPLFTSSLWGPSCDE
+LDQIVENCLLPELNVGDWLIFDNMGADSVHEPSAFNDFQRPAIYYMMSYSDWYEMQDAGA
+TSDTTMKSFFFVPSCIQLSQEDNFPTEA
+>tr|Q0VCN4|Q0VCN4_BOVIN Neurotrophic receptor tyrosine kinase 2 OS=Bos taurus OX=9913 GN=NTRK2 PE=2 SV=1
+MSSWTRWHGPAMARLWGFCWLIVGFWRAALACPTSCKCSASRIWCGDPSPGIVAFPKLEA
+TSADPENITEIYIANQKRLEIINEDDLEAYVGLKNLTIVDSGLKSVAHKAFLKNSNLQHI
+NFTRNKLTILSRKHFRHLDLSELILVGNPFTCSCDVMWIKTLQETKPSPETQDLYCLDEN
+SKNISLANLQIPHCGLPSANLAAPNLTVEEGKSITLSCTVAGDPVPNLYWDVGNLVSKHM
+NETSHTQGSLRITNISSDDSGKQISCVAENLVGEDQDSVNLTVHFAPTITFLESPTSDHH
+WCIPFTVKGNPKPALQWFYNGAILNESKYICTKIHVTNHTEYHGCLQLDNPTHMNNGDYK
+LVAKNEYGKDEKQISAHFMGWPGIDDGANPNYPDVIYEDYGTAANDIGDTTNKSNGTLPT
+GVVDTGREHLSVYAVVVIASVVGFCLLVMLFLLKLARHSKFGMKGFVLFHKIPLDG
+>tr|Q08D95|Q08D95_BOVIN Uncharacterized protein LOC618755 OS=Bos taurus OX=9913 GN=LOC618755 PE=2 SV=1
+MSEVQGTVEFSVELHKFYNVDLFQRGYYQIRVTLKVSSRIPHRLSASIVGQTDSSSPHSA
+CVHDSTLRSRVFQILYRNEEVPINDAVIFRAHLLLDGERVEEAVSEVDFQLKVDLHFTDS
+EQQLRDMAGVPVISSRMLGLHFHPRRGLHHQVPVMFDYFHLSVISVTIHAALVALQQPLI
+SFTRPGRGSWLGKGGPDTGPEQSSLSLENLVFGAGYCKPTSSEGSFYVPSENCMQHAYKW
+HRELCFLLLHAYRGLRAYFLTILRDIPELPRMELESLAVEETLSQLCSELQVIREPTPWF
+>tr|A8R4B3|A8R4B3_BOVIN Sulfurtransferase OS=Bos taurus OX=9913 GN=TSTD3 PE=2 SV=1
+MVLQRLLPWSTCRTIFGSAEAVLWGLKSIKRSCLNFCTAICEGVTYKELKNLLKSKKIML
+IDVREPWEIYESGKIPGSVNIPLDDVGEALQMNPKDFKEKYKEVKPSKSDSLVFSCLAGV
+RSKVAMVTAISLGFNNAQHYAGGWKEWATYEISEKKQGN
+>tr|A5PJW1|A5PJW1_BOVIN Transcription initiation factor TFIID subunit 10 OS=Bos taurus OX=9913 GN=TAF10 PE=2 SV=1
+MSCSGSGADPEAAPASAASAPGPAPAVSAPAALPAGTAAENKASPAGTAGGPGAGAASGG
+TGAVAARAGEPAERRGAAPVTTGGAAPPEGAMSNGVYVLPSAANGEVKPVVSSTPLVDFL
+MQLEDYTPTIPDAVTGYYLNRAGFEASDPRIIRLISLAAQKFISDIANDALQHCKMKGTA
+SGSSRSKSKDRKYTLTMEDLTPALSEYGINVKKPHYFT
+>tr|Q0VCV4|Q0VCV4_BOVIN SLA protein OS=Bos taurus OX=9913 GN=SLA PE=2 SV=1
+MGNSMRSTPAPPERPLPSSEELDSDFLAVLSDYPSPDISPPIFRRGEKLRVISDEGGWWK
+AISLSTGRESYIPGICVARVYHGWLFEGLGRDKAEELLQLPDTKIGSFMIRESETKKGFY
+SLSVRHRQVKHYRIFRLPNNWYYISPRLTFQCLEDLVNHYSEVADGLCCVLTTPCLTQTA
+AAPAVRDSDSPVTLRQKTFDWRRAIRQQEDSEGAESPIAVDESLFSYGLRESIASYLSLT
+GDDSTSFDRKKKSVSLMYSGSKRKSSFFSSPPYFED
+>tr|A6QPT4|A6QPT4_BOVIN MPO protein OS=Bos taurus OX=9913 GN=MPO PE=1 SV=1
+MRLLLGLAGLLAVLIMLQPSEGVPPAVPGEVDTSVVLTCMEEAKRLVDKVYKERRESIKQ
+RLHSGLASPMELLSYFKQPVAATRTAVRAADYLHVALSLLERKLRALWPGRFNVTDVLTP
+AQLNLLSKTSGCAHQDLGVSCPEKDEYRTITGQCNNRRSPTLGASNRPFVRWLPAEYEDG
+FSLPFGWTPRVKRNGFPVPLARAVSNEIVRFPTEKLTPDQQRSLMFMQWGQLLDHDLDFS
+PEPAARVSFLTGINCETSCLQQPPCFPLKIPPNDPRIKNQQDCIPFFRSSPACTQSNITI
+RNQINALTSFVDASMVYGSEDPLAMRLRNLTNQLGLLAVNTRFQDNGRALLPFDTLRHDP
+CRLTNRSANIPCFLAGDSRASEMPELTSMHTLFVREHNRLAKELKRLNAHWNGERLYQEA
+RKIVGAMVQIITYRDYLPLVLGREAMRKYLRPYCSYNDSVDPRISNVFTNAFRYGHTLIQ
+PFMFRLNSRYQPMQPNPRVPLSRVFFASWRVVLEGGIDPILRGLMATPAKLNRQNQIAVD
+EIRERLFEQVMRIGLDLPALNMQRSRDHGLPGYNAWRRFCGLPVPNTVGELGTVLRNLDL
+ARRLMKLYQTPNNIDIWIGGVAEPLNKNGRVGPLLACLIGTQFRKLRDGDRFWWQNKGVF
+SKKQQQALAKISLPRIICDNTGITFVSKNNIFMSNRFPRDFVRCSRVPALNLAPWRERR
+>tr|A0A3Q1M3Y4|A0A3Q1M3Y4_BOVIN Pleckstrin homology domain containing A5 OS=Bos taurus OX=9913 GN=PLEKHA5 PE=4 SV=1
+MTSEEKKERPISMINEASNYNMTSDYAVHPMSPVGRTSRASKKVHNFGKRSNSIKRNPNA
+PVVRRGWLYKQDSTGMKLWKKRWFVLSDLCLFYYRDEKEEGILGSILLPSFQIALLTSED
+HINRKYAFKAAHPNMRTYYFCTDTGKEMELWMKAMLDAALVQTEPVKRVDKITSENAPAK
+EINNFPNHRVLIKPEVQNNQKNKEMSRNEEKKALEAEKYGFQKDGQDRPLTKINSVKLNS
+LSSEYESGSTCPGQTGHYRPVNLNSSENKTVNVSLADLRGGSHPNTGPLHTEADRVIQRT
+NSMQQLEQWIKIQKGRGHEEETRGVISYQTLPRNMPSHRAPVMARYPEGYRTLPRNSKTR
+PESICSITPSAHDKTAGPGAEEKRRSMRDDTMWQLYEWQQRQFYNKQGTLPRHSSLTSPK
+TMVNISDQTMHSIPTSPSHGSIAAYQGFSPQRTYRSEVSSPIQRGDVTIDRRDVTIDRRD
+VTMDRRDMTMDRRDMTMDRRHRAHHPKHMYVPDRRSMPAGLTLQSVSPQSLQGKTLSQDE
+CRGTLYKYRPEEVDIDAKLSRLCEQDKVVHALEEKLQQLHKEKYTLEQALLSASQEIEMN
+ADNPAAIQTVVLQRDDLQNGLLSTCRELSRATAELERAWREYDKLEYDVTVTRNQMQEQL
+DRLGEVQTESAGIQRAQIQKELWRIQDVMEGLSKHKQQRGTSETGMIGSKPFSTVKYKNE
+GPDYRLYKSEPELTTVAEVDESNGEEKSEPASEIETSVKGSHFPVGVVPPRTKSPTPESS
+TIASYVTLRKTKKMMDSRTERPRSAVEQLCLAESTRPRMTVEEQMERIRRHQQACLREKK
+KGLNLIGALDQSPLPSPSNLRDNPFRITQARRKDDNSKELDTVIRENDMKPNHDTPATDT
+VQLKEAEPQNTDFSGEFKKTENVFSETLFTPEPNGVNSEEVMDKEGDKKEVPEHVPYSPQ
+EESQIPNHKPEGPPAEDTKDDIHEQEENVLSYELTPEASRGNQTMAAQSLPPSPDSSASP
+VPSAQPQLTEGSHFMCV
+>tr|A7MBB6|A7MBB6_BOVIN FIGF protein OS=Bos taurus OX=9913 GN=VEGFD PE=2 SV=1
+MYRQWAVVNIFMMSSLQLVQGSSYERGPVKRASRSMLERSEQQIRAASGLEELLQITHFE
+DWKLWRCRLKLKSLTSTDSRSASHRSTRFAATFYDMETLKVIDEEWQRTQCSPRETCVEV
+ASELGSSTDTFFKPPCVNVFRCGGCCNEESLVCVNTSTSYVSKQLFEISVPLTSVPELVP
+VKVANHTGCKCFPTAPRHPFSIIRRSIQIPEEDRCSHSKKLCPVDMLWDSNKCKCVLQEE
+NPLAGMEDHTHLQELALCGQHMKFDEDRCECVCKTPCPRDLIQHPENCSCIECRESLESC
+CQKHKIFHPDTCSCEDRCPFRPRTCANGKPACPKHCRFPKEKRATHGLQDRENP
+>tr|F1N0C7|F1N0C7_BOVIN Netrin 1 OS=Bos taurus OX=9913 GN=NTN1 PE=4 SV=2
+MRAVWEALAALAAVACLVGAVRGGPGLSMFAGQAAQPDPCSDENGHPRRCIPDFVNAAFG
+KDVRVSSTCGRPPARYCVVSERGEERLRSCHLCNASDPKKAHPPAFLTDLNNPHNLTCWQ
+SENYLQFPHNVTLTLSLGKKFEVTYVSLQFCSPRPESMAIYKSMDYGRTWVPFQFYSTQC
+RKMYNRPHRAPITKQNEQEAVCTDSHTDMRPLSGGLIAFSTLDGRPSAHDFDNSPVLQDW
+VTATDIRVAFSRLHTFGDENEDDSELARDSYFYAVSDLQVGGRCKCNGHAARCVRDRDDS
+LVCDCRHNTAGPECDRCKPFHYDRPWQRATAREANECVACNCNLHARRCRFNMELYKLSG
+RKSGGVCLNCRHNTAGRHCHYCKEGYYRDLGKPITHRKACKACDCHPVGAAGKTCNQTTG
+QCPCKDGVTGITCNRCAKGYQQSRSPIAPCIKIPVAPPTTAASSVEEPEDCDSYCKASKG
+KLKINMKKYCKKDYAVQIHILKADKAGDWWKFTVNIISVYKQGTSRIRRGDQNLWIRSRD
+IACKCPKIKPLKKYLLLGNAEDSPDQSGIVADKSSLVIQWRDTWARRLRKFQQREKKGKC
+KKA
+>tr|Q3ZC17|Q3ZC17_BOVIN Myelin-associated oligodendrocyte basic protein OS=Bos taurus OX=9913 GN=MOBP PE=2 SV=1
+MSQKVVKEGPRLSKNQKFSEHFSIHCCPPFTFLNSKREIVDRKYSICKSGCFYQKKEEDW
+ICCACQKTRLKRKTKPTPRKK
+>tr|Q2HJF6|Q2HJF6_BOVIN Steroid receptor RNA activator 1 OS=Bos taurus OX=9913 GN=SRA1 PE=2 SV=1
+MAELYVKPGNTERGWNDPPQFSYGLQTQAGGPKRSPLTKRVAAPQDGSPRVPTSETSPGL
+PPMGPPPPSSKASRPPQFVGSCPPSRTEPMDSPVVESETLLEDVLRPLERALEDCRGHIK
+KQVCDDISRRLALLQEQWAGGKLSMPVKKRMALLVQELSSHQWDAADDIHRSLMVDHVTE
+VSQWMVGVKRLIAVKRSLFSEEEANEEKSIATAEQNQTVPGIQHDL
+>tr|Q2TBN8|Q2TBN8_BOVIN FA complementation group L OS=Bos taurus OX=9913 GN=FANCL PE=2 SV=1
+MAETEATLLRQFPLFLPQNRSKTVYEGFISAQGRDFHLRLLLPKDLQLRNARLLCSWQLK
+TALNGYHHIVQQRMQQSPDLVSFMMELKMVLEAALKNKKEPYVLPSPPKFYSSLIGEIRT
+LGWDKLVFVDPCFSTIKLKADVSGREHLITVKLKAKYPAESPDCVVDFPVPFSVSWTPQS
+SLISIYTQFLAALESLKTFWDVMDEIDEKTWVLEPEKPTRSATARRIVLGNNVSINIEVD
+PRHPTMLPECCFLGADHVVKPLGIRLSRNIHLWDPENSLLQNLKDVLEIDFPARAILEKS
+DFSMDCGICYAYQLDGAIPDQVCDNSQCGQSFHYICLYEWLRGLLTSRQSFNIIFGECPY
+CSKPITLKMSGRKA
+>tr|A5PKM1|A5PKM1_BOVIN ZNRF2 protein OS=Bos taurus OX=9913 GN=ZNRF2 PE=2 SV=1
+MGAKQSGPAAANGRTRAYSGSDLPSSSSSSGGANGTAGGGARAAAAGRFPAQVPSAHQPS
+ASGGAAAASAAPRSRSLGGAVGTVASGARAAQSTFSIPNSSSGPYGSQDSVHSSPEDGGS
+SGGRDRPASGGPGGPRLVIGSLPAHLSPHMFGGFKCPVCSKFVPSDEMDLHLVMCLTKPR
+ITYNEDVLSKDAGECAICLEELQQGDTIARLPCLCIYHKGCIDEWFEVNRSCPEHPSD
+>tr|Q32L87|Q32L87_BOVIN DDB1 and CUL4 associated factor 7 OS=Bos taurus OX=9913 GN=DCAF7 PE=2 SV=1
+MSLHGKRKEIYKYEAPWTVYAMNWSVRPDKRFRLALGSFVEEYNNKVQLVGLDEESSEFI
+CRNTFDHPYPTTKLMWIPDTKGVYPDLLATSGDYLRVWRVGETETRLECLLNNNKNSDFC
+APLTSFDWNEVDPYLLGTSSIDTTCTIWGLETGQVLGRVNLVSGHVKTQLIAHDKEVYDI
+AFSRAGGGRDMFASVGADGSVRMFDLRHLEHSTIIYEDPQHHPLLRLCWNKQDPNYLATM
+AMDGMEVVILDVRVPCTPVARLNNHRACVNGIAWAPHSSCHICTAADDHQALIWDIQQMP
+RAIEDPILAYTAEGEINNVQWASTQPDWIAICYNNCLEILRV
+>tr|E1B913|E1B913_BOVIN Threonine synthase like 2 OS=Bos taurus OX=9913 GN=THNSL2 PE=4 SV=1
+MWYVSTRGMAPRIDFEEALFSGYAPDGGLYMPEELPQLGRETLHEWSTLSYPSLVKELCS
+LFIGPELIPRDDLNDLIDRAFSRFRHREVVHLSRLRNGLNVLELWHGVTYAFKDLSLCCT
+AQFLQYFLEKRKRHITVVVGTSGDTGSAAIESVQGAKNVDIIVLLPKGHCTKIQELQMTT
+VLRENVHVFGVEGNSDELDEPIKTVFADMAFVKKHNLMSLNSINWSRVLVQIAHHFFAYF
+RCAPSLDMHPLPPVEVVVPTGAAGNLAAGCIAQKMGLPIHLVVAVNSNDIIHRTVQWGDF
+SLSKAVKPTLASAMDIQVPYNMERIFWLLSSSDSQVIRALMEQFERTKSVSLPKELHSKL
+LEAVTSQSVSDEAITQTMGRCWQENQYLLCPHSAVAVSCHYQQVDRQQPSPPRCCLAPAS
+AAKFPEAVRAARLTVDTPAEILALEHKEARCTPMRKGDDWTRMLRDTIEDLSQQWQRRFL
+NLPA
+>tr|Q32P83|Q32P83_BOVIN RAB interacting factor OS=Bos taurus OX=9913 GN=RABIF PE=2 SV=1
+MEAPAPPSELVSEEGRNRKAVLCRRCGSRVLQPGTALFSRRQLFLPSMRKKPALAGGGGP
+EGDLLQEHWLVEDMFTFENVGFTKDVGNVKFLVCADCEVGPIGWHCLDDKDSFYVALDRV
+SHE
+>tr|Q32KM7|Q32KM7_BOVIN Adiponectin receptor 2 OS=Bos taurus OX=9913 GN=ADIPOR2 PE=2 SV=1
+MNETEENRLEHSKTPEPALRLRKGHQLDGARNGDSGVHHGGREPLVEASVLSSYHKKSVE
+ERECDDGASQEDEGFMGMSPLLQAHHAMERMEEFVCKVWEGRWRVIPHDVLPEWLKDNDF
+LLHGHRPPMPSFRACFKSIFRIHTETGNIWTHLLGCVFFLCLGIFYMFRPNISFVAPLQE
+KVVFGLFFLGAILCLSFSWLFHTVYCHSEGVSRIFSKLDYSGIALLIMGSFVPWLYYSFY
+CNPQPCFIYLIVICVLGIAAIIVSQWDMFATPQYRGVRAGVFLGLGLSGIIPTLHYVISE
+GFLKAATIGQIGWLLLMAGLYITGAALYAARIPERFFPGKCDIWFHSHQLFHIFVVAGAF
+VHFHGVSNLQEFRFMVGGGCSEEDAL
+>tr|E1B729|E1B729_BOVIN Sulfotransferase OS=Bos taurus OX=9913 GN=HS3ST6 PE=3 SV=1
+MAGSGGLAGGAGGSQGTAGGPGTALRASRAPLLFAALVLCAYCLCALPGRCPPAGRVPAP
+APARAEPPRASRSPREPGLPVASGSGRRRFPQALIVGVKKGGTRALLEFLRLHPDIRALG
+SEPHFFDRCYERGLAWYRSLMPRTLDGQITLEKTPSYFVTREAPRRIHSMSPATKLIVVV
+RNPVTRAISDYAQTLSKTPGLPSFGALAFRRGLGPVDTAWSAVRIGLYAQHLDNWLRYFP
+LSRFLFVSGERLVSDPAGELGRVQDFLGLKRVVTDKHFYFNATKGFPCLKKAQGSGRPRC
+LGKSKGRPHPRVPESVVQRLQAFYRPFNRKFYQMTGQDFGWD
+>tr|A6QQ18|A6QQ18_BOVIN Ceramide synthase 5 OS=Bos taurus OX=9913 GN=CERS5 PE=2 SV=1
+MATAAAGALGLLWGWLWSERFWLPQNVSWANFEGQGDGYGYPRARHILSVFPLAAGVFSV
+RLLFERFIAKPCALHVGIQDSGPYQAQPNAILEKVFMSITKYPDEKRLEGLSKQLDWDVR
+KIQCWFRHRRNQDKPPTLTKFCESMWRFTFYLCIFCYGIRFLWSSPWFWDTRQCWHSYPY
+QPLTSGLYYYYIMELAFYWSLMFSQFTDIKRKDFLIMFLHHLATIGLITFSYINNMVRVG
+TLVLCLHDVSDFLLEAAKLANYAKYQRLCDTLFVIFSAVFVVTRLGIYPFWILNTTLFES
+WEIIGPYRSWWLFNGLLLVLQVLHVIWSYLIARIAFKALIRGKVTYPGRIRPRLCTLHSF
+LTSFLFSMPGGSWTVSSLACV
+>tr|Q2KIW4|Q2KIW4_BOVIN Lecithin-cholesterol acyltransferase OS=Bos taurus OX=9913 GN=LCAT PE=2 SV=1
+MGLPGSPRQWVLLLLGLLLPPAAPFWLFNVLFPPHTTPKAELSNHTRPVILVPGCLGNQL
+EAKLDKPSVVNWMCYRKTEDFFTIWLDLNMFLPLGVDCWIDNTRVVYNRSSGRVSNAPGV
+QIRVPGFGKTYSVEYLDSSKLAGYMHTLVQNLVNNGYVRDETVRAAPYDWRLEPSQQEEY
+YLKLAGLVEEMHATYGKPVFLIGHSLGCLHLLYFLLRQPQTWKDRFIDGFISLGAPWGGT
+IKPMLVLASGDNQGIPVMSSIKLKEEQRMTTTSPWMLPARQTWPEDHVFISTPSINYTSR
+DMQRFFTDLHFEEGWYMWLQSRDLLAGLPAPGVEVYCLYGIGLPTPSTYIYDHGFPYTDP
+VDVLYEDGDDTVATRSTELCARWQGRQKQPVHLLPLPGTQHLNMVFSNQTLEHINAILLG
+TYRNSTAVPPTASPKPMPPE
+>tr|A4FV64|A4FV64_BOVIN SF4 protein OS=Bos taurus OX=9913 GN=SUGP1 PE=2 SV=1
+MSLKMDNRDVAGKANRWFGVAPPKSGKMNMNILHQEELIAQKKREIEARMEQKAKQNQAA
+SPQPPHPGEIANVHNSNVSNKFANDGSFLQQFLKLQKAQTSTDAPPSAPTAPPRVLPRTG
+KRPPLISSPLGQVKNYMHAKQLPVASRPSVFQSPDEDDEEDYEQWLEIKVSPPEGAETRK
+VIEKLARFVAEGGPELEKVAMENYKDNPAFSFLHDKNSREFLYYRKKVAEIRKEAQKLQA
+APQKVSPPEDEEVKNLAEKLARFIADGGPEVETIALQNNRENQAFSFLYEPNSQGYKYYR
+QKLEEFRKAKAGPTGTPMAPDLGLKRRSPPETPLGSTPTATACPTSSAPLPTANPPAAAP
+GKPATTANVKRKRKSRWGPEEDKVELPPAELAQRDTDAAPSPLSVQDLKGLGYEKGKPVG
+LVGVTELSDAQKKQLKEQQEMQQMYDMIMQHKRAMQDMQLLWEKALQQHQHGYDSDEEVD
+SELGTWEHQLRRMEMDKTREWAEQLTKMGRGKHFIGDFLPPDELEKFMETFKALKEGREP
+DYSEYKEFKLTIENIGYQMLMKMGWKEGDGLGSEGQGIKNPVNKGTTTVDGAGFGIDRPA
+ELSKEDDEYEAFRKRMMLAYRFRPNPLNNPRRPYY
+>tr|Q32LA2|Q32LA2_BOVIN Three prime histone mRNA exonuclease 1 OS=Bos taurus OX=9913 GN=THEX1 PE=2 SV=1
+MEDEQTLQPGDEAVAPARRASPGSECGDEAQRPNPEKKQRCRLDGQETKTSTLITSSAND
+FSDPVYKEIAITNGCINRMSKEELRAKLSEFKLETRGVKDVLKKRLKNYYKKQKLMLKES
+NCADSYYDYICIIDFEATCEEGNPPEFIHEIIEFPVVLLNTHTLEIEDTFQQYVRPEINT
+QLSDFCINLTGITQDQVDKADTFPQVLKKVIDWMKLKELGTKYKYSILTDGSWDMSKFLN
+IQCQLSRLKYPPFAKKWINIRKSYGNFYKVPRSQTKLTIMLEKLGMDYDGRPHSGLDDSK
+NIARIAVRMLQDGCELRVNEKMHAGQLMSVSSSLPIEGTPAPQMPHSRK
+>tr|Q3ZBL3|Q3ZBL3_BOVIN Kinase OS=Bos taurus OX=9913 GN=IP6K2 PE=2 SV=1
+MSPAFRAMDVEPRDKGILLEPFVHQVGGHSCVLRFNETTLCKPLVPREHQFYETLPAEMR
+KFTPQYKGVVSVSFEEDEDRNLCLIAYPVKGDHGTVDSVDNSDCEPKSKLLRWTNKKHHV
+LETDKTSKDWVRQHWKEEKVKSHKLEEEFEWLKKSEVLYYSVEKKGNVSSQLKHYNPWSM
+KCHQQQLQRMKENAKHRNQYKFILLENLTSCYEVPCVLDLKMGTRQHGDDASEEKAANQI
+RKCQQSTSAVIGVRVCGMQVYQAGSGQLMFMNKYHGRKLSVQGFKEALFQFFHNGRYLRR
+ELLGPVLRKLTELKGVLERQESYRFYSSSLLIIYDGKERAEVALDSDAEDLEDLSEESAD
+ESAGAYAYKPIGTSSVDVRMIDFAHTTCRLYGEDTVVHEGQDAGYIFGLQSLIDIVTEIS
+EESGE
+>tr|F1N642|F1N642_BOVIN Ankyrin repeat domain 27 OS=Bos taurus OX=9913 GN=ANKRD27 PE=4 SV=2
+MALYDEDLLKNPFYLALQKWRPDLCNKVAQAHGIVLVPCKGSLSTRIQSTCQFESYILIP
+VEEHFQTLDGKDVFIQGNRIKLGAGFACLLSVPILFEETFYNEKEESFSILCIAHPLEKR
+ESSEEPSTSSDSFSLKTIEDVREFLGRHAERFDRNITSFQRTFRECERKSLRHHIDSVNA
+LYTKCLQQLLRDSHLKVLAKQEAQMNLMKQAVEMYVQHDIYDLIFKYVGTMEAAEDAAFN
+KITRSLQDLQQKDIGVKPEFSFNIPRAKRELAQLNRCTSPQQKLACLRKVVQLITQSPSQ
+RVNLETMCADDLLSVLLYLLVKTEIPNWMANLSYIKNFRFSSSAKDELGYCLTSIEAAIE
+YIRQGSLSVKPESEGFGDRLFLKQRMSLLSQLTSTPIDCLFQHIASGNQKEVERLLSQED
+QDKDAVQKMCHPLCFCDDCEKLVSGRLNDPSVVTPFSRDDRGHTPLHVAALCGQASLIDL
+LVSKGAVVNATDYHGSTPLHLACQKGYQSVTLLLMHYKASAEVQDNNGNTPLHLACTYGH
+EDCVKALVYFDVQACRLDIGNEKGDTPLHIAARWGYQGIIETLLQNGASTEIQNRLKETP
+LKCALNSKILSIMEAHHLSFERRQKSSEVSVQAPQCSMDSISQGSSTSSFSSVSLSSRQD
+DPKKDYREVEKLLRAVADGDLEMVRYLLEWTEEDLDEAEDAVGAVDLEFCHPLCQCPKCA
+PAQKKLAKIPVSGLGVNVTNQDGSSPLHVAALHGRADLILLLVKHGASVGARDASQAVPL
+HLACQQGHFQVVKCLLESNAKPNKKDISGNTPLIYACSRGHHEVAALLLQHGASINASNN
+KGNTALHEAVIERHVFVVELLLLHGASAQVLNKRQCTAIDCAEQNSKIMELLQVVPSCVA
+TLDDIGETDHSEYVTVKIRKKWNSKLYDLPDEPFTRQFYFVHSVGPFKARTPKEIMARDR
+SVPNLTKDSLHEPGRQRVARKHNNLPDQGRSEAADKGNDQPERPGPRQTSPRNRRMLRRH
+TVDDTVVPKGPETAGNLSTPREASISQS
+>tr|A6H706|A6H706_BOVIN ALG13 protein OS=Bos taurus OX=9913 GN=ALG13 PE=2 SV=1
+MPSRKGRSYQKISGGYIPEIAMSEMDMKQRKKMFKKVRGKEVYMTMAYSRAEPLLPPRLQ
+HSMHYGHGPPMHYSQTTGNVMSNEHFHPQHSSQRQGRGYGMPRDSSRFINRHNMAGPKVG
+FYPGPGKRCCQSYDNFYRSRSFRRSHRQMHCMNKECQFSFAPEMPRGLEETITFYEVEEG
+DETAYPALPNHGGPSTMVPASSRYCVARRGHSSGKQPLNSEEGDGQSDSGGYHEDYIYPS
+EPDYDTSGVYSTAESTANLVMVNSAAVAASCANNVPAPVLPNCAAANQASSTTSVSSQNA
+IQPLFVSPPTQGRPVIASPSYPYLSAPIPAAAGPLPPPPPPLPPPTPPSLEVGEASNLAL
+PPPPYSCDPSGSDLPQDTKVLQYYFNLGLQCYHHSFWHSMVYVPQMQQQQLHAENYPVYT
+EPPPLVDQTIPQLYSEVERQDGTQAEVSANGTFPNADPASVPHGAVYYPVMSDPYGQPPL
+PGFDSCLPVVPDYPYVAPWHPVGAAYGGSPQIHGAMNPGPLGYIAAPPSASHYVPQNM
+>tr|Q2T9M8|Q2T9M8_BOVIN Synaptosomal-associated protein OS=Bos taurus OX=9913 GN=SNAP23 PE=2 SV=1
+MDNLSAEEIQIRANQVTDESLESTRRILGLAIESQDAGIKTITMLDEQGEQLKRIEEGMD
+QINEDMREAEKTLTELNKCCGLCVCPCSRTKNFESSKAYKATWGDGGDNSPSNIVSKQPG
+RVTNGQPQQATAGAASGGYIKRITNDAREDEMEDNLTQVGSILGNLKNMALDMGNEIEAQ
+NRQIERITEKADTNKDRIDNANARAKKLIDS
+>tr|A7MB03|A7MB03_BOVIN MARVEL domain containing 1 OS=Bos taurus OX=9913 GN=MARVELD1 PE=2 SV=1
+MLPPPPRQPPPQARAARGAVSLQRAFLRGPLGVLRLLQLLAGAAFWITIATSRYQGPVHF
+ALFVSVLFWLLTLALYFLTLLGKQELVPVLGSRWLVVNVAHDLLAAALYGAAMGIMIGQT
+QSHSYCNLKDYQMSCAYHAFLAAAVCGGLCLGLYLLSALYGGCRHCQGEREVA
+>tr|E1B912|E1B912_BOVIN Zinc finger and BTB domain containing 24 OS=Bos taurus OX=9913 GN=ZBTB24 PE=4 SV=2
+MAETSSEPSGQLVVHSDTHSDTVLASFEDQRKKGFLCDITLIVENVHFRAHKALLAASSE
+YFSMMFAEEGEIGQSIYMLEGMVADTFGILLEFIYTGCLQASEKSTEQILATAQFLKVYD
+LVKAYTDFQNNHSSPKPPTLNTAGAPLVVISNKKSDHPKRKRGRPRKVNSLQEGKSELAA
+EEEIQLRVNNSVQNRQNFVVKEGDSGVLNEQITAKELEESEPTCEPARGEEMPVEKDENC
+DPKTQDSGQDSQSRCSKRRIRRSVKLKDYKLVGDEDDQGSAKRVCGRRKRPGGPEARCKD
+CGKVFKYNHFLAIHQRSHTGERPFKCNECGKGFAQKHSLQVHTRMHTGERPYTCTVCSKA
+LTTKHSLLEHMSLHSGQKSFTCDQCGKYFSQKRQLKSHYRVHTGHSLPECNDCHRKFMDV
+SQLKKHLRTHTGEKPFTCEICGKSFTAKSSLQTHIRIHRGEKPYSCAVCGKSFSDSSAKR
+RHCILHTGKKPFTCPECNLQFARLDNLKAHLKIHSKEKHASDASSISGNNNGEEVRNILQ
+LQPYQLSTSGEQEIQLLVTDSVHNINFMPGPSQGISIVTAESSQNMTADQTANLTLLTQQ
+PEQLQNLILSAQQEQTEHIQSLNMIESQMEPSQTEPVHVITLSKETLEHLHAHQEQTGEL
+HLASASDPAQHLQLTQEPAPPPATHHVPQPTSLSQEQS
+>tr|Q3T2L0|Q3T2L0_BOVIN Caspase-15 OS=Bos taurus OX=9913 GN=LOC515736 PE=2 SV=1
+MEGSLGSGRRSGSDGQPAPPKISPTTEELQSRLQETLDLLSSQELRSFRDHLKKVEPPVS
+QVKLELEGHSPSGLAKLLAKHYYPAAVAKRVLVQVLELLPRADLLPRWQSAPTDCPVIPR
+KSLKRSYDCVDGELYRYDLSGRRKAFLMCVKKNRLGAHQDVQLMKDWLKECKFEPTLCID
+PDKMDLLGKITSFRDELNEIKDDIGCCLVTLMSHGEEGFIKMKDGEKVSLEDIFEMFNNK
+NCPALQEKPKIFIIQACRGERRDSGVETDDEPMDLDDGSEKKRLPTFSDYFIVYPTQADH
+VALRDPRTGSVMIKEMTEVFKQYGNKWHLADFFTIVNNRVVHRDFNLCNKPVKVSLVMES
+TLTKFVYF
+>tr|Q1RMI2|Q1RMI2_BOVIN Ras homolog family member G OS=Bos taurus OX=9913 GN=RHOG PE=1 SV=1
+MQSIKCVVVGDGAVGKTCLLICYTTNAFPKEYIPTVFDNYSAQSAVDGRTVNLNLWDTAG
+QEEYDRLRTLSYPQTNVFVICFSIASPPSYENVRHKWHPEVCHHCPDVPILLVGTKKDLR
+AQPDTLRRLKEQGQAPITPQQGQALAKQIHAVRYLECSALQQDGVKEVFAEAVRAVLNPT
+PVKRGRSCVLL
+>tr|E1BJM6|E1BJM6_BOVIN Zinc finger and BTB domain containing 7C OS=Bos taurus OX=9913 GN=ZBTB7C PE=4 SV=3
+MANGIDELIGIPFPNHSSEVLCSLNEQRHDGLLCDVLLVVQEQEYRTHRSVLAACSKYFK
+KLFTAGTLASQPYVYEIDFVQPEALAAILEFAYTSTLTITASNVKHILNAARMLEIQCIV
+NVCLEIMEPGGDKEDDDDDEEDDDEDEEEEEEEEEEDDDDDTEDFADQENLPDPQDISCH
+QSPSKSDHLSEKAYSDTPRDFPDSFQAGSPSHLGVIRDFSIESLLRENLYPKASLPDRRP
+SLSPFAPDFFPHLWPGDFGAFAQLPEQPMDSGPLDLVIKNRKIKEEEKEELPPPPPPPFP
+NDFFKDMFPDLPGGPLGPIKAENDYGAYLNFLSATHLGGLFPPWPLVEERKLKPKASQQC
+PICHKVIMGAGKLPRHMRTHTGEKPYMCNICEVRFTRQDKLKIHMRKHTGERPYLCIHCN
+AKFVHNYDLKNHMRIHTGVRPYQCEFCYKSFTRSDHLHRHIKRQSCRMARPRRGRKPAAW
+RAASLLFGPGGPAPEKAAFVMPPALGDVGGHLGGAAVCLPGPSPAKHFLAAPKGALSLQE
+LERQFEETQMKLFGRAQLEAERNAGGLLAFALAENVAAARPYFPLPDPWAAGLAGLPGLA
+GLNHVASMSEANN
+>tr|Q2KIR3|Q2KIR3_BOVIN C-C motif chemokine ligand 24 OS=Bos taurus OX=9913 GN=CCL24 PE=2 SV=1
+MAGPVTMATSLLLLALCIPPADSVTLPSSCCITFISKKIPESRVISYQLTNRSICPQAGV
+IFTTQKGQKFCGNPKLPWVQKYVKNLDAKQKKASARARAMSTTAPFWRHLANSTFI
+>tr|E1BGE9|E1BGE9_BOVIN Adiponectin I OS=Bos taurus OX=9913 GN=C1QL2 PE=4 SV=2
+MALGLLIAVPLLLQAAPPGAAHYEMMGTCRMICDPYTAAPGAGPAGAKAPPPGPSTAALE
+VMQDLSINPPPPFIQGPKGDPGRPGKPGPRGPPGEPGPPGPRGPPGEKGDSGRPGLPGLQ
+LTAGAAGGVGVVGGGTGGGGDSEGEVTGALSAAFSGPKIAFYVGLKSPHEGYEVLKFDDV
+VTNLGNHYDPTTGKFSCQVRGIYFFTYHILMRGGDGTSMWADLCKNGQVRASAIAQDADQ
+NYDYASNSVVLHLDSGDEVYVKLDGGKAHGGNNNKYSTFSGFLLYPD
+>tr|Q2KHX7|Q2KHX7_BOVIN mRNA-capping enzyme OS=Bos taurus OX=9913 GN=RNGTT PE=2 SV=1
+MAHNKIPPRWLNCPRRGQPVAGRFLPLKTMLGPRYDSQVAEENRFHPSMLSNYLKSLKVK
+MGLLVDLTNTSRFYDRNDIEKEGIKYIKLQCKGHGECPTTENTETFIRLCERFNERNPPE
+LIGVHCTHGFNRTGFLICAFLVEKMDWSIEAAVATFAQARPPGIYKGDYLKELFRRYGDI
+EEAPPPPLLPDWCFEDDEDEDEDEDGKKESEPGSSASFGKRRKERLKLGAIFLEGVTVKG
+VTQVTTQPKLGEVQQKCHQFCGWEGSGFPGAQPVSMDKQNIKLLEQKPYKVSWKADGTRY
+MMLIDGTNEVFMIDRDNSVFHVSNLEFPFRKDLRMHLSNTLLDGEMIIDRVNGQAVPRYL
+IYDIIKFNAQPVGDCDFNIRLQCIEREIINPRHEKMKTGLIDKTQEPFSVRHKPFFDIYA
+SRKLLEGNFAKEVSHEMDGLIFQPTGKYKPGRCDDILKWKPPSLNSVDFRLKITRMGGEG
+LLPQNIGLLYVGGYERPFAQIKVTKELKQYDNKIIECKFENNSWVFMRQRTDKSFPNAYN
+TAMAVCNSISNPVTKEMLFEFIDRCAAASQGQKRKHHPDPDTELMPPPPPKRPLT
+>tr|E1BL62|E1BL62_BOVIN Aldehyde oxidase 4 OS=Bos taurus OX=9913 GN=AOX4 PE=2 SV=1
+MPSLSNSDELIFFVNGRKVIEKNADPEVYLLFYLRKILHLTGTKYSCGSGGCGACTVMVS
+RYDPKTKKIHHYPVTACLVPICSLHGAAVTTVEGVGSIKTRIHPVQERLAKCHGTQCGFC
+SPGMVMSIYTLLRNHPEPTPEQITEALGGNLCRCTGYRPIVESGKTFCAESTVCQMKGSG
+KCCMDQEEKSFTSRQEKMCTKLYNEDEFQPFDPTQEPIFPPELIRMAEDPNKRRLTFRGK
+RTTWITPVNLNDLLELKTSFPEAPIIMGNTAVGPSIKFRDEFHPVFISPLGLQELYFVDS
+TDDGVTIGAGYSLAQLNDALRFIVSEQPKEKTKTYHALLKHLRTLAGAQIRNMATLGGHV
+VSRPNYSDLNPILAAGNATINLISKEGKRQIPLDGRFLEKSPEANLKSEETVLSVYIPHS
+TQWHFVSGLRIAQRQENAFAIVNAGMSVKFEDGTDTIKELQMFYGSVGPTVVSASKTCQQ
+LIGRKWNDQMLSDACRLVLDEIYIPPDAEGGMVEYRRTLIISLLFKFYLKVRRGLNKMDP
+HKFPDIPEKFVSALEDFPIETPQGIQMFQCVDPHQPPQDPVGHPVMHQSAIKHTTGEAVF
+VDDMPPISQELFLAVVTSTRAHAKIILIDTSAALALPGVVDVITAEDVPGDNSYQGEIFY
+AQNEVICVGQIVCTVAADTYAHAKEAAKKVRIVYEDLEPRIITIEQALEHNSFLSAEKKI
+EQGDVEQAFKYVDQIIEGKVHVEGQEHFYMETQTILAIPQEEDKEMVLHLGTQFQTHVQE
+YVAAALSIPRNRIACHTKRAGGAFGGKVSKPALLGAVSAVAAKKTGRPIRFILERGDDML
+ITAGRHPLLGKYKIGFMNNGVIKAADIEYYINGGCSPDESELVMEFMVLRSENAYYIPNF
+RCRGRPCKTNLPSNTAFRGFGFPQGIVVGEAYITAVASQCDLTPEQVKEINMYKRTSRTA
+HKQTFNPEPLRRCWKECLEKSSFSARKLAAEEFNKKNYWKKRGLAAVPMKFTVGMPTAFY
+NQAAALVHIYLDGSVLVSHSGCELGQGLHTKMIQVASRELNIPESYIHLSETNTTTVSNA
+TFTAGSMGTDINGKAVQNACQILKARLEPVIRKNPRGTWEAWISEAYKESISLSTTGYFK
+GYQTNMDWKKGEGNAFPYFVYGASCSEVEVDCLTGAHKLLRTDIFMDAAFSINPAVDIGQ
+IEGAFVQGMGFYTIEELKYSPEGVLYSRGPDDYKIPTVTEIPEEFNVTLVHSQNPIAIYS
+SKGLGEAGMFLGSSVLFAIYDAVAAARRERGLTKTFTLSSPATPELIRMTCVDQFTDMIP
+RDDPSTFTPWSIHVS
+>tr|A4FUD7|A4FUD7_BOVIN RAB33B protein OS=Bos taurus OX=9913 GN=RAB33B PE=2 SV=1
+MASDIESSLEASFSSSGAVSGGSGFLPPARSRIFKIIVIGDSNVGKTCLTYRFCAGRFPD
+RTEATIGVDFRERAVEIDGERIKIQLWDTAGQERFRKSMIQHYYRNVHAVVFVYDMTNMA
+SFHSLPSWIEECKQHLLATDIPRILVGNKCDLRSAIQVPTDLAQKFADTHSMPLFETSAK
+NPNDNDHVEAIFMTLAHKLKSHKPLMLSQPPDNGITLKPEPKPAMTCWC
+>tr|Q0IIC7|Q0IIC7_BOVIN Tubulin tyrosine ligase like 2 OS=Bos taurus OX=9913 GN=TTLL2 PE=2 SV=1
+MAEDEPPGASLKPLVFRVDETTPEVVQSVLLERGWSKFDQQEQNMEDWNLYWRTSSFRMA
+EHVNVKPWQCLNHHPGTTRLTRKDLLAKHLQHMERLYGAPLYEFLPPTFVMPHDYSKFVA
+EYFKEKQVLDAKLSYWICKPAELSRGRGIIIFSDIKNLIFADTCIIQKYICNPLLVGRYK
+CDLRVYVCVTGFKPLTIYIYQEGLVRFATEKFDLGNLQNSYAHLTNSSINRSGASYEKIK
+EVVGSGCKWTLTRFFSYLRSWDVDDLLLWQKINRVVILTVLAIAPSVPFAANCFELLGFD
+ILIDDNLKPWLLEVNYSPGLSLDCSADVSVKRRLIHDTIELMHLHSLRNERKESCSAANG
+SPGVSLARKDRGRGRDLPHESFSQPGSRRAFSKDGTPGEKGVTACPENQHTCQPQAVLSR
+QEVRPPTREPPKAKPKARARHLALKTLIPRVSLIQAHGREKGLSLCVQPDSSWVPGPQAG
+NFVLIFPFNEATFGASRNGLNVRRIIQELQKLTHKQCSQAAEEKTKRK
+>tr|Q7YRE6|Q7YRE6_BOVIN POFUT1 protein OS=Bos taurus OX=9913 GN=POFUT1 PE=2 SV=1
+MGAAAWAPSLLPPRVSLLLLLLPLPGLPVGSWDPAGYLLYCPCMGRFGNQADHFLGSLAF
+AKLLNRTLAVPPWIEYQHHKPPFTNVHVSYQKYFKLEPLQAYHRVISLEDFMEKLAPTHW
+PPEKRVAYCFEVAAQRSPDKKTCPMKEGNPFGPFWDQFHVSFNKSELFAGISFSASYKDQ
+WIQRFSPEEHPVLALPGAPAQFPVLEEHRPLQKYMVWSDEMVRTGEAQIHAHLIRPYVGI
+HLRIGSDWKNACAMLKDGTAGAHFMASPQCVGYSRHTTAPLTMTMCLPDLKEIRRALKLW
+VTALNAQSVYIATDSESYLPEIQQLFKGKSLSFAVPKASEMSLLLGDRKGK
+>tr|E1BEX4|E1BEX4_BOVIN Uroporphyrinogen decarboxylase OS=Bos taurus OX=9913 GN=UROD PE=3 SV=1
+MEAKESRPQGFPELKNDTFLRAAWGEETDYTPVWCMRQAGRYLPEFRETRAAQDFFSTCR
+SPEACCELTLQPLRRFPLDAAIIFSDILVVPQALGMEVTMVPGKGPSFPEPLREERDLER
+LQDPATVASELGYVFQAITLTRQRLAGRVPLIGFAGAPWTLMTYMVEGGGSSTMSQAKRW
+LYQRPQASHQLLRILTDALVPYLVGQVAAGAQALQLFESHAGHLGPQLFSKFALPYIRDV
+SKRVKAGLQEAGLAPVPMIIFAKDGHFALEELAQAGYEVVGLDWTVAPEKARERVGKTVT
+LQGNLDPCALYASEEEIGKLVQQMLNDFGPQRYIANLGHGLYPDMDPEHVGAFVDAVHKH
+SRLLRQN
+>tr|Q3ZCB7|Q3ZCB7_BOVIN Death effector domain containing OS=Bos taurus OX=9913 GN=DEDD PE=2 SV=1
+MAGLKRRASQVWPEEHGEQEHGLYSLHRMFDIVGTHLTHRDVRVLSFLFVDVIDDHERGL
+IRNGRDFLLALERQGRCDESNFRQVLQLLRIITRHDLLPYVTLKRRRADKYLEETSVRYV
+TPRALSDPEPRPPHPPKTVPPHYPVVCCPASGPQMCSKRPARGRATLGSQRKRRKSVTPD
+PKEKQTCDIRLRVRAEYCQHETALQGNVFSNKQDPLERQFERFNQANTILKSRDLGSIIC
+DIKFSELTYLDAFWRDYINGSLLEALKGVFITDSLKQAVGHEAIKLLVNVDEEDYELGRQ
+KLLRNLMLQALP
+>tr|A6QNM5|A6QNM5_BOVIN INPP5K protein OS=Bos taurus OX=9913 GN=INPP5K PE=2 SV=1
+MEAMSPRTEPRCRTLSIHVVTWNVASAAPPPDLSDLLQLNNLDLDLDIYVIGLQELNCGI
+MSLLSDTAFEDPWSSYFMDVLSPLSFVKVSSVRMQGLLLLIFAKYQHLPFIQVLSTKSTP
+TGLFGYWRLEHFDRILEMQNFEAQDTPNILDHDLILWFGDMNFRIEDFGLHFVRESIKNQ
+RYSDLWEKDQLSIAKRHDPLLREFQEGSLLFPPTYKFDKNSNNYDTSEKKRKPAWTDRIL
+WRLKRQSQTDFQTPELSAPFALFLRSYVSHMVYTISDHKPVTCTFDLELKPLVSAPLITL
+LPKGPCTMENDLLISYCLAADFLSSPWDWIGLYKVGLRHINDYVSYVWVKDNQVSFSDRL
+NQVYIDISDIPETEDQFLLFYYSNNLHSVVGISKPFKIQPFSFLEEDPLDEAQPQI
+>tr|Q3SWW7|Q3SWW7_BOVIN Interferon-related developmental regulator 2 OS=Bos taurus OX=9913 GN=IFRD2 PE=2 SV=1
+MPRARKGSAPRRGGQRRGGGARSSTQADSGSSEDEAASEAHSTTSECASQLSAAAEEGLG
+GDAVEEQGQQEDLEEKVKEYVDCLTDKSAKTRQGALESLRLALAARLLPDFLLERRLTLA
+DALEKCLKKGKGEEQALAAAVLGLLCVQMGPGPKGEEFFHSLQPLLVSVLSDSTASLAAR
+LHCASALGLGCYVAAADIEDLVSCLTCLEGVFSRSCSGRGSSPVVPTSLQGLLCAALQAW
+ALLLTICPSTHIGPILDRQLPRLPQLLSSESVNLRMAAGETIALLFELARDLEEDFVYED
+TEALCSELRTLATDSNKYRAKADRRRQRSTFRAVLHFVEGGECEEETIRFGLEVLYVDSW
+ARRRVYAAFKDALGSGMHHHLQNNELLRDIFGLGPVLVLDAAALKACKISRFEKHLYNAA
+AFRARTKARSRVRDKRADVL
+>tr|A5PJE5|A5PJE5_BOVIN NFATC2IP protein OS=Bos taurus OX=9913 GN=NFATC2IP PE=2 SV=1
+MAEPVRRPGRPRGGGGGRRARGSRGGRSRCPGAQRSPARHTLDSGLVDLVSDSDEDVLEV
+ATARGAAAAAEVPLPESPVPAASRDDSDSDSEGADAEPGGAPRVLVRRRRRLLLDPGEAP
+AVPVYSEKVKSSLHLIPDNVSLLKLCPPEAEEEADVEDASSPHAVDFPCPSSPWKKKLRS
+KDGEEKKKMLLDQDTSPLPSPLPRTKSRKHTQALRKLREVNKRLQDLRSCLSPKQSQGQD
+HLSQEDEVVLVEGPSLPENSRLLPLKIRCRADLVRLPIRMSEPLKSVVDHMAARLGVSPS
+RILLLLGETELSPTATPRALKLGVADIIDCVVLASSPEAAETSPLLQLRVQGKEKHQTLE
+VSLPPDSPLKTLMSRYEEAMGLSGHKLSFFFDGTKLSGKELPADLGMESGDLIEVWG
+>tr|C9EH46|C9EH46_BOVIN Growth arrest specific 7 OS=Bos taurus OX=9913 GN=GAS7 PE=2 SV=1
+MVPPPPGEESQTVVLPPGWQSYLSPQGRRYYVNTATNETTWERPSSSSGIPASPGPHRSS
+LPPTVNGYHTSGTPAHPPETAHMSVRKSTGDSQNLGSSSPSKKQSKENTITINCVTFPHP
+DTMPEQQLLKPTEWSYCDYFWADKKDSQGNGTVAGFELLLQKQLKGKQMQKEMSEFIRER
+IKIEEEYAKNLAKLSQNSLAAQEEGSLGEAWAQVKKSLADEAEVHLKFSAKLHSEVEKPL
+MNFRENFKKDMKKCDHHIADLRKQLASRYAAVEKARKALTERQRDLEMKTQQLEIKLSNK
+TEEDIKKARRKSTQAGDDLMRCVDLYNQAQSKWFEEMVTTTLELERLEVERVEMIRQHLC
+QYTQLRHETDMFNQSTVEPVDQLLRKVDPAKDRELWVREHKTGNIRPVDMEI
+>tr|A6QPP8|A6QPP8_BOVIN TMCC2 protein OS=Bos taurus OX=9913 GN=TMCC2 PE=2 SV=1
+MKSKEEEKAVDKGDFLALNLAGGPGHGDADGPISLDVPDGAPDPQRTKAAIEHLHQKILK
+ITEQIKIEQEARDDNVAEYLKLANNADKQQASRIKQVFEKKNQKSAQTIAQLHKKLEHYR
+RRLREIEQNGPSRQPKDVLRDMQQGLKDVGANVRAGISGFGGGVVEGVKGSLSGLSQATH
+SAVVSKPREFASLIRNKFGSADNIAHLKDPLDDGPPEEAARALSGSATLVSSPKYASDDE
+CSSASASSAGAGSNSGAGPAAAAPGSPKSGQLYGAPGNVDAVLEELREIKEGQSHLEDSM
+EDLKAQLQRDYTYMTQCLQEERYRYERLEEQLNDLTELHQNEMTNLKQELASMEEKVAYQ
+SYERARDIQEAVESCLTRVTKLELQQQQQQVVQLEGVENANARALLGKFINVILALMAVL
+LVFVSTVASFITPLMKTRLRVSSTALLALVLLLLWKHWDSLTYLLEHVLLPS
+>tr|A4FV89|A4FV89_BOVIN KCNF1 protein OS=Bos taurus OX=9913 GN=KCNF1 PE=2 SV=1
+MDGTGERSLPEPGSQDSRADDDIEIVVNVGGVRQVLYGDLLSRYPETRLAELIHCLAGGY
+DTIFSLCDDYDPGKREFYFDRDPDAFKCVIEVYYFGEVHMKKGICPICFKNEMDFWKVDL
+KFLDDCCKSHLSEKREELEEIARRVQLILDDLGVDTAEGRWQRCQKCVWKFLEKPESSCP
+ARVVAVLSFLLILVSSVVMCMGTIPELQVLDAEGNRVEHPTLENVETACIGWFTLEYLLR
+LFSSPNKLHFALSFMNIVDVLAILPFYVSLTLTHLGARMMELTNVQQAVQALRIMRIARI
+FKLARHSSGLQTLTYALKRSFKELGLLLMYLAVGIFVFSALGYTMEQSHPETLFKSIPQS
+FWWAIITMTTVGYGDIYPKTTLGKLNAAISFLCGVIAIALPIHPIINNFVRYYNKQRVLE
+TAARHELELMELNSGTAGEGKAGGSRGDLDHLPPPEPAGKEDPSWSSRLKLSHSDTFIPL
+LTEEKHHRTRLQSCK
+>tr|A5D982|A5D982_BOVIN Tyrosine-protein phosphatase non-receptor type OS=Bos taurus OX=9913 GN=PTPN2 PE=2 SV=1
+MSAAIEREFEELDAQNRWQQLYLEIRSESHDYPHRVAKFPENRNRNRYRDVSPYDHSRVK
+LQNAENDYINASLVDIEEAQRSYILTQGPLPNTGCHFWLMVWQQKTKAVVMLNRIVEKDS
+VKCAQYWPTKDDREMLFKETGFSVKFLSEDVKSYYTVHLLQLGNTRTGETRTISHFHYTT
+WPDFGVPESPASFLNFLFKVRESGSLNPEHGPAVIHCSAGIGRSGTFSLVDSCLVLIEKG
+DNINIKQLLLNMRKYRMGLIQTPDQLRFSYMTIIEGAKFIKGDSSIQKRWKELSKEDVCP
+VFDHSPTKIMTEKYNGNRIGLEEEKLTGDRYSGLSSKTQEAPEESSESALRKRLREDRKA
+STAQKVQQMKQRLSETERKRKRPRLTDT
+>tr|Q5EA32|Q5EA32_BOVIN Transient receptor potential cation channel subfamily V member 2 OS=Bos taurus OX=9913 GN=TRPV2 PE=2 SV=1
+MTTPSSSPAFRLETSDGGDEDGAQGDTGNGGRSSEPPPMESRFQSEDRNSSPQIRVNLNF
+RRAAGASQPDPNRFGRDRLFSAVARGAPEDLAGLPEYLRRTSKYLTDSEYREGSTGKTCL
+MKALLNLRDGANACIEPLLQIDRDSGNPHPLVNAQCMDEYYRGHSALHIAIEKRSLVCVK
+LLVENGADVHARACGQFFQKRREETCFYFGELPLSLAACTQQWDVVTYLLKNTHQPASLQ
+ATDSLGNTVLHALVMIADNSPENSMLVTHMYDRLLQVGGLQLEDMTNLQGLTPLKLAAKE
+GKIEIFRHILQRELPEPYQSLSRKFTEWSYGPVRVSLYDLASVDSWEENSVLEIIAFHSR
+SPLRHRMVVLEPLNKLLKAKSNLLIPRFLFNFLCYLTYMLIFTAVTYYQPALEKDFLPLE
+VTAGNTMLLLGHVLLLLGGVNLLMGQLWYFWRRRLFIWISFMDSYFEILFLVQALLTVLS
+QVLRFLAVKGYLPLLVCSLVLGWLNLLYYMRGFQYTGIYSVMIQKVILRDLLRFLGVYLV
+FLFGFAVALVSLSREPQDTGAPAGSNTTEVAGKEDKEAPYRGILDTSLELFKFTIGMGEL
+AFQDQLHFRGVVLLLLLAYVLLTYVLLLNMLIALMSETVNSVATDSWSIWKLQKAISVLE
+MEKGYWWCRRKQQRAGVKLTVGSRPDGSPDERWCFRVEEVNWAAWEQTLPTVFEEPSGRG
+GPGAITSPALASQSSQDSAVEEDHVPLQPLESH
+>tr|A5D7T8|A5D7T8_BOVIN CMTM3 protein OS=Bos taurus OX=9913 GN=CMTM3 PE=2 SV=1
+MWPPDPEPDPDPEPAGRTQPSAALPGLRALLPARAFLCSLKGRLLLAETGLSFIIFVCYV
+ASSASAFLTAPLLEFLLALCFLFADAMQLNDKWQGLCWPMMDFLRCVTAALIYFAISITA
+VAKYPDGASKAAGVFGFFATIVFAIDFYLIFNDVAKFLKQEDSAQETTADKAEEEDSDSD
+SD
+>tr|F1MFE8|F1MFE8_BOVIN Vang-like protein OS=Bos taurus OX=9913 GN=VANGL2 PE=3 SV=2
+MDTESQYSGYSYKSGHSRSSRKHRDRRDRHRSKSRDGSRGDKSVTIQAPGEPLLDNESTR
+GDERDDNWGETTTVVTGTSEHSISHDDLTRIAKDMEDSVPLDCSRHLGVAAGATLALLSF
+LTPLAFLLLPPLLWREELEPCGTACEGLFISVAFKLLILLLGSWALFFRRPKASLPRVFV
+LRALLMVLVFLLVVSYWLFYGVRILDARERSYQGVVQFAVSLVDALLFVHYLAVVLLELR
+QLQPQFTLKVVRSTDGASRFYNVGHLSIQRVAVWILEKYYHDFPVYNPALLNLPKSVLAK
+KVSGFKVYSLGEENSTNNSTGQSRAVIAAAARRRDNSHNEYYYEEAEHERRVRKRRARLV
+VAVEEAFTHIKRLQEEEQKNPREVMDPREAAQAIFASMARAMQKYLRTTKQQPYHTMESI
+LQHLEFCITHDMTPKAFLERYLAAGPTIQYHKERWLAKQWTLVSEEPVTNGLKDGIVFLL
+KRQDFSLVVSTKKVPFFKLSEEFVDPKSHKFVMRLQSETSV
+>tr|A0A3Q1LS79|A0A3Q1LS79_BOVIN Zinc finger protein 12 OS=Bos taurus OX=9913 GN=ZNF12 PE=4 SV=1
+MNQSLGSVSFRDVAVDFTQEEWQHLDPEQKTTYRDVMLENYSHLISVGCHIIKPEVITKL
+EQGEEPWVVEGEFLLQSHPEEVWKVHDLMEGSQENEDKHSRQTESINNKTLPEGRGNGLG
+KTCNVETSPVSSRKISYQCDSCEKSLKSISEYISSDGSYARMKPDECSACGRSLLHVKLE
+KIHLGDKPYEFNQNREAYALNEESIYQNIHILEKPFEYIECQKAFQKDTVFVNHIEEKPY
+KWNESEIAFLQMSNLSVHPTTHLEMKPYECNACGKSFCKKSKFIIHQRTHTGEKPYECNQ
+CGKSFCQKGTLTVHQRTHTGEKPYECTECGKTFYQKLHLIQHQRTHSGEKPYECSYCGKA
+FCQKTHLTQHQRTHSGERPYVCHDCGKTFSQKSALNDHQKIHTGVKLYKCNECGKCFCRK
+STLTTHQRTHTGEKPYECNECGKFFSRLSYLTVHYRTHSGEKPYECNECGKTFYLNSALM
+RHQRVHTGEKPYECNECGKLFSQLSYLTIHHRTHSGVKPYECNECGKTFYQNSALCRHRR
+IHKGEKPYECYICGKFFSQMSYLTIHHRIHSGEKPYECNECGKTFCQNSALNRHQRTHTG
+EKAYECYECGKFFSQMSYLTIHHRIHSGEKPFECNECGKAFSRMSYLTVHYRTHSGEKPY
+ECTECGKKFYHKSAFNSHQRIHRRGNMNVLDVGRLL
+>tr|A5PK97|A5PK97_BOVIN HAPLN3 protein OS=Bos taurus OX=9913 GN=HAPLN3 PE=2 SV=1
+MGLRLPILLLLLCCVSGLPFYNGFYYSNRPNGRNLGNSYSEGIFNGVKLVVETPEETLFS
+HRGANVTLPCRYHYEPALASPRPVRIKWWKLSENGVPEQDVLVAIGLRQRTFGDYRGRVR
+LRQDRAREVSLEIRDLRLEDYGRYRCEVIDGLEDESGLVELELRGVVFPYQHPQGRYKFN
+FHEAQQACEEQDAVVASFEQLFRAWEEGLDWCNAGWLQDASVQYPVTQARRPCGGLGLAP
+GVRSYGPRHRRLHRYDVFCFAVALRGQVYYLEHPEKLTLAEAREACREDGAQIAKVGQLF
+AAWKFRGLDRCDAGWLADGSARYPVAHPRSNCGALEPGVRSFGFPDPHSRQYGVYCYRPR
+>tr|Q0VC37|Q0VC37_BOVIN DNAation factor, 45kDa, alpha polypeptide OS=Bos taurus OX=9913 GN=DFFA PE=1 SV=1
+MEVPGGPAAPEPGEIRALKQCLLRRNKSREQHGVAASCLKELRNKACDILAIDKSLAPVT
+LVLAEDGTIVDDDDYFLCLPANTKFVVLAGNEKWTHNSDGGTAWITQESFDRDETDSGAG
+LKWKNVARQLKEDLSSIILLSEEELQMLIDVPCSELAQELSQSHVAVQGLQNTLQQVLDQ
+REEARQSRQLLELYLRALEKEGGILSKQQESRADLGDESDAVDTGIRESFSEVTPASQIL
+LALKEKPAPELSLSSQDLELVAKEDPKALAVALNWDLKKTEAVQQACNQELRLRLQQVQS
+LRSLRSISARTSSLPGDMENPKRTRRDPM
+>tr|A6QQQ4|A6QQQ4_BOVIN FCER1A protein OS=Bos taurus OX=9913 GN=FCER1A PE=2 SV=1
+MPTIPMGAPALLWIALLLFSPDGMSAAIWKSKVSLNPPWRKILKGDAVTLTCGTNGSSED
+QSSLWIHNGTSFTTNNSRWHIVKARMQDSGEYQCRIKGFAISEPVYLNVISDWLIIQASA
+EVMMEGESLFLRCHSWKNLNVFKVIYYKDNKALKYWYENHNISITNVTEGNSGIYYCVGR
+IQRLPYISNKLKIIVKKPYPQSNYFWLQFLIPLLVVILFAVDTGLLISTQQQFTLLLKMK
+RTRKRNRFTDPQPKPDPPGN
+>tr|Q0VCI5|Q0VCI5_BOVIN Zinc finger E-box binding homeobox 2 OS=Bos taurus OX=9913 GN=ZEB2 PE=2 SV=1
+MKQPIMADGPRCKRRKQANPRRKNVVNYDNVVDTGSETDEEDKPQIAEEDGIANPLDQER
+SPASVPNHESSPHVSQALLPREEEEDEIREGGVEHAWHNSDILQASVDGPGECLKTLGLF
+LCRLKLSYLVEHPQPKH
+>tr|Q5E9F1|Q5E9F1_BOVIN B-cell receptor-associated protein 31 OS=Bos taurus OX=9913 GN=BCAP31 PE=2 SV=1
+MSLQWTAVATFLYAEVFAVLLLCIPFISPKRWQKIFKSRLVELVVTYGNTFFVVLIVILV
+LLVIDAVREIRKYDDVTEKVNLQNNPGAVEHFHMKLFRAQRNLYIAGFSLLLSFLLRRLV
+TLISQQATLLASNEAFKKQAESASDAAKKYMEENDLLKKEAGGVTKLGGRDSEVKVQEEN
+RSLKADLKRLKDELAVNKQKLEKAENEALAMRKQSKGLTKEYDRLLEEHTKLQAAVDGPS
+DKKEE
+>tr|Q32KQ8|Q32KQ8_BOVIN HRASLS5 protein OS=Bos taurus OX=9913 GN=HRASLS5 PE=2 SV=2
+MGLSPAARGEGGRRLPRFPRSRVRPALRTASTGPNGTQPAPGLPTRPRSGRGGRGASPHR
+AATSGPGAFRGRSPGPSRPSDLQKEESVGSQALDQLLPKKPQQGTIEQGRSSVQQGEKPV
+VSLESTPNQKRAEQNSTPKPGTAGRLMKQAAEDKPKPRPGDLIEIFRIGYEHWAIYVEDD
+CVVHLAPPSEDFEAGSITSVFSNRAVVKYSHLEDVLHGCSWKVNNKLDGTYLPLPVDKII
+QRTKKMINKIVQYSLIEGNCEHFVNDLRYGVPRSQQVEHVLMEGAKAAGAVLSAVVDSIR
+PKPETA
+>tr|F1MW33|F1MW33_BOVIN Cell adhesion molecule L1 like OS=Bos taurus OX=9913 GN=CHL1 PE=4 SV=3
+MEVVLSGRGLITSLIFFLLKFSTAIDIPLSVQQVPTIVKQSTVQVAFPFDEYFQIECVAK
+GNPEPKFLWNKDDKPFNLSDPRIIVSNNGGTFRIPNDGHISHFQGKYRCFASNKLGIAMS
+EEIEFIVPNAPKFPKEKIDPLEVEEGDPIVLPCNPPKGLPPLHIYWMNIELEHIEQDERV
+YMSQKGDLYFANVEEKDSRNDYCCFAAFPRLRTIVQKMPMKLTVNSLKHVNDSSSSTEII
+SKANSIKQRKPRLLLPPPESGSESFVTILKGDTLLLECFAEGLPTPQVDWNKMGGDLPKG
+RETKENYGKTLKIENVSSQDKGTYRCTASNVLGSATHDFQVTVEEPPHWTKKPQSGVYST
+GSSGILLCEAEGEPEPMIKWRVNGLPIERNPYSGDVMSPGEISFTNVQPNHTAVYQCEAT
+NVHGTILANANIDVLDVRPLIQTADEENYATVVGYSAFLHCEFFASPEAIVSWQKVEEAK
+PLDGRRYHVHENGTLQITETTEEDAGSYSCWVENAKGKTAVTANLDIRNATSLRVSPKNP
+RVPKSHTLELHCESQCDSHLKYSLKLSWSKDGEAFEMNGTEDGRIIIDGANLTISNVTME
+DEGIYSCSAHTALDSVADMTQVTVLDVPDPPENLHLSERQNRSVRLTWEAGDDHNSNISE
+YIVEFEGNKEEPGRWEELTRVQGKETTVVLSLAPYVRYQFRVIAMNEVGRSQPSHPSGHH
+ETPPAAPDKNPQNIRVQASQPKEMIIKWEPLKAMEQNGPGLEYRVTWKPQGAPVEWEEET
+VTNHTLRVMTPAVYAPYDVQVQAINHLGSGPEPQSVILYSGEDYPDAAPVIQGVDVINST
+LVKVTWSTIPKERVHGHLKGYQVNWWKTKSLLDGRTHPKEVNILRFSGQRDYGMVPSLDA
+FSEFHLTVLAYNSKGAGPESEPFIFQTPEGVPEQPTFLKVIKVDKDTATLSWGLPKKLNG
+NLTGYLLQYQIINDTDEIGELNDINITTPSKPSWRLLNLSATTKYKFYLRACTSKGCGKP
+ITEESATLGEGSKSVGKISEGVNLTQKIHPVEAFEPGAEHVVRLLTKNWVDNNSIFEDVI
+ETRGREYAGLYDDISTQGWFIGLMCAIALLTLILLTVCFVKRNKGGKYSVKEKEDLHPDP
+EVQSAKDETFGEYSDSDEKPLKGSLRSLNRDMQATESADSLVEYGEGDHGLFNEDGSFIG
+AYAGSKEKGSVESNGSSTATFPLRA
+>tr|F1MNT9|F1MNT9_BOVIN Activating signal cointegrator 1 complex subunit 2 OS=Bos taurus OX=9913 GN=ASCC2 PE=4 SV=1
+MPALPLDRLQVTHEDLKTGKLRTSPALHPEQKADRYFVLYKPPPKDNIPALVEEYLERAT
+FVANDLDWLLALPHDKFWCQVIFDETLQKCLDSYLRYVPRKFDEWVAPAPEVVDMQRRLH
+RSVFLTFLRMSTHKESKDHFISPSAFGEILYNNFLFDIPKILDLCVLFGKGNSPLLQKMI
+GNIFIQQPSYYNDLDETMPTILQVFSNILQHCGLRGDGASATPQKLEERGRLTPSDMPLP
+ELKDVVLYLCDTCTTLWAFLDIFPLACPTFQKHDFCYRLASFYEIAVPELESAIKKRRLE
+DSKLLGDLWQRLSHSKKKLLEILHILLNQTCLLPVLENSCDNIQGFIEDFLQIFSSLLQE
+KRFLRDYDSLFPVADDVSLLEQASSALDETRTAYILQAVESAWEGVDRKKATRARDSATA
+ENPNGVGAEAEVLSGPSSLPQEEKCLGAAAAPGPTVCGVELDSLISQVKDLLPDLGEGFI
+LACLEHYSYEPEQVINNILEGRLAPTLSQLDRGLDRQVKPDPTPLLTSRHNVFQNDEFDV
+FSRDSVDLSRVHKGRRKEESARSLLNDKREVQAQRQRFEQYSVVVEEVPLQPGEGSPYRG
+DDYEDEYDDTYDGNQVGANDADSDDELISRRPFTIPQVLRTRVPGEGQEDEEEEEEEATV
+EAPKPDHFVQDPAVLREKAEARRVAFLARKGYRHDSSTVVAGSPRGHGQSRETMQERRKK
+EASKATRANHNRRTMADRKRSKGMIPS
+>tr|E1BKB4|E1BKB4_BOVIN Tubulin tyrosine ligase like 4 OS=Bos taurus OX=9913 GN=TTLL4 PE=4 SV=2
+MWAPHGLSKNRALRYQPPPGTQLEAEWAHGHSTYPVTEKPSEGKVWAQAHQQVKPIWRLE
+KKHVGTLSAGLGPGLLGAPPQPAYFFCPSTLCSSGTTAVTAGHSNCCYLHSLPDLFSSTL
+LYRRSNYRHKAYQQLESFCLRSSPSEKRPFSLPQKRLPVRLPANKATSSKLIPMASSSTE
+PYSSLGAAGESLSGRSLASAISGKTPSPLPSSSSSYKPMLNNNSFMRPNSTKVPLSQATE
+GLKPVSSPKVQLISWQHSGGTGDCAFQSVEHKAPKSSGPALDDAPPHNMLSTPSSLDTSA
+TSVASSFYSQSNLVTRTQSYPYGLKGDPVSQTLTKEVRFTEAVRKLTARGLEKKPRQGYH
+FEQTYFMNPSLQWDLLNQNRRWKPPVASQQVPQEDAGADNRALPAVSDPGGLDSAVFCTK
+RISIHLLASHTNGLSYSPACGSTIDSPLLGEDKTPVPPSPSQPLGVAEVATRLSSIHLGK
+LGREGPKEARELNSPPRTVGSATDLQLDPVETEDLEEELLDGLEDCCSQVENEEEEGDSE
+CSSCSAVSPSESVAVISRNCMEFLTKPLSNEKVVRPALIYSLFPNVPPTIYFGTPDERVE
+KLPWEQRKLLRWKMSTVTPNIVKQTIGRSHFKISKRNDDWLGCWGHHMKSPSFRSIQEHQ
+KLNHFPGSFQIGRKDRLWRNLSRMQSRFGKKEFSFFPQSFILPQDAKLLRKAWESGSRQK
+WIVKPPASARGIGIQVIHKWSQLPKRRPLLVQRYLHKPYLISGSKFDLRIYVYVTSYDPL
+RIYLFSDGLVRFASCKYSPSMKSLGNKFMHLTNYSVNKKNAEYQANEDETACQGHKWALK
+ALWSYLSQKGVNSDAIWEKIKDVVVKTIISSEPYVTSLLKMYVRRPYSCHELFGFDVMLD
+EKLKPWVLEVNISPSLHSNSPLDISIKGQMIRDLLNLAGFVLPNADDIASSSSSSSSSTT
+SLPSSPRDRCRVAPEYFTAQKMKKAYYLTQKIPDQDFYASVLDVLTPDDVRVLVEMEDEF
+SRRGQFERIFPSRISSRYLRFFEQPRYFNILTTQWEQKYHGNKLKGVDLLRSWCYKGFHT
+GAISDSAPVWTLPASVLTVPKADATLSDFSKPETVKLRKHGSTERNIPLSEDGTMPKLKK
+TQAGLSSPPRKLSSSEDSEDTSKEPSLSTQLLPVIKFSGQTSRLSASTSSQSAGGSLLAA
+VSL
+>tr|A0A3Q1LQ11|A0A3Q1LQ11_BOVIN Interferon regulatory factor OS=Bos taurus OX=9913 GN=IRF2 PE=3 SV=1
+MPVERMRMRPWLEEQINSNMIPGLKWLSKEKKIFQIPWMHAARHGWDVEKDAPLFRNWAI
+HTGKHQPGIDKPDPKTWKANFRCAMNSLPDIEEVKDKSIKKGNNAFRVYRMLPMSERPSK
+KGKKPKTEKEDRVKHIKQEPIESPLGLSNGVSDLSPEYAVLTSTIKTEVDSTVNIIVVGQ
+SHLDGTYEDQEIVANPPDICQVVEVTTESDEQPVSMSELYPLQISPVSSYAESETTDSVP
+SDEESAEGRPHWRKRSVEGKQYLSNMGPRNTYLLPSMATFVTSNKPDLQVTIKEESCPVP
+YNSSWPPFPDLPITAPMSPTPSSSRPDRETRASVIKKTSDITQARVKSY
+>tr|A0A3Q1MB48|A0A3Q1MB48_BOVIN Dehydrogenase/reductase SDR family member 7B OS=Bos taurus OX=9913 GN=DHRS7B PE=3 SV=1
+MDFITSTAILPLLLGCVGLFSLFKLLQWLRMRAYVRNAVVVITGATSGLGRECARVFHAA
+GARLVLCGRNAEALEELSQELAASRAPEVQTHKPCTVTFDLADPGAIAGAASEILQCFGH
+VDVLINNAGISYRGAIVDTSPDVDKRVMETNYFGPVALTKALLPAMIRRRQGHVVAISSI
+QGKISLPFRSAYAASKHATQAFFDCLRAEVEQHDIEVTVISPGYIHTNLSLNAVTADGSK
+YGVMDETTAQGRSPVQVAQDILAALGKKKKDVVLADPMPSLAVYLRTLAPGLFFRLMASR
+ARKERKSKHS
+>tr|A0A3Q1MAB6|A0A3Q1MAB6_BOVIN Exportin-T OS=Bos taurus OX=9913 GN=XPOT PE=3 SV=1
+MDEQALLGLNPNADSDFRQRALAYFEQLKISPDAWQVCAEALAQRTYSDDHIKFFCFQVL
+EHQVKYKYSELTTVQQQLIRETLVSWLQAQMLNPQPEKTFIRNKAAQVFALLFVTEYLTK
+WPKFFFDILSVVDLNPRGVDLYLRILMAIDSELVDRDVVHTSEEARRNTLIKDTMREQCI
+PNLVESWYQILQNYQYTNSEVTCQCLEVVGAYVSWIDLSLIANDRFINMLLGHMSIEVLR
+EEACDCLFEIVNKGMDPVDKMKLVESLCQVLQSAGFFSIDREEDVDFLARFSKLVNGMGQ
+SLIVSWTKLIKNGDIKNAQEALQAIETKVALMLQLLIHEDDDISSNIIGFCYDYLHILKQ
+LTVLSDRQKANVETIMLAVMKKLTYDEEYNFENEGEDEAMFVEYRKQLKLLLDRLAQVSP
+ELLLASVRRVFSSTLQNWQTTRFMEVEVAIRLLYMLAEALPVSHGAHFSGDVSKASALQD
+MMRTLVTSGVSSYQHTSVTLEFFETVVRYEKFFTVEPQHIPCVLMAFLDHRGLRHSSAKV
+RSRTAYLFSRFVKSLNKQMNPFIEDILNRIQDLLELSPPENGYQSLLSSDDQLFIYETAG
+VLIVNSEYPAERKQALMRNLLTPLMEKFKILLEKLMLAQDEERQASLADCLNHAVGFASR
+TSKAFSNKQTVKQCGCSEVYLDCLQTFLPALSCPLQKDILRSGVRTFLHRMIICLEEEVL
+PFIPSASEHMLKDCEAKDLQEFIPLINQITAKFKIQVSPFLQQMFMPLLHAIFEVLLRPA
+EENDQSAALEKQMLRRSYFAFLQTVTGSGMSEVIANQGAENVERVLVTVIQGAVEYPDPI
+AQKTCFIILSKLVELWGGKDGPVGFADFVYKHIVPACFLAPLKQTFDLADAQTVLALSEC
+AVTLKTIHLKRGPECVQYLQQEYLPSLQVAPEIIQEFCQALQQPDAKVFKNYLKVFFQRA
+KP
+>tr|A6H740|A6H740_BOVIN PAQR4 protein OS=Bos taurus OX=9913 GN=PAQR4 PE=2 SV=1
+MAFLAGPRLLDWASSPPHLQFNKFVLTGYRPASSGSGCLRSLFYMHNELGNIYTHGLALL
+GFLVLLPMTMPWGQLGEDGWLWGTHCVACLAPPAGSVLYHLFMCHRGGSPVYTRLLALDM
+CGVCLINTLGALPIIHCTLACRPWLRPAALLGYTLLSGVAGWRALTAPSTSARLRAFGWQ
+AAARLLVFGARGAGLGSGAPGSLRCYLRMDALALLGGLVNVARLPERWGPGRFDYWGNSH
+QIMHLLSVGSILQLHAGVVPDLLWAARHTCLPD
+>tr|A4IFR4|A4IFR4_BOVIN ZNF689 protein OS=Bos taurus OX=9913 GN=ZNF689 PE=2 SV=1
+MARPSAPLPARGPGEARPGRRRGRRPRAVKFGDVALYFSREEWGCLRPAQRALYRDVMQE
+TYGHLGALGCAGPKPALISWLERNTDDWETAALDPQECPKGVAVQRKTRKKNEEKEVFPP
+KEAPRKGKRGRRPSKPRLIPRQTSGSPICPDCGCTFPDHPALQRHKCAQNLKKPYPCPDC
+GRRFSYPSLLVSHRRAHSGECPYVCDQCGKRFSQRKNLSQHQVIHTGEKPYHCPDCGRCF
+RRSRSLANHRTTHTGEKPHQCPSCGRRFAYPSLLAIHQRTHTGEKPYPCLECNRRFRQRT
+ALVIHQRIHTGEKPYPCPDCERRFSSSSRLVSHQRVHSGERPYACEHCEARFSQRSTLLQ
+HQLLHTGEKPYPCPDCGRAFRRSGSLAIHRSTHTKEKEKLHACDDCGRRFAYPSLLASHR
+RVHSGERPYACDLCSKRFAQWSHLAQHQLLHTGEKPFPCLECGRCFRQRWSLAVHKCIPG
+VQNCGPRSAIGAPSQKGQAL
+>tr|Q3SX02|Q3SX02_BOVIN HIV-1 Tat interactive protein 2 OS=Bos taurus OX=9913 GN=HTATIP2 PE=2 SV=1
+MAETEALPKLREDFRMQNKSVFILGASGETGRVLLKEILEQGLFSKVTLIGRRKLTLDEE
+AYKNVNQEVVDFEKLDDYASAFQGHDVGFCCLGTTRKKAGAEGFVRVDRDYVLKSAELAK
+SGGCKHFNLLSSKGADKSSNFLYLQVKGEVEARVEELKFDRYSIFRPGVLLCDRQESRPG
+EWLVRKFFGSLPESWASGHSVPVITVVRAMLNNVVRPSDKKKELLDNKAIHDLGKADDSL
+KP
+>tr|A6QLU0|A6QLU0_BOVIN PAQR8 protein OS=Bos taurus OX=9913 GN=PAQR8 PE=2 SV=1
+MTTAILERLSTLSVSGQHLRRLPKILEDGLPKMPGTVPETDVPQLFREPYIRAGYRPTGH
+EWRYYFFSLFQKHNEVVNVWTHLLAALAVLLRFWAFVEAEGLPWASAYTLPLLVYVLSSI
+TYLTFSLLAHLLQSKSELSHYTFYFVDYVGVSVYQYGSALVHFFYTSDQAWYEHFWLLFL
+PAAAFCGWLSCAGCCYAKYRYRRPYPVMRKICQVVPSGLAYILDISPVVHRVVLCHLSGC
+QDPAAWYHTLQIIFFLVSAYFFSCPVPEKYFPGSCDIVGHGHQIFHTFLSVCTLSQLEAI
+LLDYKGRQDIYLPRHSPLSIYLACLSFFLVVGCSAGTAAFLRQKIKARLTKKDS
+>tr|F1N6A0|F1N6A0_BOVIN Isochorismatase domain-containing protein 2 OS=Bos taurus OX=9913 GN=ISOC2 PE=4 SV=1
+MAAARPALGRVLPGSSMLFLCDMQEKFRHVVYFRQIVSVAARMLKVARLLSVPTVLTEQY
+PQGLGPTVPELGAQGLQPYSKTCFSMVPAVQQELDARPQLRSVLLCGVETQACILQTALD
+LLDRGLQVHVVVDACTSRSQVDRLVALSRLRQSGAFLSTSEGLIFQLVGDATHPQFKEIQ
+KLVKEPSPDSGLLGLFQDQNPLFR
+>tr|A7YY72|A7YY72_BOVIN SLC29A2 protein OS=Bos taurus OX=9913 GN=SLC29A2 PE=2 SV=1
+MARGDAPRDSYHLVGISFFILGLGTLLPWNFFITAIPYFQGRLAGANSTAETLGTNHTSS
+ADTFNFNNWVTLLSQLPLLLFTLLNSFLYQCIPETVRILGSLLIILFLFTLTAVLVKVDM
+SPGLFFSITMASVWFINSFGAVLQGSLFGQLGTMPSKYSTIFLSGQGLAGIFAALAMLIS
+MASGVDAQTSALGYFITPCVGTVMSIVCYLSLPHLKFARYYLAKKPSKAHGQELETKAEL
+LQSDEKNGIPNSPQRAALTLDLDLEKETEVEPEEPQKPEKPSVFIVLRKIWLTALCLVLV
+FTVTLSVFPAITAMVTSSTGPGKWSQFFNPICCFLLFNVMDCLGRSLTSYFLWPDEDSRL
+LPLLVCLRVLFVPLFMLCHVPERSRLPILFPQDAYFITFMLLFAVSNGYLVSLTMCLAPR
+QVLPQEREVTGTLMTFFLALGLSCGASLSFLFKALL
+>tr|Q29RS3|Q29RS3_BOVIN IQ motif containing B1 OS=Bos taurus OX=9913 GN=IQCB1 PE=2 SV=1
+MKPTGTDPRILSLAAEVAKSPEQNVPVILLKLKEIINNTPLGSSELKKIKQDIYCYDLIQ
+YCLLVLSQDCSRIQGGWTTVSQLTQILSHCCVGLEPGEDAEEFYNELLPSAAENFLVLGR
+RLQTCFINAAKGEEKDALLHFFEVVTDSLFWLLGGHVQLIQNVLKSDHFLRLLQTDSVQI
+GSMVMTLLQNILQINSGDLLRIEGKILHSILDEVVFKLLSTPSPVIRGTATKLLLLMAES
+HQEILILLRLSACYKGLRSLLNKQEPGTEFSQELRQLIGLLSPKACQEVKDQKQHKAACL
+IQAYWKGFQTRKRLKKLPSAVITLQRSFRSKRTKMLMKLSRQKEEEDHRLQLQIQRQRAM
+RLSREIRLNMLEIVHPGQVEKHNREIEEKSALIIQKHWKGYRERKNFRQQRPSLTEYKAA
+VILQRATLKFLAKCRKKKKLFAPWPGLRELTDARRIELKQQVDDYIRRHPGSQISDVTSR
+ELHSQAQERLQCYFMGRALEERSQQHREALMAQISTNIEQLMKAPSLKEAEGKDPELFLS
+RSRPVAAKAKQAHLTTLKHIQAPWWKKLGEEAGDDIDIPKDELSVELGTLFIGGTKPP
+>tr|Q0VC32|Q0VC32_BOVIN NR2C2AP protein OS=Bos taurus OX=9913 GN=NR2C2AP PE=2 SV=1
+MAHSLVCPETVSRVSSVLNRNTRQFGKKHLFDQDEETCWNSDQGPSQWVTLEFPQCICVS
+QLQIQFQGGFSSRQGRLEGTGKPWKVGD
+>tr|E1BCR1|E1BCR1_BOVIN ATP binding cassette subfamily A member 3 OS=Bos taurus OX=9913 GN=ABCA3 PE=4 SV=1
+MAVLRQLALLLWKNYTLQKRKVLVTLLELFLPLLFSGILIWLRLKIQSENVPNATLYPSQ
+SIRELPLFFSFPPPGATWELAYIPSQSEAVRTVVESVQRALVINLRARGFTSEKDFEDYI
+RYDNRSTNVLAALVFEHTFNHSRDPLPLAVKYHLRFSYTRRNYMWTQTGSFFLKETEGWH
+TTSLFPLFPNPGPREPTSPDGGEPGYIREGFLAVQHAVDRAIMHHHANASAHQLFEKLTV
+IAKRFPYPPFISDPFLVAIQYQLPLLLMLSFTYTSLSIIRAIVQEKEKKLKEYMRMMGLS
+SWLLWTAWFLLFFLLLLVAVSFMTLLFCVKVKKDVAVLAHSDPTLVLVFLACFAVSSISF
+SFMVSTFFSKANMAAAIGGFLYFFSYIPYFFVAPRYNWMTLSQKLFSCLLSNVAMAMGAQ
+LIGKFEAKGTGIQWRDLLSPVNVDDDFTFGQVLGMLLLDSVLYGLVAWYVEAVLPGQFGV
+PQPWYFFILPSYWCGRPRTALGKEEEDDDPEKVLRTEYFEAEPEDLVAGIKIKHVSKVFR
+VGNKGKAAVRDLNLNLYEGQITVLLGHNGAGKTTTLSMLTGLFPPTSGRAYISGYEVSQD
+MDQIRKSLGLCPQHDVLFDDLTVAEHLYFYAQLKGLPRQKCPEEVKRMLHVLGLEEKRDS
+RSRFLSGGMRRKLSIGIALIAGSKVLMLDEPTSGVDAISRRAIWDLLQQHKSDRTILLTT
+HFMDEADLLGDRIAIMAKGELQCCGSSLFLKEKYGAGYHMTLVKEPHCNPEGISRLVQHH
+VPNATLESSAGAELSFILPKESTHRFESLFAKLEKKQKELGIASFGASVTTMEEVFLRVG
+KLVDSSMDIQAIQLPALQYQHERRASDWAVDGHLCGTMDPTDGVGALIEEECTAVRLNTG
+LALHCQQFWAMFLKKATYSWREWKVAAAQVLVPVTCLTLALLAINYSSEIFDDPLLKLTL
+GEYGHTVVPFSVPGTSRLDQQLSEHLKDMLQAEGQEPREVLGDLEEFLIFRASVEGGGFN
+ERCLVAASFRDTGERTVITALFNNQAYHSPATVLAVVDNLLFKLLCGPRASITVSNFPQP
+RSALQAAKDQFNEGRKGFDIALNLLFAMAFLASTFSILAVSERAIQAKHVQFVSGVHVAI
+FWLSALLWDLLSFLIPSLLLLVVFKAFDVHAFTRDGHVADALLLLTLYGWAIIPLMYLMS
+FFFSGAATAYTRLTIFNILSGIATFLVVTIMRIPAVKLEELSRTLDRVFLVLPNHCLGMA
+VSNFYENYETRRYCTSSDVAAHYCRKYNIQYQENFYAWSTPGVGRFVTSMAASGFAYLTL
+LFLVEADLLWRLKTCLCAFQRRRALTEVYTRTAALPEDQDVMDERNRILSPSLDSLLDTP
+LIIKELSKVYEQRAPLLAVDKVSLAVQKGECFGLLGFNGAGKTTTFKMLTGEETITSGDA
+FVGGYSISSDIGKVRQRIGYCPQFDALLDHMTGRETLVMFARLRGIPERHIGACVENTLR
+GLLLEPHANKLVRMYSGGNKRKLSTGIALLGEPAVIFLDEPSTGMDPVARRLLWDTVARA
+RESGKAIVITSHSMEECEALCTRLAIMVQGQFKCLGSPQHLKSKFGSGYSLRAKIRSDGQ
+QEALEEFKAFVGLTFPGSVLEDEHQGMVHYHLPGDDLSWAKVFGVLEKATEKYGVDDYSV
+SQTSLEQVFLSFAHVQPPTEDAGP
+>tr|Q2ABB9|Q2ABB9_BOVIN Taste receptor type 2 OS=Bos taurus OX=9913 GN=T2R12 PE=3 SV=1
+MERTLNNILTIIYAGEFLLGILGNGFIVLVNCIDWIRSRKFSLIDFILTCLAISRICVLC
+IMISSTGLYVISKEIRYNKNLLINLRFLWTGSNYFSIVCTTCISVFYLLRIANFSNFLFL
+WMKWRIHKVLLIIALGAVFSFCLCLLQKDAVVESRLQNQVNSENNVTLDFLMIKYDLFLT
+IMFLIPFVVSLASFLLLILSLCGHLRRMNGVDCSSEAHVRALKAMISFLLLFVLYYLSTI
+ITVWANHILGSFVAKIFVNMLLFFCPSGHTLLLILWNSKLKQASLCVLRKLKGYMNLRKP
+ALPKRSLKR
+>tr|A5D7I3|A5D7I3_BOVIN BUD13 homolog OS=Bos taurus OX=9913 GN=BUD13 PE=2 SV=1
+MAAAPPLSKAEYLKRYLSGADGGVDGGPESGRKRRKKRPKPSGAGGKGMRIVDDDVSWTS
+ISTTKAEKEEEEDDGDLPVVAEFVDERPEEVKQMEAFRSSAKWKLLGSHSEDTPSHRQFC
+HDTPDSSPPRKVRHDTPDPSSPRRVRHDTPDPSPPRRVRHDTPDPSPPRKVRHDTPDSSP
+PRKARHDTPDSSPPRKIRHDTLDSAPPRKVRHDTPDPSPPRRTRHDTPDSSPPRRTYHSS
+SDLSPARRARNCSPDTAQPRRTLDSVDRSQLRKAHHNSSDLAANVPHSLPRTKSSEAPES
+SSKTSPHCKGPGPSHPSLPKNSKYEYDSDLSPSRKKQATSHVGNKQHDSKGSSPTHRKHH
+TGSPPRKHHTLDSSSYPGDSRKTSDSDLSPPRHKQSSGPQDSDSDLSPPRNRPRHRSSDS
+DLSPPRRKQRAKSSDSDLSPPRRSQPLGKKAAHMYSGAKTGLVLTDIQREQQELKKRDQE
+TMAFEAEFQHAETVFRDKSGRKRNLKLERLEQRRKAEKDSKREELYAQWGKGLAQTRQQQ
+QNVEDAMKEMQKPLARYIDDEDLDRMLREQEREGDPMANFIKKNKAKENKNKKVRPRYSG
+PAPPPNRFNIWPGYRWDGVDRSNGFEQKRFARLASKKAVEELAYKWSVEDM
+>tr|E1BBD9|E1BBD9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC617499 PE=4 SV=3
+MPFLPLRLAVVCSSNQNRSMEAHNILSKRGFSILSFGTGTNMKLPGPARDRPNVYDFRAM
+YDDVYKDLLRKDKERYMQNGVLRMLERNMEKEMPRPERFQECKDLFDLILTCEERVYDQV
+VEDMNSGEQETCQPVHVINVNILDTNKEATLGAFLICDLCQCVQLMGDMEDRIGELLQAF
+EEKSGRSFLHTVCFY
+>tr|A0A3Q1MQG1|A0A3Q1MQG1_BOVIN SH2B adaptor protein 3 OS=Bos taurus OX=9913 GN=SH2B3 PE=4 SV=1
+MNGPTLQSSSASSASSASTSAAAAPSPRGWSEFCELHAVAAARELARQYWLFAREHPHHA
+PLRAELVSLQFTDLFQRYFCREVREGRAPGPPAPRAAPAAPARDYRETGRGSPAKAEAAP
+AEQGAGPGPAAPAPAPGLPKARSSEELAPPRPAATCSLRHLRHSLRHIFRHRSAGELPAG
+PVATNGEAGEAPARPGLARKLLPWSLAREPPPEALKEATLRYSLADEASMDSGARWQRGR
+LALRRTGPDGADRLLELFDPPKSSKSKLQAACSSIQEIRRCTRLEMPDNLYTFVLKVKDR
+TDIIFEVGDEQQLNSWMAELRACTGQGLETTDPEPHFPSATEPVGTADSPRGSTDSLNQG
+GSPGGLLDPACQKTDHFLSCYPWFHGPISRVKAAQLVQLQGPDAHGVFLVRQSETRRGEY
+VLTFNFQGIAKHLRLSLTERGQCRVQHLHFPSVVDMLHHFQRSPIPLECGAACDVRLSSY
+VVVVSQPPGVTLMPLDKGGGDQDLLLCPSSTCLRPDKTDSVVSLSVTCPPGSSNTVLFPF
+SLSRWDSELGLPHLSTSGCPRGLGPEGLPGRSSPPEQIFHLVPSPEELANSLRHLEPEPA
+SRARDSDYEMDSSSRSRLRAIDNQYTPL
+>tr|Q28090|Q28090_BOVIN CTLA-4 protein OS=Bos taurus OX=9913 GN=CTLA4 PE=1 SV=1
+MACSGFQSHGTWWTSRTWPCTALFFLVFIPVFSKGMNVTQPPVVLASSRGVASFSCEYES
+SGKADEVRVTVLREAGSQVTEVCAGTYMVEDELTFLDDSTCIGTSRGNKVNLTIQGLRAM
+DTGLYVCKVELMYPPPYYVGIGNGTQIYVIDPEPCPDSDFLLWILAAVSSGLFFYSFLIT
+AVSLSKMLKKRSPLTTGVYVKMPPTEPECEKQFQPYFIPIN
+>tr|Q17QV7|Q17QV7_BOVIN Sulfotransferase OS=Bos taurus OX=9913 GN=SULT4A1 PE=2 SV=1
+MAESEAETPSTPGEFESKYFEFHGVRLPPFCRGKMEEIANFPVRPSDVWIVTYPKSGTSL
+LQEVVYLVSQGADPDEIGLMNIDEQLPVLEYPQPGLDIIKELTSPRLIKSHLPYRFLPSD
+LHNGDSKVIYMARNPKDLVVSYYQFHRSLRTMSYRGTFQEFCRRFMNDKLGYGSWFEHVQ
+EFWEHHMDSNVLFLKYEDMHRDLVTMVEQLARFLGVSCDKAQLESLTEHCHQLVDQCCNA
+EALPVGRGRVGLWKDIFTVSMNEKFDLVYKQKMGKCDLTFDFYL
+>tr|Q2TBX8|Q2TBX8_BOVIN RABGEF1 protein OS=Bos taurus OX=9913 GN=RABGEF1 PE=2 SV=1
+MSLKSERRGIHVDQSELLCKKGCGYYGNPAWQGFCSKCWREEYHKARQKQIQEDWELAER
+LQREEEEAFASSQSSQGAQSLTFSKFEEKKTNEKTRKVTTVKKFFSASSRVGAKKEIQEA
+KAPSPSINRQTSIETDRVSKEFIEFLKTFHKTGQEIYKQTKLFLEAMHYKRDLSIEEQSE
+CTQDFYQNVAERMQTRGKVPPERVEKIMDQIEKYIMTRLYKYVFCPETTDDEKKDLAIQK
+RIRALHWVTPQMLCVPVNEEIPEVSDMVVKAITDIIEMDSKRVPRDKLACITKCSKHIFN
+AIKITKNEPASADDFLPTLIYIVLKGNPPRLQSNIQYITRFCNPSRLMTGEDGYYFTNLC
+CAVAFIEKLDAQSLNLSQEDFDRYMSGQTSPRKQESENWSPDACLGVKQMYKNLDLLSQL
+NERQERIMNEAKKLEKDLIDWTDGIAKEVQDIVEKYPLEIRPPNQSVAAIDSENVENDKL
+PPPLQPQVYAG
+>tr|A6QL98|A6QL98_BOVIN APLNR protein OS=Bos taurus OX=9913 GN=APLNR PE=2 SV=1
+MEEGGEYDGYYGADNQSECEYEDWQSSGALIPAIYMVVFVLGTAGNGLVLWTIFRSGRDR
+RRAADVFIASLAVADLTFVVTLPLWATYTYRDYDWPFGAFACKLSSYLIFVNMYASVFCL
+TGLSLDRYLAIVRPVANARLRPRVGGAVATAGLWALAGLLALPVLVFRATSTVLQAENAT
+KVQCYMDYSLVAGPDAEWAWEVGLGVSSTALGFAGPFAVMLTCYFCIGRTVAGHFGKERA
+RGLRKRRRLLAIIAVLVLTFALCWLPYHLVKTLYVLGSLLRWPCAFDLFLMNVFPYCTCV
+SYVNSCLNPFLYAFFDPRFRQACASVLCWGRRGCGGAWRAGGGGGGGGGRGGGGGDKSAS
+SSSSASSGHSQGPGPGGAGKGGEPMPEKSIPYSQETLLVD
+>tr|Q2KI32|Q2KI32_BOVIN RAB3A interacting protein OS=Bos taurus OX=9913 GN=RAB3IP PE=2 SV=1
+MANDPLEGFHEVNLASPTSPDLLAVYEPGTQEQTTSPSVIYRPHPSALCSTPIQANALDV
+SDLPTQPVYSSPRRLNCAEISSGSIHGTDPAPCSTSGVTAGILKLTTRKDNCSAEREFLQ
+GATITEACDGSDDIFGLSTDSLSRLRSPSVLEVREKGYERLKEELAKAQRELKLKDEECE
+RLSKVRDQLGQELEELTASLFEEAHKMVREANVKQATAEKQLKEAQGKIDVLQAEVAALK
+TLVLSSSPTSPTQEPLPGGKAHFKKGHTRNKSTSSAMSGSHQDLSVIQPIVKDCKEADLS
+LYNEFRSWKDEPTMDRTCPFLDKIYQEDIFPCLTFSKSELASAVLEAVENNTLSIEPVGL
+QPVRFVKASAVECGGPKKCALTGQSKSCKHRIKLGDSSNYYYISPFCRYRITSVCNFFTY
+IRYIQQGLVKQQDVDQMFWEVMQLRKEMSLAKLGYFKEEL
+>tr|Q58D92|Q58D92_BOVIN RAD9 checkpoint clamp component A OS=Bos taurus OX=9913 GN=RAD9A PE=2 SV=1
+MKCLVTGSNVKVLGKAVHSLSRIGDELYLEPLEDGLSLRTVNSSRSAYACFLFAPLFFQQ
+YQAATPGQDQLRCKILMKSFLSVFRSLAMLEKTVEKCCISLNDRSSRLVVQLHCKYGVRK
+THNLSFQDCESLQAVFDPALCPHVLRAPARVLVEAVLPFPPALAEVTLGIGHGRRVILRS
+YQEEEADSAIKAMVTEMSIGEEDFQQLQAQEGVAITFCLRNSGGS
+>tr|F2Z4C4|F2Z4C4_BOVIN U4/U6 small nuclear ribonucleoprotein Prp3 OS=Bos taurus OX=9913 GN=PRPF3 PE=4 SV=1
+MALSKRELDELKPWIEKTVKRVLGFSEPTVVTAALNCVGKGMDKKKAADHLKPFLDDSTL
+RFVDKLFEAVEEGRSSRHSKSSSDRSRKRELKEVFGDDSEISKESSGVKKRRIPRFEEVE
+EEPEVIPGPPSESPGMLTKLQIKQMMEAATRQIEERKKQLSFISPPTPQPKTPSSSQPER
+LPIGNTIQPSQAATFMNDAIEKARKAAELQARIQAQLALKPGLIGNANMVGLANLHAMGI
+APPKVELKDQTKPTPLILDEQGRTVDATGKEIELTHRMPTLKANIRAVKREQFKQQLKEK
+PSEDMESNTFFDPRVSIAPSQRQRRTFKFHDKGKFEKIAQRLRTKAQLEKLQAEISQAAR
+KTGIHTSTRLALIAPKKELKEGDIPEIEWWDSYIIPNGFDLTEENPKREDYFGITNLVEH
+PAQLNPPVDNDTPVTLGVYLTKKEQKKLRRQTRREAQKELQEKVRLGLMPPPEPKVRISN
+LMRVLGTEAVQDPTKVEAHVRAQMAKRQKAHEEANAARKLTAEQRKVKKIKKLKEDISQG
+VHISVYRVRNLSNPAKKFKIEANAGQLYLTGVVVLHKDVNVVVVEGGPKAQKKFKRLMLH
+RIKWDEQTSNTKGDDDEESDEEAVKKTNKCVLVWEGTAKDRSFGEMKFKQCPTENMAREH
+FKKHGAEHYWDLALSESVLESTD
+>tr|A6H7B2|A6H7B2_BOVIN TAT protein OS=Bos taurus OX=9913 GN=TAT PE=2 SV=1
+MDPYVIQMQDHGSLPSVLDVHVNVAGRSSVLGKVKSRKARWSVRPSDMSNKTFNPIRAIV
+DNMKVKPNPNKTMIALSIGDPTVFGNLPTDPEVTQAMKDALDSGKFNGYVPSIGYLSSRE
+EVASYYHCPEAPLEAKDVILTSGCSQAIELCLAVLANPGQNILVPRPGFSLYRTLAESMG
+IEVKLYNLLPEKNWEIDLKQLESLIDEKTVCLIVNNPSNPCGSVFSRRHLQKILAVAARQ
+CVPILADEIYGDMVFSDSKFEPLATLSSKVPILSCGGLAKRWLVPGWRMGWILIHDRRDI
+FGNEIRDGLTKLSQRILGPCTLVQGALKSILCRTPRVFYHNTLSFLKSNADLCYGALAAI
+PGLRPIHPSGAMYLMVGIEMEHFPEFENDVEFTEQLVAEQSVHCLPATSRSLI
+>tr|A8YXX8|A8YXX8_BOVIN BRICK1, SCAR/WAVE actin nucleating complex subunit OS=Bos taurus OX=9913 GN=BRK1 PE=2 SV=1
+MAGQEDPVQREIHQDWANREYIEVITSSIKKIADFLNSFDMSCRSRLATLNEKLTALERR
+IEYIEARVTKGETLT
+>tr|A8NIA8|A8NIA8_BOVIN IRAK1BP1 protein OS=Bos taurus OX=9913 GN=IRAK1BP1 PE=2 SV=1
+MSLQQTPQSRVFVELLPWADRGRENYLLSGGETLPGLRRPLSSAPAQTPTREVHVSGTAE
+VSASPDRAQVVVRVSSTKEAAAEAKKSVCRRLDYITQSLRQQGVQSENVTVTKDFKRLEN
+AYHMEAEVCITFTEFGKMQNICNFLVEKLDSSVVISQPQFYHMPGSIDNLRRQACLAAVE
+NARRKAQEVCNLVGQTLGKPLIIKEEETKEWEGQIDDPQSSRLQVH
+>tr|Q0VCY8|Q0VCY8_BOVIN Phosphoprotein enriched in astrocytes 15 OS=Bos taurus OX=9913 GN=PEA15 PE=2 SV=1
+MAEYGTLLQDLTNNITLEDLEQLKSACKEDIPSEKSEEITTGSAWFSFLESHNKLDKDNL
+SYIEHIFEISRRPDLLTMVVDYRTRVLKISEEDELDTKLTRIPSAKKYKDIIRQPSEEEI
+IKLAPPPKKA
+>tr|A6QLY0|A6QLY0_BOVIN EFNA4 protein OS=Bos taurus OX=9913 GN=EFNA4 PE=2 SV=1
+MRLQPLLQTVLWAVLLSSPLRGGCGLRLAVYWNSSNPRLLRGDAVVELGFKDYLDIICPH
+YESPGPPEGPETFALYVVDWAGYKACQAEGPSAFKRWECSRPFAPFGPVRFPEKIQRFTP
+FSLGMEFLPGETYYYISVPTPGSPGQCLRLQVSICCKEDKPESAHPVGSHGESGTSGWQG
+GAAPTPLCLLLLLLFPILRLLRVL
+>tr|A5D7L6|A5D7L6_BOVIN MRAS protein OS=Bos taurus OX=9913 GN=MRAS PE=2 SV=1
+MATSAVPSDNLPTYKLVVVGDGGVGKSALTIQFFQKIFVPDYDPTIEDSYLKHTEIDNQW
+AILDVLDTAGQEEFSAMREQYMRTGDGFLIVFSVTDKASFEHVDRFHQLILRVKDRESFP
+MILVANKVDLMHLRKITREQGKEMATKHNIPYIETSAKDPPLNVDKAFHDLVRVIRQQIP
+EKSQKKKKKTKWRGDRATGTHKLQCVIL
+>tr|M5FK80|M5FK80_BOVIN Axin 1 OS=Bos taurus OX=9913 GN=AXIN1 PE=4 SV=1
+MNIQEQGFPLDLGASFTEDAPRPPVPGEEGELVSTDPRPVSHSFCSGKGAGIKGETSTAT
+PRRSDLDLGYEPEGSASPTPPYLKWAESLHSLLDDQDGINLFRTFLKQEDCADLLDFWFA
+CSGFRKLEPCDSNEEKRLKLARAIYRKYILDNNGIVSRQTKPATKSFIKDCISKQQIDPA
+MFDQAQTEVQSTMEENTYPSFLKSDIYLEYTRTGSESPKLCSDQSSGSGTGKGVPGYLPT
+LNEDEEWKCDREVDEDSGRDPAPPGRLTQKLLLETAAPRASSSRRYSEGRELRCGSWREP
+VNPYYVNSGYALAPATSANDSEQQSLSSDADSLSLTDSSVDGVPPYRTRRQHRREMQESV
+QANGRVPLPHIPRTYRMPKEVRVEPQKFAAELIHRLEAVQRTREAEEELEERLKRVRMEE
+EGEDSDMPSGPQGASHKLPSAPAWHHFPSRYADVGCTGLRDAHEENPESILDEHVQRVMR
+TPGCQSPGPGHRSPDSAHVPKIGVLGGAMPGHGKHAPKLGAKLDPTGLHLHRHGHHHGHH
+GAARPKEPAEAEAARRVPGSFAWGPELHGHAAKPRSHVESTGAPPAGGDGLAYGGKVGTT
+SKRNSKKAELGKSSSTEAPGPSEDAEKNQKIMQWIIEGEKEISRHRKAGHGSSGAKKQQA
+HESSRPLSIERPGAVHPWVSAQLRSSVQPSHLFIQDPTMPPNPAPNPLTQLEEARRRLEE
+EEKRASKAPSKQRYVQEVIQRGRCCVRPACTPVLSAVPAVSDLELSETETKSQRKAGGGS
+TQPCDSIVVAYYFCGEPIPYRTLVRGRAVTLGQFKELLTKKGNYRFYFKKVSDEFECGVV
+FEEVREDTAVLPVFEEKIIGKVEKVD
+>tr|A6QNQ9|A6QNQ9_BOVIN ILDR1 protein OS=Bos taurus OX=9913 GN=ILDR1 PE=2 SV=1
+MGSELPAPWLLLFTWLPTGCMSLLVTVQHTERYVTLFASVILKCDYTTSAQLQDVVVTWR
+FKSFCKDPIFDYYSASYQAALSLGQDPSNDCNDSQREVRIVAQRRGQSEPVLGVDYRQRK
+ITIQNRADLVINEVMWWDHGVYYCTIEAPGDTSGDPDKEVKLIVLHWLTVIFIILGALLL
+LLLIGVCWCQCCPQYCCCYLRCPCCPNRCCCPQEALARHRYMKQAQALGSQMMEKPLYWG
+ADRSSQFSSYPMNPLLQRDLSLRSSLPEVPMTQATAHPPIPNGVLEYLEKELRNLNPAQP
+LPPDLQAISGHPCSILSSLGSEVVERRVIHLPPLVRDLPASRRTSSSSRQQWLPTTPSPS
+RPWDLREGRRQHRCSDFHQELRDPEAQCWELEQRELDRLRRGRHRGSRHRWSPRRWSDRD
+SLSDGDGPSSSEAHWRPHRPPLRSRYPERDPRRPSLKESAQGHQRRRPRSYSPPLPSGLS
+SWSSEEEKERQPQSWETRRHRRSCSPNWPEEKPPSYRSLDVSSGKNGKKKGSVKRRLERE
+SSHSGRSVVI
+>tr|E1BHG3|E1BHG3_BOVIN Cell adhesion associated, oncogene regulated OS=Bos taurus OX=9913 GN=CDON PE=4 SV=2
+MHPDSRPLQTLLYVTLLMLCASVSPDLAPYFVSEPLSAVQKLGGPVVLHCSAKPVTARIS
+WLHNGKRLDRNVEQIKVHQGTLTILSLNPSLSGHYQCVANNSIGAILSGPATVSTAVLGD
+FGASGKHVITAEEKSTGFIACRVPDSNPKAEVRYKIRGKWLKQSTENYLILPSGNLQILN
+VSLEDKGSYKCAAFNPVTHELKVEPIGQKLLVSRPSSGDFHILHPTFSQALAVLSRSPVT
+LECVVSGVPASHVRWLKGGQDAVVGSTWRRLYTHLATDSIDPADSGNYSCVVGNKAGDAK
+HVTYMVNVLEHASISKGLQDQTVSLGATVQFTCEVHGNPAPNRTWFHNAQPIRPSPRHLT
+VGNGLKISGVTMEDSGLYQCVADNGIGFTQSTGRLEIEKGSGLKPIITRSPASAEVAVGD
+SVTLSCNATGLPVPAIHWYDKRGLITSHLSQALRSKSRKPHLLRPGSSDPEPVTSRAGSG
+SLYIQAVRREHAGKYTCEATNVHGTTRSEAILVVVPFETDTKAEIVTSSDPTQNDKSDDS
+ETGLLSSFPVKEHSSAKESSSEKNASGPSVPDAPIILSPPQTHTPDTYSLVWRAGKDGGL
+PINAYFVKYRKLEDGVGVVGSWHTVRVPGSENELHLTELEPSSLYEVLMVARSAAGEGQP
+AMLTFRTSKEKTASSKNTQASSPPVGIPKRPVVSEAADNFGVVLTDASRHSGVPEAPDRP
+TISTASETSVYVTWIPRANGGSPITAFKVEYKRMRTSDWLVAAEDIPPSKLSVEVRSLEP
+GSTYKFRVIAINHYGESFRSSASRPYQVAGFPNRFSNRPITGPHIAYTEAVSDTQIMLKW
+TYIPSSNNNTPIQGFYIYYRPTDSDNDSDYKRDIVEGSKQWHMIGHLQPETSYDIKMQCF
+NEGGESEFSNVMICETKVKRVPGASEYPIKDLSTPPNSSGSGGNVGPAASPTRSSDMLYL
+IVGCVLGVMVLILMAFIAMCLWKNRQQSTIQKYDPPGYLYQGSDVNGQMVEYTTLPGTNR
+INGSVHGSFSNGCSHLHHKVPNGVSGSLNGGLYPGHVSSLTRTRVDFEHPRRLVNGGGLY
+TAVPPADPMECINCRNCRNNNRCFTKTSSTFSSSPLPVVPVIAPYPQDGLEMKPFGHMKM
+PVCLASTVPDCVQPPEEGIKEDETPTPAQPICCQDSRDGASSDCVEDTAEFHRGDSCVPS
+EAENNILSWNPLVLPPVSKDCTEKTLWCPPGTPVDSPPGVLQQPQET
+>tr|Q3MHQ1|Q3MHQ1_BOVIN Amine oxidase OS=Bos taurus OX=9913 GN=AOC1 PE=2 SV=1
+MGREALALGWASAAILVLQTLAAAEGAPQTPGDKGRVFADLSAQELKAVHSFLWSQKELR
+LEPSNTLTVAKNSVFLIEMLLPKKQHVLKFLDKGHRPPVREARAIIFFGAQEQPNITEFA
+VGPLPRPRYLRHLPPRPRHQASWASRPISKAEYALLSHTLEEATKPLRAFFWRTAGAVFG
+NCSQRCLTFTDVAPRGVASGQRRSWFILQLQIEGYFLHPTGMELLLDHGSPNPRDWTVER
+VWYNGRFYRSPEELAQKYDDGEVDAVVLEDPLAKGKDGANLPEAALFSSYQLRGDLGVSK
+SGPRLVQPQGARYSLDGHTVRYAGWSFSFRLRSSSGLQVLDVRFGGERVAYEVSVQEAVA
+LYGGHTPAGMQTKYMDVGWGLGSVTYELAPGIDCPEMATFVDALHYYDDDGPVLYPRALC
+LFEMPTGVPIRRHFNSNFNGGFNFYAGLKGQALVLRTTSTVYNYDYIWDFIFYPNGVMEA
+KMHATGYIHATFYTPEGLRHGTRLHTHLIGNMHTHLVHYRVDLDVAGTKNSFQTLQMKLE
+NITNPWSPGHRLVHPALQQMRYSRERQAAFRFGQTLPKYLLFTSPEQNPWGHQRSYRVQI
+HSMADQVLPPGWQEERAVTWARYPLAVTKYRESERYSSSIYNQNDPWDPPVVFEEFLRNN
+ENIEDEDLVAWVTVGFLHIPHAEDIPNTTTPGNSVGFLLRPFNFFPEDPSLASRDLIIVR
+PLENGSTYTQSWMPEEEGDCLKPPSFSYNGTYRLV
+>tr|A5PJG3|A5PJG3_BOVIN BCL7C protein OS=Bos taurus OX=9913 GN=BCL7C PE=2 SV=1
+MAGRTVRAETRSRAKDDIKKVMATIEKVRRWEKRWVTVGDTSLRIFKWVPVVDPQEEERR
+RAGGGAERSRGRERRGRGASPRGGGPLILLDLNDENSNQSFHSEGSLQKGTEPSPGGTPQ
+PSRPVSPAGPPEGVPEDAQPPQLGQERDPGGIPAGSTDEPPMLTKEEPVPELLEAEAPEA
+YPVFEPVPSVPEAAQGDPEDSEGAPPLKRIYPNAPDP
+>tr|Q1JQD0|Q1JQD0_BOVIN Hydroxysteroid (17-beta) dehydrogenase 1 OS=Bos taurus OX=9913 GN=HSD17B1 PE=2 SV=1
+MDRTVVLITGCSSGIGLHLALRLASDPSQSFKVYATLRDLASQGPLLEAAQSRGCLPGSL
+ETLQLDVRDADSIAAAQARVTEGRVDVLVCNAGRGLVGPLEAHKEGSVDAVLDVNLTGTV
+RMLQAFLPDMKRRRSGRILVTGSIGGLMALPFNAVYCASKFALEGLCESLAILLQPFGVH
+VSLIECGPVHTPFPEKVEGGLGGMLDRADVETRDLFNRYRRHCERVIREAGQDPEEVVEV
+FLQALRAPRPALRYFTTERFLPLVQLRFSDPSGSSYVAAAHKSAFHDKAAEGSDGAGAET
+EAGKLEASELRAPLAPQ
+>tr|A0A3Q1M9C6|A0A3Q1M9C6_BOVIN Lysophosphatidic acid receptor 1 OS=Bos taurus OX=9913 GN=LPAR1 PE=3 SV=1
+MAAAFTSSPVVSQPQFTAMNEPQCFYNESIAFFYNRSGKYLATEWNTVSKLVMGLGITVC
+IFIMLANLLVMVAIYVNRRFHFPIYYLMANLAAADFFAGLAYFYLMFNTGPNTRRLTVST
+WLLRQGLIDTSLTASVANLLAIAIERHITVFRMQLHTRMSNRRVVVVIVVIWTMAIVMGA
+IPSVGWNCICDIENCSNMAPLYSDSYLVFWAIFNLVTFVVMVVLYAHIFGYVRQRTMRMS
+RHSSGPRRNRDTMMSLLKTVVIVLGAFIICWTPGLVLLLLDVCCPQCDVLAYEKFFLLLA
+EFNSAMNPIIYSYRDKEMSATFRQILCCQRSENTSGPTEGSDRSASSLNHTILAGVHSND
+HSVV
+>tr|A0A3Q1NIX0|A0A3Q1NIX0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC786352 PE=4 SV=1
+MGEPKGHLRDPAAAIMSSIDLFYCSESETVFQVVVEHSQVTEKKLMVLLRNWKIFVVKAS
+IPREEAKALYEYLNRLKTNLSVKAPDTLQQDQLDRKRLLEEIAMMKQALEKDTEKIHAFA
+DNVDKVHKDCTISKVVAHSTGAVSGILSIVGLALAPLTMGATLPLLATGLGLGIAATVTN
+VSTSIVERVNTSSAETKTNQLLSRDLKRWKVIEDVLHKSKVHINLAKKLFISSLKIIGES
+LKFIKVIKGSPALTAQVKFFITNGRTFIRGSIQVPKTFGGVALTMAKGARIAGIVMSSIG
+LVIDVGFLVKESIHLHDGAKAESAEKLRQQAQELESTLEFVTEIHENLARGLDSLNPRVV
+QGPGTFAGCLRGSLLEEKRRETALMVLGVSNLAFPKLSTAREGWMQVADEGKERAWSLD
+>tr|F1MX38|F1MX38_BOVIN Signal transducer and activator of transcription OS=Bos taurus OX=9913 GN=STAT3 PE=3 SV=1
+MAQWNQLQQLDTRYLEQLHQLYSDSFPMELRQFLAPWIESQDWAYAASKESHATLVFHNL
+LGEIDQQYSRFLQESNVLYQHNLRRIKQFLQSRYLEKPMEIARIVARCLWEESRLLQTAA
+TAAQQGGQANHPTAAVVTEKQQMLEQHLQDVRKRVQDLEQKMKVVENLQDDFDFNYKTLK
+SQGDMQDLNGNNQSVTRQKMQQLEQMLTALDQMRRSIVSELAGLLSAMEYVQKTLTDEEL
+ADWKRRQQIACIGGPPNICLDRLENWITSLAESQLQTRQQIKKLEELQQKVSYKGDPIVQ
+HRPMLEERIVELFRNLMKSAFVVERQPCMPMHPDRPLVIKTGVQFTTKVRLLVKFPELNY
+QLKIKVCIDKDSGDVAALRGSRKFNILGTNTKVMNMEESNNGSLSAEFKHLTLREQRCGN
+GGRANCDASLIVTEELHLITFETEVYHQGLKIDLETHSLPVVVISNICQMPNAWASILWY
+NMLTNNPKNVNFFTKPPIGTWDQVAEVLSWQFSSTTKRGLSIEQLTTLAEKLLGPGVNYS
+GCQITWAKFCKENMAGKGFSFWVWLDNIIDLVKKYILALWNEGYIMGFISKERERAILST
+KPPGTFLLRFSESSKEGGVTFTWVEKDISGKTQIQSVEPYTKQQLNNMSFAEIIMGYKIM
+DATNILVSPLVYLYPDIPKEDAFGKYCRPESQEHPEADPGSAAPYLKTKFICVTPTTCSN
+TIDLPMSPRTLDSLMQFGNNGEAAEPSAGGQFESLTFDMELTSECATSPM
+>tr|A4IFL5|A4IFL5_BOVIN Fibroblast growth factor receptor OS=Bos taurus OX=9913 GN=FGFR1 PE=2 SV=2
+MWSRKCLLFWAVLVTATLCTAKPAPTLPEQAQPWGAPVEVESLLVHPGDLLQLRCRLRDD
+VQSINWLRDGVQLADSNRTRITGEEVEVRGSVPADSGLYACVTSSPSGSDTTYFSVNVSD
+ALPSSEDDDDDDDSSSEEKETDNTKPNPVAPYWTSPEKMEKKLHAVPAAKTVKFKCPSSG
+TPNPTLRWLKNGKEFKPDHRIGGYKVRYATWSIIMDSVVPSDKGNYTCIVENEYGSINHT
+YQLDVVERSPHRPILQAGLPANKTVALGSNVEFMCKVYSDPQPHIQWLKHIEVNGSKIGP
+DNLPYVQILKTAGVNTTDKEMEVLHLRNVSFEDAGEYTCLAGNSIGLSHHSAWLTVLEAL
+EERPAVMTSPLYLEIIIYCTGAFLISCMVGSVIIYKMKSGTKKSDFHSQMAVHKLAKSIP
+LRRQVTVSADSSASMNSGVLLVRPSRLSSSGTPMLAGVSEYELPEDPRWELPRDRLVLGK
+PLGEGCFGQVVLAEAIGLDKDRPNRVTKVAVKMLKSDATEKDLSDLISEMEMMKMIGKHK
+NIINLLGACTQDGPLYVIVEYASKGNLREYLQARRPPGLEYCYNPSHHPEEQLSSKDLVS
+CAYQVARGMEYLASKKCIHRDLAARNVLVTEDNVMKIADFGLARDIHHIDYYKKTTNGRL
+PVKWMAPEALFDRIYTHQSDVWSFGVLLWEIFTLGGSPYPGVPVEELFKLLKEGHRMDKP
+SNCTNELYMMMRDCWHAVPSQRPTFKQLVEDLDRIVALTSNQEYLDLSMPLDQYSPSFPD
+TRSSTCSSGEDSVFSHEPLPEEPCLPRHPAQLANGGLKRR
+>tr|A6QQW5|A6QQW5_BOVIN C11H2ORF7 protein OS=Bos taurus OX=9913 GN=PRADC1 PE=2 SV=1
+MVPGAAGWCCLVLWLPACVAAHGLRIHDYLYFQVLSPGDIRYIFTATPAKDFGGIFHTRY
+EQIHLVPAEPSEACGELSNGFFIQDQIALVERGGCSFLSKTRVVQEHGGRAVIISDNAVD
+NDSFYVEMIQDSTQRTADIPALFLLGRDGYMIRRSLEQHGLPWAIISIPVNVTSIPTFEL
+LQPPWTFW
+>tr|C4PU73|C4PU73_BOVIN Serin peptidase inhibitor, clade A (Alpha-1 antiproteinase, antitrypsin)-like OS=Bos taurus OX=9913 GN=LOC286871 PE=2 SV=1
+MSHGRMNLALSLVFILCGLFNSIFCEKQQHSQKHMNLVLLKKISALSQKMEAHPKDFAQE
+LFKALIIEDPRKNIIFSPMAMTTTLATLSLGIKSTMRTHHPEDLKLEPKLLDVHKYLQPL
+VHVGRELVKQKVLKHQHILFINRKMMVNQMLLQQISKLQGMDIQMIDFTDIEKAKKTISH
+HVAEKTHTKITNLITDLNPETILCLVNHIFFKGILKRAFQPKLTQKEVFFVNDQTKVQVD
+MMRKTERMLYSRSEELHATMVKMPCKGNVSLTLMLPDAGQFDTDLKKMTAKRAKLQKISD
+FRLVRLILPKLKISFKINFKHLLPKIDPKHILTATAISQAITSKAPLPNLEALHQAEIEL
+SEHALTVDTAIHTDNLLKVPMKAKEVPAVVKVPMNTKEVPVVVKVPMNTKEVPVVVKVNR
+PFLLFVEDEKTQRDLFVGKVLNPQVE
+>tr|A7YWJ9|A7YWJ9_BOVIN KRTAP1-1 protein OS=Bos taurus OX=9913 GN=KRTAP1-1 PE=2 SV=1
+MACCSTSFCGFPICSTAGTCGSSCSQPTCCQTSCCQPTSIQTSCCQPISIQTSCCQPTCL
+QTSGCETGCGIGGSIGGSIGYGQVGSSGAVSSRTRWCRPDCRVEGTSLPPCCVVSCTPPS
+CCQLYYAQASCCRPSYCGQSCCRPACCCQPTCIEPICEPICCEPTC
+>tr|A0A3Q1M6M6|A0A3Q1M6M6_BOVIN FLYWCH-type zinc finger 1 OS=Bos taurus OX=9913 GN=FLYWCH1 PE=4 SV=1
+MPLPEPSEQEGESVKAGQEPSPEPPEPGTDVVLEAPTKPMEFSELVLLAASTESGDGMDT
+QPEEVHCVLTLEMADPDTLAGTPQILPVEEQCGVVQPRPQTQALKPSKPNIVTQPLEFLR
+TPFGGRLLVLESFLYKQEKAVGDKVYWKCREHTELGCRGRAITRGPRATIMRGHCHPPDE
+EGLAARRQRQKRLGPALPEGLAGSQGPSSLVEEPLEGAGPWLCPVEPDPTPGPMLSYLVP
+EEDEGLRALALLRLPPKKRSTLGSRGPPPLEFLRTCYGGSFLVHQSFLYKREKAVGDKVY
+WTCRDHTQHGCRSRAITQGRRVTVMRGHCHAPDLEGLKARRQQERAMAALRAQPGGPGGP
+EDKPLQGVDSLLYRRGPGPLTLTRPRPRKRLMANDEELPAEPQGEEDKDEDPGGPEFLRT
+PLGGSFLVYESFLYRREKAAGEKVYWTCRDQARMGCRSRAITQGRRVTVMRGHCHPPDLG
+GLEALRQREKRPGTAQRGSTGGPEFLRTPLGGSFLVYESFLYRREKAAGEKVYWTCRDQA
+RMGCRSRAITQGPRVMVMRRHCHPPDLGGLEALRQREQLPSPAQREGSGAGGGRARAGSG
+LPGDSPGRGLEGAWWDSPPVAQVGWVCPLGSELPGRGAPHSRSGPGGAGVSGGDPLRWLE
+KGRGDTGSCRKCSRTWGTLCLPFCPHPPFQPPQPQHIMSFQFRVLKRLLRENLTSHQLAE
+GRVAPERGGPWCTVQSNPRPSRVFQSSPCPGVPASHCGGRAGSAGACGKTQGLGGGGPSF
+LGSPKGLRQTDSPHPKVTGPRQPELQSPGPCGAGWGGGRGPHFSGWSSAPCFRAGQAPHS
+HGMFLPETPQPLEFLRTSLGGRFLVYESFLYRKEKAAGEKVYWMCRDQARKGCRSRAITQ
+GPRVTVMRGHCHPPDLAGLEALRRREQLPSLAQQEDPGTDRAGARLFARPRFRHPRGLTP
+SGELCSLGFLPF
+>tr|Q1RMM4|Q1RMM4_BOVIN SP140 nuclear body protein-like OS=Bos taurus OX=9913 GN=SP140L PE=2 SV=1
+MASAGSPSNCRMITEDQNLEEQHLEEQLCYEFIFMLFKEKKVEIAGAILKPFPFLMGLRD
+RGFISEPMYQDFQEACRNLVPVERVAYNALDELEKKFDKTVLEALFSKVNLKAYPDLLQI
+CNNFQNAIRDKFYYQILDEGETKEMLNSQLNHEQVSLEHSPLQMNSVRGFESMPRLLPYN
+RQENSNAWDEEWPQEASSFSPRYVPVTSDPKAPQVPPEGEPKEVLSLLPAEGEEDNNACC
+VICEEEEPQEALSSPSRCEPVTWDPKAPQMPPEGEAEEVLSLLPAEGGESCDPEASQMTD
+KEEQEELPSQPLDGEEGSGTCLEICDEEETQESLSSPPKSGPGEGGTELPTFGNKCSCVM
+CFSKDVPRDPEGRTESMQADDMLDTVDLGNNSTVRKPKKRRSKSACNFICF
+>tr|A4IFA4|A4IFA4_BOVIN Acyl-coenzyme A oxidase OS=Bos taurus OX=9913 GN=ACOX3 PE=2 SV=1
+MAPLRESSGDPVLPDPPQGPLHVARARASFPWKELALFWEGEDTLRFKKAIFSALENDPL
+FAHPRGSRLSVEKYQELNFLRCKRVFEYDFLPVGDMLDSLPRVLALIECLGMYDWSLAVK
+FFLHVLTFGSAIYSSGSERHLKYLPKIFSLEIFGCFALTEVSHGSNTKGIRTTAHYDPST
+QEFIIHSPDFEAAKFWVGNMGKTATHAVVFAQLYTPGGQCHGLHPFLVQIRDPKTLLPMP
+GVMVGDIGKKLGQNGLDNGFAMFHKVRIPRQDLLNRSGDVTPEGAYVTHVKDVGQRFSES
+LGSLSSGRVAIVGMSVVNLKLAVSIALRFSATRRQFGPTDKEEVPVLQYPMQQWRLLPYL
+AAAYALDHFFKSLFLDLGELQQGLLGKDRSVRQAELGREIHALSSAGKPLASWTAQRGIQ
+ECREACGGHGYLAMNRLGDLRDDNDPNCTYEGDNSVLLQQTSRYLLGLLARRGPGGARIE
+SPLKTVDFLDGYADILGRRFEGSSPEDLLDSSVPLAAYEWLVCYLLRESHQKLSREKRSG
+KSDFEAINDCQVYHCRPLALAFLELTVVRRFHEHTHQPRVPPPLRAVLRRLSALYALWSL
+SQHTALLYRGGYFSGERAGKVLESAILALCAQLKDDAVALVDVIAPPDFILDSPIGRADG
+ELYKNLWTAVLQENKVLERASWWAEFAVNKPVIGSLKPKL
+>tr|Q148L9|Q148L9_BOVIN ST6 (Alpha-N-acetyl-neuraminyl-2,3-beta-galactosyl-1, 3)-N-acetylgalactosaminide alpha-2,6-sialyltransferase 2 OS=Bos taurus OX=9913 GN=ST6GALNAC2 PE=2 SV=1
+MGLQRGRLFWPLLLLAAVCSGILVALYSSAVELHLGPRTEARNTTSSSQAFFGPKAPNSR
+TRKNLLCRHPLSLAVQRHPRFRNLFNLSTPVLLSGDLFTPQLWDSLSQHKAPYGWQGLSH
+QAITSTLSLLNGSESTRLFAVSRELLPGCIRCAVVGNGGILNGSRQGQNIDAHDYVFRLN
+GAVIKGFENDVGTKISFYGFTVNTMKNSLISYSNVGFTSVPQGQYLRYIFIPSDIRDYLM
+LRSAILGVPVPEGTDKGDRFLKSKLINTKFGDLYMPSTGALMLLTALHTCDQVSAYGFIT
+SNYQKFSDHYFDRMKKPLIFYANHDLSLEATLWRDLHKAGILWLYQR
+>tr|B7SZV2|B7SZV2_BOVIN SOX14 OS=Bos taurus OX=9913 GN=SOX14 PE=4 SV=1
+MSKPSDHIKRPMNAFMVWSRGQRRKMAQENPKMHNSEISKRLGAEWKLLSEAEKRPYIDE
+AKRLRAQHMKEHPDYKYRPRRKPKNLLKKDRYVFPLPYLGDTDPLKAAGLPVGASDGLLS
+APEKARAFLPPASAPYSLLDPAQFSSSAIQKMGEVPHTLATGALPYASTLGYQNGAFGSL
+SCPSQHTHTHPSPTNPGYVVPCNCTAWSASTLQPPVAYILFPGMTKTGIDPYSSAHATAM
+>tr|E1BNI2|E1BNI2_BOVIN ASD2 domain-containing protein OS=Bos taurus OX=9913 GN=SHROOM2 PE=4 SV=2
+MDSSRSPSPQFAPQKLTDKPPLLIQDENAARIERVMDNNTTVKMVPIKIVHSESQPEKES
+RQALARVPEPPPLPRGLERDQIKTLSTSEQSYSRFCPYSRQGAEPQPATGALGPPAKEGR
+ASPPVLSYVKAKDRTADDLKSEELAREIAGRDKSLADILDPGVRMRTTMDLMEGIFPKDE
+HLLEGAQQRRKLLPKGPSPRTAEERREETSVLAAAPLATNSAYYSTSAPKAELLIKMKDL
+QEQQEPEEDSGSDLDHDLSVKKQELIESLGRKLQVLREARESLLEDVQANSALGDEVEAL
+VKAVCKPNEFDKFRMFIGDLDKVVNLLLSLSGRLARVENALNNLDDSTPPGDRQSLLEKQ
+RVLIQQHEDARELKENLDRREGIVFDILVSYLGPESLADYEHFVKMKSALIIEQRELEDK
+IHLGEEQLKCLLDSLQPERGK
+>tr|Q17QW8|Q17QW8_BOVIN WNT inhibitory factor 1 OS=Bos taurus OX=9913 GN=WIF1 PE=2 SV=1
+MARKSAFPAGALWLWSILPCLLVLRAEVGQQPEESLYLWIDAHQARVLIGLEEDILIVSE
+GKMAPFTHDFRRAQQRMPAIPVNIHSMNFTWQAAGQAEYFYEFLSLRSLDKGIMADPTVN
+VPLLGTVPHKASVLCSPRCMNGGLCVTPGFCICPPGFYGVNCDKANCSATCFNGGTCFYP
+GKCICPPGLEGEQCETSKCPQPCRNGGKCIGKNKCKCSKGYQGDLCSKPVCKPGCGTHGT
+CHEPNKCQCREGWHGRHCNKRYGASLLHTLRPAGAQLRQHTPSVKKAEERQDPPESNYIW
+>tr|A0A3Q1MTU9|A0A3Q1MTU9_BOVIN Poly(rC) binding protein 3 OS=Bos taurus OX=9913 GN=PCBP3 PE=4 SV=1
+MGEGDTIWAPSVLPDGALGTLSHHPQLHFGRKMESKVSEGGLNVTLTIRLLMHGKEVGSI
+IGKKGETVKKMREESGARINISEGNCPERIVTITGPTDAIFKAFAMIAYKFEEDIINSMS
+NSPATSKPPVTLRLVVPASQCGSLIGKGGSKIKEIRESTGAQVQVAGDMLPNSTERAVTI
+SGTPDAIIQCVKQICVVMLESPPKGATIPYRPKPASTPVIFAGGQAYTIQGQYAIPHPDQ
+LTKLHQLAMQQTPFPPLGQTNPAFPGLDASPPASTHELTIPNDLIGCIIGRQGTKINEIR
+QMSGAQIKIANATEGSSERQITITGTPANISLAQYLINARLTSEVTGMGAL
+>tr|E1BL83|E1BL83_BOVIN FTCD_N domain-containing protein OS=Bos taurus OX=9913 GN=FTCDNL1 PE=4 SV=1
+MSSCRVGLHLAACLLNISEARKKSIVENIAKAALLERNGQRHPEVSVLNVFSDPEYNRSV
+ITIAASIDELGNSVLAACLEAFQSIDMEVQEGIHPCLGAVDLIPIYPLSGVGVEECGAVA
+RSLAENLVLSVPGCSVFLFGEADLPEKRPLVQRRKQLGWFTRRDFSTLKSDLGAAPARRC
+GLTGIGASPYVMNCNVTIDSQDLALGKEIASAIRGSNVNGLKGVQTMAFPHEGKIEIACN
+VESFEDQEVTETSEGSQYMAYSVLGDHFYYISPHYIEAQVKKLASDRGIGTIGRALIGFT
+PQECKSCAEYAIRESIGEFWKIREGVFM
+>tr|Q3ZC65|Q3ZC65_BOVIN AUP1, lipid droplet regulating VLDL assembly factor OS=Bos taurus OX=9913 GN=AUP1 PE=2 SV=1
+MEPPSSPGPERLFDSHRLPGDGFLLLALLLYAPVGFCLLVLRLFLGIHVFLVSCALPDSV
+FRRFVVRTMCAVLGLVARQEDSGLRDHRVRVLISNHVTPFDHNIVNLLTSCSTPLLNSPP
+SFVCWSRGFMEMDGQGELVESLKRFCASTRLPPTPLLLFPEEEATNGREGLLRFSSWPFS
+IQDVVQPLTLRVQRPLVSVTVSDASWVSELLWSLFVPFTVYQVRWLRPVHRQLGEGSEEF
+ALRVQQLVAKELGQTGTRLTPADKAEHMKRQRHPRLRPQSAQSSFPHSPGPSPDVHLATL
+AQRVKEVLPHVPLGVIQRDLARTGCVDLTITNLLEGAVAFMPEDITEGTQSLATASTPKF
+PSSGPATPQPTALTFAKSSWARQESLQERKQALYEYARRRFTERQAQEAD
+>tr|F1MUM3|F1MUM3_BOVIN Myeloid zinc finger 1 OS=Bos taurus OX=9913 GN=MZF1 PE=4 SV=3
+MRPAALGSPGRASLEDEGPVTVKLEDSEEDEPAAWDLGPEAARQQFRRFRYEEAAGPGQA
+LAQLRELCRQWLRPEAHSKEQMLELLVLEQFLGVLPPEIQARVRGQQPGSPEEAAALVEG
+FRREPGGPRRWVTVQVQGQEVLSERTEPPDFQALPQPIPETPEPGLEMLPGATEQSTLGL
+RVKEESEVTEEPEPLHPRPLSSLQGTPFTLLPDQARDCVVVLDQASPHSEPGPEVSSWRQ
+HRGALWQEEAGGIFSPGFTLQMDNVSVDPDIMSAHVHLPWDLGEASLTGRLESASREGGF
+SQALVPSSDLGGEPDPTEDTCQGLSHGLGAASWRAPRGRSRARGRPGTGAGAERGGRCDV
+CGKVFSQRSNLLRHQKIHTGERPFVCGECGRSFSRSSHLLRHQLTHTEERPFVCADCGQG
+FVRSGRLEEHRRVHTGEQPFRCAECGQSFRQRSNLLQHQRIHGDPPGPSAAPPAPPGAPE
+PPGPFPCSECRQSFARRAVLLEHQAVHTGDKCFGCAECGERFGRRTVLLQHRRVHSGERP
+FRCAECGQSFRQRSNLTQHRRIHTGERPFTCAECGKAFRQRPTLTQHLRVHTGEKPFACP
+ECGQRFSQRLKLTRHQRTHTGEKPYRCRECGLGFTQVSRLTEHQRIHTGERPFACPECGQ
+RFRQHANLTQHRRIHTGERPYACPECGKAFRQRPTLTQHLRTHRREKPFACQDCGRRFHQ
+STKLIQHQRVHSAE
+>tr|A0A3Q1MB21|A0A3Q1MB21_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC515676 PE=4 SV=1
+MQARLSVQQCINLSCLSLTHVPRLHMMSSTSNMKLGGLFLLASLLTLNTRLQAIGVCVEQ
+CREDQDCVAGEKCISNGCGHVCSPAPQATICSLECSEDRDCGRGKQCVQDGCQRVCSPLM
+SVGVCVEQCREDQDCAAGEKCVSNGCGHVCSPVPQATICSRECSEDRDCGRGKQCVQDGC
+RRVCSPLMSVGVCVEQCREDRDCAAGEKCVSNGCGHVCSPAPQASICEFQCFRDRDCGAG
+RQCVSEGCNRVCSPAPEASIGICVQRCRGHWDCGAGERCIRRGCSRICSPVRTAGVGICL
+DQCQGHRDCPAGSRCVSNGCGRVCSPTQDRQEQRPGTCPRVPEGMFGTCAERCTGDGSCP
+PGQKCCSNGCGKSCQVPDLDFTISLDDK
+>tr|A5PJP9|A5PJP9_BOVIN UNC5B protein OS=Bos taurus OX=9913 GN=UNC5B PE=2 SV=1
+MWARSGARGALLLALLLCWDLRLSQAGTDSGREELPDSFPSAPAETLPHFLQEPQDAYIV
+KNKPVELGCRAFPATQIYFKCNGEWVSQSDHVTQEGLDEATGLQVREVQIEVSRQQVEEL
+FGLEDYWCQCVAWSSAGTTKSRRAYVRIAYLRKNFDQEPLGKEVPLDHEVLLQCRPPEGV
+PVAEVEWLKNEDVIDPTQDTNFLLTIDHNLIIRQARLSDTANYTCVAKNIVAKRRSTTAT
+VIVYVNGGWSSWAEWSPCSNRCGRGWQKRTRTCTNPAPLNGGAFCEGQAFQKTACTTVCP
+VDGGWTEWSKWSACSTECAHWRSRECMAPPPQNGGRDCSGTLLDSKNCTDGLCVQNKKTL
+SDPKSHLLETSGDMALYAGLVVSIFVVVAVLIVVAVVVYRRNCRDFDTDITDSSAALTGG
+FHPVNFKTARPNNPQLLHPSVPPDLTASASIYRGPKYALQDSADKIPMTNSPLLDPLPNL
+KIKVYSSGTTGSGPGLADGADLLGVLPPGTYPGDFARDTHFLHLRSASLGSQQLLGLPRD
+PGSSVSGTFGCLGGRLSIPGTGVSLLVPNGAIPQGKFYEMYLLINKAENTLPLSEGTQTV
+LSPAVTCGPTGLLLCRPVILTVPHCAEVSAGNWIFQLKTQAHQGHWEEVVTLDEETLNTP
+CYCQLEARSCHILLDQLGTYVFTGESYSRSAVKRLQLAIFAPALCTSLEYSLRVYCLEDT
+PVALKEVLELERTLGGYLVEEPKPLLFKDSYHNLRLSLHDIPHAHWRSKLLAKYQEIPFC
+HIWSGSQKALHCTFTLERHSLASTELTCKICVRQVEGEGQIFQLHTTLAETPAGSLDALC
+SAPSSVVTTQLGPYAFKIPLSIRQKICNSLDAPNSRGNDWRLLAQKLSMDRYLNYFATKA
+SPTGVILDLWEALQQDDGDLNSLASALEEMGKSEMLVAMATDGEC
+>tr|F1MW59|F1MW59_BOVIN Interaction protein for cytohesin exchange factors 1 OS=Bos taurus OX=9913 GN=IPCEF1 PE=4 SV=2
+MSYMATDGNELQVPLRQKQRKKTQGFFSMSRRRISCKDLGHADCQGWLYKKKEKGTFLGN
+KWKKFWVVLKGSSLYWYSNQLAEKADGFVNLPDFTVEKASECKKKHAFKISHPQIKTFYF
+AAENVQEMNLWLNKLGLAEIHPESTSKDEECYSESEQEDPEIAVQTPPLHHSAESLSPSA
+AQQASSSSPKLSETSRSFSSMENIKKTPSSLSSSISRERQSWIDMVSSSSATEDMGKSVT
+CAVQLLSPAPSEANGRGALENNADASESGFLNSLSSDDTSSLSSNIDHLTVPDKPAGSKM
+TDREETKASEDDEMEKLYKSLEQASLSPLGDRRPSTKKELRKSFVKRCKNPSINEKLHKI
+RTLNSTLKCKEHDLAMINQLLDDPKLTARKYREWKLMNTLLIQDIYQQHQQRRTPTSASE
+GSPQKPPSSACLENSI
+>tr|A4IFB2|A4IFB2_BOVIN KLF5 protein OS=Bos taurus OX=9913 GN=KLF5 PE=2 SV=1
+MATRVLTMSARLGPVPQPPAPQDEPVFAQLKPVLGAANPARDAALFSGEELKHPHHHPQA
+QPAPPQPAPPPAAGPRLPAEELVQTRCEMEKYLTPQLPPVSIIPEHKKYRRDSASVVDQF
+FTDSEGLPYSINMNVFLPDITHLRTGLYKSQRPCVTHIKTEPVTIFSHQSETTAPPPAPT
+QALPEFTSIFSSHQTAAPEVNNIFIKQELPTPDLHLSVPPQQGHLYQLLNTPDLDMPSST
+NQTAVMDTLNVSMSAAMAGLNTHTSAVPPTAMKQFQSMPPCTYTMPSQFLPQQATYFPPS
+PPSSEPGSPDRQAEMLQNLTPPPSYAATIASKLAIHNPNLPATLPVTSQNIQPVRYNRRS
+NPDLEKRRIHYCDYPGCTKVYTKSSHLKAHLRTHTGEKPYKCTWEGCDWRFARSDELTRH
+YRKHTGAKPFQCGVCNRSFSRSDHLALHMKRHQN
+>tr|Q3T187|Q3T187_BOVIN RAB5-interacting protein OS=Bos taurus OX=9913 GN=RIP5 PE=2 SV=1
+MSGGRRKEEPSQLQLANGALKVSVWSKVLRSDAAWEDKDEFLDVIYWFRQIIAVVLGVIW
+GVLPLRGFLGIAGFCLINAGVLYVYFSNYLQIDEEEYGGTWELTKEGFMTSFALFMVIWI
+IFYTAVHYD
+>tr|A5PJ71|A5PJ71_BOVIN MRPL41 protein OS=Bos taurus OX=9913 GN=MRPL41 PE=2 SV=1
+MGLLSGAARALVRGADRMSKWTSKRGPRTFHKSRGAKGVGFHGRGGKFVLVKEMVPELVV
+PDLAGFKLKPYVNYRAPEGTDVPLTAKQLFLETAAPAIEKDFKAGTFDPEHLERYGFEPT
+QEGKLFQLYPKNFPR
+>tr|Q3ZBS7|Q3ZBS7_BOVIN Vitronectin OS=Bos taurus OX=9913 GN=VTN PE=1 SV=1
+MTSLRPLLMLALLAWVVVADQESCKGRCTEGFNATRKCQCDELCSYYQSCCADFMAECKP
+QVTRGDVFHLPEDEYGFHDYSDAQTVNSNVEAQPESTTLAPVLQAQTLETPVQAPVLNPE
+KEVPPSGRGDSEPGMGTSDLGTSESPAEEETCSGKPFDAFTDLKNGSLFAFRGLYCYELD
+EKAVRPGYPKLIRDVWGIEGPIDAAFTRVNCQGKTYLFKGSQYWRFQDGVLEPDFPRNIS
+DGFGGIPDDVDAALALPAHNFNGRERVYFFKGNHYWEYVFQQQPSQEDCEGSSLPAAFKH
+FALMQRDSWVDIFRLLFWGGSYGGAGQPQLISRNWFGLPGRLDAAMAGHIYVSGSAPSFP
+RAKMTKSARRHRKRYRSLRSRGRGRGRNQNPYRRSRSAFLSWLSSEELGLGANNYDSFEM
+DWLVPATCEPIQSVYFFSEDKYYRVNLRTRRVDAVIPPYPRSIAQYWLGCPVPGQA
+>tr|A5PJV5|A5PJV5_BOVIN Aromatic-L-amino-acid decarboxylase OS=Bos taurus OX=9913 GN=DDC PE=2 SV=1
+MNASEFRRRGKEMVDYVADYLEGIEGRQVFPDVDPGYLRPLIPTTAPQEPETFEAIIEDI
+EKIIMPGVTHWHSPYFFAYFPTASSYPAMLADMLCGAIGCIGFSWAASPACTELETVMMD
+WLGKMLQLPEAFLAGEAGEGGGVIQGTASEATLVALLAARTKVTRHLQAASPELTQAAIM
+EKLVAYASDQAHSSVEKAGLIGGVRLKAIPSDGKFAMRASALQEALERDKAAGLIPFFVV
+ATLGTTSCCSFDNLLEVGPICHKEGLWLHVDAAYAGSAFICPEFRHLLNGVEFADSFNFN
+PHKWLLVNFDCSAMWVKKRTDLTGAFRLDPVYLRHSHQDSGLITDYRHWQLPLGRRFRSL
+KMWFVFRMYGVKGLQAYIRKHVQLSHAFEALVRQDTRFEICAEVILGLVCFRLKGSNKLN
+EALLESINSAKKIHLVPCSLRDRFVLRFAICSRTVELAHVQLAWEHIQEMAATVLRAQGE
+EKAEIKN
+>tr|Q7YRQ5|Q7YRQ5_BOVIN Hepatocyte nuclear factor 4 alpha OS=Bos taurus OX=9913 GN=HNF4A PE=2 SV=1
+MDMADYSAALDPAYTTLEFENVQVLTMGNDTSPSEGANLNAPNSLGVSALCAICGDRATG
+KHYGASSCDGCKGFFRRSVRKNHMYSCRFSRQCVVDKDKRNQCRYCRLKKCFRAGMKKEA
+VQNERDRISTRRSSYEDSSLPSINALLQAEVLSQQITSPVSGINGDIRAKKIASIADVCE
+SMKEQLLVLVEWAKYIPAFCELPLDDQVALLRAHAGEHLLLGATKRSMVFKDVLLLGNDY
+IVPRHCPELAEMSRVSVRILDELVLPFQELQIDDNEYACLKAIIFFDPDAKGLSDPGKIK
+RLRSQVQVSLEDYINDRQYDSRGRFGELLLLLPTLQSITWQMIEQIQFIKLFGMAKIDNL
+LQEMLLGGSSSEAPHAHHPLHPHLMPEHMGTNVIVANTMPAHLSNGQMSTPETPQPSPPG
+GSGSEPYKLLPGAIATIVKPPSAIPQPTITKQEVI
+>tr|F1N3Y9|F1N3Y9_BOVIN Zinc finger protein 771 OS=Bos taurus OX=9913 GN=ZNF771 PE=4 SV=1
+MPGEQQTEEEEEEEMQEEMVLLVKGEEEEGEEKYEVVKLKIPMDNKEVPSEAPAPSADPA
+RPHACRDCGRAFARRSTLAKHVRIHTGERPFACTECGRRFSQKSALTKHSRTHTGERPYE
+CPECDKRFSAASNLRQHRRRHTGEKPYACAQCGRRFAQSSNYAQHLRVHTGEKPYACPDC
+GRAFGGSSCLARHRRTHTGERPYACADCGTRFAQSSALAKHRRVHTGEKPHRCPVCGRGF
+GHRSNLAEHARTHTGERPYPCSECGRRFRLSSHFIRHRRAHMRRRLYICAGCGRDFKLPA
+GATATERCPDCEGS
+>tr|Q0VCY4|Q0VCY4_BOVIN Ral guanine nucleotide dissociation stimulator-like 2 OS=Bos taurus OX=9913 GN=RGL2 PE=2 SV=1
+MLPRPLRLLWDTSPPGGVVLSSFRSRDPEEGGGPSGRGGGGGQEEEDDDDDDEAPVSVWE
+EEEDGATFTVTSRQYQPLDSLAPRPPLRSSRKLRAGTLEALVRHLLDARTSGADMTFTAA
+FLATHRAFTSTPALLGLMVDRLEALESHPADELERTKGVAISVLSTWLASHPEDFGSEVK
+GQLDRLESFFLRTGYAAGEGIVGGGADLIRNLRSRVDPQTPELPKPLALPGDPPADPTDV
+LVYLADHLAEQLTLLDAELFLNLVPSQCLGGLWGHRDRPGHSHLCPSVRATVTQFNKVAG
+AVVSSVLGATSTGEGLGDVTVRPLRPPQRARLLEKWIRVAEECRLLRNFSSVYAVVSALQ
+SSPIHRLRAAWGEATRDSLRVFSSLCQIFSEEDNYSQSRELLLQEVKLQPSLEPNSKKSP
+RSASRGGGVVPYLGTFLKDLVMLDAASKDELENGYINFDKRRKEFAVLSELRRLQNQCRG
+YDLRPDPDIQRWLQGLRPLTEAQSHRVSCEVETPGSGDPPAPRVLRPTLVISQWTEVLGS
+VGGPTPLVSWDRPSVGAEEVPGTPAPLLTRLAQHMKWPSVSSLDSALESTPALQSPADPS
+HLSPPASSPRPSRGHRRSASCGSPLSGGAEGASKGPGCGGGAPGPGTSDCRIIRVQMELG
+EDGSVYKSILVTSQDKAPSVISRVLKKNNRDSAVASEYELVQLLPGERELTIPASANVFY
+AMDGASHDFLLRQRRRRPSSATVGLTSGPSASGTPPSEGGGGSFPRIKATGRKIARALF
+>tr|A2VDY9|A2VDY9_BOVIN P antigen family, member 4 (Prostate associated) OS=Bos taurus OX=9913 GN=PAGE4 PE=2 SV=1
+MSARVRSRSRGRGDGQESSDTAETMAARKLGGKKSQRKEPPAKNVDMEPGQEKEGGPSVV
+QEPELEGSRQELDVEKVEGELGDGPDGKGKIPPNVVPAKIPEGGDGQ
+>tr|Q32KS5|Q32KS5_BOVIN DCN1-like protein OS=Bos taurus OX=9913 GN=DCUN1D4 PE=2 SV=1
+MEFIQEQQATHPQGSEGMRHWTDFQLNSHLSTLANIHKIYHTLNKLNLTEDVGQDDHQTG
+SLRSCSSSDCFSKVMPPRKKRRPASGDDLSAKKSRHDSMYRKYDSTRIKTEEEAFSSKRC
+LEWFYEYAGTDDVVGPEGMEKFCEDIGVEPENVVMLVLAWKLDAQNMGYFTLQEWLKGMT
+SLQQKMVLVIASCWLAPWQSRSE
+>tr|A6QQV8|A6QQV8_BOVIN LBR protein OS=Bos taurus OX=9913 GN=LBR PE=2 SV=1
+MPSRKFADGEVVRGRWPGSSLYYEVEILSHDSNSQLYNVKYKDGTELELKESDIKPLTSF
+RQRKSGSTSSSPSRRRGSRSRSRSRSPGRLPKGSRRSASASYQADLKEARKEARKDMLEV
+KLTPLVLKPFGNSINRYNGEPELIERNDMPHKHTQEKFHLSQESSYIPTQYSLRARREEI
+KLKEVESKEEIVVTKRPALLRTSEVTATQSKDLEFGGVPGVFLIMLGLPAFLFLLLLMCK
+QKEPSLLNFPPPLPALGDLWETRVFGAYLLWFLLQALFHLLPIGKVVEGTPLTDGRRLKY
+RLNGFYAFILTSALVGACFFWNVELYYVYRHFLQFALAAILFATGLSVYLYARALKAPQD
+ELSPASSGNAIYDFFIGRELNPRIGTFDLKYFCELRPGLIGWVVINLVMLLAEMKEQNRA
+VPSLAMILVNSFQLLYVVDALWNEEALLTTMDIIHDGFGFMLAFGDLVWVPFIYSFQAFY
+LVSHPNELSWPMASLIIALKLCGFVIFRCANSQKNAFRKNPADPRLAHLKTIHTSTGKNL
+LVSGWWGFVRHPNYLGDLIMALAWSLPCGFNHILPYFYVIYFTILLVHREARDEHHCRKK
+YGLAWEKYCQRVPYRIVPYIY
+>tr|Q32P64|Q32P64_BOVIN Solute carrier family 35 member G1 OS=Bos taurus OX=9913 GN=SLC35G1 PE=2 SV=1
+MRPLDDTGAAKRQDSGLPLMDIRTPSSRQEPAAAEVVETPGPGGCWQCPSSPCGSRAQQE
+AEKKAPCPGLGLFYTLLSAFLFSVGSLFVKKVQDIHAVEISAFRCVFQMLIIIPCIIYRK
+YEKMNCSGLHPSGCGAAQVLNKAQRKGGNITSKSCNQTGHSL
+>tr|A7MB86|A7MB86_BOVIN STARD8 protein OS=Bos taurus OX=9913 GN=STARD8 PE=2 SV=1
+MTLNSCASMKLEVHFQCKQNEDSEEEEHCTISNHWTFERENKQWSRMGSSDLLAPASPDL
+PVTSSCEGVLTELSATSLPAITVSLPPEPADLPLLGHSSSPSHWPFFSPTRGQEGPRDKT
+KKHRSQSFLKHLESLRRKEKGGGRQADLEHSSVTSEKVAKAFSFRSRHGFLYRAKNQAAT
+SASGSGAETQRAWEAWPVAMFQHPPRAHQGDCLVHVPRDHKPGTFPRSLSIESLCPEDGH
+RLADWQPGRHWGHEGRRGSCGSIGSHTSIYDNMPELYPAEPVLAGAEAEEEEEEGGDSYT
+HLDDILQHVWGLQQRVELWSQAMCPDLGPGDKEEEEEEEVEEEATSTVEIATDGVEGQTG
+EAQTQGEAPALRESLALGQVDVQLGVLAQPQTPAKAKFLAQSETGAPGFAQDHEEETHSV
+GEPTSSSSLSVEEEHVSDTVASSSELDSSRNSMNEAEATGSPTGLQTSVSRERRDSGVGA
+SLTRPCRKLRWHSFQNSHRPSLNSESLEINRQFASQIHLLHKGSLLRLTTFMEKYTIPHK
+QGWVWSVPKFMKRNKTPNYRGQQVFGVPPLIHVQRTGQPLPQSIQQAMRYLRSQCLDQVG
+IFRKSGVKSRIQTLRQMNETSPDNVCYEGQSAYDVADLLKQYFRDLPEPIFTSKLTTTFL
+QIYQLLPKDQWLAATQAATLLLPDENREVLQTLLYFLSDIASAEENQMTAGNLAVCLAPS
+IFHLNVSKKDNPSPRIKSKRSLVGRPGPRDLSENMAATQGLSHMISDCKKLFQVPQDMVL
+QLCGSYSAAELSPPGPALAELRQARAAGVSLSLYMEESIQELLHNAAERFKGWMSMPGPQ
+HTELACKKAPDGHPLRVWKASTEVAAPPAVVLHRVLRERALWDEDLLRAQVLEALMPGVE
+LYHYVTDSMAPHPCRDFVVLRMWRSDLPRGGCLLVSQSLDPEQPVPESGVRALMLTSQYL
+MEPCGLGRSRLTHICRADLRGRSPDWYNKVFGHLCAMEVAKIRDSFPTLQAAGPETKL
+>tr|Q2KI35|Q2KI35_BOVIN Sorting nexin 6 OS=Bos taurus OX=9913 GN=SNX6 PE=2 SV=1
+MMEGLDDGPDFLSEEDRGLKAINVDLQSDAALQVDISDALSERDKVKFTVHTKSSLPNFK
+QNEFSVVRQHEEFIWLHDSFIENEDYAGYIIPPAPPRPDFDASREKLQKLGEGEGSMTKE
+EFTKMKQELEAEYLAIFKKTVAMHEVFLCRVAAHPILRKDLNFHVFLEYNQDLSVRGKNK
+KEKLEDFFKNMVKSADGVIVSGVKDVDDFFEHERTFLLEYHNRVKDASAKSDRMTRSHKM
+HSEF
+>tr|A6QR34|A6QR34_BOVIN Phosphotransferase OS=Bos taurus OX=9913 GN=GCK PE=2 SV=1
+MLDDRARMEISKKEKAEQILAEFQLQEEDLKKVMRRMQKEMDRGLRLETHKEASVKMLPT
+YVRSTPEGSEVGDFLSLDLGGTNFRVMLVKVGEGEAGQWSVKTTHQMYSIPEDAMTGTAE
+MLFDYISECISDFLDKHQMKHKKLPLGFTFSFPVRHEDIDKGILLNWTKGFKASGAEGNN
+IVGLLRDAIKRRGDFEMDVVAMVNDTVATMISCYYEDRRCEVGMIVGTGCNACYMEEMQN
+VELVEGDEGRMCVNTEWGAFGDSGELDEFLLEYDRVVDENSLNPGQQLYEKLIGGKYMGE
+LVRLVLLKLVDENLLFHGEASEQLRTRGAFETRFVSQVESDSGDRKQIYNILSTLGLRPS
+ATDCDIVRRACESVSTRAAHMCAAGLAGVINRMRESRSEDVMRITVGVDGSVYKLHPSFK
+ERFHAIVRRLTPSCEITFIESEEGSGRGAALISAVACKKACMLGQ
+>tr|F1MGX5|F1MGX5_BOVIN Protein HEXIM2 OS=Bos taurus OX=9913 GN=HEXIM2 PE=4 SV=1
+MKDWEQKKVASPNQPPPAALEEAKISGTCGSPRTSPEPHDPGGSQPLTPRMESHSEEEDR
+PGAGGGLGWNGRSPRTQSLGACSAEAMLARKKHRRRPSKRKRHWRPYLELSWAEKQQRDE
+RQSQRASRVREEMFAKGQPVAPYNTTQFLMNDRDPEEPNLDVPQGASHPGSSGESEAGDS
+DGQGRARGEFQQKDFSEAYERYHTESLQGRSKEELVRDYLDLERRLSQAEEEMRRLRQLR
+GCTNWRPCYQVEELAAEVERLRTENQRLRQENEMWNREGGRRGGQPGS
+>tr|Q2TBQ1|Q2TBQ1_BOVIN Coagulation factor XIII B chain OS=Bos taurus OX=9913 GN=F13B PE=2 SV=1
+MRSKSLALIIILIISGELHAEEKPCGFPSVENGRIAQYYYIFESYYFPMSINQNLAFSCL
+VGYTTATGKREARTTCTAAGWSPEPRCFKKCPKPDLKNGYIFDSKLSYKIQENMRYRCTS
+GYKTMAGQDEEVVQCLADGWSPQPTCSKEHETCLAPELHHGNYFTTQKTFKVKERVRYEC
+ASGYHTASGKSTEEAECHPYGWALTPQCTKLKCSSLRVIENGYFHPVKQTYEEGDVVQFF
+CHKNYYLSGSDLIQCYNFGWYPESPVCEGRRNRCPPLPLPLNSNLQTYSTTYRHGETVRI
+ECALNFAMQGAEEIRCENGKWTEPPRCVEDKQRMTCEAPPSVEHGTTTPSSEVYHSGDKV
+AYVCERGYHLRGPGEITCNRGRWTLPPECVENTENCNPPPDVINGAIIGELLASYPTGSS
+VEYRCNEYYLLRGAKISHCEQGRWSSPPVCLEPCTVNVGDMRRNHVELKWNYEGKILHGD
+LIDFVCKQGYELSPSTPPSELSVQCDRGEVKYPSCVRKESKRMCASPPAIKNGVIKSSTL
+STYENGSSVEYICFKHHFLQGARESYCLEGVWTTPPSCLEPCTLSFDTMENNNLLLKWNF
+DNRPYIFHGEYVEFLCKGDTYIAGFPDPVSESRVQCDRGQLNYPRCVQRERMLSYQEPLR
+T
+>tr|Q2NKV1|Q2NKV1_BOVIN Angiogenin, ribonuclease, RNase A family, 5 OS=Bos taurus OX=9913 GN=RNASE4 PE=2 SV=1
+MVMVLSPLFLVFILGLGLTPVAPAQDDYRYIHFLTQHYDAKPKGRNDEYCFNMMKNRRLT
+RPCKDRNTFIHGNKNDIKAICEDRNGQPYRGDLRISKSEFQITICKHKGGSSRPPCRYGA
+TEDSRVIVVGCENGLPVHFDESFITPRH
+>tr|E1BH12|E1BH12_BOVIN TLC domain-containing protein OS=Bos taurus OX=9913 GN=CLN8 PE=4 SV=3
+MGSMSLLGAGALDLDYTSGRVRGVLVAAGCAFYLGVFVVCHRLSSSLNATYRSLAAREQV
+FWNLAATRAVFGIQGTAAGLWALLLDPVLQADKALGQQDWCWFHITTATGFFFFENLALH
+VSNALFHTFDGFLAVHHLFAFLGFLGSAVNLQAGHYLPMVTLLLEMSTPFTCISWMLLKA
+GCANSRLWRLNQWAMVHLFHCRMVLTYHMWWVCLGHWAGLARSLFPPHLALFVVGLALLT
+LLINPYWTRKKTQQLLNPVDWNFAPGTPNGPAQPKKAR
+>tr|A6H7H9|A6H7H9_BOVIN EFS protein OS=Bos taurus OX=9913 GN=EFS PE=2 SV=1
+MAIATSAQLARALYDNTAESPQELSFRRGDVLRVLQREGAGGLDGWCLCSLHGQQGIVPA
+NRVKLLPAGPAPKPGLSQVPPTQPGSPHPAPEHGSEDQELYVVPPPARLCPTSRPPTGPC
+PPSPDPIYKVPRGGGTQLAAPGAALEVYDVPPTALRVPSNGPYDSPASFARPLAQVAPQS
+LGEDEAPYDVPLAPKSPSDLELDLEWEGGREPGPPLYAAPSNLKRASALLNLYEAPEELL
+ADGEGGGADEGIYDVPLLGPETPPSPEPLGASASSDLDTLALLLARSPPPPHRPRLPSAE
+SLSRRPLPALPVPEAPSPSPAPSPAPGRKGSIQDRPLPPPPPRLPGYGGPKVEGDPEGRE
+VEDHPAGHHNEYEGIPVAEEYDYVHLKGMDKVQEARPPDKASPGDPEQLEREPPEQQEAL
+SPGESLVLPTGDLQLLHFYAGQCQGHYSTLQAAVAALMSSTQAKQPPHLFVPHGKRALVA
+AHRLVFVGDTLGRLAASAPLRAQVGAAGTALGQALRATVLAIKGAALGYPSSPAAEEMAQ
+CVADLAGQALQFTTLLTSLAP
+>tr|Q0P5C9|Q0P5C9_BOVIN Solute carrier family 39 (Zinc transporter), member 7 OS=Bos taurus OX=9913 GN=SLC39A7 PE=2 SV=1
+MARGLGAPRWVAVGLLTWAALGLLVAGHGGHGDLYEDLHEDFHGHSHRHSHEDFHHGHSH
+AHGHGHTHESIWHGHTHGHDHGHLHEDLHHGHSHGHSHESLHHRGHGHDHEHSHGGSGES
+GAPGVKQDLDTVTLWAYALGATVLISAAPFFVLFLIPVESNSPRHRSLLQILLSFASGGL
+LGDAFLHLIPHALEPHSHHPPEQPGHGHSHSGQGPILSVGLWVLSGIVAFLVVEKFVRHV
+KGGHGHSHGHGHAHGHTHGSHEHGRQERSSKEKQSSEEEEKEAGALRKRRGGSTRPKDGP
+VRPQNAEEEKAGSDLRVSGYLNLAADLAHNFTDGLAIGASFRGGRGLGILTTMTVLLHEV
+PHEVGDFAILVQSGCSKKQAMRLQLLTAVGALAGTACALLTEGGAVGSEVAGGAGPGWIL
+PFTAGGFIYVATVSVLPELLREASPLQSLLEVLGLLGGVVMMVLIAHLE
+>tr|E1BF95|E1BF95_BOVIN Protein phosphatase, Mg2+/Mn2+ dependent 1F OS=Bos taurus OX=9913 GN=PPM1F PE=3 SV=2
+MASGAPQQNGQTAEETPGFLDTLLRDFPAPLSPESPLPWKVPGPVLTLEEVEGELAEVAM
+GFLSSRSAPPPLAACLAHEAVSQLLQSDLSEFRKLPEQEEEDGDRGDRAEEKAPVTLLDA
+AGLARSLFDRLWQACGQWQQQVPAAARAPQRQWLVSAHAIRNARRRMEDRHVCLPAFNLL
+FGLEDSVDRAYFAVFDGHGGADAARYASVHVHAVAARRPELAADPAEALRAAFRRTDEMF
+LWKARRERLQSGTTGVCALIAGNTLHVAWLGDSQVLLVRQGQAVKLMEPHRPERQDEKDR
+IEALGGFVSHMDCWRVNGTLAVSRAIGDVFQKPYVSGEADAASWELTGSEEYLLLACDGF
+FDVVPHQEVASLVRSRLAGPQGSGLRVAEELVAAARERGSHDNITVVVVFLRDPQDLLEP
+EPDAPRS
+>tr|A0A3Q1LLW6|A0A3Q1LLW6_BOVIN PDZ domain containing 2 OS=Bos taurus OX=9913 GN=PDZD2 PE=4 SV=1
+MLRRFKHQVHSPYNGNSSNSSEPGETPTLELGDQTVKKGKRARKFGVIARPSTHKATEES
+KSSTGCELDHDPVSELDNGLDPELGNGHAFELENGPDLLKEVAGSHLDRSELDRGTEPRI
+PKTDAPLPTSNDKRRFSKSGKTDFQSSDCLAREEVGRIWKMELLKESDGLGIQVSGGRGS
+KRSPHAIVVTQVKEGGAAHRDGRLSLGDELLVINGHLLVGLSHEEAVAILRSATGVVQLV
+VASKENSAEDLLRLTSKSLPDLTSSTEDVSSWTDNEDQEPDEDEGTGSSSIQSAMPGTEE
+PHDACGPEESKGNLESPKQGSSKMKLKSRLSGGVHRLESVEEYNELMVRHGDPRARMLEV
+SRDGRKHSLPQLLDSTGTSQEYHIVKKSTRSLSTTQVESPWRLIRPSVISIIGLYKEKGK
+GLGFSIAGGRDCIRGQMGIFVKTIFPNGSAAEDGRLKEGDEILDVNGIPIKGLTFQEAIH
+TFKQIRSGLFVLTVRTKLLSPSLTPCSTPTHMSRSSSPNFNASGGTSAGGSDEGSSSLGR
+KAPGPKDRIVMEVTLNKEPRVGLGIGACCLALENSPPGIYIHSLAPGSVAKMESNLSRGD
+QILEVNSVNVRHAALSKVHSILSKCPPGPVRLVIGRHPNPKVSEQEMDEVIARSTYQESK
+EASSSPGLGTPLKSPSFAKKDSLISESELSQYFAHDAPGPLSDFMVAGSEDEDPPGSGGS
+SSSVEPPSTSPAHKEPGKARANSLVSLGSQRASGLFHKQVTIARQASLPGSPEVLRNPLL
+RQRRVGCSDDDASDEEEFDGEGDCISLPGTLSGPSRPLTEDNSTHVSTASSKVTGINREE
+HPKKTLVSKASSVPLLGSSLDFQESLPGGVRDPLSHAASLLVPSEAPKGSPGCSGRKELS
+GSRSSPKLECRAGTGTQGPASTDSPSSLQQNDSLGSRHKPVARVSPHRKRPEAEARPSSA
+ESAQLTDGASDPRGADLKVQDSSIQVTVTGYRPGGTVEKESLDKPSVGDGRVPTEWGPGG
+ALPHPDASHPTENPPAATSKQPGTGPDGSPDPLPSPGQKEAAHPDPSQTSVDTEPARRPE
+DPGGPESPRIPKSEDSTSPGTMAMARPDLREMRAAPNASSPCAARKAATPRGAGPMAEGA
+PSTGAGLPQDLMSGEKSQERVLGHHAKAPQTSAVLAPENCQGSALPQGTDSGSTSAPQAG
+LALPSLSDKAQEACGGASGPGCPGGNGRCPVTDIDRLLVEPDVSGARLPSPGKGDWLSRE
+QAAQGPQLASGSPTPAPRRPGAAGPAPPPQWAGQPSVLDSINPDRHFTVNKSFLSNYSRN
+LSSLHEDSTSLSGLGDSTEPSVSLSSMYGDVEDSSSDPESFTDAPRAPPRDSWSPPHPRP
+PEPSHKGDATESEEEQVEICSTDGSPDRPSATALAPARVAACPALATALPPKDGALSQVG
+AEAGHTARFVPGTSTPPQPPPPLSDVSSQEAEPPEDARVSQDHRSPSEEDSGEATQPPPG
+TRPIPSSLGTLGSPNVVNGLEYDHLDDKAPPEKQEIDVHTAENQASFRACVPKNGDSALG
+NLHISGGQGPEDLLPKPKAISRRPLMAWFREINKNNHGTHPQSKTEMEQSTLLARSPDPK
+AQVLSSSHKKGVPVPDSPPPRLNLENKDLPRKSSVETLLSNCQKPKAGPKLKRLSIKSKS
+KVSSEVPAAHTGKTGSTEHRKALVSPQASHKMLSKVASHRFHTADHEELDKTAAAAPQSP
+QCVEGKLPPGTPGSLKPSASDSSIRMFVSPVTTPKTLPEQGGCGRLHPAVHAEPDRGFPA
+APSPPKCGPESRAPLASPGPASPAALRSGTSTVAGKLEVPTPGQGEWSLSSQTDSAAEAA
+LPGVTGDKRSKIIASEPLERTKQLKIIEIPKSTCGDILAERDRQGGLLSQSSHQEESEAG
+LCHQPGESSPNHPSFLPTRVSQVELEAQQRSVSLARLSSSSSPQLLARKADPGQMGNPLG
+VPRNGPPVGPVLDDHPYFTPRPATRTYSMPAQFSSHFGREGHTPQSPGRTPRDGQIPGTS
+GGLLEAKASRGAVLSLANGQGVYSVKPLLETSGNLPTTDEADVLSAQETSCQLTDRVTVT
+RRHHYSPQNWPHEATSFFSVKQRIKSFENLAHSDRPAAKAGASSFLSVSSKPPIGRRSSG
+SVVSGPLSHSSDPTARLLRRSLSSCSESQGEATTVVPPMTKSPSSMTLTVSRQTPADARN
+KGADSDPKRPPGPSGIPAPTATPASPAKRNKSSVRHTQASPLSRSKLQELRALSMPDLDK
+LCSEDFSAGPSAVLFKTELEIVPRRSRGSPAGGLAGSTALSCPKDGAERACPKATDPRAP
+SSAHDVGETAQDLPSGRSWSVNLQQLLVSAGDQQRLQSILSSVGSRSTVLTLIQEAKAQS
+ENKEDICFIVLNKKEGSGLGFSVAGGTDVQPKSIVVHRVFSQGAASQEGTVSRGDFLLSL
+NGASLAGLAHGDVLKALHQAQLHKDVLMVIKKGSDQPRPSSRQEPPTANGKGLVSRKTSP
+LEPGTAGRSTAAHEALCVEVLKTSAGLGLSLDGGKSSMSGDGPLLVKRVYKGGAAEQAGT
+IEAGDEILAINGKSLVGLMHFDAWNIMKAVPEGPVQLVIRKHRNSSCSKHW
+>tr|A6QQD0|A6QQD0_BOVIN LOC100125578 protein OS=Bos taurus OX=9913 GN=SUGCT PE=2 SV=1
+MLASLARVAALRRTGLFSRPGGGTGLWTGRPQSDTDNVKPLEGVKILDLTRVLAGPFATM
+NLGDLGAEVIKVERPGAGDDTRTWGPPFVGTESTYFLSVNRNKKSIAVNIKDPKGVKIVK
+ELAAVCDVFVENYVPGKLSAMGLGYEDIDEIAPHIVYCSITGYGQTGPLSQRAGYDAVAS
+AVSGLMHITGPEDGDPVRPGVAMTDLATGLYAYGAIMTGLIQRYKTGKGLFIDCNLLSSQ
+VACLTQVAANYLIGQKEAQRWGTAHGSIVPYQAFKTKDGYLVVGAGNNQQFAAVCKILNL
+PELINDSKYRTNHLRVQNRKELIKILSTRFKEEMTSKWLQLFEGSGVPYGPINNMKNVFA
+EPQVLHNDLIMEMKHPTVGKISVPGPAVRYSKFKMSEARPPPLLGQHTTHILKEVLRYDD
+RAIRELLSTGVVTQHEVE
+>tr|A6H6Z0|A6H6Z0_BOVIN GAPT protein OS=Bos taurus OX=9913 GN=GAPT PE=2 SV=1
+MLKICGNTSVAVSIGIFLLLLLVICGIGCVWHWKHQNTMRFTLPKFLQRRRSRKKDYTKT
+FSLSPQFIGPRHKTSVQTQDRHSAGKDTNIHDNYENVKVCPPKAKGETDKKLYENTWQTN
+PEEHIYGNETPCDYYNFEKPSTSEAPQEEDIYILPDSY
+>tr|A4IFV3|A4IFV3_BOVIN MGC157324 protein OS=Bos taurus OX=9913 GN=MGC157324 PE=2 SV=1
+MYTSEERYNQRTQKRKIYHVCPQKGKKIFIHVHEITQIDDQIYECLEREQNFCENLALIM
+CERTHTGEKPYRCDMCEKTFIQSSDLISHQRIHSYEKPYKCSKCEKSFWHHLALSGHQRT
+HAGKKFYTCDICGKNFGQSSDLLVHQRSHTGEKPYLCSECDKCFSRSTNLIRHRRTHTGE
+KPFKCLECEKAFSGKSDLISHQRTHTGERPYKCNKCEKSYRHRSAFIVHKRVHTGEKPYK
+CGACEKCFGQKSDLIVHQRVHTGEKPYKCLECMRSFTRSANLIRHQATHTHTFKCLEYEK
+SFSCSSDLIVHQRIHMEEKPHQWSACDSGFLLGMDFVAQQKMRTQTEELHYKYSVCDKSF
+HQSSALLQHQTIHFGEKPYICNVAEKGLELSPPNVSEASQMS
+>tr|A6QR19|A6QR19_BOVIN ENO2 protein OS=Bos taurus OX=9913 GN=ENO2 PE=2 SV=1
+MSIEKIWAREILDSRGNPTVEVDLHTAKGLFRAAVPSGASTGIYEALELRDGDKQRYLGK
+GVLKAVDHINTTIAPVLISSGLSVVEQEKLDNLMLDLDGTENKSKFGANAILGVSLAVCK
+AGAAERELPLYRHIAQLAGNSDLILPVPAFNVINGGSHAGNKLAMQEFMILPVGAESFRD
+AMRLGAEVYHTLKGVIKDKYGKDATNVGDEGGFAPNILENSEALELVKEAIDKAGYTEKI
+VIGMDVAASEFYRDGKYDLDFKSPADPSRYITGDQLGALYQDFVRDYPVVSIEDPFDQDD
+WAAWSKFTANVGIQIVGDDLTVTNPKRIERAVEEKACNCLLLKVNQIGSVTEAIQACRLA
+QENGWGVMVSHRSGETEDTFIADLVVGLCTGQIKTGAPCRSERLAKYNQLMRIEEELGDE
+ARFAGHNFRNPSVL
+>tr|A6QL75|A6QL75_BOVIN PTP4A2 protein OS=Bos taurus OX=9913 GN=PTP4A2 PE=2 SV=1
+MNRPAPVEISYENMRFLITHNPTNATLNKFTEELKKYGVTTLVRVCDATYDKAPVEKEGI
+HVLDWPFDDGAPPPNQIVDDWLNLLKTKFREEPGCCVAVHCVAGLGRAPVLVALALIECG
+MKYEDAVQFIRQKRRGAFNSKQLLYLEKYRPKMRLRFRDTNGHCCVQ
+>tr|B1H0W3|B1H0W3_BOVIN NPY2R protein OS=Bos taurus OX=9913 GN=NPY2R PE=2 SV=1
+MKMGPLGAEADENQTVEEMKVDQFGPGHTTLPGELAPDSEPELIDSTKLIEVQVVLILAY
+CSIILLGVIGNSLVIHVVIKFKSMRTVTNFFIANLAVADLLVNTLCLPFTLTYTLMGEWK
+MGPVLCHLVPYAQGLAVQVSTITLTVIALDRHRCIVYHLESKISKQISFLIIGLAWGVSA
+LLASPLAIFREYSLIEIIPDFEIVACTEKWPGEEKGIYGTVYSLSSLLILYVLPLGIISF
+SYTRIWSKLKNHVSPGAAHDHYHQRRQKTTKMLVCVVVVFAVSWLPLHAFQLAVDIDSHV
+LDLKEYKLIFTVFHIIAMCSTFANPLLYGWMNSNYRKAFLSAFRCEQRLDAIHSEVSVTF
+KAKKHLQVTKNNGPNDSFTETTNV
+>tr|A3KMV3|A3KMV3_BOVIN E3 ubiquitin-protein ligase OS=Bos taurus OX=9913 GN=WWP2 PE=2 SV=1
+MASASSSRAGVALPFEKSQLILKVVSAKPKVHSRQPRINSFVEVAVDGLPSETKKTGKRI
+GSSELLWNEIIILNVTAQSHLDLKVWSCHTLRNELLGTASINLSSVLKNNGGKMENTQLT
+LNLQTENKGGVVSGGELTIFLDGPTVDLGSVPNGSAVTDGSQPPSRESSGTAVAPENRHQ
+PPSTNCFGGRSRTHRHSSGSARPATAAGEQSPGTSSRHHQPVKNPSHSSLANGTVNDEPT
+ATTDPEEPSVVGVASPPVAVSSVTPNPTTAAIPATATPAEGEEPSTSGTQQFPAAAQAPD
+ALPAGWEQRELPNGRVYYVDHNTKTTTWERPLPPGWEKRTDPRGRFYYVDHNTRTTTWQR
+PTAEYVRNYEQWQSQRNQLQGAMQHFSQRFLYQSSSASTDHDPLGPLPSGWEKRQDNGRV
+YYVNHNTRTTQWEDPRTQGMIQEPALPPGWEMKYTSEGVRYFVDHNTRTTTFKDPRPGFE
+SGTKQGSPGAYDRSFRWKYHQFRFLCHSNALPSHVKISVSRQTLFEDSFQQIMNMKPYDL
+RRRLYIIMRGEEGLDYGGIAREWFFLLSHEVLNPMYCLFEYAGKNNYCLQINPASSINPD
+HLTYFRFIGRFIAMALYHGKFIDTGFTLPFYKRMLNKRPTLKDLESIDPEFYNSIVWIKE
+NNLEECGLELYFIQDMEILGKVTTHELKEGGESIRVTEENKEEYIMLLTDWRFTRGVEEQ
+TKAFLDGFNEVAPLEWLRYFDEKELELMLCGMQEIDLSDWQKHTIYRHYTKNSKQIQWFW
+QVVKEMDNEKRIRLLQFVTGTCRLPVGGFTELIGSNGPQKFCIDKVGKETWLPRSHTCFN
+RLDLPPYKSYEQLKEKLLYAVEETEGFGQE
+>tr|E1BF89|E1BF89_BOVIN Chromosome 15 C11orf52 homolog OS=Bos taurus OX=9913 GN=C15H11orf52 PE=4 SV=1
+MGNQLCCGRSWSCTSTLQRKKKIGSQARRTLRRQQQQPQHEWWRQLNDTKDHDTMGHTYE
+QVLRQPVSQERSRQSLRSEEGSLYYADIQLYRLPQPRSVQEVKHLQLKNATEYATLRFPQ
+VTPRYDSKNGTLV
+>tr|F1MXB5|F1MXB5_BOVIN Zinc finger protein 572 OS=Bos taurus OX=9913 GN=ZNF572 PE=4 SV=1
+MEQEQKLLVSDSNGLTKKESLKNTITGDESKNNLKTVQFSNSKADKERASKWSRSDGPEN
+YKDEDTKEILLTGSQGGKTERDDSYENDSNLGSQRNCTEKEEEQPNHWGWSPGDHTGPAG
+QQNPSFGDKPYKCSECWKSFSNSSHLRIHQRTHSGEKPYRCSECGKCFSNSSHLIQHLRT
+HTGEKPYQCGECGKSFSNTSHLIIHERTHTGEKPYKCPECAKSFSSSSHLIQHHRSHTGE
+KPYECPLCGKCFSHSYVLVEHQRTHTGEKPYKCPDCGKSFSQSSSLIRHQRTHTGEKPYK
+CPECGKGFGCNSTLIKHQRIHTGEKPYQCIECGKNFSRSSNLVTHQKMHTDDKTYQSSEY
+EESLSQNYSLIEECRIQPGEKPYKCCECGKSFGLSSHLIRHQRTHTGEKPYRCSECWKTF
+SQSSTLVIHQRTHTGEKPYKCPDCGECFSQSFNLIRHRRTHMGEKPYKCTDCEKCFSRSA
+YLSQHRKIHVGKSFESPEVEDFPHEWTWKNYSGEIALIPSFSVPSSSPS
+>tr|Q08DF6|Q08DF6_BOVIN Metal regulatory transcription factor 1 OS=Bos taurus OX=9913 GN=MTF1 PE=2 SV=1
+MGDHSPDDSISYFEVEEDELTPDDKMLRFVDKNGLVPSSSGTVYDRTTVLIEQDPGTLED
+EDDDGQCGEHLPFLVGGEEGFHLIDHEAMSQGYVQHIISPDQIHLTINPGSTPMPRNIEG
+ATLTLQSECPETKRKEVKRYQCTFEGCPRTYSTAGNLRTHQKTHRGEYTFVCNQEGCGKA
+FLTSYSLRIHVRVHTKEKPFECDVQGCEKAFNTLYRLKAHQRLHTGKTFNCESEGCSKYF
+TTLSDLRKHIRTHTGEKPFRCDHDGCGKAFAASHHLKTHVRTHTGERPFFCPSNGCEKTF
+STQYSLKSHMKGHDNKGNSYSALLNHNGSEDTNHSLCLRNLSLLSTDSEFQENSNTGQNL
+STLSPAIIFGPMFQKSDDPAIQEDPQQTAALIESFNGDAESVSDVPPSTRHSASLSLPLI
+LQPGISEPPQPLLPASAPSAPLPAPSLGTGSQQAAFGNPPALLQPPEVPVAHSTQFAANH
+QEFLPHLQAPQPIVPGLSVVAGALASAAAVASAMAAPPQPQSTTEPLPAMVQTLPLDANS
+VLTSNPTITITPTPSAAILQPSLVMGEQNLQWLLNGATSTPQAQEQIQQASKVEKVFFTT
+AVPVASSTGSAVQQIGLSVPVIIIKQEEACQCQCACRDSAKERAASRRKGCPSPPPPTLS
+AQPPDGPSLKLPPQTFSPPPIPLSSSSTAASSCEQSRQAVTPSDPQTEPLRAIDMSEFLS
+LQSLDTTSNLIPIEALLQEEEEMGLTSSFSK
+>tr|A4FV91|A4FV91_BOVIN GRIK1 protein OS=Bos taurus OX=9913 GN=GRIK1 PE=2 SV=1
+MPNTTLTYDIQKINLFDSFEASRRACDQLALGVAALFGPSHSSSVSAVQSICNALEVPHI
+QTRWKHPSVDNRDLFYINLYPDYAAISRAVLDLVLYYNWKTVTVVYEDSTGLIRLQELIK
+APSRYNIKIKIRQLPSGNKDAKPLLKEMKKGKEFYVIFDCSHETAAEILKQILFMGMMTE
+YYHYFFTTLDLFALDLELYRYSGVNMTGFRLLNIDNPHVSAIIEKWSMERLQAPPRPETG
+LLDGMMTTEAALMYDAVYMVAIASHRASQLTVSSLQCHRHKPWRLGPRFMNLIKEAQWDG
+LTGRITFNKTDGLRKDFDLDIISLKEEGTEKEEPYVMYRKSDKPLYGNDRFEGYCLDLLK
+ELSNILGFIYDVKLVADGKYGAQNDKGEWNGMVKELIDHKADLAVAPLTITYVREKVIDF
+SKPFMTLGISILYRKPNGTNPGVFSFLNPLSPDIWMYVLLACLGVSCVLFVIARFTPYEW
+YNPHPCNPDSDVVENNFTLLNSFWFGVGALMQQGSELMPKALSTRIVGGIWWFFTLIIIS
+SYTANLAAFLTVERMESPIDSADDLAKQTKIEYGAVRDGSTMTFFKKSKISTYEKMWAFM
+SSRQQTALVKNSDEGIHRVLTTDYALLMESTSIEYVTQRNCNLTQIGGLIDSKGYGVGTP
+IGSPYRDKITIAILQLQEEGKLHMMKEKWWRGNGCPEEDNKEASALGVENIGGIFIVLAA
+GLVLSVFVAIGEFIYKSRKNNNIEQCLSFNAIMEELGISLKNQKKLKKKSRTKGKSSFTS
+ILTCHQRRTQRKETMA
+>tr|A6QQ78|A6QQ78_BOVIN LOC100125913 protein OS=Bos taurus OX=9913 GN=LOC100125913 PE=2 SV=1
+MGGCLSRPRPRQSSSPALRGGDQPESTECLGPAHTASRVPPACRVHSWAPTLDLARRLSY
+EGLMASPRRRLHLRGFVLVHRRQYSIQQARCLFWCFFPSVPRSGRQKPLPSACSSKMFHT
+SVILRMASAKGKLTLRLPLKQTVICTWSSFSVHLPNLCVKEILVRALEESGQLRGKEEKD
+LTALAESRKGLAKQKKGQSAQESQDKQRRGSNPGGNAQSAFRHLIINGVLSSFVPRPGPL
+VLCSKSSDILIRKSQTYFLSSCSKRNAITSSYSSTRGFLQLQRMGPGAARLLGPESSHLH
+EVSDKTSEECHWPNPSASMEPQRKIKDEKIADDHLRKKQNLNCSQSSDSFRPRKRKIPLL
+LPTRRNGPLILPPPLQIGYPVTAEDLDLEKRAAIQWINNVLEG
+>tr|A3KN17|A3KN17_BOVIN TERF1 interacting nuclear factor 2 OS=Bos taurus OX=9913 GN=TINF2 PE=2 SV=1
+MATPPGAGPAALRFVAAASWQVIRGRCVEHFPRVVEFLRYLRAAAPGLVRYRHHERLCMG
+LKAKLVVDLILQGRPWAQVLNALHHHFPESGPVVRDPKITKQDLRKISEAQETFCQQVKQ
+LAEAPVDLASKLQELEQEYGETFMAAMEKLFFEYLCQLEKALPTLQAQQLQDVLSWMQPG
+VSITSSFVLSQYGVDMGWPLPEYSATDSVNTTEPSEQSPPQQPRPALHDPLPKASPGPLL
+PQGPASRKHPEPLTGHHFNLAPLGRRRIQPQWAPTSRGHKERPTVMLLPFRDVGSPAQVT
+SKPGKSKDGTHTADAAGAVGTRAPSTGKSKSPSETLGGRALKENPTDSSASEQKENCHMD
+CHMEPLRLSLSPPRKSVCPPSLYSSDITIGDLVLDSDEEEDGQRERRESLENYQKTKFDT
+LIPTFCEYLPSSGPSTVSIPFPDCTDSSRLL
+>tr|F1MFU4|F1MFU4_BOVIN MHC_I-like_Ag-recog domain-containing protein OS=Bos taurus OX=9913 GN=LOC100336795 PE=4 SV=3
+MAWIRGFKPGLCFLLLLLFYPFSATPGDAHSLCYNFTVDSQPRPGQPWCVVQGQVDGKVF
+LSYDCGRAKIQFTSPLGEEVKTTKAWETQTETLRDIGDLLREQLPDVTSEKRTVTDPLTL
+QGRMTCRCEDDGHISGSWQFGFSGQMCLLFDSENGHWTEVHSGGRRMREKWEKDRAVTNF
+FKKVSMGDCRVWLQDFLVHWEEMLKTTASPAEAPLRVNSSAPAIRHITWILPVLLTGFII
+TVFLG
+>tr|A2VDM7|A2VDM7_BOVIN Splicing factor 1 OS=Bos taurus OX=9913 GN=SF1 PE=2 SV=1
+MATGANATPLDFPSKKRKRSRWNQDTMEQKTVIPGMPTVIPPGLTREQERAYIVQLQIED
+LTRKLRTGDLGIPPNPEDRSPSPEPIYNSEGKRLNTREFRTRKKLEEERHNLITEMVALN
+PDFKPPADYKPPATRVSDKVMIPQDEYPEINFVGLLIGPRGNTLKNIEKECNAKIMIRGK
+GSVKEGKVGRKDGQMLPGEDEPLHALVTANTMENVKKAVEQIRNILKQGIETPEDQNDLR
+KMQLRELARLNGTLREDDNRILRPWQSSETRSITNTTVCTKCGGAGHIASDCKFQRPGDP
+QSAQDKARMDKEYLSLMAELGEAPVPASVGSTSGPATTPLASAPRPAAPANNPPPPSLMS
+TTQSRPPWMNSGPSESRPYHGMHGGGPGGPGGGPHSFPHPLPSLTGGHGGHPMQHNPNGP
+PPPWMQPPPPPMNQGPHPPGHHGPPPMDQYLGSTPVGSGVYRLHQGKGMMPPPPMGMMPP
+PPPPPSGQPPPPPSGPLPPWQQQQQQPPPPPPPSSSMASSTPLPWQQNTTTTTTSAGTGS
+IPPWQQQQAAAAASPGAPQMQGNPTMVPLPPGVQPPLPPGAPPPPPPPPPGSAGMMYAPP
+PPPPPPMDPSNFVTMMGMGVAGMPPFGMPPAPPPPPPQN
+>tr|A7MB52|A7MB52_BOVIN PORCN protein OS=Bos taurus OX=9913 GN=PORCN PE=2 SV=1
+MATFSRQEFFQQLLQGCLLPTAQQGLDQIWLLLAICLACRLLWRLGLPSYLKHASTVAGG
+FFSLYHFFQLHMVWVVLLSLLCYLVLFLCRHSSHRGVFLSVTILIYLLMGEMHMVDTVTW
+HKMRGAQMIVAMKAVSLGFDLDRGEVGAVPSPVEFMGYLYFVGTIVFGPWISFHSYLQAV
+QGHPLSRRWLQKVARSLALALLCLVLSTCVGPYLFPYFIPLDGDRLLRKWLRAYESAVSF
+HFSNYFVGFLSEATATLAGAGFTEEKDHLEWDLTVSKPLNVELPRSMVEVVTSWNLPMSY
+WLNNYVFKNALHLGTFSAVLVTYAASALLHGFSFHLAAVLLSLAFITYVEHVLRKRLARI
+LSACVLSKRCPPDCSHQHRLGLGVRALNLFFGALAIFHLAYLGSLFDVDVDDTTEEQGYG
+MAYTVHKWSELSWASHWVTFGCWIFYRLIG
+>tr|E1BHS1|E1BHS1_BOVIN Caspase recruitment domain family member 14 OS=Bos taurus OX=9913 GN=CARD14 PE=4 SV=1
+MAELCRTDSSLTSLDEEVLWEMMEDHRCRIVRSIFPSRLTPYLRQAKVLDQLDEEEVLHS
+PRFNNTAMRVGYLLDLLKTRGKNGAIAFLESLKFHNPDVYTLVTGLQPSVDFTNFSGLME
+TSKLTECLAGAIGSLQEELSQEKGQKEALLRQCQQLQERLDQAEARAEGLRQLEADHSRM
+KREVSAHFHEVLKLKDEMLSLSLHYSNALQEKELATTRCRSLQEELYLMKQELQREKMSS
+SCEREFRERSLKMASDLEPGDEELSRLKEENERLRSLTFSLAEKDILEQNLDEALESRQE
+LVDRIHSLRERAVAAERQRKQYWEEKEQTLLQFQKTKVDCEIYKEKMNALQSQVVELQKE
+RDQAYSARDGAQMEISQNLTEKDALRRKVFELMDQVCELRQQVQRLRVQADSSPGPKQEV
+GAREPCPKGKQRLVRMFALCPRDDSNGSSSESQLWSDLSATSSRELVDSFRSSSPAPPSQ
+QSLCKRATEDFWEDPCFSSGCPEILEVDQGGSLGAKKSNADLDFEIVDRADLPESENSLQ
+PSSGDLYLSASCFPVRRRPARKILSQVTVLAFQGDALLEQISVIGGNLTGIFIHRVTPGS
+AADEMALRSGTQIVMVDYEATEPSSKAVLEGMTLERAVGLLQRVNGFCCLSVKVNMEGYK
+KLVQDLEAKVATSGDSFYIRVNLALEGRAEGELQVRCNDILHVTDTLFQGSSCWHAHRVG
+PYSTKGTKHGTIPNYTRAQQLLIALLQDMAHQSTVTRKQSSGGAQKLVRIVSMDRTKASP
+LWSSFEGSQLDPSRVEDPSTVCFWTESCFTLVPYTLVHPHRPSRPRPVLFVPRVVGKILI
+EKLCLFQGFKKCPAEYLSQEEYDTSSQRGDIIQEREASGGLYCVTRRAVESLMGKNTHAL
+LDIQLDSVCVLHRMEIFPIIIHVSINEKVAKKFKKALQRLGTTEDQLLEAARQEEAELDK
+VPCLYSSLAPESWSDLDALLGCVRLAITDEQRKVVWTE
+>tr|A0A452DJG3|A0A452DJG3_BOVIN Transmembrane protein 268 OS=Bos taurus OX=9913 GN=TMEM268 PE=4 SV=1
+MACEPQMDPGGAAGPLPTSSPGWSPLPGGSPPGWGQELRSGQVLTVLRIDNTCAPISFDL
+GAAEEQLQTWGIQVPADQYRSLAESALLEPQVRRYIIYNSRPMRLAFAVVFYVVVWANIY
+STSQMFAGNHWAGVLLVTLAATSLTLTLVVIFERHQRKANTNTDLRLTAANGALLRHRVL
+LGVTDTVEGCQSVIQLWFVYFDLETCAQFLSDHIREMKMSQESLLRSRLSQLCVVMETGV
+SPTANEGPENLLEETPLLPDRPGSTEKPLMQTELRQLVPEAEPEEMAQQLLAVFGGYYTR
+LLVTSQLPQALGTRHMDSPRIPCPCQLIEAYILGTGCCPFLTR
+>tr|A7MAZ1|A7MAZ1_BOVIN GDPD5 protein OS=Bos taurus OX=9913 GN=GDPD5 PE=2 SV=1
+MVRHQPLQYYEPQLCLSCLTGIYGCRWKRYQRSHDDTTPWERLWFLLLTFTFGLTLTWLY
+FWWEVHNDYDEFNWYLYNRMGYWSDWSVPILMTTAAAFTYIAGLQVLALCHIAVGQQMNL
+HWLHKIGLVAILVATVVAMSAVAQLWEDEWEVLLISLQGTAPFLHVGALVAITALSWIVA
+GQFARAERSSSQIAILGTFFTVVFALYLAPLTISSPCIMEKKDLGPKPALIGHRGAPMLA
+PEHTLMSFRKALEQKLYGLQADVTISLDGVPFLMHDATLRRTTNVEEEFPELARRPASML
+NWTILQRLNAGQWFLKTDPFWTASSLSPSDHREAQNQSICRLVELLELAKGNATLLLNLR
+DPPREHPYRSSFLNITLEALLSSGFPQHQVLWLPNRQRPLVRKVAPGFQQMSGSKEAAAS
+LRRGHIQRLNLRYTQVSRQELRDYASWNLSVNLYTVNAPWLFSLLWCAGVPSVTSDNSHT
+LSQVPSPLWIMPPDEYCLLWVTADLISFTLIVGIFVLQNYHLIRWRLGGIRSYNPEQIML
+SAAVHRTSRDVSIMKEKLIFSEISDGMEVSDELSVCSDNSYDSYANSATTPVAPRGSGSR
+AKTLTDRSGR
+>tr|Q2KJF3|Q2KJF3_BOVIN NFU1 iron-sulfur cluster scaffold OS=Bos taurus OX=9913 GN=NFU1 PE=2 SV=1
+MAAAARLGWGVAAAAAGLRNRFCHVTSPYTIKKQLLHQFFQRPLFPLPATLCNTVRYMFI
+QTQDTPNPNSLKFIPGKPVLETRTMDFPTPATAFRSPLARQLFRIEGVKSVFFGPDFITV
+TKENEELDWNLLKPDIYATIMDFFASGLPLVTEETPSGEAGSEDDDEVVAMIKELLDTRI
+RPTVQEDGGDVIYKGFEDGIVQLKLQGSCTSCPSSIITLKNGIQNMLQFYIPEVEGVEQV
+MDDESDEKEANSP
+>tr|Q95MQ1|Q95MQ1_BOVIN CD69 molecule OS=Bos taurus OX=9913 GN=CD69 PE=2 SV=1
+MNSEDFSATETSSLHLKREQQSHATGTYSATYHEGSIQVPIPCAVVNVVFITTLIIALVA
+LSVGQYNCPGQYASSAPPNTHVFPCSDDWIGHKGKYYLISKKTKNWTLAQNFCSKHGATL
+AVIDSKEDMNFLKQHVGRAEHWIGLKNEAGQTWKWSNGQEFNNWFNLTGSENCAVLNSAE
+ISSTECDKNLHWICSKPSK
+>tr|Q32PC8|Q32PC8_BOVIN Phosphatidylinositol N-acetylglucosaminyltransferase subunit P OS=Bos taurus OX=9913 GN=PIGP PE=2 SV=1
+MVENSPSPLPERAIYGFVLFLSSQFGFILYLVWAFVPESWLNSLGLTYWPQKYWAVALPV
+YLLITIVIGYVLLFGINMMSTSPLDSIHNITDNYAKNQQHKKDQEEAIPALRDIPISEVN
+QMFFLEAK
+>tr|F1MQ26|F1MQ26_BOVIN Spermatogenesis associated serine rich 2 like OS=Bos taurus OX=9913 GN=SPATS2L PE=4 SV=2
+MAELNTHVNVKEKIYAVRSVVPNKSNNEIVLVLQQFDFNVDKAVQAFVDGSAIQVLKEWN
+MTGKKKNNKRKRSKSKQHQGNKDAKDKAERPEAGPMQPPPPQIQNGHINGCEKDSSSTDS
+ASEKPALPPREKKISILEEPSKALRGVTGPNIEKSVKDLQRCTVSLTRYRVMIKEEVDSS
+VKKIKAAFAELHNCIIDKEVSLMAEMDKVKEEAMEILTARQKKAEELKRLTDLASQMAEM
+QLAELRAEIKHFVSERKYDEELGKAARFSCDIEQLKAQIMLCGEITHPKNNYSSRTPCSS
+LLPLLSAHTATSGKQSNFTRKSSSHNKPSEGKAANPKMASNLPSTADSSHQAVPANKQNG
+SSSQRRRFNPQYHNNRLNGSAKPQGSGNEADLMAKSNNRHEHRRQPHNGFRPKNKGGAKN
+QEASLGTKTPEAPAHPEKPRRRQHAADNAEARPFRGNVARVSQCNLCPTRIEVSTDATVL
+SVPAVTLVA
+>tr|A6QQD7|A6QQD7_BOVIN HRC protein OS=Bos taurus OX=9913 GN=HRC PE=2 SV=1
+MGLCGPWLHTSVLWAAVASLLLPPAMTQQLRGAGPGPSNWDDHAGASGSSEDVSGKSDHH
+GGHGDENRDVSTDNGHHFWGHGDHGEEDEDVSRESQGHRYQGREVGDENISDEEEYPEHA
+QQAHGHRCHGNEDADDSAERGDHLPSHGSQSHQDEEEEEVVSSEHHQHHIVRQAHRGHRE
+EEDEDEEEENVSPGYGQQVHRRQDRGEQKDGNGSEEHDRGHGPSHRHGGHKDDDDGGDAV
+STEHRHQVHRHRDHGEEEEEEDDSEEHHHHHSPSHRHRGHEEEDDDEDDIVSTEHRHQVH
+RHRNHGEEEEEDDSEEHHHHHSPSHRHRGHEEEEDDHEDDIVSTEHRHQVHRHRDHGEEE
+EEAVSEEHPHHHSPSYRHRGHEEDDDEDEDDVVSTEHRHQVHRHRDHGEEEEEDDSEEHH
+HHHSPSHRHRGHEEEDDDEDDIVSTEHRHQVHRHQDHGEEEEEDDSEEHHHHHSPSHRHQ
+GHEEEDDEDEDDVVSTEHRHQVHRHRDHGEEEDEDDSEEHHHHHGGNKEDEDEDLSTEHW
+HQAPRHTHHGLGDEEEEEEKEEITVKFSHHVASPQPQGHKSAKEEDFPEDYKKAVPGHDH
+QGVPKEEDEDIPARLGHQAPSHRQQDHRDEGTGHRGSIKEEMSPQSPEHIGVKDRSHLRE
+SDSEEDEEEKEEDHSSHEEANEGSEEGEDTRHGSLDDQEDEEDEEEGHGLSLSQEEEEEE
+KEEKRGERAKVWVPLNQDHQEEDKEEVGLEEDELPFTIIPNPLTRKEVSGGASSEEESGE
+DKGQQDAQEYGNYQPGSLCGYCSFCNRCTECENCHCDEENMGEHCDQCQHCQFCYLCPLV
+CETLCSPGSYVDYFSSSLYQALADMLETPEP
+>tr|A4IFG7|A4IFG7_BOVIN RPGR protein OS=Bos taurus OX=9913 GN=RPGR PE=2 SV=1
+MPREWLWGSKGLSAPASELRHCLRPSRVDAADFLAEEQAFHSAITMGEPDKMVPDSGAVF
+TFGKTKFAENMPSKFWFKRDIPISLSCGDEHTAITTGNNKLFMFGSNNWGQLGLGSKATV
+NKPTCIKALKPEKVKFVACGRNHTLILTGGGQVYATGGNNEGQLGLGDTDERSAFHLISF
+FTSQHKIKQLSAGSNTSAALTAEGELYTFGEPESGKLGLPPKLLVNHKVPQLVPGISEKV
+IQVACGGGHTVVLTEKAVYTFGLGQFGQLGLGTFTFETSEPKVIESVKDKKISHVCCGEN
+HTALITESGLLYTFGDGRHGKLGLGMENFTNQFVPTLCSSFLKYIVHLVACGGCHMLVFA
+TPRLGMAEDIESDEINYSYLPSAIYVPISDPPLENVLQRSLSARVRRREREKSPDSLRMT
+GILPPVEGTSPQPICFSPHLIPFSMSASNLLVRTICEDENSMPPMEPDYFQHKVTKEKDT
+DSLSAEDSESLGETADVLNMTHMLHLNSNEKLKLSPIQKQKDHELFENNLAEETDKYTEG
+KKEDTGDDKSVPKDDHSDTDNSVKKDEKVNREERAIHEYNENPKGNMCDRAKSSSSEILE
+DNESTPTKDIKKSKTAFALI
+>tr|A6QNZ2|A6QNZ2_BOVIN TGM5 protein OS=Bos taurus OX=9913 GN=TGM5 PE=2 SV=1
+MAEGLEVALTDLQSSWNNVQHHTDEISSSRLLVRRGQAFNITLYSRNRAFQPGLDNIIFV
+TETGPLPDLSKGTRAVFSLEGHPGSSPWIASLQTIGANSLEVSLCAPPMAAVGRYLLKVH
+IKSLQGPVTAYKLGEFILLFNPWCPEDAVYLESEPQRQEYVMNDYGFIYQGNKNWIRPCP
+WNYGQFEENIIDICLELLDKSLNFQIDPATDCALRGSPVYISRVVSAMINSNDDNGVLNG
+NWGENYSDGTNPTEWMGSVAILKQWHATGCQPVRYGQCWVFAAVTCTVMRCLGIPTRVIT
+NFNSGHDTDGNLIIDEYYDNTGRILENKKKDSVWNFHVWNECWMARNDLPPGFGGWQVLD
+ATPQETSNGLYCCGPASVRAIKEGEVDLNYDTAFAFSMVNADCMAWLVFGGKEQKLHQDT
+STVGNFISTKSIQSDERDDITENYKYREAKTYPCKITYSQYSQYLSTDKLIRISALGEEK
+NSPEKILVKKIITLAYPSIVINVLGAAIVNQPLSIQVVFSNPLSEQVEDCVLTVEGSGLF
+RRQQRVLIGVLKPHHRASLTLETIPFKSGQRQIQANLRSNKFKDIKGYTNVYIGL
+>tr|A4IF73|A4IF73_BOVIN IRX4 protein OS=Bos taurus OX=9913 GN=IRX4 PE=2 SV=1
+MSYPQFGYPYSSAPQFLMTTNSLSTCCESGGRTLADSGPAASAQAPVYCPVYESRLLATA
+RHELNSAAALGVYGGPYGGSQGYGNYVTYGSEASAFYSLNSFDSKDAPGSAHAGLAPAAA
+AYYPYEPALGQYPYDRYGTMDSGTRRKNATRETTSTLKAWLQEHRKNPYPTKGEKIMLAI
+ITKMTLTQVSTWFANARRRLKKENKMTWPPRNKCADEKRPYAEGEEEEGGEEEGRGQPLK
+STKVEEPIGKGKDLELSDLEDFDPLEGEPPECELKPPFQPLDGGLDRIPTGPEGPSAPGK
+EAPGALRLPLAAGGGAALDQDLERARSCLRSAAAVPEQPAGAGAGGGPQVCESKLGFAPS
+GASAGLEAKPRIWSLAHTATAATATAFSQTEFPSCMLKRQGPPAGPAAPSSAPASSSPAA
+PAPAGALDRHQDSPVTSLRNWVDGVFHDPILRHSTLNQAWATAKSALLDRGPLGRSLGAG
+ASVLTTPLARPFPPAAPPDDPAAGATKDLLAVPKAGGKPFCA
+>tr|E1BFZ6|E1BFZ6_BOVIN Family with sequence similarity 126 member A OS=Bos taurus OX=9913 GN=FAM126A PE=4 SV=2
+MFTSEKGVVEEWLSEFKTLPETSLPNYATNLKEKSSLVSSLYKVIQEPQSELLEPVCHQL
+FEFYRSGEEQLLRFTLQFLPELIWCYLAVSASRNVHSSGCIEALLLGVYNLEIVDKQGHS
+KVLSFTIPSLSKPSVYHEPSSIGSMALTESALSQHGLSKVVYSGPHPQREMLTAQNRFEV
+LTFLLLCYNAALTYMPRVSLQSLCQICSRICVCGYPRQHVRKYKGISSRVPVSSGFMVQM
+LTGIYFAFYNGEWDLAQKALDDIIYRAQLELYPEPLLVANAIQASLPHGAMRSSKEGTRC
+IQVEITPTSSRISRNAVTSMSIRGHRWKRHENTELTGQEELMEISEVDEGFYSRAASSTS
+QSGLSNISQNCSNKTSIGKTQRRSGGNKTGGKEKETTGESCKDHFVRKQTQRAQSENLEL
+LSLKRLTLTTSQSLPKPCSHGLAKTAASVFSKSFEQVSGVSVPHNPSSAVGCGAGTDANR
+FSACSLQEEKLIYTSERTELPMKHQAGQQRPPSISITLSTD
+>tr|Q17QC8|Q17QC8_BOVIN Complement factor properdin OS=Bos taurus OX=9913 GN=CFP PE=2 SV=1
+MPAQAQALLLLLLLPLLLLTLPATGSDPVFCFAQYEESTGKCKDLLGRGVSVEDCCLNAG
+YAFQKPGSNLCMACRLPQWSPWSAWTPCSVTCTEGSQLRHRHCTGWGEQCFPEKVEPGTF
+QWQLQACEDQPCCPEMGGWSDWGPWAACSVTCSRGIKIRRRACNRPTPKCGGHCIGEAQE
+SEPCDTKQVCPTHGAWAAWGPWGPCSGTCHGGPSAAVERRSRTCSAPEPSTQPPGNPCSG
+SPYEQRVCTGLPPCPVAGGWGPWGAVSPCPVTCGLGKIQEQRTCDHPVPQHGGPFCVGDG
+TRTHVCNTAVHCPVNGQWGLWGEWSNCIRPSIKQISCQEIPGQQTRSRICKGRKFDGQRC
+VGKQQDIRHCYNIQRCFLQGSWSEWSTWGLCIPPCGPNPIRTRQRLCQATLPKFSPTVTM
+VEGQGEKNVTFWGKPFVQCDVLQGQKVMVEEKRPCLHVPPCKEP
+>tr|A0A3Q1N2F7|A0A3Q1N2F7_BOVIN U-box domain containing 5 OS=Bos taurus OX=9913 GN=UBOX5 PE=4 SV=1
+MVINLCLPQFRPRIYCNKISADGYEVENLISEDLTKRSHGFRTEYFIKPPVYVTVSFPFS
+VEICRINIDLSAGGGQNVTGLEMYTSALSRGASWNTPECRTPDPDEPSIPDKEAFTLVGK
+VLLKNQSQVVFSHRGFKARPPFGPVEATLPSPAVVAQELWNKGALSLSHVAHLKICITHV
+TGGGVPCIKRLEVWGQPAKTCSQEVIDSVLLVASESLPQDLSLQAPALPMESDCDSGGQS
+EGQQAPSSLQELAEVIRDIPEEFLDPITLEIMPFPMLLPSGKVIDQSTLEKCNRSEATWG
+RVPSDPFTGVAFTPHSQPLPHPSLKARIDHFLLQHSIPGCHLLGRAQTALAVTPSSIALP
+SRKRKMEQAEHGPDSSLGLNASCFSTTSLLVSPSTSEHTAKKMKAANELMDCSTGPVSHE
+QKLSQSLEIALTSTLGSMPSFTARLTRGQLQHLSTRGSSTSWRPSTSSEQSGSSLGPECT
+SCKRVFSPYFKRESAYQLPCGHLLCRPCLTEKQRSPAMTCTACQQPFASQDILRVHF
+>tr|Q3ZBS5|Q3ZBS5_BOVIN Small proline-rich protein 3 OS=Bos taurus OX=9913 GN=SPRR3 PE=2 SV=1
+MSSHQQKQPCIPPPQLQQHQVKQPCQPPPQEPCIPQTKEPCHTKVPEPCHPKVPEPCHPK
+VPEPCHPKVPEPCHPKVPEPCHPKVPEPCHPKAPEPCHPKVPEPCHPKVPEPCHPKVPEP
+CPSPVIPGPAQQKTKQK
+>tr|A0A3Q1MME2|A0A3Q1MME2_BOVIN Zinc finger protein 438 OS=Bos taurus OX=9913 GN=ZNF438 PE=4 SV=1
+MYLRCSGESPGSIPGTPFHSPEEMIHFCTISNRTAVIQVNDIIMQSSFSVPPKDQGDVSL
+LPSPVEKLLTQKMLESPGKTTCTGEPNIPPGAIHSGKGLQNKSQFRTIAPKIAPQVLAPR
+VLPGPVPSLSDQANPGPSLGSKPLGMPPQNYALMQVAGQEGTFSLIALPHVASAQPIQKP
+RMPLPENLKLPIPRYQPPRPGKGVRKKPELERGCSKSAQTQAAPPPPEHPEPPHKPNPPK
+VAPDQAPAALTNRSGHQDPGPPGTSNHGGWTPPATPALATPEEPSAEQGLQKSSGRAKVP
+SKKTPRKPSAVASEKPQEPVDATKAILSSPGVIGNAVQVPSSVPRGKLPILPYSRVKTTQ
+VYRSGATVNTAHVPFPGLRTARDQTPSIPEGFSAAPQVGDRGPAPPAPGQSPGNSAFCQA
+TKPDLNHKTKLNGETAKRRGRKRKGPDELLTFQGKRWKCVLSCKDSKARVKSEPQESRDQ
+KPEAVKKYRSIMPKPVPVLPALALPPATLQPPPPGSLGHMSFDHSLAPNHLDWKEDGQPP
+KPDSAFRNGFSGLRKTWHKCHVCDHPFPCKQHLREHTSASPDSRPYSCRLCRKVYKRRGS
+LSAHVRLHHGDSRPRKLVCCEFCAKVFGHVRVYFGHLKEVHGVAISTEPSPCEPQPGDLP
+RTREQTPCEVEGLVDRETKSSLEEDLLMNQNDEAGFQIRCGRCQITAQSLAEIKFHLLSV
+HGEEIQGQLPEESSPSSGSRPAHGELTKQAAPFWKRPERRKLLRHHPSAGELCAVPRLKR
+QLYLHHQNNVETLTKQERAQPGPSEPRGDPQGPKCPGPQAALPLPQSGFNCVLCVQILGS
+KEELLLHWERQHKCEDPPKLWTIFSTLSSQGVVQLSSKTGK
+>tr|A4IFG3|A4IFG3_BOVIN MGC142908 protein OS=Bos taurus OX=9913 GN=TMEM255A PE=2 SV=1
+MHQSLTQQRSSDMSLPDSMGAFNRRKRNSIYVTVTLLIVSVLILTVGLAATTRTQNVTVG
+GYYPGVILGFGSFLGIIGSNLVENKRQMLVASIVFISFGVIAAFCCAIVDGVFAARHIDL
+KPLYANRCHYVPKTSQKEAEEVISSSTKNSPSARVMRNLTQAAREVNCPHLSRGFCTPRI
+RGNTCFCCDLYNCGNRVEITGGYYEYIDVSSCQDIIHLYHLLWSATILNIVGLFLGIITA
+AVLGGFKDMNPTLPALNCSVENAHPTVSYYARPQVASYNTYYHSPPHLPPYSAYDFQHSS
+AFPSSPPSGLSDEPQSASPSPSYMWSSSAPPRYSPPYYPPFEKPPPYSP
+>tr|Q0V8B1|Q0V8B1_BOVIN Protein Flattop OS=Bos taurus OX=9913 GN=CFAP126 PE=2 SV=1
+MEYSAGSLLLVSPARPQELEMTRPCPCSQYEKPFSPKYLQNWSLAKPTKERISSHEGYTQ
+IIANDRGHLLPSVPRSKASPWGSFMGTWQMPLKVPPARATLTSRTAAGAASLTRWIQKNP
+DLLKASNGLRPEIFGKPHDPDSQKKLRKSITKTVQQAPSPTIIPSSPASNLSSPDQLQSS
+HPSAGHTPGPQSPLNSPKCPPGSPCLPHAGRNLAEV
+>tr|Q1RMR7|Q1RMR7_BOVIN Distal-less homeobox 5 OS=Bos taurus OX=9913 GN=DLX5 PE=2 SV=1
+MTGVFDRRVPSIRSGDFQAPFQTAAAMHHPSQESPTLPESSATDSDYYSAPGGAPHGYCS
+PTSASYGKALNPYQYQYHGVNGSAGSYPAKAYADYSYASPYHQYGGAYNRVPSATSQPEK
+EVAEPEVRMVNGKPKKVRKPRTIYSSFQLAALQRRFQKTQYLALPERAELAASLGLTQTQ
+VKIWFQNKRSKIKKIMKNGEMPPEHSPSSSDPMACNSPQSPAVWEPQGSSRSLSHHPHAH
+PPTSNQSPASSYLENSASWYPSAASSINSHLPPPGSLQHPLALASGTLY
+>tr|Q2TBS7|Q2TBS7_BOVIN Prokineticin-2 OS=Bos taurus OX=9913 GN=PROK2 PE=2 SV=1
+MRSPRCARLLLLLLLPPLLLTPPAGDAAVITGACDRDPQCGGGMCCAVSLWVKSIRICTP
+MGKVGDSCHPMTRKGIFILNEV
+>tr|A2VDV7|A2VDV7_BOVIN Transmembrane protease, serine 2 OS=Bos taurus OX=9913 GN=TMPRSS2 PE=2 SV=1
+MALNSGSSPGVGPYYENHGYQPESLYPQQPPTAPPAFEVYPAQYYPPVVPQYTPRVQTHA
+STPVIYRQPKPPSRTACTSKTKKALCITFTLVVLLAGAILAAVLLWKYMEDECSGMECSS
+SGTCVSPSLWCDGILHCPSGEDENRCVRLYGPNFILQVYSAQRKSWHPVCQDDWSESYGR
+AACQDMGYRNSFYSSQGIADDSGATSFMKLNISANDIDLYKKLYHSDVCSSKTVVSLRCI
+ECGVSVKTSRQSRIVGGSNAYSGEWPWQVSLHVQGIHVCGGSIITPEWIVTAAHCVEEPL
+NNPKIWVAFAGILKQSYMFYGSGYRVAKVISHPNYDSKTKNNDIALMKLQTPLTFNDKVK
+PVCLPNPGMMLEPTQSCWISGWGATYEKGKTSDDLNAAKVHLIEPRKCNSKYMYDNLITP
+AMICAGYLRGTVDSCQGDSGGPLVTLKSSVWWLIGDTSWGSGCAKAYRPGVYGNVTVFTD
+WIYQQMRANS
+>tr|A6QPA6|A6QPA6_BOVIN MYH3 protein OS=Bos taurus OX=9913 GN=MYH3 PE=2 SV=1
+MSSDTEMEVFGIAAPFLRKSEKERIEAQNQPFDAKTYCFVVDSKEEYAKGRIKSTQDGKV
+TVETEDNRTLVVKPEDVYAMNPPKFDRIEDMAMLTHLNEPAVLYNLKDRYTSWMIYTYSG
+LFCVTVNPYKWLPVYNPEVVEGYRGKKRQEAPPHIFSISDNAYQFMLTDRENQSILITGE
+SGAGKTVNTKRVIQYFATIAATGDLAKKKDSKMRGTLEDQIISANPLLEAFGNAKTVRND
+NSSRFGKFIRIHFGTTGKLASADIETYLLEKSRVTFQLKAERSYHIFYQILSNKKPELIE
+LLLITTNPYDYPFISQGEILVASIDDAEELLATDSAIDILGFTPEEKSGLYKLTGAVMHY
+GNMKFKQKQREEQAEPDGTEVADKTAYLMGLNSSDLLKALCFPRVKVGNEYVTKGQTVDQ
+VHHAVNALSKSVYEKLFLWMVTRINQQLDTKLPRQHFIGVLDIAGFEIFEYNSLEQLCIN
+FTNEKLQQFFNHHMFVLEQEEYKKEGIEWTFIDFGMDLAACIELIEKPMGIFSILEEECM
+FPKATDTSFKNKLYDQHLGKSANFQKPKVVKGRAEAHFSLIHYAGTVDYSVSGWLEKNKD
+PLNETVVGLYQKSSNRLLAHLYATFTTADADSGKKKVAKKKGSSFQTVSALFRENLNKLM
+SNLRTTHPHFVRCIIPNETKTPGAMEHSLVLHQLRCNGVLEGIRICRKGFPNRILYGDFK
+QRYRVLNASAIPEGQFIDSKKACEKLLASIDIDHTQYKFGHTKVFFKAGLLGTLEEMRDD
+RLAKLITRTQAVCRGFLMRVEFQKMVQRRESIFCIQYNIRAFMNVKHWPWMKLFFKIKPL
+LKSAETEKEMATMKEEFQKTKDELAKSEAKRKELEEKLVTLVQEKNDLQLQVQAESENLL
+DAEERCDQLIKAKFQLEAKIKEVTERAEDEEEMNAELTAKKRKLEDECSELKKDIDDLEL
+TLAKVEKEKHATENKVKNLTEELAGLDETIAKLTREKKALQEAHQQTLDDLQAEEDKVNS
+LSKIKSKLEQQVDDLESSLEQEKKLRVDLERNKRKLEGDLKLAQESILDLENDKQQLDER
+LKKKDFEYCQLQSKVEDEQTLGLQFQKKIKELQARIEELEEEIEAERATRAKTEKQRSDY
+ARELEELSERLEEAGGVTSTQIELNKKREAEFLKLRRDLEEATLQHEAMVAALRKKHADS
+VAELGEQIDNLQRVKQKLEKEKSEFKLELDDLGSNVESVSKSKANLEKICRTLEDQLSEA
+RGKNEEIQRSLSELSTQKSRLQTEAGELSRQLEEKESTVSQLSRSKQAFTQQIEELKRQL
+EEESKAKSALAHALQSARHDCDLLREQYEEEQEAKAELQRALSKANSEVAQWRTKYETDA
+IQRTEELEEAKKKLAQRLQDSEEQVEAVNAKCASLEKTKQRLQAEVEDLMVDVDRANSLA
+AALDKKQRNFDKVLAEWKTKGEESQAELEASLKESRSLSTELFKLKNAYEEALDQLETVK
+RENKNLEQEIADLTEQIAESGKTIHELEKSRKQIELEKADIQLALEEAEAALEHEEAKIL
+RIQLELTQVKSEIDRKMAEKDEEIEQLKRNYQRTVETMQSALDAEVRSRNEAIRIKKKME
+GDLNEIEIQLSHANRQAAETVRHLRSVQGQLKDTQLHLDDALRGQEDLKEQLAIVERRAN
+LLQAEVEELRATLEQTERSRKIAEQELLDASERVQLLHTQNTSLIHTKKKLETDLAQLQS
+EVEDASRDARNAEEKAKKAITDAAMMAEELKKEQDTSAHLERMKKNLEQTVKDLQHRLDE
+AEQLALKGGKKQIQKLETRIRELESELEGEQKKNTESVKGLRKYERRVKELTYQSEEDRK
+NVLRLQDLVDKLQMKVKSYKRQAEEADEQANAHLTKFRKAQHELEEAEERADIAESQVNK
+LRAKTRDFTSSRMVVHESEE
+>tr|A4FV60|A4FV60_BOVIN GARNL1 protein OS=Bos taurus OX=9913 GN=RALGAPA1 PE=2 SV=1
+MNSWDKRRSFHLLKKNEKLLRELRNLDSRQCRETHKIAVFYVAEGQEDKHSILTNTGGSQ
+AYEDFVAGLGWEVNLTNHCGFMGGLQKNKSTGLTTPYFATSTVEVIFHVSTRMPSDSDDS
+LTKKLRHLGNDEVHIVWSEHTRDYRRGIIPTEFGDVLIVIYPMKNHMFSIQIMKKPEVPF
+FGPLFDGAIVNGKVLPIMVRATAINASRALKSLIPLYQNFYEERARYLQTIVQHHLEPTT
+FEDFAAQVFSPAPYHHLPSDADH
+>tr|Q2KI26|Q2KI26_BOVIN Solute carrier family 5 (Choline transporter), member 7 OS=Bos taurus OX=9913 GN=SLC5A7 PE=2 SV=1
+MDFQVEGLVAIVVFYLLILLVGIWAAWRTKNSGSAEERSEAIIVGGRDIGLLVGGFTMTA
+TWVGGGYINGTAEAVYVPDYGLAWAQAPIGYSLSLILGGLFFAKPMRSKGYVTMLDPFQQ
+IYGKRMGGLLFIPALMGEMFWAAAIFSALGATISVIIRASVHMSVIVSALIATLYTLVGG
+LYSVAYTDVVQLFCIFVGLWISVPFALSNSAVADIGFTALHTKYQKPWLGTIEPFEIYTW
+LDSFLLLMLGGIPWQAYFQRVLSSSSATYAQVLSFLAAFGCLVMALPAVLIGAIGASTAW
+NQTAYGPLAPREKQEADMILPIVLKYLCPVYISYFGLGAVSAAVMSSADSSILSASSMFA
+RNIYQLSFRQNASDKEIVWVMRITVFVFGASAMTMALLTKTVYGLWYLSSDLVYIIIFPQ
+LLCVLFIKGTNTYGAAAGYISGLFLRVTGGEPYLNLQPLIFYPGYYIDKGGIYNQRFPFK
+TLAMVTSFLSNICISYIAKYLFESGTLPPKLDVFDAVVARHSEENMDKTILVRNENIKLD
+ELAPVKPRQSITLSSTFTHQEALLEMDSSPEGSGTEDNLQ
+>tr|Q17QG0|Q17QG0_BOVIN Solute carrier family 35 member G2 OS=Bos taurus OX=9913 GN=SLC35G2 PE=2 SV=1
+MDTSPSKKYPVKKRVKIHPNTVMVKYTSHYPQPGDGGYEEINEDYGSFMEENSKKGLLSE
+MKRKGRTFFGTMDTCPPTEDPVTNEIGQFQSFAEKNIFQSRKMWIVLFGSALAHGCVALI
+TRLVSDRSKVPSLELIFIRSVLQVLSVLVVCYYQEAPFGPSGYRLRLFFYGVCNVISITC
+AYTSFSIVPPSNGTTMWRATTTVFSGILAFLLVDEKMACVDMATVVCSIVGVCLVMIPNI
+VDEDDSLLNAWKEAFGYTMTVMAGLTTALSMIVYRSIKEKISMWTALFTFGWTGTVWGIS
+TMFVLQEPIIPLDGETWSYLIAICICSTAAFLGVYYALDKFHPALVSTVQHLEIVVAMVL
+QLLVLHIFPSVYDVFGGVIIMISVFVLAGYKLYWRNLRRQDYQEILDSPIK
+>tr|A8E4P3|A8E4P3_BOVIN STOM protein OS=Bos taurus OX=9913 GN=STOM PE=1 SV=1
+MSDKRPAVDTQARRLPDSFKDSPSTGLGVCGWILVAVSFLFTVITFPVSIWMCIKIIKEY
+ERAIIFRLGRILQGGAKGPGLFFILPCTDSFIKVDMRTISFDIPPQEILTKDSVTISVDG
+VVYYRVQNATLAVANITNADSATRLLAQTTLRNVLGTKNLSQILSDREEIAHNMQCTLDD
+ATDDWGIKVERVEIKDVKLPVQLQRAMAAEAEASREARAKVIAAEGEMNASRALKEASMV
+ITESPAALQLRYLQTLTTIAAEKNSTIIFPLPIDMLQAIMGPKQ
+>tr|Q17QQ6|Q17QQ6_BOVIN WW domain binding protein 4 OS=Bos taurus OX=9913 GN=WBP4 PE=2 SV=1
+MADYWKSQPKKFCDYCKCWIADNRPSIEFHERGKNHKENVAKRISEIKQKSLEKAKEEEK
+ASKEFAAMEAAALKAYQEDLKRLGLESEISEPSVSPVTSTVPPTSASNQQKEKKKKKKDP
+SKGRWVEGITSEGHHYYYDLITGASQWEKPEGFQGNLKKATGKTVWIEGLSEDGYTYYYN
+TETGESRWEKPDDFIPHSGDLPSSKVNEKSLGTLEESKSSDSHGESDGEQEAGKEKKKEE
+IPTETQKLIIKFKEKNKNSNKRTEPETQKEKNTQGKNSSGPSEEKPKEKNTQGKSSSGSN
+EEKPKAHKKPNPYGEWQEIKPEVESHEEVDLELPSAENEYVSTSEADAAGEPQLVFKEKT
+VTSLGVVADGVAPVFKKRRIENGKSRNLRQRGDDQ
+>tr|A8E4M7|A8E4M7_BOVIN PIP5K1A protein OS=Bos taurus OX=9913 GN=PIP5K1A PE=2 SV=1
+MRISTASGIKRPTASEVPYSSGMSMKKIGHRGVDSSGETTYKKTTSSALKGAIQLGITHT
+VGSLSTKPERDVLMQDFYVVESIFFPSEGSNLTPAHHYNDFRFKTYAPVAFRYFRELFGI
+RPDDYLYSLCNEPLIELCNSGASGSLFYVSSDDEFIIKTVQHKEAEFLQKLLPGYYMNLN
+QNPRTLLPKFYGLYCVQAGGKNIRIVVMNNLLPRSVKMHIKYDLKGSTYKRRASQKEREK
+PLPTLKDLDFLQDIPDGLFLDADMYNALCKTLQRDCLVLQSFKIMDYSLLVSIHNIDHAQ
+REPLGSEAQYSADTRRPAPQKALYSTAMESIQGEARRGGTMETDDHMGGIPARNSKGERL
+LLYIGIIDILQSYRFIKKLEHSWKALVHDGDTVSVHRPSFYAERFQRFMCTTVFKKIPLK
+SSPSKKFRSGSSFSRRAGPSGNSCVTYQPSVSGEHKAQVTTKAEVEPGVHLGRPDVLPQT
+PPLEKISEVSTIPDPYFSPVVGETLQTLTTSSTLLEKHEVPESEFIH
+>tr|B2Z4B3|B2Z4B3_BOVIN Angiopoietin like 5 OS=Bos taurus OX=9913 GN=ANGPTL5 PE=2 SV=1
+MIYLFQASLLFLNLCIFTCGEAIQDNCEHHSTDSPGVNIVEDESNTKGESKTNATVYKED
+CEESCDIKTKITREEKYFMCRNLQNSIVSYTRSTKKLLRNMMDEQQASLDYLINQVNELM
+NRVLLLTTEVFRKQLDTFPHRPVQSHGLDCTDIKDTIGSVTKTPSGLYIIHPEGASHPFE
+VMCDMDYRGGGWTVIQKRIDGIIDFQKLWCDYLDGFGDLLGEFWLGLKKTFYIVNQKNTS
+FMLHVALESEDDTLAYASYDDFWIEDETKFFKVHLGRYSGNAGDAFRGFRKKDNQNAMPF
+STPDVDNDGCRPACFISDQSVKSCSHLSNNTGWWFSQCGLANLNGIHHFSGKLITTGIRW
+DTWTKNNSPIKIKSASMKIRRTYNPYFK
+>tr|Q3MJK3|Q3MJK3_BOVIN TRIM OS=Bos taurus OX=9913 GN=LOC616948 PE=2 SV=1
+MASGILMNIQEEVTCPICLELLTEPLSLDCGHSFCQACITANNKESIIGQEGKRSCPVCR
+VSFEPGNLRPNRHVANIVQRLREVKVSPEVEQERNLCAHHGEKLQLFCEQDGKVICWLCE
+RSQEHRAHNTFLMEEIAPEYQKKLQSCLQRLKGKKQEAEELEIKVREEMSTWKIQIENEI
+QNVQGKFTQLRQILDSEEMKELRKLKDELGVILKELAESENDLVQEKLLVSNLISDVERH
+LQGSTMELLQDANVIIKRSETLALKKPKSFPKEQRRVFRVPDLRQTLHVFNELTDVQRYW
+VQVTLNSPKSENVVISPDQRQVRYASSDQCYNAYWNDNYEDFGVLGSPVITSGRHYWEVD
+VSKKSAWILGVCGEKCEDIMKLPSKLGNCGNGNCQNVYSRYQPKNGYWVIGLKHYCVYNA
+FDASSFSDPVILPLSLSVSLHRVGVFLDYEAHTVLFLNVTNHGFPICQFSSCSFPQNIVP
+YFNPMNCGVPMTLCSPSC
+>tr|Q32P93|Q32P93_BOVIN Glycosylphosphatidylinositol anchored molecule like OS=Bos taurus OX=9913 GN=GML PE=2 SV=1
+MLLFAFLLFMGLPLGFVEPWTFNVQCHECIVKNTFHCPVKRTCPYDIRRCFTVSMRLNSR
+EILVYKNCTFNCTFLYRAEEPPETPRRKTTHRFNSFYWVHCCGSNMCNFGGPTNLERDIT
+LDYPLEEDIEGNAQLVQSTVFLSIVSILVRNTLT
+>tr|A6QLD3|A6QLD3_BOVIN LRRC20 protein OS=Bos taurus OX=9913 GN=LRRC20 PE=2 SV=1
+MLRKMGEAVARVARKVNETVESGSDTLDLAECKLVSFPVGIYKVLRNVTDQIHLITLANN
+ELKALTSKFMTTFCQLRELRLEGNFLHRLPNEVSTLQHLKAIDLSRNQFHDFPEQLTTLP
+ALENINLEENEIVDVPVEKLAAMPALHLINLRFNPLNAEVRVIAPPLIKFNMLMSPEGAR
+APPP
+>tr|A8E4P5|A8E4P5_BOVIN GPM6B protein OS=Bos taurus OX=9913 GN=GPM6B PE=2 SV=1
+MKPAMETAAEENTEQSRERKGCFECCIKCLGGVPYASLVATILCFSGVALFCGCGHVALA
+GTVAILEQHFSTNTSDHALLSEVIQLMQYVIYGIASFFFLYGIILLAEGFYTTSAVKELH
+GEFKTTACGRCISGMFVFLTYVLGVAWLGVFGFSAVPVFMFYNIWSTCEVIKSPQTNGTA
+GVEQICVDIRQYGIIPWNAFPGRICGSALENICNTNEFYMSYHLFIVACAGAGATVIALL
+IYMMATTYNYAVLKFKSREDCCTKF
+>tr|F1MBQ1|F1MBQ1_BOVIN Serine/threonine-protein phosphatase with EF-hands OS=Bos taurus OX=9913 GN=PPEF1 PE=3 SV=2
+MNTPNLEDMGCGTSSATKDKKSEKALKAALVIQNWYRGYSARLKTRQRYALTIFQSIEYA
+DEQGQLQLSNFFSFMLENYTNVPEKEPGLVTRLFGSSIQDKDRQDYLGLIEIPDSYCGPR
+LQFPLTFTDIDLLIEAFKQQQILHAYYVLEVLFETKKVLQQMPNFTHTNTSPSKEITICG
+DLHGKLDDLFLIFYKNGLPSVTNPYVFNGDFVDRGKNSMEILMILFVSFLVYPNDLHLNR
+GNHEDFMMNMRYGFTKEILHKYKLHGKKILQILEEVYTWLPIGTIIDNEVLVIHGGISES
+TDLNLLHRIERNKMRSVLMPPIPMDGDRAEKKNKLGTATVALGIRTSGSFSEQLTKQEWE
+QVIDILWSDPRGKRGCYPNTNRGGGCYFGPDITSKILNKYQLKMLVRSHECKPEGYEICH
+DGKVITVFSASNYYEEGSNRGAYIRMSSGTTPRFFQYQITKATCFRPLYQRVNAIESSAI
+RMLKERMISRKTDLIRAFQLQDRNKSGKLSMGQWAFSMENVLGLNLPWRSLSSHLVTTDK
+DGNIDYMSGFQDVHIQKPVKEVQSSLIETVYRYRSDLQIIFNIIDSDHSGLISMEEFRSM
+WRLFKSHYSVHIDDSQFDELAERMDLNKDGSIDFNEFLKAFYVVHKFDQLNKSDTKLA
+>tr|A6QLS8|A6QLS8_BOVIN BRWD2 protein OS=Bos taurus OX=9913 GN=WDR11 PE=2 SV=1
+MLPYTVNFKVSARTLTGALNAHNKAAVDWGWQGLIAYGCHSLVVVIDSNTAQTLQVLEKH
+KADVVKVKWARENYHHNIGSPYSLRLASADVNGKIIVWDVAAGVAQCEIQEHAKPIQDVQ
+WLWNQDASRDLLLAIHPPNYIVLWNADTGTKLWKKSYADNILSFSFDPFDPSHLTLLTSE
+GIVFISDFSPSKPPSGPGKKVYISSPHSSPAHNKLAAATGAKKALNKVKILITQEKPSAE
+FITLNDCLQLAYLPSKRNHMLLLYPREILILDLEVNQTVGVIAIERTGVPFLQVIPCFQR
+DGLFCLHENGCITLRVRRSYSSIFTSNEEPDPDPVQELTYDLRSQCDAIRVTKTVRPFSM
+VCCPVNENAAALIVSDGRVMIWELKSAVCNRNSRSSSSGVSPLYSPVSFCGIPVGVLQNK
+LPDLSLDNMIGQSAVAGEEHPKGSILQEVQLKFLLTGLLSGLPSPQFAIRMCPPLTTKNI
+KMYQPLLAVGTSNGSVLVYHLTSGLLHKELSIHSCEVKGIEWTSLTGFLSFATSTPNNMG
+LVRNELQLVDLPTGRSIAFRGERGNDESPIEMIKVSHLKQYLAVVFKDKPLELWDVRTCT
+ILREMSKNFPAITALEWSPSHNLKSLRKKQLATREAMARQTVVSDTELSVVESSVISLLQ
+EAESKSELSQNISAREHFVFTDNDGQVYHLTVEGNSVKDSARIPPDGSMGSITCIAWKGD
+TLVLGDMDGNLNFWDLKGRVSRGIPTHRSWVKKIRFAPGKGNQKLIAMYNDGAEVWDSKE
+VQMVSSLRSGRNVTFRILDVDWCTSDKVILASDDGCIRVLEMSMKSTCFRMDEQELTEPV
+WCPYLLVPRAALALKAFLLHQPWNGQYSLDISHVDYPENEEIKNLLQEQLNSLSNDIKKL
+LLDPEFTLLQRCLLVSRLYGDESELHFWTVAAHYLHSLSQEKASSTPVAKEAAPQDRVNN
+PLDICYDILCENAYFQKFQLERVNLQEVKRSTYDHTRKCTDQLLLLGQTDRAVQLLLETS
+ADNQHYYCDSLKACLVTTVTSSGPSQSTIKLVATNMIANGKLAEGVQLLCLIDKAADACR
+YLQTYGEWNRAAWLAKVRLNSEECADVLKRWVDHLCSPQVNQKSKALLVLLSLGCFSSVA
+ETLHSMRYFDRAALFVEACLKYGAIEVSEDTEKLISAIYADYARSLKNLGFKQGAVLFAS
+KAGAAGRDLLNELESPKQELTD
+>tr|F1N1G6|F1N1G6_BOVIN 4-hydroxybenzoate polyprenyltransferase, mitochondrial OS=Bos taurus OX=9913 GN=COQ2 PE=3 SV=1
+MLGSCGAGLVRGLRAETQAWLWGTRGRSLALVHAARGLHAANWQPSPGQGPRGRPLSLSA
+AAVVNSAPRPLQPYLRLMRLDKPIGTWLLYLPCTWSIGLAADPGCLPDWYMLSLFGTGAV
+LMRGAGCTINDMWDRDYDKKVTRTASRPIAAGDISTFRSFVFLGGQLTLALGVLLCLNYY
+SIALGAASLLLVTTYPLMKRITYWPQLALGLTFNWGALLGWSAVKGSCDPSVCLPLYFSG
+IMWTLIYDTIYAHQDKKDDALIGLKSTALLFREDTKKWLSGFSVAMLGALSLVGVNSGQT
+MPYYTALAAVGAHLAHQIYTLDIHRPEDCWEKFTSNRTIGLIIFLGIVLGNLCKAKETDK
+TRKNIENRMEN
+>tr|A0A3Q1MS39|A0A3Q1MS39_BOVIN TAFII28 domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MRPWEVDLGVPLGPEPSNPGRILPRDPAQNARKASDEEGGELVHQDCPQPRAGEEADSSS
+RSPVAKRRKVDTEGKRGRQREVGEEEAQKMRTLVAAMSKEQLNRYEVFRRSAFPKSTIKH
+LIQAVAGTPVSQNVVIAMSGMAKVFVGEVVEEALDVCEKWGEKPPLQPKHLREAVRRLRE
+KGQMPSTKHKILFF
+>tr|A4IFG0|A4IFG0_BOVIN GSTM1 protein OS=Bos taurus OX=9913 GN=GSTM1 PE=2 SV=1
+MPMILGYWDIRGLAHAIRLLLEYTDSNYEEKKYTMGDAPDYDRSQWLSEKFTLGLDFPNL
+PYLIDGAHKLTQSNAILRYIARKHNMCGETEEEKIRVDILENQTMDVRLHMARICYSPDF
+ETLKPGFLKEIPEKMKLFSDFLAKRPWFAGDKLTYVDFLAYDVLDRHRIFEPTCLDEFPN
+LKDFITRFEGLKRISAYMKSSRFHPNPMFLKLAVWGNK
+>tr|B3Y9G0|B3Y9G0_BOVIN Transcription factor 7 like 2 OS=Bos taurus OX=9913 GN=TCF7L2 PE=2 SV=1
+MPQLNGGGGDDLGANDELISFKDEGEQEEKSSENSSAERDLADVKSSLVNESETNQNSSS
+DSEAERRPPPRSESFRDKSRESLEEAAKRQDGGLFKGPPYPGYPFIMIPDLTSPYLPNGS
+LSPTARTYLQMKWPLLDVQAGTLQSRQALKDARSPSPAHIVSNKVPVVQHPHHVHPLTPL
+ITYSNEHFTPGNPPPHLPADVDPKTGIPRPPHPPDISPYYPLSPGTVGQIPHPLGWLVPQ
+QGQPVYPITTGGFRHPYPTALTVNASMSRFPPHMVPPHHTLHTTGIPHPAIVTPTVKQES
+SQSEVGSLHSAKHQDSKKEEEKKKPHIKKPLNAFMLYMKEMRAKVVAECTLKESAAINQI
+LGRRGKKKKRKRDKQPGETNGEKKSAFATYKVKAAASVHPLQMEAY
+>tr|Q3ZBU5|Q3ZBU5_BOVIN FUS interacting protein (Serine/arginine-rich) 1 OS=Bos taurus OX=9913 GN=SRSF10 PE=2 SV=1
+MSRYLRPPNTSLFVRNVADDTRSEDLRREFGRYGPIVDVYVPLDFYTRRPRGFAYVQFED
+VRDAEDALHNLDRKWICGRQIEIQFAQGDRKTPNQMKAKEGRNVYSSSRYDDYDRYRRSR
+SRSYDRRRSRSRSFDYNYRRSYSPRNRPTGRPRRSRSHSDNDRPNCSWNTQYSSAYYTSR
+KI
+>tr|F1MCW5|F1MCW5_BOVIN RCC1 and BTB domain containing protein 2 OS=Bos taurus OX=9913 GN=RCBTB2 PE=4 SV=2
+MLDVGKWPIFSLCSEAELQLVRQACVFGSASNELLYTTVNDEIFVLGTNCCGCLGLGDVQ
+STIEPRRLDSLSGKKIACLSYGSGPHIVLATTEGEVFTWGHNAYSQLGNGTTNAGLVPYH
+ISTNLSNKQVIEVACGSYHSLVLTSDGEVFAWGYNNSGQVGSGSTANQPIPRRVTGCLQS
+KVVVNIACGQMCSMAVVNTGEVFVWGYNGNGQLGLGSSGNQPTPCRVAALQGIRVQRVAC
+GYAHTLVLTDEGQVYAWGANSYGQLGTGNKSNQSYPTPVVVEKDRIIEIAACHSAHTSAA
+KTQGGHVYMWGQCRGQSVTLPHLTHFSSTDDVFACFATPAVTWRLLSVEPDDHLTVAESL
+KREFDNPNTADLKFLVDGKYIYVHKVLLKIRCEHFRSSLEDNEDDIVEMSEFSYPVYRAF
+LEYLYTDSISLSPEEAVGLLDLATFYRENRLKKLCQQTIKQGICEENAIALLSAAVKYEA
+QDLEEFCFRFCINHLTVVTQTSGFAEMDHDLLKNFISKASRVGAFKN
+>tr|F1MVE2|F1MVE2_BOVIN IQ domain-containing protein C OS=Bos taurus OX=9913 GN=IQCC PE=4 SV=1
+MLNQNVQILGGFSLGALTPLISQKAKSCQTWKATGERAPNPEQELWSRFACKETEKETIR
+EDMILKKSGESFTNASSLPCRDASAWSQDEQSRRTGKPSQETRDVSRMNDPEAAGPGLFH
+SQTELQELQNHRSHLAMELLWLQQAINSRKEYLVLKQTLRSPEVNQNRHEPSLCQDHGGQ
+SCEKAGSQPGPPLEDQPYRGRTPGEPGHVDASCWRLRSQAHKFPEGLATPDKITAGAKYR
+DPSYRWPGPQLPTLSEYQATGKRLTKELDCGEEISGETCLQLTTLLEDESHKELKSKGSC
+PGKARTQLPTLHKDPNIEDNSPRGPCPKELDWQRAVPQELGVSEDHVTWDGSLAEHGGLD
+LWKNKPPKGQTPSDKSSIERSPSESSHEGWQNQRAVPFRSRPPEKLSTGSGHTGEDHWRG
+RQWKTGPAG
+>tr|Q58D26|Q58D26_BOVIN HMT1 hnRNP methyltransferase-like 1 OS=Bos taurus OX=9913 GN=PRMT2 PE=2 SV=1
+MATPGDCPRNELQEEEDPTTQAEEEHLQGAVHPEEFVAIADYSATDETQLSFLRGEKILI
+LRQTTADWWWGERAGCCGYIPANHLGKQLEDWDPEDSWQDEEYFGSYGTLKLHLEMLADQ
+PRTTKYHSVILQNKESLKDKVILDVGCGTGIISLFCAHYAQPRAVFAVEASEMAQHTGQL
+VVQNGFADIITVFQQKVEDVVLPEKVDVLVSEWMGTCLLFEFMIESILYARDAWLKEDGI
+IWPTTAALHLVPCSADKDYRSRCSSGTMPMSSTSALSNL
+>tr|Q0V8F2|Q0V8F2_BOVIN GTP-binding protein PTD004 OS=Bos taurus OX=9913 GN=OLA1 PE=2 SV=1
+MPPKKGGDGIKPPPIIGRFGTSLKIGIVGLPNVGKSTFFNVLTNSQASAENFPFCTIDPN
+ESRVPVPDERFDFLCQYHKPASKIPAFLNVVDIAGLVKGAHNGQGLGNAFLSHISACDGI
+FHLTRRSHMPRSS
+>tr|Q0P5L9|Q0P5L9_BOVIN Chromosome 12 open reading frame 4 ortholog OS=Bos taurus OX=9913 GN=C5H12ORF4 PE=2 SV=1
+MKKNRERFCNREREFVYKFKVGSQCFELRVPLKFPVQENASHLHGRLMLLHNLPCFIEKD
+LKEALSQFIEEESLRDYDREAEAALEAVKSGEVDLHQLASTWAKAYAETTLEHARPEEPS
+WDEDFADVYHDLIHSPASETLLNLEHNYFVSISELIGERDVELKKLRERQGIEMEKVMQE
+LGKSLTDQDVNTLAAQHFESQQDLENKWSNELKQSTAIQKQEYQEWVIKLHQDLKNPNNS
+SLSEEIKVQPNQFRESAEANGRVYEEQRKLEESFTIHLGAQLKTMHNLRLLRADMLDFCK
+HKRNHRSGVKLHRLQTALSLYSTSLCGLVLLVDNRINSYSGIKRDFATVCQECTDFHFPR
+IEEQLEVVQQVVLYARTQRRSKLKESHDSGSRNGGSDDKTKTADRNYLNILPGEFYITRH
+SNLSEIHVAFHLCVDDNVKSGNITARDPAIMGLRNILKVCCTHDITTVSIPLLLVHDMSE
+EMTIPWCLRRAELVFKCVKGFMMEMASWDGGISRTVQFLVPQSISEEMFYQLSNMLPQIF
+RVSSTLTLTSKH
+>tr|F1N103|F1N103_BOVIN Cochlin OS=Bos taurus OX=9913 GN=COCH PE=4 SV=2
+MWASWIPVLCLGVCLLLPPEPVGSEGAVPIPITCSTRGLDIRKEKADVLCPGGCPLEEFS
+VFGHIVYASVSSICGAAVHRGVIGHSGGPVRIYSLPGRENYSSVVANGIQSQMLSRWSAS
+FTVTKGKSGTQEATGQAVSTAHPATGKRLKKTPEKKTGNKDCKADIAFLIDGSFNIGQRR
+FNLQKNFVGKVALMLGIGTEGPHVGLVQASEHPKIEFYLKNFTSAKDVLFAIKEVAFRGG
+NSNTGKALKHTAQKFFTADTGARKGIPKVVVVFIDGWPSDDIEEAGIVAREFGVNVFIVS
+VAKPIPEELGMVQDVAFVDKAVCRNNGFFSYHMPNWFGTTKYVKPLVQKLCTHEQMMCSK
+TCYNSVNIAFLIDGSSSVGESNFRLMLEFVSNIAKTFEISDIGAKIAAVQFTYDQRTEFS
+FTDYSTKENVLAVIRNISYMSGGTATGDAISFTVRNVFGPVRDSPNKNFLVIVTDGQSYD
+DVRGPAAAAHDAGITIFSVGVAWAPLDDLKDMASKPKESHAFFTREFTGLEPIVSDVIRG
+ICRDFLESQQ
+>tr|F1MZD4|F1MZD4_BOVIN ATP binding cassette subfamily C member 5 OS=Bos taurus OX=9913 GN=ABCC5 PE=4 SV=3
+MKDIDIGKEYIIPSPGYRNVRERTSNSGQHRDREDSKYKRTQPLDCQDALETAARAEGLS
+LDPSMHSQLRILDEEHPKGKYHHSLSVLKPIRTTSKHQHPVDNAGLFSCMTFSWLSPLAR
+KAHKKGELLMEDVWSLSKYESSEVNCRRLERLWQEELNEVGPDAASLRRVVWTFCRTRLI
+LSIVCLMITQLAGFSGPAFVVKHLLEYTQDTESNLQYSLLLVLGLLLTEIVRSWSLALTW
+ALNYRTGVRLRGAILTMAFKKILKLKNIKEKSVGELINLCSNDGQRMFEAAAVGSLLAGG
+PVIAILGMVYNVIILGPTGFLGSAVFILFYPAMMFVSRITAYFRRKCVTITDDRVQKMNE
+VLTYIKFIKMYAWVKAFSQSVQKIREDERRMLEKAGYFQSITVGVAPIVMVIASVVTFSV
+HMTLGFDLTAAQAFTVVTVFNSMTFALKVTPFSVKSLSEASVAVDRFKSLFLMEEVHMIK
+KKPASPHLTIEMKNATLAWDSSHSSIQNSPKLTPKTKKDKRAARGKKEKVRQLQRTEHQA
+VLAEQKGHLLLDSDERPSPEEEEGKHIHLGSLRLQRTLYNIDLEIEEGKLVGICGSVGSG
+KTSLISSILGQMTLLEGSIAVNGTFAYVAQQAWILNATLRDNILFGKEFDEERYNSVLNC
+CCLRPDLAILPHSDLTEIGERGANLSGGQRQRISLARALYSDRDIYILDDPLSALDAHVG
+NHIFNSAIQKHLKSKTVLFITHQLQYLADCDEVIFMKEGCITERGTHEELMNLNGDYATI
+FNNLLLGETPPVEINSKKETSGSQKKTQEKGPKTGSVKKEKAVKPEEGQLVQMEEKGQGS
+VPWSVYGVYIQAAGGPLAFLVILSLFMLNVGSTAFSNWWLSYWIKQGSGNTTVTQENRTS
+VSNSMKDNPLMHYYASIYALSMAVMLILKAIRGVVFVKGTLRASSRLHDELFRRILRSPM
+KFFDTTPTGRILNRFSKDMDEVDVRLPFQAEMFIQNVILVFFCVGMIAGVFPWFLVAVGP
+LVILFSILHIVSRVLIRELKRLDNITQSPFLSHITSSIQGLATIHAYNKGQEFLHRYQEL
+LDNNQSPFFLFTCAMRWLAVRLDLISIALITTTGLMIVLMHGQIPPAYAGLAISYAVQLT
+GLFQFTVRLASETEARFTSVERINHYIKTLSLEAPARIKNKAPSPDWPQEGEITFENAEM
+RYQENLPLVLKKVSFTIKPKEKIGIVGRTGSGKSSLGMALFRLVELCGGCIKIDGVRISD
+IGLADLRSKLSIIPQEPVLFSGTVRSNLDPFNQYTEDQIWDALERTHMKECIAQLPLKLE
+SEVMENGDNFSVGERQLLCIARALLRHCKILILDEATAAMDTETDLLIQETIREAFADCT
+MLTIAHRLHTVLGSDRIMVLAQGQVVEFDTPSVLLSNDSSRFYAMFAAAENKIAVKG
+>tr|F1MDA9|F1MDA9_BOVIN RNA-binding protein NOB1 OS=Bos taurus OX=9913 GN=NOB1 PE=3 SV=1
+MAPVEHVVADAGAFLLDAALQDIGKNIYTIRNVISEIRDKATRRRLAVLPYELRFKEPFP
+EYVRLVTEFSKKTGDYPSLSATDIQVLALTYQLEAEFVGVSHLKQEPEKVKVSSSIQHPE
+TPLHVSGFHLPSKPKPPRETVEHRHPASEPEDLEFSSFMFWRNPLPNIDCELQELLMDGG
+EDVPNEEEDEENGLDERQDQDSDDDGGGWITPSNIKQIQQEMKQCAVPKDVRVGCVTTDF
+AMQNVLLQMGLHVLAVNGMLIREARSYILRCHGCFKTTSDMSRVFCAHCGNKTLKKVSVT
+VSDDGTLHMHFSRNPKVLNPRGLRYSLPTPKGGKYAINPHLTEDQRFPQLRLSRKARQKT
+DVFAPDYVAGVSPFAENDISSRSATLQVRDSTLGAGRRRLNPNASRKKFVKKR
+>tr|A2VDT5|A2VDT5_BOVIN ELK3, ETS transcription factor OS=Bos taurus OX=9913 GN=ELK3 PE=2 SV=1
+MESAITLWQFLLQLLLDQKHEHLICWTSNDGEFKLLKAEEVAKLWGLRKNKTNMNYDKLS
+RALRYYYDKNIIKKVIGQKFVYKFVSFPEILKMDPHAVEISRESLLLQDSECKVPPEGRE
+AHRHGLSALKSASRNEYIHSGLYSSFTINSLQNPPEPLKAIKTEKLEEQLEDSPPAEEVR
+TVIRFVTNKTDKHVSRPVVSLPSTSEAFLASSVSAKISSLMLPNAASISSASPSSSRSPS
+LSPNSPLPSEHRSLFLEAACHDSDSLEPLNLSSGSKTRSPSLPPKAKKPKGLEISAPPLV
+LSGTDIGSIALNSPALPSGSLTPAFFTAQTPNGLLLTPSPLLSSIHFWSSLSPVAPLSPA
+RLQGPSTLFQFPTLLNGHMPVPIPSLDRAASPVLLSSNSQKS
+>tr|E1BPV0|E1BPV0_BOVIN Armadillo repeat containing 3 OS=Bos taurus OX=9913 GN=ARMC3 PE=4 SV=3
+MGKKVKKEAEPPPKDVFDPLTVESKKVATVVLMLNSPEEEILAKACEAIYRFALKGEENK
+ATLLELGAVEPLTKLLTHEDKIVRRNATMIFGILASNNDVKKLLRELDVVNSVIARLAPE
+EEVVIHEFASLCLANMSVEYTSKVQIFEQGGLEPLIRLLSSPDPDVKKNSIECIYNLVQD
+FRCRATLQELNAIPPILDLLKSEYPIIQLLALKTLGVITNDKASRAMLRENQGVDQLIKI
+LETKELNDLHIEALSVVANCIEDMDTMVLIQQTGGLKKLLSFAENSTIPEIQKNAARAIA
+KAAYDPENRKLFHELEVEKCLVSLLGSENDGTKIAASQAISAMCENSGSKEFFNNQGIPQ
+LIQLLKSDSEEVREAAALALANLTTCNPANAKAAAEGDGIDALINTLSSKRDGAIANAAT
+VLTNMAMQEPLRLGIQSHDVMHALLSPLHSANTIVQSKAALTVAATACDAEARTELRNAG
+GLEPLVELLRSKNEEVRRNASWAVMVCAGDEPMAVELCRLGALEILEEINVSVSRKNKFS
+EAAYNKLLNNYLSLKYSQTGYLSSSNIISDGFYDYGRINPGTKLLPLKDLCLQEPSDQRA
+ILLINSRSSDVSPPPSTEDKSSDVGYGRSISSSSSLRRASKEKTNFHFSAGFGSPTEEKS
+EPTSGRNTAVSKSTTKEKGSRKGRGKKEEEKVKEEEEVAAAPKLTGEGSLEKEWFPPSDP
+DFCVYVYEVTKSILPITNIKEQIEVLAKYVAEKMGGKIPKEKLQDFSWELHISELKFQLK
+SNVVPIGLIKKGIFYHRALLFKALADKIGVGCSLVRGEYGRAWNEVKLMSESRKGVMGAL
+PPLEVYIVDLMFHPGSLIKLRSREADHYRFL
+>tr|I4EC02|I4EC02_BOVIN Melanocyte inducing transcription factor OS=Bos taurus OX=9913 GN=MITF PE=2 SV=1
+MTSRILLRQQLMREQMQEQERREQQQKLQAAQFMQQRVPVSQTPAINVSVPTTLPSATQV
+PMEVLKVQTHLENPTKYHIQQAQRQQVKQYLSTTLANKHANQVLSLPCPNQPGDHVMPPV
+PGSSAPNSPMAMLTLNSNCEKEGFYKFEEQNRAESECPSMNTHSRASCMQMDDVIDDIIS
+LESSYNEEILGLMDPALQMANTLPVSGNLIDLYGNQGLPPPGLTISNSCPANLPNIKREL
+TESEARALAKERQKKDNHNLIERRRRFNINDRIKELGTLIPKSNDPDMRWNKGTILKASV
+DYIRKLQREQQRAKELENRQKKLEHANRHLLLRIQELEMQARAHGLSLIPSTGLCSPDLV
+SRIIKQEPALENCNQDLLQHHADLTCTTTLDLTDGTITFNNSLGAGTESSQAYSVPAKMG
+SKLEDILMDDTLSPVGITDPLLSSVSPGASKTSSRRSSMSMEETEHAC
+>tr|A0JNM2|A0JNM2_BOVIN Thioredoxin OS=Bos taurus OX=9913 GN=TXNDC8 PE=2 SV=1
+MVQNIRDMDELKAFLKAAGNKLVVIEFSAKWCGPCKRIYPVFHAMSVQYRSVMFANVDVD
+NARELAQTYHIKAVPTFQLFKQTKKIFELCGADAKKLEEKIREFM
+>tr|Q17QL2|Q17QL2_BOVIN DTNA protein OS=Bos taurus OX=9913 GN=DTNA PE=2 SV=1
+MIEDSGKRGNTMAERRQLFAEMRAQDLDRIRLSTYRTACKLRFVQKKCNLHLVDIWNVIE
+ALRENALNNVDPNMELNVARLEAVLSTIFYQLNKRMPTTHQIQVEQSISLLLNFLLAAFD
+PEGHGKISVFAVKMALATLCGGKIMDKLRYIFSMISDSSGVMVYGRYDQFLREVLKLPTA
+VFEGPSFGYTEQSARSCFSQQKKVTLNGFLDTLMSDPPPQCLVWLPLLHRLANVENVFHP
+VECSYCHSESMLGFRYRCQQCHNYQLCQDCFWRGHAGGSHSNQHQMKEYTSWKSPAKKLT
+NALSKSLSCASSREPLHPMFPDQPEKPLNLAHIVPPRPVTSMNDTLFSHSVPSSGSPFIT
+RSSDGAYGGYV
+>tr|Q0VC61|Q0VC61_BOVIN NFKB inhibitor interacting Ras-like 1 OS=Bos taurus OX=9913 GN=NKIRAS1 PE=2 SV=1
+MGKGCKVVVCGLLSVGKTAILEQLLYGNHTIGLGDCETLEDVYMASVETDRGVKEQLHLY
+DTRGLQEGVELPKHYFSFADGFVLVYSVNNLESFQRVELLKKEIDKFKDKKEPIVEDPPA
+QVRISSRG
+>tr|A0A3Q1MQA2|A0A3Q1MQA2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC616957 PE=4 SV=1
+MDKMSMDLVPQNLLDRDVDDILPCDDVKPQDSDLSAEERIFLEEFPNLKGELDMDIQKLH
+ALADHIDTTHKTLTKTSVVANSITVVSGAMSILGLVLAPARAGGSLALSTAGRVLGTAGE
+VTSILTNVLERFHSQEAQAQVGSLMPSHGGKVRRTGVDYIMAAGKVIQNCRSTIEGVQKS
+IRAFQITKADPRLATATKHLLTTGQVSARRSSQVQRAFGGTTLVMKTKARLLGSAMAGFS
+LSVDLASLLKDWKQLKEGARTELAEELRAQARELERQLTQLTQRYESLQQRMRLCGPSWV
+AQSGGKALTGFMGTGAYGRGDEEASVCTVCLPIPEDAGRLEAQTASNAS
+>tr|E1BIY5|E1BIY5_BOVIN Sulfatase 2 OS=Bos taurus OX=9913 GN=SULF2 PE=4 SV=3
+MAPAGILLCLLSAAVFSLLDGSAAFLSHHRLKGRFQRDRRNIRPNIILVLTDDQDVELGS
+MQVMNKTRRIMEQGGAHFINAFVTTPMCCPSRSSILTGKYVHNHNTYTNNENCSSPSWQA
+QHESRTFAVYLNSTGYRTAFFGKYLNEYNGSYVPPGWKEWVGLLKNSRFYNYTLCRNGVK
+EKHGFDYSKDYLTDLITNDSVSFFRASKKMYPHRPVLMVLSHAAPHGPEDSAPQYSSLFP
+NASQHITPSYNYAPNPDKHWIMRYTGPMKPIHMQFTNMLQRKRLQTLLSVDDSMETIYNM
+LVETGELDNTYIVYTADHGYHIGQFGLVKGKSMPYEFDIRVPFYVRGPNVEAGSLNPHIV
+LNIDLAPTILDIAGLDIPSDMDGKSILKLLDTERPANRFHLKKKLRVWRDSFLVERGKLL
+HKRDSDKVDAQEENFLPKYQRVKDLCQRAEYQTACEQLGQKWQCVEDASGKLKLHKCKGP
+VRPGGRALSNLVPKYYVQGSEGCICDSGDGQLTLARRRKKLFKKKYKASYARNRSIRSVA
+VRGHHVSLDDTSQPRNLTKRHWPGAPEDQDDRDGGDFSGTGGLPDYSAPNPIKVTHRCYI
+LENDTVQCDLDLYKSLQAWKDHKLHIDHEIETLQNKIKNLREVRGHLKKKRPEECDCHKI
+SYHAQHKGRLKHKGSSLHPFRKGLQEKDKVWLLREQKRKKKLRKLLKRLQNNDTCSMPGL
+TCFTHDNQHWQTAPLWTLGPFCACTSANNNTYWCMRTINETHNFLFCEFATGFLEYFDLN
+TDPYQLMNAVNTLDRDVLNQLHVQLMELRSCKGYKQCNPRTRNMDLGLKDGGSYEQYRQF
+QRRKWPEMKRPSSKSLGQLWEGWEG
+>tr|Q0P588|Q0P588_BOVIN Mannosidase beta like OS=Bos taurus OX=9913 GN=MANBAL PE=2 SV=1
+MASDLDFSPPEVPEPTFLENLLRYGLFLGAIFQLICVLAIIIPVPKSHEAEAEPSEPRSA
+EVTRKPKATAPSANKRPKKEAKKKR
+>tr|A5PK37|A5PK37_BOVIN EPM2A protein OS=Bos taurus OX=9913 GN=EPM2A PE=2 SV=1
+MRFRFGVVVSPAVAGAGPELLVVGSRPELGRWEPSGAVRLRPAGTAAGAGALALQEPGLW
+LGEVELAAEEAAQDGAEPGRVDTFWYKFLKREPGGELSWEGNGPHHDRCCTYNENNLVDG
+VYCLPIGHWIEATGHTNEMKHTTDFYFNIAGHQAMHYSRILPNIWLGSCPRQVEHVTIKL
+KHELGVTAVMNFQTEWDIVQNSSGCNRYPEPMTPDTMIKLYKEEGLAYIWMPTPDMSTEG
+RVQMLPQAVCLLHALLEKGHTVYVHCNAGVGRSTAAVCGWLQYVLGWSRRKVQYFLVAKR
+PAVYIDEEALARAEEDFYQKFGKIRSSVCGV
+>tr|A1A4R3|A1A4R3_BOVIN DnaJ (Hsp40) homolog, subfamily C, member 15 OS=Bos taurus OX=9913 GN=DNAJC15 PE=2 SV=1
+MAARVGVAPAGDGLRYSGSLQPPAQPSDADLDRGLARSLIAVGLGIAALGFAGRYAFQIW
+KPLGQVITETAKKISTPSFSSYYKGGFEQKMSRREASLILGVSPSASKAKIRAAHRRIMI
+LNHPDKGGSPYLATKINEAKDLLEATTKH
+>tr|A3KMW8|A3KMW8_BOVIN F-box protein 36 OS=Bos taurus OX=9913 GN=FBXO36 PE=2 SV=1
+MASWLPETLFEIVGQGPAPSKDYYQLLVTRFQVIFRWWKISLRSEYRSTKPGETKETHED
+FLEKSHLQVQIALIFGSRILDYVFNLCEGKFDFLERLSDSLLLNIISYLDLEDIARLSQT
+SRRFAKLCMSDELWEQIVRSSCDHITPDMRALAQDMGWRQMYFTNKLQLQRHLRKRKQRQ
+GSQRSSQL
+>tr|A0JN98|A0JN98_BOVIN ERBB receptor feedback inhibitor 1 OS=Bos taurus OX=9913 GN=ERRFI1 PE=2 SV=1
+MSMAGVAAQEIRVPLKTGFLHDGQALGSLKACWGGRSEFENGFLNIDPITMAYSLNSRAQ
+EQLTSIGRTSRSTPMSSSHCAEHGPPPKSRLPPLIIPPSEGWGQQEQDRVACGLKKLAVN
+GVCAATPPLTPVKSPLSLFSSSAPCERGSRPLPPLPISEDLTLDETDCEVEFLTSSDTDF
+LLEDCGLSDFRADGPGRRSFRGCGQINYAYFDTPTVSAVDLSQEPDQAARAPSANPPPPQ
+AHRRLRRSHSGPAGSFNKPAIRISSSVHRASPNSDDDKPEVPPRVPIPPRPAKPDYRRWS
+AEVTSSTYSDEDRPPKVPPREPLSRSNSRTPSPKSLPSYLNGVMPPTQSFAPDPKYVSSK
+ALQRQHSEGAAGKAPCILPIIENGKKVSSTHYYLLPERPPYLDRFEKFFREAEETHGNTP
+VHPLLANGSISSAPDKLDLKPRVDPGGHVKRKHFSYVVSP
+>tr|A0A3Q1LSF5|A0A3Q1LSF5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=MIPOL1 PE=4 SV=1
+MEDWSKDITHSYLEQETTGRNKNTQPDEQLPVNSKGSMHQKSNELVNEATYESTELPEQR
+SRNFQVVSPHPGDETAHCTAGKSNFLHYSIMDHRSNDLHCECTNPCQVTSDFSKEETIAL
+LLKELNILKASNKKLQEKLSKEDKEHRKLKLKLELQEKATEAQIAEKTAALVEEVYLAQK
+ERDEAIMSRLQLANEERDEAIARAKHMEMSLKLLENINPEENDMTLQELLNRINNADTGV
+AIQKNGAIIVDRIYKTKECKKRITAEEMNAVIEERDAALSQCKRLEQELHHLKEQKQTSA
+NNMRHLTAENNQERALKAKLLAMQQARETAVQQYKKLEEEIQTLRVYYSLHKSLSQEENL
+KDQFNLTLSTYEEALKNRENIVSITQQQNEELATQLQQALTDRANMELELQHAVEASRTA
+NDKVQKLERLVDVLRKKVGTGTMRTVI
+>tr|Q0IIF1|Q0IIF1_BOVIN KCNE1-like OS=Bos taurus OX=9913 GN=KCNE5 PE=2 SV=1
+MNCSESQRLRTLLSRLLLELHHRGNASGLGAGPGPSMGMGVVPDPFVGREVTSAKGDDAY
+LYILLIMVFYACLAGGLILAYTRSRKLVEAKDEPSQACAQHEWLPGGVPATADAATVAGS
+PAEGRRQLAPCGLPAPALARGTEGV
+>tr|Q0P5A8|Q0P5A8_BOVIN Pregnancy up-regulated non-ubiquitously expressed CaM kinase OS=Bos taurus OX=9913 GN=PNCK PE=2 SV=1
+MLLLKKQTEDISSVYEIREKLGSGAFSEVVLAQERGSSHLVALKCIPKKALRGKEALVEN
+EIAVLRRVSHPNIVALEDVHESPSHLYLAMELVTGGELFDRIMERGSYTEKDASHLVAQV
+LGAVSYLHSLGIVHRDLKPENLLYATPFEDSKIMVSDFGLSKIQAGNMLGTACGTPGYVA
+PELLEQKPYGKAVDVWALGVISYILLCGYPPFYDESDPELFSQILRANYEFDSPFWDDIS
+ESAKDFIRHLLERDPQKRFTCQQALQHLWISGDTAFDKDILGSVSEQIQKNFARNHWKRA
+FNATSFLRHIRKLGQSLENEASGRRVMSRSHPGLPTSQPPEW
+>tr|G5E6N1|G5E6N1_BOVIN Nanos C2HC-type zinc finger 2 OS=Bos taurus OX=9913 GN=NANOS2 PE=2 SV=1
+MQLPPFDMWKDYFNLSQVVLALIQSRGQGLETQGTGEPRPGPHVEQDQGQGGRGAGGGLA
+TLCNFCKHNGESRHVYSSHQLKTPEGVVVCPILRHYVCPLCGATGDQAHTLKYCPLNGGQ
+QSLYRRSGRNSAGRKVKR
+>tr|A6QNR2|A6QNR2_BOVIN HAUS augmin like complex subunit 5 OS=Bos taurus OX=9913 GN=HAUS5 PE=2 SV=1
+MATLVPLTDMAMEQNLQRMQDTQRRALLLRAQAGAMRRQQRELQDPMQRLQNQLKRLQDI
+ERKAKVDINFGPLISAALGLEPAVLHDVRTACSLRTQFLQSLLSPQAQGGGVLTLQDDHF
+GTSYQQWLSSVESLLTNHPPGHVLAALQHLAAEREAEIQSLCSVDGLQDMERTRSQAPNQ
+SDSSQTLPSVVHLIQEGWRSVGELVTQREPLLKEHQVLTLRLQGLMEKADRCILGSSERQ
+TLVLGLRVCALWAELKALRALSQELEEATGRRQILLQELQAKQQRILRWRQLVEETQEQI
+RLLIKGNSASKTRLCRSPEEVLALVQRKVVPTSEAVAPQSQELVRCLEQEAQHLPHLPLG
+PLLQHSPGGLQPLPTILPSIHQLHPASPRGSSLIALSHTLGLPAGKAPELLLPRAASLRQ
+DLLFLQDQQSLRRWYLLHMKTNLPPGPSTQELLRIQESQEKEQKESLGQALKRLENLLKQ
+ALKRVPELQGVVGDWWEQPGQAALSRELCQGLSLSQWQLRWVQAQGALQQLCR
+>tr|A6QQS9|A6QQS9_BOVIN NBR1 protein OS=Bos taurus OX=9913 GN=NBR1 PE=2 SV=1
+MEPQVTLNVTFKNETQSFLVSDPENTTWADVEAMVKVSFDLNTVQIKYLDEENEEVSINS
+QGEYEEALKMAVKQGNQLQMQVHEGCRVGEEAPPPTGTEKRLVARTGKKPLAHYSSVVRV
+LGSDMKTPEDPATQQLPPAPHNPDQPQDKPPDWFTSYLETFREQVVKETVEKLEQKLHEK
+LVLQHPSLGSCPSEVSMPVSEETLFLPENQFSWHIACSSCQRSIVGVRYQCSLCPSYNIC
+EDCESGPYAHDSNHVLLKLRRPVVGSSEPFSHSRFSTPRLPAALEQARLQKQVDKNFLKA
+EKQRLRAEKKQRKAEVKELKKQLKLHRKIHLWNSLHGLQNPKSPLGRPESLLQSNTPMLP
+LQPCAPVMPTLSAAFVDENLPDGTHLQPGTKFIKHWRMKNTGNVKWSTDTKLKFMWGNLT
+LASTEKKDVLVPCLKAGHVGVVSVEFIAPTLEGTYTSHWRLSHKGQQFGPRVWCSIIVDP
+FPSTESPDNSEKSMISSSRGDELPCQQEEAFLAKEEILPGETTEQTEGPGTRIPQKAKNA
+PSKRELYIPSVDLLTAQDLLSFELLDINIVQELERVPHNTPVDMTPCMSPLPHDSPLIEK
+PGLGQIQEESEGAGFKALPDSTVSVKKKAENVSSMEEPEDDLSGTQFVCETVIRSLTLDA
+APDHKPPWRQKSPQRAELQLYATEEQQPTVLPGFCSKESSLKFASPEEGPLADEREEIVQ
+IAEEEAIVEEEDELKDEVRSQSSASSEDYIIILPECFDTSRPLGDSMYSSALSQPGLERG
+AEGEPGIEAGQEPVEAGERPLGGDNQPQGHNINDILMTSQTLDTVPLTPEVVGLPPQLPR
+SPPSAQHHGSPGVDLPVTAPEVSSVPGQIREELRGPSGLVNSRPKSYDHSRHHHHHHGNS
+IAGGLVKGALSVAASAYKALFAGPPVTAQPIVSEDQTAALMAHLFEMGFCDRQLNLRLLK
+KHNYNILQVVTELLQVNNNDWYSHRY
+>tr|E1BCN1|E1BCN1_BOVIN Lipin 2 OS=Bos taurus OX=9913 GN=LPIN2 PE=4 SV=3
+MNYVGQLAGQVIVTVKELYKGINQATLSGCIDVVVVRQQDGSYQCSPFHVRFGKLGVLRS
+KEKVIDIEINGDAVDLHMKLGDNGEAFFVEETEEEYEKLPAYLATSPIPTEDQFFTDVDS
+PLVKPGGNERPSQSSDVAHILEAETVFTPSSVKKKKRRRKKCKQDSKKEEQAVSPPAEDA
+TGVDLSSDDDKGAQSARKSSNASLKEEEHKEPLLFHSGDHYPLSDGDWSPLDNPYPPVAC
+PKSDSELEVKPAGSLLRSESHMEWTWGGFPESTKVSKRERSEHHPRTAMITPSENTHFRV
+IPSEDSLLSEVEKDASMGETVCTIVKPKPRAPGKQHGGAALAAGPQEPPAGLDAPHLPPS
+PPFVEAPSEARPAAKVDSPSKKRGVHKRSQHQGPDDIYLDDLKALEPEVAALYFPKSESE
+PGSRQWPESDTLSGSQSPQSVGSAAADSGTECLSDSAMDLPDVTLSLCGGLSENGEISKE
+KFMEHIITYHEFAENPGLIDNPNLVIRIYNRYYSWALAAPMVLSLQVFQKSLPKATVESW
+VKDKMPKKSGRWWFWRKRESITKQLPEAKEGKAEVPPPSDRPSGAKEPASGRSAEDDSSS
+DEGSQELEESIKVDSAPTEPPSHSGTTSYKKSLRLSSDQIAKLNLQDGPNDVVFSITTQY
+QGTCRCAGTIYLWNWNDKVIISDIDGTITKSDALGQILPQLGKDWTHQGIAKLYHSINEN
+GYKFLYCSARAIGMADMTRGYLHWVNDKGTILPRGPLMLSPSSLFSAFHREVIEKKPEKF
+KIECLTDIKNLFAPAQQPFYAAFGNRPNDVYAYRQVGVPDCRIFTVNPKGELIQERTKGN
+KSSYHRLSELVEHVFPLLNKEQNSAFLCPEFSSFCYWREPIPEVDLEDLV
+>tr|A6QP08|A6QP08_BOVIN ZNF674 protein OS=Bos taurus OX=9913 GN=ZNF674 PE=2 SV=1
+MVMSQETLTFRDVFVDFTQEEWQQLDAAQKNLHRDVMLENYSHLVSVGYLVAQPHGIFRL
+GQGEEEARIAAGESVIWSCPEFWKVNDQTDNHKESQDKLLWQAASINKETLKDKSGQEFR
+TYGEIIYPSTGFVSIGQRLTKYYSWGKCSKHNFNFLSQSRSCVRKKGDECKAYWKLCFHS
+NLDKAQSGEKFFEPNEHGKALHCKQALNKSPRIQNGEKLYQCSECGKVFIQKANLVVHQR
+THTGEKPYECCDCAKTFSQKSTLIAHQRTHTGEKPYECSECGKTFIQKSTLMKHQRTHTG
+EKPFVCGECAKAFKSSYHLIRHEKTHIRQAFYEAIKCDKSRLIYQRTHMGEKSECNKHGK
+GFNETPTPIKHQLTHTEETLYECSKCGKGFRGKSHLSVHQRVHTREKSYECSICGKTFSG
+KSHLSVHHRIHTGEKPYECRRCGKAFGEKSTLIVHQRTHTGEKPYKCNECGKAFSEKSPL
+IKHQRIHTGERPYECSECRKTFSRKSTLIKHQRIHTGEKPYECSECGKAFSVKSTLIVHH
+RTHTGEKPYECRECDKAFSGKSTLIKHQKSHTGDKTY
+>tr|F1MX67|F1MX67_BOVIN CUE domain containing 1 OS=Bos taurus OX=9913 GN=CUEDC1 PE=4 SV=1
+MTSLFRRSSGGGGAAGARGAGAGAAASQELNNSRPARQVRRLEFNQAMDDFTTMFPNMDY
+DIIECVLRANSGAVDATIDQLLQMNLEAGGGGVYEDSSDSEDSIPPEVLERTLEPDSSDE
+EPPPVYSPPAYHMHVLDRPYPLAPPTPPPRIDVLGSAPPSSQRRYRNWNPPLLGNLPEDF
+LRILPQQLDSIQATSRGSKLASTEGSLPPAAGPEPRDQESRWKQYLEDERIALFLQNEEF
+MKELQRNRDFLLALERDRLKYESQKSTSSSVAVGNDFDFPSPVPGNSDASPAVSEDALFR
+DKLKHMGKSTRRKLFELARAFSEKTKMRKSKRKHLLKHQSLGAAASTANLLDDVEGHAYD
+EDFRGRRQEVPTVEEALKEGQ
+>tr|A6QP94|A6QP94_BOVIN LAS1 like, ribosome biogenesis factor OS=Bos taurus OX=9913 GN=LAS1L PE=2 SV=1
+MEADREMEADHEMEDDLQCGGTRPELDVEPVEPDVEPVEPDVEPDVEPDVRSESEIEPGL
+GPRGMDLVWSAWCGKCVKEKGSSPLWAQRIVVAWLSRAEWDQVMVYLFSDDYKLQRYALN
+RITVWRSRLGNELPLAVASTADLVRCKLMDVTGGLGTDELRLLYGMALVRFVNLISERKT
+KFAKLPLKFLAQEVNIPDWIVELRHELTHKKMPHINDCRRGCYFVLDWLQNTYWCRQMEN
+SLRETWELEEEREETDEADQEEDKKIVVDDITEQNPEPKDEEKGVELNVKTDGDRESNKD
+GKEVDPLLQKALRHKQLYERARELLVSYEEEQFKVLEKYRHLPQAIKAWNNLSPPVECIL
+AELKGITLENREAVLDAFLDDGFLIPTFEQLAALQIEYEENVDLDDVLVPKPFSQFWQPL
+LRGLHSQTFTQALLERMLSELPALGNTGIRPTYILRWTTELIVANTKTGRNARRFSASQW
+EARKNWRLFNCSASLDWPQVVESCLGSPCWASPQLLQLIFKAMGQFLPDEEQEKLLRICS
+IYTQSGENLVQEGSEASPIGKSPYTVDNLYLILKPAGSSSGAEGEAQKQEEQGNLNDVKE
+DEKENKEAVEDQVEENEEEEENDHQKWEEEEEDDGHDDNDNDDEDEEDRMEVGPFSTEEE
+SPTAENARLLAQKRGALQDSAWQVSSEDVRWDTFPLGRMPGQTEDPAELMLENYDTMYLL
+DQPVLEQRLEPPACKIGTLGLSCSSGSNDSGNLDQLLWSQEELHMLKTSVQLF
+>tr|A5D7S2|A5D7S2_BOVIN NRF1 protein OS=Bos taurus OX=9913 GN=NRF1 PE=2 SV=1
+MEEHGVTQTEHMATIEAHAVAQQVQQVHVATYTEHSMLSADEDSPSSPEDTSYDDSDILN
+STAADEVTAHLAAAGPVGMAAAAAVATGKKRKRPHVFESNPSIRKRQQTRLLRKLRATLD
+EYTTRVGQQAIVLCISPSKPNPVFKVFGAAPLENVVRKYKSMILEDLESALAEHAPAPQE
+VNSELPPLTIDGIPVSVDKMTQAQLRAFIPEMLKYSTGRGKPGWGKESCKPIWWPEDIPW
+ANVRSDVRTEEQKQRVSWTQALRTIVKNCYKQHGREDLLYAFEDQQTQTQATTTHSIAHL
+VPSQTVVQTFSNPDGTVSLIQVGTGATVATLADASELPTTVTVAQVNYSAVADGEVEQNW
+ATLQGGEMTIQTTQASEATQAVASLAEAAVAASQEMQQGATVTMALNRWRQGWAIKEEGD
+SVPVYRCKEKRRTSLCHNWHLKLNTLNP
+>tr|Q32PH9|Q32PH9_BOVIN Cell division cycle 7 OS=Bos taurus OX=9913 GN=CDC7 PE=2 SV=1
+MEPALGIQMDEPMAFSPLGGRFQAEGSLKKQEQNFKPPGVKKDIEKLYEAVPQLGNLFKI
+KDKIGEGTFSSVYLATAQLQVGPEEKIALKHLIPTSHPIRIAAELQCLTVAGGQDNVMGV
+KYCFRKNDHVVIAMPYLEHESFLDILNSLSFQEVREYMFNLFKALKRIHQFGIVHRDVKP
+SNFLYNRRLKKYALVDFGLAQGTHDTKIELLKFVQSEAHQESCSQNKSYVITGNKISLSG
+PAAPKELDQQSTPKTSVKRPYTNTQTQTKHGKDGKEGSVGLSVQRSVFGERNFNIHSSIS
+HESPAVKLMKQSKTVDLLSRKLGTKKKTISTKVMNSGVMRKTASSCPATLTCDCYATDKV
+CSICLSRRQQVAPRAGTPGFRAPEVLTKCPNQTTAIDMWSAGVIFLSLLSGRYPFYKASD
+DLTALAQIMTIRGTRETIQAAKTFGKSILCSKEVPAQDLRKLCEKLRGINSTTPKLISDI
+QECSSHDPAFSEKTENHKIVHFIQTPQAQPSGNPSYKGDGNGCGGNFEESATNLEGWDEV
+PDEAYDLLDKLLDLNPASRITAEEALLHPFFKDMSL
+>tr|A4FV02|A4FV02_BOVIN ADCYAP1 protein OS=Bos taurus OX=9913 GN=ADCYAP1 PE=2 SV=1
+MTMCSGARLALLVYGILMHSSVYGSPAASGLRFPGIRPENEVYDEDGNPQQDFYDSESPG
+VGSPASALRDAYALYYPAEERDVAHGILNKAYRKVLDQPSARRYLQTLMAKGLGGTPGGS
+ADDDSEPLSKRHSDGIFTDSYSRYRKQMAVKKYLAAVLGKRYKQRVKNKGRRIPYL
+>tr|E1B986|E1B986_BOVIN Protein kinase AMP-activated non-catalytic subunit beta 2 OS=Bos taurus OX=9913 GN=PRKAB2 PE=4 SV=1
+MGNTTSDRVAGERHGAKAARAEGAGGHAPGKEHKIMVGSTDDPSVFSLPDSKLPGDKEFV
+SWQQDLEDSVKPTQQARPTVIRWSEGGKEVFISGSFNNWSTKIPLIKSHNDFVAILDLPE
+GEHQYKFFVDGQWVHDPSEPVVTSQLGTINNLIHVKKSDFEVFDALKLDSMESSETSCRD
+LSSSPPGPYGQEMYVFRSEERFKSPPILPPHLLQVILNKDTNISCDPALLPEPNHVMLNH
+LYALSIKDSVMVLSATHRYKKKYVTTLLYKPI
+>tr|Q08DX5|Q08DX5_BOVIN Cyclin-dependent kinase 7 OS=Bos taurus OX=9913 GN=CDK7 PE=2 SV=1
+MAVDVKSRAKRYEKLDFLGEGQFATVYKARDKNTNQIVAIKKIKLGHRSEAKDGINRTAL
+REIKLLQELSHPNIIGLLDAFGHKSNISLVFDFMETDLEVIIKDNSLVLTPSHIKAYMLM
+TLQGLEYLHQHWILHRDLKPNNLLLDENGVLKLADFGLAKSFGSPSRAYTHQVVTRWYRA
+PELLFGARMYGVGVDMWAVGCILAELLLRVPFLPGDSDLDQLTRIFETLGTPTEEQWPDM
+CSLPDFVTFKSFPGIPLQHIFIAAGDDLLDLIQGLFLFNPCTRITATQALKTKYFSNRPG
+PTPGCQLPRPNCPAEALKEQSNPAMATKRKRTEALEQGGLPKKLIF
+>tr|A7MB67|A7MB67_BOVIN ZYG11B protein OS=Bos taurus OX=9913 GN=ZYG11B PE=2 SV=1
+MPEDQAGAAMEEASPYSLLDICLNFLTTHLEKFCSARQDGTLCLQEPGVFPQEVADRLLQ
+TMAFHGLLNDATVGIFRGNQMRLKRACIRKAKISAVAFRKAFCHHKLVELDATGVNADIT
+ITDIISGLGSNKWIQQNLQCLVLNSLTLSLEDPYERCFSRLSGLRALSITNVLFYNEDLA
+EVASLPRLESLDISNTSITDITALLACKDRLKSLTMHHLKCLKMTTTQILDVVRELRHLN
+HLDISDDKQFTSDIALRLLEQKDILPNLVSLDVSGRKHVTDKAVEAFIQQRPSMQFVGLL
+ATDAGYSEFLTGEGHLKVSGEANETQIAEALKRYSERAFFVREALFHLFSLTHVMEKTKP
+EILKLVVTGMRNHPMNLPVQLAASACVFNLTKQDLAAGMPVRLLADVTHLLLKAMEHFPN
+HQQLQKNCLLSLCSDRILQDVPFNRFEAAKLVMQWLCNHEDQNMQRMAVAIISILAAKLS
+TEQTAQLGAELFIVRQLLQIVKQKTNQNSVDTTLKFTLSALWNLTDESPTTCRHFIENQG
+LELFMRVLESFPTESSIQQKVLGLLNNIAEVQELHSELMWKDFIDHISSLLHSVEVEVSY
+FAAGIIAHLISRGEQAWTLSRSQRNSLLDDLHSAILKWPTPECEMVAYRSFNPFFPLLGC
+FTTPGVQLWAVWAMQHVCSKNPSRYCSMLIEEGGLQHLYNIKEHEQTDPHVQQIAVAILD
+SLEKHIVRHGRPPPCKKQSQARLN
+>tr|A6QPK0|A6QPK0_BOVIN SCGB2A2 protein OS=Bos taurus OX=9913 GN=SCGB2A2 PE=2 SV=1
+MKLVTVLMLVALPLYCYAGSSGCSLLDNVVEKSVDPTVSKDEYRAYLKDFAQTDVEKKAA
+DELKQCFLQQSNETLANFKQMLQIIYDSIYCK
+>tr|F1MV63|F1MV63_BOVIN MET transcriptional regulator MACC1 OS=Bos taurus OX=9913 GN=MACC1 PE=4 SV=2
+MLTSEKAHFWSGGISRSMSEGNLFDMEARKSSRNCDITDPGLPLDWPEDFTLHGSNASSA
+TNPFWNELSASNPFLDDITQLRNNQKRDNISILKEDPLLFFREIETGNSFDSSGDELDVH
+RLLRQSSSRKSGRSKSVSELLDILDNTAHAHQNIHNFDQILEQDLEWLRNDREAYKMAWL
+SHRHLARSCLDLSTINQSPGWAQTQVAETTVVCKLNHQGGSVQLPESDITVHVPQGHVAV
+GEFQEVSLRAFLDPPQKLNHDLSCTISPLLEIMLGNLNTMEAILLEMKIGAEVKRDPFSQ
+VMAEIVCLHSLDKEGPFKALNNCYIYKDTIQVKLVDLSQVMYLVVAAQTKGTQSPVATIW
+DYIHRTISVGIYGPKYIHPSFTAVFTVCGHSYMPGKLTISDIKKGGKPNTSPVVFQLWGK
+HSFLLEKPQDLNISVLSCDPDFEVKAERKRKEVKQKHLQSGQAVHQQFFFSLVDSREMHL
+FVFCVQVEPRSGRPVTQFFITSPDPAPNLKSLSNLPSDLQKKKEINSSPLLPTVHVKYPT
+FQDKKLNFTSYGITQKTVIRQNKIEYLLEYFKGDTVALLGEGKVKAIGQSKVKEWYVGVL
+RGKIGLLHCKNIRVIAKEQVMSMSDNVFTTRNLLEQITLPLKKLTYIYSVVLTLVSEKVY
+DWKVLAYVLGYSHLALEDFDQLQADKESEKVAYVVKKLKEDCHADRNARKFLYELTVALL
+KIDCQGLVVHLVQEAAILTSAVKLGKGWRELAEKLVRLTKRQMEAYEIPHQGKSGDLAVE
+MMWKPAYDFLYTWSAHYGNSYRDVLQDLQSALDRMKNPVTKQWRDLTGALILVNSLEVLR
+ATAFSTSEEV
+>tr|A5PKK2|A5PKK2_BOVIN F-box and leucine-rich repeat protein 16 OS=Bos taurus OX=9913 GN=FBXL16 PE=2 SV=1
+MSSPGVNGDPKPPCLPRNGLVKLPGQPNGLGAASITKGTPAAKNRPCQPPPPTLPPPSLA
+APPPRAALAGGLCPQAGLPLGGPALAPVSGPPAERPPLATDEKILNGLFWYFSACEKCVL
+AQVCKAWRRVLYQPKFWAGLTPVLHAKELYTVLPGGEKEFVNLQGFAARGFEGFCLVGVS
+DLDICEFIDNYALSKKGVKAMSLKRSTITDAGLEVMLEQMQGVVRLELSGCNDFTEAGLW
+SSLSARITSLSVSDCINVADDAIAAISQLLPNLAELSLQAYHVTDTALAYFTARQGHSTH
+TLRLLSCWEITNHGVVNVVHSLPNLTALSLSGCSKVTDDGVELVAENLRKLRSLDLSWCP
+RITDMALEYVACDLHRLEELVLDRCVRITDTGLSYLSTMSSLRSLYLRWCCQVQDFGLKH
+LLAMRSLRLLSLAGCPLLTATGLSGLVQLQDLEELELTNCPGATPELFKYFSQHLPRCLV
+VE
+>tr|A5PJU1|A5PJU1_BOVIN CREB/ATF bZIP transcription factor OS=Bos taurus OX=9913 GN=CREBZF PE=2 SV=1
+MRHSLTKLLAASGSDSPTRSESPAPVATCSLPPDLTGAAEDEGTAAAGSPGRRQPRGDEG
+ESEAGRGGRGGVAARAPSPEEMEEEAMASVPGEETEDMDFLSGLELADLLDPRQPDWHLE
+PGLSSPGPLSSSGGGSESGGLWRGDDDDEAAAAEMQRFSDLLQRLLNGIGACSSGSDSGG
+GEKRRRKSPGGGGGGGGGGSGGGNDSNQAATKSPRKAAAAAARLNRLKKKEYVMGLESRV
+RGLAAENQELRAENRELGKRVQALQEESRYLRAVLANETGLARLLSRLSGVGLRLTTSLF
+RDSPAGDHDYALPVGKQQPDPLEDQDDSAGGVCLHVDKDKVSVEFCSACARKASSSLKM
+>tr|E1B972|E1B972_BOVIN CD70 molecule OS=Bos taurus OX=9913 GN=CD70 PE=2 SV=2
+MVERAWSLPLTDIPREGHGWSLAGSDRLRGPRGCEKAEASFPLPACPLRTLPAATQLMKC
+SWRTMMAPEEAASCQVPRWPWASILRVTISVLLSTGTCYLVCNLCFSQQQQLDSTRWDLA
+ELQLNHTGSRQDPRLPWQGSPALGRSFLHGPKLDDNGQLQIQRDGIYRLHIQVTLANCSS
+STWTAEPQRATLTVAICSPAAHSISLLRLSFHRGGCWVASQRLTFLARGDILCTNLTLPL
+LPSRNADETFFGIQWVHP
+>tr|Q58CZ7|Q58CZ7_BOVIN Tetraspanin OS=Bos taurus OX=9913 GN=TSPAN2 PE=2 SV=1
+MGRFRGGLRCIKYLLLGFNLLFWLAGSAVIAFGLWFRFGGSMKDFSSEDKSPEYFYMGLY
+VLVGAGALMMAVGFFGCCGATRESQCVLGSFFTCLLVIFAAEITTGVFAFIGKDVAIRHV
+QTMYEEAYNDYLRDKEKGNGTLITFHSTFQCCGKESPEQVQPTCPKELLGHKNCIDEIES
+IISVKLQLIGIVGIGIAGLTIQRECYNPAALSVHVIKQYENPKTQINIWSSS
+>tr|A4FUD2|A4FUD2_BOVIN HSD17B7 protein OS=Bos taurus OX=9913 GN=HSD17B7 PE=2 SV=1
+MRKVVLITGASSGVGLALCRRLLEEDDALHLCLACRNMSKAEAVRTSLLASHPAAEVSIV
+QVDVSSLPSVFQATKELKQRFQRLDYVYLNAGIMPNPQLNIKALFRGLFSRKVIHMFSTA
+EGLLTQNDKITPDGLQEVFETNVFGHFILIQELESLLCHSESPSQLIWTSSRNAKKSNFS
+FEDVQHSKGQEPYSSSKYAIDLLSVALNRHFNHQGLYSSVVCPGTMLTNLTYGILPPFVW
+MLIMPIIWLLRFFANAFTLTPHNGTEALVWLFHQKPESLNPLVKYLSATTGFGSNYVTSK
+KMDLDEDTAEKFYQKLLKLEKEIRVTIQKTNNQN
+>tr|A0A3Q1LFQ7|A0A3Q1LFQ7_BOVIN 5-hydroxytryptamine receptor 4 OS=Bos taurus OX=9913 GN=HTR4 PE=3 SV=1
+MDELDANVSSKAGFRSVEKVVLLTFLSAVILMAILGNLLVMAAVCRDRQLRKIKTNYFIV
+SLAFADLLVSVLVMPFGAIELVQDIWIYGEMFCLVRTSLDVLLTTASIFHLCCISLDRYY
+AICCQPLVYRNKMTPLRIALMLGGCWVIPMFISFLPIMQGWNNIGIIDLIEKRKFNQNSN
+STYCIFMVNKPYAITCSVVAFYIPFLLMVLAYYRIYVTAKEHAHQIQMLQRAGAPTEGRL
+QPTDQHSTHRMRTETKAAKTLCIIMGCFCLCWAPFFVTNIVDPFVDYTVPGQVWTAFLWL
+GYINSGLNPFLYAFLNKSFRRAFLIILCCDDERYRRPSILGQTVPCSTTTINGSTHVLRD
+AVECGGQWESQSHPPASSPLVAAQPSDT
+>tr|A5PK28|A5PK28_BOVIN LHFPL tetraspan subfamily member 2 OS=Bos taurus OX=9913 GN=LHFPL2 PE=2 SV=1
+MCHVIVTCRSMLWTLLSIVVAFAELIAFMSADWLIGKAKPRGSAEPGEQGAGTPEPYHPT
+LGIYARCIRNPGVQHLPRETLCGPYAESFGEIASGFWQATAIFLAVGIFILCTVALVSVF
+TMCVQSIMKKSIFNVCGLLQGIAGLFLILGLILYPAGWGCQKAIGYCGHYASAYKPGDCS
+LGWAFYTAIGGTVLTFICAVFSAQAEIATSSDKVQEEIEEGKNLICLL
+>tr|A6H783|A6H783_BOVIN VDAC5P protein OS=Bos taurus OX=9913 GN=VDAC5P PE=2 SV=1
+MCNTPTYCDLGKAAKDVFNKGYGFGMVKIDLRTKSCSGVMEFSTSGHAYTDTGKASGNLE
+TKYKICNYGLTFTQKWNTDNTLGTEISWENKLAEGLKLTLDTIFVPNTGKKSGKLKASYK
+RDCFSLGSNVDIDFSGPTIYGWAVLAFEGWLAGYQMSFDTAKSKLSQNNFALGYKAADFQ
+LHTHVNDGTEFGGSIYQKVNEKIETSINLAWTAGSNNTRFGIAAKYKLDCRTSLSAKVNN
+ASLIGLGYTQTLRPGVKLTLSALIDGKNFNAGGHKVGLGFELEA
+>tr|Q0VBX4|Q0VBX4_BOVIN Histone H2A OS=Bos taurus OX=9913 GN=LOC528006 PE=2 SV=1
+MPKKRGHQRSSGIRSRTAQSELSFSVSHMEHLLRKGHYAQRLSSSAPVFLAAVIQDLTSK
+VLELAGNEAQKNGEKRITPKLVDMAIHNNALLSSIFGMTTISLVAPGPH
+>tr|A5D9H5|A5D9H5_BOVIN Heterogeneous nuclear ribonucleoprotein D OS=Bos taurus OX=9913 GN=HNRNPD PE=1 SV=1
+MSEEQFGGDGAAAAATTAVGGSAGEQEGAMVAAAQGAAAAAGSGAGTGGGTAAGGTEGSS
+AESEGAKIDASKNEEDEGHSNSSPRHSEAATAQREEWKMFIGGLSWDTTKKDLKDYFSKF
+GEVVDCTLKLDPITGRSRGFGFVLFKESESVDKVMDQKEHKLNGKVIDPKRAKAMKTKEP
+VKKIFVGGLSPDTPEEKIREYFGGFGEVESIELPMDNKTNKRRGFCFITFKEEEPVKKIM
+EKKYHNVGLSKCEIKVAMSKEQYQQQQQWGSRGGFAGRARGRGGDQQSGYGKVSRRGGHQ
+NSYKPY
+>tr|Q32PG0|Q32PG0_BOVIN E3 ubiquitin-protein ligase OS=Bos taurus OX=9913 GN=WWP1 PE=2 SV=1
+MATASPRSDTSNNHSGRLQLQVTVSSAKLKRKKNWFGTAIYTEVAADGESKKTAKSSSSS
+NPKWDEQLTVNVTPQTTLEFRVWSHHTIKADALLGRATVDLKQALLIHNRKLERVKEQLK
+LCLETKNGVVQTGELTVVLDGLVIEQENITNGSSSPAIEVQQNGDALHEDREPSARTTTR
+LAAEDTNGIDNCVPTNTVVQNSCCSYVVNGDNTPSPSQVAARPKHTPAPKPLPSEPANDT
+VNGDSPSSVPTDNASSTGTAIGSGEPALSSNCTSTPVEDPPVQETLTSSENNECIVSNSA
+AFGSEAQSTLDPSTSSSASSSAFEAAKLRQPDGCVEPVRQQSGGANTETLPSGWEQRKDP
+HGRTYYVDHNTRTTTWERPQPLPPGWERRVDDRGRVYYVDHNTRTTTWQRPTMESVRNFE
+QWQSQRSQLQGAMQQFNQRYLYSASMLAAENDPYGPLPPGWEKRVDSTDRVYFVNHNTKT
+TQWEDPRTQGLQNEEPLPEGWEIRYTREGVRYFVDHNTRTTTFKDPRNGKSSVTKGGPQI
+AYERSFRWKLAHFRYLCQSNALPSHVKINVSRQTLFEDSFQQIMALKPYDLRRRLYVIFR
+GEEGLDYGGLAREWFFLLSHEVLNPMYCLFEYAGKNNYCLQINPASTINPDHLSYFCFIG
+RFIAMALFHGKFIDTGFSLPFYKRMLSKKLTIKDLESIDTEFYNSLIWIRDNNIEECGLE
+MYFSVDMEILGKVTSHDLKLGGSNILVTEENKDEYIGLMTEWRFSRGVQEQTKAFLDGFN
+EVVPLQWLQYFDEKELEVMLCGMQEVDLADWQRNTVYRHYTRNSKQIIWFWQFVKETDNE
+VRMRLLQFVTGTCRLPLGGFAELMGSNGPQKFCIEKVGKDTWLPRSHTCFNRLDLPPYKS
+YEQLKEKLLFAIEETEGFGQE
+>tr|Q24JZ8|Q24JZ8_BOVIN U2 snRNP auxiliary factor large subunit OS=Bos taurus OX=9913 GN=U2AF2 PE=2 SV=1
+MSDFDEFERQLNENKQERDKENRHRKRSHSRSRSRDRKRRSRSRDRRNRDQRSASRDRRR
+RSKPLTRGAKEEHGGLIRSPRHEKKKKVRKYWDVPPPGFEHITPMQYKAMQAAGQIPATA
+LLPTMTPDGLAVTPTPVPVVGSQMTRQARRLYVGNIPFGITEEAMMDFFNAQMRLGGLTQ
+APGNPVLAVQINQDKNFAFLEFRSVDETTQAMAFDGIIFQGQSLKIRRPHDYQPLPGMSE
+NPSVYVPGVVSTVVPDSAHKLFIGGLPNYLNDDQVKELLTSFGPLKAFNLVKDSATGLSK
+GYAFCEYVDINVTDQAIAGLNGMQLGDKKLLVQRASVGAKNATLVSPLSTINQTPVTLQV
+PGLMSSQVQMGGHPTEVLCLMNMVLPEELLDDEEYEEIVEDVRDECGKYGLVKSIEIPRP
+VDGVEVPGCGKIFVEFTSVFDCQKAMQGLTGRKFANRVVVTKYCDPDSYHRRDFW
+>tr|Q17R12|Q17R12_BOVIN Ankyrin repeat domain 6 OS=Bos taurus OX=9913 GN=ANKRD6 PE=2 SV=1
+MSQQDAVAALSERLLIAAYKGQTENVVQLINKGAKVAVTKHGRTPLHLAANKGHLSVVQI
+LLKAGCDLDVQDDAGDTALHIAAALNHKKVVKILLEAGADGTIVNNAGRTPLETARYHNN
+PEVALLLTKAPQVLRFSRGRSLRKKRERLKEERRAQSVPRDEVAQSKGSVSAGDTHSSEQ
+AAPRKEEAREDVLSASPEPRAKDSRQRKSRPKVSAFSDPTPPADQQPGQQKNVHAHSHPK
+KRPRHRCSPPPPPHEFRAYQLYTLYRGKDGKVMQAPINGCRCEPLINKLENQLEATVEEI
+KAELVSVQDKMNVKLGHMENKTQHQMRVLDKLMVERLSAERTECLNRLQQHSDSEKHEGE
+KRQMSLVDELKTWCMLKIQNLELKLSGDSRASRTKSTPSTCESSTGVDQSVVTAGPVAAS
+DSSPQVVRPKEKALSSTATHRLQQQELSSSDCTGSRLRNVKVQTASLPLKETARCDPQAG
+PCVDRGTQTKKSGKSGQTRHRGQQPAVSTAGGQPLPSAAGGEQTAPHVRDTSQALELTQY
+FFEAVSTQMEKWYERKIEEARSQASQKAQQDKATLEEHIKSLEEELAKLRTKVQKEN
+>tr|Q5BIS8|Q5BIS8_BOVIN Deltex 2 OS=Bos taurus OX=9913 GN=DTX2 PE=2 SV=1
+MAMAPSPSLAQVYTSPVAVAVWEWQDGLGTWHPYSAAVCSYIEQQFVQQKGQRFGLGSLA
+HSIPLGQADPSLAPYIIDLPSWTQFRQDTGTMRAVRRHLFAQHSAPGRGIVWEWLGDDNS
+WTAYEASVCDYLEQQVAQGNQLVDLAPLGYNYTVNYATHTQTNKTSSFCRSVRRQAGPPY
+PVTTVIAPPGHTGVACSCHQCLSGGTTGPVSGRYRHSMTNLPAYPVPQPPHRTAIVWGTH
+QAFAPYNKPSLSGARSAPRLNTTNPWAGAPPSLGSAPLCRSSLSHLASQHQPSGPSATGA
+ASASLPSGPVSSPRSVPATVPVKMPKPSRVQQALAGGSPKPEPEQVIRNYTEELKTAPEE
+DCIICMEKLSVASGYSDVTDSKTFGPMAVGCLAKCSHTFHLLCLLAMYCNGNKDGSLQCP
+SCKTIYGEKTGTQPRGKMEVFTFQMSLPGHEDCGTILIVYNIPHGIQGPEHPNPGKPFTA
+RGFPRQCYLPDNAQGRKVLELLKVAWKRRLIFTVGTSSTTGETDTVVWNEIHHKTEMDRN
+VTGHGYPDPNYLQNVLAELAAQGVTEDCLEQQ
+>tr|A6QPS5|A6QPS5_BOVIN CENPI protein OS=Bos taurus OX=9913 GN=CENPI PE=2 SV=1
+MSSQKKINSWARKRTSQDSSSYQTRLSAWRVKEDLNDSKSISKCGQNSTVEDYEGADDQA
+ENALQIALRYFEKGPIKTSQNKEKHLKTVENVAWKNGLAPEGIDILLSVALSGKFGSAVN
+TRIFKCMIPATLISEDSVVKAVSWLCAGKCSGSTKVLFYRWLVAMFDFIDHKEQINLLYG
+FFFASLQDDALCPYVCHLLYLLTKKENVKPFRVRKLLDLQAKMGMQPHLQALLSLYKFFV
+PTLISVSLPMRKKIYFKNSENLWKTALLALKPRNPGFPSEPLKLMLTNVYPIKRKWNSHS
+IIPVLNSCNYSKESRKKRMNLSDYLSSNGSFPLEQLKSFPQLLQNIHCLELPSQMGAVLN
+SSLLLHYINCVRDESVLLRLYHWLSQTLQEECNWYKVNNYDHGKEFANFLDTILRAECFL
+QEGFYSCEAFLYKSLPLWDGLCCRSQFLQLVSWIPFSSFSEMKPLLFDHLSRLFFTSSIF
+FKCSILQCLKELLQNWLLWLSMDIHVKSVRNSPLETTLGGSMNSVSELIHYVGWLSTTAV
+RLESNRTFLLHFILDFYEKVCDIYINYNLPLVVLFPPGVFYPVLLSLDSSILNQLCYIMD
+RYHKNLTAAKKNEFVHKTKSEFSFSSKTYQEFNQYLTAMVGCLWTSRPFQKGLYIDPEVL
+GNASVAEYKKSLNLVHHPAFLSYTVSFLLQECPEERKVNMSSIRGKKWNWYLDYLYSEGL
+HGLKHFIRSSIHRSSVP
+>tr|A6QLT5|A6QLT5_BOVIN UBAP2L protein OS=Bos taurus OX=9913 GN=UBAP2L PE=2 SV=1
+MMTSVGTNRARGNWEPPQNQNQTQHKQRPQATAEQIRLAQMISDHNDADFEEKVKQLIDI
+TGKNQDECVIALHDCNGDVNRAINVLLEGNPDTHSWEMVGKKKGVSGQKDGGQTESNEEG
+KENRDRDRDYSRRRGGPPRRGRGASRGREFRGQENGLDGTKSGGPSGRGTERGRRGRGRG
+RGGSGRRGGRFSAQGMGTFNPADYAEPANTDDNYGNNSGNTWNNTGHFEPDDGTSAWRTA
+TEEWGTEDWNEDLSETKIFTASNVSSVPLPAENVTITAGQRIDLAVLLGKTPSSMENDSS
+NLDPSQAPSLAQPLVFSNSKQSAISQPASGNTFSHHSMVSMLGKGFGDVGEAKGGSTTGS
+QFLEQFKTAQALAQLAAQHSQSGTTTTSSWDMGSTTQSPSLVQYDLKTPNDSTVHSPFTK
+RQAFTPSSTMMEVFLQEKPPAVATSTAAPPPPSSPLPSKSTSAPQMSPGSSDNQSSSPQP
+AQQKLKQQKKKASLTSKIPALAVEMPGSADISGLNLQFGALQFGSEPVLSDYESTPTTSA
+SSSQAPSSLYTSTASESSSTISSNQSQESGYQSGPIQSTTYTSQNNAQGPLYEQRSTQTR
+RYPSSISSSPQKDLTQAKNGFSSVQATQLQTTQTVEGATGSAVKSDSPSTSGIPPLSETV
+SAASLLTTTNQHSSSLGGLSHNEEIPNTTTTQHSSTLSTQQNTLSSSTSSGRTSTSTLLH
+TSVESEANLHSSSSTFSTTSSTVSAPPPVVSVSSSLNSGSSLGLSLGSNSTVTASTRSSV
+ATTSGKAPPNLPPGVPPLLPNPYIMAPGLLHAYPPQVYGYDDLQMLQTRFPLDYYSIPFP
+TPTTPLTGRDGSLASNPYSGDLTKFGRGDASSPAPATTLAQPQQNQTQTHHTTQQTFLNP
+ALPPGYSYTSLPYYTGVPGLPSTFQYGPAVFPVAPTSSKQHGVNVSVNASATPFQQPSGY
+GSHGYNTGRKYPPPYKHFWTAES
+>tr|A6H7B3|A6H7B3_BOVIN NDC80 kinetochore complex component NUF2 OS=Bos taurus OX=9913 GN=NUF2 PE=2 SV=1
+METLSFPRYNAAEVVVHIRNKILTGADGKNLSKNDLSPNPKPEVLHMIYMRALQIVYGIR
+LEHFYMMPVNSEVMYPHIMEGFLPVSNLFIHLDSFLPICRVNDFEIADILYPKAKRTTRF
+LSGIINFIHFREACRETYMEFLWQYKSSVDKMQQLNTAHQEALMKLERLDSVPVEEQAEF
+KQLSDDIQELQQSLNQEFRQKTIVLQEGNSQKKSDISEKTKRLNELKLSVVSLKEIQENL
+KTKIVDSPEKVKNYKEKMKDTVQKLKNSRQEVMEKYEIYRDSVDCLPSCQLEVQLYQKKI
+QDLADNREKLTTILKESLNLEDQIESDESELKKLKTEENSFKRLMIAKKEKLATAQFRIN
+KKHEDVKQYKRTVIEDCNKVQEKRGAVYERVTTINQEIQKIKFGIQQLKDAAEREKLKSQ
+EIFLSLKAALEKYHEGIEKATEDCHAKIDAKTAELKKKMFRTQPD
+>tr|A0A3Q1M0K4|A0A3Q1M0K4_BOVIN Histone H2A OS=Bos taurus OX=9913 PE=3 SV=1
+MAVGAGAPVYLAAVMEYLAAEVLELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLSGV
+TIAQGGVLPNIQAVLLPKKTEKKP
+>tr|A0A3Q1N7X4|A0A3Q1N7X4_BOVIN MAGE domain-containing protein OS=Bos taurus OX=9913 GN=LOC112445159 PE=4 SV=1
+MSELSKPEEDFQDPGEAQGPVNVQLLGAEAGVAASASASSPTVSSLGTGESLPQEALNEM
+IASLMKFLLLKYRAKELTSQAEMLNKVLRDNQEYFPVVFNQASQCLQLVFGVEVKEVDPR
+EHIYIMVPILGLTCNAMLNSGQSIPKAGLLVLVLNLIMRNGDRAPEEKVWGALSRLGVCV
+GSVHCIFGEPRALLTHAWVQEGYLEYRQVPYSHPARYEFLWGPRAYAETSKWEVMAFLLR
+VKQRALRTFPLQSAEAAREEDEVA
+>tr|Q3MHF0|Q3MHF0_BOVIN RBM45 protein OS=Bos taurus OX=9913 GN=RBM45 PE=2 SV=2
+MDEAGSCASGGGFRPGVDNLDEPPNSRIFLVISKYTSESVLRERFSPFGEIQDIWVVRDK
+HTKESKGIAFVKFARSSQACRAMEEMHGQCLTPSDTKPIKVFIAQSRSSGSHRDVEDEEL
+TRIFVMIPKSYTEEDLREKFKVFGDIEYCSIIKNKVTGESKGLGYVRYLKPSQAAQAIEN
+CDRSYRAILAEPKNKASESSEQDYYSNMRQETLGHEPRVNMFSFEQQSEFSSFDKNDNRG
+QEAISKRLSVVSRVPFTEEQLFSIFDIVPGLEYCEVQRDPYSNYGHGVVQYFNVASAIYA
+KYKLHGFQYPPGNRIGVSFIDDGSNATDLLRKMATQMVAAQLASIVWNNPSQQQFLQFAG
+SSGSQLPQIQTDVALPSCKKKAPPDTPVKERLFIVFNPHPLPLDVLEDIFCRFGNLIEVY
+LVSGKNVGYAKYADRLSANDAITTLHGKILNGVRLKVMLADSPREESNKRQRTY
+>tr|E1BCW5|E1BCW5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=ADAMTSL3 PE=4 SV=3
+MASRKSPWWLLMWLVFMHSALLQTTAEKSPGAYFLPEFALSPQGSFLEDTTGEQFLTYRY
+DDQTSRNTRSDEEKDSNWDAWGDWSDCSRTCGGGASYSLRRCLTGRNCEGQNIRYKTCSN
+HDCPPDAEDFRAQQCSAYNDVQYQGRYYEWLPQYNDPAAPCALRCQARGQNLVVELAPKV
+LDGTRCTADSLDMCISGICQAVGCDRQLGSNAKEDNCGVCAGDGATCRLVRGQSKSHVSP
+EKREENVIAVPLGSRSVRITVKGPAHLFIESKTLQGSKGEHSFNSPGVFVVENTTVEFQK
+GSERQTFKIPGPLMADFIFKTRYTVAKDSVVQFFFYQPISHQWRQTDFFPCTVTCGGGYQ
+LNSAECVDIRLKRVVPDHYCHYYPENVKPKPKLKECSMDPCPSSDGFKEIMPYDHFQPLP
+RWEHNPWTACSVSCGGGIQRRTFVCVEESMHGEILQVEEWKCMYAPKPKVMQTCNLFDCP
+KWIAMEWSQCTVTCGRGLRYRVVLCINHRGQHVGGCNPQLKLHIKEECIIPIPCYKPKEK
+SPVEAKLPWLKQAQELEETRIATEEPTFVPEPWSACSATCGPGVQVREVKCRVLLTFTQT
+ETELPEEECEGPRLPTERPCLLQPCDESPAVRELAASLQEQDSETTYDWEYAGFTPCTAT
+CLGGHQEAIAVCLHLQTQQTVNDTLCDMVHRPPAMSQACNTEPCPPRWHMGSWGPCSATC
+GVGIQTREVYCLHPGESPSPPEECRDEKPHALQACNQFDCPPSWHIEEWQQCSRTCGGGT
+QNRRVTCRQLLTDGSFLNLSEELCQGPKASSRKSCARTDCPPHWTVGDWSKCSVSCGVGT
+QRRQQMCQKLTAKGRRVPLSETLCRHLSGPPLVRSCQMPVCGKMKPEMKARVREQGPQIL
+GVQRVYIQTREEKRINLTVGSRAYLLPNTSVIIKCPVRRFQKSRIQWEKDGRCLQNSKRL
+GFTKSGSLKIHSLAALDIGEYRCIAGPAQETVVLKLIGTDNRLIAPPALGGHTREYPGMD
+NNEANNLGATWHKMRLMWNNKNELYLHNGQINNQSLLRALLGHCSPSAGNANSWEFENKQ
+FEAAVKQGAYSMETAQFDELIRNMSQLMETGEISDDLASQVIYQLVAELTKVQPAHAHWR
+GIPGEAPPTAQLRGETGSVPQSSNSKNSGKLTFKPKGPVLLRQSQPTLISFNKTVNSRIG
+NTVYITRRTEVINILCELVSPSEATYTWTKDGALLQPSEKVILDGMGKMQIRKPTKKDQG
+IYGCAVANHLGSDVESSSVLYAEAPVILSVGRNITRPERGHLSIVIGSFVEAPLQANVTI
+QCPVKGVPQPNVTWLKKGGSLSDNISLLFNGSLLLQNLSLENEGTYVCTATNALGKAMAT
+SVLHLLETFWELGNWTHCSATCGHLGAQVQRPQCLMANGQEVSEAFCDQLRKPQAAFQPC
+NIQDCPPRWLTGAWSECSVSCGEGFRSRQVTCKRTRANGTVQALPPRVCILRDRPLGRRP
+CSSHPCVQGLFEQGNQVMLTNLVFKNHPVPVVKPTYPKRDFPWQSSVRTLPSNARDVGSI
+PGRGTKIPYAMRP
+>tr|A5D7V4|A5D7V4_BOVIN C8H9ORF156 protein OS=Bos taurus OX=9913 GN=TRMO PE=2 SV=1
+MRDLKESRPCATATRCGCVKPALETGNLLTEPIGYLESCFSAKNGTPRQPSICSHSRACL
+RIRKSIFNNPEHSLMGLEQFSHVWILFVFHKNGHLSYKAKVQPPRLNGAKTGIFSTRSPH
+RPNAIGLTLAKLERVEGGAVYLSGIDMIHGTPVLDIKPYIADYDSPQTLIESSGDFTLRN
+NQHKPKTVSQSEGKTDSCDWQQLSEYKESQPCRCTTEKPKCPEDRTSGENIAGSDDAANT
+QQSSPEPRERAAELGEESSPRVAQEQSGPRIQEKSPSEEQEDSRLKRGEEAVAPEGHDPE
+MSPKAPSRVAGAAHCSVVPAWVRDAPVATLEVRFTPHAEMDLEHLSSGEPGVGQASFKYF
+QSAEEARHAIEAVLSADPRSVYRRKLCQDRLFYFTVDTAHVTCWFGDGFAEVLRIKPASE
+PVQMSKPAESPVSLGS
+>tr|Q08E63|Q08E63_BOVIN Leucine rich repeat and sterile alpha motif containing 1 OS=Bos taurus OX=9913 GN=LRSAM1 PE=2 SV=1
+MPLFFRKRKPSDEARKRLEYQMCLAKEAGADDILDISKCELSEIPFGAFATCKVLQKKVL
+IVHTNVLTSLLPKSCSLLSLATIKVLDLHDNQLTALPDDIGQLTALQVLNMERNQLTYLP
+RSIGNLIQLQTLNVKDNRLKELPDTLGELRSLRTLDISENEIQRLPRMLAHVRTLETLSL
+DALSMVYPPQEVCGAGTEAIQQFLCKESGLEYYPPSQYLLPVLEQDGAENSLDSPDGPTD
+RLCREEEEWQNRFSDYEKRKEQKMLEKLEFERRLELGQREHAQLLQQSNSQKDEILQTVK
+EEQSRLEQGLSEHQRFLDAERRRLQEQLKQTEQNISNRIQKLLQENQRQKKSSEILKSLE
+NERIRMEQLMSITQEETENLRRREIASAMQQMLTESCKSRLIQMAYESQRQNLVQQACSS
+MADMDERFQQILSWQQMDQNKAISQILQESAMQKAAFEALQVKKDLMHRQIRKQIKLIET
+ELLQLTQLELKRKSLDTEALQEMISEQRWALSSLLQQLLKEKTQREEELREILTELEAQS
+ETKQENYWLIQYQRLLNQKPLSLKLQEEGLERPLVALLVELSAEHYLPIFAHHRLSLAML
+SRMGPADLAKVGVSEAGLQHEILRRAQELLDAARAQPELLKPPEGGVLPTAPEELPEAVR
+PSAPPAELLEVQTSECVVCLEREAQMIFLNCGHVCCCQPCSQPLRTCPLCRQDITQRLRI
+YHSS
+>tr|A5PKG3|A5PKG3_BOVIN CYSLTR1 protein OS=Bos taurus OX=9913 GN=CYSLTR1 PE=2 SV=1
+MDGVGNLTVSSSSSNACNDTIDDFRNQVYSTLYSMISIVGFFGNGFVLYILIKTYHEKSA
+YQVYMINLAVADLLCVCTLPLRVVYYVHKGIWLFGDFLCRLSTYALYVNLYCSIFFMTAM
+SFFRCIAIVFPVQNINLVTQKKARFVCVGIWIFVILTSSPFLMSKSYKDAKNNTKCFEPP
+QDNQAKYYVLVLHYVSLFFGFIIPFIIIIVCYTMIILTLLKKSMKKNLSSRKKAIGMIIV
+VTAAFLISFMPYHIQRTIHLHFLHNETKPCDSVLRMQKSVVITLSLAASNCCFDPLLYFF
+SGGNFRRRLSTFRKHSLSSMTYVPKKKTSLPEKGEEIYKE
+>tr|Q32LH9|Q32LH9_BOVIN RALYL protein OS=Bos taurus OX=9913 GN=RALYL PE=2 SV=1
+MAGEPKPYRPKPGNKRPISALYRLESKEPFLSVGTFAALGWMF
+>tr|F1MNJ0|F1MNJ0_BOVIN PIH1 domain-containing protein 2 OS=Bos taurus OX=9913 GN=PIH1D2 PE=4 SV=2
+MESSSKGLLTQVTQFWNLLDDLAESNPESYQKFIQQQLKEGKELCAAPEPQLCLQTRILK
+PKEKLLFINLCQWKRIPAPESATHPVPLSIGRPEDISETSDVYTVIDVAYHPDVLQAAEK
+DQVKKDQLIRMAMRCIEEQFQFTLSNCYYITKFRIKGSIQRMKQNLMGIQTNPADLREKM
+RNELTLEKIRSSTVSNSDQFPQLLLPEDQVSSKTACLIEEISSTEIKVEMKRPAYELKIV
+ADQNEKPLKIELKVELPGVNSVSLCDLSVSEDDILIEVSEKYRLYLNLPESVDTEMTTAK
+FIKEKATLIVTMPLV
+>tr|O18736|O18736_BOVIN UDP-glucuronosyltransferase OS=Bos taurus OX=9913 GN=UGT1A6 PE=1 SV=1
+MACLLWRVSVAVFFLALWGFTLGDRLLVVPQDGSHWLSMKDIVEHLSEKGHEIVVVVPEV
+NLLLQESKHYTRKIHPVPFNQEELEARYRSFGKHHFSPRWLVTAPVVEYRNNMIVINMYF
+LNCQSLLRHSDTLRFLRENKFDALFTDPALPCGVILAEYLNLPSVYLFRGFPCALENTFT
+RTPSPLSYVPRYYTQFSDHMTFLQRVGNFLVNYLENILLYALYSKYEDLAGEVLGRQVHL
+PALYRKASIWLLRYDFVFEYPRPVMPNTVLIGGSSCKKQGVLSQEFEAYVNASGEHGIVV
+FSLGSMVSEIPEQKAMEIADALGKIPQTVLWRYTGTPPPNLAKNTKLVKWLPQNDLLGHP
+KTRAFITHSGSHGIYEGICNGVPMVMMPLFGDQMDNAKRMETRGAGVTLNVLEMSSEDLE
+KALKAVINEKTYKENIMRLSRLHKDRPIEPLDLAVFWVEFVMRHKGASHLRPAAHDLTWY
+QYHSLDVIGFLLAVTLTVIFITFKACAFAFRKCFGKKERVKKSHKSKTH
+>tr|Q9TTV6|Q9TTV6_BOVIN Pregnancy-associated glycoprotein-18 OS=Bos taurus OX=9913 GN=PAG-18 PE=2 SV=1
+MKWLVLLGLVAFSECIVKIPLRRVKTMRKTLSGKNILNNFLKEHAYRLSQISSCGSNLTF
+HPLRNIKDRLYVGNITIGTPPQEFQVIFDTGSSDLWVTSVFCTSPTCSTHVMFRHFDSST
+FRPTKKTFSINYGSGRMKGVVVHDTVRIGDLVSTDQPFGLSVVELGFDGIPFDGVMGLNY
+PKLSFSGAIPIFDNLRNQGAISEPVFAFYLSKDEQEGSVVMFGGVDHRYYKGELNWIPLI
+QAGDWSVHMDSISMKRKVIACSGGCKAVVDTGTSLIEGPRRLVNNIQKLIRAMPRGSEYY
+VSCSAVNTLPPIIFTIKGINYPVPAQAYILKDSRGHCYTTFKEDRLSPPSTETWILGDVF
+LRRYFSVFDRGNDRIGLARAV
+>tr|Q5EAC4|Q5EAC4_BOVIN NFKB inhibitor like 1 OS=Bos taurus OX=9913 GN=NFKBIL1 PE=2 SV=1
+MSDPSPQVPEGEASTSVCRPKSSMASTSRRQRRERRFRRYLSAGRLVRAQALLQRHPGLD
+VDAGQPPPLHRACARHDAPALCLLLRLGADPAHQDRHGDTALHAAARQGPDAYTDFFLPL
+LSRCPSAMGIKNKDGETPGQILGWGPPWDSAEEEEEDEASKEREWRQKLQGELEDEWQEV
+IGRFEDDASHETQEPESFSAWSDRMAREHAQKHQQQRREAEGACRPPRAEGSGHSWRQQE
+EEQRLFRERARAKEEELRESRARRAQEALRDRAPEPARAGPRAEHPRGAGRGRLWRFGDV
+PWPCPGGGDPEAMAAALVARGPPLEEQGALRRYLRVQQVRWHPDRFLQRFRSQIETWELG
+RVMGAVTALSQALNRHAEALK
+>tr|F1N3E3|F1N3E3_BOVIN Serine-rich coiled-coil domain-containing protein 1 OS=Bos taurus OX=9913 GN=CCSER1 PE=4 SV=3
+MGDSGSRRSTLVSRLPIFRRSISRKHDSLPSSPSSSNTVGVHSSSPSSTNSSSGSTGKRR
+SLFRTPSISFHHKKGSEPKQEPTNQNLSISNGAQPGQSSMQKLSLEEHTKARGRHSVGFS
+SSRNKKITRSLTEDFEREKEHSTNKNVFINCLSSGKSEGDDSGFTEEQTRRSVKQSTKKL
+LTKSFSSHYKLSKPVPQSQSISLVQQSEFSLEITQYQEREPVLVRGSPSCSVDVTERAGS
+SLQSPLLSADLTTAQTPSEFLALTEDSVSETDAFPKSGSMASHCDNLGHNDSTSQISPNP
+AAVTKTTRDLRGTVPCAIVSPGKYRLEGRCSTESNSLPETSAAYQKEVLLQITKLPVMNG
+SDSETHLSTDTREDHIVIQNGETMLATSSPRKFGFYEHHKAIAERVKGIHPISDSRIIPS
+SGDHHVLNKTSYGYDANPAKVLASSLSPYREGRFIERRLRSSSEGTAGSSRMILKPKDGN
+VEEVNSLRKQRASSSSSKMNSMDVLNNLGSCELDEDDLMLDLEFLEEQNLHPSVCREDSY
+HSVVSCAAVVLTPMEPTVEMKKREELKFREPSKQNLSLKLAKDIDQEARCSHIRGVPSSP
+SSDWPLPSVEENGGIDSLPFRLMLQDCTAVKTLLLKMKRVLQESADMSPASSTTSLPVSP
+LAEEPLPFKDIMKDECSMLKLQLKEKDELISQLQEELEKVQHLQKAFASRVDKSTQTELL
+GYDGLNLKRLESVQGGREVRKSKGEGWRRREKGFSVALMWWVMLTDADDDKDDGDVLKGI
+LITSHSLW
+>tr|F1MBG4|F1MBG4_BOVIN Sushi domain containing 4 OS=Bos taurus OX=9913 GN=SUSD4 PE=4 SV=2
+MYHGMNPSNGDGFLEQQQQQQQPQSPQRLLAVILWFQLALCFGPAQLTGGFDDLQVCADP
+GVPENGFRTPSGGVFFESSVTRFHCQDGFKLKGSTKRLCMKHLNGTLGWIPSDKPICVQE
+DCRIPQIEDAEIHNKTYRHGDKLIITCHEGFKIRYPDLYNVVSLCRDDGTWDNLPICQGC
+LRPLASSNGYVNISEFQTSFPLGTVISYHCFPGFKLEGSEYLECLHNLIWSSSPPRCLAL
+EVCPLPPMVSHGDFICHPRPCERYNHGTVVEFYCDPGYSLTSDYKYITCQYGEWFPSYQV
+YCVKSEQTWPSTHETLLTTWKIVAFTATSVLLALLLVILARMFQTKFKAHFPPRGPPRSS
+SSDPDFVVVDGVPVMLPSYDEAVSGGFSALGPGYLASVGQGCPLPVDDQSPPAYPGSGDT
+DTGPGESETCDSVSGSSELLQSLYSPPTCQGGTHPASNNPDTAPSTVGEVASTSPGIDIA
+DEIPLMEEDP
+>tr|Q5EA04|Q5EA04_BOVIN Thioredoxin-like protein OS=Bos taurus OX=9913 GN=TXNL4B PE=2 SV=1
+MSFLLPKLSSKKAVDQAIKSTAEKVLVLRFGRDEDPVCLQLDDILSKTSSDLSKMAAIYL
+VDVDQTPVYTHYFDISYIPSTVFFFNGQHMKVDYGSPDHTKFVGSFKTKQDFIDLIEVIY
+RGAMRGKLIVQSPIDPKNIPKYDLLYQDI
+>tr|A5PJM0|A5PJM0_BOVIN MBNL1 protein OS=Bos taurus OX=9913 GN=MBNL1 PE=2 SV=1
+MAVSVTPIRDTKWLTLEVCREFQRGTCSRPDTECKFAHPSKSCQVENGRVIACFDSLKGR
+CSRENCKYLHPPPHLKTQLEINGRNNLIQQKNMAMLAQQMQLANAMMPGAPLQPVPMFSV
+APSLATNASAAFNPYLGPVSPSLVPAEILPTAPMLVTGNPGVPVPAAAAAAAQKLMRTDR
+LEVCREYQRGNCNRGENDCRFAHPADSTMIDTNDNTVTVCMDYIKGRCSREKCKYFHPPA
+HLQAKIKAAQYQVNQAAAAQAAATAAAMGIPQAVLPPLPKRPALEKTNGATAVFNTGIFQ
+YQQALANMQLQQHTAFLPPVPMVHGATPATVSAATTSATSVPFAATATANQIPIISAEHL
+TSHKYVTQM
+>tr|Q56JW6|Q56JW6_BOVIN Zinc finger CCCH-type containing 11A OS=Bos taurus OX=9913 GN=ZC3H11A PE=2 SV=1
+MDYSSTQNCTVAGVTEMLWGCIVCLPVFFISELSFLFLMLISFISPQGLDL
+>tr|A7YWN4|A7YWN4_BOVIN Calmodulin-lysine N-methyltransferase OS=Bos taurus OX=9913 GN=CAMKMT PE=2 SV=1
+MESQVSDAAVGQAEQTAGEGQANSGAAPGPAASASLGAARWKLLRQVLKQKHLDDCLRHI
+SVRRFESFNLFSVTEVKKRETEEEAGAWVQYTSIFYPEYSIFVRHNSGSLNVEDVLTSFD
+NTGNVCIWPAEEVLAYYCLKHSGIFRDLAVCELGGGMTCLAGLMVAISADVKEVLLTDGN
+EKAIRNVRDIIARNQKAGVFKTGNISSCVLRWDNETDVSQLEGHFDIVMCADCLFLDQYR
+ASLVDAIKRLLQPRGKAMVFAPRRGNTLNQFCNLAEKAGFSIQRHENYDEHISNFHSKLK
+KENQDVYEENLHFPLLLILTKDG
+>tr|Q3ZCJ1|Q3ZCJ1_BOVIN Transmembrane protein 37 OS=Bos taurus OX=9913 GN=TMEM37 PE=2 SV=1
+MTALGVQAQRLLGQRGPHRSFFESFTRALITLCTSLAVVLSSISICDGEWLLAGDHLFGL
+WRFCTASNQTELHCLRDLSQTQVPWLASGLVVARIVATLAVVVAIFGLEFLIVSQVCEDS
+LAWRKWAMGSTLLLISFILSFGGLLSFLVLLRSQVTLLGLTLMFWSDFTASFLLFLNGIS
+GLHINSITHINNSMHPWGLPTKV
+>tr|A5D977|A5D977_BOVIN RALB protein OS=Bos taurus OX=9913 GN=RALB PE=2 SV=1
+MAANKSKSQSSLALHKVIMVGSGGVGKSALTLQFMYDEFVEDYEPTKADSYRKKVVLDGE
+EVQIDILDTAGQEDYAAIRDNYFRSGEGFLLVFSITEHESFTATAEFREQILRVKAEEDK
+IPLLVVGNKSDLEERRQVLVEEARAKAEEWGVQYVETSAKTRANVDKVFFDLMREIRTKK
+MSENKDKNGKKSSKNKKSFKERCCLL
+>tr|A5D7Q5|A5D7Q5_BOVIN CCNT1 protein OS=Bos taurus OX=9913 GN=CCNT1 PE=2 SV=1
+MEGERKNNNKRWYFTREQLENSPSRRFGLDPDKELSNRQQAANLLQDMGQRLNVSQLTIN
+TAIVYMHRFYMIQSFTQFHRNPTPVFLPGKSHGQRSLVGYSPKGHKESDMTEHAHTQWMF
+RNISELVFLNKNSK
+>tr|A0A3Q1M9G2|A0A3Q1M9G2_BOVIN Zinc finger protein 692 OS=Bos taurus OX=9913 GN=ZNF692 PE=4 SV=1
+MAASPADASRRRREKRRQLDARRSKCRIRLGGHMEQWCLLKEQLGFSLHSQLAKFLLDRY
+TSSGCVLCAGPEPVAPKGLQYLVLLSHAHSRECSLVPGLRGPGGQDGGLVWECSAGHTFS
+WGPSSGPKSPEEPNLTPLPSTDERSWCPEAKSGQEPAGLESNCDERAQEARMPRGAGPPP
+ETFPSLGEDGEEEEEDEEEMLSDASPWTYSSSPDDSEPDVPKPPPSPVTHALKDGEIAPA
+PAAVPAPLASPSSSASSLGSGAPRPVEVRIQPELRGTPQADQQTEPLASPGNQAQSALAS
+AWDEDTAQIGPKRIRKAAKRELLPCDFPGCGRIFSNRQYLNHHKKYQHIHQKSFSCPEPA
+CGKSFNFKKHLKEHVKLHSDTRDYICEFCARSFRTSSNLVIHRRIHTGEKPLQCEICGFT
+CRQKASLNWHRRKHAETVAALRFPCEFCGKRFEKPDSVAAHRSKSHPALLLAPQELSGPV
+ESCSSILASASLGASEGSRSTLAPQAPILLP
+>tr|Q2T9P1|Q2T9P1_BOVIN Protein regulator of cytokinesis 1 OS=Bos taurus OX=9913 GN=PRC1 PE=2 SV=1
+MRRSEVLAEESIVCLQKALNHLREIWELIGIPEDQRLQRTEVVKKHIKDLLDMMIAEEES
+LKERLIKSIAVCQKELNTLCSELNVEPFHEEGETTILQLEKDLRTQVELRRKQKKERKQE
+LKILQEQDQELCEILCMPHYEIDSTSVPSLEELNQFRQHVATLRETKASRREEFVNIKRQ
+IILCMEELEHTPDTSFERDVVCEDEEAFCLSLENIATLQKLLRQLEVRKSQNEAVCEGLR
+AQIRELWDRLQIPAEEREAVATVMTGSKAKVRKALQLEVDRLEELKMQNMKKVIEAIRVE
+VAQYWDRCFYSQEQRQAFAAYYSEDYTENLLQLHDAEIVRLRNYYEVHKELFEGVQKWEE
+SWRLFLEFERKASDPSRFTNRGGNLLKEEKQRAKLQKTLPKLEEELKARIEMWEREHSKA
+FVVNGQKFMEYVTEQWEMHQLEKERAKQERQLKNKKQTETEMLYGSTPRTPKRRGPTPST
+PGKVRKLNTTTMSNATANSSIRPVFSGTVYRSPVSRLPPSGSKPVITSTCSGKKTPRAVK
+HGANKENLELNGSILSGGYPDSAPPRRNFSINSVASTYSEFARELSKASKSDAASRILNS
+TNIQS
+>tr|A0A3Q1MBR2|A0A3Q1MBR2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=3 SV=1
+MGSWYVLAVASGEKDFALEKATKSVEGVEVMLTSQNTLKMRASRHRLERCHLQAVELRRQ
+NSRWVFANPCKDDGHHGPSGQRGDSSSPLTAIRLPSAPSGLGPSTPAASPGPCVRGQPLT
+TERGSSTPAPGVLRRGLGGARGQSVPALQLWCLGRWVRVGVSSAPVGTRSEEGDLGRAGA
+HLPSPDHLEAPCARAGWGRGADGPSLGALGPLRPDALPRPGGTCL
+>tr|A6QPM9|A6QPM9_BOVIN PTMS protein OS=Bos taurus OX=9913 GN=PTMS PE=2 SV=1
+MSEKSVEAAAELSAKDLKEKKEKVEEKAGRKERKKEVVEEEENGAEEEEEETAEDGEEED
+EGDEEDEEEEEDEDEGPALKRAAEEEDEADPKRQKTENGASA
+>tr|A6H7F8|A6H7F8_BOVIN DNA helicase OS=Bos taurus OX=9913 GN=MCM5 PE=2 SV=1
+MSGFDDPGIFYSDSFGGDNAADEGQARKSQLQRRFKEFLRQYRVGTDRTGFTFKYRDELK
+RHYNLGEYWIEVEMEDLASFDEELADYLYKQPAEHLQLLEEAAKEVADEVTRPRPAGDEV
+LQDIQVMLKSDASPSSIRSLKSDTMSHLVKIPGIVIAASGVRAKATRISIQCRSCHSTLT
+NIAMRPGLEGYALPRKCNTDQAGRPKCPLDPYFIMPDKCKCVDFQTLKLQELPDAVPHGE
+MPRHMQLYCDRYLCDKVVPGNRVTIMGIYSIKKFGLTSNRGRDRVGVGIRSAYIRVLGIQ
+VDTDGSGRTFAGAMTPQEEEEFRRLAALPNIYELISKSIAPSIFGGTDMKKAIACLLFGG
+SRKRLPDGLTRRGDINLLMLGDPGTAKSQLLKFVEKCSPIGVYTSGKGSSAAGLTASVMR
+DPSSRNFIMEGGAMVLADGGVVCIDEFDKMREDDRVAIHEAMEQQTISIAKAGITTTLNS
+RCSVLAAANSVFGRWDETKGEDNIDFMPTILSRFDMIFIVKDEHNEERDVMLAKHVITLH
+VSALTQAQAVEGEIDLAKLKKFIAYCRAKCGPRLSAEAAEKLKNRYIIMRSGARQHERDS
+DRRSSIPITVRQLEAIVRIAEALSKMKLQPFATEADVEEALRLFQVSTLDAALSGTLSGV
+EGFTSQEDQELLSRIEKQLKRRFAIGSQVSEHSIIQDFTKQKYPEHAIHKVLQLMLRRGE
+IQHRMQRKVLYRLK
+>tr|A6QNJ7|A6QNJ7_BOVIN PGM5 protein OS=Bos taurus OX=9913 GN=PGM5 PE=2 SV=1
+MEGSPIPVLTVPTAPYEDQRPAGGGGLRRPTGLFEGQRNYLPNFIQSVLSSIDLRDRQGC
+TMVVGSDGRYFSRTATEIVVQMAAANGIGRLIIGQNGILSTPAVSCIIRKIKAAGGIILT
+ASHCPGGPGGEFGVKFNVANGGPAPDVVSDKIYQISKTIEEYTICPDLRIDLSRLGRQEF
+DLENKFKPFRVEIVDPVDIYLNLLRTIFDFNAIKSLLTGPGQLKIRVDAMHGVMGPYVRK
+VLCDELGAPANSAINCVPLEDFGGQHPDPNLTYATTLLEAMKGGEYGFGAAFDADGDRYM
+ILGQNGFFVSPSDSLAIIAANLSCIPYFRQMGVRGFGRSMPTSTALDRVAKSMKVPVYET
+PAGWRFFSNLMDSGRCSLCGEESFGTGSDHLREKDGLWAVLVWLSIIAARKQSVEEIVRD
+HWAKFGRHYYCRFDYEGLEPKTTYYIMRDLEALLTDKSFIGQQFAVGSHVYSVAKTDSFE
+YVDPVDGTVTKKQGLRIIFSDASRLIFRLSSSSGVRATIRLYAESYERDPSGHDQEPQAV
+LSPLIAIALKISQIHERTGRRGPTVIT
+>tr|A4FUE0|A4FUE0_BOVIN MEIS1 protein OS=Bos taurus OX=9913 GN=MEIS1 PE=2 SV=1
+MAQRYDDLPHYGGMDGVGIPSTMYGDPHAARSMQPVHHLNHGPPLHSHQYPHTAHTNAMA
+PSMGSSVNDALKRDKDAIYGHPLFPLLALIFEKCELATCTPREPGVAGGDVCSSESFNED
+IAVFAKQIRAEKPLFSSNPELDNLMIQAIQVLRFHLLELEKVHELCDNFCHRYISCLKGK
+MPIDLVIDDREGGSKSDSEDITRSANLTDQPSWNRDHDDTASTRSGGTPGPSSGGHTSHS
+GDNSSEQGDGLDNSVASPSTGDDDDPDKDKKRHKKRGIFPKVATNIMRAWLFQHLTHPYP
+SEEQKKQLAQDTGLTILQVNNWFINARRRIVQPMIDQSNRAVSQGTPYNPDGQPMGGFVM
+DGQQHMGIRAPGPMSGMGMNMGMEGQWHYM
+>tr|F1MBN9|F1MBN9_BOVIN Zinc finger protein 777 OS=Bos taurus OX=9913 GN=ZNF777 PE=4 SV=2
+METQRSSPLSFPNVLQEETPRQVPAGLPRETLFQSHVLPPKELPSLSPAVSRQGCLPQTP
+GAPKQETSGRMPPVLQKGPSLLYPGAPEQETRIQGPLTSPEEPPYPPPAAAEQEMSLLSH
+SAHHQEAPLHSPEVPERDPLTLSPTVPETDMDPLLQSPVSQKDTPFQISAAAQKDTPLPT
+AEITRLAVWAAVQAVERKLEAQAMRLLTLEGRTGTNEKKIADCEKTAVEFANHLESKWVV
+LGTLLQEYGLLQRRLENMENLLKNRNFWILRLPPGSNGEVPKVPVTFDDVAVHFSEQEWG
+NLSEWQKELYKNVMRGNYESLVSMDYAISKPDLMSQMERGERPAMQEQEDSEEGEAPADP
+SAAHDGIVIKIEVQTNDEGSESLETPEPLMGQVEEHGFQDSELGDPCGEQPDLDMQEPEN
+PLEASTEGSGEFSELKQMLVQQRNCAEGIVIKTEEQEEEEEDEEDDELPQHLQSLGQLSG
+RYETGLYPTPLPGELSPEGEESPPPLQLGNPAVKRLAPPSHGERHPGENRGSGSQQQRNR
+RGERPFTCMECGKSFRLKINLIIHQRNHIKEGPYECAECEVSFRHKQQLTLHQRIHRVRG
+GYASPERGPGFTPKHALKPRPKSPSSGGGSGGGPKPYKCPECDSSFSHKSSLTKHQITHT
+GERPYTCPECKKSFRLHISLVIHQRVHAGKHEVSFICSLCGKSFSRPSHLLRHQRTHTGE
+RPFKCPECEKSFSEKSKLTNHCRVHSRERPHACPECGKSFIRKHHLLEHRRIHTGERPYH
+CAECGKRFTQKHHLLEHQRAHTGERPYPCTHCAKCFRYKQSLKYHLRTHTGE
+>tr|E1B6X6|E1B6X6_BOVIN Bromodomain adjacent to zinc finger domain 1B OS=Bos taurus OX=9913 GN=BAZ1B PE=4 SV=3
+MAPLLGRKPFPLVKPLPGEEPLFTIAHTQEAFRTREEYEARLERYSERIWTCKSTGSSQL
+THKEAWEEEQEVAELLKEEFPAWYEKLVLEMVHHNTASLEKLVDTAWLEIMTKYAVGEEC
+DFEVGKEKMLKVRIVKIHPLEKVDEEATEKKSDGSCDSPSSDKENSSQIAQDHQKKEAVG
+KEEEGRRESINDRARRSPRKLPTSLKKGERKWAPPKFLPHKYDVKLQDEDKIISNVPADS
+LIRTERPPNKEILRYFIRHNALRAGTGENAPWVVEDELVKKYSLPSKFSDFLLDPYKYMT
+LNPSTKRKNTGSPDRKPSKKSKTDNSSLSSPLNPKLWCHVHLKKSLNGSPLKVKNSKNSK
+SPEEHLEEVMKMMSPNKLHANFHIPKKGPPGKKSGKHSDKPLKAKGRGKGILNGQKSTGN
+SKSPKKGLKTPKTKMKQMTLLDMAKGTQKVTRAPRNSGGTPRSSSKPHKHLPPAALHLIA
+YYKENKDREDKKSALSCVISKTARLLSSEDRARLPEELRSIVQKRFELLEHKKRWASMSE
+EQRKEYLKKKREELKEKLKEKAKERREKEMLERLEKQKRYEDQELTGKTLPTFKLVDTPE
+GLPNTLFGDVAMVVEFLSCYSGLLLPDAQYPITAVSLMEALSAEKGGFLYLNRVLVILLQ
+TLLQDEIAEDYGELGMKLSEIPLTLHSVSELVRLCLRKSDVQEESEGSDADDNKDSASFE
+DNEVQDEFLEKLETSEFFELTSEEKLQILTALCHRILMTYSVQDHMETRQQMSAELWKER
+LAVLKEENDKKRAEKQKRKEMEARNKENGKEENGLGKADRKKEVVKFEPQVDTEAEDMIS
+AVKSRRLLAIQAKKEREIQEREMKVKLEREAEEERIRKHKAAAEKAFQEGIAKAKLVMRR
+TPIGTDRNHNRYWLFSDEVPGLFIEKGWVHDSIDYRFSHHRKDHADSPDEDYCPRSKKAN
+LGKNVSTNMHHGPAAEIAVETTVPKQGQNLWFLCDSQKELDELLNCLHPQGIRESQLKER
+LEKRYQDIIHSIHLARKPNLGLKSCDGNQELLNFLRSDLIEVATRLQKGGLGYVEETSEF
+EARVISLEKLKDFGECVIALQASVIKKFLQGFMAPKQKRRKLQSEDSAKTEEVDEEKKMA
+EEAKVASALEKWKTAIREAQTFSRMHVLLGMLDACIKWDMSAENARCKVCRKKGEDDKLI
+LCDECNKAFHLFCLRPALYEVPDGEWQCPACQPATARRNSRGRNYTEESASEDSEDDESN
+EEEEEEEEEEEEDYEVAGLRLRPRKTVRGKQGVIPPTARPGRRPGKKPHPARRARPKAPP
+ADDMEVDELVLQTKRSSRRQSLELQKCEEILHKIVKYRFSWPFREPVTRDEAEDYYDIIT
+HPMDFQTMQSKCSCGGYRSVQEFLADLKQVFTNAELYNCRGSHVLSCMVKTEQCLVALLH
+KHLPGHPYVRRKRKKFPDRLAEDEGDSEPEPVGQSRGRRQKK
+>tr|Q17QL8|Q17QL8_BOVIN Lysophospholipase 2 OS=Bos taurus OX=9913 GN=LYPLA2 PE=2 SV=1
+MCGNTMSVPLLTDAATVSGAERETAAVIFLHGLGDTGHSWADALSTIRLPHVKYICPHAP
+RIPVTLNMKMVMPSWFDLMGLSPDAPEDEAGIKKAAENIKALIEHEMKNGIPANRIVLGG
+FSQGGALSLYTALTCPHPLAGIVALSCWLPLHRAFPQAANGSAKDLTILQCHGELDPMVP
+VRFGALTAEKLRSVVTPARVQFKTYPGVMHSSCPQEMAAVKEFLEKLLPPV
+>tr|B1H0W5|B1H0W5_BOVIN PDGFB protein OS=Bos taurus OX=9913 GN=PDGFB PE=2 SV=1
+MNRCWALFLSLCCYLRLVSAEGDPIPEELYKMLSDHSIRSFDDLQRLLHGDSVDEDGAEL
+DLNLTRSHSGGELESLSRGRRSLGSPTVAAEPAVIAECKTRTEVFEISRRLIDRTNANFL
+VWPPCVEVQRCSGCCNNRNVQCRPTQVQDRKVQVKKIEIVRKKKIFKKATVTLVDHLACR
+CETVVARAVTRTPGSSQEQRARTPQTRVTIRTVRVRRPPKGKHRKFKHTHDKTALKETLG
+A
+>tr|A5PKF9|A5PKF9_BOVIN Ubiquitin carboxyl-terminal hydrolase OS=Bos taurus OX=9913 GN=USP11 PE=2 SV=1
+MAAVAANPADTAATAVDHRKPQREKVPGLESQRRQIENGRRRPLRVGESWFLVGHHWYKQ
+WEVYVRGGNQDSSTFPGCINNAELFEDQVNWRLKKGLVEGEDYVLLPAAAWHYLVNWYGL
+EHGQPPIERKVVELPSTHKVEVYSVELLLVRHSDMDTPHTAQFSQTDSVDLVLHTAREQF
+LVSPQEETRLWIKNAEGSFERLCNTRVTVLDAALKTGQVVVMETRNKDGTWPSAQPHDTR
+STLEEEEDFQGQPGICGLTNLGNTCFMNSALQCLSNVPQLTEYFLKNRYLEELNFCNPLG
+MKGEIAQAYADLVKQAWSGHHRSIVPQVFKTKVGHFASQFLGYQQHDSQELLSFLLDGLH
+EDLNRIKKKEYVELCDAAGRPDQEVAQEAWQNHKRRNDSVIVDTFHGLFKSTLVCPDCGN
+VSVTFDPFCYLSVPLPVSHKRVMEVFFVSMDPRRKPEQHRLVVPKKGKISDLCVALAKHT
+GISPERMMVADVFSHRFYKIYQLEESLSSILDRDDIFIYEVSGRAAIGENSREDVVLPIY
+LRERTPARDYNSSYYGLMLFGHPLLVSVPRDRLSWDALYHILLYRLSRYVTRPSSDDEDD
+GDEKDLEDKDRLPKPGRVTAGSSQDPGLEQAGPSSRVVSRSRAPVDNSPGPSHWPQRARR
+KHLFTLHTVNSNGTSDRSTFNEDTHAQPYIAIDWEPEMKKRYYDEVEAEGYVKHDCVGYV
+LKKAPVQLQECIELFTTVETLEKENPWYCPTCKQHQLATKKLDLWMLPETLIIHLKRFSY
+TKFSREKLDTLVEFPIRDLDFSEFVIKPQNDSSRELYKYDLIAVSNHYGGLRDGHYTTFA
+CNKDSGQWHYFDDSSVSPVTENQIESKAAYVLFYQRQDVARRLQTQASSSKPPASSACGA
+PPKSEFVDVN
+>tr|Q0P5F4|Q0P5F4_BOVIN Ring finger protein 7 OS=Bos taurus OX=9913 GN=RNF7 PE=2 SV=1
+MADVEDGEEPCALSSHSGSAGSKSGGDKMFSLKKWNAVAMWSWDVECDTCAICRVQVMDA
+CLRCQAENKQEDCVVVWGECNHSFHNCCMSLWVKQNNRCPLCQQDWVVQRIGK
+>tr|A5D980|A5D980_BOVIN Tyrosine-protein phosphatase non-receptor type OS=Bos taurus OX=9913 GN=PTPN6 PE=2 SV=1
+MVRWFHRDLSGLDAETLLKGRGVHGSFLARPSRKNQGDFSLSVRVGDQVTHIRIQNSGDF
+YDLYGGEKFATLTELVEYYTQQQGVLQDRDGTIIHLKYPLNCSDPTSERWYHGHMSGGQA
+ETLLQAKGEPWTFLVRESLSQPGDFVLSVLSDQPKAGPGSPLRVTHIKVMCEGGRYTVGG
+SETFDSLTDLVEHFKRTGIEEASGAFVYLRQPYYATRVNAADIENRVLELNKKQESEETA
+KAGFWEEFESLQKQEVKNLHQRLEGQRPENKSKNRYKNILPFDHSRVVLQGRDSNIPGSD
+YINANYVKNQLLGPDENAKTYIASQGCLEATVNDFWQMVWQENTCVIVMTTREVEKGRNK
+CVPYWPEVGSQRVYGPYTVTNCGEHDTTEYKLRNLQVSPLENENLIREIWHYQYLSWPDH
+GVPSEPGGVLSFLDQINQRQESLPHAGPIIVHCSAGIGRTGTIIVIDMLMESISTKGLDC
+DIDIQKTIQMVRAQRSGMVQTEAQYKFIYVAIAQFIETTKKKLEVMQSQKGRESEYGNIT
+YPPAMKNAHAKASRTSSKEDVYENVHSKNKKEEKVKKQRSADKEKNKGSLKRK
+>tr|F1MN49|F1MN49_BOVIN Eukaryotic translation initiation factor 5A OS=Bos taurus OX=9913 GN=EIF5A2 PE=3 SV=2
+MADEIDFTTGDAGASSTYPMQCSALRKNGFVVLKGRPCKIVEMSTSKTGKHGHAKVHLVG
+IDIFTGKKYEDICPSTHNMDVPNIKRNDYQLICIQDGYLSLLTETGEVREDLKLPEGELG
+KEIEGKYNAGEDVQVSVMCAMSEEYAVAIKPCK
+>tr|Q2KJC7|Q2KJC7_BOVIN Periostin OS=Bos taurus OX=9913 GN=POSTN PE=1 SV=1
+MIPFLPVCSLFLLLVVNPANANGHYDKILAHSRIRGRDQGPNVCALQQILGTKKKYLSTC
+RNWYQGAICGKKTTVLYECCPGYMRMEGMKGCPAVMPIDHVYGTLGIVGATTTQSYSDVS
+KLREEIEGKGSFTYFAPSNEAWDNLDSDIRRGLESNVNVELLNALHSHMVNKRMLTKDLK
+NGMIIPSMYNNLGLFINHYPNGVVTVNCARIIHGNQIATNGVVHVIDRVLTQIGTSIQDF
+IEAEDELSSFRAAAITSDILETLGRDGHFTLFAPTNEAFEKLPRGVLERIMGDKVASEAL
+MKYHILNTLQCSEAIMGGAVFETLEGNTIEIGCDGDSITVNGIKMVNKKDIVTNNGVIHL
+IDQVLVPDSAKQVIELAGNQQTTFTDLVAQLGLASALRPDGEYTLLAPVNNAFSDDTLSM
+DQRLLKLILQNHILKVKIGLNELYNGQKLETIGGKQLRVFVYRTAVCIENSCMVRGSKQG
+RNGAIHIFQEIIKPAEKSLHEKLKQDKRFSIFLSLLEAADLKELLTQPGDWTLFVPTNDA
+FKGMTNEEKEILIRDKNALQNIILYHLTPGVFIGKGFEPGVTNILKTTQGSKIYLKGVND
+TLLVNELKSKESDIMTTNGVIHVVDKLLYPADTPVGNDQLLEILNKLIKYIQIKFVRGST
+FKEIPITVYKPIIKKYTKIIDGVPVEITEKETREERIITGPEIKYTRISTTGGETEETLK
+KLLQEEVTKVTKFIEGGDGHLFEDEEIKRLLQGDTPVRKIQANKRVQASRRRSREDRPQ
+>tr|A6QPU2|A6QPU2_BOVIN ARHGAP12 protein OS=Bos taurus OX=9913 GN=ARHGAP12 PE=2 SV=1
+MADRGGKALPGPVYIEVEYDYEYEAKDRKIVIKQGERYLLVKKTNDDWWQVKPDESSKAF
+YVPAQYVKEVGRRALMPPVKPAAGLPNHSVKTAQSLHLQRSSENVNRLPELSSFGKTSSS
+SVQGTGLVRDANQNFGPTYIPGQTINLSLDLTHNNGKLNSDSHSPKVPGQNRTRLFGHFP
+GPEFLEVEKPGFPQEQSCDSVGEGSERIQQDSESGDELSSSSTEQIRATTPPNQGRPDSP
+VYANLQELKISQSALPPLPGSPAIQINGEWETHKDSSGRCYYYNRGTQERTWKPPRWTRD
+TNTSKGDSQSPGDQEWLKHVDDQGRQYYYSADGSRSEWELPKYNASSQQQREIIKSRSLD
+RRLQEPIVLSKWRHSTIVLDANDKESPSASKPCYPEHESSPSSPKHQDTGQEKYGLLNVT
+KITENGKKVRKNWLSSWAVLQGSSLLFTKTQGSSTSWFGSNQSKPEFTVDLKGATIEMAS
+KDKSSKKNVFELKTRQGTELLIQSDNDTVINDWFKVLSSTISNQTVEPDEAIEEEIPDSP
+GIEKHDKEKDQKEPKKLRSAKVSSIDSSEQKKTKKNLKKFLTRRPTLQAVREKGYIKDQV
+FGANLSNLCQRENSTVPKFVKLCIEHVEQYGLDVDGIYRVSGNLAVIQKLRFAVNHDEKL
+DLKDSKWEDIHVITGALKMFFRELPEPLFTFNHFNDFVNAIKQEPRQRVSAVKDLIKQLP
+KPNQDTMQILFRHLKRVIENGEKNRMTYQSIAIVFGPTLLKPEKETGNIAVHTVYQNQIV
+ELILLEINSIFGR
+>tr|A1A4I3|A1A4I3_BOVIN Solute carrier family 13 (Sodium-dependent dicarboxylate transporter), member 3 OS=Bos taurus OX=9913 GN=SLC13A3 PE=2 SV=1
+MAALATVAKKVWSARRLLVLLFTPLVLLPVVFALPPKEGRCLFVILLMAVYWCTEALPLS
+VTALLPIVLFPFLGILPSNKVCAQYFLDTNFLFLSGLIMASAIEEWNLHRRIALKVLMLT
+GVQPARLILGMMATTSFLSMWLSNTASTAMMLPIANAILKSLFGHRESHKDLSWESEETA
+AAVRKNGLHAVPTEMQFIAGTEDKDCPEEAEAPTDLHPTSKKEEEYRRNIWKGFLISIPY
+SASIGGTATLTGTAPNLILLGQLKSFFPQCDVVNFGSWFIFAFPLMLLFLLVGWLWISFL
+YGGITLRGWRKKKSELRTDAEKRARDTIREEFQSLGPIKFAEQAVFILFCVFAILLFSRD
+PKFIPGWASLFTPGFISDAVTGVSVVTILFFFPSQKPSLKWWFDFKAPNTETVPLLTWKR
+AQDTVPWNIILLLGGGFAMAKGCEESGLSAWIGGQLHPLESVPPVLAVLLITVVVAFFTE
+FASNTATIIIFLPVLAELAIRLRVHPLYLMIPGTVGCSYAFMLPVSTPPNSIAFASGHLL
+VKDMVRTGLLMNLMGVLLLSLAMNTWAQTIFQLGTFPEWADLHLANVTALPSSLANGTYR
+TL
+>tr|A2VDX5|A2VDX5_BOVIN IRX3 protein OS=Bos taurus OX=9913 GN=IRX3 PE=2 SV=1
+MSFPQLGYQYIRPLYPPERPGAAAGGGSAGARGGPGAGASELAASGSLSNVLSSVYGAPY
+AAAAAAAAAAQGYGAFLPYAAELPIFPQLGTQYELKDSPGVQHTAAATAFPHPHPAFYPY
+GQYQFGDPSRPKNATRESTSTLKAWLNEHRKNPYPTKGEKIMLAIITKMTLTQVSTWFAN
+ARRRLKKENKMTWAPRSRTDEEGNAYGSEREEEDEEEDEEDSKRELELEEEELGGEEEDT
+GGEGLADDDEDEEIDLENLDGAVAGPELALTGASHRDGDLGLEPISDSKNSDSEDSSEGL
+EERPLPILSLAPVPPPVATAPPSPPSPPAGLDPCAPAPAPASALQKPKIWSLAETATSPD
+NPRRSPQGAGGSPPGAAVAPSALQLSPAAAAAAHRLVSAPLGKFPAWTNRPFPGPPPGPR
+PHPLSLLGSGPPHLLGLPGAAGHPAAAAAAAFARPAEPEGGTDRCSALEVEKKLLKTAFQ
+PVPRRPQNHLDAALVLSALSSS
+>tr|F1MZ64|F1MZ64_BOVIN Receptor tyrosine kinase like orphan receptor 1 OS=Bos taurus OX=9913 GN=ROR1 PE=3 SV=2
+MNNITTSLGQTAELHCKVSGNPPPTIRWFKNDAPVVQEPRRISFRATNYGSRLRIRNLDT
+TDTGYFQCVATNGKKVVSTTGVLFVKFGPPPTASPGSSDEYEEDGFCQPYRGIACARFIG
+NRTVYMESLHMQGEIENQITAAFTMIGTSSHLSDKCSQFAIPSLCHYAFPYCDETSSVPK
+PRDLCRDECEILENVLCQTEYIFARSNPMILMRLKLPNCEDLPQPESPEAANCIRIGIPM
+ADPINKNHKCYNSTGVDYRGTVSVTKSGRQCQPWNSQYPHTHTFTALRFPELNGGHSYCR
+NPGNQKEAPWCFTLDENYKFDLCDIPACDSKDSKEKNKMEILYILVPSVAIPLAIALLFF
+FICVCRNNQKSSSPPVQRQPKHVRGQNVEMSMLNAYKPKSKAKELPLSAVRFMEELGECA
+FGKIYKGHLYLPGMDHAQLVAIKTLKDYNNPQQWTEFQQEASLMAELHHPNIVCLLGAVT
+QEQPVCMLFEYMNQGDLHEFLIMRSPHSDVGCSSDEDGTVKSSLDHGDFLHIAIQIAAGM
+EYLSSHFFVHKDLAARNILIGEQLHVKISDLGLSREIYSADYYRVQSKSLLPIRWMPPEA
+IMYGKFSSDSDIWSFGVVLWEIFSFGLQPYYGFSNQEVIEMVRKRQLLPCSEDCPPRMYS
+LMTECWNEIPSRRPRFKDIHVRLRSWEGLSSHTSSTTPSGGNATTQTTSLSASPVSNLSN
+PRYPNYMFPSQGITPQGQIAGFISPPIPQNQRFIPINGYPIPPGYAAFPAAHYQPAGPPR
+VIQHCPPPKSRSPSSASGSTSTGHVTSLPSSGSNQEANIPLLPHMSIPNHPSGMGITVFG
+NKSQKPYKIDSKQPSLLGDPNIHGHTESMISAEL
+>tr|A6H6X8|A6H6X8_BOVIN Protein Wnt OS=Bos taurus OX=9913 GN=WNT2B PE=2 SV=1
+MLRPGGAEEAAQLPPRRVSAPVPESAPRSTAPDGSRASARLSLACLLLLLLLLTLPARVD
+TSWWYIGALGARVICDNIPGLVSRQRQLCQRYPDIMRSVGEGAREWIRECQHQFRHHRWN
+CTTLDRDHTVFGRVMLRSSREAAFVYAISSAGVVHAITRACSQGELSVCSCDPYTRGRHH
+DQRGDFDWGGCSDNIHYGVRFAKAFVDAKEKRLKDARALMNLHNNRCGRTAVRRFLKLEC
+KCHGVSGSCTLRTCWRALSDFRRTGDYLRRRYDGAVQVTATQDGANFTAARQGYRRATRT
+DLVYFDNSPDYCVLDKAAGSLGTAGRVCSKTSKGTDGCEIMCCGRGYDTTRVTRVTQCEC
+KFHWCCAVRCKECRNTVDVHTCKAPKKAEWLDQT
+>tr|E1BE47|E1BE47_BOVIN Mahogunin, ring finger 1 OS=Bos taurus OX=9913 GN=MGRN1 PE=4 SV=2
+MGSILSRRIAGVEDIDIQANSAYRYPPKSGNYFASHFFMGGEKFDTPHPEGYLFGENMDL
+NFLGNRPVQFPYVTPAPHEPVKTLRSLVNIRKDSLRLVRYKDGADSPTEDGEKPRVLYSL
+EFTFDADARVAITVYCQAVEEFLNGTAAYSPKSPALQSETVHYKRGVSQQFSLPSFKIDF
+SEWKDDELNFDLDRGVFPVVIQAVVDEGDVVEVTGHAHVLLAAFEKHVDGSFSVKPLKQK
+QIVDRVSYLLQEIYGIENKNNQETKPSDEENSDNSNECVVCLSDLRDTLILPCRHLCLCN
+SCADTLRYQASNCPICRLPFRALLQIRAVRKKPGALSPISFSPVLAQSMDHDEHSCPFKK
+SKSHPASLASKKPKGETSADSIPPGYEPISLLEALNGLRAVPPAVPPAVPTAPLYEEITY
+SGVSDGLSQASCPLAGIDRMVESSLQKGKPRNKSPDSTLRSPSSPIHEEDDSEALPAPGG
+AGLALSSSPESFMTETVDETSSLKQGSRVPSIENVLQDGSPEPCGRSQPGAPADVYLPGW
+STSMETPRSLHIAGHPQPPLGGPSPDPRAAELTPL
+>tr|A5PKF6|A5PKF6_BOVIN SMARCE1 protein OS=Bos taurus OX=9913 GN=SMARCE1 PE=2 SV=1
+MSKRPSYAPPPTPAPATQMPSTPGFVGYNPYSHLAYNNYRLGGNPGTNSRVTASSGITIP
+KPPKPPDKPLMPYMRYSRKVWDQVKASNPDLKLWEIGKIIGGMWRDLTDEEKQEYLNEYE
+AEKIEYNESMKAYHNSPAYLAYINAKSRAEAALEEESRQRQSRMEKGEPYMSIQPAEDPD
+DYDDGFSMKHTATARFQRNHRLISEILSESVVPDVRSVVTTARMQVLKRQVQSLMVHQRK
+LEAELLQIEERHQEKKRKFLESTDSFNNELKRLCGLKVEVDMEKIAAEIAQAEEQARKRQ
+EEREKEAAEQAERSQSSIIPEEEPAASKTEDKKEDESMPMETGSLPEETHLEDTTESQQN
+GEEGTSTPEDKESGQEGVDSLAEEGTSDSNTGSESNSAAVEEPPTDPAPAGEKKE
+>tr|Q17QR3|Q17QR3_BOVIN Transmembrane protein 50A OS=Bos taurus OX=9913 GN=TMEM50A PE=2 SV=1
+MSGFLEGLRCSECIDWGEKRNTIASIAAGVLFFTGWWIIIDAAVIYPRMDEFNHSYHACG
+VIATVAFLMINAVSNGQVRGDSYSEGCLGQTGARIWLFIGFMLAFGSLIASMWILFGGYV
+AKEKAIVYPGIAVFFQNAFIFFGGLVFKFGRTEDLWQ
+>tr|Q0P5G5|Q0P5G5_BOVIN Hydroxyacid oxidase (Glycolate oxidase) 1 OS=Bos taurus OX=9913 GN=HAO1 PE=2 SV=1
+MSSRLVCISDYEQHAKSVLQKSIYDYYKSGANDQETLADNIAAFSRWKLYPRMLRNIAEI
+DLSTSVLGQKVSMPICVGATAMQCMAHVDGELATVRERGLRDEVRTKKGGLRKPIRKNCP
+ENISTQ
+>tr|Q2NKT6|Q2NKT6_BOVIN Uncharacterized protein MGC137036 OS=Bos taurus OX=9913 GN=MGC137036 PE=2 SV=1
+MFQDSQKSSVPSHGPKTPSGQKVKAPHRPLSLSWKQDREQTLAAAYVPVVVDPRGQNPEK
+LRFNFYTSQYSNSLNPFYTLQKPTCGYLYRRDTDHTRKRFDVPPANLVLWRS
+>tr|A0A3Q1MPL3|A0A3Q1MPL3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=C6H4orf36 PE=4 SV=1
+SEPWELALLTKTWYTKLANIKLPFLEEIAFGSCVHLRKCKTIKDGLLPSAESIQCEREYE
+MKRLNNLKCQENAAKKIQFSLRERPVGLRRPLPPK
+>tr|A5PK98|A5PK98_BOVIN Probable ribosome biogenesis protein C16orf42 homolog OS=Bos taurus OX=9913 GN=TSR3 PE=2 SV=1
+MGRRRASRGQGAEGGRARRPAGRSLEAFAEEVGAALRASVEPEAPEDRGGPGPAQLPCTL
+AMWELGHCDPRRCTGRKLARLGLVRCLRLGHRFGGLVLSPVGSQYVSPADRHLVAQSGVA
+VIDCSWARLDETPFGKMRGSHLRLLPHLVAANPVNYGRPCRLSCVEAFAATFCILGFSDL
+AVILLRKFKWGKGFLDLNRQLLDKYAACSSPEEVLQAEQEFLAQAKEHPEEEEIDPFDVD
+SGREFANPNRPMTGSRLPRDGADSDTEDESEELGPDPDSGGDSSSSPEEEETAEQGAEAR
+VPTEIWKGIKKRQRD
+>tr|Q0VCL5|Q0VCL5_BOVIN High-mobility group 20A OS=Bos taurus OX=9913 GN=HMG20A PE=2 SV=1
+METLMTSSTLPPLFADEDGSKESNDLASTGLTHPEAPYSSGATSSTNNPEFVEDLSQGQL
+LQNESSNTAEGNEQRHEDEQRSKRGGWSKGRKRKKPLRDSNAPKSPLTGYVRFMNERREQ
+LRAKRPEVPFPEITRMLGNEWSKLPPEEKQRYLDEADRDKERYMKELEQYQKTEAYKVFS
+RKTQDRQKGKSHRQDAARQATHDHEKEAEVKERSVFDIPIFTEEFLNHSKAREAELRQLR
+KSNMEFEERNAALQKHVESMRTAVEKLEVDVIQERSRNTVLQQHLETLRQVLTSSFASMP
+LPGSGETPTVDTIDSYMNRLHSIILANPQDNENFIATVREVVNRLDR
+>tr|E1B8S0|E1B8S0_BOVIN Protein S100 OS=Bos taurus OX=9913 GN=S100A5 PE=3 SV=1
+METPLEKALTTMVTTFHKYSGREGSKLTLSRKELKELIKKELCLGEKMRESSIDDLMKSL
+DKNSDQEIDFKEYSVFLTTLCMAYNDFFLEENQ
+>tr|Q2KI67|Q2KI67_BOVIN Ecdysoneless cell cycle regulator OS=Bos taurus OX=9913 GN=ECD PE=2 SV=1
+MEENMKFATVEDTVEYRLFLIPDKPGDPEEHREILQKCIERIMTQFAPMLVPYIWQNQPF
+NLKYKPGKGDVPAHIFGMTKFGDNIEDEWFIVYIVKQITKEFPELVARIEDNDGEFLLIE
+AADFLPKWLDPDNSANRVFFHQGELCIIPAPKKSGTVSWLPTTPPTISQALSIISTHPEK
+ILASESVRAAVNRRIRGYPEKIKASLHQAHCFLPAGIAAVLKQCPRLVAAGVQAFYLRDP
+IDLRACRIFKTFLPETRIMTSVTFTKCLYAQLVQQRFVPDRRSGYKLPPPCHPQYRAHEL
+GMKLAHGFEILCSKCSPHFSDSKSLVTTSPLWAGFLESLKKNDYFKGLMEGSVQYQERLE
+MAKNYFQLSVNRPESSHAMSPGEEILTLLQTIPFDIEELKKEEAYLPPEDDERWLDLSPD
+QLDQLLQEAAGKKEPEPISKGQEENYDLTQVSESMKAFISKVSTHKGAEVPREPSEAPIT
+FDADSFLNYFDKILGSKSHESDSDDLDEEDSECLDSDDDVDLETQEAGEEASVKGTLDDL
+KSYMAQMDRELAHTSIAKSFTTQKQMEPLSQTTNDNPEEEDSGTGGSVMTPVDVDLNLVS
+NILESYSSQAGLAGPASNLLQSMGVQLPDNADHRPTSKPTKD
+>tr|Q17QH0|Q17QH0_BOVIN Metallothionein OS=Bos taurus OX=9913 GN=MT1E PE=2 SV=1
+MDPNCSCSTGGSCSCPGSCTCKACRCPSCKKSCCSCCPVGCAKCAQGCICKGASDKCSCC
+A
+>tr|A0A3Q1MGI4|A0A3Q1MGI4_BOVIN Erythrocyte membrane protein band 4.1 like 1 OS=Bos taurus OX=9913 GN=EPB41L1 PE=4 SV=1
+MTTETGPDSEVKKAQEEAPQQPEAAAAATTPVTPVGHGHLEANSNEKQPPQQDARPAEQS
+LDMEEKDYGEADGLSERTTPSKAQKSPQKIAKKYKSAVCRVTLLDASEYECEVEKHGRGQ
+VLFDLVCEHLNLLEKDYFGLTFCDADSQKNWLDPSKEIKKQIRSSPWNFAFTVKFYPPDP
+AQLTEDITRYYLCLQLRADIITGRLPCSFVTHALLGSYAVQAELGDYDAEEHVGNYVSEL
+RFAPNQTRELEERIMELHKTYRGMTPGEAEIHFLENAKKLSMYGVDLHHAKDSEGIDIML
+GVCANGLLIYRDRLRINRFAWPKILKISYKRSNFYIKIRPGEYEQFESTIGFKLPNHRSA
+KRLWKVCIEHHTFFRLVSPEPPPKGFLVMGSKFRYSGRTQAQTRQASALIDRPAPFFERS
+SSKRYTMSRSLDGAEFSRPASVSENHDAGPDGDKREEDGESGGRRSEAEEGEIKTPTKIK
+ELKFLDKPEDVLLKHQASINELKRTLKEPNSKLIHRDRDWERERRLPSSPASPSPKGTPE
+KVNEPVKTETMTVSSLAIRKKIEPEAVLQTRVATVDTTQVDGTAPGGKEFLTTPPSITTE
+TISTTMENSLKSGKGAAAMIPGPQTVATEIRSLSPIIGKDVLTSTYGATAETLSTSTTTH
+VTKTVKGGFSETRIEKRIIITGDEDVDQDQALALAIKEAKLQHPDMLVTKAVVYRETDPS
+PEERDKKPQES
+>tr|A0A3Q1LWQ7|A0A3Q1LWQ7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MEEVVPEASLSPNGVPWLYALFSMLFVFFLFVMFSPFLLEIDQHVKKFLLKCRFTLHNIV
+HKDKENDDMKIDHLGSPGCPLESPRTEVLGGKENEMRGDPPLFVRIAREPRDSETEICSP
+GPSV
+>tr|A5PK96|A5PK96_BOVIN ACP1 protein OS=Bos taurus OX=9913 GN=ACP1 PE=2 SV=1
+MAEQVTKSVLFVCLGNICRSPIAEAVFRKLVTDQNISDNWRIDSAATSTYELGNPPDFRG
+QACMRKHGIPMSHVARQVTKEDFVTFDYILCMDESNLRDLNRKSNQVKNCRAKIELLGSY
+DPQKQLIIEDPYYGNDADFETVYQQCVRCCRAFLEKVR
+>tr|Q3SYX4|Q3SYX4_BOVIN Zinc finger protein 394 OS=Bos taurus OX=9913 GN=ZNF394 PE=2 SV=1
+MSWSWTASQSTIAPPALGDGLWTVKVEEDSFGSGESDRPWDCPDPETFRCHFRQFRYQEV
+AGPEEALSRLRELCCRWLRPEVHSKEQILELLVLEQFLTILPQEIQAWVRKHCPQSGEEA
+AAVVRSLQRQPAGTSRQGLVTVRDVAVSLTWEEWERLYPAQKHFFRESAPKDHGNAVSPS
+SETRIVNKELIPKQEILEVELQGQLQGFQEKAPLSSECGDAQDRREKSLGDPSSLKRENS
+PEDQGVTHISDLRNGPSEEGDVKNKEFRTSAESSDFVAGQYVPTAGRPATGDEYGNNCQH
+RLDMVKPHESLNSEENRHHSGLFETQRWFHEERPYTCDTCEKSFKQRSDLLKHHRIHTGE
+RPYGCSVCGRRFSQSATLIKHQRTHTGEKPYTCPRCGDSFRQSSNLSRHQRTHLGEKHYT
+CNNFRETCHTSSHFSHQRTHNEERPYLCEECDKSFKRCSDLSKHQRIHTGEKPYGCPVCG
+RRFSQSATLIKHQRTHTGEKPYTCPKCGDSFRQSSHLSRHQRTHLGEKHYTCKECGENCR
+TSSRFRHQRTHKAERPHTCEECNKSFKRSSDLSKHQRIHTGEKPYGCSVCGKHFSQSATL
+IKHRRTHTGEKPYRCLDCGGSFRQSSHLVRHQRIHRNKVPSF
+>tr|G3MZW3|G3MZW3_BOVIN TNF superfamily member 9 OS=Bos taurus OX=9913 GN=TNFSF9 PE=2 SV=1
+MHSSNLATPDPEAQRSPAPPGRVCNPLPWALSAALLLLAAACATCVVRVWGVPGTPASPV
+SSPAPSSILPVGLEQTSDPHARLPNSPQQGVFAQLVVADGAQLTEGPVQWRSEQGLTGVT
+LAPGVHYDKRTQELVVPEAGVYYVFLHLTLKRVMAGNRNGSDSISVALDLQPYQAGAALT
+LTLDLPPPPLGNSAAGFRSSLLHLDAGQRLSVHLRPRIREPLSWQLSAEATVWGLFRVAT
+QVPSGLPLSKLT
+>tr|Q5E9Q0|Q5E9Q0_BOVIN Family with sequence similarity 192 member A OS=Bos taurus OX=9913 GN=FAM192A PE=2 SV=1
+MDGGDDGNLVIKKRFVSEAELDERRKRRQEEWEKVRKPEDPEECPEEVYDPRSLYERLQE
+QKDRKQQEYEEQFKFKNMVRGLDEDETNFLDEVSRQQELIEKQRREEELKELKEYRSNLN
+KVGISSENKKEVEKKAVKPIETKNKFSQAKLLAGAVKHKSSESGNSVKRLKSDPDPDDKN
+QEASSCVSLGSTSLSSPSIHCPSAAVCIGILPGLGAYSGSSDSESSSDSEGTINATGKIV
+SSIFRTNTFLEAP
+>tr|A0A3Q1MA07|A0A3Q1MA07_BOVIN Serpin family B member 5 OS=Bos taurus OX=9913 GN=SERPINB5 PE=1 SV=1
+MEALRLANSAFAVDLYKQLCEKEPADNILFSPICLSTSLSLAQVGAKGDTADEIGQVLHF
+ENVKDVPFGFQTVTSDVNKLSSFYSLKLIKRLYVEKSLNLSTEFISSTKRPYAKEMETVD
+FKDKLEETKGQINNSIKELTDGHFENILADNSVNDQTKILVVNAAYFVGKWMKKFPESET
+KECPFRINQTDTKPVQMMNMEATFCMGHIDGVNCKIIELPFQNKHLSMLILLPKDVEDGP
+TGLEQVEKQLNSETLLQWTNPSMMANAKVKLSIPKFKVEKMIDPKASLENLGLKNIFNED
+TSDFSGMSETKGVAVSNVIHRVSLEITEDGGDSIEVPGSRILQHKDEFNADHPFIYIIRH
+NKTRNIVFFGKFCSP
+>tr|Q0IIA5|Q0IIA5_BOVIN Pleckstrin homology domain containing A1 OS=Bos taurus OX=9913 GN=PLEKHA1 PE=2 SV=1
+MPYVDRQNRICGFLDIEENENSGKFLRRYFILDTREDSFVWYMDNPQNLPSGSSRVGAIK
+LTYISKVSDATKLRPKAEFCFVMNAGMRKYFLQANDQQDLVEWVNVLNKAIKITVPKPLD
+SQPHPDNPSRQGECGKKQVSYRTDIVGGVPIITPTQKEEVNECGEAIDRNNLKRSQSHLP
+YFTPKPPSDSAVIKAGYCVKQGAVMKNWKRRYFQLDENTIGYFKSELEKEPLRVIPLKEV
+HKVQECKQSDIMMRDNLFEIVTSSRTFYVQADSPEEMHSWIKAVSGAIVAQRGPGRSASS
+MRQARRLSNPCIQRSIPTVLPSPNTLSSLPVPQQPPHIPQPLAATLWSQAFPWRSEDFMN
+LLPRSSQGTSRSRLSLQENQLPK
+>tr|F1MD15|F1MD15_BOVIN SLC9A3 regulator 2 OS=Bos taurus OX=9913 GN=SLC9A3R2 PE=4 SV=1
+MARSGNAVPSAPAPGAPPQSPSRGLVQDTNGPLRELRPRLCHLRKGPQGYGFNLHSDKSR
+PGQYIRSVDPGSPAAHSGLRAQDRLIEVNGQNVEGLRHAEVVASIKAREDEAQLLVVDPE
+TDEHFKRLRVTPTEEHVEGPLPSPVTNGTSSAQLNGGSVCSSRSDLPGLDKDTEDGSTWK
+RDPFQESGLHLSPTAAEAKEKARATRVNKRAPQMDWNRKREIFSNF
+>tr|A5PJR6|A5PJR6_BOVIN TMEM41B protein OS=Bos taurus OX=9913 GN=TMEM41B PE=1 SV=1
+MTKGRAVERSQTAALHSTPPGDKATGTRGPSTPSSRDHLKEKACAEAGSARMSLLILVSI
+FLSAAFVMFLVYKNFPQLSEEERMNMKVPRDMDDAKALGKVLSKYKDTFYVQVLVAYFAT
+YIFLQTFAIPGSIFLSILSGFLYPFPLALFLVCLCSGLGASFCYMLSYLVGRPVVYKYLT
+EKAVKWSQQVERHREHLINYIIFLRITPFLPNWFINITSPVINVPLKVFFIGTFLGVAPP
+SFVAIKAGTTLYQLTTAGEAVSWNSVFVLMILALLSILPAIFQKKLKQKFE
+>tr|Q0VBY4|Q0VBY4_BOVIN TPD52 like 1 OS=Bos taurus OX=9913 GN=TPD52L1 PE=2 SV=1
+MEAQARGLLETEPLQGRDEDAIASADSPSMLSEEEKEELKAELVQLEDEITTLRQVLSAK
+ERHLVEIKQKLGMNLMNELKQNFSKGWHDVQTTTAYKKTHETLSHAGQKATAAFSNVGTA
+ISKKFGDMRSHSIGYSIRHSISMPAMRNSPTFKSFEERVETTVTSLKTKVGGANHGGGSF
+EEVLSSTAHASAQSSAGGPRLAEGEELQC
+>tr|C6KZS7|C6KZS7_BOVIN Vascular endothelial growth factor 120 OS=Bos taurus OX=9913 GN=VEGFA PE=2 SV=1
+MNFLLSWVHWSLALLLYLHHAKWSQAAPMAEGGQKPHEVVKFMDVYQRSFCRPIETLVDI
+FQEYPDEIEFIFKPSCVPLMRCGGCCNDESLECVPTEEFNITMQIMRIKPHQSQHIGEMS
+FLQHNKCECRPKKDKARQEKCDKPRR
+>tr|Q0II39|Q0II39_BOVIN Chromosome 11 open reading frame 46 ortholog OS=Bos taurus OX=9913 GN=C10H11ORF46 PE=2 SV=1
+MTEQSGKTNSTRERPAGQTSEKNCQIGQKQLQQIERQLKCLAFQNPGPQVADFNPETRQQ
+KKKARMSKMNEYFSVTSKVMKKYDKSGRLICNDVDLCDCLEKNCLGCFYPCPKCNSNKCG
+PECRCNRRWVYEAIVTETGEIISAQPFDIPD
+>tr|A0A3Q1MRW5|A0A3Q1MRW5_BOVIN E3 ubiquitin-protein ligase RNF170 OS=Bos taurus OX=9913 GN=RNF170 PE=4 SV=1
+MAKYQGEVQSLKLDDDSVIEGVSDQVLVAVVVSLALIATLVYALFRNAHQNIHPENQELV
+RVLREQLQTEQDAPAAARQQFYTDMYCPICLHQASLPVETNCGHLFCGTCIVAYWRYGSW
+LGAISCPICRQTVTLLLPVFGENDQSQDVVSLHQDISDYNRRFSGQPRSIMERIMDLPTL
+LRHAFREMFSVGGLFWMFRIRIILCLMGAFFYLISPLDFVPEALFGILGFLDDFFVIFLL
+LIYISIMYREVITQRLNR
+>tr|A6H744|A6H744_BOVIN SUMO-conjugating enzyme UBC9 OS=Bos taurus OX=9913 GN=UBE2I PE=2 SV=1
+MSGIALSRLAQERKAWRKDHPFGFVAVPTKNPDGTMNLMNWECAIPGKKGTPWEGGLFKL
+RMLFKDDYPSSPPKCKFEPPLFHPNVYPSGTVCLSILEEDKDWRPAITIKQILLGIQELL
+NEPNIQDPAQAEAYTIYCQNRVEYEKRVRAQAKKFAPS
+>tr|A2VDQ6|A2VDQ6_BOVIN Cadherin 11 OS=Bos taurus OX=9913 GN=CDH11 PE=2 SV=1
+MKENYCLQAALVCLGMLCHSQAFATDRRSHLRPSFHGHHEKGKEGQVLQRSKRGWVWNQF
+FVIEEYTGPDPVLVGRLHSDIDSGDGNIKYILSGEGAGTIFVIDDKSGNIHATKTLDREE
+RAQYTLMAQAVDRDTNRPLEPPSEFIVKVQDINDNPPEFLHETYHANVPERSNVGTSVIQ
+VTASDADDPTYGNSAKLVYSILEGQPYFSVEAQTGIIRTALPNMDREAKEEYHVVIQAKD
+MGGHMGGLSGTTKVTITLTDVNDNPPKFPQSVYQMSVSEAAVPGEEVGRVKAKDPDIGEN
+GLVTYNIVDGDGMELFEITTDYETQEGVVKLKKPVDFETKRAYSLKVEAANVHIDPKFIS
+NGPFKDTVTVKIAVEDADEPPMFLAPSYIHEVQENAAPGTVVGRVHAKDPDAANSPIRYS
+IDRHTDLDRFFTINPEDGFIKTTKPLDREETAWLNISVFAAEIHNRHQEAKVPVAIRVLD
+VNDNAPKFAAPYEGFICESDQTKPHSNQPIVTISADDKDDTANGPRFIFSLPPEIIHNPN
+FTVRDNRDNTAGVYARRGGFSRQKQDLYLLPIVISDGGIPPMSSTNTLTIKVCGCDVNGA
+LLSCNAEAYILNAGLSTGALIAILACIVILLVIVVLFVTLRRQKKEPLIVFEEEDVRENI
+ITYDDEGGGEEDTEAFDIATLQNPDGINGFIPRKDIKPEYQYMPRPGLRPAPNSVDVDDF
+INTRIQEADNDPTAPPYDSIQIYGYEGRGSVAGSLSSLESATTDSDLDYDYLQNWGPRFK
+KLADLYGSKDTFDDDS
+>tr|A3KMW1|A3KMW1_BOVIN NUP43 protein OS=Bos taurus OX=9913 GN=NUP43 PE=2 SV=1
+MEEIYAKFVSQKISKTRWRPLPPGSLQTAETFATGSWDNEENYVSMWSIGDFGNLDSDGG
+FEGDHQLLCSIKHHGDVMDLQFLDQERIVAASSTGCVTIFLHHPNNQTLSASQQWTAAHY
+HTGPGSPSCSNAPCTGVVCNNPEIVTVGEDGRINLFRADHKEAVRTIDNADSSTLHAVTF
+LRTPEILTVNSIGQLKIWDFRQQRNEPTQILSLTGDRVPLHCVDRHPNQQHVVATGGQDG
+MLSIWDVRQGTMPVSLLKAHEAEMWEVHFHPSNPDHLFTCSEDGSLWHWDASTDGPEKSS
+LFHQGGRNSTFLSHTISNQANVHQSLISSWLSTDPAKDRIEITSLLPNRTLSVNSLDVLG
+PCLVCGTDAEAIYVTRQLFS
+>tr|A5H027|A5H027_BOVIN Ribonuclease L OS=Bos taurus OX=9913 GN=RNASEL PE=2 SV=1
+METESHNNPQERPTPSSNGKASMGDNHSLIKAVRDEDIESVQQLLERGADVNFQEEWGWS
+PLHNAVQVDREDIVELLLSHGAEPCLRKKNGATPFIIAGIVGNVKLLKLLLPKVTDVNEC
+DVNGFTAFMEAAVYGKVEALRFLYNNGAEVNLHRKTIEDQERVKKGGATALMDAARRGHV
+DVVEILLHEMGADVNARDNRGRNALIYALLNSDDEKVKAITRLLLDYKVDVNVRGEGRKT
+PLILAVEKKNLDLVQMLLEQTAIEINDTDSEGKTALLLAVELKLKEIAQLLCRKGASTKC
+GDLVAIAKRNYDSDLAKFLRQHGAVEDVCPPAKAWKPQSSRWGEALKHLHRIYRPMIGKL
+KIFIDEEYKIADTSQGGIYLGLYEEQEVAVKRFPKGSTRGQNEVSCLQSNRANGHVVTFY
+GSESDRTCLYVCLALCEHTLEKHLDDRKGEAVQNKEDEFARNILSSLFKAVEELHRSGYT
+HQDLQPQNILIDSKNGACLADFDKSVKGTGDPQEIKRDLEALGLLVLYVVKKGNDSFEML
+KNLRTEELIERSPDKETRDLIQHLLVPGDNVKGHLSGLLAHPFFWSWESRYRTLRDVGNE
+SDIKTRNTNGKILQLLQPETSELPSFAQWTIEVDKSVMKKMNAFYKKGNTYQNTVGDLLK
+FIRNVGEHINEQKNIEMKSKIGEPSQYFQEKFPDLVMYVYKRLQNTEYAKHFPKNLNLNK
+ADV
+>tr|Q0IIM6|Q0IIM6_BOVIN Ubiquitin specific peptidase 8 OS=Bos taurus OX=9913 GN=USP8 PE=2 SV=1
+MPAVASVPKELYLSSSLKDLNKKTEVKPEKISTKNYVQSALKIFKTAEESRLDRDEERAY
+VLYMKYVTVYNLIKKRPDFMQQQDHFHSILGPANIKKAIEEAERLSESLKLRYEEAEVRK
+KLEEKDRQEKEQLQKQKKQEAEREDDSTSDKSSLENVLDAKDKTQKINGEKSEKNETTEK
+GAITAKELYTMMMDKNISLIIMDARRMQDYLDSHISDSLSVPEEAISPGVTASWIEANLP
+DDSKDTWKKRGSVDYVVLLDWFSSAKDLQLGTTLRSLKDALFKWESKAVLRSEPLVLEGG
+YENWLLCYPQYTTNAKVTPPPRGKNEEVSVSLDFTYPSLEESVPSKPTAQMIPPIEISEN
+TELINSQDERMGPLNISAPVEPIAASKYDVSPIIQPVPILKNIPQIDRSKKPAIKLPEDH
+RIKYESTDHEEQSPQSEKVVPDRSTKPVFPPPTAMLTDEEKARIHAETVLLMEKNKQEKE
+LRERQQEEQKERLRREEQEQKDRKEQEAEENEITEKQQKAEQMEKKESEQARKEDKETSA
+KRGREITGVKRQSKSEHETTDAKKSVEDRGKRCPTPEVQKRSADVPHASVAGDSSSGKSR
+EPLTRARSEEMGRVVPGLPSGWAKFLDPITGTFRYYHSPTNTVHMYPPEMAPSSAPPSTP
+PTHKAKPQIPAERDREPSKLKRSYSSPDITQAIQEEEKRRPTVTPAVNRENKPTSYPKAE
+ITRLSASQIRNLNPVFGGSGPALTGLRNLGNTCYMNSILQCLCNTPHLADYFNRNCYQDD
+INRSNLLGHKGEVAEEFGIIMKALWTGQYRYISPKDFKITIGKINDQFAGYSQQDSQELL
+LFLMDGLHEDLNKADNRKRHKEENNDHLDDFKAAEHAWQKHKQLNESIIVALFQGQFKST
+VQCLTCHKKSRTFEAFMYLSLPLASTSKCTLQDCLRLFSKEEKLTDNNRFYCSHCRARRD
+SLKKIEIWKLPPVLLVHLKRFSYDGRWKQKLQTSVDFPLENLDLSQYVIGPKNNLKKYNL
+FSVSNHYGGLDGGHYTAYCKNAARQRWFKFDDHEVSDISISSVKSSAAYILFYTSLGPRI
+TDVAT
+>tr|G3MY34|G3MY34_BOVIN Pleckstrin homology domain containing B1 OS=Bos taurus OX=9913 GN=PLEKHB1 PE=4 SV=1
+MSPAAPVPPDSTLESPFEEMALVRGGWLWRQSSILRRWKRNWFALWLDGTLGYYHDETAQ
+DEEDRVLIHFNVRDIKIGQECHDVQPPEGRSRDGLLTVNLREGSRLHLCAETRDDAIAWK
+TALLEANSTPAPAGATVPPRSRRVCPKVRCVTRSWSPCKVERRIWVRVYSPYQDYYEVVP
+PNAHETTYVRSYYGPPYVGPGVTHVVVREDPCYSAGAPLAMGMLAGAATGAALGSLMWSP
+CWF
+>tr|A0A3Q1MYF3|A0A3Q1MYF3_BOVIN Zinc finger MYND-type containing 11 OS=Bos taurus OX=9913 GN=ZMYND11 PE=4 SV=1
+MARLTKRRQADTKAIQHLWAAIEIIRNQKQIANIDRITKYMSRVHGMHPKETTRQLSLAV
+KDGLIVETLTVGCKGSKAGIEQEGYWLPGDEIAYSMQPFFRTATPAKDWETENHDWYCFE
+CHLPGEVLICDLCFRVYHSKCLSDEYRLRDSSSHWQCPVCRSIKKKNTNKQEMSTYLRFI
+VSRMKERAIDLNKKGKDNKHPMYRRLVHSAVDVPAIQEKVNEGKYRSYEEFKADAQLLLH
+NTVIFYGADSEQADIARMLYKDTCHELDELQLCKNCFYLSNARPDNWFCYPCIPNHELVW
+AKMKGFGFWPAKVMQKEDNQVDVRFFGHHHQRAWIPSENIQDITVNVHRLHVKRSMGWKK
+ACDELELHQRFLREGRFWKSKNEDRGEEEAESSISSTSNEQLKVTQEPRAKKGRRNQSVE
+PKKEEPEPETEAVSSSQEIPTMPQPIEKVSVSTQTKKLSASSPRMLHRSTQTTSDGVCQS
+MCHDKYTKIFNDFKDRMKSDHKRETERVVREALEKLRSEMEEEKRQAVNKAVANMQGEMD
+RKCKQVKEKCKEEFVEEIKKLATQHKQLISQTKKKQWCYNCEEEAMYHCCWNTSYCSIKC
+QQEHWHAEHKRTCRRKR
+>tr|A8E4L2|A8E4L2_BOVIN Bifunctional heparan sulfate N-deacetylase/N-sulfotransferase 2 OS=Bos taurus OX=9913 GN=NDST2 PE=2 SV=1
+MLKLWKVVRPARQLELHRLILLLIAFSLGSMGFLAYYVSTSPKAKEPLPLPLGDCSSGAA
+GGPGPVRPPVPPRPPRPPETARTEPVVLVFVESAYSQLGQEIVAILESSRFRYSTELAPG
+RGDMPTLTDHTRGRYVLVIYENLLKYVNLDAWSRELLDRYCVEYGVGIIGFFRAHEHSLL
+SAQLKGFPLFLHSNLGLRDYQVNPTAPLLHLTRPSRLEPGPLPGDDWTIFQSNHSTYEPV
+LLASLRLAEPPVPGPVPRRARLPTVVQDLGLHDGIQRVLFGHGLSFWLHKLVFVDAVAYL
+TGKRLCLDLDRYILVDIDDIFVGKEGTRMKVADVEALLTTQNKLRTLVPNFTFNLGFSGK
+FYHTGTEEEDAGDDMLLKHRREFWWFPHMWSHMQPHLFHNRSVLADQMRLNKQFALEHGI
+PTDLGYAVAPHHSGVYPIHTQLYEAWKSVWGIQVTSTEEYPHLRPARYRRGFIHNGIMVL
+PRQTCGLFTHTIFYNEYPGGSRELDRSIRGGELFLTVLLNPISIFMTHLSNYGNDRLGLY
+TFESLVRFLQCWTRLRLQTLPPVPLARKYFDLFPQERSPLWQNPCDDKRHKDIWSKEKTC
+DRLPKFLIVGPQKTGTTAIHFFLSLHPAVTSSFPSPSTFEEIQFFNGPNYHKGIDWYMDF
+FPVPSNASTDFLFEKSATYFDSEVVPRRGAALLPRAKIITVLTNPADRAYSWYQHQRAHG
+DPVALNYTFYQVISASSQAPPALRSLQNRCLVPGYYSTHLQRWLTYFPSGQLLIVDGQEL
+RTNPAASMESIQKFLGITPFLNYTRTLRFDEDKGFWCQGLEGGKTRCLGKSKGRKYPDMD
+AESRLFLTDFFRNHNLELSKLLSRLGQPVPSWLREELQHSSSG
+>tr|A0JNG9|A0JNG9_BOVIN Voltage-dependent calcium channel gamma-2 subunit OS=Bos taurus OX=9913 GN=CACNG2 PE=2 SV=1
+MGLFDRGVQMLLTTVGAFAAFSLMTIAVGTDYWLYSRGVCKTKSVSENETSKKNEEVMTH
+SGLWRTCCLEGNFKGLCKQIDHFPEDADYEADTAEYFLRAVRASSIFPILSVILLFMGGL
+CIAASEFYKTRHNIILSAGIFFVSAGLSNIIGIIVYISANAGDPSKSDSKKNSYSYGWSF
+YFGALSFIIAEMVGVLAVHMFIDRHKQLRATARATDYLQASAITRIPSYRYRYQRRSRSS
+SRSTEPSHSRDASPVGIKGFNTLPSTEISMYTLSRDPLKAATTPTATYNSDRDNSFLQVH
+NCIQKENKDSLHSNTANRRTTPV
+>tr|Q2TBW4|Q2TBW4_BOVIN Polycystic kidney disease 2-like 2 OS=Bos taurus OX=9913 GN=PKD2L2 PE=2 SV=1
+MAEASRWHLGGTPKHKLHYREDVEIRTTLQELLLYSIFLINLCISGEERTNFKSIRSITD
+FWKFMEGPLLDGLYWDSWYNNENLYDLKNSSRIYYENILLGLPRVRQLKVRNGTCKVHSF
+FRSLMDECYDKYTSKNEDTADFGLKQHTEWKYSSPRTNSPWHWGFVGVYQNGGYIFTLSK
+SKSETLNKFINLRMNSWITRGTRVIFIDFSVYNANVNLFCIIRLVAEFPATGGILTSWQF
+YSVKLLRYVSFYDYFIASCEIIFCIFIIVFTIQEIKKLKEFKFAYFKSIWNWLELLLLVL
+CFSTVFFSLYSNMQIYRMIGRLLRNTEKYSDFYFLAYWHIYYNNIIAITIFFAWIKIFKF
+ISFNKTMSQLSSTLSRCIKDIIGFAIMFFIIFFAYAQLGFLVFGSQVDDFSTFQNAIFTQ
+FRIVLGDFNFAGIQQANRILGPIYFITFIFFVFFVLLNMFLAIINDTYSEVKADYSIGRR
+PDFQLGKMIKKSYNNALEKLKLKKPETDEDKKRMENKDLAEKARREGFDEKEIQSAEQMK
+KWKERLEKKYYSTEIQDDYQTVTQQEFRDLFLYAVELEKELHYVSLKLNRVMRKVSALQY
+>tr|B2ZEZ4|B2ZEZ4_BOVIN Skint 1 isoform a OS=Bos taurus OX=9913 GN=Skint1 PE=2 SV=1
+MEMTGQSFSRYFVATLLLQMITPSSEQFTVNGIEGPILAPLGGKVELSCQLSPPQTAEHM
+EIRWFRNHYKRPVHLYKDGKDLYGETISNYVERTELLTDAIGEGKVTLRIFSVNADDDGT
+YHCFFKDGDFYEEAITEVKVTATSLETQILVHPPNTKGLLVECNSGGWFPQPQMEWRDSR
+EEIIPPSSKSHSQDTDKLFNMKMTLLIQSTHGNVTCYLRNPVTGQEEKISIVLSNKPFLW
+NTVWKLILGLILALPLTFTMASSIALHHRLQSCSCNALPPWLVGILIFLTSFSMIVSLIF
+YLHYRKRVPISDPHFELDVMWLEDMTVILCVLMVFITMIISSAYFRLRVKLHVH
+>tr|Q2T9R0|Q2T9R0_BOVIN Chromosome 10 C14orf93 homolog OS=Bos taurus OX=9913 GN=C10H14orf93 PE=2 SV=1
+MSFSATILFSPPSGSEARCCCCACKNETSGASSGCQGGNPPPSTPITVTGHGLAVQSSEQ
+LLHIIYQRVDKAVGLAEAALSLARANNELLKRLQEEVGELRQGKVPAADEDRESRAHGSP
+LEEPGPLKESPGEAGRAVPPAVEEECDSVGSGVQVVIEELRQLGAASAGGPGPLGFPAAQ
+RDVRLPGCALTAGEGPPMLNPLVDDYVASEGAVQRVLVPAYAKQLSPVTQLAIQRATSET
+GPENGTKLLPPRPEDMLSAAATLEGPSEESGPGGTGELRQSLGFTASPCRTRGSGQKNSR
+RKRDLVLSKLVHNVHNHITNDKRFNGSESIKSSWNISVVKFLLEKLKQELVTSPHNYTDK
+ELKGACVAYFLTKRREYRNSLNPFKGLKEKEEKKLRSRRYRLFANRSSIMRHFGPEDQRL
+WKDVTEELMSDEEDSLNEPGVWVARPPRFRAQRLTQLCYHLDANSKHGTKANRVYGPPSD
+RLPSAEAQLLPPELYNPNFQEEEDEGGDENGPVSPSFDQAHKTCCPDLNSFIEIKVEKDE
+>tr|Q3ZC30|Q3ZC30_BOVIN Sulfotransferase OS=Bos taurus OX=9913 GN=SULT1E1 PE=2 SV=1
+MSSSKPSFSDYFGKLGGIPMYKKFIEQFHNVEEFEARPDDLVIVTYPKSGTTWLSEIICM
+IYNNGDVEKCKEDVIFNRVPYLECSTEHVMNGVKQLNEMASPRIVKSHLPVKLLPVSFWE
+KNCKIIYLSRNAKDVVVSYYFFILMVTAIPDPDSFQDFVEKFMDGEVPYGSWFEHTKSWW
+EKSKNPQVLFLFYEDMKENIRKEVMKLLEFLGRKASDELVDKIIKHTSFQEMKNNPSTNY
+TTLPDEVMNQKVSPFMRKGDVGDWKNHFTVALNEKFDMHYEQQMKGSTLKFRTKI
+>tr|A7MBH6|A7MBH6_BOVIN ANKRD9 protein OS=Bos taurus OX=9913 GN=ANKRD9 PE=2 SV=1
+MPWDARLPGGGAEGGPEGTGAARSRAQKQCRKSSFAFYQAVRDLLPVWLLEDMRASEAFH
+WDERGRAAAYSPSEALLYALVHDHQGYAHYLLATFPRRALAPPSAGFRCCAAPGPHVALA
+VRYNRVGILRRILRTVRDFPAEERARLLDRRGCSRVEGGGTALHVACELVRPECLFLLLG
+HGASPGLRDGGGLTPLELLLRQLGRDAGAAAPAASGAPAPLPGEPRQRRLLLLDLLALYT
+PADAAGPARRELLGDRPRWRRLLGEEKFQWLAGLAPPSLFARAMQVLVTAISPGRFPEAL
+DELPLPPFLQPLDLTGKG
+>tr|Q2KI63|Q2KI63_BOVIN Fc of IgG, low affinity IIIa, receptor (CD16a) OS=Bos taurus OX=9913 GN=FCGR3A PE=2 SV=1
+MWQLLPPAALLLLVSADTQTADPSKAVVLLDPQWNHVLTNDRVTLKCQGDYPVEDNSTKW
+WHNGTLISSQTPSYFIADVKVQDSGEYKCQTGLSAPSDPVKLEVHVGWLLLQVAQRVVNV
+GKPIRLKCHSWKKTPVAKVQYFRNGRGKKYSHGNSDFHIPEAKLEHSGSYFCRGIIGSKN
+ESSESVQITVQAPETLQTVSSFFLPWHQITFCLVMGVLFAVDTGLYFSVRRHLQSSEEWR
+DGKVTWSKGP
+>tr|Q0VD13|Q0VD13_BOVIN Hyaluronan and proteoglycan link protein 2 OS=Bos taurus OX=9913 GN=HAPLN2 PE=2 SV=1
+MQGRISLPTLCHFLLPWAFTTFHRALGSQAPHPGPHYLLPPIHEVIHSRRGATTTLPCVL
+GAPPPSYKVRWSKVEPGELRETPILITNGLHARGYGPLGGRARMRRGHRLDASLVIAGVR
+LEDEGRYRCELINGIEDESVAMTLRLEGVVFPYQPSRGRYQFNYYEAKQACEEQDGRLAT
+YAQLYQAWTEGLDWCNAGWLLEGSVRYPVLTARAPCGGRGRPGIRSYGPRDRKRDRYDAF
+CFTSALSGHVFFVPGRLSLSEAHAACRRRGAMVAKVGHLYAAWKFSGLDQCDGGWLADGS
+VRFPITTPRPRCGGLPDPGVRSFGFPRPQQADYGTYCYSE
+>tr|A1L5C6|A1L5C6_BOVIN HPN protein OS=Bos taurus OX=9913 GN=HPN PE=2 SV=1
+MAEKEGGRTVPCCSGPKVAALTVGTVLLLTGIGAASWAIVTVLLRSDQEPLYPVQVGPAD
+ARLTVFDQTEGTWRLLCSSRSNARVAGLSCEEMGFLRALDFSELDVRTAGANGTSGFFCV
+DEGRLPHARRLLEVLSVCDCPRGRFLATSCQDCGHRKLPVDRIVGGQDTSLGRWPWQVSL
+RYDGAHLCGGSVLSRDWVLTAAHCFPERNRVLSRWRVFAGAVAQTSPHGVQLGVQAVIYH
+GGYLPFRDPNSEENSNDIALVHLSGTLPLTEYIQPVCLPAAGQALVDGKICTVTGWGNTQ
+YYGQQAGVLQEARVPIISNDVCNGPDFYGNQIKPKMFCAGYPEGGIDACQGDSGGPFVCE
+DSISRTPRWRLCGIVSWGTGCALAQKPGVYTKVSDFREWIFQAIKTHSEASGMVTQL
+>tr|E1BNN7|E1BNN7_BOVIN Ubiquitin-like modifier-activating enzyme ATG7 OS=Bos taurus OX=9913 GN=ATG7 PE=3 SV=2
+MAAAMGDLGLSRLQFAPFSSALDVGFWHELTQKKLNEYRLDEAPKDIKGYYYNGDSVGLP
+ARLTLEFSAFDMSAPTPARCCPAVGILYNTNTLEAFKAADKKLLLEEAANEIWESIKSGA
+ALDNPVLLNKFLLLTFADLKKYHFYYWFCSPALCLPESIPLIQGPVALDQWFLPKQIQAL
+EHAYDALCQTEGVPALPYFLIKYDETTVLVSSLKHYSDFFQGQRTKITIGVYDPCNLAQY
+PGWPLRNLLVLAAHRWSGSFQSVEVVCFRDRTLQGVRDVTHSIVFEVKLPEMAFSPDCPK
+AVGWEKNQKGGMGPRMVNLSECMDPKRLAESSVDLNLKLMCWRLVPTLDLDKVVSAKCLL
+LGAGTLGCNVARTLMGWGVRHITFVDNAKISYSNPVRQPLYEFEDCLAGGKPKALAAADR
+LQKIFPGVNARGFNMSIPMPGHPVNFSSVTLEQARRDVEQLEQLIDSHDVVFLLMDTRES
+RWLPAVIAASKRKLVINAALGFDTFVVMRHGLKKPRHQGAGDLCPSYPMASADLLSSSLF
+ANIPGYKLGCYFCNDVVAPGDSTRDRTLDQQCTVSRPGLAMIAGALAVELMVSVLQHPEG
+GYAIASSSDDRMNEPPTSLGLVPHQIRGFLSRFDNVLPVSLAFDKCTACSSKVLDQYERE
+GFNFLAKVFNSSHSFLEDLTGLTLLHQETQAAEIWDMSDDETI
+>tr|A6QQX2|A6QQX2_BOVIN SNAPC1 protein OS=Bos taurus OX=9913 GN=SNAPC1 PE=2 SV=1
+MGTPSGLKDDCEALLSRFQEMDSVRFEDFAELWRSMKFGTIFCGRMRNLEKNTFTKEALT
+LAWRYFLPPYTFQIRVGALYLLYGLYNMQLCQPKQKIRVALKDWDEILKFQQDLINAQHF
+DAAYIFRKLRLDRAFHFTAMPKLLSYRMKKKMQRAEITEEFKDPNDRVLKLITSDVLEEM
+MNVHDHYQRMKHVISADKSNPEKSLDLIKDDFFDNIKNIVLEHQQWHKDRKKPPLKLKVK
+GGEEKSERNSQQSERCERAESLAKIKSKAFSVVAQASKSRRHRQVKVDVSDSESGQVQTK
+TTRKRKNKETLKPAGKKVSSRDRDDMQNIHMEDKSLNLSMPVVTEEDEENESFSETEFTA
+PKRRRKQRTKS
+>tr|A0JNA6|A0JNA6_BOVIN C-C chemokine receptor type 7 OS=Bos taurus OX=9913 GN=CCR7 PE=2 SV=1
+MDLGKPMKNVLVVALLVIFQVCLCQDEVTDDYIGDNTTVDYTLYESVCFKKDVRNFKAWF
+LPIMYSIICFVGLLGNGLVMLTYIYFKRLKTMTDTYLLNLALADILFLLTLPFWAYSAAK
+SWVFGVHVCKLIFGIYKISFFSGMLLLLCISIDRYVAIVQAVSAHRHRARVLLISKLSCL
+GIWMLAIVLSTPEVMYSGIQKSSSEQALRCSLVTEHVEALITIQVAQMVVGFLIPLMAMS
+FCYLVIIRTLLQARNFERNKAIKVIIAVVVVFVAFQLPYNGVVLAQTVANFNITSGTSCE
+LSKQLNIAYDVTYSLACVRCCVNPFLYAFIGVKFRSDLFKLFKDLGCLSQEQLRQWSSCR
+HTRRSSMSVEAETTTTFSP
+>tr|B5UBG1|B5UBG1_BOVIN Calcitonin OS=Bos taurus OX=9913 GN=CT PE=2 SV=1
+MGFGKSSPFLAFSILVLCQAGSLQATPLRSALETLPDPGALSEKEGRLLLAALVKAYVQR
+KTNELEQEEEQEETEDSSLDGSRAKRCSNLSTCVLSAYWKDLNNYHRFSGMGFGPETPGK
+KRDVANSLERDHSFHFGVPQDAN
+>tr|A6H705|A6H705_BOVIN RHBDD3 protein OS=Bos taurus OX=9913 GN=RHBDD3 PE=2 SV=1
+MHARGPPGLPTPALPLASSVLMLLMSTLWLLGAGPSLVLAPELLLDPRQAHRLLTHALGH
+TALPGLLLSLLLLPTLGWQQERHLGTLRFLHASSLLALASGLLAVLLAGLGVSGAAGGCG
+YMPVHLAMLAGQGSRPRRPRGALPPWLLPWLLLALTPLLSSEPPFLQLFCGLLAGLAYAA
+GAFRWLELSEQRLQALQEGVLCKALAGCWPLRLLPAPGGPAELPVAHPAGVRPPTPGPPR
+MASPSLWPLSEGSAPILPGLKPVQPLLEGSSEAGLAWSRPGFPPGTPLWAALDQQMLQEG
+IEASLLEGPAQGPESPLWLPKSSVSSLRLQQLERMGFPTEQAVVALAATGRVEGAVALLV
+GGEVGTEALVTQGREGPTHPEGPGPP
+>tr|E1B7M9|E1B7M9_BOVIN HPS1, biogenesis of lysosomal organelles complex 3 subunit 1 OS=Bos taurus OX=9913 GN=HPS1 PE=2 SV=3
+MKCVLVATESAEVLFYWTDGEFEESLRLKFGQTENEGEKPPALEDQLSTLLAPVIISSMT
+MLEKLSDTYTCFSTENGNYLYVLHLFGECLFIAINGDRTEGEGDLRQKLCVLKYLFEVHF
+GLVTVDGQLIRKELRPPDLEQRVEVWERFQSLLWTYSRLRDQEQCFAVEAVERLIHPQLC
+ELCIEALERHVVQAINSSPGRAGEEALHAFLLVHSKLLAFYSSHNASSLRPADLLALILL
+VQDLYPSESTAEDSDPQPSPRRLHRSQSIPVRQAWSSCAADPTRNSAGTETDSFSPTEEY
+FTPAPSPGEQSSGSTIWLDGGTPPTDSPHIQMAEDTLQTLIAHSPGPSGPRRIFLDASVK
+DSYCPLVPHTMYCLPLWPGISMVLLTKCPSTALALALYQLLDGFSQLEKKLKEGQEAGSA
+LRSHPIMADLRQRMDKFVKNRGGQELQKTWLEFKTKAFSKSEPGSSLELLQACRKVKRQL
+CAIYRFSFLTMAPGRGGPHLPQHLQDQVQTLMQEKLADWKDFLLVKSRRNVTMVSFLEDF
+PGLVHFIYVDRTTGQMVAPSLSSSERTSSELGRGPLATFVKTKVWSLIRLARRYLQKGYT
+TLLFREGDFCCSYFLWFENDMGHKLQIMEVPVLSDDSAPVGMLGGDYYRKLLRYYSKGHP
+AEAVRCHELLALHLSVVPTDVLVQQAGELAQRLGEASRVHLL
+>tr|A4IFE6|A4IFE6_BOVIN ABCE1 protein OS=Bos taurus OX=9913 GN=ABCE1 PE=2 SV=1
+MADKLTRIAIVNHDKCKPKKCRQECKKSCPVVRMGKLCIEVTAQSKIAWISETLCIGCGI
+CIKKCPFGALSIVNLPSNLEKETTHRYCANAFKLHRLPIPRPGEVLGLVGTNGIGKSTAL
+KILAGKQKPNLGKYDDPPDWQEILTYFRGSELQNYFTKILEDDLKAIIKPQYVDQIPKAA
+KGTVGSILDRKDETKTQAIVCQQLDLTHLKERNVEDLSGGELQRFACAVVCIQKADIFMF
+DEPSSYLDVKQRLKAAITIRSLINPDRYIIVVEHDLSVLDYLSDFICCLYGVPSAYGVVT
+MPFSVREGINIFLDGYVPTENLRFRDASLVFKVAETANEEEVKKMCMYKYPGMKKKMGEF
+ELAIVAGEFTDSEIMVMLGENGTGKTTFIRMLAGRLKPDEGGEVPVLNVSYKPQKISPKS
+TGSVRQLLHEKIRDAYTHPQFVTDVMKPLQIENIIDQEVQTLSGGELQRVALALCLGKPA
+DVYLIDEPSAYLDSEQRLMAARVVKRFILHAKKTAFVVEHDFIMATYLADRVIVFDGVPS
+KNTVANSPQTLLAGMNKFLSQLEITFRRDPNNYRPRINKLNSIKDVEQKKSGNYFFLDD
+>tr|Q0VCT5|Q0VCT5_BOVIN Osteoclastogenesis associated transmembrane protein 1 OS=Bos taurus OX=9913 GN=OSTM1 PE=2 SV=1
+MDPNRTIERRRSSLLLLRLLLVVLLWSGLALGTFSVGSSPHRVLHDLLSEEQLLEVEDLS
+LALLQGGRMGPLSLPPDLPDLDPECRELLLDFANSSAELTGCLVRGARPVRLCQTCYPLF
+QQVANKMDNISRAVGNSSESHSCARSLLMADRMQIVVILSEFFNSTWQKANCANCLTNKS
+EELSNSTVYFLNQFNHTLTCFENNLQGSTHSLQLRNYSEVCKNCREAYTTLSSLYGEMQK
+INERESKAEFGTHLCIDVEDAMNITRKLWSRTFNCSVLCRDTVPVIAVSVFILFLPVVFY
+LSSFLHSEQKKRKLILPKRLKSSTSFANIQENSN
+>tr|A7E349|A7E349_BOVIN RIMS2 protein OS=Bos taurus OX=9913 GN=RIMS2 PE=2 SV=1
+MGRQGLGGAGAAGRSMQRSQSRSSLSASFEALAGYFPCMNSLEEEETGGKKLRSTVQRST
+ETGLAVEMRNWMTRQASRESTDGSMNSYSSEGNLIFPGVRLASDSQFSDFLDGLGPAQLV
+GRQTLATPAMGDIQVGMMDKKGQLEVEIIRARGLVVKPGSKTLPAPYVKVYLLDNGVCIA
+KKKTKVARKTLEPLYQQLLSFEESPQGKVLQIIVWGDYGRMDHKSFMGVAQILLDELELS
+NMVIGWFKLFPPSSLVDPTLAPLTRRASQSSLESSTGPSYSRS
+>tr|A2VE28|A2VE28_BOVIN Butyrophilin family member OS=Bos taurus OX=9913 GN=LOC525599 PE=1 SV=1
+MVCSPVFSLLRDLFLILLFQMSMRGSDSFSVTGPSEPIVAMLGADTVLPCRVYPAMNVEN
+MEIRWFRNQFSEAVFVYQNGMEQVGEQLVDFKGRAELVKDYITEGRVAVRIHSLRVSDNG
+MYKCFFKKGTDFEEAVLELKVIGIGSGPRVFLVGPEDGGIRLKCTGKGWFPQPEVQWEDV
+KGKKIPSVSEDETQDDDGLFQIEASLIVRDSSTTQMSCSMKNPFFGQEQAETIFIPESFF
+PRPSPWKAAFAVTLVILGVSAGAIVYLAWKERQGKKKLSKVEEEKKKESTSKESFKRELA
+RRKELYQQDWRKAELYADWRKEQFKAAAFTLDPKTAHPNLVLSENKQHISLKNNVSQNGD
+ASTHEDQAVSEAIFSVLGDKSFTQGDTERQYWEVEVNTRTEAGSTTRCALGICSETVKRE
+GWFVESPDKNFWVLVHEEGKVVFPNSQKNSPSLRQQPRRIGVFLDWEVGNLSFYNMADGS
+HIYSFTGVTFCGTLFPYFSLRGTGASLTICSTSDHPEHCPDSSPKTSLTHLSSSVPQEAN
+SLL
+>tr|B2CML0|B2CML0_BOVIN Brother of regulator of imprinted sites OS=Bos taurus OX=9913 GN=BORIS PE=2 SV=1
+MAGAELSVPPEQFTQIKEPELIPDRALEEEKEEENDNDGVRRVKEQSGSAGLEVESAQGP
+FQTTILEEVPELVLAAPEEIERHLLTLQTVHFPSEEAELQDLSWLDPQQEDEMQEEVYSL
+QQMEVMQFQLLEENVTGAVEDCKSAVSLEESTGSIKLQKGQEEEQLLTEGGFSEKAEEQF
+FLVEARPGDEGRDEIVLTFSNLNMEEQKEKPELSQAHLEKPSTTKNRNKAKEVKQTFHCN
+FCKFTSSRISSVNRHMKIHSTEKPHVCHLCLKAFRTVTLLRNHVNTHTGTRPHKCGDCDM
+AFVTSGELVRHRRYKHTHEKPFKCSMCKYASVEASKLKRHIRSHTGERPFQCSLCSYASK
+DTYKLKRHMRTHSGEKPYECHICRARFTQSGTMKMHILQKHSENVPKYQCPHCATVIARK
+SDLRVHLRNLHTYKAAEMKCRYCPAVFHDRYTLIQHQKTHKNEKKFKCGYCSYVCKQERH
+MTVHIRTHTGEKPFICLACTKCFRQQQLLNVHSKKQHNINFVPTVYECPKCGKGFSRWGN
+MHRHSKKCNSGQETPTPVEKERATKRKPASPREPAKEDEAAAKEVSLVSGELYPGEMVPI
+DFLEAKTGGEDLEQDLEQDLTCDMILALMNK
+>tr|Q08DN1|Q08DN1_BOVIN TNF receptor superfamily member 21 OS=Bos taurus OX=9913 GN=TNFRSF21 PE=2 SV=1
+MGTFTSSSTALASCSRMAGATMIAGSFLLLGFLSTTRAQPEQKAPSLIGKYHHVDRITGQ
+VLTCDKCPAGTYVSEHCTNISLRVCSSCPTGTFTRHENGIEKCHECSQRCPWPMIEKLPC
+TALTDRECTCPPGMFQSNGTCAPHTVCPVGWGVRKKGTEMEDVRCKQCARGTFSDVPSSV
+MRCRAYTDCLSQNMVVIKLGTKEADNVCGPLPSSSSTAPPSPGTTSLSRPEHTEPHDVPS
+STLIPKGINSTESNSSASVRPKVPSGTQEGTAPDNTSSASGEEGVNKTFPKLQVANHQQG
+PHHRHILKLLPSMEATGGEKSSTPIKGLKRGHPRQNPHKHFDINEHLPWMIVLFLLLVLV
+VIVVCSIRKSSRTLKKGPRQDPSAIVEKAGLKKSMAPTQNREKWIYYCNGHGIDILKLVA
+AQVGSQWKDIYQFLCNASEREVAAFSNGYTADHERAYAALQHWTIRGPEASLAQLISALR
+QHRRNDVVEKIRGLMEDTAQLDTDKLALPMSPSPLGPSPIPSPNTKLENSTLLTVEPSPL
+DKNKGFFVDESEPLLRCDSTSSGSSALSRNGSFITKEKKDTVLRQVRLDPCDLQPIFDDM
+LHILNPEELRVIEETPQAEDKLDRLFEIIGVKSQEASQTLLDSVYSHLPDLL
+>tr|E1BHJ1|E1BHJ1_BOVIN TPT domain-containing protein OS=Bos taurus OX=9913 GN=SLC35E2 PE=4 SV=1
+MSASAKPPALGELDPGPGEKPGGRPLLAWAPVFSHRSEKIALGQSDGSPEEQVLTVTVTE
+TTVIEADLGVWGARALTYLTLWFFFSFCTLFLNKYILSLLEGEPSMLGAVQMLSTTLIGC
+VKIFVPCCLYQHKTRLSYPPNFIMTMLFVGLMRFATVVLGLVSLKNVAVSFAETVKSSAP
+IFTVILSRTVLGEHTGLLVNLSLIPVMGGLALCTATEMSFNFLGFSAALSTNIMDCLQNV
+FSKKLLSGDKYRFSAAELQFYTSTAAVAMLVPAWIFFMDLPVIGRSGRSFRYSQDVVLLL
+LADGVLFHLQSVTAYALMGRISPVTFSVASTVKHALSIWLSVIVFGNKVTSLSAVGTVLV
+TAGVLLYNKAKQQQREAMQSLASATTQPPDGSSELLLPQDPRPHH
+>tr|A1L573|A1L573_BOVIN ABI family, member 3 (NESH) binding protein OS=Bos taurus OX=9913 GN=ABI3BP PE=2 SV=1
+MLSSLGCLLLCGSIALALGNAQKLPKGKRPNLKVHINTTSDSIFLKFLRPSPNVKLEGFL
+LGYGSNLSPNQYFPLPAEGKYTEAIVDAEPKYLIVVRPAPPPSQKKSCSGKKRARKPLQL
+VVGTLSPSSVFLSWGFLINPHHDWTLPSQCPNDRYYTIRYREKDKEKKWIFQLCPATETI
+VENLKPDTVYEFGVKDNVEGGIWSKIFNHKTIVGSKSKVNGKIQSTYDQVHSVPAYVPRK
+LIPVTIIKQVIQNVTHRASTKSPDKTPYGGTILVHLVIPGLNETTVKLPTSIMFEISEAI
+KTQLAKNETLALPAESKTPEVEKIPALPITGNEIPMFINFEKIHNIFFQILSD
+>tr|Q58CR8|Q58CR8_BOVIN Serine incorporator 2 OS=Bos taurus OX=9913 GN=TDE2L PE=2 SV=1
+MLSPGVESQLYKLPWVCNEGTGSHVVLQGHIDCGSLLGHRAVYRMCFAMAAFFFLFSLLM
+VCVRSSRDPRAAIQNGFWFFKFLIFVGITVGAFYIPDGSFSNIWFYFGVVGSFIFLLIQL
+LLLIDFAHSWNQRWLCKAEECDSRAWYAGLFFFTLLFYALSITAVALLFVYYTQPGACYE
+GKVFIGLNLTLCVCVSIVAILPKIQDAQPNSGLLQASVITLYTMFVTWLALSNVPDQKCN
+PHLLTHFGNGTVLAGPEGYETHWWDAPSIVGLVVFILCTVFISLRSSDHRQVNSLMQTEE
+CPPVLDATQQQVVSEGRAFDNEQDGVTYSYSFFHLCLVLASVHIMMTLTNWYRPGETRKM
+ISTWTAVWVKICASWTGLLLYLWTLVAPLLLPNRDFS
+>tr|A4IFA9|A4IFA9_BOVIN GDNF family receptor alpha 3 OS=Bos taurus OX=9913 GN=GFRA3 PE=2 SV=1
+MARPQNPRPLPPALLLLLPLLLRAGDHLPTEGRFTNSCIQARRKCQADPTCNATYHYLNS
+CASSISTSSPAEEPLVPEDCMEAAQQLRNSSLMSCTCHRRMKNQATCLDIYWTIHPARSL
+GDYELDVSPYEDTVTRKPWKMNLSKLNMLIPDSDLCLKFAMLCTLNDKCDRLRKAYGEAC
+SGSRCQRHTCQRQLRAFFEKASEPHSQGLLLCPCAPTDQGCGQRRRNTIAPSCALPSEAP
+NCLELRHVCVSDPLCRSRLADFQTHCHPMDILGTCATEQSRCLRAYMGLIGTALTPNFVS
+NINASVALSCTCRGSGNLQEECERLEESFSHNPCLMEAISAKMRFHSQLFSQDWAASTFS
+VMERQNKNPALRPQPWVPTLFSCTLTLILLSSLW
+>tr|Q2KJ99|Q2KJ99_BOVIN Chromosome 16 open reading frame 62 ortholog OS=Bos taurus OX=9913 GN=VPS35L PE=2 SV=1
+MFAASADPATLAAAMDSSRKKRDRDDNSIVGSDFEPWASKRGEILARYTTTEKLSINLFM
+GSEKGKAGTATSAMSEKVRTRLEELDDFEEGSQKELLNLTQQDYVNRIEELNQSLKDAWA
+SDQKVKALKIVIQCSKLLSDTSVIQFYPSKFVLITDILDTFGKLVYERIFSMCVDNRSVL
+PDHFSPENVNDTAKETCLNWFFKIASIRELIPRFYVEASILKCNKFLSKTGISECLPRLT
+CMVRGIGDPLVSVYARAYLCRVRPPHPASSSVCASPSPPPHESLDEGLH
+>tr|Q2NL04|Q2NL04_BOVIN TAP binding protein OS=Bos taurus OX=9913 GN=TAPBP PE=1 SV=1
+MKRLSLLLAVALGLATAVSARPTVIECWFVEDAGGGKLAKKPAALLLRQGAESPPLRPDL
+APERYLKIHDPAGALQAAFRQYPRDAPAPRCEMSLYVPLPASAKWLSGLTPEQSCPRALD
+GTWLMVSMSSPFLSLSSLLRPQSEPQPEPALITMATAVLTVFTHTPTPRIPMGQDALLDL
+SFAYVPLTPKAPSLAPGPPPFGLEWRRQHLGKGHLLLAVTPGLNGQVPAAREGAVAFAAW
+DDDEPWGPWTGNGTFWLPAVRPSQEGTYLATVHLPYLQGQITLELAVLKPPKVALTPAPL
+VWAAPGEAPPELLCLVSHFYPSHGLEVEWELRGGPEGRLQKAEGQRWLSALRHHSDGSLS
+LSAHLQLPPVTSEQHGARYACRVHHPSLSALGRSAEVTLQVAGLSGPSLEDGIGLFLSAF
+LLLGLLKALGWAAAYLTTQNSMEKKTE
+>tr|Q2NKT5|Q2NKT5_BOVIN Protein transport protein Sec61 subunit beta OS=Bos taurus OX=9913 GN=SEC61B PE=1 SV=1
+MPGPAPSGTNVGSSGRSPSKAVAARAAGSTVRQRKNASCGTRSAGRTTSAGTGGMWRFYT
+EDSPGLKVGPVPVLVMSLLFIASVFMLHIWGKYTRS
+>tr|Q1JPB1|Q1JPB1_BOVIN Zinc finger protein 3 OS=Bos taurus OX=9913 GN=ZNF3 PE=2 SV=1
+METQADRVSQEPQALLESALPSSKGPTFSDKGGLGDEMLAAALLKAKAQELVTFEDVAVY
+FIRKEWKRLEPAQRDLYRDVMLENYGNVFSLGFPFLNFDVISGLD
+>tr|Q0V7L4|Q0V7L4_BOVIN G protein-coupled receptor 161 OS=Bos taurus OX=9913 GN=GPR161 PE=2 SV=1
+MLTLGIIAVDRYYAVLYPMAYPMKITGNRAVMVLAYIWLHSLIGCLPPLFGWSSVEFDEF
+KWMCVAAWHREPGYTAFWQIWCALLPFLVMLVCYGFIFRVARVKARKVHCGAVVTVEVGV
+QRTGRKNSSTSTSSSGSRKSAFQGVVYSANQCKALVTILVVIGAFMVTWGPYMVVITSEA
+LWGKNCVSPTLETWATWLSFTSAICHPLIYGLWNKTVRKELLGMCFGDRYYREPFVQRQR
+TSRLFSISNRITDLGLSPHLTALMAGEQPLGNSSSTGDTGFSCSQDSGTDVMLLEDYTSD
+DNPLHGTCPPKRRSSVTFEDEVEQIKEAAKNPILHVKADVHKSLDSYATSLAKAIEAEAK
+INLFGEEALPGVLLTARTVPGIGFGSRRGSRTLAGQRLQLQSIEEGDVLATEQR
+>tr|B2XBK5|B2XBK5_BOVIN Chromodomain Y like OS=Bos taurus OX=9913 GN=CDYL PE=2 SV=1
+MGLSSSLAHYSPPEPDQPGKEERLPPREACKVERIVDKRKNKKGKTEYLVRWKGYDSEDD
+TWEPEQHLVNCEEYIHDFNRRHTEKQKEGTFTRTNRTSPNNARKQISRSTNSSFSKAAPK
+ALVVGKEHEAKSSPLFAASQKFRKSPAPGLAARKNMDLARSGIKILVPKSPIKSRTAVDG
+FQDESPEKPDPVEQGPEDTAAPEAAAEKPVGALLGPGAERARMGSRPRIHPLVPQASGPV
+TAAMATGLAVNGKGTSPFMDALTANGTTTLQTSVTGVTAGKRKFIDDRRDQPFDKRLRFS
+VRQTESAYRYRDIVVRKQDGFTHILLSTKSSENNSLNPEVMKELQSALSTAAADDSKLVL
+LSAVGSVFCCGLDFIYFIRRLTDDRKRESARMAEAIRNFVNTFIQFKKPIIVAVNGPAIG
+LGASILPLCDVVWANEKAWFQTPYTTFGQSPDGCSTVMFPKIMGGASANEMLLSGRKLTA
+QEACGKGLVSQVFWPGTFTQEVMVRIKELASCNPVVLEESKALVRCNMRLELEQANEREC
+EVLKKIWGSAQGMDSMLKYLQRKIDEF
+>tr|Q1JQD3|Q1JQD3_BOVIN Paraoxonase 3 OS=Bos taurus OX=9913 GN=PON3 PE=1 SV=1
+MGKLMALILLGAGLALVGERFLTLRERMNAYREVESVESQNCHLIEGIENGSEDIDILPS
+GLAFISNGLKYPGMPDLAPDEPGRIFLMDLNEQNPRVQELNISDGFDKASFNPHGMSTFI
+DKDQTVYLYVVNHPHMDSTVEIFKFEEQQRSLVYLKTIKHELLKSVNDIVVLGPEQFYAT
+RDHYFTNFFLVLLELFMDLRWTQVLFYSPKEVKVVARGFSSANGITISLDKKYIYVADVS
+DKNIHVMKIHDNWDLTQLKVIQLDTLVDNLTVDPDTGDILAGCHPNAIKLLMYNTEDPPG
+SEALRIRNALSEKPMISTMYANNGSVLQGSSVASVYRGKLLIGTVFHKALYCEL
+>tr|Q08DH2|Q08DH2_BOVIN Protein-tyrosine sulfotransferase OS=Bos taurus OX=9913 GN=TPST1 PE=2 SV=1
+MVGKLKQNLLLACLVISSVTVFYLGQHAMECHHRIEERSQPLKLENIKTTVRTALDIKAN
+KTFAYHKDMPLIFIGGVPRSGTTLMRAMLDAHPDIRCGEETRVIPRILALKQIWSRSSKE
+KIRLDEAGVTDEVLDSAMQAFLLEIIVKHGEPAPYLCNKDPFALKSLTYLARLFPNAKFL
+LMVRDGRASVHSMISRKVTIAGFDLNSYRDCLTKWNRAIETMYNQCMEVGYKKCMLVHYE
+QLVLHPERWMRTVLKFLQIPWNHSVLHHEEMIGKAGGVSLSKVERSTDQVIKPVNVGALS
+KWVGKIPPDVLQDMAVIAPMLAKLGYDPYANPPNYGKPDPKILENTRRVYKGEFQLPEFL
+KEKPQSEQVE
+>tr|A7E307|A7E307_BOVIN DDX17 protein OS=Bos taurus OX=9913 GN=DDX17 PE=1 SV=1
+MRGGGFGDRDRDRDRGGFGARGGGGLPPKKFGNPGERLRKKKWDLSELPKFEKNFYVEHP
+EVARLTPYEVDELRRKKEITVRGGDVCPKPVFAFHHANFPQYVMDVLMDQHFTEPTPIQC
+QGFPLALSGRDMVGIAQTGSGKTLAYLLPAIVHINHQPYLERGDGPICLVLAPTRELAQQ
+VQQVADDYGKCSRLKSTCIYGGAPKGPQIRDLERGVEICIATPGRLIDFLESGKTNLRRC
+TYLVLDEADRMLDMGFEPQIRKIVDQIRPDRQTLMWSATWPKEVRQLAEDFLRDYTQINV
+GNLELSANHNILQIVDVCMESEKDHKLIQLMEEIMAEKENKTIIFVETKRRCDDLTRRMR
+RDGWPAMCIHGDKSQPERDWVLNEFRSGKAPILIATDVASRGLDVEDVKFVINYDYPNSS
+EDYVHRIGRTARSTNKGTAYTFFTPGNLKQARELIKVLEEANQAINPKLMQLVDHRGGGG
+GGGGRSRYRTTSSANNPNLMYQDECDRRLRGVKDGGRRDSASYRDRGETDRAGYANGSGY
+GSPNSAFGAQAGQYTYGQGTYGAAAYGTSGYTAQEYGAGTYGASSTTSTGRSSQSSSQQF
+SGIGRSGQQPQPLMSQQFAQPPGATNMIGYMGQTAYQYPPPPPPPPPSRK
+>tr|A0A3Q1LMD8|A0A3Q1LMD8_BOVIN Annexin OS=Bos taurus OX=9913 GN=ANXA9 PE=3 SV=1
+MSVTHGKMGLSLTQEILSHLGLANKTAAWGTLGTLRTFLSFSADKDVQRLLKAIAGQGVD
+RIAILDVLTNRSREQRQLISRAFHERTQQDLLKSLQAALSGNLERIVVALLQPAAHLDAR
+ELRTALKGSGSAEDVALEILATRTPPQLQECLAVYKHNFQVDAAEDIKSETRGILRDLLL
+ALAKGGREAYTGIIDYNLAAQDIQALKQAEGPSTERTWVLVFTQRNPEHLVRVLNQYQWY
+TGHELEKTVRARFHGAACVALLNLASVIRNTPLYFADKLHQALQVRLTLLSPCGGTKTGG
+RHLLGKREEVSRCC
+>tr|A5PJH6|A5PJH6_BOVIN TNF receptor superfamily member 4 OS=Bos taurus OX=9913 GN=TNFRSF4 PE=2 SV=1
+MCVGTQPPRAPGSALLLLGLVLGAAAQPHCTGDTYPSGNRCCKECPPGYGMESRCNHNQD
+TVCSPCKPGYYNEAVNYEPCKPCTQCSQRSGSEPKQRCTPTRDTVCGCRPGSQPQDSYGY
+KRGVDCAPCPPGHFSPGNDQACQPWTNCTLLGKRTLRAANSSSDAICEDRSPPATPPWET
+QGPPVQSTTAKPTTSWSKASQGSSMPHTEPPKAPELSAVLGLGLGLGLLAPVAAMLALLL
+HHRAWRLLTNTPKPPGGNSFRTPIQEEHTDANSSLAKI
+>tr|E1BBC1|E1BBC1_BOVIN Family with sequence similarity 160 member A1 OS=Bos taurus OX=9913 GN=FAM160A1 PE=4 SV=3
+MMSSVATESKIQRAVSLQGVDPETCMIVFKNHWAQVVKILEKHDPLKNTQAKYGSIPPDE
+ASAVQNYVEHMLFLLIEEQARDAAMGPILEFVVSENIMEKLFLWSLRREFTDETKMEQLK
+MYEMLITQSHQPLLHHKPILKPLMMLLSSCSGTTTPTVEGKLVVLLNQLCSILAKDPSIL
+ELFFHTSEDQGAANFLIFSLLIPFIHREGTVGQQARDALLFIMSLSAENSVVAHHIVENT
+YFCPVLATGLSGLYSSLPTKLEEKGEGWHCLLRDDWLLLPPLVQFMNSLEFCNAVIQVAH
+PLIRNQLVNYIYNGFLVPVLAPALHKVTVEEVMTTTAYLDLFLRSISEPALLETFLRFIL
+LHQHENVHILDTLTSRINTPFRLCVVSLALFRTLIGLHCEDVMLQLILRYLIPCNHMMLS
+QRWAVKERDCYSVSAAKLLALTPVCCSSGITLTLGNQERDYILWSQCRRDSPGPAEQLLP
+EASPPSACIVEYGKALDISYLQYLWEAHSNILRCMRDCRVWSALYDGDSPNPEACCLGPP
+EERAPGATSPVLRLPQQVPGTPMPPLAPGKEKSHTELEWDDSYDTGISSGTGGGSPGPYE
+DAENASPPVPVDPPKHIQEMKKNAILLFKGAYIEESDFQDDVMVYRLCAEKDAEDVHGSQ
+EHSARPPAQTQVQGAPLNNGPLPGPEPETESEEENGRDDSAVLHGVSRDPARANEPEVTP
+EATPESAAPSPKAEPSAQPTAAVPEGEDFMAQYDQIIKELGSSTEGLMEQDLALPDALLV
+TKEPEGEKDESQGGEEEEGKKDPEEEDDDDFDSFIAEAPPAETPPSPFGARDDAAFASHR
+PARTQSTPFTGPFISVVLSKLENMLENSLHVNLLLIGIITQLASYPQPLLRSFLLNTNMV
+FQPSVRSLYQVLASVKNKIEQFASVERDFPGLLIQAQQYLLFRVDLSDPSTETPTKDPVQ
+DTSRTGSGKNFLDGPPKVLQPFLTNRAKVVGAPPSLPPPVRDTMLAAALFPEFLKELAAL
+AQEHSILCCRILGDPDDACW
+>tr|A0A3Q1MBQ6|A0A3Q1MBQ6_BOVIN Beta-1,4-galactosyltransferase 3 OS=Bos taurus OX=9913 GN=B4GALT3 PE=4 SV=1
+MLRRLLERPCTLALLVGSQLAVMMYLSLGGFRSLSALFGREQEPAFDYSHPHDVYSNLSH
+MPGAPVAPGGLPAPQGLPYCPERSPLLVGPISVSFSPVPSLAEIVERNPRVEPGGRYRPA
+RCEPRSRTAIIVPHRAREHHLRLLLYHLHPFLQRQQLAYGIYVIHQAGNGTFNRAKLLNV
+GVREALRDEEWDCLFLHDVDLLPENDHNLYVCDPRGPRHVAVAMNKFGYSLPYPQYFGGV
+SALTPDQYLKMNGFPNEYWGWGGEDDDIATRVRLAGMKISRPPTSVGHYKMVKHRGDKGN
+EENPHRFDLLVRTQNSWTQDGMNSLTYQLLSRELGPLYTNITADIGTDPRGPRTSSGPHY
+PPGSSQAFRQEMLQRRPPARPGPLPTANHTAPHGSH
+>tr|A8KC64|A8KC64_BOVIN Zinc fingers and homeoboxes 1 OS=Bos taurus OX=9913 GN=ZHX1 PE=2 SV=1
+MASRRKSTTPCMVLASEQDPDLELVSDLDEGPPVLTPIENTRTESVSSDEEVHESVDSDN
+QQNKKVEGGYECKYCTFQTPDLNMFTFHVDSEHPNVVLNSSYVCVECNFLTKRYDALSEH
+NLKYHPGEENFKLTMVKRNNQTIFEQTINDLTFDGSFVKEENSEQAESTEVSSSGISISK
+TPIMKMMKNKVENKRITVHRNSAEDIPEEKENEIKPDREETVENPSSSASESNASTSVVN
+RIHPHAASTVVAPAAVLPGLAQVITAVSAQQNSSLIPKVLIPVNSIPTYNAALDNNPLLL
+NTYNKFPYPTMSEITVLSAQAKYTEEQIKIWFSAQRLKHGVSWTPEEVEEARRKQFNGTV
+HTVPQTITVIPTHISAGSNGLPSILQTCQIVGQPGLVLTQVGGTNTLPVTAPIALTVAGV
+PNQTNVQKSQVPTTQPTTETKPAIAAVPSAQLVKHEATLANPDSFGIRAKKTKEQLAELK
+VSYLKNQFPHDAEIIRLMKITGLTKGEIKKWFSDTRYNQRNSKSNQCLHLNNDSSATIII
+DSSDETTESPAVVTSQQKQSWNPFPDFTPQKFKEKTAEQLRALQASFLNSSVLTEEELNR
+LRAQTKLTRREIDAWFTEKKKSKALKEEKVEVEESNAGSSKEEAGETSPGDETSAPKSGS
+TGKICKKTPEQLHMLKSAFVRTQWPSPEEYDKLAEESGLARTDIVSWFGDTRYAWKNGNL
+KWYYYYQSANSSSMNGLSSLRKRGRGRPKGRGRGRPRGRPRGGKRMNNWDRGPSLIKFKT
+GTAILKDYYLKHKFLNEQDLDELVNRSHMGYEQVREWFAERQRRSELGIELFEENEEEDE
+VIDDQEEEEEETDDSDTWEPPRHVKRKLSKSDD
+>tr|Q32L32|Q32L32_BOVIN Chromosome 1 C21orf91 homolog OS=Bos taurus OX=9913 GN=C1H21orf91 PE=2 SV=1
+MNEEEQFVSIDLNDDNICSVCKLGTDKETLSFCHVCFELNIDGIPKSDLLHTKSLRGHKD
+CFEKYHLIANQDCPRSKLSKSTYEEVKTILSKKINWIVQYAQNKDLDSDSECSKNPQHHL
+FNFRHKPDKKLLPQFDSQVPKYSAKWIEGNAGGLSNCTQRMLEQKENTNFGLAVLQDSGT
+TLCHNSVLWPHSHNREQKKEKTISGPEAHVQTQHPHYSREELNSMTLGEVKQLNAELQQQ
+IQEVFEELAHQVQEKDSLASELHVRHVAIEQLLKNYSKLPCLQMGRTGLKSHLPISN
+>tr|A0JNE4|A0JNE4_BOVIN Similar to glycoprotein galactosyltransferase alpha 1, 3 OS=Bos taurus OX=9913 GN=MGC151949 PE=2 SV=1
+MRYQRKTIQGLLFLLFLWTFQRMYHFSTKKLQRMYQCWSENTQTEELKLSDWFFPNAINH
+SNITTTSWAAPVVWHGTYDEVVLENYYANHKITVGLTVFAVGRYIDHYLHTFIASADKYF
+MVDQKVIIYILTDNFSKVPWVQLSPLRTLKVFEIKQEKRWQDISMMRMKTISEHVVDHIQ
+YEVDFLFCMDVDQIFVKKYGLETLGESVGQLHAHWYKASLTELPYERSQLSEAYIPIGKG
+DFYYHAAVFGGTPIQVLNIAKECFRGIMNDKKNNIEAVWHDESHLNKYFFLHKPTKILSP
+EYCWDHLGPNRIEHTTFKIFWAIKNYDFLRQSV
+>tr|B7T155|B7T155_BOVIN APOBEC3Z3 OS=Bos taurus OX=9913 GN=APOBEC3Z3 PE=2 SV=1
+MTEGWAGSGHPGQGACVWTPGTRNTMNLLREVLFKQQFGNQPRVPAPYYRRKTYLCYQLK
+QRNDLTLDRGCFRNKKQRHAEIRFIDKINSLDLNPSQSYKIICYITWSPCPNCANELVNF
+ITRNNHLKLEIFASRLYFHWIKSFKMGLQDLQNAGISVAVMTHTEFEDCWEQFVDNQSRP
+FQPWDKLEQYSASIRRRLQRILTAPI
+>tr|A6QQM7|A6QQM7_BOVIN CAP-Gly domain containing linker protein family member 4 OS=Bos taurus OX=9913 GN=CLIP4 PE=2 SV=1
+MTIEDLPDFPLEGSSLIGKYPFLFQGSDTPVIFSISAAPMPSDCEFSFFDPNDTSCQEIL
+FDPKTSVSELFAILRQWVPQVQQNIDVIGNEILKRGCNVNDRDGLTDMTLLHYTCKSGAH
+GIGDVDTAVKFATQLIDLGADVSLRSRWTNMNALHYAAYFDVPELIRVILKTSKPKDVDA
+TCSDFNFGTALHIAAYNLCAGTVKCLLEHGANPAFRNDKGQIPADVVPDPVEMPLEMADA
+AATAKEIKQMLLDAAPLSYDLSKPLLPNYDRVTSKAMLTSLGLKLGDRVVIAGQKVGTLR
+FCGTTEFASGQWAGIELDEPEGKNNGSVGKVQYFKCAPKYGIFAPLSKISKAKDRRKNIA
+HTPSTKAGPLIRSQKIDVAHVTSKVNTGLMTSKKDSASESTLSLPPGEELKTGAEKDVPL
+IGSISSSSSISSLEHKQSLSKKLNARGNNKKTMSKSPSLSSRASAGIYGFFNQAFLVFFI
+LVCLFEFLSSICSK
+>tr|A7YWP6|A7YWP6_BOVIN COMM domain containing 2 OS=Bos taurus OX=9913 GN=COMMD2 PE=2 SV=1
+MLLDLSEEHKEHLAFLPKVDSAVVAEFGRIAVEFLRRGSNPKIYEGAARKLSVSSDTVQH
+GVEGLIYLLTESSKLMISELDFQDSVFILGFPEELNKLLLQLYLDNRKEIRTILSELAPD
+LPSYHSLEWRLDVQLASRSLRQQIKPSVTIKLHLNQNGDHNTQVLQTDPATLLHLVQQLE
+QALEEMKTNHCRRVVRNIK
+>tr|E1BMZ0|E1BMZ0_BOVIN EF-hand calcium binding domain 7 OS=Bos taurus OX=9913 GN=EFCAB7 PE=4 SV=2
+MAISPGSDAAFSGQKPTHSESPRSKKFLLTEEEIFYMNCRAAYLTVFKSSLDNIISKDQL
+YLALQHAGRNPSQKTINKYWTSQTAKLNFDDFCIILRKEKPTSKAELLKSFKQLDVNDNG
+SILHTDLYKLLTKKGEKMTREEVNAIINLADVNADGKFDYIKFCKLYMATNEQCLKTTLE
+KLEVDSKLRRQQFGSHVEGSPERAPSPVAKASPRIIRKADQESFSNKGDTRNSSLATARQ
+FKTSVSFTVTMGADSNQNSKLIEPHSIKEWQCVQSKGCFFLEEDGEIISHQYKMQIAQRS
+LVYITIKPLSLSQAEGKPSPWLSVDTALYILKENESQANLQLLYFTELRNRETFGWTGEL
+GPGIYWLVPSTTGCRLRKEVKPVTEEAQLVYRDETGELFLTKEFRSTLSDIFEVIDLDGN
+GLLSLEEYNFFELRTSGEKCDEDAWAVCRENFDTKKNELTRQGFMDLNLMEANDREGDPR
+DLWVTLHSMGYNKALELTEACPFVIDIYADKCKPRIKAVHMEACSGQLEKAICKSVLNKG
+NAKVMDGYENIIIYTYKRDTWITAVIENKSDDKVIIHVNNELSENCVNNRGLGIFAVEVA
+PNSTMVCQHVMPLNERQEWIYYCVYSLIS
+>tr|E1BFT9|E1BFT9_BOVIN Ankyrin repeat and sterile alpha motif domain containing 3 OS=Bos taurus OX=9913 GN=ANKS3 PE=4 SV=1
+MSELSDEASEPELLNRSLSMWHGLGTQVGREELAVPLDLHTAASIGQYEVVKECVQRREL
+DLNKKNGGGWTPLMYASYIGHDTIVHLLLEAGVSVNVPTPEGQTPLMLASSCGNESIAYF
+LLQQGAELEMKDIQGWTALFHCTSAGHQQMVKFLLDSGANANVREPVYGFTPLMEAAAAG
+HEIIVQYFLNHGVKVDTRDHSGATARMLAKQYGHMKIVGLIDAHSPSLPKSLYRSPEKYE
+DLSSSDECGPVPQRQRPCRKKGLSIHEGPRALARITAIGLGGRVQQPCYEQVPPRGYVSF
+NSSDEHPLEGGGLCYRDVTSPINERDVESSSSSSREEQAFSANPVARSSSSEGLARAPGL
+SSEASLESNEDSDHVRRSSVRKQTKSYVKTKNRYSSNDSQWAPSAVTSCALGASPQTDRP
+PYSGPQDLATLLEQIGCLKYLQVFEEQDVDLRIFLTLTESDLKEIGITLFGPKRKMTSAI
+ARWHSSARPPSDALELAYADRLEAEMQELAIQLHKRCEEVEAMRGLVSQEQELRAVVESC
+LLEQDSARKDVRAQLQEARVLAQDAALVLDQLRACQAELSARVRRDEFLREAPLCPGLPA
+ADPKGWQASLQALSLPELSGALEERVQEMGRVLCSVTQSLEKLQALSGKESWREP
+>tr|Q08DZ1|Q08DZ1_BOVIN Neuron specific gene family member 1 OS=Bos taurus OX=9913 GN=NSG1 PE=2 SV=1
+MVKLGNNFAEKGTKQPLLEDGFDTIPLMTPLDVNQLQFPPPDKVVVKTKTEYEPDRKKGK
+VRPPKIAEFTVSITEGVTERFKVSVLVLFALAFLTCVVFLVVYKVYKYDRACPDGFVLKN
+TQCIPEGLESYYAEQDSNAREKFYTVINHYNLAKQSITRSVSPWMSVLSEEKLSEQETEA
+AEKSA
+>tr|D0VZF7|D0VZF7_BOVIN Kruppel like factor 10 OS=Bos taurus OX=9913 GN=KLF10 PE=2 SV=1
+MLNFGGASLQQATEERMEMICERSKENVYSWNKTAEKSDFEAVEALMSMSCSWKSDFKKY
+IENRPVTPVSDTSEEENLLPGTPDFHTTIPAFCLTPPYSPSDFEPSQVSNLMAPAPPTGH
+FKSLSDTAKPHIAAVPFKEEKNPVPAPKLPKAQATSVIRHTADAQLCNHRSCPVKAASIL
+NYQDNSFRKRTHLNPEAARKNIPCAAVSPNRSKRERDTEADVEEKPSPAALYDFSVPSSE
+TVICRPQPAPASPQQKSVLVSPPAVSTAGVPPMPVICQMVPLPAHNPVVTTVVPSTPPSQ
+PPAVCPPVVFMGTQVPKGALMFVVPQPVVQNPKPPVVSPNGTRLSPIAPAPGFSPSSAKV
+TPQIDSSRIRSHICSHPGCGKTYFKSSHLKAHMRTHTGEKPFSCSWKGCERRFARSDELS
+RHRRTHTGEKKFACPMCDRRFMRSDHLTKHARRHLSAKKLPNWQMEVSKLNDISLPPTPA
+PTQ
+>tr|A6QR45|A6QR45_BOVIN Magnesium transporter OS=Bos taurus OX=9913 GN=MRS2 PE=2 SV=1
+MECLRSLAQLLPRAVGLPRRTLCALALGLTPGTRPVVAYGRATELLGRRRAAPLCWSRRL
+PVTGEIYRNRTSDVSQATLASVSPVFTVTKFDKEGKVTSFERKKTDLYQELGLQARDLRF
+QHLMSITTRNNRIIMRMEYLKAVITPECLLILDYRNLNLEQWLFRELPSQLAGEGQLVTY
+PLPFEFRAIEALLQYRINTLQGKLSVLQPLILETLDALVDPKHSSVDRSKLHILLQNGKS
+LSELETDIKIFKESILEILDEEELLEDLCLTKWSDPQVFEKSSAGIDHAEEMELLLENYY
+RLAEDLSNAARELRALIDDSQSIIFINLDSHRNVMMRLNLQLTMGTFSLSLFGLMGVAFG
+MNLESSLEEDHRVFWLITGIMFMGSGLIWRRLLSFLGRQLEAPLPPLMASLPKKTLQADR
+RLEMKHSFRPDGLGSSRGILTNR
+>tr|Q0P596|Q0P596_BOVIN Chromobox 8 OS=Bos taurus OX=9913 GN=CBX8 PE=2 SV=1
+MELSAVGERVFAAEALLKRRIRKGRMEYLVKWKGWSQKYSTWEPEENILDARLLAAFEER
+EREMELYGPKKRGPKPKTFLLKAQAKAKAKTYEFRSDSARGIRIPYPGRSPQELASTSRS
+REGLRNMGLSPPGSSSSTSSTCRVEPSRDRERDRDRERERERERERERGTSRADDKPSSP
+GDSSKKRGPKPRKELLDPSQRPLGEPSDGLGDYLKGRKLDDTASGAGKFPAGHSVIQLAR
+RQDSDLAQCGVASPSPAEATGKLAVDTFPARVIKHRAAFLEAKGQGTLDPGGPRVRHGSG
+TPGSVGGLYRDMGAQGGRPSLIARIPVARILGDPEEESWSPSLTNLEKVVVTDVTSNFLT
+VTIKESNTDQGFFKEKR
+>tr|Q05KJ0|Q05KJ0_BOVIN BCL2-like 1 OS=Bos taurus OX=9913 GN=BCL2L1 PE=2 SV=1
+MSQSNRELVVDFLSYKLSQKGYSWSQFSDVEENRTEAPEGTESDMETPSAINGNASWHLA
+DSPAVNGATGHSRSSDAREVIPMAAVKQALREAGDEFELRYRRAFSDLTSQLHITPGTAY
+QSFEQVVNELFRDGVNWGRIVAFFSFGGALCVESVDKEMQVLVSRIATWMATYLNDHLEP
+WIQENGGWDTFVELYGNNAAAESRKGQERFNRWFLTGMTVAGVVLLGSLFSRK
+>tr|Q5EA82|Q5EA82_BOVIN Chromosome 6 open reading frame 11 OS=Bos taurus OX=9913 GN=WDR46 PE=2 SV=1
+METVPEPGSNVPPKKDRLQTKKKKPRRYWEQETTGTAAGASPGPPRKRKRNRELRPQKPK
+KNDTSKKFRISKKPRELKSPRPQRSLSGAQDPFAGPAPVSVEVVQKYCRIDKSKELPHPK
+TKTRSRLVVAEAQEEETSVRAARSELLLTEEPGFLEGEDGEDTAKIRQADIVEAVDIASA
+AKHFDLNLRQFGPYRLNYSRTGRHLAFGGRRGHVAALDWVTKKLMCEINVMEAVRDIRFL
+HSEALLAVAQNRWLHIYDNQGIELHCVRRCDRVTRLEFLPFHFLLATASETGFLTYLDVS
+VGKIVAALNARAGRLSVMTQNPYNAVIHLGHSNGTVSLWSPAMKEPLVKILCHRGGVRAV
+AVDSTGMHMATSGLDHQLKVFDLRGTFQPLSARTLPQGAGHLAFSQRGLLAAGMGDVVNI
+WAGQGKASPPSLEQPYLTHRLSGHVHGLQFCPYEDVLGVGHSGGITSMLVPGAAEPNFDG
+LESNPYRSRKQRQEWEVKALLEKVPAELICLDPRALAEVDVISLEQAKKERAERLGYDPD
+ARAPFQPKPKQKGRSSTASLVKRKRKVMDQEHRDKIRQSLEQQLQKQEKAKPTGARPSAL
+DRFVR
+>tr|Q1RMT4|Q1RMT4_BOVIN Thyroid hormone receptor alpha OS=Bos taurus OX=9913 GN=THRA PE=2 SV=1
+MEQKPSKVECGSDPEESSTRSPDGKRKRKNGQCSLKTSMSGYIPSYLDKDEQCVVCGDKA
+TGYHYRCITCEGCKGFFRRTIQKNLHPTYSCKYDSCCVIDKITRNQCQLCRFKKCIAVGM
+AMDLVLDDSKRVAKRKLIEQNRERRRKEEMIRSLQQRPEPTPEEWDLIHVATEAHRSTNA
+QGSHWKQRRKFLPDDIGQSPIVSMPDGDKVDLEAFSEFTKIITPAITRVVDFAKKLPMFS
+ELPCEDQIILLKGCCMEIMSLRAAVRYDPESDTLTLSGEMAVKREQLKNGGLGVVSDAIF
+ELGKSLSAFNLDDTEVALLQAVLLMSTDRSGLLCVDKIEKSQEAYLLAFEHYVNHRKHNI
+PHFWPKLLMKEREVQSSILYKGAAAEGRPGGSLGVHPEGQQLLGVHVVQGPQVRQLEQQL
+GEAGSLRGPVLQHQSPKSPQQRLLELLHRSGILHSRAVCGEDDSSEADSLSSSDSDEEEE
+DAEVCEDAAVATQPPPEAPQRADGEGAGGGT
+>tr|S4UWU8|S4UWU8_BOVIN Abhydrolase domain containing 5 OS=Bos taurus OX=9913 GN=ABHD5 PE=2 SV=1
+MAAEEDGVNSADASERFKSSTAFKA
+>tr|Q2TA28|Q2TA28_BOVIN Signal-regulatory protein delta OS=Bos taurus OX=9913 GN=SIRPD PE=2 SV=1
+MMPVLDFPSCPRLPSLLLALLLKLTGTSVEDFQVNQPESSVSAKVGDVITLGCNIPAPSP
+VGPVLWFKDARLERKLIYSFNGRRFPRVSPVVNPMANQTDYSIRIRDVSPKDAGMYYCVK
+LTIGHPDMAYIAGPGTYVSVSGVTHEMFKVQQAEISQTVSPGETLTLSCSIPDSFPNGPV
+LWFKGTGPNRELIYNFQRGLFPRVNQIGNMAKAGNKDFSIRISEISLKDAGTYFCVKFKE
+GNPDIEYQSGRGTKVTVTGTRNNFVPDTPGRHFLATRGTNLNKNLL
+>tr|Q58DI2|Q58DI2_BOVIN Beta-1,4 N-acetylgalactosaminyltransferase OS=Bos taurus OX=9913 GN=B4GALNT1 PE=2 SV=1
+MRLGRRALCVLVLLLACASLGLLYASTRVAPGLRTPLALWTPLQGNPRPELLGLAPEPRY
+AHIPVRIKEQVVGLLSANNCSCESSEGSLHLPFQRQVQAFDFTKAFDPEELSTVSASREQ
+EFQAFLSRSQSAADQLLIAPANSPLQYPLQGVEVQPLRSILVPGLGLQATSGQEVYQVNL
+TASLGTWDVAGEVTGVTLIGEGQPDLTLTSLGLDQLNRQLQLVTYSSRSYQANTADTVRF
+STEGHEAAFTIRIRHPPNPRLYPPGSLPQGAQYNISALVTIATKTFLRYNRLRALIASIR
+RFYPTVTVVIADDSDKPESIRGPHIEHYLMPFGKGWFAGRNLAISQVTTKYVLWVDDDFV
+FTARTRLERLVDVLERTPLDLVGGAVREISGFATTYRQLLSVEPGAPGRGNCLRQKRGFH
+HELVGFPGCVVTDGVVNFFLARTDKVREVGFDPRLSRVAHLEFFLDGLGSLQVGSCSDVV
+VDHASKLKLPWTSRDAKAETYARYRYPGSLDESQVAKHRLLFFKHRLQCMTSE
+>tr|Q3Y8M8|Q3Y8M8_BOVIN Short transient receptor potential channel 1 OS=Bos taurus OX=9913 GN=TRPC1 PE=2 SV=1
+MMAALYPSTDLSGASSSSLPSSPSSSSSPNEVMALKDVREVKEENTLNEKLFLLACDKVR
+RCTFGGNRL
+>tr|Q2TBH3|Q2TBH3_BOVIN Actin related protein M1 OS=Bos taurus OX=9913 GN=ACTRT3 PE=2 SV=1
+MSYYQLPVVIDNGSGVIKAGLAGSREPQFVYSNIVGRTKGLTCGVEVCVGDQAEQRRSSL
+SISYPVERGLITSWGDMEIMWKHIYDDNLRLKPCDGPVLITESALNPLANRQRVTEVFFE
+YLEVPAFFMSVQGVLALFAAGFTTGFVLNSGAGVTQCVPIFEGYCLPHGVQQLDLAGLDL
+TNYLMMLLKENGIMLLRTSERKIITDIKETYCYVAMNFEEEKAKKADCIEKIYQLPDGKR
+FKLHNQLFYCPEALFSPSLMHLETPGIDKMCFSSIMKCDADLRNAFFSNIILAGGSTSFP
+GLEKRLVKDIAKMVPANTPVQVIAPPERKISVWMGGSILASLSAFQDMWITAAEYKEVGS
+NIVHQRCF
+>tr|A4FUB3|A4FUB3_BOVIN SOX5 protein OS=Bos taurus OX=9913 GN=SOX5 PE=2 SV=1
+MLTDPDLPQEFERMSSKRPASPYGEADGEVAMVTSRQKVEEEESDGLPAFHLPLHEVDGN
+KVMSSFAPHNSSTSPQKAEEGGRQSGESLSSTTLGTPERRKGSLADVVDTLKQRKMEELI
+KNEPEETPSIEKLLSKDWKDKLLAMGSGNFGEIKGTPESLAEKERQLMGMINQLTSLREQ
+LLAAHDEQKKLAASQIEKQRQQMELAKQQQEQIARQQQQLLQQQHKINLLQQQIQVQGQL
+PPLMIPVFPPDQRTLAAAAQQGFLLPPGFSYKAGCSDPYPVQLIPTTMAAAAAATPGLGP
+LQLQQLYAAQLAAMQVSPGGKLPGVPQGNLGAAVSPTSIHTDKSTNSPPPKSKDEVAQPL
+NLSAKPKTSDGKSPTSPTSPHMPALRINSGAGPLKASVPASLASPSARVSTIGYLNDHDA
+VTKAIQEARQMKEQLRREQQVLDGKVAVVNSLGLNNCRTEKEKTTLESLTQQLAVKQNEE
+GKFSHAMMDFNMSGDSDGSAGVSESRIYRESRGRGSNEPHIKRPMNAFMVWAKDERRKIL
+QAFPDMHNSNISKILGSRWKAMTNLEKQPYYEEQARLSKQHLEKYPDYKYKPRPKRTCLV
+DGKKLRIGEYKAIMRNRRQEMRQYFNVGQQAQIPIATAGVVYPGAIAMAGMPSPHLPSEH
+SSVSSSPEPGMPVIQSTYGVKGEEPHIKEEIQAEDINGEIYDEYDEEEDDPDVDYGSDSE
+NHIAGQAN
+>tr|A2VE72|A2VE72_BOVIN Family with sequence similarity 8 member A1 OS=Bos taurus OX=9913 GN=FAM8A1 PE=2 SV=1
+MAEGPEEARGRPPGQDDGGGNQESVPSLRGLPAAAAAAAAPLSRDCPQAEPQALGRPPAL
+GLAAAVEESEPPREPENRGEAASGSDSGSSAGLQEPASCEASEAAAPPEKPARLSAREYS
+RQVHEWLWQSYCGYLTWHSGLAAFPAYCSPQPPAPSYLPAAGAAAAPPAAALPPLQLGYY
+NPFYFLSAATAGPEPGASAGITTSAPVAGPAARAPHLQPPVRAAAATRVGPAAASRAPSD
+TGRQAGREYVIPSLAHRFMAEMVDFFILFFIKATIVLSIMHLSGIKDISKFAMHYIIEEI
+DEDTSMEDLQKMMVVALIYRLLVCFYEIICIWGAGGATPGKFLLGLRVVTCDTSVLIAPS
+RVLVIPSSNVSITTSAIRALIKNFSIASFFPAFITLLFFQHNRTAYDIVAGTIVVKRNGV
+R
+>tr|A6QLZ3|A6QLZ3_BOVIN ARSB protein OS=Bos taurus OX=9913 GN=ARSB PE=2 SV=1
+MGARGVESPPRGPGPLLSAILPLGLLLLLLLLPPLGSGAGASRPPHLVFVLADDLGWNDV
+GFHGSAIRTPRLDALAAGGVLLDNYYTQPLCTPSRSQLLTGRYQIHTGLQHQIILPCQPS
+CIPLDEKLLPQLLKEAGYATHMVGKWHLGMYRKECLPTRRGFDTYFGYLLGSEDYYSHER
+CTLIDALNVTRCALDFRDGEEVATGYKNMYSTNVFTERATTLITNHPPEKPLFLYLALQS
+VHEPLQVPEEYLKPYDFIQDRNRRYYAGMASVMDEAVGNVTAALERRGLWNNTVFIFSTD
+NGGQTLAGGNNWPLRGRKWSLWEGGVRGVGFVASPLLKRKGVKTRELIHISDWLPTLVKL
+AGGSTNGTKPLDGFDVWNTISEGSPSPRMELLHNIDPNFVDTAPCPGNSMALAKDESSLL
+EYSAFNTSIHAAVRHQNWKLLTGYPGCGHWFPPPSQYNVSVIPSSDPPAKTLWLFDIDQD
+PEERHDLSREYPHIVKKLLSRLQFYQKHSVPVYFPAQDPRCDPKATGAWGPWM
+>tr|A1A4N2|A1A4N2_BOVIN BTB domain containing 6 OS=Bos taurus OX=9913 GN=BTBD6 PE=2 SV=2
+MFNNELMADVHFVVGPPGAARRVPAHKYVLAVGSSVFYAMFYGDLAEVKSEIHIPDVEPA
+AFLILLKYMYSDEIDLEADTVLATLYAAKKYIVPALAKACVNFLETSLEAKNACVLLSQS
+RLFEEPELTQRCWEVIDAQAEMALRSEGFCEIDWQTLEIIVTREALNTKEAVVFEAVLSW
+AEAECKRQGLPATPRNKRHVLGPALYLVRIPTMTLEEFANGAAQSDILTLEETHNIFLWY
+TAANKPLLEFPLTKRKGLAPQRCHRFQSSAYRSNQWRYRGRCDSIQFAVDRRVFVAGLGL
+YGSSSGKAEYSVKIELKRLGVVLAQNLTKFVSDGSSNTFSVWFEHPVQVEQDTFYTASAV
+LDGSELSYFGQEGMTEVQCGKVTFQFQCSSDSTNGTGVQGGQIPELIFYA
+>tr|A4FUZ9|A4FUZ9_BOVIN APOA5 protein OS=Bos taurus OX=9913 GN=APOA5 PE=2 SV=1
+MASVAAFLTWALALLSLLSPTEARRGFWDYFSQSSGDKGKVEQQQKLAREPTSLKERLEP
+DLSDMDKFLEKLGPLNGQGREIPRLPRDPVGMWQQLQEELEEVRARLEPYMAEVHERVGW
+NLEGLRRQLQPYTVELMEQVALRVHELQEQLRVVGEGTKAQFLGGVDEARGLLQELQNRV
+AHHTGRVKALFHPYAERLVSGIGHHVQELHRSVAPHAIASPARLSRCVQMLSSKLTLKAK
+ALHERIQQNLDQLREELSAFAGARGDDAEEAAQPDPQVLSQEVRQRLQAFRQDTFRQIAA
+FTHAIDRETEQVQQQLAPPPPGHSAFAPEFLQADRGKARGELQARFDDLWEDINYSLRDH
+SPGYRGEP
+>tr|Q0P5M4|Q0P5M4_BOVIN E2F-associated phosphoprotein OS=Bos taurus OX=9913 GN=EAPP PE=2 SV=1
+MNRLQDDYDPYAVEEPSDEEPALSSSEDEVDVLLHGTPDQKRKLIRECLTGESESSSEDE
+FEKEMEAELNSTIKTMEDKLSSLETGSSGDGIVGTAPTKYYDNIYFDSDSEDEDKAAQVT
+KKKKKKQHRIPTNDELLYDPEKDNRDQAWVDAQRRGYHGFGIQRPRQQQQPVPNSDAVLN
+CPACMTTLCLDCQRHESYKTQYRAMFVMNCSVNKEEILRYKPPENRKKRRGHKKMRSNQE
+GAAEEAETDVEEIYHPVMCTECSTEVAVYDKDEVFHFFNVLASHS
+>tr|A6QLN6|A6QLN6_BOVIN MYH11 protein OS=Bos taurus OX=9913 GN=MYH11 PE=1 SV=1
+MAQKDQLSEDEKFLFVDKNFINNPVAQADWAAKKLVWVPSEKQGFEAASIKEEKGDEVIV
+ELVENGKKVTVGKDDIQKMNPPKFSKVEDMAELTCLNEASVLHNLRERYFSGLIYTYSGL
+FCVVVNPYKQLPIYSEKIIEMYKGKKRHEMPPHIYAIADTAYRSMLQDREDQSILCTGES
+GAGKTENTKKVIQYLAMVASSHKGKKDTSITGELEKQLLQANPILEAFGNAKTVKNDNSS
+RFGKFIRINFDVTGYIVGANIETYLLEKSRAIRQARDERTFHIFYYLIAGAKEKMRNDLL
+LEGFNNYTFLSNGFVPIPAAQDDEMFNETVEAMAIMGFTEEEQLSILRVVSSVLQLGNIV
+FKKERNTDQASMPDNTAAQKVCHLMGINVTDFTRSILTPRIKVGRDVVQKAQTKEQADFA
+VEALAKATYERLFRWILTRVNKALDKTHRQGASFLGILDIAGFEIFEVNSFEQLCINYTN
+EKLQQLFNHTMFILEQEEYQREGIEWNFIDFGLDLQPCIELIERPTNPPGVLALLDEECW
+FPKATDKSFVEKLCTEQGSHPKFQKPKQLKDKTEFSIIHYAGKVDYNASAWLTKNMDPLN
+DNVTSLLNASSDKFMADLWKDVDRIVGLDQMAKMTESSLPSASKTKKGMFRTVGQLYKEQ
+LGKLMTTLRNTTPNFVRCIIPNHEKRSGKLDAFLVLEQLRCNGVLEGIRICRQGFPNRIV
+FQEFRQRYEILAANAIPKGFMDGKQACILMIKALELDPNLYRIGQSKIFFRTGVLAHLEE
+ERDLKITDVIMAFQAMCRGYLARKAFAKRQQQLTAMKVIQRNCAAYLKLRNWQWWRLFTK
+VKPLLQVTRQEEEMQAKEDELQKTKERQQKAESELKELEQKHSQLTEEKNLLQEQLQAET
+ELYAEAEEMRVRLAAKKQELEEILHEMEARLEEEEDRSQQLQAERKKMAQQMLDLEEQLE
+EEEAARQKLQLEKVTAEAKIKKLEDDILVMDDQNNKLSKERKLLEERISDLTTNLAEEEE
+KAKNLTKLKNKHESMISELEVRLKKEEKSRQELEKLKRKLDGEASDLHEQIAELQAQIAE
+LKMQLAKKEEELQAALGRLDDEMAQKNNALKKIRELEGHISDLQEDLDSERAARNKAEKQ
+KRDLGEELEALKTELEDTLDSTATQQELRAKREQEVTMLKKALDEETRSHESQVQEMRQK
+HTQVVEELTEQLEQFKRAKANLDKNKQALEKENAELAGELRVLSQAKQEVEHKKKKLEVQ
+LQELQSKYSDGEKVRAELNDKVHKLQNEVESVTGMLNEAEGKAIKLAKDVASLGSQLQDT
+QELLQEETRQKLNVSTKLRQLEDERNSLQEQLDEEMEAKQNLERHISTLNIQLSDSKKKL
+QDFASTVELLEEGKKKFQKEIESLTQQYEEKAAAYDKLEKTKNRLQQELDDLVVDLDNQR
+QLVSNLEKKQKKFDQLLAEEKNISSKYADERDRAEAEAREKETKALSLARALEEALEAKE
+ELERTNKMLKAEMEDLVSSKDDVGKNVHELEKSKRALETQMEEMKTQLEELEDELQATED
+AKLRLEVNMQALKVQFERDLQARDEQNEEKRRQLQRQLHEYETELEDERKQRALAVAAKK
+KLEGDLKDLELQADSAIKGREEAIKQLRKLQAQMKDFQRELEDARASRDEIFATAKENEK
+KAKSLEADLMQLQEDLAAAERARKQADLEKDELAEELASSVSGRNALQDEKRRLEARIAQ
+LEEELEEEQGNTEAMSERVRKATQQAEQLSNELATERSAAQKNENARQQLERQNKELRSK
+LQEMEGAVKSKFKSTIAALEAKIAQLEEQVEQEAREKQATAKALKQKDKKLKEALLQVED
+ERKMAEQYKEQAEKGNLRVKQLKRQLEEAEEESQRINANRRKLQRELDEATESNEAMGRE
+VTALKSKLRRGNETSFVPTRRSGGRRVIENADGSEEEMDARDADFNGTKASE
+>tr|A2VDX0|A2VDX0_BOVIN TWF2 protein OS=Bos taurus OX=9913 GN=TWF2 PE=2 SV=1
+MAHQTGIHATEELKEFFAKARAGSVRLIKVVIEDEQLVLGAFRELVGCWDQDYDGAVLPL
+LDAQQPCYLLYRLDSQNAQGFEWLFLAWSPDNSPVRLKMLYAATRATVKKEFGGGHIKDE
+LFGTVKDDLSFAGYQKHLSSCAAPAPLTSAERELQQIRINEVKTEISVESKHQTLQGLAF
+PLQPQAQRALQQLRQKTINYIQLKLDLERETIELVHTEPTDVAQLPSRVPRDAARYHFFL
+YKHTHEGDPLESVVFIYSMPGYKCSIKERMLYSSCKSRLLDSVEQDFQLEIAKKIEIGDG
+AELTADFLYDEVHPKQHLAKRAFAKPRGPEGKRGHKRLIRGPGENGDDS
+>tr|A6QPZ6|A6QPZ6_BOVIN TAP1 protein OS=Bos taurus OX=9913 GN=TAP1 PE=2 SV=1
+MACSGSPVPRGRPRLPRAAVGWLGTALLLLADWMLLRPALPRVASRLVPPELPLLRVWVA
+GLSRCALLWLGARGVVGAALGFRRESTRVLGWLAVLEPLAAALGLALPGLALFRELVSWR
+APEDADSAGHLHWEGRLDAFAFSYWAALPAATLWYKIRSLWVQGARGAFGLAMSRLLVFL
+GPEKSHVQFILALVFLSCFGEMAIPFFTGRLTDWIVQDETAAAFTQNVTLMSVLTIASAV
+LEFAADGIYNSTMGRVHSHLQGEVFQAVLRQETEFFQKNQTGEITSRVTDDTSTMSESLS
+SDLSLLLWYLIRGLCLLGLMLWASPSLTMITLVALPLLFLLPEKMGKWYKVLAEQVQESL
+AKSSQVAIEVLSAMPTVRSFANEEGEAQKFRQKLHEMMVLNQKEALAYAVNVWTSSLSGM
+LLKVGILYFGGQLVTSGSVSSGRLVTFILYQIQFTIAVEVLLSRYPRVQKAVGFSEKIFE
+YLDRVPNCPKSGSLASLTLRGSVQFQDVSFAYPNRPDVPVLQGLTFTLRPGEVTALVGPN
+GSGKSTVAALLQNLYQPTEGQVLLDGEPLPKYEHRYLHRQVAAVGQEPLLFGRSFKENIA
+YGLVQEPTMEEITAAAVESGAHGFISELSEGYDTEVGEAGSQLSGGQRQAVALARALIRK
+PRVLILDDATSALDANSQSLVERLLYESPERGSRSVLFITQRLSSVEQADHILFLEGGTI
+IEAGTHQQLMTNEGRYWAMVQAPGGPGAPE
+>tr|A2VE59|A2VE59_BOVIN Receptor expression-enhancing protein OS=Bos taurus OX=9913 GN=REEP1 PE=2 SV=1
+MVAWIISRLVVLIFGTLYPAYYSYKAVKSKDIKEYVKWMMYWIIFALFTTAETFTDIFLC
+WFPFYYELKIAFVAWLLSPYTKGSSLLYRKFVHPTLSSKEKEIDDCLVQAKDRSYDALVH
+FGKRGLNVAATAAVMAASKGQGALSERLRSFSMQDLSTIRGDGAPAPSSPPPPGPGRASG
+KHGQPKMSRSASESAGSSGTA
+>tr|Q08E32|Q08E32_BOVIN CHMP4B protein OS=Bos taurus OX=9913 GN=CHMP4B PE=1 SV=2
+MSVFGKLFGAGGGKAGKGGPTPQEAIQRLRDTEEMLSKKQEFLEKKIEQELTAAKKHGTK
+NKRAALQALKRKKRYEKQLAQIDGTLSTIEFQREALENANTNTEVLKNMGYAAKAMKAAH
+DNMDIDKVDELMQDIADQQELAEEISTAISKPVGFGEEFDEDELMAELEELEQEELDKNL
+LEISGPETVPLPSVPSISLPSKPAKKKEEEDEDMKELETWAGTI
+>tr|F1MJ20|F1MJ20_BOVIN Rho GTPase activating protein 28 OS=Bos taurus OX=9913 GN=ARHGAP28 PE=4 SV=3
+MLSNESLQSPAFSRSNSQASVDSASMENFWREIESIKETSLGAQEEQTPAEAKPLDEGEL
+EAEWLQDVGLSTLISGDEEEDGKALLSTLTRTQAAAVKKRYNTYTQTLRKKNKQSVRDVR
+DIFGTSESPPDEFCCVPAPLLDVSPDEERMPTVPCRNGQLPGDTCDSHASELDGAREGKA
+LPGIIKASGPLPDGASLNSSTLSDGSQDEEWSFTVPRSGSMSILEAIPDVPVHSNVAADP
+GRSARNAASDDEYLEKNIPVEAEELSFEVSYSEKLTQAPKRNRFKKLDFKKEDYVLPKFV
+VQKTRFGLTKAEDLSAEDMKKIRHLSLIELTAFFDAFRIQLKRNKTEKVKGRDSGIFGVP
+LTVLLENDRRKDSGVKVPLVLQKFFEKVEESGLESEGIFRLSGCTAKVKQYREELDAKFN
+ADKFKWEKMCHREAAVMLKAFFRELPTSLFPVEYIPAFITLMERGPHIRVQFQALHLMVM
+ALPDANRDTAQALMTFFNKVIANESKNRMSIWNISTVMAPNLFFSRSKHSDCEELLLANT
+AAHIIRLMLKYQEMLWTVPSFLISQVRRMNEATMLLKKPLPSVKKLLRRKTIERGVTSPK
+TSKVLQKSPSTRRMSDVPEGVIRVHAPLLSKVSMAIQLNSQTKVKDILAKFQCENSHGSS
+ECIKIQNQRLYEIGGNIGQHCLDPDAYILDVYHVNPQAEWVIKPQQSV
+>tr|A0A3Q1MU35|A0A3Q1MU35_BOVIN TBC1 domain family member 24 OS=Bos taurus OX=9913 GN=TBC1D24 PE=4 SV=1
+MHPPAYNCFVDRDKMDSAIPDLGPKELSCTELQELKQLARQGYWARSYALRGQVYQRLIR
+DIPCRTVTPDASVYRDIVGKIVGKHSSASLPLPEFVDNTQVPSYCLNSKGEGAVRKILLC
+ISNQFPDVSFCPALPAVVALLLHYSADEAECFEKACRILACNDSSRKLVDQSFLAFESSC
+MTFGDLVNKYCQAAHKLMVAVSEDVLQVYADWQRWLFGELPLSYFARVFDVFLVEGYKVL
+YRVALAILKFFHKVRAGQPLESDNVKQDIRAFVRDIAKTVSPEKLLEKAFAIRLFSRKEI
+QLLQMANEKALKQKGITVKQKSVSLSKRQFVHLAVHADNFHSEIVGVKEMRDIWSWVPER
+FALCQPLLLFSSLQHGYSLTRFYFQCEGREPTVLLIKTTQKEVCGAYLSTDWSERNKFGG
+KLGFFGTGECFVFRLQPEVQRYEWVVIKHPELTKPAPLEPTTVPPSPSHSVSSEPADRLS
+PFLATRHFNLPSKTESLFMAGGSDCLIIGGGGGPALYIDGDLNRGRTGHCDTFNNQPLCS
+ENFLIAAVEAWGFQDPDIQ
+>tr|A0A0N4STN3|A0A0N4STN3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=C14H8orf59 PE=4 SV=1
+MAKNKLRGQKSRNVFHIASQKSFKVKNKAKPVTTNLKKINIVNDEKVNRVNKAFIDIQKE
+LANFSKGLSLEPLQKQLIPQQCHENVPVNVDEATRLMAQL
+>tr|A2VE41|A2VE41_BOVIN EGF containing fibulin extracellular matrix protein 1 OS=Bos taurus OX=9913 GN=EFEMP1 PE=1 SV=1
+MLKALFLTMLTLALVKSQDTEETITYTQCTDGYEWDPVRQQCKDIDECDIVPDACKGGMK
+CVNHYGGYLCLPKTAQIIVNNEQPQQETPAAEGAGAAANAAATSSTGTGGVAATGVAASG
+VVPGGGFVASAAAEVQTGRNNFVTRRNPSDPQRIPINPSHRIQCATGYEQSEHNVCQDID
+ECTAGTHNCRADQVCINLRGSFACQCPPGYQKRGEQCVDVDECTIPPYCHQRCVNTPGSF
+YCQCNPGFQLAANNYTCVDINECDASNQCAQQCYNILGSFICQCNQGYELSSDRLNCEDI
+DECRTSSYLCQYQCVNEPGKFSCMCPQGYQVVRGRTCQDINECETTNECREDEMCWNYHG
+GFRCYPRNPCQDPYVLTSENRCVCPVSNAMCREIPQSIVYKYMSIRSDRSVPSDIFQIQA
+TTIYANTINTFRIKSGNENGEFYLRQTSPVSAMLVLVKSLSGPREYIVDLEMVTVNSIGT
+FRTSSVLRLTIIVGPFSF
+>tr|Q29RP7|Q29RP7_BOVIN Nuclear factor 1 OS=Bos taurus OX=9913 GN=NFIC PE=2 SV=1
+MYSSPLCLTQDEFHPFIEALLPHVRAFAYTWFNLQARKRKYFKKHEKRMSKDEERAVKDE
+LLGEKAEVKQKWASRLLAKLRKDIRPECREDFVLAITGKKAPGCVLSNPDQKGKMRRIDC
+LRQADKVWRLDLVMVILFKGIPLESTDGERLVKAAQCGHPVLCVQPHHIGVAVKELDLYL
+AYFVRERDAEQSGSPRAGMGSDQEDSKPITLDTTDFQESFVTSGVFSVTELIQVSRTPVV
+TGTGPNFSLGELQGHLAYDLNPASTGMRRTLPSTSSSGSKRHKSGSMEEDVDTSPGGDYY
+TSPSSPTSSSRNWTEDMEGGISSPVKKTEMDKSPFNSPSPQDSPRLSSFTQHHRPVIAVH
+SGIARSPHPSSALHFPTTSILPQTASTYFPHTAIRYPPHLNPQDPLKDLVSLACDPASQQ
+PGPPTLRPARPLQTVPLWD
+>tr|A7Z065|A7Z065_BOVIN Structural maintenance of chromosomes protein OS=Bos taurus OX=9913 GN=SMC3 PE=2 SV=1
+MYIKQVIIQGFRSYRDQTIVDPFSSKHNVIVGRNGSGKSNFFYAIQFVLSDEFSHLRPEQ
+RLALLHEGTGPRVISAFVEIIFDNSDNRLPIDKEEVSLRRVIGAKKDQYFLDKKMVTKND
+VMNLLESAGFSRSNPYYIVKQGKINQMATAPDSQRLKLLREVAGTRVYDERKEESISLMK
+ETEGKREKINELLKYIEERLHTLEEEKEELAQYQKWDKMRRALEYTIYNQELNETRAKLD
+ELSAKRETSGEKSRQLRDAQQDARDKMEDIERQVRELKTKISAMKEEKEQLSAERQEQIK
+QRTKLELKAKDLQDELAGNSEQRKRLLKERQKLLEKIEEKQKELAETEPKFNSVKEKEER
+GIARLAQATQERTDLYAKQGRGSQFTSKEERDKWIKKELKSLDQAINDKKRQIAAIHKDL
+EDTEANKEKNLEQYNKLDQDLNEVKARVEELDRKYYEVKNKKDELQSERNYLWREENAEQ
+QALAAKREDLEKKQQLLRAATGKAILNGIDSINKVLDHFRRKGINQHVQNGYHGIVMNNF
+ECEPAFYTCVEVTAGNRLFYHIVDSDEVSTKILMEFNKMNLPGEVTFLPLNKLDVRDTAY
+PETNDAIPMISKLRYNPRFDKAFKHVFGKTLICRSMEVSTQLARAFTMDCITLEGDQVSH
+RGALTGGYYDTRKSRLELQKDVRKAEEELGELEAKLNENLRRNIERINNEIDQLMNQMQQ
+IETQQRKFKASRDSILSEMKMLKEKRQQSEKTFMPKQRSLQSLEASLHAMESTRESLKAE
+LGTDLLSQLSLEDQKRVDALNDEIRQLQQENRQLLNERIKLEGIITRVETYLNENLRKRL
+DQVEQELNELRETEGGTVLTATTSELEAINKRVKDTMARSEDLDNSIDKTEAGIKELQKS
+MERWKNMEKEHMDAINHDTKELEKMTNRQGMLLKKKEECMKKIRELGSLPQEAFEKYQTL
+SLKQLFRKLEQCNTELKKYSHVNKKALDQFVNFSEQKEKLIKRQEELDRGYKSIMELMNV
+LELRKYEAIQLTFKQVSKNFSEVFQKLVPGGKATLVMKKGDVEGSQSQDEGEGSGESERG
+SGSQSSVPSVDQFTGVGIRVSFTGKQGEMREMQQLSGGQKSLVALALIFAIQKCDPAPFY
+LFDEIDQALDAQHRKAVSDMIMELAVHAQFITTTFRPELLESADKFYGVKFRNKVSHIDV
+ITAEMAKDFVEDDTTHG
+>tr|A6H709|A6H709_BOVIN HSPC321 protein OS=Bos taurus OX=9913 GN=SWAP70 PE=2 SV=1
+MGSLKDELLKGIWHAFTALDLDHSGKVSKSQLKVLSHNLCTVLKVPHDPVALEEHFRDDD
+EGPVSNQGYMPYLNKFILEKVQDNFDKIEFNRMCWTLCVKKNLTKNPLFITEEDAFKIWV
+IFNFLSEDKYPLIIVPEEIEYLLKKLTEAMGVSWQQEQFENYKINFDDSKDGLSAWELIE
+LVGNGQFSKGMDRQTVSMAINEVFNELILDVLKQGYMIKKGHRRKNWTERWFVLKPNIIS
+YYVSEDLKDKKGDILLDENCCVESLPDKDGKKCLFLIKCFDKTFEISASDKKKKQEWIQA
+IHSTIHLLKLGSPPPHKEARQRRKELRKKLLAEQEELERQMKELQIANENKQQELEAVRK
+KLEEAASRAAEEEKKRLQTQVELQARFSTELEREKLIRQQMEEQVAQKSSELEQYLQRVR
+ELEDMYLKLQEALEDERQARQDEETVRKLQARLLEEESSKRAELEKWHLEQQQAIQTTEA
+EKQELENQRVIKEQALQEALEQLQQLELERKQALEQYEGVKKKLEMAAKMTKSWKDKVAH
+HEGLIRLIEPGSKNAHLITNWGPAAFTQAELEERQKSWKEKKTTE
+>tr|G3N080|G3N080_BOVIN Histone H2B OS=Bos taurus OX=9913 GN=LOC616353 PE=3 SV=2
+METGSQSINAQPPLPQQSAPAPKKGSKKAVTKAQKKDGKKCKRSRKESYSVYVYQVLKQV
+HPDTGISSKAMGIMNSFINDILARIAGEASSLAHYNKRSTITFKEIQTAVRLLLPGELAK
+HAVSEGTKAVTKCTSSK
+>tr|Q2KJ73|Q2KJ73_BOVIN General transcription factor IIH subunit 1 OS=Bos taurus OX=9913 GN=GTF2H1 PE=2 SV=1
+MAERIAWAPEGKDRFTISHMYADIKCQKISPEGKAKIQLQLVLHAGDTTNFHFSNESTAV
+KERDAVKDLLQQLLPKFKRKANKELEEKNRMLQEDPVLFQLYKDLVVSQVISAEEFWANR
+LNVNAAESSSTSNHKQDVGISAAFLADVRPQTDGCNGLRYNLTSDIIESIFRTYPAVKMK
+YAENVPHNMTEKEFWTRFFQSHYFHRDRLNTGSKDLFAECAKIDEKGLKTMVSLGVKNPL
+LDLTALEDKPLDEGYGISSVPSTSNSKSIRENSNAAIIKRFNHHSAMVLAAGLRKQEAQK
+EQNGEPSSVDGNSGDPDCFQPAIKRAKLQESIEYEDLGKNNSIKTIALNLKKSDRYYHGP
+TPIQSLQYATSQDIINSFQSIRQEMEAYTPRLTQVLSSSAASSTITALSPGGALMQGGTQ
+QAINQMVPNDIQSELKHLYVAVGELLRHFWSCFPVNTPFLEEKVVKMKSNLERFQVTKLC
+PFQEKIRRQYLSTNLVSHIEEMLQTAYNKLHTWQSRRLMKKT
+>tr|A6QP58|A6QP58_BOVIN AP4B1 protein OS=Bos taurus OX=9913 GN=AP4B1 PE=2 SV=1
+MPYLGSEDVVKELKKALCNPHIQADRLRYRSVIQRVIRHMTQGVDMSGVFMEMVKASATV
+DIVQKKLVYLYMCTYAPLKPDLALLAINTLCKDCSDPNPMVRGLALRSMCSLRMPGVQEY
+IQQPILNGLRDKASYVRRVAVLGCAKMHNLHGDSEVDGALVNELYSLLRDQDPIVVVNCL
+RSLEEILKQEGGVVINKPIAHHLLNRMSKLDQWGQAEVLNFLLRYQPRSEEELFDILNLL
+DSFLKSSSPGVVMGATKLFLILAKKFPHVQTDVLMQVKGPLLAACSSESRELCFAALCHV
+RQILHSLPGHFSSHYKKFFCSYSEPHYIKLQKVEVLCELVNDENVQQVLEELRGYCTDVS
+ADFAQAAILAIGGIARTYTDQCMQILTELLGLRQEHITTDIPAA
+>tr|A5D7F0|A5D7F0_BOVIN CDKL2 protein OS=Bos taurus OX=9913 GN=CDKL2 PE=2 SV=1
+MEKYENLGLVGEGSYGMVMKCRNKDSGRIVAIKKFLESDDDKMVKKIAMREIKLLKQLRH
+ENLVNLLEVCKKKKRWYLVFEFVDHTVLDDLELSPNGLDYQLVQKYLFQVISGIGFCHSH
+NIIHRDIKPENILVSQSGVVKLCDFGFARTLAAPGEVYTDYVATRWYRAPELLVGDVKYG
+KAVDVWAIGCLVTEMLMGEPLFPGDSDIDQLYHIMVCLGNLIPRHQELFYKNPVFAGVRL
+PEIKETVPLERRYPKLSEVVIDLAKKCLHIDPDKRPFCAELLHHDFFHMDGFAERFPQEL
+QLKVQKDVRNISLSKKSQIRKKEKEKDESLGEERKTLVVQDTNADPQTKDSKVFKIKGSK
+TDGEKVEKASRASNASCLNDNGMSPVKIVPSTSLRDCSNGSVDQTRNPGMAIPPLTHNLS
+ATAPTITSGMGTISGIQSYRVDEKTKKYCIPFVKPNKHSPPGAYNINVTTLVASEKSLLQ
+ANKKRREYSKTDVRLPELNYNHLPELKALEGIARNSRLIKKENKNLSESRIPSLATIDLH
+TPSIALQQVSKSPLSDDSEADLPPVEHQH
+>tr|A5PKH0|A5PKH0_BOVIN TOR1AIP2 protein OS=Bos taurus OX=9913 GN=TOR1AIP2 PE=2 SV=1
+MTDRGLRDPPEDPQKDLENDPSLNTQEATVTTSTTEEAQTPDPAPGLNKDHQEIETVGPG
+STDTDAQSENPDETDCGKYLKDTEGENSQSFQGGEQGHQLPSESLSEDPVDPETKCSPSD
+KVGRTDAHIVGSSAALPEHVSDGPGASQEPPVVDPQDAQSPGHSSAGLESQHTLRRRLLA
+PETETHQQETQELEENKEKNAQGTIRRIDKKDFLSYGSIIFCFLVLIIVLLSSVNSYYSS
+PAQQVPKNPALEAFLVHFSQLKDKFPGQSSFLWQRGRKFLQKHLNASHPSEPATIIFTAA
+REGRETLKCLSHHVADAYTSAQKVSAIQIDGAGKTLQDSDKVKHSVDRELSSGFEKGQKA
+AVVHHFESLPAGSTLIFYKYCDHENAAFKDVALVLTVLLEEETLEASVSPRETEEKVRDL
+LWARFTNSNTPSSFNHMDSDKLSGLWSRISHLVLPVQPVRSIEERGCFV
+>tr|E1BBB8|E1BBB8_BOVIN Transcriptional repressor GATA binding 1 OS=Bos taurus OX=9913 GN=TRPS1 PE=4 SV=2
+MPYEVNAGYDFTNMVRKKNPPLRNIASEGEGQTLEPVGTESKGPGKNKEFSADQMSENTD
+QSDATELNNKEEHSLHVQDPSSSSKKDLKSSVLSEKAGFNYENSSKGGNLPSYSHDEVTE
+RNMLAFSSPAAGGVCEPLKSPQRAEADDPQDMACTPSGDSLEMKEDQKMSPKATEETGQV
+QSGQANCQGSSPVSVASKNPQVPSDGGVRLNKSKTDVLVNDNPDPAPLSPELQDFKCNIC
+GYGYYGNDPTDLIKHFRKYHLGLHNRTRQDAELDSKILALHNMVQFSHSKDFQKVNRSVL
+SGVLQDISSSRPVLLNGTYDVQVTSGGTFIGIGRKTPDCQGNTKYFRCKFCNFTYMGNSS
+TELEQHFLQTHPNKIKASLPSSEGAKPSEKNSNKSIPAVRSGDAGDLGKWQDKITVKAGD
+DSPVGYSVPIKPLDSRQNGTEATSYYWCKFCSFSCESSSSLKLLEHYGKQHGGVQSGGLN
+PELNDKLSRGSVINQNDLAKSAEGEPMTKADKGSSGVKKKDFSSKGAEDNMVTSYNCQFC
+DFRYSKSHGPDVIVVGPLLRHYQQLHNIHKCTIKHCPFCPRGLCSPEKHLGEITYPFACR
+KSNCSHCALLLLHLSPGAAGSSRVKHQCHQCSFSTPDVDVLLFHYESAHESQASDVKQEG
+SHLQGPDGQPAVKESKEHSCTKCDFITQVEEEISRHYRRAHSCYKCRQCSFTAAETQSLL
+EHFNTVHCQEQDSTTANGEEDGHAVSTIKEEPKIDLKVYSLLNPDSKMGEPVAESVVKRE
+KLEDKDGLKEKAWAESSSDDLRSVTWRGADILRGSPSYTQASLGLLTPVSGPQEQTKTLR
+DSPNVEAAHLARPIYGLAVDTKGFLQGAPAGGEKAGALPQQYPASGESKSKDESQSLLRR
+RRGSGVFCANCLTTKTSLWRKNANGGYVCNACGLYQKLHSTPRPLNIIKQNNGEQIIRRR
+TRKRLNPEALQAEQLNKQQRAGSEEQVNGSPLERRSEDHLTEGHQREIPLPSLSKYEAQG
+SLTKSHSAQQPVLVSQTLDIHKRMQPLHIQIKSPQESTGDPGNSSSVSEGKGSSERGSPI
+EKYMRPAKHPNYSPPGSPIEKYQYPLFGLPFVHNDFQSEADWLRFWSKYKLSVPGNPHYL
+SHVPGLPNPCQNYVPYPTFNLPPHFSAVGSDNDIPLDLAIKHSRPGPTANGASKEKTKAP
+SNVKNEGPLNVVKTEKVDRSTQDELSTKCVHCGIVFLDEVMYALHMSCHGDSGPFQCSIC
+QHLCTDKYDFTTHIQRGLHRNNAQVEKNGKPKE
+>tr|A5PJA4|A5PJA4_BOVIN CCDC43 protein OS=Bos taurus OX=9913 GN=CCDC43 PE=2 SV=1
+MAAPSAVAAATSSERDGGGSGFESWLDGRLEALGVDRAVYGAYILGVLQEEEEEEKLDAL
+QGILSAFLEEDSLLNICKEIVERWSETQNVVTKVKKEDEVQAIATLIEKQAQIVVKPRMV
+SEEEKQRKAALLAQYADVTDEEDEADEKDDSGATTMSIGSDKSLFRNTNVEDVLNARKLE
+RDTLRDESQRKKEQDKLQRERDKLAKQERKEKEKKRTQRGERKR
+>tr|A2VDP3|A2VDP3_BOVIN RASD family member 2 OS=Bos taurus OX=9913 GN=RASD2 PE=2 SV=1
+MMKTLSSGNCALSVPAKNSYRMVVLGASRVGKSSIVSRFLNGRFEDQYTPTIEDFHRKVY
+NIRGDMYQLDILDTSGNHPFPAMRRLSILTGDVFILVFSLDNRESFDEVKRLQKQILEVK
+SCLKNKTKEAAELPMVICGNKNDHGELCRQVPTTEAELLVSGDGNCAYFEVSAKKNTNVD
+EMFYVLFSMAKLPHEMSPALHRKISVQYGDAFHPRPFCMRRVKDMDAYGMVSPFARRPSV
+NSDLKYIKAKVLRESQARERDKCTIQ
+>tr|E1BHR8|E1BHR8_BOVIN Sodium channel protein OS=Bos taurus OX=9913 GN=SCN2A PE=3 SV=3
+MAQSVLVPPGPDSFRFFTRESLAAIEQRIAEEKAKRPKQERKDEDDESGPKPNSDLEAGK
+SLPFIYGDIPPEMVSEPLEDLDPYYINKKTFIVLNKGKAISRFSATPALYILTPFNPIRK
+LAIKILVHSLFNVLIMCTILTNCVFMTMSNPPDWTKNVEYTFTGIYTFESLIKILARGFC
+LEDFTFLRDPWNWLDFTVITFAYVTEFVDLGNVSALRTFRVLRALKTISVIPGLKTIVGA
+LIQSVKKLSDVMILTVFCLSVFALIGLQLFMGNLRNKCLQWPPDNSSFELNITSFFNNSL
+DGNGTTFNRTVSMFNWDEYIEDKSHFYFLEGQNDALLCGNSSDAGQCPEGYICVKAGRNP
+NYGYTSFDTFSWAFLSLFRLMTQDFWENLYQLTLRAAGKTYMIFFVLVIFLGSFYLINLI
+LAVVAMAYEEQNQATLEEAEQKEAEFQQMLEQLKKQQEEAQAAAAAASAESREFSGAGGI
+GVFSESSSVASKLSSKSEKELKNRRKKKKQKEQSGEEEKEDGVRKSESEDSIRRKGFRFS
+LEGSRLTYEKRFSSPHQSLLSIRGSLFSPRRNSRASLFSFRGRAKDIGSENDFADDEHST
+FEDNDSRRDSLFVPHRHGERRHSNVSQASRASRVLPILPMNGKMHSAVDCNGVVSLVGGP
+SALTSPAGQLLPEGTTTETEIRKRRSSSYHVSMDLLEDPTARQRAMSMASILTNTMEELE
+ESRQKCPPCWYKFANMCLIWDCCKPWLKVKHVVNLVVMDPFVDLAITICIVLNTLFMAME
+HYPMTEQFSSVLSVGNLVFTGIFTAEMFLKIIAMDPYYYFQEGWNIFDGLIVSLSLMELG
+LANVEGLSVLRSFRLLRVFKLAKSWPTLNMLIKIIGNSVGALGNLTLVLAIIVFIFAVVG
+MQLFGKSYKECVCKISNDCELPRWHMHDFFHSFLIVFRVLCGEWIETMWDCMEVAGQTMC
+LTVFMMVMVIGNLVVLNLFLALLLSSFSSDNLAATDDDNEMNNLQIAVGRMQKGIDFVKR
+KIREFIQKAFVRKQKALDEIKPLEDLNNKKDSCISNHTTIEIGKDLNYLKDGNGTTSGIG
+SSVEKYVVDESDYMSFINNPSLTVTVPIAVGESDFENLNTEEFSSESDMEESKEKLNATS
+SSEGSTVDIGAPAEGEQPEAEPEESLEPEACFTEDCVRKFKCCQISIEEGKGKLWWNLRK
+TCYKIVEHNWFETFIVFMILVSSGALAFEDIYIEQRKTIKTMLEYADKVFTYIFILEMLL
+KWVAYGFQVYFTNAWCWLDFLIVDVSLVSLTANALGYSELGAIKSLRTLRALRPLRALSR
+FEGMRVVVNALLGAIPSIMNVLLVCLIFWLIFSIMGVNLFAGKFYHCINYTTGEMFDVSV
+VNNYSECKALIDSNQTARWKNVKVNFDNVGLGYLSLLQVATFKGWMDIMYAAVDSRNVEL
+QPKYEDNLYMYLYFVIFIIFGSFFTLNLFIGVIIDNFNQQKKKFGGQDIFMTEEQKKYYN
+AMKKLGSKKPQKPIPRPANKFQGMVFDFVTKQVFDISIMILICLNMVTMMVETDDQSQEM
+TNILYWINLVFIVLFTGECVLKLISLRYYYFTIGWNIFDFVVVILSIVGMFLAELIEKYF
+VSPTLFRVIRLARIGRILRLIKGAKGIRTLLFALMMSLPALFNIGLLLFLVMFIYAIFGM
+SNFAYVKREVGIDDMFNFETFGNSMICLFQITTSAGWDGLLAPILNSGPPDCDPEKDHPG
+SSVKGDCGNPSVGIFFFVSYIIISFLVVVNMYIAVILENFSVATEESAEPLSEDDFEMFY
+EVWEKFDPDATQFIEFSKLSDFAAALDPPLLIAKPNKVQLIAMDLPMVSGDRIHCLDILF
+AFTKRVLGESGEMDALRIQMEERFMASNPSKVSYEPITTTLKRKQEEVSAIVIQRAYRRY
+LLKQKVKKVSCIYKKDKGKEGEGTPIKEDILIDKLNENSTPEKTDMTPSTTSPPSYDSVT
+KPEKEKFEKDKSEKEDKGKDIRESKK
+>tr|A5JS75|A5JS75_BOVIN Interleukin 22 OS=Bos taurus OX=9913 GN=IL22 PE=2 SV=1
+MAALQKSVGSPLRDTLAAGCLLVMVLCAQRGAAAPITSHCRLNESDFQEPYIFNHTFTLA
+QKASLADNITDVRLIGNKLFHGIHQVTKRCYVLKQVLNFILEEVLFPQSDKFHPYMEKVV
+PFFSRLSKKLSQCHVESDNQHIQRNVQNLKNTVKKLGESGEIKVIGELNLLFTTLKRECA
+QVDQGWKMGY
+>tr|A0A3Q1MVM4|A0A3Q1MVM4_BOVIN Rhotekin OS=Bos taurus OX=9913 GN=RTKN PE=4 SV=1
+MQDRLHILEDLNMLYIRQMALSLEDTELQRKLDHEIRMREGACKLLAACSQREQALEATK
+SLLVCNSRILNYMGELQRRKEAQVLKKTGRRPSDSGPPTERSPCRGQICISDLRIPLMWK
+DTEYFKNKGDLHRWAVFLLLQIGEHIQDTEMILVDRTLTDISFQNNVLFAEAGPDFELRL
+ELYGACVEEEGALAGAPKRLATKLSSSLGRSSGRRVRASLETAGGSGSSPILLPTPAVGG
+PRYHLLAHTTLTLAAVQDGFRTHDLTLATPEESPAWLPLYGSMCCRLVAQPLCMTQPTAS
+GTLRVQQAGEPRDWVQVHGVLKGTNLFCYRQPEDTDTGDEPLFTIAINKETQVRAGELDQ
+AASWPFTLSISNRYGEEEVTHTLQAESRGALQSWMEALWQLFFDMSQWKQCCDEIMKIET
+PAPRKPPQVLAKQGSLYHEMAIEPLDDIAAVTDILAQREGARLETPPPWLAVFTDQPALP
+GPCSPASVAPAPARIHSLPWGRPRTFSLDAVPSDHSPGASRLVAPLPLQRSPRSRGLCSK
+GPPHTWLQSPV
+>tr|A0A3Q1MA58|A0A3Q1MA58_BOVIN Adenylate cyclase OS=Bos taurus OX=9913 GN=ADCY4 PE=3 SV=1
+MARLFSPRQPPSEDLFYETYYSLSQQYPLLLLLLLIVLLGLLALLPVAWASGRELAADPA
+FLTTVLCALGGFSLLLVLASREQRLQRWTRPLSGLVWAALLALGHSFLFTGGVVSAWDQV
+SFFLFVIFTVYAMLPLDMRDAITAGVTSSLSHLLVLGLYLGPQPDSRPALLPQLAANAVL
+FLCGNAAGAYHKALMERALRATFREALSSLHSRRRLDTEKKHQEHLLLSILPAYLAREMK
+EEIMARLQAGQGSRPESTNNFHSLYVKRHQGVSVLYADIVGFTRLASECSPKELVLMLNE
+LFGKFDQIAKEHECMRIKILGDCYYCVSGLPLSLPDHAINCVRMGLDMCRAIRKLRAATG
+VDINMRVGVHSGSVLCGVIGLQKWQYDVWSHDVTLANHMEASGVPGRVHITGATLALLAG
+AYAVEDAAMEHRDPYLRELGEPTYLVIDPWAAEEDEKGTAGGLLSSLEGSKMRPSLLMTR
+YLESWGAAKPFAHLSHVESPVSTSTPLPEKALSSFSPQWSLDRSRTMRGPDEELDTGDAK
+FFQVIEQLNSQKQWKQSKDFNPLTLYFREKEMEKEYRLSALPTFKYYAACTFLVFLSNFI
+IQMLVTNRPPALTITYGITFLLFFLLLFVCFSEHLMKCVLKGPKILHWLPTLSVLVATRP
+GLRVALGTATILLVFVMAITSLVFLPATSACPFQAPNMSSMASNLSWELPGSLPLISIPY
+SMHCCVLGFLSCSLFLHMSFELKLLLLLLWLTASCSIFLHSHAWLSDCLIARLYLGTLDS
+RPGVLKEPKLMGAVSFFIFFFTLLVLARQNEYYCRLDFLWKKKLRQEQEETETMENLTRL
+LLENVLPAHVAPQFIGQNRRNEDLYHQSYECVCVLFASVPDFKEFYSESSINHEGLECLR
+LLNEIIADFDELLSKPKFSGVEKIKTICSTYMAATGLNATSGQDAQQDAEQSCGHLGTMV
+EFAVALGSKLDVINKHSFNNFRLRVGLNHGPVVAGVIGAQKPQYDIWGNTVNVASRMEST
+GVLGKIQVTEETAWALQSLGYTCYSRGIIKVKGKGQLCTYFLNTDLTRTGPPSATLG
+>tr|A5PKM2|A5PKM2_BOVIN CDKN1A protein OS=Bos taurus OX=9913 GN=CDKN1A PE=2 SV=1
+MSELSRDAHQIPRSSKACRCLFGPVDSEQLRQDCDALMASCVQEARERWNFDFVTETPLE
+GDFAWERVRGLGLPKLYLPVGPRDDLGGGKRPSPSSALLQGTSQEDHLDLSLSCTLVTRS
+PERPEGTPGGPGPSQGRKRRQTSMTDFYHSKRRLICSKRKP
+>tr|A4FUY2|A4FUY2_BOVIN ATF6B protein OS=Bos taurus OX=9913 GN=ATF6B PE=2 SV=1
+MAELMLLSEIADPTRFFTDNLLSPEDWDSTLYAGLDEVAEEQTELFRCPEQDVPFGSSSL
+DLGMDVSPPEPPWDSLPIFPDLQVKSEPSSPCSSSSLSSESSHLSTEPSNQAPGVGEMLV
+VKSESLAPPLCLLGDDPTSPFETIQINVSTISDDPSDVQIKIEPASPSSSINSEAPLLSA
+ESPSQAFIGEEVLEVKTESPSPQGCLLRDVPGPSPGAVQISMGLSSDGSSGKALPARKPP
+LQPKPMLITTVPIPPRAVPASTTVLLQPLVQPPPVSPVVLIQGTVRVQPEGPTPPPPRPE
+RKSIVPAPMPGNSCPPEVDAKLLKRQQRMIKNRESACQSRRKKKEYLQGLEARLQAVLAD
+NQQLRRENAALRRRLEALLTENSELKLGSGNRKVVCVMVFLLFIAFNFGPVSISEPPPAS
+ISPRMSRGESRPRRHLLEFSAQEPVHGAEPRQHPFQDPEEPQPSPAGHPSFRNLTAFPGD
+TRELLLRDLDQLFLSSDCRHFNRTESLRLADELSGWVQRHQRGRRKTPQRAQEKQKSQFR
+KKSPPVQAVPTRPPGPPEGDSVGQLQLYRQPDRSQPEFLDAIDRREDTFYVVSFRRDHLL
+LPAISHNKTSRPKMSLVMPAMAPNETLSGRGPPGDYEEMMQIECEVMDTRVIHIKTSTVP
+PSLRKQPSSTPGNATGGPLPASAAASQAHQAAHQPSTSIISDLYDSH
+>tr|Q3MHM0|Q3MHM0_BOVIN Amyloid beta (A4) protein-binding, family B, member 1 interacting protein OS=Bos taurus OX=9913 GN=APBB1IP PE=2 SV=1
+MGESNEDIDQMFSNLLGEMDLLTQSLGVDILPPPDPKPPRAEFNYSVGFKDLNESLNALE
+DQDLDALMADLVADISEAEQRTIQAQKESPQKQPPSASLCVPSFSDTASLGYGANVAAPS
+QYDDDLPPPPADPMLDLPLPPPPPGPISQEEEEAQAKADKIKLALEKLKEAKVKKLVVKI
+LMNDNSSKSLMVDERQLARDVLDNLFEKTHCDCNVDWCLYEIYPELQIERFFEDHENVVE
+ILSDWTRDTENKLLFLEKEEKYAVFKNPQNFYLDNKGKKENKETNEKMNAKNKESLLEES
+FCGTSVIVPELEGALYLKEDGKKSWKRRYFLLRASGIYYVPKGKTKTSRDLACFIQFENV
+NIYYGIQCKMKYKAPTDYCFVLKHPQIQKESQYIKYLCCDDARALSQWVTGIRIAKYGKT
+LYDNYQRAMARAGLASRWTNLGTVNAAPPAPPSTGVKTGTTQANGQLPQATQSMNTALGE
+DWRQLETTKDKKPGPGLGSHDPGAPRAQPLPKSSLPPPPPPVRRSSDVGGGSPGTPPKAK
+GAAARFPAPPDDFLPPPPPPPPPLQDDELPPPPPDFNDAPPDFVPPPPPSFAEDLPPPPP
+PPPALAPESVRTPPVVVKRPPPPPKRQENPGPPGGGGGEQDFMSDLMKALQKKRGNVS
+>tr|Q17QL7|Q17QL7_BOVIN KRT15 protein OS=Bos taurus OX=9913 GN=KRT15 PE=1 SV=2
+MATTFLQTSSSTFGGGSTRGGSLLAGGGGFGGGSLYGGGGSRTISASSARFVSSGSAGGY
+GGGFGGGAGSGYGGGFGGGFGGGFGSGFGDFGGGDGGLLSGNEKITMQNLNDRLASYLEK
+VRALEEANADLEVKIRDWYQRQSPTSPERDYSPYFKTIDELRDKILAAAIKNSQVILEID
+NARLAADDFRLKHENEMALRQSVEADINGLRRVLDELTLTKTDLEMQIESLNEELAYLKK
+NHEEEMKEFSNQLAGQVNVEMDAAPAVDLTRVLSEMREQYEAMAEKNRRDAEAWFFSKTE
+ELNKEVASNTEMIQTSKTEITDLRRTIQGLEIELQSQLSMKAGLESTLAETECRYAAQLQ
+QIQGLISSIEAQLSELRSEMECQNQEYKMLLDIKTRLEQEIATYRSLLEGQDSRMAGIGT
+REASLGGGGGGKVRINVEESVDGKVVSSRKREI
+>tr|A4UAF2|A4UAF2_BOVIN Sperm associated antigen 11 isoform E OS=Bos taurus OX=9913 GN=SPAG11 PE=2 SV=1
+MKVLFLFTVLFCLVQMNSGDVPPGIRNIICLMQHGTCRLFFCRSGEKKSEICSDPWNRCC
+IPHSEEERKYRPEMDGRSGT
+>tr|A6QQR6|A6QQR6_BOVIN LIN52 protein OS=Bos taurus OX=9913 GN=LIN52 PE=2 SV=1
+MGWKMASPTDGTDLEASLLSFEKLDRASPDLWPEQLPGVAEFAASFKSPITSSPPKWMAE
+IERDDIDMLKELGSLTTANLMEKVRGLQNLAYQLGLDESREMTRGKFLNILEKPKK
+>tr|Q2T9T7|Q2T9T7_BOVIN Dual specificity protein phosphatase OS=Bos taurus OX=9913 GN=DUSP3 PE=2 SV=1
+MSGPFELSVQDLNDLLSDGSGCYSLPSQPCNEVTPRIYVGNAIESTMLDEGEIRKCCQGR
+SVAQDIPKLQKLGITHVLNAAEGRSFMHVNTNANFYKDSGITYLGIKANDTQEFNLSAYF
+EKAADFIDQALAQKNGRVLVHCREGYSRSPTLVIAYLMMRQKMDVKSALSIVRQNREIGP
+NDGFLAQLCQLNDRLVKEGKLKL
+>tr|A0A1L6ZA12|A0A1L6ZA12_BOVIN Lymphocyte antigen 6 complex locus G6F OS=Bos taurus OX=9913 GN=ly6g6f PE=2 SV=1
+MAVLFLLLLFLCGLPQAETDSIQAIYVVLGEALELPCPSPPALNGDEFLSWFHSPAAGSS
+TALVAHIQVARPSRDPGKPRRESRLTLLGNYSLWLEGSKAGDAGRYWCAVLGQRYRYQNW
+RVYDVSVLRGSQFSARAADGSSCSVLLCSVVPARSLDSVTWQEGKGPVKGDVQSFWGDGA
+TLLLVCPGEGLPEPRARKPGIIRCLVPQNKGISFSLAASTDASPALCAPSADWDVAWILT
+LLLTVGQGFTIVVLGVMLWRQRAQGAQHRNASFPQFKPEIQVYENIHLAHLSPPAPKTR
+>tr|A6QNY5|A6QNY5_BOVIN CENPT protein OS=Bos taurus OX=9913 GN=CENPT PE=2 SV=1
+MAYNFSPDSEPTTRTLLRQVLDTAVPRTPRRARSTRSGAQRDLLETPSSRRQRSQTKMTA
+RRRSHTATSVDRLARVQASGHLEEQTPRTLLKNIILTAPESSIVMPEAVVKLVPSPQVVQ
+PSRRKSSRGSLELQLPELEPPTTLAPGLLAPGRRKQRLRLSEFQQGMDWGHLSQEPRENA
+DASSLTSSLNLTFAMPLQPQSVKRPGLARRPPTRRVVDVGTFLQDLRDTSLTVAPPGESH
+RTPVATVPMDTVLEDTQPFSQPLAGHSPSVHHSLPCPSPSGAKEVEGAASRRTRSSGPGL
+QNSYSGPGKPAQLLARKMEEVEAIAMGLPNTSSVSGEDGIEPLQNGVGEEAEESMEESMS
+VREVEKAAEEQGSARAEEPEGHTEVAAAAGSLGAIEAKEPEGSSEDEDTSGSLGPGKLCE
+GRLAWPELVGTIKEQDKGAAGLQGWVRWSGLSLL
+>tr|Q2T9P2|Q2T9P2_BOVIN DnaJ (Hsp40) homolog, subfamily C, member 5 gamma OS=Bos taurus OX=9913 GN=DNAJC5G PE=2 SV=1
+MAHVDEAARQLSKSGSTLYAVLELKKGASPEDVKKAYRRLALKYHPDKNPGDAQAAEIFK
+EINTAHAVLSDPKKRKIYDRHGSLGIYIYDHFGEEGVTYYFTMNSCWFKTLVLLCALLTC
+CCCCCCCCFCCGALKPPPEEMANKKNASNVQHQPPRSGHREHFRRGEDGSSDDN
+>tr|A0A140G0D9|A0A140G0D9_BOVIN N-acetylgalactosaminyltransferase-like protein 5 variant OS=Bos taurus OX=9913 GN=GALNTL5 PE=2 SV=1
+MRNVIIQCLLCASLTFGIWIAVFNIYLHYNHVKKQEKIQEKGNPLIWSLGEKLQSKPDSV
+HLPRAYNVRVERASEDEPAEPKLLLSSGVRKKCTQPNYLLPASSFASTMKNLMPCFEHCP
+AS
+>tr|A6QR70|A6QR70_BOVIN Werner helicase interacting protein 1 OS=Bos taurus OX=9913 GN=WRNIP1 PE=2 SV=1
+MEVSGPEDDPLLAQLHRVQCPVCQQAMPAAHINAHLDRCLLLHPAGLAEPAQPRAKRRRP
+SESSALKQPATPTAAESSEGEAEEPDDGGESEDRESDDAPPTPSGARLLPDFPGARPGSP
+GGKGAGPRPAAAAAAGGASPRSWGEAEAPEEEEAAGDADGEDDAGRWDADAGAAAFGAPG
+GRPRAPAAEEVRQLLEGKPLADTMRPDALQDFVGQGRAVGPETLLRSLLEASEVPSLILW
+GPPGCGKTTLAHIIANNSKKHSIRFVTLSATSAKTTDVRDVIKQAQNEKRFFKRKTILFI
+DEIHRFNKSQQDTFLPHVECGTITLIGATTENPSFQVNAALLSRCRVIVLEKLPVEAVVT
+ILMRAVNSLGIQVLDSSRPADPLSHGGNSSSESSVLIEDKAVDTLAHLSDGDARAGLNGL
+QLAVLARLSARKTFGKKGGQSYPPSRVLVTESDVKEGLQRSHILYDRAGEEHYNCISALH
+KSMRGSDSSAALYWLGRMLEGGEDPLYVARRLVRFASEDVGLADPSALTQAVATYQGCHF
+IGMPECEVLLAQCVVYLARAPKSVEVYSAYNNVKACLRGHQGPLPPVPLHLRNAPTRLMK
+DLGYGQGYKYNPAYSEPVEQEYLPQELRGVDFFKQRRC
+>tr|A6QQ36|A6QQ36_BOVIN MHC_I-like_Ag-recog domain-containing protein OS=Bos taurus OX=9913 GN=LOC100850276 PE=2 SV=2
+MGGSKTSLGFLVLLPIVLFSRTSSDAHSLSYNVTIDPRPRDGQPWCEVQGEVDQKVFLSY
+DCGRAKIMYMSPLGEKVKSMNAWETQTDTLRDIGDLLKEQMPDVTPEKHIDEVGSGLLTL
+QARMACWREDNGHTSASWQFGFNGQTCLLFDLENGHWTMVHSKGRRMKEKWENDRAVTEF
+FKKVSMGDCQRWFQDFLLRWEKMLKTTASPTTGPSTMQPMAPDSSYIAWIATGVLAGFVM
+TIIIIACIRYKKRKLCSQEAPDRCSVGLRTQSLLGCFCSPAVYFRAKRSDLRNPKSVYQL
+>tr|A6QLA9|A6QLA9_BOVIN TOX protein OS=Bos taurus OX=9913 GN=TOX PE=2 SV=1
+MDVRFYPPPAQPAAAPDAPCLGPSPCLDPYYCNKFDGENMYMSMTEPSQDYVPASQSYPG
+PSLESEDFNIPPITPPSLPDHSLVQLNEVEPGYHSLCHPMNHNGLLPFHPQNMDLPEITV
+SNMLGQDGALLPNSISVMQDIRNPEGTHYSSHPQMAAMRPRAQPADLRQQPGMMPHGQLT
+TINQSQLSAQLGLNVGGGSVPHNSPSPPGSKSATPSPSSSVHEDEGDDASKINGGEKRPA
+SDMGKKPKTPKKKKKKDPNEPQKPVSAYALFFRDTQAAIKGQNPNATFGEVSKIVASMWD
+GLGEEQKQVYKKKTEAAKKEYLKQLAAYRASLVSKSYSEPVDVKTAQPPQLINAKPPSVF
+PGPSQAHSALYLSSHYHQQPGMNPHLTAMHPSLPRSIAPKANNQMPVTVSIANMAVSPPP
+PLQISPPLHQHLNLQQHQPLSMQQPLGTQLPMQVQPALHSPTMQQGFNLQPDYQTIINPT
+STAAQVVTQAMEYVRSGCRNPPTQPVDWNNDYCSSGGMQRDKALYLT
+>tr|Q2KHV3|Q2KHV3_BOVIN Lipopolysaccharide-induced TNF factor OS=Bos taurus OX=9913 GN=LITAF PE=2 SV=1
+MSVPGSYQAAAGPSAVPTAPPSYEETVAVNSYYPTPPAPTPGPNTGLMTGPDGKGMNPPA
+YYTQPVPVPNANAIAVQTVYVQQPISFYDRPVQMCCPSCNKMIVTQLSYNAGALTWLSCG
+SLCLLGCIAGCCFIPFCVDALQDVDHHCPNCKALLGTYKRL
+>tr|Q2KIJ4|Q2KIJ4_BOVIN Hypothetical LOC540154 OS=Bos taurus OX=9913 GN=TRIM59 PE=2 SV=1
+MHNFEDELTCPICYSIFEDPRVLPCSHTFCRNCLENVLQATGNFYIWRPLRIPLKCPNCR
+SIIEIAPSGIESLPVNFALRAIIEKYQQEDHPDIITCPEHYRQPLNVYCLLDKKLVCGHC
+LTIGQHHGHPIDDLQSAYMKEKDTPQKLLEQLTDTHWTDLTCLIEKLEEQKSHSEKMVQG
+DKEVVLQYFKELSDTLEQKKKIFLTALCDVGNLINQEYTPQIERMKEIREQQLELVTLTT
+SLQEEAPLKFLEKVDDVRQRVHILKQRPLPNVQPVEIYPRVSQVLKEDWSRTEIGQIKKL
+LIPEMKISSKRIPCAWPDKEEKVEFFKILNIVIVTLISVILMLILFFNQHIITFLNEITS
+I
+>tr|Q3Y8M7|Q3Y8M7_BOVIN Short transient receptor potential channel 1 OS=Bos taurus OX=9913 GN=TRPC1 PE=2 SV=1
+MMAALYPSTDLSGASSSSLPSSPSSSSSPNEVMALKDVREVKEENTLNEKLFLLACDKET
+NGENSES
+>tr|Q2KHV0|Q2KHV0_BOVIN Potassium voltage-gated channel, Isk-related family, member 1 OS=Bos taurus OX=9913 GN=KCNE1 PE=2 SV=1
+MILSNATAVTPVLTKLWQGTVQQGSNMTGLARRFPGHEDGKLAALYILMVLGFFGFFTLG
+IMLSYIRSKKLEHSHDPYNVYIESDTWQEQDKAYFQARILESCRACYVIENQLAVERPSA
+YLPEMKRSS
+>tr|Q08DI7|Q08DI7_BOVIN Myozenin 3 OS=Bos taurus OX=9913 GN=MYOZ3 PE=2 SV=1
+MIPKEQKGPVMTTTGDLTEPVPLLDLGKKLSVPQDLMMEELSLRNNRGSLLFQKRQRRVQ
+KFTFEFAASPRAVVAGSAKEKVTGTAEPGMVANSHEGKNYRSELHIYPASHGGPGDAESM
+ASRLVSTYNPSALAPGYAEPLKGIPPEKFNHTAIPKGYRCPWQEFISYRDYLGEGGSHTP
+SLAEYRNFNKTPMPFGGPLVGETLPRAGTPFVPELTSGLELLRLRPSFNRVAQGWVRNLP
+ESEEL
+>tr|Q5EEZ1|Q5EEZ1_BOVIN HFE OS=Bos taurus OX=9913 GN=HFE PE=2 SV=1
+MGPRARPALLLLILLRTAATQGRPPRSHSLRFLFMGASKPDLGLPLFEALGYVDDQLFVS
+YDHESRRAERRAPWLWGRATSQLWLQLSQNLKGWDHMFIVDFWTIMDNHNQSKVTKLGAL
+PESHTLQVILGCELQEDNSTRGFWKYGYDGQDHLEFRPETLDWRAAEPRAQVTKLEWEVN
+KIRAKQNRAYLDRDCPEQLLHLLELGRGPLEQQVPPLVKVTHHVTSSLTTLRCRALNFYP
+QNITIRWLKDKQFLDAKEIKPEDVLPNGDGTYQAWVALAMLPGEEQRYSCQVEHPGLDQP
+LTATWEPSLSGTLVTGILSGIAVCVIIFLIGILFRILKRRQSSRGAAVNYALAECE
+>tr|F1N2F9|F1N2F9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=XRCC1 PE=4 SV=3
+MPEIRLRHVVSCSSQDSTYCAENLLKADTYRKWRAAKAGEKTISVVLQLEKEEQIHSVDI
+GNDGSAFVEVLVGSSAGGAGEQDYEVLLVTSSFMSPAESRSGSNSNRVRIFGPDKLVRAA
+AEKRWDRVKIVCSQPYSKDAPYGLSFVRFHSPPDKDEAEVSSQKVTKLGQFRVKEEDESA
+SSLKPGALFFSRINKTPPVAASDPAGPSYAAATLQASSAASSASPASKAAGSAFKVRSPD
+PGGAEKEERSWRPQHNPPPKPQESPKGKRKLDLNQEEKKTPSKPSAQPPLPALKRPKLPA
+PARTSATPRTPAPARGAAPGKPRGEGAEPKAPRAGPQELGKILQGVVVVLSGFQNPFRSE
+LRDKALELGAKYRPDWTPDSTHLICAFANTPKYSQVLGLGGRIVRKEWVLDCHRMRRRLP
+SRRYLMAGSGSSSEDEGGSHSGGSGNEAPKAPRKRPQTKAKPPQAAGPSSPQRPPTPEET
+KPASPGAQEDNDDTEAEQSEGQDNGAEDSGDTEDELRRVAEQKEERQPPGQEENGEDPYA
+GSTDENTDHEGPPESPDLPVPELPDFFQGKRFFLYGEFPGDERRTLSRYVTAFNGELEDY
+MSERVQFVITAQEWDPSFEEALTDNPSLVFVRPRWIYSCNEKQKLLPHQLYGVVPQA
+>tr|Q2HJ79|Q2HJ79_BOVIN Splicing factor, arginine/serine-rich 18 OS=Bos taurus OX=9913 GN=SFRS18 PE=2 SV=1
+MWDQGGQPWQQWPLNQQQWMQSFQHQQDPSQIDWAALAQAWIAQREASGQQSMVEQPPGM
+MPNGQDMSTMESGPNNHGNFQGDSNFNRMWQPGLLFMFSKF
+>tr|Q1RMU6|Q1RMU6_BOVIN C1H3ORF21 protein OS=Bos taurus OX=9913 GN=XXYLT1 PE=2 SV=2
+MGLLRGGPPCARAMARLGAVRSHYCALLLAAALAVCAFYYLGSGRETFSSATKRLKEARA
+GAPAAPSPPVLELARGSLAPAPGAKAKSLEGGGAGPVDYHLLMMFTKAEHNAALQAKARV
+ALRSLLRLAKFEAHEVLNLHFVSEEASREVAKALLRELLPPAAGFKCKVVFHDVGVLTDK
+LFPVVEAMQKHFSAGSGTYYSDSIFFLSVAMHQIMPKEILRIIQLDLDLKYKTNIRELFE
+EFDNFLPGAIIGIAREMQPVYRHTFWQFRHDNPKTRVGSPPPEGLPGFNSGVMLLNLEAM
+RQSPLYDRLLEPAQVQQLADKYHFRGHLGDQDFFTMIGMEHPELFHVLDCTWNRQLCTWW
+RDHGYSDVFDAYFRCEGHVKIYHGNCNTPIPEA
+>tr|E1BFB5|E1BFB5_BOVIN KIAA0391 OS=Bos taurus OX=9913 GN=PRORP PE=4 SV=2
+MTFYFTGIRSFPKLWKSNSYFGLSSSHSYLSLFLKDCYGIRNQEKCFSVKTMSAQNTKAM
+NLLIAKARCVRKLDESSNKQVSADSSHMFAAGAAKKRSQMNPSSKDPVLPPVENIIQLPT
+KPLNSEEWDKLKEEFKEKANFENWIILQMVKCHSSVDVAKSVLAWVAAKNSGIVGYDLLV
+KYLYLCVFHKQTSEIIDVYEIMKARYKRLESGAYTLLMRGLIYTDRWREALLLLEDLKKV
+MIPSKKNYDDCIQGALFHQDVNVAWNLYQELLSHDIVPMLETLKAFFDFGKDMKDDQYSN
+KLHNILLYLRNNQLYPGESFAHSIKTWFESIPGEQWKGQFTTIQQSGQCLGCGKTVESIH
+LSSEEYEFLKAKIMRDVIDGGDQYKKTTPQELKRFQNFVKYHPPFDVVIDGLNVAKMFPK
+ARESQVLLNVVSHLAKQNLQVLVLGRKHMLTQNSRWRRVEMEKMQKQASFFFADNISEDD
+PFLLYATLHSGNHCKFITKDLMRDHKACLPDAKTQRLFFKWQQGHQLAIVSKHPGAKITF
+QHILSYDTVVQTTGDSWHIPYDDDLVERYSYEVPTKWLCLHRKT
+>tr|A4IFC5|A4IFC5_BOVIN Golgi associated kinase 1B OS=Bos taurus OX=9913 GN=GASK1B PE=2 SV=1
+MSCPDKPGQLINWFLCSLCVPRVRKLWSSRRPRTRRNLLLGTACAIYLGFLVSQVGRASV
+QHARPAGKGPHRSRAATEAPFPEIPLDGTLAPPESQGNGTTLQPNVVYITLRSKRSKPAN
+IRGTVKPKRRKKYAVASRVPGPEALVGPSLRPQVAARAVDSEVPGHVPGGNLAKAGERPW
+RWIQGPRARGGGADVQLPLAVESNIRIYSESAPSWLSKEDIGQMRLLANRAVAGLQPAQS
+RSGARLLVLEGTTRPSVPACGPSPCGLLKQSLDMSEVFAFHLDRILGLNRTLPSVSRKSE
+FIQDHGESLTSLKKKGDVMGFGLQEAYSSQEFMASSSTLANTKWPRTASPRCDEHFIGKM
+>tr|A6QQ28|A6QQ28_BOVIN Histone H2B OS=Bos taurus OX=9913 GN=H2B PE=2 SV=1
+MGIMNSFVNDIFERIAGEASRLAHYNKRSTITSREIQTAVRLLLPGELAKHAVSEGTKAV
+TKYTSSK
+>tr|E1BKJ1|E1BKJ1_BOVIN TSPY like 2 OS=Bos taurus OX=9913 GN=TSPYL2 PE=3 SV=1
+MDRADEGPPAKARRLSGSEPPQSELLLPPPPPPPPPPPPLLRLPLPPPQQRPRLQEETEA
+AQVLADMRGVGLGPALPPPPPYVILEEGGIRAYFTLGAGGPGWEPAVESGYGESPPLAES
+LETLSPSEVSGESLEIDFQVTEPSSFAGEKALETCSAGGRGYQRLAGPRGREETVIIVED
+DDEDEKESVRKRRRRRKRKPRKVKRESPEKNAEKIECILQALENIQLDLEAVNIKAGKAF
+LRLKRKFIQMRRPFLERRDLIIQNIPGFWVKAFLNHPKISILINQRDEDIFRYLTNLQVQ
+DLRHISMGYKMKLYFQTNPYFTNMVIVKEFQRNRSGRLVSHSTPIRWHRGQEPRAHRHGN
+QDANHSFFSWFSNHSLPEADRIAEIIKNDLWVNPVRYYMMGEGGYRTSRKKQEKEESKNK
+DEYEVVIMDDSDDYHIMEDIIGETSDSDGITDNETIHDVKISDFMETTDCFETTDNEITD
+ISESLCDSECPGHNETTDNNESPNDNETTDNNESADDKESTNDNSENPEDDNTDENEENP
+DDNDENADENPNGDENPKDGNQRSNGNNQDSSDSDNEGDNDGSDIEDNDGNEGDNEGSDD
+DGNEGDNEGSDDDDRDIEDYENYLEDPDKDHHNNTNQDDYEDEVENISEEESSVEEEEEG
+SEEGSEQGENSNEEGIEDDSGEDSEDSDMEKVLQVQNPWAIPGKRGQMG
+>tr|A6QQP8|A6QQP8_BOVIN OTP protein OS=Bos taurus OX=9913 GN=OTP PE=2 SV=1
+MLSHADLLDARLGMKDAAELLGHREAVKCRLGVGGSDPGGHPGDLAPNSDPVEGATLLPG
+EDITTVGSTPASLAVSAKDPDKQPGPQGGPNPSQAGQQQGQQKQKRHRTRFTPAQLNELE
+RSFAKTHYPDIFMREELALRIGLTESRVQVWFQNRRAKWKKRKKTTNVFRAPGTLLPTPG
+LPQFPSAAAAAAAAMGDSLCSFHANDTRWAAAAMPGVSQLPLPPALGRQQAMAQSLSQCS
+LAAGPPPNSMGLSNSLAGSNGAGLQSHLYQPAFPGMVPASLPGPSNVSGSPQLCSSPDSS
+DVWRGTSIASLRRKALEHTVSMSFT
+>tr|Q3SYU8|Q3SYU8_BOVIN TPD52 like 2 OS=Bos taurus OX=9913 GN=TPD52L2 PE=2 SV=1
+MDSAGQDINLNSPNKGLLSDSMTDVPVDTAVAAQAPAVEGLTETEAEELRAELAKVEEEI
+VTLRQVLAAKERHCGELKRKLGLSTLEGLKQNLSRSWHDMQVSNAYKKTQETLSQAGQKT
+SAALSTMGSAISRKLGDMRNSATFKSFEDRVGTIKSKVVGGRENGGDNLPSPTGSGDRPL
+PDHIPF
+>tr|A6H721|A6H721_BOVIN MARVEL domain containing 3 OS=Bos taurus OX=9913 GN=MARVELD3 PE=2 SV=1
+MEQTSGTRESRARPRERDPDRHPRPERDRHPERQRDRAGDRHRERNGGGLRDGDRDRERN
+RQPRQDRPRAGDHRGGEQRVWENSRQSRTRDGPRRPTWDAAPPPWPATLETPEPPPLRKE
+GLGRRGPESEPTSGRYALSNPRPGLQEVVYYQSEAEGLLECHKCRYLCTGRGVIQIVEVI
+LNGMVLMCIVASYFVLAGFSASFASGGGFGNNYYSPFEGTELEQVRQLDQQYTILRAPLI
+YGGVAVSLGLGVLTLGVLLQGAKSLHKLPGKWLLLEAAFSLLAAVGYCVGISIYLHAALQ
+INATDTCRTRERVYARKGLTWMNCQLAGTDGAAATFACLLVVMYGASVVLALRSYREQKQ
+YKDSQEQQRNYNDAPEYVWSGVL
+>tr|F1MJQ3|F1MJQ3_BOVIN Alpha-amylase OS=Bos taurus OX=9913 GN=AMY2B PE=3 SV=1
+MKFFLLLSVIVFCWAQYAPHTKTGRTSIVHLFEWRWVDIALECERYLAPKGFGGVQISPP
+SENAVITDPSRPWWERYQPVSYKLCTRSGNESEFKDMVTRCNNVGVRIYVDAVINHMTGS
+GVSAGTSSTCGSYFNPGTRDFPAVPYSGWDFNDEKCNTGNGEIKSYDVAYQVRDCRLVGL
+LDLALAKDYVRSTVAEYLNRLIDIGVAGFRIDASKHMWPGDIKAVLDKLHNLNTSWFPEG
+SRPFIYQEVIDLGGETITSSDYFGNGRVTEFKYGVKLGTVLRKWSGEKMAYLKNWGEGWG
+FMPSDRALVFVDNHDNQRGHGAGGASILTFWDARLYKMGVGFMLAHPYGFTRVMSSYHWP
+RHFEDGKDVNDWVGPPNNNGVIKEVTINPDTTCGNGWVCEHRWRQIRNMVIFRNVVDGQP
+FTNWWDNGSNQVAFGRGNKGFIVFNNDDWALSATLQTGLPPGTYCDVISGDKIGDNCTGI
+EINVSCDGNAYFSISNSAEDPFIAIHTESKL
+>tr|A7MB60|A7MB60_BOVIN TTC21B protein OS=Bos taurus OX=9913 GN=TTC21B PE=2 SV=1
+MDSQGLKALINYYCQERYFHHVLLVASEGMKSYGSDPVFRFYHAYATLMEGKIQEALREF
+EAIKNKQDVSLCSLIALIYAHKMSPNPDREAILESDARVKEQRKGAGPKVLYQAGLFLWH
+IGRHDKAREYIDRMIKISNGSKEGQVLRAWLDITRRKEPYTKKALRYFEEGLQDGNDIFA
+LLGKAQCLEMRQNYSGALETVNEIIMNFPSFLPAFVKKMKLQLALQDWDQTVETAQRLML
+QDNQNLEALRMLALYYLCREGDIEKAATQLENLGNALDAVEPQNAQLFYTITIAFSRTCG
+RSQLILQKTQTLLERAFNLNPQLSEFATELGYQMILHGKVKEALKWYKTAMTLDETSVPA
+LVGFIQCQLIEGQLQDADQHLEFLSEVQQSFGKSAELTYLHAVLAMRKNKRQEEVINLLN
+DVLDTHFSQLEDLPLGIQYFEKLNPDFLLEIITEYLNFCPMQPASPGQPLSPLLRRCTSI
+LETIVRTVPGLLQAVFLIAKVKYLSGDIEAAYNNLQHCLEHSPSYADAHLLMAQVYLSQE
+KFKLCSQSLELCLSYDFKVREYPLYHLIKAQSQKKMGEIAEAIKTLHMAMSLPGMRRIGS
+SSKSKYRKTEVDASHRLSVFLELVEVHRLNGEQHEAAKVLQDAIHEFSGTSEELRVTIAN
+ADLALAQGDVERALSMLRNVTAEQPYFIEAKEKMADIYLKHRKEKMLYITCYREMAERMP
+SPRSFLLLGDAYMNIQEPEEAIVAYEQALNHNPKDGTLASKIGKALVKTHNYSKAITYYE
+AALKSGQQNYLCYDLAELLLKLKWYDKAEKVLQHALAHEPVNELSALMEDGRFQVLLAKV
+YSKVDRPDDAVTSLQQARELQARVLKRVQIEQPDAVPAQKHLAAEICAEIAKHSVAQRNY
+EKAIKFYREALVHCETDNKIMLELARLYLLQDDPDACLRHCALLLQSDQDNEAATMMMAD
+LMFRKQDYEQAVFHLQQLLERKPDNYMTLSRLIDFLRRCGKLEDVPRFFLMAEKRNSRAK
+LEPGFQYCKGLYLWYTGEPNDALRHFNKARKDSDWGQNALYNMIEICLNPDNETVGGEVF
+ENLDADLGNSTEKQESVQLAVRTAEKLLKELKPQTVQGQVQLRIMENYCLMATKQKSNVE
+QALNTFTEIAASEKDHVPALLGMATAHMILKQTPRARNQLKRIAKMNWNPIDAEDFERSW
+LLLADIYIQSAKYDMAEELIKRCLRHNRSCCKAYEYMGYIMEKEQAYMDAALNYEIAWKH
+GNQTNPAVGYKLAFNYLKAKRYVDAIDICHQVLEAHPTYPKIRKDILDKARTSLRP
+>tr|A6H7A4|A6H7A4_BOVIN BET1 protein OS=Bos taurus OX=9913 GN=BET1 PE=2 SV=1
+MRRAGLGEGVPPGNYGNYGYPNSGYSACEEENERLTESLRNKVTAIKSLSIEIGHEVKHQ
+NKLLAEMDSQFDSTTGFLGKTMGKLKLLSRGSQTKLLCYMMLFSLFVFFVIYWIIKLR
+>tr|A0A3Q1LZN7|A0A3Q1LZN7_BOVIN Janus kinase and microtubule interacting protein 3 OS=Bos taurus OX=9913 GN=JAKMIP3 PE=4 SV=1
+MSKKGAGGRSKGDKAEVLAALQAANEDLRAKLTEIQIELQQEKSKVGRVEREKNQELRQA
+REHEQHKSAVLLTELRTKLHEEKMKELQALREALLRQHEAELLRVIRTKDSENQRLQALL
+HAVRDGGPDRARTVLLSEAKEEAKKGFEVEKVKMQQEISELKGAKRQVEEALTLVIRADK
+IKAAEIRSVYHLHQEEISRIKKECEREIRRLMEEIKFKDRAVFVLERELGVQAGHAQRLQ
+LQKEALDEQLSQVKETDRHPGSPRRELPHASGAGDASDHSGSPEQQLDEKDARRFQLKIA
+ELSAIIRKLEDRNALLSEERNELLKRVREAESQYKPLLDKNKRLTRKNEDLSHSLRRMEN
+KLKFVTQENIEMRQRAGIIRRPSSLNDLDQSQDERDVDFLKLQIVEQQHLIDELSKTLET
+AGYVKSVLERDKLLRYRKQRKKMAKLPKKPVVVETFFGYDEEASLESDGSSISYQTDRTD
+QTPCTPDDDLEEGMAKEETELRFRQLTMEYQALQRAYALLQEQVGGTLDAEREVKTREQL
+QADAQRAQARIEDLQKALAEQGQDMKWIEEKQALFRRNQELVEKIKQMETEEARLKHEVQ
+DAKDQNELLEFRILELEERERKSPAITFHHTPFVDGKSPLQAYCEAEGVTDILVSELMKK
+LDLLGDNANLTNEEQVVVIQARTVLTLAEKWLQQIEETESALQRKMVDLESEKELFSKQK
+GYLDEELDYRKQCLDQAHKRILELEAMLYDALQQEAGAKVAELLSEEEREKLGVAVEQWK
+RQVMSELRERDAQILRERMELLQLAQQRIKELEERIEAQKRQIKELEEKPPFPPALPESG
+ECPSLKTQGEPASADPLPSRRRERGGL
+>tr|Q17QV1|Q17QV1_BOVIN Amyloid beta (A4) protein-binding, family B, member 1 (Fe65) OS=Bos taurus OX=9913 GN=APBB1 PE=2 SV=1
+MSVPSSLSQSAINANSHGGPALSLPLPLHAAHNQLLNAKLQATAVGPKDLRSAMGEGGGP
+EPGPANAKWLKEGQNQLRRAATAHRDQNRNVTLTLAEEASQEPEMAPLGPKGLMHLYSEL
+ELSAHNAANRGPRGPGLIISTQEQGPDEGEEKAAGEAEEDDEEEEEEEEEDLSSPPGLPE
+PLESTEVPPGPQALADGPREHSKSASLLFGMRNSAASDEDSSWATLSQGSPSYGSPEDTD
+SFWNPNAFETDSDLPAGWMRVQDTSGTYYWHIPTGTTQWEPPGRASPSQGSSPREESQLT
+WTGFTHGERFEDGEFWKDEPSEEAPMDLGLKDPEEGMLPFPAQSLSPEPLPQEEEKLPPR
+NANPGIKCFAVRSLGWVEMTEEELAPGRSSVAVNNCIRQLSYHKNNLHDPMSGGWGEGKD
+LLLQLEDETLKLVEPHSQALLHTQPIVSIRVWGVGRDSGRDFAYVARDKLTQMLKCHVFR
+CEAPAKNIATSLHEICSKIMAERRNARCLVNGLSLDHSKLVDVPFQVEFPAPKNELVQKF
+QVYYLGNVPVAKPVGVDVINGALESVLSSSSREQWTPSHVSVAPATLTILHQQTEAVLGE
+CRVRFLSFLAVGRDVHTFAFIMAAGPASFCCHMFWCEPNAASLSEAVQAACMLRYQKCLD
+ARSQASTSCLPAPPAESVARRVGWTVRRGVQSLWGSLKPKRLGSHTP
+>tr|A6H738|A6H738_BOVIN Gamma-glutamylcyclotransferase OS=Bos taurus OX=9913 GN=CHAC1 PE=2 SV=1
+MKQEPAAQNSPPASPPSSQPLSEDDDPQTLWIFGYGSLVWRPDFAYSDSRVGFVRGYSRR
+FWQGDTFHRGSDKMPGRVVTLLEDHEGCTWGVAYQVQGEQVSEALKYLNVREAVLGGYDT
+KEVTFYPQDTPDQPLKALAYVATPQNPGYLGPAPEEAIATQILACRGFSGHNLEYLLRLA
+DFMQLCGPQAQDEHLAAIVDAVGTMLPCFCPTEQALALV
+>tr|A6QP96|A6QP96_BOVIN Calcium homeostasis modulator family member 4 OS=Bos taurus OX=9913 GN=CALHM4 PE=2 SV=1
+MSPALGDIVSSLQRSGTFINTLIAALTIGGQQLFSSFTFSCPCQVGKNFYYGSAFLIIPA
+LILLVAGFALRSQTWTITSEYCCSCVPQLRRISLLERKLACLHFFSITGRALVAPLTWLA
+VTLLTGTYYECAASEFASVDHYRAFDNISAGEQQEILARFPCCRPVPPNMILVRDEVALL
+HRYQSQMLGWILITLATITALVSYSLARCCSPLTSLQHCYFTNHLHNERELFEQAAAQHS
+RLLILQRIKKLFGVIPGNEDVRHIHIPSCQDWREMSVPSFLCMGNDVQGNYSFLGGRVDE
+DNEEGRSGGIELKPQS
+>tr|A6QLB7|A6QLB7_BOVIN Adenylyl cyclase-associated protein OS=Bos taurus OX=9913 GN=CAP1 PE=2 SV=1
+MADMRNLVERLERVVGRLEAVSHASDTHCGYGDSAAKGTTPYVQAFDSLLAGPVAEYLKI
+SKEIGGDVQKHAEMVHTGLKLERALLVTASQCQQPAGNKLSDLLAPISEQIQEVVTFREK
+NRGSKLFNHLSAVSESIQALGWVAMAPKPGPYVKEMNDAAMFYTNRVLKEYKDVDKKHVD
+WVKAYLSIWTELQAYIKEFHTTGLAWSRTGPVAKELSGLPSGPSAGSGPPPPPPGPPPPP
+VPTSSGSDDSASRSALFAQINQGESITHALKHVSDDMKTHKNPALKAQSGLIRSGPKPFS
+ASKPDPPKPVAKKEPALLELEGKKWRVENQENVSNLMIEDTELKQVAYIFKCVNSTLQIK
+GKINSITVDNCKKLGLVFDDVVGIVEIINSKDVKVQVMGKVPTISINKTDGCHVYLSKNS
+LDCEIVSAKSSEMNVLIPTEGGDFNEFPVPEQFKTLWNGQKLVTTVTEIAG
+>tr|A0A3Q1MQJ0|A0A3Q1MQJ0_BOVIN BHLH domain-containing protein OS=Bos taurus OX=9913 GN=MYCL PE=4 SV=1
+MDFDSYQHYFYDYDCGEDFYRSTAPSEDIWKKFELVPSPPTSPPWSSGPGDGDAGPGIGP
+PEPWPGGGAGDDAESRGHSKAWGRNYASIIRRDCMWSGFSARERLERAVSDRLAAGAPRG
+NPPKAPAAPDCAPSLEAGNPAPAAPCPLGEPKTQACSGSESPSDSEGEEIDVVTVEKRQS
+LGVRKPVTITVRADPLDPCMKHFHISIHQQQHNYAARFPPESCSQGQAPEPGPREDGLER
+EAPEEKEDEADEEIVSLPPVESEPSQPCNPKPVSSDTEDVTKRKNHNFLERKRRNDLRSR
+FLALRDQVPTLATCSKAPKVVILSKALEYLQALVGAEKRMATEKRQLRCRQQQLQKRIAY
+LSGY
+>tr|A6H7H5|A6H7H5_BOVIN Gamma-tubulin complex component OS=Bos taurus OX=9913 GN=TUBGCP5 PE=2 SV=1
+MASLPASWSRLERRQERDVRELVRLVAGVQDEADPNFQLALHFAWSNFRFHRFLDVNSHK
+VEKTIEGIYEKFIIHSDLSKAASWKRLTEEFLNASLPNIKEFKTDVHYSILSLLLCLSDS
+PLNSNYVETPRDKEMEKKDDFDWGKYLMEGEEIDLGPSVNTPNWSEESEDENDQQSLSRE
+DSGIQVDRTPLEEQDQNRKLGPRVIWKDEPDARSWLEQHVVHQYWTVRSSRFPHSLHLHS
+NLATVWDQHLYSSDPLYVPDDRVFVTETQVIRETLWLLSGVKKLFIFQLIDGKVTVRNNI
+IVTHLTHSCLRSVLEQIAAYGQVVFRLQEFIDEVMGHSSESMLPGNGSVPKKSTEAPFRT
+YQAFMWALYKYFINFKEELAEIEKCIINNDTTVTLAIVVDKLSPRLAQLKVLHKVFSTGV
+AEVPPDTRNVVRASHLLNTLYKAILEYDNVGEASEQTVSLLFSLWVETVRPYLQTVDEWI
+VHGHLCDSAREFIIQRNKNVPVNHRDFWYATYTLYSVSEKTENEEKMSDNASASSGSDQG
+LSSRQHTMVSFLKPVLKQIIMAGKSMQLLKNLQCTESTTCPATARDAERKSLYTLFLESV
+QSRLRHGEDFTAQALTEQQATRETLIKMQSIAERHLELDDVHDPLLAINFARLYLEQSDF
+HEKFAGGDVCVDRSSESVTCQTFELTLRSCLYPHIDKQYLDCCGNLMRTLKKDYRLVEYL
+QAMRNFFLMEGGDTMYDFYTSIFDKIRERETWQNVSFLNVQLQEAVGQRYPEDSSRLSIS
+FENVDTAKKKLPVHILDGLTLSYKVPWPVDIVISLECQKIYNQVFLLLLQIKWAKYSLDV
+LLFGELANPADRSQRKEGVLGNPDTAAHFGPQKEPVRLQVHRMFLLRVKLMHFVNSLHNY
+IMTRILHSTGLEFQHQVEEAKDLDQLIKIHYRYLSTIHDRCLLREKVSFVKEAIMKVLNL
+ALMFAEGWQAGLGAWQMESIEKMESDFKNCHMFLVTILNKAVCRGSFPHLESLALSLMAG
+MEQS
+>tr|Q1JPC8|Q1JPC8_BOVIN RANBP2-type and C3HC4-type zinc finger containing 1 OS=Bos taurus OX=9913 GN=RBCK1 PE=2 SV=1
+MDEKIKKAEEVAQRLTRAVAGGDEQVAMQCAIWLAEQRVPLNVQLKPEVSPTQDIRLWVS
+VEDAQMHTVTIWLTVRPDMTVASLKDMVFLDYGFPPTLQQWVIGQRLARDQETLHSHGVR
+RDGDSAYLYLLSACNTSLNPQELQRERQLRMLEDLGFKDLTLQPRGPLDPVPPKPGAAQE
+PGRGQPDAVPEPPPVGWTCPGCTFINKPTRPGCEMCCRARPEAYQVPASYQPDEEERARL
+AGEEEALRQYQQRKQQQQEGNYRKHVQLDQRSLVLNTEPAECPVCYSVLAPGEAVVLREC
+LHTFCRECLQGTIRNSQEAEVSCPFIDNTYSCSGKLLEREIRALLSPEEYQRFLDLGISI
+AENRSAFSYHCKTPDCKGWCFFEDDVNEFPCPVCFHVNCLLCKAVHEQMNCKEYQDDLAL
+RAQNDMAARQTTEMLRTMLQQGEAMHCPQCQIVVQKKDGCDWIRCTVCHTEICWVTKGPR
+WGPGGPGDTSGGCRCRVNGIPCHPSCQNCH
+>tr|A6H713|A6H713_BOVIN Lipase OS=Bos taurus OX=9913 GN=LIPA PE=2 SV=1
+MKMWLWGLLVCLVLGTLPSQASGWKQTPVDPETNMNVSEIISHWGFPSEEHLVVTADGYI
+LCLNRIPHGRKNRSDKGPKPVVFLQHGLLADSSDWVTNLPNSSLGFILADAGFDVWMGNS
+RGNTWSRKHKTLSVSQDEFWAFSFDEMANYDLPASINFILNKTGQEQLYYVGHSQGTTIG
+FITFSRIPELAKKIKMFFALAPVASTEFMTGPVVKLAQIPELFLKDLFGIKEFFPQNTFL
+KWLSTHMCTHVILKELCGNVFFVLCGFNERNLNMSRVAVYATHNPAGTSVQNMIHWLQVV
+KLHKFQAFDWGSSAKNYFHYNQSSPPLYNVKDMLVPTAIWSGGRDWLADDKDIVLLQMQI
+SNLVYHKRIPEWEHLDFIWGLDAPWKLYNEIINLMRKYQ
+>tr|A0A3Q1MQ46|A0A3Q1MQ46_BOVIN Ankyrin repeat domain 11 OS=Bos taurus OX=9913 GN=ANKRD11 PE=4 SV=1
+MPKGGCSRTPQQEERSLSSDMVEKQPGKKDKDKVSLTKTPKLDRSDGGKEVRERAPKRKL
+PFTVGANGEQKDSDTEKQGPERKRIRKEPVTRKAGLLFGMGLSGIRAGYPLSERQQVALL
+MQMTAEESANSPVDMTPKHPSQSTVCQKGTPNSASKTKDKVNKRNERGETRLHRAAIRGD
+ARRIKELISEGADVNVKDFAGWTALHEACNRGYYDVAKQLLAAGAEVNTKGLDDDTPLHD
+AANNGHYKVVKLLLRYGGNPQQSNRKGETPLKVASSPTMVNLLLGKGTYTSSEESSAESS
+EEEEEDAPSFAPSSSVDGNNTDSEFEKGLKHKAKNPEPQKTVTPVKDEYEFDEDDEQDRT
+PPVDDKHLLKKDYRREAKSNSFISIPKMEVKSYTKNSTIAPKKASHRILSDTSDEEDVGV
+AVGAGEKLRLSAHTMLPGNKTREPSNCKQQKERNKVKKKRKKEIKGKEVRFGKRGDTFCS
+SESESESSESGEDGGDSAGSPGCLKESPLVLKDPALFSSLSASSTSSHGSSTAQKHNTGH
+ADPHAKHWRTDNWKTISSPAWSEVSSLSDSTRTRLSSESDGSSEGSSVESLKPVRKRQEH
+KKRAGLQGTLPDRKNSFHPSGDGAIPKLDKEGKVVKKHKTKHKHKSKEKGLCSVSQELKL
+KSFTYEYEDSKQRADKAILLDDVPAENKLKGLKHERDHCKKEEKLSKMKSEEKDWLFKDE
+MIKVSREEKSLKRIRDLNKDGGRAFREEKDRSNKAEKERLLKEKSPKEEKLRLYKEERKK
+KSKDRPSKLEKKNDFKEEKIPKEKEKIFKEDKEKLRKEKGYREDSAFDEYCNKSQFLENE
+DTKFSLSDDQQDRWFSDLSDSSFDFKGEDSWDSPVTDYRDMKSDSVARLILETVKEDSKE
+KRRESKAREKRGDRDIFSRKKDRDSLERRREHVADRHRVVPSFLCEKDKRRRESTEGSRD
+RKEAPEAAKERRDGRVKPEEAHREDLKEYGCDFGKSLEPWERHHPGREKKEKLKLEKHKE
+KSSDKDKSEKLILEKCQRDREFDKCFKEKKDAKEKHKDVHSKDKERKASLDQGRDKREKA
+FPGVLSEDFSEKKDEKKGKEKSWYIADIFTDESEDEKDDYTASGFKIGEASEGRGDGPPE
+REDGRELHPPDRHRKHSADRQAHAEKQKDKEMREKKKEKGAVDGGKDRREKTFEKHKEKK
+DRKDRTSVDSVQDKRSKQKPPEKGEKRPPAEDKAKTRHRERPDREQGRERKASKGAEVEK
+SLLERLEEEALQDFREDSNDKASEASSDGFPDRGQDPSLSALLDVSFPEPPEERVRERER
+HRHASSSSKKSHDRERGKKDKLEKKDKSDDYKDAGSRKDASQYEKELLDSDAYGASYGSK
+ADAEDELDKALELFSTEKKEKNDPEREPPKKVEKELRPYGSSALSLLKEKRRREKHRERW
+REDKERHRHHREEPKPPARDTAPGAFRDKAKDEGGKLGEARLKEKIKENPEKEKGDPTKL
+SNGNDKLLPTRDPGKKDVRPREKLLGDGDLMMTSFERMLSQKDLEIEERHKRHKERMRQM
+EKLRHRSGDPKLKEKARPAEDARKKSLDGPPKKPPGPDLTPKDRKPKESAPPAPATENKP
+HPGLAVDPRDWLAGPHMKEVLPASPRPDHGRPTGVPTPASVVSCPSYEEAMHTPRTPSCS
+ADDYPDLMFDCTDPQPMSSTSASACSPSFFDRFSVATSGISETPGQTPTRPLCTNLYRSV
+SVDVRRTPEEEFGLGDKLFRQQSVPAAPSYGSPGQHPEDKAPGPPAPAEKFTCLSPGYYS
+PDYGMPSPKVDALHCPPAATVNLTPSPEGAFAGLQAKSPPSHRDELLAPSMEGALPPDLG
+IPLDATEDQQATAAIIPPEPSFLEPLDEGPFSTVITEEPVEWAHPAAAEQGLPPGLIGGA
+PGDTAGWPVGSDLLLKSPQRFPESPKHFCPAESLHPEPPYPGSPVSYPLPVPEPGLEAKD
+KAEGAVPAAVSASEESAPFAPPSRLESFFSNCKSLPDAPPDAPPEPACVTAVAQVEALGP
+LENNFLEGGHNLSALGQVEPVPWPGAFPAAEDDLDLGPFSLPELPLQTKDVPDVEAEPVE
+ESPLVPPENTPLGAPVLPGGGEAAGTAADQQLVSPSDQAAARLPTEAAPEPLEEPKPAAL
+PEATAETGSGPDGRAPEDSHPGSGLTPALSEQRPPGSGDEEAEGQDLSASPHSTPDAPVD
+GSAQTHVADGAGPQDSAGLEGPLDSVQPESTEPEPKVTAEAPKAPKVEEIPQRMTRTRAQ
+MLANQHKQSSPPTEKEPTPTPTPRAKGRGSEDDDPQAQHPRKRRFQRSSQQLAQQMHTST
+RQTREVIQQTLAAIVDAIKLDAIEPYHSDRSNPYFEYLQIRKKIEEKRKILCYISPQAPQ
+CYAEYVTYTGSYLLDGKPLSKLHIPVIAPPPSLAEPLKELFKQQEAVRGKLRLQHSIERE
+KLIVSCEQEILRVHCRAARTIANQAVPFSACTMLLDSEVYNMPLESQGDENKSVRDRFNA
+RQFISWLQDVDDKYDRMKVCGEQLLSPAGGQTSVGPHLDPPQRSQHPPAALPWMC
+>tr|Q0V8K6|Q0V8K6_BOVIN Transforming growth factor beta regulator 1 OS=Bos taurus OX=9913 GN=TBRG1 PE=2 SV=1
+MTRLPSTPWAIAALGSMPACGVRTRSVCTPVRSRMVARSLSLKLFLKTTPRMLSSAPPLM
+PVMQSCSRPSARLSIHNLIQSCPGARKCVNYQWVKFDVCKPGDSPPPQEQLENDIATSFE
+AFQRHTFDEDHSDPILQGSLDLPELQPTAFVSSYQPMFLTHEPLVDAHLQHLKSPSPCSP
+SQSSD
+>tr|A5D7E6|A5D7E6_BOVIN Tetraspanin OS=Bos taurus OX=9913 GN=CD82 PE=1 SV=1
+MGSACIKVTKYFLFLFNLLFFVLGAVILGFGMWILVDKNSFISILQTSSTSFKVAAYVFI
+SVGALTMLMGFLGCLGAVKEVRCLLGMYFAFLLLILIAQVTAGVFFYFNMGQLKQEMGSI
+VTKLIHNYTDGSGDNLQEAWDYVQAQVKCCGWVSYLNWTENTELMNRTSITFPCSCKKSD
+EDDALMMPQKGFCEVPFGNKTQSGNNPKDWPVYQEGCMEKVQGWLQENLGVILGVCVGVA
+VIELLGMFLSMFLCRRVHSEDYSKVPKY
+>tr|G3N0H4|G3N0H4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=SMARCC1 PE=4 SV=2
+MDFKAGGTLCHILGAAYKYKNEQGWRRFDLQNPSRMDRNVEMFMNIEKTLLQSNCLARPN
+IYLIPDIDLKLANKLKDIIKRHQGTFTDEKSKASHHVYPYPSSQEDEEWLRPVMRKDKQV
+LVHWGFYPDSYDTWVHSNDVDAEIEDPPIPEKPWKVHAKWILDTDVFNEWMNEEDYEVDE
+NRKPVSFRQRISTKNEEPVRSPERRDRKASANARKRKHSPSPPPPTPTESRKKSGKKGQA
+SLYGKRRSQKEEDEQEDLTKDMEDPTPVPNIEEVVLPKNVNPKKDSENTPVKGGTVADLD
+EQDEETVTTGGKEDEDPGKGDQSRSVDPGEDNVTEQTNHIIIPSYASWFDYNCIHVIERR
+ALPEFFNGKNKSKTPEIYLAYRNFMIDTYRLNPQEYLTSTACRRNLTGDVCAVMRVHAFL
+EQWGLVNYQVDPESRPMAMGPPPTPHFNVLADTPSGLVPLHLRSPQIPAAQQMLNFPEKN
+KEKPIDLQNFGLRTDIYSKKTLAKSKGASAGREWTEQETLLLLEALEMYKDDWNKVSEHV
+GSRTQDECILHFLRLPIEDPYLENSDASLGPLAYQPVPFSQSGNPVMSTVAFLASVVDPR
+VASAAAKAALEEFSRVREEVPLELVEAHVKKVQEAARASGKVDPTYGLESSCIAGTGPDE
+PEKLEGAEEEKMETDTDAQQPEKAENKGENETDEGDKAQDGENEKNSEKEQDSEVSEDTK
+SEEKEAEENKELTDSCKERESDVGKKKVEHEISEGNVATAAAAALASAATKAKHLAAVEE
+RKIKSLVALLVETQMKKLEIKLRHFEELETIMDREKEALEQQRQQLLTERQNFHMEQLKY
+AELRARQQMEQQQHGPNPQQAHQHSGGPGLAQLGAAGHPGMMPHQQPPPYPLMHHQMPPP
+HPPQPGQIPGPGSMMPGQPMPGRMMPTVAASIHPPGSGPTPPGMPPIPGNILGPRVPLTA
+PNGMYPPPPQQQPPPPAPADGGPPPPAPGPPASAAP
+>tr|Q17QH5|Q17QH5_BOVIN BH3 interacting domain death agonist OS=Bos taurus OX=9913 GN=BID PE=2 SV=1
+MDLKVSNGPGPGDERTTSLLLLGFLQSCPHSCFQRELEALGHELPGHLFHDDELQTDGNR
+CSHFPLAAEAETDSERQEEAVREVARQLAQIGDRLEGSIHPGMVAGLASRFSVRSLSEED
+RRQCLAAALEQLMQTCPPDVDHEKTQLLLTMLLAKKIADHSPALLRDVFHTTVTFINQNL
+LAYVRNLVRNQMD
+>tr|A0A3Q1MG52|A0A3Q1MG52_BOVIN NADH dehydrogenase [ubiquinone] flavoprotein 1, mitochondrial OS=Bos taurus OX=9913 GN=NDUFV1 PE=3 SV=1
+MPASRQEKGGPEAHGTTSPSSLSARQGTSGLSALPRGGRAKGGAGAARGSWGAPCQGACD
+VLPVRRLASRSCRVLSLRAVKVTAGGHWLAFPPPIPAMLAARRLLGGSLPARVSVRFSGD
+TTAPKKTSFGSLKDEDRIFTNLYGRHDWRLKGAQSRGDWYKTKEILLKGPDWILGEVKTS
+GLRGRGGAGFPTGLKWSFMNKPSDGRPKYLVVNADEGEPGTCKDREIIRHDPHKLVEGCL
+VGGRAMGARAAYIYIRGEFYNEASNLQVAIREAYEAGLIGKNACGSGYDFDVFVVRGAGA
+YICGEETALIESIEGKQGKPRLKPPFPADVGVFGCPTTVANVETVAVSPTICRRGGAWFA
+SFGRERNSGTKLFNISGHVNNPCTVEEEMSVPLKELIEKHAGGVTGGWDNLLAVIPGGSS
+TPLIPKSVCETVLMDFDALIQAQTGLGTAAVIVMDRSTDIVKAIARLIEFYKHESCGQCT
+PCREGVDWMNKVMARFVRGDARPAEIDSLWEISKQIEGHTICALGDGAAWPVQGLIRHFR
+PELEERMQQFAQQHQARQAAF
+>tr|E1BGG6|E1BGG6_BOVIN Regulatory factor X5 OS=Bos taurus OX=9913 GN=RFX5 PE=4 SV=1
+MAEDEPDAKSPKTGGRTSSGSAEAGEPTTLLQRLRGTISKAVQNKVEGILQDVQKFSDND
+KLYLYLQLPSGPSTGDKSSEPSTLSNEEYMYAYRWIRNHLEEHTDTCLPKQSVYDAYRKY
+CESLACCRPLSTANFGKIIREIFPDIKARRLGGRGQSKYCYSGIRRKTLVSMPPLPGLDL
+KGSESPEMGPEVTPAPRDEVVEAACALTCDWAERILKRSFSSIVEVARFLLQQHLISARS
+AHAHVLKTVGLAEEDEHAPRERSSKSKNGVENLEGGSHKRPERQVQPPKELDPRAGAGPS
+ARGERKKSVAESPAPVANNPQVNALVARLPLLLPRAPRSLIPPIRVSPPILAPKLSSGTL
+KMAALPLPSRAGGPQAAVPIINMILPTVPALPGPGPGPGQAPPGGLAQPRSAENREVGIG
+GDLGPHDKGVKRTAEVPVSEASGQDPPAKATKQDLDDTGSDAKRKRGRPRKKSGGSRERN
+STPDKSAAAVDSAQSSRLPREAWASGGESNSAGGSGGPGPVGEAEKGVLAQGQEDGAVSK
+GGRGPSSRHAKEAEDKIPPVTPKVSVIRGSRSQKEALHLVRGEVDTSAQGNKDLKGHVLP
+SSIPHERKDPKAAPP
+>tr|E1BMP4|E1BMP4_BOVIN BRD4 interacting chromatin remodeling complex associated protein like OS=Bos taurus OX=9913 GN=BICRAL PE=4 SV=1
+MDDDDDSCLLDLIGDPQALNYFLHGPSNKSSNEDLTNAGYSAANSNSIFANSSNADPKSA
+LKAVSSQLGEGPSDGLPLSSSLQFLEDELESSPLPDLSEDQPFDILQKSLQEANITEQTL
+AEEAYLDASIGSSQQFAQAQLHPSSSASFTQASNVSNYSGQTLQPIGVTHVPVGTSFASN
+TVGVHHGFMHHVGISVPSQHLSNSSQISGSGQIQLIGSFGNQPSMMTINNLDGSQIILKG
+SGQQAPSNVSGGLLVHRQTPNGNSLFGNSSSSPVAQPVTVPFNSTNFQTSLPVHNIIIQR
+GLAPNSNKVPVNIQPKPIQMGQQNTYNVNNLGIQQHHVQQGISFASASSPQGSVVGPHMS
+VNIVNQQNTRKPVTSQSVSSAGGSIVIHSPMGQPHTPQSQFLIPTSLSVSSNSVHHVQTI
+NGQLLQTQPSQLISGQVASEHVMLNRNSSNMLRTNQPYSGQMLNNQSAAVQLVSGQTFAA
+SGSPVIVNHASPQIVGGQVPLQQASPTVLHLSPGQSSVSQGRPGFTTMPSVTSMAGPSRF
+PVSSSSTAHPSLGSAVQSGASGSNFTGDQLTQPNRTPGPVSVSHRLPVPSSKSTSTFSNT
+PGVGSQQQFFCQAQKKSLNQTSPISASKTTDGLRPAPMPGLLSTALPGQDSGSKVIPASL
+GTTQPQQEKVVGSPPGQPTVQVDGHPGGQKRPAAKQLTKGAFILQQLQRDQAHAVTPDKN
+QFRSLSDAVQRLLSYHVCQGSMPTEEDLRKVDSEFETVATQLLKRTQAMLNKYRCLLLED
+AMRINPSAEMVMIDRMFNQEERASLSRDKRLALVDPEGFQADFCCSFKLDKAAHEMQFSR
+SDQHGGKTTSSVHLTAKAQSRDRAKAGLAEPMNHDQFHLVPNHIVVSAEGNISKKTDCLG
+RALKFDKVGSAQYRSASEEKTSRRDSAKGSECSPGPEGHRKNSSRPDHGPDSKLSSLLVD
+SQLEMTCNSSFQDKTLRNSPKNEVLHTDIMKGSGEPQPDLQLTKSLETTFKNILELKKAG
+RQSQSDPTVSGSVELDFPNFSPMASQENCLEKFIPDHSEGVVETDSILEAAVNSILEC
+>tr|A0JN72|A0JN72_BOVIN Chemokine (C-C motif) receptor 1 OS=Bos taurus OX=9913 GN=CCR1 PE=2 SV=1
+METSTTAKDYDMTTEYDYGDTTPCQKAQERAFGAQLLPPLYSVVFVIGLVGNILVVLVLM
+QYKRLKSMTSIYLLNLAISDLIFLFTLPFWIDYKVKDDWIFGDAMCKLLSGFYFMGLYSE
+IFFIILLTIDRYLAIVHAVFALRARTITFGIITSIVVWVLAVLASVPGLYFSKTQWEFTH
+HTCSIHFPPESFTKWKQFQALKLNIMGLVLPLLVMIVCYTGIIKILLRRPNEKKAKAVRL
+IFVIMIIFFLFWTPYNLSVFVAAFQDSLFTRKCEQSRQLDLAIQVTEVIAYTHCCINPVI
+YVFVGERFRKYLRQLFYRLVAVHLAKWFPFLSTERLERVSSMSPSTGEHELSAGF
+>tr|A4FUD8|A4FUD8_BOVIN XPA binding protein 2 OS=Bos taurus OX=9913 GN=XAB2 PE=2 SV=1
+MVVMARLSRPERPDLVFEEEDLPYEEEIMRNQFSVKCWLRYIEFKQGAPKPRLNQLYERA
+LKLLPCSYKLWYRYLKARRAQVKHRCVTDPAYEDVNNCHERAFVFMHKMPRLWLDYCQFL
+MDQGRVTHTRRTFDRALRALPITQHSRIWPLYLRFLRSHPLPETAVRGYRRFLKLSPESA
+EEYIEYLKSSDRLDEAAQRLATVVNDERFVSKAGKSNYQLWHELCDLISQNPDKVQSLNV
+DAIIRGGLTRFTDQLGKLWCSLADYYIRSGHFEKARDVYEEAIRTVMTVRDFTQVFDSYA
+QFEESMIAAKMETASELGREEEDDVDLELRLARFEQLISRRPLLLNSVLLRQNPHHVHEW
+HKRVALHQGRPREIINTYTEAVQTVDPFKATGKPHTLWVAFAKFYEDNGQLDDARVILEK
+ATKVSFKQVDDLASVWCECGELELRHENYDQALRLLRKATALPARRAEYFDGSEPVQNRV
+YKSLKVWSMLADLEESLGTFQSTKAVYDRILDLRIATPQIVINYAMFLEEHKYFEESFKA
+YERGISLFKWPNVSDIWSTYLTKFIARYGGRKLERARDLFEQALDGCPPKYAKTLYLLYA
+QLEEEWGLARHAMAVYERATRAVEPAQQYDMFNIYIKRAAEIYGVTHTRSIYQKAIEVLS
+DEHAREMCLRFADMECKLGEIDRARAIYSFCSQICDPRTTGAFWQTWKDFEVRHGNEDTI
+REMLRIRRSVQATYNTQVNFMASQMLKVSGSATGTVSDLAPGQSGMDDMKLLEQRAEQLA
+AEAERDQPSRAQSKILFVRSDASREELAELAQQANPEEIELGEDEDEDEMDLEPNEVRLE
+QQSVPAAVFGSLKED
+>tr|Q8SPQ6|Q8SPQ6_BOVIN NK receptor Ly49 OS=Bos taurus OX=9913 GN=KLRA1 PE=2 SV=1
+MSDQEVTYSTLRFLQSPSESQNRLRPDGTQRPDKTEEKESSVPWHGIAVTLGILCLLLLM
+TITVLGIKISQYILEKHQQEEALWNLSQKYDVVQNDNYLNKQLLTKKTSECDRLNETLQQ
+IKGLSDLVFTEKKGCYHKKKSSESLPNTGELKEVSWWCWGVNCYYFTAETNNWMGCNQIC
+QSHNSCLLKIDDAKELNLLQSQTCQNYYWIGLAFSKMENRWKWIDNGIPSRLHLTIMDHR
+FGKDECAFLTSTRIETIDCYKTYNCICEKRIDCFNKH
+>tr|Q08DX8|Q08DX8_BOVIN Palmitoyltransferase OS=Bos taurus OX=9913 GN=ZDHHC3 PE=2 SV=1
+MMLIPAHHFRDIERKPEYLQPEKCIPPPHPRPAGTMWFIRDGCGIACAIVTWFLVLYAEF
+VVLFVMLIPSRDYVYSVINGLVFNLLAFLALASHCRAMLTDPGAVPKGNATKEFIESLQL
+KPGQVVYKCPKCCSIKPDRAHHCSVCKRCIRKMDHHCPWVNNCVGENNQKYFVLFTMYIA
+LISLHALIMVGFHFLHCFEEDWTKCSSFSPPTTVILLILLCFEGLLFLIFTSVMFGTQVH
+SICTDETGIEQLKKEERRWAKKTKWMNMKAVFGHPFSLGWASPFATPDQGKADPYQYVV
+>tr|Q58D73|Q58D73_BOVIN Adaptor related protein complex 5 subunit sigma 1 OS=Bos taurus OX=9913 GN=AP5S1 PE=2 SV=1
+MVHAFLIHTLRAAKAEEGLCRVLYSCFFGAENSPNDSQPHSAERDRLLRKEQILAVARQV
+ESMYQLQQQACGRHAVDLQPQSSDDPVALHEAPCGAFRLAPGDPFQEPRTVVWLGVLSIG
+FALVLDTHENLLLVESTLRLLARLLLDHLRLLVPGGANLLLRADCIEGILTRFLPHGQLL
+FLNDQFVQGLEKEFSAAWSH
+>tr|Q1RMM9|Q1RMM9_BOVIN Alpha-galactosidase OS=Bos taurus OX=9913 GN=NAGA PE=2 SV=1
+MLLKTVLLLALASQVLVLENGLLRKPPMGWLAWERFRCNIDCSEDPKNCISEQLFMEMAD
+RLAQDGWRDLGYVYLNIDDCWIGGRDAKGNLVPDRKRFPHGIAFLADYAHSLGLKLGIYE
+DLGNFTCMGYPGTTLDKVVQDAQTFAEWKVDMLKLDGCYSTPQERAEGYPKMAAALNATG
+RPIAFSCSWPAYEGGLPPKVNYTLLADICNLWRNFDDIQDSWRSVLSVLDWFVTHQDVLQ
+PIAGPGHWNDPDMLLIGNFGLSFEQARAQMALWTVLAAPLFMSTDLRTISAQNMDILQNP
+LMIKINQDPLGIQGRRILKEKSHIEVYLRPLASEASAIVFFSRRMDMPYHYHSSLARLNF
+SSSVVYEAQDVYTGDIISGLQDKTNFTVIINPSGVVMWYLYPIRKLEIPQQ
+>tr|A7MAZ2|A7MAZ2_BOVIN STX12 protein OS=Bos taurus OX=9913 GN=STX12 PE=2 SV=1
+MSYGPLDMYRNPGGSGPPLRDFNSIIQTCSGNIQRISQATAQIKNLMSQLGTKQDSSKLQ
+ENLQQLQHSTNQLAKETNELLKELGSLPLPLSTSEQRQQKLQKERLMNDFSAALNSFQAV
+QRRVSEKEKESIARARAGSRLSAEERQREEQLVSFDSHEEWNQMQRQEDEVAITEQDLEL
+IKERETAIRQLEADILDVNQIFKDLAMMIHDQGDLIDSIEANVESSEVHVERATDQLQRA
+AYYQKKSRKKICILVLVLSVIIVIFGFILWLVNK
+>tr|Q1JQ95|Q1JQ95_BOVIN CKLF-like MARVEL transmembrane domain containing 7 OS=Bos taurus OX=9913 GN=CMTM7 PE=2 SV=1
+MSHGAGLVRTTCSSGSAPGDGVGAGPLGSSSSVRLLDPVYPRTQAAMLKVAQMATLLIAF
+ICVRSSLWTSYSAYSYFEVVTICNLIMILAFYLAHLFRLPRVLTCISWPLSELLHYLIGT
+LLLLIASIVAASKSYSQSGLVAGAVFGFLATFLCLASVWLSYKISCVTQSTDAA
+>tr|G3X7C1|G3X7C1_BOVIN Thyroid hormone receptor beta OS=Bos taurus OX=9913 GN=THRB PE=3 SV=2
+MTPNSMTENGLPAWDKPKPCPDGEPEWKLVGMSEACLHRKSHPERRGPLKHEQSPLIQAS
+WTSSIFHLDHDDVSDQSAPSAQTFQTEEKKCKGYIPSYLDKDELCVVCGDKATGYHYRCI
+TCEGCKGFFRRTIQKNLHPSYSCKYEGKCVIDKVTRNQCQECRFKKCIYVGMATDLVLDD
+SKRLAKRKLIEENREKRRREELQRSMGHKPEPTDQEWELIKTVTEAHVATNAQGSHWKQK
+RKFLPEDIGQAPIVNAPEGGKVDLEAFSHFTKIITPAITRVVDFAKKLPMFCELPCEDQI
+ILLKGCCMEIMSLRAAVRYDPESETLTLNGEMAVTRGQLKNGGLGVVSDAIFDLGMSLSS
+FNLDDTEVALLQAVLLMSSDRPGLACVERIEKYQDSFLLAFEHYINYRKHHVTHFWPKLL
+MKVTDLRMIGACHASRFLHMKVECPTELFPPLFLEVFED
+>tr|A6H775|A6H775_BOVIN EGF like domain multiple 8 OS=Bos taurus OX=9913 GN=EGFL8 PE=2 SV=1
+MGSRAEPCTVLGGLWFLLLLMTGEGAKGGALKESQGVCSKQTLVVPLRYNESYSQPVYKP
+YLTLCSGRRVCSTYRTTYRVAWREVRREVRQTHAVCCQGWKKRHPGALTCDEAICAKPCQ
+NGGVCVRPDQCECARGWGGKHCHVDVDECRTGVTLCSHRCLNTAGSFTCGCPHGLVLGLD
+GRTCAERAPEPPTSASILNVAVREAGLDKRALRREIGELRGRLERLEQWAGQAGAWVRAV
+LPVPPEELQPEQVAELWGQGDRIESLSDQVLLLEERLGTCSCEDNSLGPGLKGRR
+>tr|E1BNF7|E1BNF7_BOVIN Kruppel like factor 7 OS=Bos taurus OX=9913 GN=KLF7 PE=4 SV=1
+MDVLASYSIFQELQLVHDTGYFSALPSLEETWQQTCLELERYLQTEPRRISETFGEDLDC
+FLRASPPPCIEESFRRLDPLLLPVEATICEKSSAVDILLSRDKLLSETCLSLQPTSSSLD
+SYTAVNQAQLNAVTSLTPPSSPELSRHLVKTSQTLSAVDGTVTLKLVAKKAALGSVKVGG
+VATAAAAVAAAGTVKSGHSDSEQGGVGAEACPENKKRVHRCQFNGCRKVYTKSSHLKAHQ
+RTHTGEKPYKCSWEGCEWRFARSDELTRHYRKHTGAKPFKCNHCDRCFSRSDHLALHMKR
+HI
+>tr|Q9GKR3|Q9GKR3_BOVIN Vascular cell adhesion molecule-1 7D variant OS=Bos taurus OX=9913 GN=VCAM1 PE=2 SV=1
+MLRKMFVIFGASDVLWMVFAVSQASQIDIFPSESKIFAQIGDSVSLTCSTTGCESPSLSW
+RTQIDSPLNGKVKTEGTTSMLIMDPVSFKDEHQYLCTAICKDKKLEKAIRVEIYSFSKDP
+EIHLSSPPEVGKPVTVTCSVPDVYPFERLEIELLKGNRPMKVQDFLEPSEKKAQETKSLD
+VTFSPTDEDIGTALVCQATLHIYDDDSPPKVRKTTKELEVYISPKDTAISVNPSTRLQEG
+DSVTMTCASAGLPAPRILWSKKLDNGNRQLLSENATLTLISMRAEDSGIYVCEGNNPVGK
+DRKEVKLTVQEKNFTVEISPGPQIAAQVGDSVVLTCDVRDCESPSFSWRTLIDSPLNGNV
+RSEGSKSTLTLSPVSFENEHFYLCTVMCGQKKLEKRIQVKPYSFPSNPEIEMSGPLVSGN
+PVTVSCRVPNVYPSDQLEIELFKGESIMMNKTFSKDVSKKALETKSLEKTFIPTTEDIGN
+VLVCLARLPIDEMEFELKQRQSTQTLYVNVAPRDTTILVSPSSILEEGSSVNMTCSSNGL
+PAPKILWSRQLSNGDLQPISENATLSFMSTKMEDSGIYVCEGINQVGTSRKEVNLIIQVA
+PKDIQLTAFPSERVKEGDTVIISCTCGNVPPTLIILKKKAETGYTVLKSTGGAYTIHRAQ
+LEDAGVYQCESKNEVGSQLRSLTLDVKGRESNKDYFSPELLVLYCASSLIIPAIGMIIYF
+ARRANMTGSYSLVEAQKVC
+>tr|A5D7G6|A5D7G6_BOVIN STT3B protein OS=Bos taurus OX=9913 GN=STT3B PE=2 SV=1
+MAEPSAPESKHKSSLNSSPWSGLMALGNSRHGPGAQCAHKAAGGAAPKPAPAGLSGGLSQ
+PAGWQSLLSFTILFLAWLAGFSSRLFAVIRFESIIHEFDPWFNYRSTHHLASHGFYEFLN
+WFDERAWYPLGRIVGGTVYPGLMITAGLIHWILNTLNITVHIRDVCVFLAPTFSGLTSIS
+TFLLTRELWNQGAGLLAACFIAIVPGYISRSVAGSFDNEGIAIFALQFTYYLWVKSVKTG
+SVFWTMCCCLSYFYMVSAWGGYVFIINLIPLHVFVLLLMQRYSKRVYIAYSTFYIVGLIL
+SMQIPFVGFQPIRTSEHMAAAGVFALLQAYAFLQYLRDRLTKQEFQTLFFLGVSLAAGAV
+FLSVIYLTYTGYIAPWSGRFYSLWDTGYAKIHIPIIASVSEHQPTTWVSFFFDLHILVCT
+FPAGLWFCIKNINDERVFVALYAISAVYFAGVMVRLMLTLTPVVCMLSAIAFSNVFEHYL
+GDDMKRENPPVEDSSDEDDKRNPGNLYDKAGKVRKHVTEQEKTEEGLGPNIKSIVTMLML
+MLLMMFAVHCTWVTSNAYSSPSVVLASYNHDGTRNILDDFREAYFWLRQNTDEHARVMSW
+WDYGYQIAGMANRTTLVDNNTWNNSHIALVGKAMSSNETAAYKIMRSLDVDYVLIIFGGV
+IGYSGDDINKFLWMVRIAEGEHPKDIRESDYFTSQGEFRVDKAGSPTLLNCLMYKMSYYR
+FGEMQLDFRTPPGFDRTRNAEIGNKDIKFKHLEEAFTSEHWLVRIYKVKAPDNRETLDHK
+PRVTNIFPKQKYLSKKTAKRKRGYIKNKLVFKKGKKISKKTL
+>tr|F1MBI6|F1MBI6_BOVIN NOVA alternative splicing regulator 1 OS=Bos taurus OX=9913 GN=NOVA1 PE=4 SV=3
+MPQNVAKTEPVSILQPQTTVNPDRIKQTLPSSPTTTKSSPSDPMTTSRANQVKIIVPNST
+AGLIIGKGGATVKAIMEQSGAWVQLSQKPDGINLQERVVTVSGEPEQNRKAVELIIQKIQ
+EDPQSGSCLNISYANVTGPVANSNPTGSPYANTAEVLPTAAAAAGLLGHANLAGVAAFPA
+VLSGFTGNDLVAITSALNTLASYGYNLNTLGLGLSQAAATGALAAAAASANPAAAAANLL
+ATYASEASASGSTAGGTAGTFALGSLAAATAATNGYFGAASPLAASAILGTEKSTDGSKD
+VVEIAVPENLVGAILGKGGKTLVEYQELTGARIQISKKGEFVPGTRNRKVTITGTPAATQ
+AAQYLITQRITYEQGVRAANPQKVG
+>tr|A5PJE9|A5PJE9_BOVIN METTL5 protein OS=Bos taurus OX=9913 GN=METTL5 PE=2 SV=1
+MKKLKLKELESRLQQVDGFEKPKVLLEQYPTRPHIAACMLYTIHNTYGDIENKVVADLGC
+GCGVLSIGTAMLGAGLCVGFDIDEDALEIFNRNVEEFELTNVDVVQCDVCSLSNRMSKSF
+DTVIMNPPFGTKNNKGTDMAFLKTALEMARTAVYSLHKSSTREHIQKKAAEWKVKIDIIA
+ELRYDLPASYKFHKKKSVDIEVDLIRFSF
+>tr|A1A4K6|A1A4K6_BOVIN Unkempt family zinc finger OS=Bos taurus OX=9913 GN=UNK PE=2 SV=1
+MSKGPGPGGSAASSAPPAATAQVLQAQPEKPQHYTYLKEFRTEQCPLFVQHKCTQHRPYT
+CFHWHFVNQRRRRSIRRRDGTFNYSPDVYCTKYDEATGLCPEGDECPFLHRTTGDTERRY
+HLRYYKTGICIHETDAKGNCTKNGLHCAFAHGPHDLRSPVYDIRELQAMEALQNGQTTVE
+GSMEGQSAGAASHAMIEKILSEEPRWQETAYVLGNYKTEPCKKPPRLCRQGYACPYYHNS
+KDRRRSPRKHKYRSSPCPNVKHGDEWGDPGKCENGDSCQYCHTRTEQQFHPEIYKSTKCN
+DMQQSGSCPRGPFCAFAHVEQPPLSDDLQPSSAVSSPTQPGPVLYMPSAAGDSVPVSPSS
+PHAPDLSALLCRNSSLGSPSNLCGSPPGSIRKPSNLEGIVFPGESGLAPGSYKKAPGFER
+EDQVGAEYLKNLKCQAKLKPHSLEPRSQEQPLLQPKQDMLGILPVGSPLTSSISSSITSS
+LAATPPSPAGTSSVPGMNANALPFYPTSDTVESVIESALDDLDLNEFGVAALEKTFDNST
+VSHPGSITMGGSLLQSSAPVNIPGSLGSSASFHSASPSPPVSLSSHFLQQPQGHLSQSEN
+TFLGTSASHGSLGLNGMNSSIWEHFASGSFSPGTSPAFLSGPGAAELARLRQELDEANGT
+IKQWEESWKQAKQACDAWKKEAEEAGERASAAGAECELAREQRDALEVQVKKLQEELERL
+HSGPDPQALPAFPGLEALSLSTLHSLQKRLRAHLEQVDKAVFHMQSVKCLKCQEQNRAVL
+PCQHAVLCELCAEGSECPVCQPGRAHSLQS
+>tr|E1BNT1|E1BNT1_BOVIN Lipase family member J OS=Bos taurus OX=9913 GN=LIPJ PE=4 SV=3
+MWYLFKVMSFILILGTTHGVFRKQRSVNPEASMNISQIISYWGYPDEEYDITTEDGYILG
+LYRIPYGKTNSENNSDAGYDVWMGNSRGTTWSRKHLYLTTNSKEFWAFSFDEMAKYDLPA
+SIDFIVKQTQQQQIFYVGHSQGTTIAFITFSTIPKIAERIKVFFALAPVFSIKYSKSPLI
+KMAYNWKSLIKFFSGSKEFLPNTSFKRFVGSKLCPLKIFVKICRDVLFMISGYDLKNLNT
+SRVDVYMSQNPAGTSVQNMVHWSQLFNSSHLKAFDWGSPDLNLVHFNQTTSPLYNVTSMN
+VPTATWSGDSDLLADPEDVKILLPEITNHIYHKTISYYNHVDFLFGLDVYHQVYSEIIDI
+IQGNP
+>tr|A6H6Y6|A6H6Y6_BOVIN NPDC1 protein OS=Bos taurus OX=9913 GN=NPDC1 PE=2 SV=1
+MATPVPPPSPRHLRLLRLLLSGLVLGAALRGAAAGRSDTAACPGSLDCALKRRARCPPGA
+HVCGPCLQPFQEDRQGLCVPRMRQPLGEGLHQPRLEEEIDFLAQELARQEAGRPRLKAPP
+QLEAQQRPPEAAATLGLSERGQGLDLGLPSTRGAPAPTPRPSLGPAVSSGPVHMSPLEPR
+GGHGDGLTLALILACSVAGAAALAVAALFWWRLQQDIRLTQKADYAVPQGPSSPAPPGIS
+PGDQRLAHSAEMYHYQHQRQQMRCLERHKEPPKELDSASSDEENEDGDFTVYECPGLAPT
+GEMEVRNPLFDHASLSAPPLQ
+>tr|A7E331|A7E331_BOVIN PR/SET domain 8 OS=Bos taurus OX=9913 GN=PRDM8 PE=2 SV=1
+MEDSGIQRGIWEGDAKAVQQCLTDIFTSVYTTCDIPENAIFGPCVLSHTSLYDSIAFIAL
+KSTDKRTVPYIFRVDTSAANGSSEGLMWLRLVQSARDKEEQNLEAYIKNGQLFYRSLRRI
+AKDEELLVWYGKELTELLLLCPSRSHSKMNAGSSPYTCLECSQRFQFEFPYVAHLRFRCP
+KRLHGADLSPREEQGGSVGTKDHGGGGGGGKDQQQSQEAPLGPGPKFCKAGPVHHYPAPS
+PESGGGCGAPAVAAAVGAHAAAALVHLAVSARAELVRQVQCLLPHDLRPSVPHEVASQKG
+VRHGALGEAEAGGETQVPHLQRVLQGAPPPLQAYDLA
+>tr|A5PKF3|A5PKF3_BOVIN EVI2B protein OS=Bos taurus OX=9913 GN=EVI2B PE=2 SV=1
+MDLKYFILILFCGHLNDTFFSETEATTTERQPQSTLFRPSMPYISANSQGTTENPSSQPT
+QFNNLSSGQPITTAKIAAGQPTPLAYASSGKPAAHTSPGQPLVYNVTRKTIQIANTSSQG
+TALPVFTSAIQLSPSAYSSTRQSPPSVSTPTQQPSSTHTSSRKSISPTVHNISIQPTPTV
+KSSPRKTPGFNFEFSRTTNIPKEANYAYSIPAILIGAILTTMLVVVLMIVLWQCLRKPVL
+NDQNWAGRSPFADGETPDICLDNIRENEAFPKRSSIISLMVWKPSKSALLADDLEIKLFE
+SKENTEDSNNPKTERIKDQANGTSEDSGDGSTIGTAVSSSDDTDLPPPPASLLDIEGQEG
+NKSDKPTMITESPRPNNSTNLPASLDCLNQISEHHNSESKQSFPPPPDSFNLTVPPGDFM
+KNQEDCNNEIQCQEFPIPPDFDQDLNESLPPPPAEL
+>tr|A6QNT3|A6QNT3_BOVIN TUBE1 protein OS=Bos taurus OX=9913 GN=TUBE1 PE=2 SV=1
+MTQSVVVQVGQCGNQIGCCFWDLALREHAAVNKKGIYDEAISSFFRNVDTRVVGDGGTIS
+KGKICSLKARAVLIDMEEGVVNEILQGPLRDVFDSKQLITDISGSGNNWAVGHKVFGSLY
+QEKILEKLRKSAEHCDCLQCFFIIHSMGGGTGSGLGTFLLKVLEDEFPEVYRFVTSIYPS
+GEDDVITSPYNSILAMKELNEHADCVLPIDNQSLFDIISKIDLMVNSGKLGAAIKPKSLV
+TSSTGVFKNRQEKPFDAMNNIVANLLLNLTSSSRFEGSLNMDLNEISMNLVPFPQLHYLV
+SSLTPLYTLADVNIPPRRLIFITIYKLKGWRKAVSQKPCHLYQHLYRNITNWMPQKACLW
+KIYLD
+>tr|A0A3Q1M846|A0A3Q1M846_BOVIN Cholecystokinin A receptor OS=Bos taurus OX=9913 GN=CCKAR PE=3 SV=1
+MDVVDSLLMNESNLTPPCELGIENETLFCLDQPHPSKEWQPAVQILLYSLIFLLSVLGNT
+LVITVLIRNKRMRTVTNIFLLSLAVSDLMLCLFCMPFNLIPNLLKDFIFGSAVCKTTTYF
+MGTSVSVSTFNLVAISLERYGAICKPLQSRVWQTKSHALKVIAATWCLSFTIMTPYPIYS
+NLVPFTKNNNQTANMCRFLLPSDVMQQSWHTFLLLILFLIPGIVMMVAYGLISLELYQGI
+KFDASQKKSARERKRSSASSGRYADSAGCCLQRPKHPRKLELRQLSTGSAGRADRIRSSS
+PAASLMAKKRVIRMLMVIVVLFFLCWMPIFSANAWRAFDTASAERRLSGTPIAFILLLSY
+TSSCVNPIIYCFMNKRFRLGFLATFPCCPNPGPPGARGEVGDEVESGTTRASLSKCSYSH
+GSASAPPP
+>tr|A6H7I0|A6H7I0_BOVIN KBTBD5 protein OS=Bos taurus OX=9913 GN=KLHL40 PE=2 SV=1
+MALGLEQAEEQRLYQQTLLQDGLKDMLDHGKFLDCVVRVGEREFPCHRLVLAACSPYFRA
+RFLAEPESAGELRLEEVSPDVVAQVLHYLYTSEIALDEASVQDLFAAAHRFQIPSIFTIC
+VSFLQKRLCLANCLAVFRLGLLLDCARLAVSARDFICARFSLVARDADFLGLSADELIAI
+ISSDGLNVEKEEAVFEAVMRWASSGDAEAQAERQRALPTVFESVRFRLLPRAFLESRVER
+HPLVRAQPELLRKVQMVKDAHEGRITVLRKKKKEKGKESAGAKATDKGTTEAKAEEEEEE
+AERILPGILNDTLRFGMFLQDLIFMISEEGAVAYDPAANECYCASLSTQIPKNHVSLVTK
+ENQIFVAGGLFYNEDNKEDPMSAYFLQFDHLDSEWLGMPPLPSPRCLFGLGEALNSIYVV
+GGRELKDDEQSLDSVMCYDRLSFKWGESDPLPYAVYGHSVLSHMDLVYVIGGKGSNRKCL
+NKMSVYDPKKFEWRELAPMKTPRSLFGATIHDGRIFVAAGVTDTGLTSSAEVYSIADNKW
+APFEAFPQERSSLSLVSLAGTLYAIGGFATLETESGELVPTELNDIWRYNEDEKKWEGVL
+REIAYAAGATFLPVRLNVLRLTKL
+>tr|A5D7M6|A5D7M6_BOVIN KRT5 protein OS=Bos taurus OX=9913 GN=KRT5 PE=2 SV=1
+MSRQSTVSFRSGGGRSFSTASAITPSVSRTSFTSVSRSGGGGGGGFGRVSLGGAYGAGGF
+GSRSLYNLGGSKRISISASGGGFRNRFGAGAGGGYGFGGGAGSGFGFGGGAGGGGFGLGG
+GAGFGGGFGGPGFPVCPPGGIQEVTVNQSLLTPLNLQIDPTIQRVRTEEREQIKTLNNKF
+ASFIDKVRFLEQQNKVLDTKWALLQEQGTKTVRQNLEPLLEQYINNLRRQLDGIVGERGR
+LDSELRNMQDLVEDFKNKYEDEINKRTTAENEFVMLKKDVDAAYMNKVELEAKVDALMDE
+INFMKMFFDAELSQMQTHVSDTSVVLSMDNNRSLDLDSIIAEVKAQYEDIANRSRTEAES
+WYQTKYEELQQTAGRHGDDLRNTKHEISEMNRMIQRLRSEIDNVKKQCANLQNAIADAEQ
+RGELALKDARSKLAELEDALQKAKQDMARLLREYQELMNTKLALDVEIATYRKLLEGEEC
+RLSGEGVGPVNISVVTNTVSSGYGGGSGFGSGLGGGLGGGLGGGLGGGLGSGLGGGGSSS
+FYSSSSGGVGLGGGLSVGGSGFSASSGRSLGFGSGGGSSSSVKFVSTTSSSRKSFKS
+>tr|Q2KHZ3|Q2KHZ3_BOVIN Metal response element binding transcription factor 2 OS=Bos taurus OX=9913 GN=MTF2 PE=2 SV=1
+MVCTICQEEYSEAPNEMVICDKCGQGYHQLCHTPHIDSSVIDSDEKWLCRQCVFATTTKR
+GGALKKGPNAKALQVMKQTLPYSVADLEWDAGHKTNVQQCYCYCGGPGDWYLKMLQCCKC
+KQWFHEACVQCLQKPMLFGDRFYTFICSVCSSGPEYLKRLPLQWVDIAHLCLYNLSVIHK
+KKYFDSELELMTYINENWDRLHPGELADTPKSERYEHVLEALNDYKTMFMSGKEIKKKKH
+LFGLRIRVPPVPPNVAFKAEKEPEGTSHEFKIKGRKASKPISESREVSNGIEKKGKKKSV
+GRPPGPYTRKMIQKTAEPPLDKESISENPSLDLPCSIGRTEGTAHSSNTSDVDFTGASSA
+KETTSSSISRHYGLSDSRKRTRTGRSWPAAIPHLRRRRGRLPRRALQTQNSEIVKDDEGK
+EDYQFDELNTEILNNLADQELQLNHLKNSITSYFGAAGRIACGEKYRVLARRVTLDGKVQ
+YLVEWEGATAS
+>tr|F1MMQ1|F1MMQ1_BOVIN Scm like with four mbt domains 1 OS=Bos taurus OX=9913 GN=SFMBT1 PE=4 SV=3
+MNGEQQTDADAGSGVEEVELSWEDYLEETGSTAVPYGSFKHVDTRLQNGFAPGMKLEVAV
+KTDPETYWVATIITTCEQLLLLRYDGYGEDRRADFWCDIRKADLYPIGWCEQNKKTLEAP
+EGIRDKVSDWDEFLRQTLTGACSPPVPLLEGLRNGRNPLDLIAPGSRLECQAFQDSLSTW
+IVTVVDNIGGRLKLRYEGLEGSDNVEHWLYYLDPFLHHVGWAAQQGYELQPPSAIRHLKN
+EAEWQEILAKVKEEEEPLPSYLFKDKRVIGTHSFSVNMKLEAVDPWSPFGISPATVVKVF
+DEKYFLVEMDDLRLENRGQRSFVCHTDSPGIFPVQWSLKNGLHISPPPGYQGQDFDWADY
+LKQCGAEAAPQRCFPPSISEHEFKENMKLEAVNPLLPEEVCVATITAVRGSYLWLQLEGS
+KKPIPEFIVNVESMDIFPLGWCETNGHPLSTPRRARVHKQRKIAVVQPEKQIPSSRTVHE
+GLKNQELSSTDSVVINGKYCCPKIYFNHRCFSGPYLNKGRIAELPQCVGPGNCVLVLREV
+LTLLINAAYKPSRVLRELQLDKDSVWHGCGEVLKAKYKGKSYRATVEIVKTADRVTEFCR
+QTCIKLECCPNLFGPRMVLDKCSENCSVLTKTKYTHYYGKKKNKRIGRPPGGHSNLACAL
+KKASKRRKRRKNVFVHKKKRSSASVDNTPAGSPQGSGGEDEEDPDEGDDDSLSEGSTSEQ
+QDELQEESEMSEKKSCSSSPTQSEISTSLPPDRQRRKRELRTFSFSDDENKPPSPKEIRI
+EVAERLHLDSNPLKWSVADVVRFIRSTDCAPLARIFLDQEIDGQALLLLTLPTVQECMDL
+KLGPAIKLCHHIERIKFAFYEQFAN
+>tr|E1BJC6|E1BJC6_BOVIN Tripartite motif containing 8 OS=Bos taurus OX=9913 GN=TRIM8 PE=4 SV=2
+MAENWKNCFEEELICPICLHVFVEPVQLPCKHNFCRGCIGEAWAKDSGLVRCPECNQAYN
+QKPGLEKNLKLTNIVEKFNALHVEKPPAALHCVFCRRGPPLPAQKVCLRCEAPCCQSHVQ
+THLQQPSTARGHLLVEADDVRAWSCPQHNAYRLYHCEAEQVAVCQYCCYYSGAHQGHSVC
+DVEIRRNEIRKMLMKQQDRLEEREQDIEDQLYKLESDKRLVEEKVSQLKEEVRLQYEKLH
+QLLDEDLRQTVEVLDKAQAKFCSENAAQALHLGERMQEAKKLLGSLQLLFDKTEDVSFMK
+NTKSVKILMDRTQTCTGSSLSPPKIGHLNSKLFLNEVAKKEKQLRKMLEGPFSTPVPFLQ
+SVPLYPCGVSSSGAEKRKHSTAFPEASFLETSSGPVGGQYGAAGTASGEGQSGQPLGPCS
+STQHLVALPGGAQPVHSSPVFPPSQYPNGSAAQQPMLPQYGGRKILVCSVDNCYCSSVAN
+HGGHQPYPRSGHFPWTVPSQEYSHPLPPTPSVPQSLPGLAVRDWLDASQQPGHQDFYRVY
+GQPSTKHYVTS
+>tr|A6QPV2|A6QPV2_BOVIN CCDC77 protein OS=Bos taurus OX=9913 GN=CCDC77 PE=2 SV=1
+MNFTPTQSPVCRKPAAVHKHEAASSFSSPTRWKGVSFSDSVQSTPLPSVEDRLAVLCPSQ
+ELLEYYQKKMSECEAENEDLLKKLELYREACEGQHKLEWDLQQREEEIAELQKALSDMQV
+CLFQEREHVLRLYSENDRLRIRELEDKKKIQNLLALVGTDTGEVTYFYKEPPNKVSILQK
+TVQPVEVREKNESSALRADSKGSRRKSAGREKEVSTGLHQRDVQTLALQVEALQAQLEEQ
+TRLSREQVAGLMEERRICVEEVQVHQQRSRDRITELTRSLHHTQELLYESTKDFLQLRFE
+NQNKEKSWMLEKDHLMSKIKEYRMQCKKKEEKMGKMWLVHESHHNQNEYIKSLKDKLIQE
+RRLSSMYQEQCISLEEELARIREEEGARREIFKVWGSLPLGGRAAG
+>tr|F1MEE9|F1MEE9_BOVIN Rho family-interacting cell polarization regulator 2 OS=Bos taurus OX=9913 GN=RIPOR2 PE=4 SV=1
+MLVGSQSFSPGGPNGIIRSQSFAGFSGLQERRSRCNSFIENSSAVKKPQAKLKKMHNLGH
+KNSSPPKEPQPKRVEEVYRALKNGLDEYLEVHQTELDKLTTQLKDMRRNSRLGVLYDLDK
+QIKTIERYMRRLEFHISKVDELYEAYCIQRRLQDGASKMKQAFATSPASKAARESLAEIN
+RSYKEYTENMCAIEAELEKQLGEFSIKMKGLAGFARLCPGDQYEIFMKYGRQRWKLKGRI
+EVNGKQSWDGEEMVFLPLIVGFISIKVTELKGLATHLLVGSVTCETKELFAARPQVVAVD
+INDLGTIKLNLEITWYPFDVEDMTPSSGAGNKVAALQRRMSMYSQGTPETPTFKDHSFFS
+NLPDDIFENGKAAEEKMPLSLSFSDLPNGDCTLAPGPADSLPGACAANPEITITSTELPP
+GSQSSQNEGLKDSSSASCSSSSREGSEPRPHPEGETQGLGKPEGCPVATGARPERLFLQK
+GVAEALLQEALLQEPSELKPVELDTFEGNITKQLVKRLTSAEVPAATERLLSEGSISAES
+EGCRSFLDGSLEDAFNGLFLALEPHKEQYKEFQDLNQEVMHLDDILKCKPAVSRSRSSSL
+SLTVESALESFDFLNTSDFDEEEDGDEVCNVGGGADSVFSDTETEKNSYRSVHPEARGHL
+SEALTEDTGVGTSVAGSPLPLTTGNESLDLTIIRHLQYCTQLVQQIVLSSKTPFVASNLL
+EKLSRQIQVMEKLSAVSDENIGNISSVIEAIPEFHKKLSLLSFWTKCCTPIGVYHSSADR
+VIKQLEASFARTVNRDYPGLADPVFHTLVSQILDRAEPLLPASLSSEVITVFQYYSYFTS
+HGVSDLESYLNQLAKQVLVVQTLQSLRDEKLLQAVSDLAPGSFPAPQEEVLRTLALLLTG
+EDSGASEAVTLYLTAASRSEHFREKALLYYCEALTKTDFRLQKAACLALKSLKATESIKM
+LVTLCQSDTEEIRNVASETLLSLGEDGRLAYEQLDKFPRDCVKVGGRLASEVATAF
+>tr|E1BGD9|E1BGD9_BOVIN Kallikrein C OS=Bos taurus OX=9913 GN=KLK5 PE=3 SV=2
+MAAAGAPWSWMVHALIAALILGAKDPVLGNDVASCDDPSAFGPSGSTPDLRPGATEDTRA
+DESSSRIVNGTDCERNSQPWQAALLVRFNKLYCGAVLVDPQWLLTAAHCRKQFFRVRLGH
+HSLSPMYESGQQLLKGIKSIPHPGYSHPGHSNDLMLIKLNKRIRETPYVRPINIASRCPS
+AGTSCLVSGWGTTSSPQVTFPKALQCLNITVLSDDQCKKAYPNQIDNTMFCAGDQAGRDS
+CQGDSGGPVVCNGSLQGLVSWGDFPCAQPNRPGVYTNLCRFTKWIQDTIKCNS
+>tr|Q08DU3|Q08DU3_BOVIN Interleukin 33 OS=Bos taurus OX=9913 GN=IL33 PE=2 SV=1
+MKPKMKYSTTKISPAKMKCSAGKALVKSPKLRKSQQKAEEVCQIYYMQLRSGLKIEKKVC
+YFRKETTKRHSLTAEKYKEHLALVACEQLNHLQQSVEQGFTLGKTMVPYTTATGLPSIKE
+HSASLSTYNDQFITFVLEDGSYEIYVEDLIDNQEKDKVLLRYYDSQFPSSETDDGGSHRK
+LMVNLSPTKDKDFLLHANSKEHSVELQKCENQLPEQTFFVLHETSSQCVSFECKSNPGVF
+LGVKDNQLALIKRGEHPEDSNSQNITFKLSNLM
+>tr|A6QPJ6|A6QPJ6_BOVIN Peptidylprolyl isomerase OS=Bos taurus OX=9913 GN=FKBP7 PE=2 SV=1
+MHFLFRLILFFYVWGIFTAQGQKAEETTEEVKIEVLHRPENCSKTSKKGDLLNAHYDGFL
+AKDGSKFYCSRTQNEGHPKWFVLGVGQVIKGLDIGMMDMCPGEKRKLIIPPSFAYGKEGY
+AEGKIPPDATLIFEIELYAVTKGPRSVETFKQIDTDNDRQLSKTEISHYLKKEFEKDEKP
+RDQSYQTAVLEDFFKKNDHDGDGFISSKEYNVYQHDEL
+>tr|A0A3Q1LUB2|A0A3Q1LUB2_BOVIN Cbl proto-oncogene B OS=Bos taurus OX=9913 GN=CBLB PE=4 SV=1
+MANSMNGRNPGGRGGNPRKGRILGIIDAIQDAVGPPKQAAADRRTVEKTWKLMDKVVRLC
+QNPKLQLKNSPPYILDILPDTYQHLRLILSKYDDNQKLAQLSENEYFKIYIDSLMKKSKR
+AIRLFKEGKERMYEEQSQDRRNLTKLSLIFSHMLAEIKAIFPNGQFQGDNFRITKADAAE
+FWRKFFGDKTIVPWKVFRQCLHEVHQISSGLEAMALKSTIDLTCNDYISVFEFDIFTRLF
+QPWGSILRNWNFLAVTHPGYMAFLTYDEVKARLQKYSTKPGSYIFRLSCTRLGQWAIGYV
+TGDGNILQTIPHNKPLFQALIDGSREGFYLYPDGRSYNPDLTGLCEPTPHDHIKVTQEQY
+ELYCEMGSTFQLCKICAENDKDVKIEPCGHLMCTSCLTAWQESDGQGCPFCRCEIKGTEP
+IIVDPFDPRDEGSRCCSIIDPFGMPMLDLDDDDDREESLMMNRLANVRKCTDRQNSPVTS
+PGSSPLAQRRKPHPDPLQIPHLSLPPVPPRLDLIQKGIVRSPCGSPTGSPKSSPCMVRKQ
+DKPLPAPPPPLRDPPPPPPERPPPVPPDNRLSRHFHHVESVPSRDQPMPLEAWCPRDVLG
+TNQSVGCRLLGDGSPKPGITASSNANGRHSRMGSDPVLLRKHRRNDLPLEGAKVFSNGHL
+GSEEYDVPPRLSPPPPAATLLPSIKCTGPLANSLSEKTRDPVEEDDDEYKVPSSHPVSLS
+SQPSHCHNVKPPPRSCDNGHCILNGTHGTSSEMKKSNIPELGIYLKGDVFDSASDPVPLP
+PARPPTRDNPKHGSSLNRTPSDYDLLIPPLGEDAFDALPPSLPPPPPPARHSLIEHSKPP
+GSGSRPSSGQDLFLLPSDPFFDPASGQVPLPPARRLPGENVKSNRASQDYDPLPSSSDGS
+QAPARPPKPRPRRTAPEIHHRKPHGPEAALENVDAKIAKLMGEGYAFEEVKRALEIAQNN
+VEVARSILREFAFPPPVSPRLNL
+>tr|A7Z060|A7Z060_BOVIN RNF219 protein OS=Bos taurus OX=9913 GN=RNF219 PE=2 SV=1
+MAQTVQNVTLSLTLPITCHICLGKVRQPVICINNHVFCSVCIDLWLKNNSQCPACRVPIT
+PENPCKEIIGGTSESEPMLSHTVRKHLRKTRLELLHKEYEDEIDCLQKEVDELKSKNLSL
+ESQIKTILDPLTLMQGNQNEDKLPVADNPSKIDPETVAEWKKRLRTANEIYEKVKDDVDK
+LKEANKKLKLENGGLVRENLRLKAEVDNRSPQKFGRFTVAALQSKVEQYERETNRLKKAL
+ERSDKYIEELESQITQLKNSSDEKEAMNAICQRALSTDGKGSKGTEEDMASKNQGDGARK
+QLGSATSSSHLAKPSSSSVRQEGTSKTEPNCSKNQDLYQKRVETMLTVTDTSMDTYLERE
+WGNKPSDCMPYKDEELYDLPASCAPLSLSCLQLNTPDNRESSVVKAGGSKKQSNHLRKLM
+FDEFCDSPNVCNKDSSEDDRSESEKKSECFTSPKTGFWDCCSTSYAPSLDFENSEENTIA
+NCVGEISSKLSEKPGSCLSKRLNSLRSFEMNRTRTSSEASMDAAYLDKISELDSMMSESD
+NSKSPCNNGFKSLDLDGLSKSSQCSEFLEETDKLEERTKPNLSKGSLTTDQLENGNEWKP
+NSFFLLSPSDQEMNEDFSLHSSSNPGTNEIKPPSCLFQTEFSQGVLLSSTNRLFEDQRFG
+SSLFKMSSEMPGLHTHLQSPWSTSFVPEKRNKNVNQSAKRKIQSSLSNASPSKATKS
+>tr|A2VE77|A2VE77_BOVIN COP1, E3 ubiquitin ligase OS=Bos taurus OX=9913 GN=COP1 PE=2 SV=1
+MSGSRQAGSGSAGTSPGSSAASSVTSASSSLSSSPSPPSVAASAAALGSGGAAQAAGSGG
+LGGPARPVLVAAAVSGSGGGSGGGAVSAGLSRLSCAARPSAGVGGSSSSLSSGSRKRPPL
+APLCNGLINSYEDKSNDFVCPICFDMIEEAYMTKCGHSFCYKCIHQSLEDNNRCPKCNYV
+VDNIDHLYPNFLVNELILKQKQRFEEKRFKLDHSVSSTNGHRWQIFQDLLGTDQDNLDLA
+NVNLMLELLVQKKKQLEAESHAAQLQILMEFLKVARRNKREQLEQIQKELSVLEEDIKRV
+EEMSGLYSPVSEDSTVPQFEAPSPSHSSIIDSTEYSQPPGFSGSSQTKKQPWYNSTLASR
+RKRLTAHFEDLEQCYFSTRMSRISDDSRTASQLDEFQECLSKFTRYNSVRPLATLSYASD
+LYNGSSIVSSIEFDRDCDYFAIAGVTKKIKVYEYGTVIQDAVDIHYPENEMTCNSKISCI
+SWSSYHKNLLASSDYEGTVILWDGFTGQRSKVYQEHEKRCWSVDFNLMDPKLLASGSDDA
+KVKLWSTNLDNSVASIEAKANVCCVKFSPSSRYHLAFGCADHCVHYYDLRNTKQPIMVFK
+GHRKAVSYAKFVSGEEIVSASTDSQLKLWNVGKPYCLRSFKGHINEKNFVGLASNGDYIA
+CGSENNSLYLYYKGLSKTLLTFKFDTVKSVLDKDRKEDDTNEFVSAVCWRALPDGESNVL
+IAANSQGTIKVLELV
+>tr|F1MVT2|F1MVT2_BOVIN DDB1- and CUL4-associated factor 11 OS=Bos taurus OX=9913 GN=DCAF11 PE=4 SV=1
+MGSRNSSSAGTGSGDPSEGLPRRGAGLRRSEEEEEEDEDVDLAQVLAYLLRRGQVRLVQG
+GGAANLQLIQALSDSEEEHDSAWDGRLGDRYNPPVDATPDTRELECSEIKTQVELATGRL
+GLRRAARELSFPQMLHQRERGLCHQGSFSLGERSRVMSHFLPNDLGFTDTYSQKAFCGIY
+SKDGQIFMSACQDQTIRLYDCRYGRFRKFKSIKARDVGWSVLDVAFTPDGNHFLYSSWSD
+YIHICNIYGEGDTHTALDLRPDERRFAVFSIAVSSDGREVLGGANDGCLYVFDREQNRRT
+LQIESHEDDVNAVAFADVSSQILFSGGDDAICKVWDRRTMREDDPKPVGALAGHQDGITF
+IDSKGDARYLISNSKDQTIKLWDIRRFSSREGMEASRQAATQQNWDYRWQQVPKKAWRKL
+KLPGDSSLMTYRGHGVLHTLIRCRFSPTHSTGQQFIYSGCSTGKVVVYDLLSGHIVKKLT
+THKACVRDVSWHPFEEKIVSSSWDGNLRLWQYRQAEYFQDDMPESEEHPSTPAPMSHPST
+AFSSPQ
+>tr|Q08DD2|Q08DD2_BOVIN UBA like domain containing 1 OS=Bos taurus OX=9913 GN=UBALD1 PE=2 SV=1
+MSVNMDELKHQVMINQFVLTAGCAADQAKQLLQAAHWQFETALSAFFQETNIPYSHHHHQ
+MMCTPANTPATPPNFPDALTMFSRLKASESFHSGGSGSPMAATATSPPPHFPLTATGSFA
+APSWPAAASPPGGAQHHQPPQPPLWTPAPTSPASDWPPLAPQQATSEPRAHPAMEAER
+>tr|A0A3Q1M4H0|A0A3Q1M4H0_BOVIN Striatin 4 OS=Bos taurus OX=9913 GN=STRN4 PE=4 SV=1
+MLEYALKQERAKYHKLKFGTDLNQGEKKPELSEPVSNGPVESVTLENSPLVWKEGRQLLR
+QYLEEVGYTDTILDMRSKRVRSLLGRSLELNGAAEPSEGGPRAPPVPGGLSGGESLLVKQ
+IEEQIKRNAAGKDGRERLGGSVLEQIPFLQHCEDDDSDEDDELDGAQHRKQRVKLPSKAL
+APETEDEDEEDDSEDAISEFDFLGSGEDGEGPHDPRRCAAEGAHHELESRRVKLQGILAD
+LRDVDGLPPKVTGPPPGTPQPRPHEGSFGFSSDVFIMDTIGGGEVSLGDLADLTVTNDND
+LSCDLSDSKDAFKKTWNPKFTLRSHYDGIRSLAFHHSQSALLTASEDGTLKLWNLQKAVT
+AKKNAALDVEPIHAFRAHRGPVLAVAMGSHSEYCYSGGADARIHSWKIPDLNMDPYDGYD
+PSVLSHVLEGHGDAVWGLAFSPASQRLASCSADGSVRIWDPSNSSPTCLCTFSTASDHGT
+PTSVAFTSTEPAHIVASFRSGDTVLYDLEAGSALLTLDSRGNSGPTQINQVVSHPSQPLT
+ITAHDDRGIRFLDNRTGKLVHSMVAHLDAVTCLAVDPNGVFLMSGSKSGASWPLRSEGAC
+PSRQERERSARGLPEAGLLVGVLSEQGSGAPLWLVRVVTGVGSLEPR
+>tr|Q3SX39|Q3SX39_BOVIN CDK-activating kinase assembly factor MAT1 OS=Bos taurus OX=9913 GN=MNAT1 PE=2 SV=1
+MDDQGCPRCKTTKYRNPSLKLMVNVCGHTLCESCVDLLFVRGAGNCPECGTPLRKSNFRV
+QLFEDPTVDKEVEIRKKVLKIYNKREEDFPSLREYNDFLEEVEEIVFNLTNNVDLDNTKK
+KMEIYQKENKDVIQKNKLKLTREQEELEEALEVERQENEQRRLFIQKEEQMQQILKRKNK
+QAFLDELESSDLPVALLLAQHKDRSTQLEMQIEKPKPIKPGTFSTGIKMGQHISLAPIQK
+LEEALYEYQPLQIETCGPQVPELEMLGRLGYLNHVRAASPQDLAGGYTSSLACHRALQDA
+FSGLFWQPS
+>tr|E1BCT1|E1BCT1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC615792 PE=4 SV=3
+MATQVMGQSSGGGGLFTGSGTMGMALPNDMYDLPDLSRAELAAPQLIMLANVALTGEVNG
+GCCDYLVGEERQMAELMPVGDSNFSDSDGEGLEESPEVKGEPSGLENMELESLELSVVQP
+RPVFEVAAASETYSSNKDVPQETPVAEDKCKNLKTKPFRCKPCQYEAESEEQFVHHIRVH
+SAKKFFVEESAEKQAKARESGSSTGEEGDFSKGPIRCDRCGYNTNRYDHYTAHLKHHTRA
+GDNERVYKCIICTYTTVSEYHWRKHLRNHFPRKVYTCGKCNYFSDRKNNYVQHVRTHTGE
+RPYKCELCPYSSSQKTHLTRHMRTHSGEKPFKCDQCSYVASNQHEVTRHARQVHNGPKPL
+NCPHCDYKTADRSNFKKHVELHVNPRQFNCPVCDYAASKKCNLQYHFKSKHPTCPNKTMD
+VSKVKLKKTKKREADLPDNKLTSEKPETEQTKGKGDVTGKKNERSVKAEKKDNVSKEKKP
+CSNASSQVTTRTRKSAMEGKEVDVSPGNCSEKSCKSKKSKRKVEAEALPLQEPVNDEEPV
+TKKKRKAESKSKNSQEVPKGDSKVEENKKQNICVKNSTKKKTVRSKSCKKSSQPAQRRPT
+QMESAQVGCVQTEPLPPPSPPPPPPVGCGEVEVVQKGPVPMEPSPPMEPSPPMEPVQVTP
+VEMEPSPPLPPPPPEPAQVGSVQVEPPPPPPPVGDAEIEVVQKGPVETEPPPPMEPIPRR
+SPRKDNRKEKSSMLSEMARKEQVLIEVGLVPVKDKQLLKESASAQDLFPPSPPLPKESLK
+GEESKDQNLLPAGEGNEEAPLQKVEAEEAGKSLAGVAAVIKESANTSSSEENLNMPEGET
+SEDKHQAEAMLCETEMDTDEKKAENFPSRDSAVEEPVSPPLPALPLEKHEAVSTTAVASP
+PVTVAVNESQEMDEDEGIHSHDGSDLSDNTSEDSDDSGLNGARPVPQETSGKDGKDALAV
+KVAAGDFVCIFCDRSFRKEKDYSKHLNRHLVNVYFLEKAAQGQE
+>tr|A1A4Q7|A1A4Q7_BOVIN Globin D1 OS=Bos taurus OX=9913 GN=HBQ1 PE=2 SV=1
+MVLAPADRAAVLALWRKLGTNVGIYTTEALERTFVAFPSSKTYFLHLNLSPGSAQVAAHG
+QKVADALSLAVNHLDDLPGTLSYLRELHTHKLRVDPVFFKLLCHCLLVTLARHYPGDFSP
+NMHASLVKFLNHVISALAPSSG
+>tr|A1XC24|A1XC24_BOVIN Toll-like receptor 7 OS=Bos taurus OX=9913 GN=TLR7 PE=2 SV=1
+MVFPMWTLKRQFPILFNMILISGLLGARWFPKTLPCDVTLDAPNTHVIVDCTDKHLTEIP
+GGIPANATNLTLTINHIAGISPASFHRLDHLVEIDFRCNCVPVRLGPKDNVCTKRLQIKP
+NSFSKLTYLKSLYLDGNQLLEIPQDLPPSLQLLSLEANNIFLIMKENLTELANLEILYLG
+QNCYYRNPCNVSFTIEKDAFLNMRNLKLLSLKDNNISAVPTVLPSSLTELYLYNNIITKI
+QEDDFNNLSQLQVLDLSGNCPRCYNVPFPCTPCENNSPLQIDPNAFDALTELQVLRLHSN
+SLQHVPQRWFKNINKLKELDLSQNFLAKEIGDAKFLHLLHNLVNLDLSFNYDLQVYHAVI
+NLSDAFSSLKKLKVLRIKGYVFKELNSLNLFPLHNLPNLEVLDLGTNFIKIANLSIFNQF
+KTLKFIDLSVNKISPSGDSPEGGFCSNRRTSVEGHGPQVLETLHYFRYDEYARSCRSKSK
+EPPSFLPLNEDCYMYGQTLDLSRNNIFFIKPSDFQHLSFLKCLNLSGNSISQTLNGSEFQ
+PLVELKYLDFSNNRLDLLYSTAFEELHNLEVLDISSNSHYFQSEGITHMLNFTKNLKVLR
+KLMMNYNDIATSTSRTMESESLQILEFRGNHLDILWRDGDNRYLKFFKNLLNLEELDISE
+NSLSFLPLGVFDSMPPNLKTLSLAKNGLKSFSWERLQSLKNLETLDLSFNQLKTVPERLS
+NCSRSLKKLILKNNQIRCLTKYFLQGAFQLRHLDLSSNKIQVIQKTSFPENVLNNLNILF
+LHHNRFLCNCDAVWFVWWVNHTEVTIPYLATDVTCMGPGAHKGQSVVSLDLYTCELDLTN
+FILFSLSISAVLSLMMITIANHLYFWDVWYSYHFCKAKIKGYRRLISPNSCYDAFIVYDT
+KDPAVTEWVLDELVAKLEDPREKCFNLCLEERDWLPGQPVLENLSQSIQLSKKTVFVMTD
+KYAKTENFKIAFYLSHQRLMDEKVDVIILIFLEKPLQKSKFLQLRKRLCGSSVLEWPTNP
+QAHPYFWQCLKNALATDNHVTYSQVFKETA
+>tr|Q0IIJ1|Q0IIJ1_BOVIN ERGIC and golgi 2 OS=Bos taurus OX=9913 GN=ERGIC2 PE=2 SV=1
+MRRLNRRKTLSLVKELDAFPKVPESYVETSASGGTVSLIAFTTMALLTIMEFSVYQDTWM
+KYEYEVDKDFSSKLRINIDITVAMKCQYVGADVLDLAETMVASADGLVYEPAIFDLSPQQ
+REWQRMLQLFQSRLQEEHSLQDVVFKSVFKSASTALPPREDDSSQPPDACRIRGHLYVNK
+VAGNFHITVGKAIPHPRGHAHLAALVNHDSYNFSHRIDHLSFGELVPGIINPLDGTEKIA
+LDHNQMFQYFITIVPTKLQTYKISADTHQFAVTERERVINHAAGSHGVSGIFMKYDLSSL
+MVTVTEEHMPFWQFFVRLCGIVGGIFSTTGMLHGIGKFIVEIIYCRFRLGAYKPVNSVPF
+EDGHTDNHVPLLENNTH
+>tr|M5FKJ5|M5FKJ5_BOVIN Golgi apparatus membrane protein TVP23 homolog OS=Bos taurus OX=9913 GN=LOC100139027 PE=3 SV=1
+MKQALVDDTEDVSLDFGNEEELAFRKAKIRHPVATFFHLFFRVSAIVTYVGCDWFSKSFV
+GCFVTVLLLLSFDFWSVKNVTGRLMVGLRWWNQIDEDGKSHWIFEARKVSPDMVAATEAE
+ARVFWLGLIVCPMIWIVFFFSSLFSLKLKWLALVIAGISLQAANLYGYVLCKMGSESNIS
+KVTASFLSQTVFQTACPSDFQKPGLEGLEIHKH
+>tr|G5E6N7|G5E6N7_BOVIN Chromobox 1 OS=Bos taurus OX=9913 GN=CBX1 PE=4 SV=1
+MGKKQNKKKVEEVLEEEEEEYVVEKVLDRRVVKGKVEYLLKWKGFSDEDNTWEPEENLDC
+PDLIAEFLQSQKTAHETDKSEGGKRKADSDSEDKGEESKPKKKKEESEKPRGFARGLEPE
+RIIGATDSSGELMFLMKWKNSDEADLVPAKEANVKCPQVVISFYEERLTWHSYPSEDDDK
+KDDKN
+>tr|E1BKX9|E1BKX9_BOVIN Cyclin dependent kinase like 5 OS=Bos taurus OX=9913 GN=CDKL5 PE=4 SV=3
+MKIPNIGNVMNKFEILGVVGEGAYGVVLKCRHKETHEIVAIKKFKDSEENEEVKETTLRE
+LKMLRTLKQENIVELKEAFRRRGKLYLVFEYVEKNMLELLEEMPNGVPPEKVKSYIYQLI
+KAIHWCHKNDIVHRDIKPENLLISHNDVLKLCDFGFARNLSEGNNANYTEYVATRWYRSP
+ELLLGAPYGKSVDMWSVGCILGELSDGQPLFPGESEIDQLFTIQKVLGPLPSEQMKLFYS
+NPRFHGLRFPAVNHPQSLERRYLGILNSVLLDLMKNLLKLDPADRYLTEQCLNHPTFQTQ
+RLLDRSPSRSAKRKPYHVESSTLSNRNQASKSAALQSHHRSNSKDIQNLGVGLPRADEGL
+PANESFLNGNLAGATLSPMHTKTYQAGSQPGSTSKDLTNNNIPHLLSPKEAKSKTEFDFN
+IDPKPSDGPGTKYLKSSSRSQQNRHSFMESSQSKAGTLQPGEKQSRHSYIDTIPQSSRSP
+SYRTKAKSHGALSDSKSVSNLSEARAQIAESSTSRYFPSSCLDLNSPTSPTPTRHGDTRT
+LLSPSGRNNRSEGTLDSRRTTTRHSKTMEELKLPEHMDSSHSHSLSAPHESFSYGLGYTS
+PFSSQQRPHRHSMYVTRDKVRAKGLDGSLSIGQGMAARANSLQLLSPQPGEQLPPEMTVA
+RSSVKESSREGTSSFHVRQKSEGGAYHDPHSDDGAAPKENRHLYNDPVPRRVGSFYRVPS
+PRPDNSFHENNVSTRVSSLPSESSSGTNHSKRQPAFDPWKSPENISHSEQLKEKEKQGFF
+RSMKKKKKKSQTVPNSDGPDLLTLQKAIHSASTPSSRPKEWRPEKISDLQTQSQPLKSLR
+KLLHLSSASNHPASSDPRFQPLTTQQAKNSFSEIRIHPLSQASGGSSNIRQEPTPKGRPA
+LQLPGQMDPGWHVSSVTRSATEGPSYSEQLGAKSGPNGHPYNRTNRSRMPNLNDLKETAL
+>tr|A4IFP1|A4IFP1_BOVIN F-box protein 47 OS=Bos taurus OX=9913 GN=FBXO47 PE=2 SV=1
+MTSRVNTSFTLIPNQKYRRSSRRSSCFSNTFDSDSQPLSTLGNFKALPLEIFQIILKYLS
+VKDISMLSMVSKTVSQHIINYISTSSGSKRLLLQDFHDLELPDREDTAIVEHYRSLGLLF
+KRCTLLLPTKERLKYIHKILADVSCFKFNGCAAPLQCVGLLCYGIFLQTLTAGWDELECH
+RVYNFLCELTHLSRKMQTVVCSKPGSARKLELRIRLFCRNVLLDHWTHRSDSAFWLTCIL
+KPWPMVNQARLLYIIFGPISPQDVVPREWLLENNARLLILSGNNICFTFMASKAVNGRTI
+ELAKLIVFLALVSEKELYCMDWAVKMMQKVCKVFSTPVERNNFLQSVADAFACAIMEMLQ
+LVMSGDGDDDDRSFMNLFHLVQAQASFHKEVLYLTMNVLPS
+>tr|F1MHR5|F1MHR5_BOVIN Zinc finger MYM-type containing 4 OS=Bos taurus OX=9913 GN=ZMYM4 PE=4 SV=2
+MDTEMSEDIDHNLTPTLDSMSYGMPNQTGSENSLLDEDDYFLNSGDLAGIPVVGSDNEDE
+QDFSSKDNLVSSIHTDDSLEVERRVTQHESDNENEIQIQNKLKKDFPKQFDQVSVFKSIR
+KDFSLVRENSKETFSGKEKNRDLTYHEREKRLDKPHKELDSRLKSSFFDKAANQVEETLH
+THLPQTPETNFRDSSYPFANKESIGSELGNSFASNIRIKEEPLDDEYDKAMAPQQGLLDR
+IKDEPDNAQEYSHGQQQKTQEGELKISAVFSVSGSPLAPQLTTGFQPSLASSGMNKMLPS
+VPATAVRVSCSGCKKILQKGQTAYQRKGSTQLFCSTLCLTGYTVPPARPPPPPTKKTCSS
+CSKDILNPKDVISAQFENTTTSKDFCSQSCLSTYELKRKPVVTINTNSISTKCSMCQKNA
+VIRHEVNYQNVVHKLCSDACFSKFRSANNLTMNCCENCGGYCYSGSGQCHMLQIEGQSKK
+FCSSTCVTAYKQKSAKITPCALCKSLRSSAEMIENTNSLGKTELFCSVNCLSAYRVKMVT
+SAGVQVQCNSCKTSAIPQYHLAMSDGSIRNFCSYSCVVAFQNLFNKPAGMNSSVVPLSQG
+QVIVSIPTGSTVSAGGGNTSAVSPTSISSSAAAGLQRLAAQSQHVGFARSVVKLKCQHCN
+RLFATKPELLDYKGKMFQFCGKNCSDEYKKINNVMAMCEYCKIEKIIKETVRFSGADKSF
+CSEGCKLLYKHDLAKRWGNHCKMCSYCLQTSPKLVQNNLGGKVEEFCCEECMSKYTVLLC
+QMAKCDGCKRQGKLSESLKWRGEMKHFCNLLCILMFCNQQSMCDPPSQNNAASISMVPAA
+SAGPPSLRKDSTPVIANVVSLASAPAAQPTVNSNSVLQGAVPTVTAKIIGDASTQTDVLK
+LPPSQPPRLLKNKALLCKPITQTKATSCKPHTQNKECQTEDTPAQPQIIAVPVPVPVFVP
+VPLHLYTQYAPVPFGIPVPMPVPMLIPSSMGNEDKVTESIEDIKEKLPSHPFEADLLEMA
+EMIAEDEEKEKTLSQGESQTSEQELFLDTKIFEKDQGSTYSGDLESEAVSTPHSWEEELN
+HYALKSNTVQEADLEVKQFSKGETEQDLEADFPSESFDPLNKGQGIQVRSRTRRRHRDGF
+PQPRRRGRKKSIVSVEPRSLIQGAFQGCSVSGMTLKYMYGVNAWRNWVQWKNAKEEQGDL
+KCGGVEHASSSPCSDPLGSTQEHALSQESSEPGCKVRSIKLKEDILSCTFAELSLGLCQF
+IQEVRRPNGEKYDPDSILYLCLGIQQYLFENGRIDNIFTEPYSRFMIELTKLLKIWEPTI
+LPNGYMFSRIEEEHLWECKQLGAYSPIVLLNTLLFFNTKYFQLRNVTEHLQLSFAHVMRR
+TRTLKYSTKMTYLRFFPPSQKQESEPDKLTIGKRKRNEDDDVPVGVEMAENTDNPLRCPV
+RLYEFYLSKCSESVKQRNDVFYLQPERSCVPNSPMWYSTFPIDPGTLDTMLTRILMVREV
+HEELAKAKSEDSDVELSD
+>tr|A0A3Q1M8J9|A0A3Q1M8J9_BOVIN Glyceraldehyde-3-phosphate dehydrogenase OS=Bos taurus OX=9913 GN=GAPDH PE=1 SV=1
+MVKVGVNGFGRIGRLVTRAAFNSGKVDIVAINDPFIDLHYMVYMFQYDSTHGKFNGTVKA
+ENGKLVINGKAITIFQERDPANIKWGDAGAEYVVESTGVFTTMEKAGAHLKGGAKRVIIS
+APSADAPMFVMGVNHEKYNNTLKIVSNASCTTNCLAPLAKVIHDHFGIVEGLMTTVHAIT
+ATQKTVDGPSGKLWRDGRGAAQNIIPASTGAAKAVGKVIPELNGKLTGMAFRVPTPNVSV
+VDLTCRLEKPAKYDEIKKVVKQASEGPLKGILGYTEDQVQSVGQGTGVCMAPGSQAGFHM
+RPSLPPGCLLRLQQRHSLFYLRCWGWHCPQRPLCQAHFLVCGGWGWGWDDASACGLVPPG
+GWLGKQPFTLSFQVRQ
+>tr|Q17QH1|Q17QH1_BOVIN APOF protein OS=Bos taurus OX=9913 GN=APOF PE=2 SV=2
+MLPVELLLCCFLLHAADAISSGNQMDVLLHLPSPLESSLTSSYPLSCQTLLPKSLPGFTQ
+MAPLPRFLVSLALMIALDKAGCQGDVQVLQLQLYRQGGVNATQTLIRHLQELEKSRSTGR
+RVSVDALASALQLWARENPGPQRARRSPSIKDCEQEQEQSVHKIAQMLPGVGTFYNLGTA
+IYYAVQNCSDVAKERGRDGVIDLGYDLLMAMAGGSRGSTGLIIGTALKPALKAGVQRLIQ
+YYYEREANTPPPETSKEVLRSTSDMSEVEETTTMAPFVSKVVSSNPCWGWTLFNNCGLDP
+RYWNIEI
+>tr|Q2NKZ9|Q2NKZ9_BOVIN Carboxypeptidase OS=Bos taurus OX=9913 GN=SCPEP1 PE=2 SV=1
+MELAPRNCPVPLLLLLLGLSTGAVISQPPEDSKEVWGYVTVRKDAHMFWWLYYATSSHKN
+FSELPLIMWLQGGPGGSSTGFGNFEEIGPLDRNLQPRNTTWLQSASLLFVDNPVGAGFSY
+VNKTDAYARDLATVVSDMIFLLKSFFDFHKEFERIPFYIFSESYGGKMAAGIALELYKAV
+QQKTIQCNFAGVALGDAWISPIDSVLSWGPYLYSVSLLDDQGLAEVSNVAEEVLDALSKE
+LYQEATRLWEKAEMVIEQNTDGVNFYNILTKSSSVSRVVSNLEFTQKHLVHLFQRHVRQL
+QQDPLSQLMNGPIRKKLRIIPEDCIWGGQAPIVFLNMEGDFMKPVISIVDELLEAGVSVT
+VYNGQLDLIVDTMGQENWMRKLKWKELPKFRQLKWKPLHSDPRSSETSAFVKSYKNLAFY
+WILRAGHMVPSDQPDMALKMMRLVTQQE
+>tr|A5D7B0|A5D7B0_BOVIN TMEM161A protein OS=Bos taurus OX=9913 GN=TMEM161A PE=2 SV=1
+MAVLGVQLVVTLLTATLMHRLAPHCSFARWLLCNGSLFRYKHPTEEELRALAGKQKPRGR
+KERWANGCSEEKPLSVPRDAPFQLQTCPLTAVDALVLRFFLEYQWFVDFAVYSGGVYLFT
+EAYYYMLGPAKETNIAVFWCLLTIAFSVKVFLTVTRLYFSAEEGGERSVCLTFAFLFLLL
+AMLVQVVREETLELGLEPGACVRGLGRHRITSSCLDSMSQNLEPLLKMQGWDWALPLVKL
+AIRVGLAVVGSMLGAFLTFPGLRLAQTHHDALTMSEDRPMLQFLLHTSFLSPLFVLWLWT
+KPMARDFLHQAPLGRMSFSLLSDSAFDSLRLWVLVVLCLLRLAVTRPHLQAYLCLAKARV
+EQLRREAGRIEAREIQRRVVRVYCYVTVVSLQYLTPLILTLNCTLLLKTLGGYSWGLGPV
+PTLSPTPPSAHDGPVGPEEDEAQQTAALIAGALGSLLTPLFLRGVLAFLIWWIAACQLLS
+SLFGLYFHQHLAG
+>tr|F1MLK8|F1MLK8_BOVIN G protein-coupled receptor 1 OS=Bos taurus OX=9913 GN=GPR1 PE=2 SV=2
+MEDLEETLFEDFENYSYALEYYSPETDSEEKAPLGAIHWVSLVLCCLAFVLGIPGNATVI
+WFTGFKWKKTVTTLWFLNLAIADFIFLLFLPLYISYVAMNFHWPFGIWLCKANSFIAQLN
+MFASVFFLMVISLDRYIYLIHPVLSHRYRTLRNSLIVIIVVWLLASLMGGPALYFRDTLE
+LNNHTLCYNNFHEHDVDLRLLRHHVLTWVKVIVGYLLPLLTMSICYLCLIFKVKKRSILI
+SSKHFWTILAVVMAFLICWTPYHLFSIWELTIHHNSYFHQVLQASIPLSTGLAFLNSCLN
+PILYVLISKKFQARFRASVAEILKYTLWEVSCSGTLSEQLRNSETKNLSLLETAQ
+>tr|A6QPM0|A6QPM0_BOVIN MGC148542 protein OS=Bos taurus OX=9913 GN=MGC148542 PE=2 SV=1
+MLRQVLRRGLQSFCHRLGLCVSRHPVFFLTVPAVLTITFGLSALNRFQPEGDLERLVAPS
+HSLAKIERSLASSLFPLDQSKSQLYSDLHTPGRYGRVILLSPPGDNILLQAEGILQTHRA
+VLEMKVNYKGYNYTFSHLCVLRNQDKKCVLDDIISVLEDLRQAAVSNKTTARVQVRYPNT
+KLKVCSSCMLLPIKEAALHFLP
+>tr|Q3SYR0|Q3SYR0_BOVIN Serpin peptidase inhibitor, clade A (Alpha-1 antiproteinase, antitrypsin), member 7 OS=Bos taurus OX=9913 GN=SERPINA7 PE=2 SV=1
+MPLFSLVLLILGLHCAPPNSCEGKITSCLSPQQNATLYKMSSINADFAFNLYRRFTVEIP
+DQNIFFSPVSISAGLAMLSLGACSSTQTQILEGLGFNLTDTPVAEIQQGFQHLICSLNFP
+KKELELQMGNALFIGKQLKPLEKFLDDVKNLYETEVFSTDFSNVSAAQQEINSHVEKQTK
+GKIVGLIQDLKPNTITVLVNYLCFKAQWANPFDPSKTEEGSSFLVDKTTTVQVPMMHQME
+QYYHLVDTELNCTVLQMDYSKNALALFVLPKEGQMEWVEGAMSSKTLKKWNRLLRKGWVD
+LFVPKFSISATYDLGDILLKMGIQDAFADNADFSGLTKDNGLKVSNVAHKAMFYIGEKGT
+EAVPEVRFLNQPETTLLHPIIQFDRSFLLLILEKNTRSILFLGKVVDPTEV
+>tr|E1BCU1|E1BCU1_BOVIN Ubiquitin protein ligase E3B OS=Bos taurus OX=9913 GN=UBE3B PE=4 SV=1
+MFTVSQTSRAWFIDRARQAREERLVQKERERAAVEIQAHIRSFLCRRRLQREIRREIDEF
+FKAEDSGSSKRSALCIFKIARKLLFLFRIKEDNERFEKLCRCILSSMEAENEPKVWYVSL
+ALSKDLTLLWIKQIKDILWYCCEFLEQLKPEILQDSKLITLYLTMLVTFTDTSTWKILRG
+KGESLRPALNHICANIMGHLNQRGFYPVLQILLTRGLARPRPCLSKGTLTAAFSLAMRPV
+LAAQFSDNLIRPFLIHIVSVPALVTHLSTVTPERLTVLESHDMLRKFIAFLRDEDRCRDV
+CESLEGCHTLCLMGNLLQLGSLSPRVLEEETDGFVSLLTQMLCYCQKYVSQKKSNLTHWH
+PVLGWFSQSVDYGLNESMPLITKQLQFLWGVPLIRVFFSDILSKKLLETQEPAHAPPASP
+QNVLPVKTLLKRAFQKSASVRNILRPVGGKRVDSTEAQKVCRVCVLYQTSLATLTQIRLQ
+VLTGLTYLDDLLPKLWAFICELGPHGGLKLFLECLNNDTEESKQLLAMLMLFCDCSRHLI
+TILDDIEVYEEQISFKLEELVTISSFLNSFVFKMIWDGIVENAKGETLELFQSVHGWLMV
+LYERDCRRRFAPEDHWLRKDLKPSVLFQELDKDRKRAQLILQYIPHVIPHKNRVLLFRNM
+VTKEKEKLGLVETSSASPHVTHITIRRSRMLEDGYEQLRQLSQHAMKGVIRVKFVNDLGV
+DEAGIDQDGVFKEFLEEIIKRVFDPALNLFKTTSGDERLYPSPTSYIHENYLQLFEFVGK
+MLGKAVYEGIVVDVPFASFFLSQLLGHHHSVFYSSVDELPSLDSEFYKNLTSIKRYDGDI
+ADLGLTLSYDEDVMGQLVCHELVPGGKTIPVTNENKISYIHLMAHFRMHTQIKNQTAALI
+SGFRSIIKPEWIRMFSTPELQRLISGDNAEIDLEDLKKHTVYYGGFHGSHRVIIWLWDIL
+ASDFTPEERAMFLKFVTSCSRPPLLGFAYLKPPFSIRCVEVSDDQDTGDTLGSVLRGFFT
+IRKREPGGRLPTSSTCFNLLKLPNYSKKSVLREKLRYAISMNTGFELS
+>tr|Q0VD21|Q0VD21_BOVIN Sulfotransferase OS=Bos taurus OX=9913 GN=HS3ST5 PE=2 SV=1
+MLFKQQAWLRQKLLVLGSLAVGSLLYLVARVGSLDRLQPICPIEGRFGARGQAEFPLRAL
+QFKRGLLHEFRKGNSSKEQVHLHDLVQQLPKAIIIGVRKGGTRALLEMLNLHPAVVKASQ
+EIHFFDNDENYAKGIEWYRKKMPFSYPQQITIEKSPAYFITEEVPERIYKMNSSIKLLII
+VREPTTRAISDYTQVLEGKERKNKTYYKFEKLAIDPNTCEVNTKYKAVRTSIYTKHLERW
+LKYFPIEQFHIVDGDRLITEPLPELQLVEKFLNLPPRISQYNLYFNATRGFYCLRFNIIF
+NKCLAGSKGRIHPEVDPSVITKLRKFFHPFNQKFYQITGRTLNWP
+>tr|A5PJC2|A5PJC2_BOVIN MGC152301 protein OS=Bos taurus OX=9913 GN=MGC152301 PE=2 SV=1
+MVQQGTGAFQCDNGVSLPIDNVCDFTDQCGDMSDEQQCSDYERCDFEDGLCSMIRDQSLR
+LGWTKRNGLIGQSPPFYDHNGDMSAHFLSLVSDLDSTSSNISSRVFLPTNNQHACQITFY
+CFSSQMNGKLMVGFQTTCGGPIQHLWQNTPGLQNRWERNVIKIQSPQRFQVIFQGQMISA
+QDEVIAIDDLSFSSGCLPADDDILPCQEASNTEKELCHPDRSLCRFDSTDEGLRLCQACG
+FAFDMCDWVSEATAGQTSWMRTKAREIPVLENAPQQHQSSDDEGYCLWIGAKQASTLNHL
+DSRAYLNSSVCHCLGRSCHLQFYYAMENSVLRVGLSNDKVRRNLYYFQIH
+>tr|F1MP23|F1MP23_BOVIN Frizzled class receptor 6 OS=Bos taurus OX=9913 GN=FZD6 PE=3 SV=2
+METFTFLWTCIFLPLVRGHSLFTCEPITVPRCMKMAYNMTFFPNLMGHYDQSIAAVEMEI
+FLPLANLECSPNVETFLCKAFVPTCTEQIHVVPPCRKFCEKVYSDCKKLMDTFGIRWPEE
+LECDRLQYCDESVPATFNPHTELLGPHKKSEQIRRDIGFWCPRHLKTSGGQGYKFLGIDQ
+CAPPCPNMYFKSDELEFAKSFIGIVSIFCLCATLFTFLTFLIDVRRFRYPERPIIYYSVC
+YSIVSLMYFIGFLLGNSTACNKADEKLELGDTVVLGSQNKACTILFMFLYFFTMAGTVWW
+VMLTITWFLAAGRKWSCEAIEQNAVWFHAVAWGIPGFLTIMLLAMSKVEGDNISGVCFVG
+LYDLDASRYFVLLPLCLCVFVGLSLLLAGIISLNHVRQVIQHDGRNQEKLKKFMIRIGVF
+SGLYLVPLVTLLGCYVYEQVNRITWEITWVSDHCRQYHIPCPYQANTETRPELALFMIKY
+LMTLIVGISAVFWVGSKKTCTEWAGFFKRNRKKDPISESRRVLQESCEFFLKHNSKVKHK
+KKHYKPSSHKLKVISKSMGTSTGATANHGTSAVAITNHDYLGQETLTEIQTSPETSVREV
+RGDGASTPKLRERDCEEPASSAAPSSKLCGEQMDKKGHGRAGKVNDKSSVSESARSEGRV
+TPKSDVPESGPVQSNSMQASSSPEPSSLKGSTSLLVHSASGVGKEQGAGGRSDT
+>tr|A5D7A2|A5D7A2_BOVIN GARS protein OS=Bos taurus OX=9913 GN=GARS PE=1 SV=1
+MPSLRPALLRAARAALLPSPPPGLPARPPLLPCRPISKPARVPTWFPAAASRSSMDGAGA
+EEVLAPLRLAVRQQGDLVRKLKEDKAPQVDVDKAVAELKARKRILEAKELALQPKDDVVD
+RAKMEDTLKRRFFYDQAFAIYGGVSGLYDFGPVGCALKNNIIQTWRQHFIQEEQILEIDC
+TMLTPEPVLKTSGHVDKFADFMVKDLKNGECFRADHLLKAHLQKLMSDKKCSAEKKSEME
+SVLAQLDNYGQQELADLFVNYNVKSPTTGNDLSPPVPFNLMFKTFIGPGGNMPGYLRPET
+AQGIFLNFKRLLEFNQGKLPFAAAQIGNSFRNEISPRSGLIRVREFTMAEIEHFVDPSEK
+DHPKFQNVADLYLYLYSAKAQVSGQSARKMRLGDAVEQGVINNSVLGYFIGRIYLYLVKV
+GVSPEKLRFRQHMENEMAHYACDCWDAESKTSYGWIEIVGCADRSCYDLSCHARATKVPL
+VAEKPLKEPKTVNVVQFEPNKGAIGKAYKKDAKLVMEYLAICDECYITEMEKLLNEKGEF
+TVETEGKTFQLTKDMVNVKRFQKTLHVEEVIPSVIEPSFGLGRIMYTVFEHTFQVREGDE
+QRTFFSFPAVVAPFKCSVLPLSQNQEFMPFVKELSEALTRHGISHKVDDSSGSIGRRYAR
+TDEIGVAFGITIDFDTVNKTPHTATLRDRDSMRQIRAEVSELPSVVRDLANGSIMWADVE
+ARYPVFEGQETGKKEAIEE
+>tr|A6H7J4|A6H7J4_BOVIN NEK6 protein OS=Bos taurus OX=9913 GN=NEK6 PE=2 SV=1
+MAGQPSHMPHGGNPNNLCHTLGPAHPPDPQRHPNALSFRCSLADFQIEKKIGRGQFSEVY
+KATCLLDRKTVALKKVQIFEMMDAKARQDCVKEIGLLKQLNHPNIIKYLDSFIEDNELNI
+VLELADAGDLSQMIKYFKKQKRLIPERTVWKYFVQLCSAVEHMHSRRVMHRDIKPANVFI
+TATGIVKLGDLGLGRFFSSETTAAHSLVGTPYYMSPERIHENGYNFKSDIWSLGCLLYEM
+AALQSPFYGDKMNLFSLCQKIEQCDYPPLPGEHYSEKLRELVSMCIHPDPNQRPDITYVH
+QIAKQMHVWTSST
+>tr|Q3SZX1|Q3SZX1_BOVIN MGC127695 protein OS=Bos taurus OX=9913 GN=MGC127695 PE=2 SV=1
+MFPLVRNALSSLRIRRIQQIRQSHSKHSPDFHDKYGDILLASGTSFCLVTWVFLVTQIGI
+QWGCSPVGRVTPQEWNEE
+>tr|E1BKJ8|E1BKJ8_BOVIN Kelch like family member 24 OS=Bos taurus OX=9913 GN=KLHL24 PE=4 SV=2
+MVLILGRRLNREDLGVRDSPATKRKVFEMDPKSLTGREFFDFSSGSSHAENILQIFNEFR
+DSRLFTDVIICVEGKEFPCHRAVLSACSSYFRAMFCNDHRESREMLVEINGILAEAMECF
+LQYVYTGKVKITTENVQYLFETSSLFQISVLRDACAKFLEEQLDPCNCLGIQRFADTHSL
+KTLFTKCKNFALQTFEDVSQHEEFLELDKDELIDYICSDELVIGKEEMVFEAVMRWVYRA
+VDLRRPLLHELLTHVRLPLLHPNYFVQTVEVDQLIQNSPECYQLLHEARRYHILGNEMMS
+PRTRPRRSTGYSEVIVVVGGCERVGGFNLPYTECYDPVTGEWKSLAKLPEFTKSEYAVCA
+LRNDILVSGGRINGRDVWIYNSQLNIWIRVASLNKGRWRHKMAVLLGKVYVVGGYDGQNR
+LSSVECYDSFSNRWTEVAPLKEAVSSPAVTSCVGKLFVIGGGPDDNTCSDKVQSYDPETN
+SWLLRAAIPIAKRCITAVSLNNLIYVAGGLTKAIYCYDPVEDYWMHVQNTFSRQENCGMS
+VCNGKIYILGGRRENGEATDTILCYDPATSIITGVAAMPRPVSYHGCVTIHRYNEKCFKL
+>tr|E1BPF3|E1BPF3_BOVIN BCL9, transcription coactivator OS=Bos taurus OX=9913 GN=BCL9 PE=4 SV=3
+MHSSNPKVRNSPSGNTQSSPKSKQEVMVRPPTVMSPSGNPQLDSKFSNQGKQGGSASQSQ
+PSPCDSKSGGHTPKALPGPGGSMGLKNGAGNGAKGKGKRERSISADSFDQRDPGTPNDDS
+DMKECNSADHIKSQDSQHTPHSMTPSNATVPRSSTPSHGQTTAPEPTPAQKTPAKVVYVF
+STEMANKAAEAVLKGQVETIVSFHIQNISNSKTERSTAPLNTQISALRNDPKPLPQQPPA
+PANQDQNSSQNTRLQPTPPIPAPAPKPAAPPRPLDRDSPGVENKLIPSVGSPAGSTPLPP
+DSTGPNSTPNNRAVTPVSQGSNSSSADPKAPPPPPVSSGEPPTLGENPDGLSQEQLEHRE
+RSLQTLRDIQRMLFPDEKEFPGGQSGGPQQNTGVLDGPQKKPEGPIQAMMVQSQSLGKGP
+GPRTDVGAPFGPQGHRDVPFSPDEMVPPSMNSQSGPIGPDHLDHMTPEQIAWLKLQQEFY
+EEKRRKQEQVVVQQCSLQDMMVHQHGPRGVVRGPPPPYQMTPGEAWGPGGSEPFADGINM
+PHSLPPRAMAPHPNMPGSQMRLPGFAGMMNSEMEGPNVPNPASRPGLSAVSWPDDVPKIP
+DGRNFPPGQGVFSGPGRGERFPNPQGLSEEMFQQQLAEKQLGLPPGMSVEGIRPGMEMNR
+MIPGSQRHVEPGNNPIFPRIPVEGPLSPSRGDFPKGMPPQLGPGRELEFGMVPSGMKGDV
+SLNVSMGSNSQMIPQKMREAGAGPEEMLKLRAGGADMLPAQQKMVPLPFGEHPQQEYGMG
+PRPFLPMSQGPGSNSGLRNLREPMGPDQRTNSRLSHMPPLPLNPSSNPTSLNTAPPVQRG
+LGRKPVDISVAGSQVHSPGINPLKSPTMRQVQSPMLGSPSGNLKSPQTPSQLAGMLAGPA
+AAASIKSPPVLGSAAASPVHLKSPSLPAPSPGWTSSPKPPLQSPGIPPNHKAPLTMASPA
+MLASVESGGPPPPTASQSASVSIPGSLPSSTPYTMPPEPTLSQNPLSIMMSRMSKFAMPS
+STPLYHDAIKTVASSDDDSPPARSPNLPSMNNMPGMGINTQNPRISGPNPVVPMPTLSPM
+GMTQPLSHSNQMPSPNAMGPNIPPHGVPMGPGLMSHNPIMGHGSQEPPMVPQGRMGFPQG
+FPPVQSPPQQVPFPHNGPSGGQGNFPGGMGFPGEGPLGRPSNLPQSSADAALCKPGGPGG
+PDSFAVLGNSMPSVFTDPDLQEVIRPGATGIPEFDLSRIIPSEKPSQTLQYFPRGEVPGR
+KQPQGPGPGFSHMQGMMGEQAPRMGLALPGMGGPGPVGTPDIPLGTAPSMPGHNPMRPPA
+FLQQGMMGPHHRMMSPAQSTMPGQPTLMSNPAAAVGMIPGKDRGPAGLYTHPGPVGSPGM
+MMSMQGMMGPQQNIMIPPQMRPRGMAADVGMGGFSQGPGNPGNMMF
+>tr|A0A3Q1M3Q0|A0A3Q1M3Q0_BOVIN G protein-coupled receptor 155 OS=Bos taurus OX=9913 GN=GPR155 PE=4 SV=1
+MNSFSDIPAKNLTFAVNMTKTLSSPVTHGFNSTNDPPSMSITRLFPALLECFGIVLCGYI
+AGRANVITSTQAKGLGNFVSRFALPALLFKNMVVLNFSNVDWSFLYSILIAKASVFFVVC
+ILTLLVASPDSRFSKAGLFPIFATQSNDFALGYPIVEALYQTTYPEYLQYIYLVAPISLM
+MLNPIGFIFCEIQKWKDTQNASQNKVKILGLGLLRVLQNPIVFMVFIGIAFNFILDQKVP
+EYMENFLDGLANSFSGSALFYLGLTMVGKIKKLKKSAFVVLILLITAKLLVLPLLCREMV
+ELLDKDDSVVNHTSLSNYAFLYGVFPVAPGVAIFATQFNMEVEIITSGMVISTFVSAPIM
+YVSAWLLTFPTMDPKPLAYAIQNVSFDISIISLVSLIWSLAILLLSKKYKQLPHMLTTNL
+LIAQSIVCAGMMIWNFVKEKNFVGQILVFVLLYSSLYSTYLWTGLLAISLFLLKKRERVQ
+IPVGIIIISGWGIPALLVGVLLVTGKHNGESIDSAFFYGKEQMIITAVTLFCSIVIAGIS
+LMCMNRTSQAGRYEGFDQSQDRKAAEPGNTAFEDSPVPVNEPESFTSSVPETSCCSCSMG
+NGELCCPSTEPVADITTSGSVTPSFEKNEHCVSRCNSQSCILAQEEEQYLHSGDQQLTRH
+VLLCLLLIIGLFANLSSCLWWLFNQEPGRLYVELQFFCAVFNFGQGFISFGIFGLDKHLI
+ILPFKRRLEFLWNSKETAENKDSSVPEEIKMTCQQFIHYHRDLCIQNIVKERRCGAKTSA
+GTFCGCDLVNWLIEVGLASDRGEAVIYGDRLVQGGVIQHITNEYEFRDEYLFYRFLQKSP
+KQSPPTINADIPQQEKYKEIEHSSPSPKT
+>tr|Q29630|Q29630_BOVIN CD74 molecule OS=Bos taurus OX=9913 GN=CD74 PE=2 SV=1
+MEDQRDLISNHEQLPMLGQRPGAQESKCSRGALYTGFSVLVALLLAGQATTAYFLYQQQG
+RLDKLTVTSQNLQLENLRMKLPKPAKPMSQMRMATPMLMRALPMAGPEPMKNATKYGNMT
+QDHVMHLLLKADPLKVYPQLKGSLPENLKHLKDSMDGLDWKLFESWLHQWLLFEMSKNSL
+EEKPFEGPPKDPMEMEYPSSGLGV
+>tr|Q6IE76|Q6IE76_BOVIN FDFT1 protein OS=Bos taurus OX=9913 GN=FDFT1 PE=2 SV=1
+MEFVKCLGHPEEFYNLLRFQMGGRRKVIPKMDQDSLSSSLKTCYKYLNQTSRSFAAVIQA
+LDGEMRHAVCIFYLVLRALDTLEDDMTISIERKVPLLHNFHSYLYEPDWRFTESKEKDRQ
+VLEDFPTISLEFRNLAEKYQTVIVDVCQKMGFGMAEFLDKRVTSEREWDKYCHYVAGLVG
+IGLSRLFSASELEDPLIGEDTERANSMGLFLQKTNIIRDYLEDQREGREFWPQETWSKYV
+KKLGDFAKPENIDLAVQCLNELITNTLHHIPDVITYLSRLRNQSIFNFCAIPQVMAIATL
+AACYNNQQVFKGVVKIRKGQAVTLMMDATNMPAVKAIIHQYMEEIYHRIPNSDPCSTKTQ
+QIISTIRTQNLPNCQLVSRSHYSPIYLSFVMLLAALSWQYLSTLSQVTEDYVQTGEH
+>tr|Q08DF0|Q08DF0_BOVIN Neuroligin 3 OS=Bos taurus OX=9913 GN=NLGN3 PE=2 SV=1
+MWLWLGPPSLSLSPKPTVGRSLCLTLWFLSLVLRASTQAPAPTVNTHFGKLRGARVPLPS
+EILGPVDQYLGVPYAAPPIGEKRFLPPEPPPSWSGIRNATQFPPVCPQNIHTAVPEVMLP
+VWFTANLDIVATYIQEPNEDCLYLNVYVPTEDDIRDSGAKPVMVYIHGGSYMEGTGNMID
+GSVLASYGNVIVITLNYRVGVLGFLSTGDQAAKGNYGLLDQIQALRWVSENIAFFGGDPR
+RITVFGSGIGASCVSLLTLSHHSEGLFQRAIIQSGSALSSWAVNYQPVKYTSLLADKVGC
+NVLDTVDMVDCLRQKSAKELVEQDIQPARYHVAFGPVIDGDVIPDDPEILMEQGEFLNYD
+IMLGVNQGEGLKFVEGVVDPEDGVSGTDFDYSVSNFVDNLYGYPEGKDTLRETIKFMYTD
+WADRDNPETRRKTLVALFTDHQWVEPSVVTADLHARYGSPTYFYAFYHHCQSLMKPAWSD
+AAHGDEVPYVFGVPMVGPTDLFPCNFSKNDVMLSAVVMTYWTNFAKTGDPNKPVPQDTKF
+IHTKANRFEEVAWSKYNPRDQLYLHIGLKPRVRDHYRATKVAFWKHLVPHLYNLHDMFHY
+TSTTTKVPPPDTTHSSHITRRPNGKTWSTKRPAISPAYSNENAQGSWNGDQDAGPLLVEN
+PRDYSTELSVTIAVGASLLFLNVLAFAALYYRKDKRRQEPLRQPSPQRGAGAPELGAAPE
+EELAALQLGPTHHECEAGPPHDTLRLTTLPDYTLTLRRSPDDIPLMTPNTITMIPNSLVG
+LQTLHPYNTFAAGFNSTGLPHSHSTTRV
+>tr|A6QPY3|A6QPY3_BOVIN LOC787822 protein OS=Bos taurus OX=9913 GN=LOC787822 PE=2 SV=1
+MPVVPTNELCTSEEDLQGQIQAEGPVEAQLLGAEAEDASTPLASFPPVSSSSAIGDAEIL
+LMQALNRMTCELLEFLILKYGTQEPIFQAEMLNTVLRDNQAHFPVVFRKATQCLQLAFGL
+DMKEVDHREHIYVMVPVLGLTLNEMQRDEQSIPKAGLLVAALSLIILAGDRISEEKVWGA
+LSKIEVFPGAQHCIYGEPKELLTQVWVRAGYLKYRQVRYSHPARYEFLWGPRAYAETSKQ
+KVKDYLRKINGRGPRFFPPRWT
+>tr|Q2KI91|Q2KI91_BOVIN Ring finger protein 41 OS=Bos taurus OX=9913 GN=RNF41 PE=2 SV=1
+MGYDVTRFQGDVDEDLICPICSGVLEEPVQAPHCEHAFCNACITQWFSQQQTCPVDRSVV
+TVAHLRPVPRIMRNMLSKLQIACDNAVFGCSAIVRLDNLMSHLSDCEHNPKRPVTCEQGC
+GLEMPKDELPNHNCIKHLRSVVQQQQTRIAELEKTSAEHKHQLAEQKRDIQLLKAYMRAI
+RSVNPNLQNLEETIEYNEILEWVNSLQPARVTRWGGMISTPDAVLQAVIKRSLVESGCPA
+SIVNELIENAHERSWPQGLATLETRQMNRRYYENYVAKRIPGKQAVVVMACENQHMGDDM
+VQEPGLVMIFAHGVEEI
+>tr|Q5W5I5|Q5W5I5_BOVIN Beta-defensin 402 OS=Bos taurus OX=9913 GN=defb402 PE=4 SV=1
+MRLHHLLLTLLFLVLSVGSGFTQGVRNPLSCCRNIGICVLIRCSGNMRQIGTCLGALVKC
+CRRW
+>tr|A6QP19|A6QP19_BOVIN FBXO17 protein OS=Bos taurus OX=9913 GN=FBXO17 PE=2 SV=1
+MMPGAPSQTCFVTSFEWCFKRQLVDLVMEGVWQELLDSAQIEICVADWWGARENCGCIYR
+LRVRLLDVYENEVVKFSASPNPVLQWTERGCRQVSHVFTNFGKGIRYVSFEQYGRDTRSW
+VGHYGTLVTHSSVRVRIRLS
+>tr|Q148J4|Q148J4_BOVIN RAB2A, member RAS oncogene family OS=Bos taurus OX=9913 GN=RAB2A PE=2 SV=1
+MAYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDGKQIKLQIW
+DTAGQESFRSITRSYYRGAAGALLVYDITRRDTFNHLTTWLEDARQHSNSNMVIMLIGNK
+SDLESRREVKKEEGEAFAREHGLIFMETSAKTASNVEEANGIKIGPQHAATNATHAGSQG
+GQQAGGGCC
+>tr|A5PJS8|A5PJS8_BOVIN INTS8 protein OS=Bos taurus OX=9913 GN=INTS8 PE=2 SV=1
+MSAEAADREAATSSRPCTPPQTSWFEFLLQESLLEKHLRKPCPDPAPVQLIVQFLEQASK
+PSVNEQNQVQPPPDNKRNRVLKLLALKVAAHLKWDLDILEKSLSVPVLNMLLNELLCISK
+VPPGTKHIDMDLATLPPTTAMAILLYNRWAIRTIVQSSFPVKQAKPGPPQLSVMNQMQQE
+KELTENILKVLKEQASDSILVLEAALKLNKDLYVHTMRTLDLLAVEPGMVNGETESSTAG
+LKIKTEEMQCQVCYDLGAAYFQQGSTNPAVYENAREKFFRTKELIAEIGSLSLHCTIDEK
+RLAGYCQACDVLVPSSDSTSQQLTPYSQVHICLRSGNYQEVIKIFVEDNLTFSLPIQFRQ
+SVLRELFQKAQQGNEALDEICFKVCACNTVRDVLEGRTISVQFNQLFLRPNKEKIDFLLE
+VCSRSINLEKASDTLKGNMAAFLKNVCLGLEDLQYVFMISSHELFITLLKDEERKLLVDQ
+MRKRSPRINLCIKPVTSFYDIPASASVNIGQLEHQLILSVDPWRIRQILIELHGMTSERE
+FWTVSNKWELPLVYSSVILGIKDNLTRDLVYILMAKGLHCSTVKDFSHAKQLFAACLELV
+TEFSPKLRQVMLNEMLLLDIYTHEAGTGQSGERPPSDLISRVRGYLEMRLPDIPFRQVIS
+EECVAFMLNWRENEYLTLQVPAFLLQSNPFVKLGQLLAATCKELPGPKESRRTAKDLWEV
+VVQICSVSSQHKRGNDGRVSLIKQRESTLGIMYRSELLSFLKRLREPLVLTIILSLFVKL
+HNVREDIVNDITAEHISIWPSSIPNLQSVDFEAVAITVKELVRYALSINPNNHSWLIIQA
+DIYFATNQYSAALHYYLQAGAVCSDFFNKAVPPDVYTDQVIKRMIKCCSLLNCHTQVAIL
+CQFLREIDYKTAFKSLQEQNSHDAMDSYYDYIWDVTILEYLTYLHHKRGETDKRQIAIKA
+IGQTELNASNPEEVLQLAAQRRKKKFLQAMAKLYF
+>tr|A2VE16|A2VE16_BOVIN MGC151586 protein OS=Bos taurus OX=9913 GN=MGC151586 PE=2 SV=1
+MGSQGPGGWPLVQAPYTVLLLPLETSRQDPGARSFFLWLQRMQALEREQDALWQGLELLE
+HSQAWYQGRLREAQQQQLHVGALGENFLTDLHSEPRGPQLAQIQRVNICLQNLLQGKFFP
+HPPNKASSCTTQDWKGRPRKQDMWRQQEMSRQQKGGIQPTGEMAQPGCPHGRRGPTRV
+>tr|Q0VBX8|Q0VBX8_BOVIN Hypothetical LOC511138 OS=Bos taurus OX=9913 GN=LOC511138 PE=2 SV=1
+MQSVLPGFFANLNWTSEEASHSQDTSGLRPFQMIFEADVKPRTLMTDSLVIKNFLRKVIT
+VLPKIRFNFSVKVNGILSMEIFGAEKEPTLNLSNGIALVVNCQHYLSTPKFDTTELPCSR
+IHPVLGHPVMLFIPDDVVGMGLLGELTLTPAVALCPCPKVFSNQLNRISSVSISF
+>tr|Q1RML6|Q1RML6_BOVIN tRNA nucleotidyl transferase 1 OS=Bos taurus OX=9913 GN=TRNT1 PE=2 SV=1
+MLRCLDPWRRQLLSCSWSRLCLLKHSMFTMKLQSPEFQSLFTEGLKSLTELFVRENHELR
+IAGGAVRDLLSGVKPQDVDFATTATPAQMKELFQSVGIRMINNKGEKHGTITARLHEENF
+EITTLRIDVATDGRHAEVEFTTDWQKDAERRDLTINSMFLGFDGTLFDYFNGYEDLKNKK
+VRFVGQAKQRIQEDYLRILRYFRFYGKIVDTPGDHDPETLEAIAENAKGLAGVSGERIWV
+ELKKILTGDHVNHLIHLIYELDVAPYIGLPANASLEEFNKVSKNVEGFSPKPMTLLTSLF
+KVQDDVTKLDLRLKISKEEKNLGLFIVKNRKDLIKAVDSSEPLKPYQDFIIDSREADATA
+RVCELLKYQGEHGLLKQMQQWSIPPFPVSGHDIRKVGISSGKEIGVLLQQLREQWKKSGY
+QLEKDELLSYIKKT
+>tr|A3KMX5|A3KMX5_BOVIN FZD10 protein OS=Bos taurus OX=9913 GN=FZD10 PE=2 SV=1
+MQRPGPRLWLVLQVMGSCAAISSMDMERPGDGKCQPIEIPMCKDIGYNMTRMPNLMGHEN
+QREAAIQLHEFAPLVEYGCHGHLRFFLCSLYAPMCTEQVSTPIPACRVMCEQARLKCSPI
+MEQFNFKWPDSLDCSKLPNKNDPNYLCMEAPNNGSDEPARGSGMFPPLLRPQRPHSAQEH
+QLKDGGPGRAGCDNPGKFHHVEKSASCAPLCTPGVDVYWSRDDKRFAVVWLAVWSVLCFF
+SSAFTVLTFLIDPARFRYPERPIIFLSMCYCVYSVGYIIRLFAGAESIACDRDSGQLYVI
+QEGLESTGCTLVFLVLYYFGMASSLWWVILTLTWFLAAGKKWGHEAIEANSSYFHLAAWA
+IPAVKTILILVMRRVAGDELTGVCYVGNMDINALTGFVLIPLACYLIIGTSFILSGFVAL
+FHIRRVMKTGGENTDKLEKLMVRIGVFSVLYTVPATCVIACYFYERLNVEYWKVLATQHK
+CKMNNQTKNLDCLMTTSIPAVEIFMVKIFMLLVVGITSGMWVWTSKTLQSWQNVCSRRFK
+KKSRRKPASVITSSGIYKKAQHPPKTHLGKYEIPAQPPTCV
+>tr|A5PJD9|A5PJD9_BOVIN MGC152337 protein OS=Bos taurus OX=9913 GN=MGC152337 PE=2 SV=1
+MAGLRNGHRSCIRAAFTASTRAGFQKRQRNRLHRLKASHKKNHLDFVEGIWRELLDPYDA
+DWEDARGHTSCHFNSCSLGAANCDGPLHTLKSRGPGDVSLEDPLLANLPVLVLTATGWAP
+AAPEASDVDMQPAEAGGLEARGRMARLPGPPEDSGMMEEDRGSPEAVRPQGRARGSRPPP
+RLGTERDRGPKLALSKRKLELLLAEPEKSKRKKQCVA
+>tr|A6QL81|A6QL81_BOVIN DKK3 protein OS=Bos taurus OX=9913 GN=DKK3 PE=2 SV=1
+MRRLGGTLLYLLLAAAVPTAPAPAPTVTSASIEPGPALSYPQEEATLNEMFREVEELMED
+TQYKLRSAVEEMEAEEAAAKTSSEVTLAHLPPSYHNETNTETKVGNNTIHVHREIHKITN
+NRTGQMVFSETVITSMGDEEGKRSHECIIDEDCGPSRYCQFSSFEYSCQPCRDQQTLCTR
+DSECCGDQLCVWGRCTKTSTAGSNGTICDNQRDCQPGLCCAFQRGLLFPVCTPLPVEGEL
+CHDPASRLLDLITWELEPDGALDRCPCASGLLCQPHSHSLVYVCKPTFVGGRDEDGESLV
+PREAPDEYEDGGFMEEVRRELENLERSLSVEMALGEPGAASELLEGEEI
+>tr|G5E5X4|G5E5X4_BOVIN Protein-lysine N-methyltransferase EEF2KMT OS=Bos taurus OX=9913 GN=EEF2KMT PE=4 SV=1
+MAQEERADAARLLRGFERRFLAARALRSFPWQSLEEKLRDSSGSELLLDILQKTVKHPLC
+VKHPPSVKYSRSFLSELIRKHEAVHTEPLDELYQALAEVLTAEDPTHCHRSYLLPSGDSV
+TLCESTAIVSHGTTGLVTWNAALYLAEWAVENPAVFAHRMVLELGSGAGLTGLAICKTCR
+PRAYIFSDCHSHVLEQLRGNVLLNGFSLEPSIDTWAQHPGPHTPEAERPWVTVARLDWDT
+VTAPQLAAFQPDVVLAADVLYCPETVLSLVGVLRKLSTCRKDQRAPDAYIAFTIRNPETC
+QLFTTELGQAGIPWEEVPCHDQKLFPYEEHSEMAILKLTL
+>tr|A0A3Q1MNG7|A0A3Q1MNG7_BOVIN Deltex E3 ubiquitin ligase 2 OS=Bos taurus OX=9913 GN=DTX2 PE=4 SV=1
+MAMAPSPSLAQVYTSPVAVAVWEWQDGLGTWHPYSAAVCSYIEQQFVQQKGQRFGLGSLA
+HSIPLGQADPSLAPYIIDLPSWTQFRQDTGTMRAVRRHLFAQHSAPGRGIVWEWLGDDNS
+WTAYEASVCDYLEQQVAQGNQLVDLAPLGYNYTVNYATHTQTNKTSSFCRSVRRQAGPPY
+PVTTVIAPPGHTGVACSCHQCLSGGTTGPVSGRYRHSMTNLPAYPVPQPPHRTAIVWGTH
+QAFAPYNKPSLSGARSAPRLNTTNPWAGAPPSLGSAPLCRSSLSHLASQHQPSGPSATGA
+ASASLPSGPVSSPRSVPATVPVKMPKPSRVQQALAGMTSILMSAIGLPVCLSRAPQPASP
+PASCLASKSHSSVKRLRKMSMKGGSPKPEPEQVIRNYTEELKTAPEEDCIICMEKLSVAS
+GYSDVTDSKTFGPMAVGCLAKCSHTFHLLCLLAMYCNGNKDGSLQCPSCKTIYGEKTGTQ
+PRGKMEVFTFQMSLPGHEDCGTILIVYNIPHGIQGPEHPNPGKPFTARGFPRQCYLPDNA
+QGRKVLELLKVAWKRRLIFTVGTSSTTGETDTVVWNEIHHKTEMDRNVTGHGYPDPNYLQ
+NVLAELAAQGVTEDCLEQQ
+>tr|E1BDI4|E1BDI4_BOVIN FA complementation group I OS=Bos taurus OX=9913 GN=FANCI PE=4 SV=3
+MDQKILSLAAEKTADGLQEFLQILKEDDLTDLLQNQAVRGKTAGALLKAIFKGLPCSEEA
+GALKRLKIYSCCIQLLESGDLQKEVASEITGLLMLEVHRFPGQLLVELANEFVSAINEGK
+LTNGKSLELLPVILTALGTKKENLTYGKGELSGEECKKQLINTLCSGRWDHQYVIQLTSM
+FKAVPLTTEEVEFVVEKVLKVFSKLNLQEIPPLVYQLLVLSSKGSRKRVLVGIIAFFNKL
+DQQHNEEQSGDEYLDLITVPLDELRHVEGTIILHIVFAIKLDFELGRELLRHLKATQQGD
+FSSICPFSIALLLSVTRIQRFEEQVFDFLKSSVIKNFKDLQLLQGSKYIQSLFPNECCIS
+TMILEVVNNSVHSWDHVTQGLVEFGFILMDSYGPKKILDGKTAETSSGLSRMPNQHACKL
+GANILLETFKIHEMIRQEILEQVLNRVVTRASSPINHFLDLLSDIIKYSPLVLQSCSSKV
+TETFDYLSFLPVQTVQGLLKAVQPLLKVSMSIRDSLILVLRKAMFASQLDARKSAVAGFL
+LLLKNFKVLGSLSSSQCSQSIGVSQVHVDIHSRYNSVANETFCLEIMDSLKRCLGQQADV
+RLMLYEGFYDVLRRNSQLANSVMQTLLSQLKQFYEPEPDVLPPLKLEACILTQGDQVFLQ
+EPLDYLLCCIQHCLAWYKSRVVPLQQEEEEEEEGFYQYLDDMLESITNRMIKSELEDFEL
+DKSADFSQSTGIGIKNNICASLVMGICEVLIEYNFFISNFSKTKFETILSLFMCYKKLSD
+ILNEKSGKGKTKMANRPTDSFLSMKFVSDLLTALFRDSTQSHEESLSVLRSSNEFMRYAV
+NVALMKVQQLKETGHVSGPDGQNPEKVFQNLCDITRVLLWRYTSIPTSVEESGKREKGKN
+ISLLCLEGIQKILSAVQQFYQPKCHQFLKALDATDKEEEEEEVSVSERAAFQIRQFQRSL
+LNLLSSQEEDFKSKEALLLATVLTSLSKLLKPISAEFVQMLSWTSKICTENSCEDASFCK
+GMLSLLFNLHVSCKSPVGLLRDLSQDIHGLLGDIDEDVEVEKTNNFALVNLKTAAPTVCL
+LVLSKAEKVLEEVDWLITKLKGQANQKIIPEEASSQAALTNHPMEKTTIIQLGTLLTFFH
+ELVQTALPSGSCVDTLLKDLGKMYTTLTALVKYYLQVYQPSTGIPKNMEKLVKLSGSHLT
+PLCYSFISYVQNKHSRSLKCTGEKEKTAAGPTAIARALRETKPIPNLIFAIEQYEKFLIH
+LSKRSKVNLMKHIKLSTSRDFKIKGNILDVVLRQEEDENEEGTASEHEGQNKEPAKKKRK
+KEMKCLS
+>tr|Q0IIC8|Q0IIC8_BOVIN Phospholipase A(2) OS=Bos taurus OX=9913 GN=PLA2G2A PE=2 SV=1
+MKTLLLLAVIMAFALLQVHAHLWDFARMIKHTTGKGALLSYSAYGCYCGVSGRGSPKDAT
+DRCCWAHDCCYKKLKHHGCGTKFLNYNVSIRRGQITCADQGVCRRIVCECDKKAALCFAR
+NQKTYNKKLQYYRNSLCTGRAPQC
+>tr|Q4R0H2|Q4R0H2_BOVIN Spermadhesin 2 OS=Bos taurus OX=9913 GN=spadh2 PE=2 SV=1
+MKLSSVIPWALLLSTASSDSTDGLLVKDKHLCGDLYGEEYGVIFPYLGLHTECLWIIKMD
+PGYRILLEVRDVHLNCNKESLEIIEGPPESSNSRKICDTSHAEYTSCTNTMTVKYTRKPN
+HPAPDFFLIFRRVL
+>tr|A8E4M3|A8E4M3_BOVIN ZNF75A protein OS=Bos taurus OX=9913 GN=ZNF75A PE=2 SV=1
+MYFSQEEWELLDPTQKALYNDVMQENYETVISLALFVLPKPKVISCLEQGEEPWVQRPLE
+FKDSSEELPAGLKLKNDTENIQSLCLSDLEIQAPVAIASRKARLKVPQKTIGKENHGDVH
+RVGKLHRDFPVKKKKKLSTWKQELLKLMDLQKKERAAERPFKCQECGKSFRVSSDLIKHQ
+RVHTEEKPYKCQQCDKRFRWSSDLNKHLTTHQGIKPYKCSWCGKGFSQKTNLHTHQRTHT
+GEKPFTCHECGKKFNQNCHLIKHRRTHTGEQPYTCTTCRRNFSRRSSLLRHQKLHQ
+>tr|A8E4M8|A8E4M8_BOVIN C21H15orf40 protein OS=Bos taurus OX=9913 GN=C21H15orf40 PE=2 SV=1
+MCPYSSLLILCFHTPLGKNQSKEPERPLPPLGPVTVDPKGGVSIAIHAKPGSKQNAVTDV
+TTEAVSVAIAAPPTEGEANAELCRYLSKVLELRKSDVVLDKGGKSREKVVKLLASTPPEE
+ILEKLKKQVEKK
+>tr|A6QPP7|A6QPP7_BOVIN ELA2 protein OS=Bos taurus OX=9913 GN=ELANE PE=1 SV=1
+MTQSCRRFSPALAPILLALLLGGPALASEIVGGRAARPHAWPFIASLQRRGGHFCGATLI
+ARNFVLSAAHCLNGLNFRSVRVVLGAHNLRRQERTRQMFRVRRVFENGFDPLSLQNDVVV
+LQLNRMATLNANVQVARLPAQDQGVGEGVRCVAMGWGRLGTNRPPPQILQQLNVTVVTGL
+CRPTNVCTLVPRRRAGICFGDSGGPLVCNGLVHGIDSFIRGGCGSGVFPDSFASVAKFAN
+WINSIIRRYSDDDGPSLHPRDPTGRTH
+>tr|F1N1E1|F1N1E1_BOVIN Protein phosphatase 1 regulatory subunit 32 OS=Bos taurus OX=9913 GN=PPP1R32 PE=4 SV=1
+MMGKLPLGVVSPYVKMSSGGCTDPLKFYATSYCTAYGREDFKPRVGSHRGTGYKSNYRPV
+VSYQPHLDALDNTATGEQGCNNFQTVTSQSYRPLEVPDGTHPLPWNLHQTNSGYSREKAS
+AVTPIKEVRKVHFDTQDYGPQAITGLEPKDAPLLHQQQNKGSLEWENAGHGPRFMTSEYN
+SKYLKEPSHQPDLLQKNSVGAKEETGFTEESNKNPIVFQPPSQALPGDPVLLPGRSVTKS
+DFLPISHPHGDEFLPVLARGSERETGFSRVNERTLNPRVPPPCPEPSSMNHWQFQSPQRM
+QQTNVALLGRETVGNKEPSGFSLNNPSYVRSPYDPDMDNRYLTTYNQGYFENIPKGLDRE
+GWTRGGLQPQKPGGYALNQPVTRLEATPTPTESLRRLHPHVGRTLISVDPFYRTAPPSGH
+VSRFTAPN
+>tr|A4FUE9|A4FUE9_BOVIN Autophagy related 10 OS=Bos taurus OX=9913 GN=ATG10 PE=2 SV=1
+MEENEFFGEKTFQHYCAEFIKHSQKIGDGWEWRASKDCSDGYMCKTHFQVKNGTAVSHQG
+TSDHVQTFLPVEEALDPPLDDLEVNETTTAAEVIKYEYHVLYSCSYQVPVLYFRASFLDG
+RPLALKDIWEGVHECYKMRLLQGPWDTITQQEHPILGQPFFVLHPCKTSEFMTPVLKNSQ
+KINRNVNYITSWLSMVGPAVGLNLPLSYATAASQDEGNVDGQDSSIERKSLEEH
+>tr|Q17QP5|Q17QP5_BOVIN Secreted frizzled-related protein 4 OS=Bos taurus OX=9913 GN=SFRP4 PE=2 SV=1
+MLLSILTALCLWLRLALGVRGAPCEAVRIPMCRHMPWNITRMPNHLHHSTQENAILAIEQ
+YEELVDVNCSAVLRFFLCAMYAPICTLEFLHDPIKPCKSVCQRARDDCEPLMKMYNHSWP
+ESLACDELPVYDRGVCISPEAIVTDLPDDVKWIDITPDMMVQERPLDIDCKRLSPDRCKC
+KKVKPTLATYLSKNYSYVIHAKIKAVQRSGCNEVTTVVDVKEIFKSSSPIPRTQVPLITN
+SSCQCPHILPHQDVLIMCYEWRSRMMLLENCLVEKWRDQLSKRSIQWEERLQEQQRTAQD
+KKRTAGRTSRSNAPKPKGKPPAPKPASPKKNIKARSAPKSTNPKQA
+>tr|Q17QR2|Q17QR2_BOVIN STAM binding protein OS=Bos taurus OX=9913 GN=STAMBP PE=2 SV=1
+MSDHGDVSLPPEDRVRALSHRGSAVEINEDIPPRRYFRSGVEIIRMASVYCEEGNIEYAF
+ILYNKYITLFIEKLPKHRDYKSAVIPEKKDTVKKLKEIAFPKAEELKAELLKRYTKEYLE
+YNEEKKKEAEEFARNVAMQEELEKERRRVAQQKQQQLEQEQFHAFEEMIRNQELEKERLK
+IVQEFGKVDPGLGGPLVPDLETPSLDVFPTVPAIPTQPSDCNAAVRLAKPPVVDRSLKPG
+ALNNLEAPTIDGLRHVVVPGKLCPQFLQLAGANTTRGVETCGILCGKLMRNEFTITHVLI
+PKQSAGSDYCNTENEEELFLIQDQQGLITLGWIHTHPTQTAFLSSVDLHTHCSYQMMLPE
+SIAIVCSPKFQETGFFRLTDHGLEEISSCRQKGFHPHSKDPPLFCSCSHVTVVDRAVTVT
+DLR
+>tr|A4IFR9|A4IFR9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=2 SV=1
+MTIPGGFRSCTETDASSKIFINSTFSAPAGSERHYDATLLTLLVLGSYTLCIVPLLATFT
+GKRSRSTISGLILSL
+>tr|Q2KIM2|Q2KIM2_BOVIN ELL associated factor 2 OS=Bos taurus OX=9913 GN=EAF2 PE=2 SV=1
+MNGAAGPSHIDSRERVLKLGGSFEKQPRCAFHTVRYDFKPASIDTSCEGELEVGKGEQVT
+ITLPNIEGSTAPVTVFKGSKKPYLKECILIINHDTGECRLEKLSSNITVKKTRFEGSSKI
+QYRIEQQQQQMRNSARTPNLIKHSPSEDKMSPASPMDDIERELKAEASLMDQMSSCDSSS
+DSKSSSSSSSEDSSSDSEDEECKSSPDPGNYISEHPTMSIMPQCRIPEIVAGQNKHHDNN
+GLLMNTLRNDLQLSESGSDSDD
+>tr|Q0VCE5|Q0VCE5_BOVIN Uncharacterized protein LOC768315 OS=Bos taurus OX=9913 GN=LOC768315 PE=2 SV=1
+MKQRKAQGPGGGRSRKKRDSSTGLSDISPSTSLPPLVEGQLRCFLKLSVNKVIWKIAKPP
+ACILVRVRWWGETSEGTLFCPRDALQTEPKAVRTTTRYAIRCGPKQFTSYLTDMAVLVLE
+VITKLEHLPIGRVQISGLAQLSPTHHIDGFFTIVSPTSKKLGELQVSLALEPLSETYDSY
+NPLPTTNMTENVLLSERGFREYSEASNTQFLVPSRIHTTKIDEKDLAANSSRSTPPRGKD
+HLYFAENSDTIKDSFCGLQHHLNSGQSLESVTLKGKAPWKQMSLLNSSEFQPQISTVAKS
+HSDSCILSSNNRPTKDLLSGMNIFVN
+>tr|Q3T0V6|Q3T0V6_BOVIN Cell division cycle associated 3 OS=Bos taurus OX=9913 GN=CDCA3 PE=2 SV=1
+MGSAKSVPVTPARPPPHNKLLARVADPRSPSAGILRTPIQVESSPQPSLPSGEQVEGHKL
+VQDSDPRSPTLGIARTPMKTSGDPPSPLVKQLSDVFETEDPKLSLPPEVILPLETPSSPR
+LDLPLGTQVSVEDQVPPGSQTELPAEQVFAKEKTGHSSETPVASQVSDKPLRDPETPRSS
+GSKRSRRKANSKVPGRSPLTVLQDDNSPGALTPRQGKQPSLSENFRELKEGAVLGTGRLL
+KTGGRAWEQGQGHDKENRHFPLVEN
+>tr|F1MQI1|F1MQI1_BOVIN Talin 2 OS=Bos taurus OX=9913 GN=TLN2 PE=4 SV=3
+MVALSLKICVRHCNVVKTMQFEPSTAVYDACRVIRERVPEAQTGQASDYGLFLSDEDPRK
+GIWLEAGRTLDYYMLRNGDILEYKKKQRPQKIRMLDGSVKTVMVDDSKTVGELLVTICSR
+IGITNYEEYSLIQETIEEKKEEGTGTLKKDRTLLRDERKMEKLKAKLHTDDDLNWLDHSR
+TFREQGVDENETLLLRRKFFYSDQNVDSRDPVQLNLLYVQARDDILNGSHPVSFEKACEF
+GGFQAQIQFGPHVEHKHKPGFLDLKEFLPKEYIKQRGAEKRIFQEHKNCGEMSEIEAKVK
+YVKLARSLRTYGVSFFLVKEKMKGKNKLVPRLLGITKDSVMRVDEKTKEVLQEWPLTTVK
+RWAASPKSFTLDFGEYQESYYSVQTTEGEQISQLIAGYIDIILKKKQSKDRFGLEGDEES
+TMLEESVSPKKSTILQQQFNRTGKVEHGSVALPAVMRSGSSGPETFNVGSMPSPQQQVMV
+GQMHRGHMPPLTSAQQALMGTINTSMHAVQQAQDDLSELDSLPPLGQDMASRVWVQNKVD
+ESKHEIHSQVDAITAGTASVVNLTAGDPADTDYTAVGCAITTISSNLTEMSKGVKLLAAL
+MDDEVGSGEDLLRAARTLAGAVSDLLKAVQPTSGEPRQTVLTAAGSIGQASGDLLRQIGE
+NETDERFQDVLMSLAKAVANAAAMLVLKAKNVAQVAEDTVLQNRVIAAATQCALSTSQLV
+ACAKVVSPTISSPVCQEQLIEAGKLVDRSVENCVRACQAATDDTELLKQVSAAASVVSQA
+LHDLLQHVRQFASRGEPIGRYDQATDTIMCVTESIFSSMGDAGEMVRQARVLAQATSDLV
+NAMRSDAEAEIDMENSKKLLAAAKLLADSTARMVEAAKGAAANPENEDQQQRLREAAEGL
+RVATNAAAQNAIKKKIVNRLEVAAKQAAAAATQTIAASQNAAVSNKNPAAQQQLVQSCKA
+VADHIPQLVQGVRGSQAQAEDLSAQLALIISSQNFLQPGSKMVSSAKAAVPTVSDQAAAM
+QLSQCAKNLATSLAELRTASQKAHEACGPMEIDSALSTVQTLKSELQDAKMAAVESQLKP
+LPGETLEKCAQDLGSTSKAVGSSMAQLLTCAAQGNEHYTGVAARETAQALKTLAQAARGV
+AASTSDPAAARAMLDSARDVMEGSAMLIQEAKQALIAPGDAESQQRLAQVAKAVSHSLNN
+CVNCLPGQKDVDVALKSIGESSKKLLVDSLPPSTKPFQEAQSELNQAAADLNQSAGDVVH
+ATRGQSGELAAASGKFSDDFDEFLDAGIEMAGQAQTKEDQIQLIGNLKNISMASSKLLLA
+AKSLSVDPGAPNAKNLLAAAARAVTESINQLITLCTQQAPGQKECDNALRELETVKGMLD
+NPNEPVSDLSYFDCIESVMENSKVLGESMAGISQNAKTGDLPAFGECVGIASKALCGLTE
+AAAQAAYLVGVSDPNSQAGHQGLVDPIQFARANQAIQMACQNLVDPGSSPSQVLSAATIV
+AKHTSALCNACRIASSKTGNPVAKRHFVQSAKEVANSTANLVKTIKALDGDFSEDNRNKC
+RVATAPLIAAVENLTAFASNPEFVSVPAQISSEGSQAQEPILVSAKTMLESSSYLIRTAR
+SLAINPKDPPTWSVLAGHSHTVSDSIKSLITSIRDKAPGQRECDFSIDSINRCIRDIEQA
+SLAAVSQSLATRDDISVEALQEQLTSVVQEIGHLIDPIATAARGEAAQLGHKVTQLASYF
+EPLILAAVGVASKILDHQQQMTVLDQTKTLAESALQMLYAAKEGGGNPKAQHTHDAITEA
+AQLMKEAVDDIMVTLNEAASEVGLVGGMVDAIAEAMSKLDESTPPEPKGTFVDYQTTVVK
+YSKAIAVTAQEMMTKSVTNPEELGGLASQMTSDYGHLALQGQLAAATAEPEEIGFQIRTR
+VQDLGHGCIFLVQKAGALQVCPTDSYTKRELIECARAVTEKVSLVLSALQAGNKGTQACI
+TAATAVSGIIADLDTTIMFATAGTLNAENNETFADHRENILKTAKALVEDTKLLVSGAAS
+TPDKLAQAAQSSAATITQLAEVVKLGAASLGSDDPETQVVLINAIKDVAKALSDLIGATK
+GAASKPADDPSMYQLKGAAKVMVTNVTSLLKTVKAVEDEATRGTRALEATIEYMKQELTV
+FQSKEVPEKTSSPEESIRMTKGITMATAKAVAAGNSCRQEDVIATANLSRKAVSDMLTAC
+KQASFHPDVSEEVRTRALRYGTECTLGYLDLLEHVLVILQKPTPELKHQLAAFSKRVAGA
+VTELIQAAEAMKGTEWVDPEDPTVIAETELLGAAASIEAAAKKLEQLKPRAKPKQADETL
+DFEEQILEAAKSIAAATSALVKSASAAQRELVAQGKVGSIPANAADDGQWSQGLISAARM
+VAAATSSLCEAANASVQGHASEEKLISSAKQVAASTAQLLVACKVKADQDSEAMRRLQAA
+GNAVKRASDNLVRAAQKAAFGKADDDDVVVKTKFVGGIAQIIAAQEEMLKKERELEEARK
+KLAQIRQQQYKFLPTELREDEG
+>tr|Q08DT0|Q08DT0_BOVIN RNA helicase OS=Bos taurus OX=9913 GN=DDX10 PE=2 SV=1
+MGKTADSRATGARPDPVRSFNRWKKKHRHKQSQKKQLRKQLKKPEWQVEREVISRLMQNY
+EKINVNEITRFSDFPLSKKTLKGLQEAQYRLVTEIQKQTIGLALQGKDVLGAAKTGSGKT
+LAFLVPVLEALYRLQWTSADGLGVLIISPTRELAYQTFEVLRKVGKNHDFSAGLIIGGKD
+LKHEAERINNINILVCTPGRLLQHMDETICFHATNLQMLVLDEADRILDMGFADTMNAII
+ENLPKKRQTLLFSATQTKSVKDLARLSLKNPEYVWVHEKAKYSTPATLEQNYIVCELQQK
+ISVLYSFLRSHLKKKSIVFFSSCKEVQYLYRVFCRLRPGVSLLALHGRQQQMRRMEVYNE
+FVRKRAAVLFATDIAARGLDFPAVNWVLQFDCPEDANTYIHRAGRTARYKEDGEALLILL
+PSEEKGMVQQLLQKKVPVKEIKINPEKLIDVQKKLESFLAQDQDLKERAQRCFISYIRSV
+YLMKDKEIFDVSKLPISDYALSLGLAVAPRIRFLQRMQKQPSKELVVSEDNKVTEPRAPS
+LTNDEVEEFRAYFNEKMSILQKGGKRPEGTGYRPDSGISDKEEEEKEDEEEMDEKLRRAK
+GSPLESVPSSEESQKDREAPAQFLDRDDEEDDDGLEADFFKVKRHNVFGLDLKENKTLQK
+KEPSKSSMKKKVTKIAEAKKVMKRNFKVNKKITFTDEGELVQQWPQVQKSVSKDTEEEDD
+AGGINLDKAKERLQEEDKFDKEEYRKKIKAKHREKRLKEREARREASKRQAKARDEEEAF
+LDWSDDDDDGFDPSTLPDPDKYRSSEESDSEDMENKISDIKKKQTMRKRNNSEVEDAGPA
+SRDRKKTKWETLEPLDTGLSLAEDEELVLHLLKSQS
+>tr|A7E301|A7E301_BOVIN SHROOM1 protein OS=Bos taurus OX=9913 GN=SHROOM1 PE=2 SV=1
+MEALGPGGGRTSPASSTRSLDLRRLSAPTDSAYSSLSAASGGPEAPEPRTPSPLAGTQQL
+PYLDWDYVRVVWGGPAPAARLRTSAQPRPAAPARSGPRAPEKGPGPLSRQTTPLLYALAA
+EAEAEARAAEPPSPPASRAAYRQRLQGAQRRVLRETSFQRKELRMSLPSRLRPAAPARPS
+AEHPRSASLSHPGGEVEPARSGAPTQQRKWCFSEPGKLDRLGRGAGLARQCSGEACSSSG
+FIGPEPREWQQRTLAEFEGHQIRWLPATQPPSVEDPNPRSLKLGNAYRPATSRSRSASGE
+VLAPWGSPEGVMPIAQAVPQGAETPRPPLQTKLNRFLNQKEAAEVCPAEGPQSSPSDCEQ
+RGSENCIGSVRLPSLPDDEVFLEEAPLVRARSPADSQTPLGLPTCVHVSDQEYGAGLSQR
+TDQAIIPPEKPFHEDPETAGVDECWQGLNGSVNVSRPTCCSPPETANGDILTFDPTGLLT
+TVPPTAAETDLKPFPGDTLGPPDSDTPGLPGRSALAWGPREPGPQSTWASPRLEELVQEL
+ARLDPSQSDTFTSYPSPEPALGLLDGLIPLAEVWAAMRPACREAGEEAAGNSEPGSYLLN
+STQHLPTSQEETRPENLTTHVAPDQPCDQDVPKPNNSIQAKKAELADLLQKMLRDLQAEQ
+KQLQGAAQAWARRGAALEAAVGQACAPHELERFSRFMADLERVLGLLLLLGSRLARVHRA
+LARVGADSDPEEQASLLQRLGLLQRQEKDAKELKQHVARRERALRELLARALPAEELSAY
+RTLLAGKAAVLAQQRSLDERVRLIQDQLDAVRNDLGRHPSSPRPAFPSGTHPPDKPPFPP
+PLI
+>tr|F1MTY4|F1MTY4_BOVIN Protein transport protein sec16 OS=Bos taurus OX=9913 GN=SEC16B PE=3 SV=3
+MEPWIPQWLPQPSGRPPAPSKDPDRGLWKDRYYQPIPHSWHNGERVHQRQDVGRSPQPQQ
+DPREDLQEPHYAARSGEWRPPVSGVDYYEGGYPSQLYSRWSIEDLYQSYYSPALREEYAY
+GSYYYHGHPQQLQEERVPRQGSPYIWQEDHRDQKYLNDHQHENQNSPFGTNRETQFQSKS
+RNPYKDSPASNSGQERPEDLFMESPLTGAQKNKLSLMEESNLLWQHESGLTSSSYELSQY
+MADASELCDPMTSAVWSPVQAEDISAAGPKEPMKFYVPHMPVSFGPGGQLVCVSPSSPSD
+GQTALVELHSMEVILNDSEEQEEMRTFSGPLIREDVHKVDIMTFCQQKAAQSHKSETPGS
+RDSALLWQLLVLLCRQNGSMVGSDIAELLMQDCKKLEKYKRQPPVANLINLTDEDWPVLS
+SGTRDLLTGEIPPSVETPAQIVEKFTKLLYYGRKKEALEWAMKNHLWGHALFLSSKMDPR
+THSWVMSGFTSTLALNDPLQTLFQLMSGRIPQAATCCGDKQWGDWRPHLAVILSNQGGDP
+ELYQRTIVAMGDTLAGKGLVEAAHFCYLMAHVPFGYYTVKTDYLALLGSSHSQEFLKFAT
+TEAIQRTEIFEYCQMLGRPRSFIPSFQVYKLLYASRLADYGLTSQALHYCEAVGTALLSH
+GESSHPVLLVELIKLAERLKLSDPLVLERRRHRGDRDLEPDWLVHLRGHHRELQQKEAGD
+IGRPQSAQVVISEARKTPGGGSGQMGVPVPLYSVPETHLLGTSGSMAVTGAPGGTAWEEA
+QQIHLPPVSPETLQHPDGQKAISRPQVPLIPRARSISESSIVSVKEDEEESSEKADKKSS
+QNTVQREKLGNVKENTKSSGFGWFSWFRSKPTHNASPSGEEDSSDSPDSEEMPRAASLPQ
+PSPSLSLTPSPDPQSLLGASAFSRDTGGDEVRGSVSGVGTAEGPGSGGLSGPEGVSTELC
+FNAGVLLPPPSVKGSVPLYNPSQVPQLSTVTSLNRPNRLAQRRYPTQS
+>tr|A0A3Q1LGC6|A0A3Q1LGC6_BOVIN Tetraspanin OS=Bos taurus OX=9913 GN=TSPAN14 PE=3 SV=1
+MHYYRYSNAEVSCWYKYLLFSYNIVFWLAGVVFLGVGLWAWSEKGVLSDLTKVTRMHGID
+PVVLVLMVGVVMFTLGFAGCVGALRENICLLNFFCSTIVLIFFLELAVAVLAFLFQDWVR
+DRFREFFESNIRSYRDDIDLQNLIDSLQKANQCCGAYGPEDWDLNVYFNCSGASYSREKC
+GVPFSCCVPDPAQKVVNTQCGYDVRTQLKSKWDESIFTKGCIQALESWLPRNIYIVAGVF
+IAISLLQVCSHCPCGFLPAYNHTCPLESDFTRGKTLVARASPRGQG
+>tr|Q08DY1|Q08DY1_BOVIN Bridging integrator 3 OS=Bos taurus OX=9913 GN=BIN3 PE=2 SV=1
+MSWIPFKIGQPKKQIVPKTVERDFEREYGKLQQLEEQTKRLQKDMKKSTDADLAMSKSAV
+KISLDLLSNPLCEQDQDFLNMVTALDTAMKRMDAFNQEKVNQIQKTVIEPLKKFGSVFPS
+LNMAVKRREQALQDYRRLQAKVEKYEEKEKTGPVLAKLHQAREELRPVRDDFEAKNKQLL
+DEMPRFYNSRLDYFQPSFESLIRAQVVYYSEMHKIFGDLTQQLDQPGCPDEQRERENEAR
+LSELRALSIVADD
+>tr|Q3SWX3|Q3SWX3_BOVIN Aminopeptidase-like 1 OS=Bos taurus OX=9913 GN=NPEPL1 PE=2 SV=1
+MANVGLQFQASAGDADPQSRPLLLLGQLHHLHRVPWSHVRGKLQPRVTEELWQAALSTLN
+PNPTDSCPLYLNYATVAALPSRVSRHNSPSAAHFITRLVRTCLPPGTHRCILMVCERSDA
+FASACALARAFPLFTHRSGASRRTEKKTVTVEFFLVGQDNGPVEVSTLQCLTSATEGVRL
+AARIVDTPCNEMNTDTFLEEIKKVGKELGIVPTVIRDEELKARGFGGIYGVGKAAIHPPA
+LAVLSHTPDGATQTIAWVGKGIVYDTGGLSMKGKTTMPGMKRDCGGAAAVLGAFRAAVKQ
+GFKDTLHAVFCLAENSVGPNATRPDDIHLLYSGKTVEINNTDAEGRLVLADGVSYACKDL
+GADIILDVATLTGAQGIATGKYHAAVLTNSAEWEAACVKAGRQCGDLVHPLVYCPELHFS
+EFTSAVADMKNSVADRDNSPSSCAGLFIASHIGFDWPGVWVHLDIAAPVHAGERATGFGV
+ALLLALFGQASEDPLLNLVSPLGCEVDAQEGDAERDSKRRRLV
+>tr|Q1JQB0|Q1JQB0_BOVIN Collagen type VI alpha 2 chain OS=Bos taurus OX=9913 GN=COL6A2 PE=1 SV=1
+MLRSPCSALLLWGLLGAVHAQQQEVISPGPSDRNSCPEKADCPVHVYFVLDTSESITMQS
+PTDSLLYHMQQFVLQFISQLQDELYLDQVALSWRYGGLHFSDLVEVFSPPGSDRASFTKS
+LQSISSFRRGTFTDCMLANMTQEVRRHVGKGVVNFAVVITDGHVTGSPCGGIKLQAERAR
+EEGIRLFAVPPNLKLNEQGLRDIANTPHELYRNNYATMRPDSTEIDQDTINRIIKVMKHE
+AYGECYKVSCLEIPGPPGPKGYRGQKGAKGNMGEPGEPGQKGRQGDPGIEGPIGFPGPKG
+VPGFKGEKGEFGADGRKGAPGLAGKNGTDGQKGKLGRIGPPGCKGDTGDRGPDGYVGEAG
+SPGERGDQGSKGDPGRPGRRGPPGENGAKGSKGYQGNNGAPGSPGLKGAKGGPGPRGPKG
+EPGRRGDPGTKGGPGSDGPKGEKGDPGPEGPRGLAGEVGNKGAKGDRGLPGPRGPQGTVG
+EPGKQGSRGDPGDAGPRGDSGQPGPKGDPGRPGFSYPGPRGAPGDKGEPGPPGPEGGRGD
+FGSKGEPGRKGQKGEPADPGPPGEPGPRGQRGAPGPEGEPGPPGDPGLTECDVMTYVRET
+CGCCDCEKRCGALDVVFVIDSSESIGYTNFTLEKNFVINVVNRLGAIAKDPKSETGTRVG
+VVQYSHEGTFEAIQLDDERIDSLSSFKEAVKNLEWIAGGTWTPSALKFAYNKLIKESRRQ
+KTRVFAVVITDGRHDPRDDDLNLRALCNHEVTVTAIGIGDMFHEKHESENLYSIACDKPQ
+QVRNMTLFSDLVAEKFIDDMEDVLCPDPQIVCPDLPCQTDGPWPGGEPPVTFLRTEEGPD
+VSFPRTIPLIQQLLNATELTQDPAAYSKLVAVLVYTAERAKFATGNERQDWMDLFIDTFK
+LVHRDIVGDPETALALC
+>tr|Q08DL6|Q08DL6_BOVIN Peroxisomal biogenesis factor 7 OS=Bos taurus OX=9913 GN=PEX7 PE=2 SV=1
+MSATPGGGVRTLRVPGRHGYAAEFSPYLPGRLACAASQHYGIAGSGTLLILDQNESGLRL
+FRSFDWNDGLFDVTWSENNEHVLVTCSGDGSLQLWDTARATGPLQVFKEHTQEVYSVDWS
+QTRGEQLVVSGSWDQTVKLWDPTVGKSLCTFRGHESVIYSTIWSPHIPGCFASSSGDQTL
+RIWDVKTTGVRIVVPAHQAEILSCDWCKYNENLVVTGAVDCSLRGWDLRNVQQPVFELLG
+HTYAIRRVKFSPFHASVLASCSYDFTVRFWNFSKPDPLLETVEHHTEFTCGLDLSLQSPT
+QVADCAWDETIKIYDPVCLTMLA
+>tr|Q148G9|Q148G9_BOVIN LSM6 homolog, U6 small nuclear RNA and mRNA degradation associated OS=Bos taurus OX=9913 GN=LSM6 PE=2 SV=1
+MSLRKQTPSDFLKQIIGRPVVVKLNSGVDYRGVLACLDGYMNIALEQTEEYVNGQLKNKY
+GDAFIRGNNVLYISTQKRRM
+>tr|A6QP89|A6QP89_BOVIN MYBPC1 protein OS=Bos taurus OX=9913 GN=MYBPC1 PE=2 SV=1
+MPEPTKKEENEVLAPAPAPPPEEPNKEKEAGTAPVKEPNKEKEAGTAPAKEPNKEKEAGT
+APAKEPNKEKEAGTSPGKDEEDASPPGGLPPEWSIGESPAGEEQDKQNANSQLSILFVEK
+PQGGTVKVGENITFIAKVKAEDLLRKPTVKWFKGKWMDLASKAGKHLQLKETFERHSRVY
+TFEMQIIKAKENYAGNYRCEVTYKDKFDSCSFDLEVLESTGTTPNIDIRSAFKRSGEGQD
+DAGELDFSGLLKRREVKPQEEQPEVDVWELLKNAKPSEYEKIAFQYGITDLRGMLRRLKR
+MRRVEKKSAAFARILDPAYQVDKGGRVRFVVELADPKLEVKWYKNGQEIRPSTKYIFEHK
+GCERIMFINNCVLTDDSEYYVTAGDEKCSTELFVREPPVMVTKQLEDTKAYCGERVELEC
+EVSEDDANVKWFKNGEEIIPGPKSRYHIRVEGKKHILIIDGATKADSAEYSVMTTGGQSS
+AKLSVDLKPLKILTPLTDQTVNLGKEICLKCEVSENITGKWTKNGLPVQETDHLKIVHKG
+RIHKLVIANALVEDEGDYVFTPDDYSVTLPAKVHVVDPPKINLDGLDADNTVTVIAGNKL
+RLEIPISGEPPPKALWSRADKAIMEGSGRIRSENYPDSTTLVIDIAEKDDSGVYHINLKN
+EAGEAHASIKIKVVDIPDPPVAPNVTDVGDDWCIMTWDPPLYDGGSPILGYFIERKKKQS
+SRWMRLNFDLCKETIFEPKKMIEGVAYEVRIFAVNAVGISKPSMPSKPFVPLAVTSPPTL
+LTVDSVTDTTVTMKWRPPDQIGAAGLDGYVLEYCFEGSTSAKQSDENGEAAYDLPADDWI
+AANTELIEKTKFTITGLPTDSRIYVRVKAVNAAGASEPKYYSQPILVKEIIEAPKIRIPR
+HLKQTYIRRVGEAINLVIPFQGKPRPELIWTKDGAPIDKNQINIRNSETDTIVFIRKAER
+SHSGKYDLQVKVEKFVETASIDIRVIDRPGPPQLVKIEDVWGENVALSWTPPRDDGNTAI
+TGYTIQKADKKSMEWFTVIEHYHRTSATITELVIGNEYYFRVFAENMCGLSEDATMTKES
+AVIAKDGKIYKNPVYEDFDFTEAPMFTQPLVNTYAVAGYNATLNCSVRGNPKPKITWMKN
+KVVIKDDPRYRMFSNQGVCTLEIRKPSPYDGGTYCCKAVNDLGTVEIECKLEVKVVAQ
+>tr|A0A3Q1MK87|A0A3Q1MK87_BOVIN BACK domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MAPRLCCWIGCPRWRCAGGWGWAAACRPAVSVATRHQSRPASAGTGCSPPVLNKCLLIST
+SHEKPERRGGEVGCAREGPSVGSLNDDTVCPRPGILGTQEAALDRVTAGLPDRAPSLYLS
+GRYLYCGELTVLLAQAIPLHQLATKYRVASLQRGVADYMRAHLAGGAGPAVGWYHYAVST
+GDEALRQSCLQFLAWNLSAVAGSAEWGAVSPELLAQLLPRSDLVLQDELELFQALEAWLG
+RARPPPAVAERALRAIRYPTIPAAQLFQLQARSAALARHSPAVADLLLQAYQFHAASPLH
+YAKFFDVNGATSFQTQLGPSGHDSGRRVTWNVLFSPRWLPVSLRPVYADATGTALPLARP
+EDGRPRLVVTPASSGGDAAGVSFQKTVLVGARHHGRLLVRHAYSFHQSSEEAGDFLAHTD
+LQRRNSEYLVENALHLHLIVKPVYHTLIRTPK
+>tr|A6QL93|A6QL93_BOVIN TACC3 protein OS=Bos taurus OX=9913 GN=TACC3 PE=2 SV=1
+MSLHLFSDENVTGDKSRENCDFLFSPPELTGRASVLRLSQKENVPPKSTAKTVKVTFQTP
+LRDPQTYRILSPSVGSKLEACFVLGDPIELENCHQVCTQKENQQFTKETDTKTTHGILQK
+PVPVNTEPPSEDMRPVSEDQPPGGPPSAPLVSLGPSSSSQIPESVENPEASRGPAPGSPE
+CAREEHVHPWPSEESMPLGPMAPEQPSGVVSQDTAEDPLSGTGGDSEGVPGPPARPASPC
+GAPPGEKPLVDLPGAAPVGSMDATSREDTALTGPGEAAGATHPGAQGEETCGQAASSLRS
+GPVRLEFDFSDATGKRSPPLRKRGKALGLKPPSRRPEARPGKATLEAGKGCELTLRGSDG
+PSWDKPDDPDCNPAADSGEARPLEHPQSGQATEALSLSRQACSDDTPGTRAPARTPGAAG
+EGWTTGSLGGSAPLSSPSSEPPTAPTNPTPPTERGPEPTLDLNGEQFRDPAEVLGAGAEL
+DYLEQFGAPSFKESALRKQSLYLNFDPLLQDSPQGLTPSSSGRPRGLPVPSAGPLASEES
+PGLMQTAGGFSLGLRARSVDKPSSGSPPEAQLLDLDFPGAPGIPIPGLAPCDLGPGAPLL
+PVGPIVDVLQYSQKDLDSAVEATQKENEVLRGKCAALQERLLEMGKIMDSFEGTVYQVME
+ESQKQKELTKAEMQKVLKEKAQLTADLHSMEKSFSDLFKRFEKQKEVIEGYRTNEESLKK
+CVEDYIERVEKEAQKYQALKAQAEEKLRQASEEIAQVRSKAQTDALALQAVLRKEQMRVH
+SLEKVVEQKTKENDELTRICDDLISKMKRI
+>tr|A2VE74|A2VE74_BOVIN CCR4 carbon catabolite repression 4-like (S. cerevisiae) OS=Bos taurus OX=9913 GN=NOCT PE=2 SV=1
+MYQSPRRLCSALLQRDAPGLRRAPGPPPPPRRLSPTAAPRLASPRLLAAASAARDVARSC
+SRTVYPMGNGTSRLYSALAKTLNSSAASQHPEYLVAPDPEHLEPIDPKELLEECRAVLHT
+RPPRFQRDFVALPADGPSSHPPIRVMQWNILAQALGEGKDNFVQCPMEALKWEERKCLIL
+EEILAYQPDILCLQEVDHYFDTFQPLLSRLGYQGTFFPKPWSPCLDVEHNNGPDGCALFF
+LQNRFKLVNSANIRLTAMTLKTNQVAIAQTLECKESSRQFCIAVTHLKARTGWERFRSAQ
+GCDLLQNLQTITQGAKIPLIVCGDFNAEPTEEVYKHFASSSLNLNSAYKLLSADGQSEPP
+YTTWKIRTSGECRHTLDYIWYSRQALSVRSALDLLTEEQIGPNRLPSFNYPSDHLSLVCD
+FTFNEEP
+>tr|A6QLL8|A6QLL8_BOVIN Fructose-bisphosphate aldolase OS=Bos taurus OX=9913 GN=ALDOA PE=1 SV=1
+MPHQYPALTPEQKKELCDIAHRIVAPGKGILAADESTGSIAKRLQSIGTENTEENRRFYR
+QLLLTADDRVNPCIGGVILFHETLYQKADDGRPFPQVIKAKGGVVGIKVDKGVVPLAGTN
+GETTTQGLDGLSERCAQYKKDGADFAKWRCVLKIGEHTPSSLAIMENANVLARYASICQQ
+NGIVPIVEPEILPDGDHDLKRCQYVTEKVLAAVYKALSDHHIYLEGTLLKPNMVTPGHAC
+TQKYSHEEIAMATVTALRRTVPPAVPGITFLSGGQSEEEASINLNAINKCPLLKPWALTF
+SYGRALQASALKAWGGKKENLKAAQEEYVKRALANSLACQGKYTPSGKAGAAASESLFIS
+NHAY
+>tr|Q58DW8|Q58DW8_BOVIN Ribonuclease P protein subunit p29 OS=Bos taurus OX=9913 GN=POP4 PE=2 SV=1
+MPRMSQQALEDHLQRKAVVLEYFTHRKQKEKRKKSKGLSAKQRRELRLFDIKPEQQRYSL
+FLPLHELWKQYIRDLCNGLKPDTQPQMIQAKLLKADLHGAIVSVTKSKCPSYVGVTGILL
+QETKHVFKIITKEDRLKVIPKLNCVFTVEIDGFISYIYGSKFQLRSSERSAKKFKAKGTI
+DL
+>tr|Q2KIW2|Q2KIW2_BOVIN CD68 molecule OS=Bos taurus OX=9913 GN=CD68 PE=2 SV=1
+MRLAVFFSGALLGLLAAQGTGNDCPHKKSATLLPSFTVTPTATESTPSPGTTSHRTTKSH
+RTTTWRISTTTHTTNTTGTTSSESPTATHSPATTTSHQNTTVHPTSNITATSPGPSTRSP
+HPEPPPSPSPSPGSKEAIGDYTWSNGSQPCVRLQAQIQIRVLYPTQGGGEAWGISVLNPN
+RTKAQGGCEGTHSHLLLSFPSGQLSFGFKQDPLQSAVYLNYMAVEYNVSFPQAVQWTFSV
+QNSSLRDLQTPLGHSFSCRNASIIVSPALHLDLLSLKLQAAQLSPSGAFGPSFSCPNDKS
+ILLPLIIGLILLGLLTLVLVTFCIIRRRPPTYQPL
+>tr|A3KN48|A3KN48_BOVIN EEFSEC protein OS=Bos taurus OX=9913 GN=EEFSEC PE=2 SV=1
+MAGRRVNVNVGVLGHIDSGKTALARALSTTASTAAFDKQPQSRERGITLDLGFSCFSVPL
+PAHLRPAPGAGPEPEPEPRLQVTLVDCPGHASLIRTIIGGAQIIDLMMLVIDVTKGMQTQ
+SAECLVIGQIACQKLVVVLNKTDLLPEGKKQAAIDRMTKKMQKTLESTKFRGAPVIPVAA
+KPGGPDAPETEAPQGIPELIELLTSQISIPTRDPSGPFLMSVDHCFSIKGQGTVMTGTIL
+SGSVSLGDSVEIPALKVVRKVKSMQMFHTPVSSAVQGDRLGICVTQFDPKLLERGLVCAP
+ESLHTVHAALISVEKIPYFRGPLQTKAKFHITVGHETVMGRVMFFGPAPDSLDREPVLDS
+FDFSREYLFQEQYLCPGPDPAAAHAAKADEKAGQVLAGRCPQQQWALVEFEKPVTCPRLC
+LVIGSRLDADIHANTCRLAFHGVLLHGLEDRNYAESALPTLRVYKLKQKHGVVERVLDDH
+SVIGRSLFKKETNIQLFVGLRVQLSTGELGVIDSAFGQSGKFKVHVPGGLSPESKKILTS
+ALKKRGRAGRGEAAKPEEAERPEPAQPVGLSLSFKRYVFDAHKRMVQSP
+>tr|Q1RMQ0|Q1RMQ0_BOVIN C-C motif chemokine ligand 25 OS=Bos taurus OX=9913 GN=CCL25 PE=2 SV=1
+MNPWLLVCLVACFAVAWGPTVHAQGAFEDCCLAYHRRARLSLLRHAQSYHRQDVSGSCNL
+PAVIFFLPQKNKMVCGRPGDRWVRAWMKILDARKNSQYHHGTRRNFQVPHSGVRKLSSGT
+STLPLSRFSGPTRNNKRKTSLLSTANPGP
+>tr|Q29RR7|Q29RR7_BOVIN VPS37A, ESCRT-I subunit OS=Bos taurus OX=9913 GN=VPS37A PE=2 SV=1
+MSWLFPLTKSASSSAAGSPGGLTSLQQQKQRLIESLRNSHSSIAEIQKDVEYRLPFTVNN
+LTININILLPPQFPQEKPVISVYPPIRHHLVDKQGVYVTSPLVSNFTMHSDLGKIIQSLL
+DEFWKNPPVLAPASTAFPYLYSNPGGMPPYASQGFPFLPPYPPQEANRTISSLSVADTVS
+SSTTSYTTAKPAAPSFGVLSNLPLPVPTTDTSTPISQNGFAYKMPDIPDTFPELSELSVS
+QLTDMNEQEDVLLEQFVTLPQLKQIITDKDDLVKSIEELARKNLLLEPSLEAKRQTVLDK
+YELLTQMKSTFEKKMQRQHELSESCSASALQARLKVAAHEAEEESDNIAEDFLEGKTDID
+DFLSSFMEKRTICHCRRAKEEKLQQVIAMHSQFHAPL
+>tr|F1ML72|F1ML72_BOVIN Ribosomal protein L34 OS=Bos taurus OX=9913 GN=RPL34 PE=4 SV=2
+MVQRLTYRRRLSYNTASNKTRLSRTPGNRIVYLYTKKVGKAPKSACGVCPGRLRGVRAVR
+PKVLMRLSKTKKHVSRAYGGSMCAKCVRDRIKRAFLIEEQKIVVKVLKAQAQSQKAK
+>tr|Q05B68|Q05B68_BOVIN Transcription termination factor 2 OS=Bos taurus OX=9913 GN=TTF2 PE=2 SV=1
+MEVVRCPEHGTLCLLKTGVREGPNKGKSFYVCRADTCSFVRATDIPVSHCLLHEDFVVEL
+QGLSLSQGKKEYRLFFRCVKSKAEGKQWCGNIPWQDPNSKEHSGASKSQLASEPSHYPSS
+HQRNPFKVLDKNQEPSVWKQFIKGEDEEKTADKKQREKGDPLLDPKKEQKPESKCWTEKE
+LSSGLGVKKKQSAIQDKQQREKTEFQCEAKEIEGMHKRNLLEMKSKQVRGNVLREPSAFQ
+VKSDSESHSVQKESEPPTEEETKPLPPIVHSQHSISQPLKEEHLNKEHPKSWEARETKTG
+NDPSTQTLQKSLLQGHFQAQSETRDMPAPKEPAAQPAPLATGHPAGEGRDAGTSSGDSED
+DDVVFVSSKPRSPLLFDLPPDTQEKKNLELPGQSVQRKVSSAPGVSKKGEPSDPAAQRVF
+LTTQLQQRKSTLASVNIQALPDKGQKLFKQIQELEEVLSALALSPEQDTKEKSNTQEPQQ
+SNFIKETTHPPHLVPPKPLQGQDLWPLGSRGLKAAHQEAAGGSGQCCGGAVSQNGLHAVW
+KITSEAIDELHRSLESCPGETAMAEDPAGLKISLLPHQKQALAWLLWRESQKPRGGILAD
+DMGLGKTLTMIALILTQKKSKEKDETTALTWLSKNDSSEFTSHGTLIICPASLIHHWKNE
+VEKHVSHNRLRVCLYHGPNRNQHAKVLSTYDIVITTYSLLAKEIPTAKQDEQIPGANPSV
+EGTSSPLLRVVWARLILDEAHNVKNPRVQTSMAVCKLQAQARWAVTGTPIQNNLLDMYSL
+LKFLRCSPFDEFKLWKSQVDNGSKKGGERLNILTRSLLLRRTKDQLDSTGKPLVVLPHRK
+FQLHHLKLSEDEETVYSVLFARSRLALQYYLKRHESGSSQSGRSPDNPFNRVAQEFGSSG
+PGPSTAGSQATSSAVHILSQLLRLRQCCCHLSLLKSALDPTELKSEGLALSLEEQLSALT
+LSEFHNSEPSASVSLNGKSFKVELFDDKRESTKISSLLAELEAVRRNSGSQKSVIVSQWT
+SMLKVVALHLKRCGFTYATIDGSVNPKQRMDLVEAFNSPRGPQVMLISLLAGGVGLNLTG
+GNHLFLLDMHWNPSLEDQACDRIYRVGQQKDVVIHKFVCEETVEEKILQLQEKKKDLAKQ
+ILSGSEEFVTKLSLADLKVLFGI
+>tr|E1BHB7|E1BHB7_BOVIN Ring finger protein 212B OS=Bos taurus OX=9913 GN=RNF212B PE=4 SV=1
+MDWFHCNRCFRKDGAHFFVTNCGHIFCKKCVILEKCAVCGTACKYLALSDNLKPQEKMYF
+RNPVETALQYFSHISQVWSFQKKQTDLLIAFYKHRITELEAAMQESQQTLTNQDKELSVL
+RKENGELKKFQAIMKESPSWYQGSRLNTPRPVGITSPSQSVTPRPISQHSSQVVSRSSSV
+ESTPYRMAGFSNLGQGARGLQGRSTPRDSFTVTPSPASTHSLSYRASSASSGQGVFAFRP
+SLNSGDLGHTRVFTPNNPGQRQNRTTLESLPSFQLPVLQNLYQQRQMGLARGREAWNTPR
+>tr|A6QQB7|A6QQB7_BOVIN TRAF interacting protein OS=Bos taurus OX=9913 GN=TRAIP PE=2 SV=1
+MPIRALCTICSDFFDHSRDVAAIHCGHTFHSHCLIQWFETAPSRTCPQCRIQVGKRTIIN
+KLYFDLAPEEENVLDTEFLKNELDNTRALLSQKEKEKRDSQLIIDSLRDTLDERNATVES
+LQRALDKAEMLCSTLKKQMKYLEQQQDEAKQAREEARRLRSKMKNMERIELLLRSQRPEV
+EEMIRDMGVGQSAVEQLAVYCVSLKKEYENLKEARKASGELADKLKKDLLSSRSKLQTVC
+SELDQAKLELKSSQKDLQSADKEIAPSSYGDGEPEASPASLW
+>tr|A6QLU2|A6QLU2_BOVIN LOC786832 protein OS=Bos taurus OX=9913 GN=TMEM246 PE=2 SV=1
+MSTSTSPAAMLLRRLRRLSWGSTAVQLFILTVVTFGLLAPLACHRLLHSYFYLRHWHLNQ
+MSQEFLQQSLKEGEAALHYFEELPSANGSVPIVWQATPRPWLVITIITVDRQPGFHYVLQ
+VVSQFHRLLQQCGPQCEGHQLFLCNVERSVSHFDAKLLSKYVPVANRYEGTEDDYGDDPS
+TNSFEKEKQDYVYCLESSLQTYNPDYVLMVEDDAVPEEQIFPVLEHLLRARFSEPHLQDA
+LYLKLYHPERLQHYINPEPMRILEWLGVGMLLGPLLTWIYMRFASRPGFSWPVMLFFSLY
+SMGLVELVGRHYFLELRRLSPSLYSVVPASQCCTPAMLFPAPAARRTLTYLSQVYCHKGF
+GKDMALYSLLRAKGERAYVVEPNLVKHIGLFSSLRYNFHPSLL
+>tr|G3X7L0|G3X7L0_BOVIN IKAROS family zinc finger 2 OS=Bos taurus OX=9913 GN=IKZF2 PE=4 SV=2
+METEAIDGYITCDNELSPEREHSNMAIDLTSSTPNGQHASPSHMTSTNSVKLEMQSDEEC
+DRKPLSREDEIRGHDEGSSLEEPLIESSEVADNRKVQELQGEGGIRLPNGKLKCDVCGMV
+CIGPNVLMVHKRSHTGERPFHCNQCGASFTQKGNLLRHIKLHSGEKPFKCPFCSYACRRR
+DALTGHLRTHSVGKPHKCNYCGRSYKQRSSLEEHKERCHNYLQNVSMEAAGQVMSHHVPP
+MEDCKEQEPIMDNNISLVPFERPAVIEKLTGNMGKRKSSTPQKFVGEKIMRFSYPDIHFD
+MNLTYEKEAELMQSHMMDQAINNAITYLGAEALHPLMQHPPSTIAEVAPVISSAYSQVYH
+PNRIERPISRETSDSHENNMDGPISLIRPKSRPQEREASPSNSCLDSTDSESSHDDHQSY
+QGNPALNPKRKQSPAYMKEDVKALDTTKAPKGSLKDIYKVFNGEGEQIRAFKCEHCRVLF
+LDHVMYTIHMGCHGYRDPLECNICGYRSQDRYEFSSHIVRGEHTFH
+>tr|Q32KR9|Q32KR9_BOVIN Charged multivesicular body protein 1A OS=Bos taurus OX=9913 GN=CHMP1A PE=2 SV=1
+MDDTLFQLKFTAKQLEKLAKKAEKDSKAEQAKVKKALQQKNVECARVYAENAIRKKNEGV
+NWLRMASRVDAVASKVQTAVTMKGVTKNMAQVTKALDRALSTMDLQKVSAVMDRFEQQVQ
+NLDVHTSVMEDSMSSATTLTTPQEQVDSLILQIAEENGLEVLDQLSQLPEGASAVGESSV
+RSQEDQLSRRLAALRN
+>tr|E1BLM8|E1BLM8_BOVIN Adhesion G protein-coupled receptor F2 OS=Bos taurus OX=9913 GN=ADGRF2 PE=3 SV=3
+MMTQMLLLLLYGFVFLLATESCRTLCQASSKSKEQVSTRPHGECHGTCVDNSYCSQPCPP
+DTPGDMGFLCRQKKWHKVIESCRTLTAFNIFEADSNSVQTFGGSKINEYTNKPETITDLL
+MEKCPQNLSCVIRNIQKSPRIPGNIALIVQLLHNISTVPMKDVDETKMKSYSIMANHILN
+SKSISNWTFIPERNSSCVLLHSVNSFARKLFVNKHPIEIADIFIHTVGTILSRDNAGKNF
+TFSMRVNDTREVTGKVSISREELQEVPSPSRAVSIAFPTLGAILEASVLESITVNGLVLS
+VILPKELERISLIFEKISKSEDRRAQCAGWHSVESRWDHRVCQKIQENSQQVVCKCSPSK
+TFTSFSILMAPHVLQSPILIYITYIGLGASICSLILCLSIEALVWGQVTKTEISYLRHVC
+IANIATTLLMADVWFIVASFLSGPTRHHSACVAATFFVHFFYLSVFFWMLAKALLILYGI
+LIVFHTLPKSVLVAALFAVGYGCPLLIASITVAATEPGKGYLRPEACWLNWDMTKALLAF
+VVPALAIVVVNLVTVTLVIVKTRRAAIGSSMFQEVRAIVRISKNIAILTPLLGLTWGFGI
+ATVLNDSSLAFHIIFSLLNAFQGFFILVFGTILDPKIRDALKDRINSAQWISRISENVSS
+DFSRHPTKGPS
+>tr|F1N1Z8|F1N1Z8_BOVIN Pancreatic adenocarcinoma upregulated factor-like OS=Bos taurus OX=9913 GN=LOC104975830 PE=4 SV=3
+MLLWLTLALLWSPTCWAGALYGRGGGSYFSTTKDNENEITGIRVFIGIGGIIKSIQVRFG
+SSWSEKYGAPGGTLQEFVLQSGEYITGVDGSYKLFLRHLVIHTSYGRKATFGENSGRSFS
+AFPDGSEKVLTGVFGQHKLLGISSIGFDWDYPIVR
+>tr|A7YY47|A7YY47_BOVIN LMNB1 protein OS=Bos taurus OX=9913 GN=LMNB1 PE=1 SV=1
+MATATPVPPRSGSRAGGPATPLSPTRLSRLQEKEELRELNDRLAVYIDKVRSLETENSAL
+QLQVTEREEVRGRELTGLKALYETELADARRALDDTARERAKLQIELGKFKAEHDQLLLN
+YAKKESDLNGAQIKLREYEAALNSKDAALATALGDKKSLESDLEDLKDQIAQLEASLAAA
+KKQLADETLLKVDLENRCQSLTEDLEFRKNMYEEEINETRRKHETRLVEVDSGRQIEYEY
+KLAQALHEMREQHDAQVKLYKEELEQTYHAKLENARLSSEMNTSTVNSAREELMESRMRI
+ESLSSQLSNLQKESRACLERIQELEDLLAKERDNSRRMLSDKEREMAEIRDQMQQQLNDY
+EQLLDVKLALDMEISAYRKLLEGEEERLKLSPSPSSRVTVSRASSSRSVRTTRGKRKRVD
+VEESEASSSVSISHSASATGNVCIEEIDVDGKFIRLKNTSEQDQPMGGWEMIRKIGDTSV
+SYKYTSRYVLKAGQTVTIWAANAGVTASPPTDLIWKNQNSWGTGEDVKVILKNSQGEEVA
+QRSTVFKTTIPEEEEEEEEAAEVAVEEELFHQQGAPRGSNRSCAIM
+>tr|E1BDK1|E1BDK1_BOVIN PR domain zinc finger protein 4 OS=Bos taurus OX=9913 GN=PRDM4 PE=4 SV=2
+MHHRMNEMNLSPVGMEQLTSSSVSNALPVSGSHLGLAASPTHNAIPAPGLPVAIPNLGPS
+LSSLPSALSLMLPMGIGDRGVMCGLPERNYTLPPPPYPHLESSYFRTILPGILSYLADRP
+PPQYIHPNSINVDGNTALSIANNPSALDPYQSNGNVGLEPGIVSIDSRSVNTHGAQSLHP
+TDGHEVALDTTITMENVSRVTSPISTDGMAEELTMDGVAGEHTQIPNGSRSHEPLSVDSV
+SSNLAAETVGHGGVIPMHGNGLELPVVMETDHIASRVNGMSDSALSDSIHTVAMSTNSVS
+VALSTSHNLASLESVSLHEVGLSLEPVAVSSITQEVAMGTGHVDVSSDSLSFVPPSLQME
+DSNSNKENMATLFTIWCTLCDRAYPSDCPDHGPVTFVPDTPIESRARLSLPKQLVLRQSI
+VGADVGVWTGETIPVRTCFGPLIGQQSHSMEVAEWTDKAVNHIWKIYHNGVLEFCIITTD
+ENECNWMMFVRKARNREEQNLVAYPHDGKIYFCTSQDIPPENELLFYYSRDYAQQIGVPE
+HPDVHLCNCGKECSSYTEFKAHLSSHIHNHLPSHGHSSSHGPSHSKERKWKCSMCPQAFI
+SPSKLHVHFMGHMGMKPHKCDFCSKAFSDPSNLRTHLKIHTGQKNYRCTLCDKSFTQKAH
+LESHMVIHTGEKNLKCDYCDKLFMRRQDLKQHVLIHTQERQIKCPKCDKLFLRTNHLKKH
+LNSHEGKRDYVCEKCTKAYLTKYHLTRHLKTCKGPTSSSSAQEEEEEDDSEEEELVDSVG
+TEDCRIGSAVYSADDSLSAHK
+>tr|Q2KI46|Q2KI46_BOVIN CDC42 effector protein (Rho GTPase binding) 3 OS=Bos taurus OX=9913 GN=CDC42EP3 PE=2 SV=1
+MPAKTPIYLKAANNKKGKKFKLRDILSPDMISPPLGDFRHTIHIGKEGQHDVFGDISFLQ
+GNYELLPGNQEKAHMGQFPGHNEFFRANSTSDSMFTETPSPVLKNAISLPTIGGSQALML
+PLLSPVTFSSKQESFGPGKLPRLSCEPVVEEKVPEKSSVLENGTVHQADVSWGSSGSASQ
+SSQGRDSHSSSLSEQYPDWAAEDMFDHPAPCELVKEKTKSEESLSDLTGSLLSLQLDLGP
+SFLDEVLNVMDKNK
+>tr|A6QNQ7|A6QNQ7_BOVIN Elongation of very long chain fatty acids protein 6 OS=Bos taurus OX=9913 GN=ELOVL6 PE=2 SV=1
+MSVLTLQEYEFEKQFNENEAIQWMQENWKKSFLFSALYAAFVFGGRHLMNKRAKFELRKP
+LVLWSLTLAVFSIFGALRTGAYMVYTVMTKGLKHSVCDQGFYNGPVSKFWAYAFVLSKAP
+ELGDTIFIILRKQKLIFLHWYHHITVLLYSWYSYKDMVAGGGWFMTMNYSVHSVMYSYYA
+LRAAGFRVSRKFAMFITLSQIIQMLIGCVINYLVFQWMQHDQCYSHFQNIFWSSLMYLSY
+FVLFCHFFFEAYIGSKMRKATKAD
+>tr|A4FUA9|A4FUA9_BOVIN HSF2 protein OS=Bos taurus OX=9913 GN=HSF2 PE=2 SV=1
+MKQSSNVPAFLSKLWTLVEETHTNEFITWSQNGQSFLVLDEQRFAKEILPKYFKHNNMAS
+FVRQLNMYGFRKVVHIDSGIVKQERDGPVEFQHPYFKQGQDDLLENIKRKVSSSKPEENK
+IRQEDLTKIISSAQKVQIKQETIESRLSELKSENESLWKEVSELRAKHAQQQQVIRKIVQ
+FIVTLVQNNQLVSLKRKRPLLLNTNGAQKKNLFQHIVKEPADNHHHKVPHSRTEGLKSRE
+RISDDIIIYDVTDDNADEENIPVIPETNEDVISDPSNCSQYPDIVIVEDDNEDEYAPVIQ
+SGDQSEPARESLSSGSDGSSPLMSSAVQLNGSSSLTTEDPVTMMDSILNDNINLLGKVEL
+LDYLDSIDCSLEDFQAMLSGRQFSIDPDLLVDLFTSSVQMNPTDYINNTKSENKGLETKN
+NVVQPVSEEGRKSKPKTDKQLIQYTAFPLLAFLDGNPASSVEQGSTTSSEVMSSVDKPIE
+VDELLDSSLDPEPTQSKLVRLEPLTEAEASEATLFYLCELAPAPLDSDMPLLDS
+>tr|F1N1H5|F1N1H5_BOVIN Minichromosome maintenance 10 replication initiation factor OS=Bos taurus OX=9913 GN=MCM10 PE=4 SV=3
+MDGEEDDLSLLTALLEENESALGCNSEESQPLTQEDGEPDSYDELFDADGDGESYTEETD
+EGEVEKAENQKENLATLFGDMGDLTDEEEAPTSQSTKERVVPAPGPSQGKTNQELQDELR
+KLQEQMKSLQEQLKLATIKQPASPALSRKTPDKSPRPPLKEKKIQESLCFSAELDVPTLP
+KPKRVARTPKVSPAEPQCSPLKMTSVPSQPLRTTPGNKPGGVTRDQSAGTPRSSGEMAQE
+VSVEAFSGLRLRKPRVSSTEMNKKMIGRKLIRLSQLKEKMASEKLEEIDWVTFGVILKKI
+TPQSSNSGKTFSIWRLNDLRDLTRCVSLFLFGEVHKELWKTEQGSVIGLLNANPMKPKDG
+SEEMCLSIDHPQKILIMGEALDLGTCKAKKKNGEPCTQIVNLNDCEYCQYHIQAQYKRLS
+ARRADLQSTFSGGRIPKKFACKGISLRERLCQDGFYYGGISSASYAASIAAAVAPKKKIQ
+TTLSNLVVKGTDLILQETQQKLGIPQKSLSCSEEFRELMDLPTSGARNLKHHLAKAKSSG
+IVGSLKPAFQSISASALLKQQKQHMLEMRKKKSEEIQKRFLQSSSEIKSPAVPSSSQQPP
+CRSPPMGAEFPKMKGTPTTQMPKLGRGISEGDDVLFFDESPPPRPKLNALAEAKKLAAIT
+KLRSKGRILTKTDPNSIKKLKEPQDVLEVKERVEKNSTFSPQAEDELEPAKKKRREQLAY
+LESEEFQTILKAKSRHTGILKEAEAELQERYFEPLVKKEQMEEKMRNTREVKCRVVTCKT
+CAYTHFKPLETCVSEQHDYHWHDGVKRFFKCACGNRTISLDRLPQKHCSNCGLFKWERDG
+MLKEKTGPKIGGETLLPRGEEHAKFLNSLK
+>tr|E1B8Z0|E1B8Z0_BOVIN Bromodomain and PHD finger containing 3 OS=Bos taurus OX=9913 GN=BRPF3 PE=4 SV=3
+MRKPRRKSRPNAEGRRSPSPYSLKCSPTRETLTYAQAQRIVEVDIDGRLHRISIYDPLKI
+ITEDELTAQDITECNSNKENSEQPQFPGKSKKPSSKGKKKESCSKHASGSSFHLPQPSFR
+MVDSGSQPEAPPLPAAYYRYIEKSPEDLDAEVEYDMDEEDLAWLDLVNEKRRVDGYSLVS
+ADTFELLVDRLEKESYLESRSSGAQQSLIDEDAFCCVCLDDECHNSNVILFCDICNLAVH
+QECYGVPYIPEGQWLCRCCLQSPSRPVDCVLCPNKGGAFKQTSDGHWAHVVCAIWIPEVC
+FANTVFLEPIEGIDNIPPARWKLTCYICKQKGLGAAIQCHKVNCYTAFHVTCAQRAGLFM
+KIEPMRETSLNGTIFTVRKTAYCEAHSPPGAATARRKGDSPGSLSEAGDEEGLKEACEEE
+EEKEEVEEEEEGEGQGGVGGPLKGMSKKNKMTLKQRIKKEPEEVGRDMTSTVPMVTVPRI
+PSYRLNKICSGLSFQRKTQFMQRLHNYWLLKRQARNGVPLIRRLHSHLQSQRNAEQREQD
+EKTSAVKEELKYWQKLRHDLERARLLIELIRKREKLKREQIKIQQAAMELELMPFNVLLR
+TTLDLLQEKDPAHIFAEPVNLSEVPDYLEFISKPMDFSTMRRKLESHLYHTLEEFEEDFN
+LIVTNCMKYNAKDTIFHRAAVRLRDLGGAILRHARRQAENIGYDPETGTHLPESPKSEDF
+YRFSWEDVDNILIPENRAHLSPEVQLKELLEKLDLVSTMRSSGARTRRVRLLRREINAVR
+QKLAQPPPPQPPSLNKTVSSGELPAGPRGDVAVLEQAPQEEPEDNGDRDDSKMPPPPTLE
+PTGPAPSLSEQESPPDPPTLKPINDSKPPSRLLKPRKAEEDELLEKSPLQLGSEPLQRLL
+SDNGINRVSLMAPDTSPTGAPLSGVGRRTSVLFKKARNGVKLQRSPDRALENGEDHGTAD
+SPVSPASIEDERHSRKRPRSRSCSQSEGERSPQQEEETGVTNGFGKHTESGSDSECSLGL
+GSGLAFEACSGRTPPKRSRGKPALSRVPFLEGVNGDSDYNSSGRSLLMPFEDRGDLEPLE
+LVWAKCRGYPSYPALIIDPKMPREGLLHNGVPIPVPPLDVLKLGEQKQAEAGEKLFLVLF
+FDNKRTWQWLPRDKVLPLGVEDTVDKLKMLEGRKTSIRKSVQVAYDRAMIHLSRVRGPHS
+FVTSSYL
+>tr|A3KN01|A3KN01_BOVIN ZNF830 protein OS=Bos taurus OX=9913 GN=ZNF830 PE=2 SV=1
+MASSASARPPAGKRVVNQDELRRLMKEKQRLSTNRKRIESPFAKYNRLGQLSCALCNTPV
+KSELLWQTHVLGKQHREKVAELKGAKEAAQGPSASAVPQSTKRKAPDSESQDAKRAKASL
+VPQVQPSTSALPTNFDKAGKESTRATVGKASGLGLLPDYDDEEDEEEEKGGGGGEGKKGD
+ACKQPSTSQSKEHSLSSSREATGSRLPSNFSDTNPPKAPLIPHSGSIEKAEIHEKVVERR
+ENTAEALPEGFFDDPEIDARVRKVDAPKDQMDKEWDEFQKAMRQVNTISEAIVAEEDEEG
+RLDRQIGEIDEQIECYRRVEKLRNRQDEIKNKLKEVLTIKELQKKEEENVDSDDEGELQD
+LLSQDWRVKGALL
+>tr|Q1JPF1|Q1JPF1_BOVIN NFKB inhibitor interacting Ras-like 2 OS=Bos taurus OX=9913 GN=NKIRAS2 PE=2 SV=1
+MGKSCKVVVCGQASVGKTSILEQLLYGNHVVGSEMIETQEDIYVGSIETDRGVREQVRFY
+DTRGLRDGAELPRHCFSCTDGYVLVYSTDSRESFQRVELLKKEIDKSKDKKEVTIVVLGN
+KCDLQEQRRVDPDVAQHWAKSEKVKLWEVSVADRRSLLEPFVYLASKMTQPQSKSAFPLS
+RKNKGSGSLDG
+>tr|Q24JZ0|Q24JZ0_BOVIN NADH dehydrogenase (Ubiquinone) 1 beta subcomplex, 1, 7kDa OS=Bos taurus OX=9913 GN=NDUFB1 PE=2 SV=2
+MMNLLQVVRDHWVHVLVPMGFVFGYYLDRKNDEKLTAFRNKSLLYKRELKPNEEVTWK
+>tr|Q0IID5|Q0IID5_BOVIN Golgi autoantigen, golgin subfamily a, 7B OS=Bos taurus OX=9913 GN=GOLGA7B PE=2 SV=1
+MATEVHNLQELRRSASLATKVFIQRDYSDGTICQFQTKFPPELDSRIERQLFEETVKTLN
+SFYAEAEKIGGSSYLEGCLACATAYFIFLCMETHYEKVLKKISRYIQEQNEKVFAPRGLL
+LTDPVERGMRVIEISIYEDRCSSGSSSSGSSSGSGSSSGGGGGAGGR
+>tr|G3MZL1|G3MZL1_BOVIN Cadherin-5 OS=Bos taurus OX=9913 GN=CDH5 PE=4 SV=2
+MQALVMLLATGATYYLGLLAAAAAAVNPGRPNTPGSLPAHRRQKRDWIWNQMHIDEERND
+SLPHYVGKIKSSVDPKKTEYQLRGESAGKVFRVDKNTGDVYALERLDREKISEYHLTALV
+VDKDSKKNLESPSSFTIKVHDVNDNWPVFTHRVFNASVPEMSGIGTSVIQVTAMDADDPT
+VADHASVVYQLTKGKENFDIRGSGLIVTMNKHLDRETQDKYEVVVKAEDAQGRRGESGTA
+TVFITLQDVNDNFPIFTQNRYTFSVPEDIRVGSPLGSLFVEDPDEPQNRKTKYSFVQGEY
+RDTFTIDTDPSHNEGIIKPIKPLDYERIRQYSFTIEATDPTIDLRYLGSTSPKNIARVII
+NVTDVDEPPIFQQPFYHFQLQENQKKPLIGSVLAKDPDAAQRDIRYSIRRTSDKGQFFGI
+TKKGGIYNDKELDREVYPWYNLTVEAKEVDLSGTPTGKESIVQVHIEVMDENDNAPEFAK
+PYEPKVCENAPQGKLVVQISATDKDITPRDVKFKFSLSTEDSNFTLIDNHDNTANIIVKY
+GYFDRERAKVHHLPVLISDNGRPSLTGTSTLHVTVCKCNEHGEFTLCEEAAAQVGISIQA
+LVAIFLCILTFTVITLLIILRRRLRKQARAHGKSVPEIHEQLVTYDEEGGGEMDTTSYDV
+SVLNSARHGGAKPPRPALDARPSLYAQVQKPPRQAPGAHAPGEMAAMIGVKKDEADHDGG
+GPPYDTLHIYGYEGAESIAESLSSLGTDSSDSDIDYDFLNDWGPRFKMLAELYGSDPQEE
+LVY
+>tr|A6H7H6|A6H7H6_BOVIN CDH17 protein OS=Bos taurus OX=9913 GN=CDH17 PE=2 SV=1
+MTTQAQLHCLCLLTLYLTTGYGQEGKFSGPLKPMEFSIFEGQEPSQPIFQFKANPPAVTF
+KLTGETDGIFQIQSDGLLYHNKSLDRETRAVHRLQVSALDAQGNTVEGPVPVTIEVKDIN
+DNRPVFLQQHYEGSVRQNSRPGKPFIYVNATDLDDPATLNGQLIYQIIMQLPKVNNVMYF
+QINNQTGGISLTPQGSQELDPLKNPSYKLLVSVEDMGGLSEHSFTDSVHVDITVKENIWK
+PPEPVEIEENSTEPYPIKITQVQWNDPGALYSLVDKENSPRFPFSIDQKGDIYVTQPLDR
+EEKDAYVFYAVARDEQGKSLSIPLKIQVKVKDINDNPPTCPSPVTVLEVQENEQIGSSIG
+TLTAHDMDEQDTINSVLEYRIVDQTPKVPQDGLFLVQEFSGILQLVVQSLRKWDTPQYNL
+TIEVSDRDFKTLCFVQINVIDINDQIPIFEKSDYGNLTFPEDTAVGSVILTIQATDADEP
+HTGSSKILYRITQGDSEGRLEIETDPQTNTGYVKLKKPLDFETVAIHNIVVQAENPEPLV
+PGVQYNASSSTTFRLTVTDVNEAPQFFQQVSEAKVSEAVAKGTKVGNMTAKDPEGLDVSY
+SLRGDKRGWLRIDPATGEIFSVALLDREAESLYRVQVVATEVGGSYLSSTAQFHLTLTDV
+NDNPPRLVKEYTGLFFCYPPKAPGSLIFEATDDDELTFRGRHFTFSLGSESLQKDWEVSK
+INGTHARLSTKHTGFEERVYNIPIRIGDGGRPPLERTVSLPVTFCKCVENRCFQPAARMP
+GIPPVGMAVGILLATFLVIGIILAVMFFRMKRDKGQHNAESAQASETKPLRN
+>tr|Q148M1|Q148M1_BOVIN Serine and arginine rich splicing factor 11 OS=Bos taurus OX=9913 GN=SRSF11 PE=2 SV=1
+MSNTTIVPSTAGPGPSGGPGGGGGGGGGGGTEVIQVTNVSPSASSEQMRTLFGFLGKIDE
+LRLFPPDDSPLPVSSRVCFVKFHDPDSAVVAQHLTNTVFVDRALIVVPYAEGVIPDETKA
+LSLLAPANAVAGLLPGGGLLPTPNPLTQIGAVPLAALGAPTLDPALAALGLPGANLNSQS
+LAADQLLKLMSTVDPKLNHVAAGLVSPSLKSDTSSKEIEEAMKRVREAQSLISAAIEPDK
+KEEKRRHSRSRSRSRRRRTPSSSRHRRSRSRSRRRSHSKSRSRRRSKSPRRRRSHSRERG
+RRSRSTSKNRDKKKEDKEKKRSKTPPKSYSTARRSRSASRERRRRRSRSGTRSPKKPRSP
+KRKLSRSPSPRRHKKEKKKDKDKERSRDERERSTSKKKKSKDKEKERERKSESDKDVKQV
+TRDYDEEEQGYDSEKEKKEEKKPVEPGSPKKECSVEKGTGDSLRESKVNGDDHHEEDMDM
+SD
+>tr|A4IFM2|A4IFM2_BOVIN Long-chain fatty acid transport protein 1 OS=Bos taurus OX=9913 GN=SLC27A1 PE=2 SV=1
+MRAPGAGSASVASLVLLWLLGLPWTWSTAAALGVYVGGGGWRFLRIVCKTARRDLFGLSV
+LIRVRLELRRHQRARHTIPQIFQAVVQRQPERLALVDAGSGACWTFAQLDAYSNAVANLF
+RRLGFAPGDVVAIFMEGRPEFVGLWLGLAKAGVEAALLNVNLRREPLAFCLGTSGAKALV
+FGGELAAAVAEMSGELGKSLVKFCSGDVGPDGVFPDTQLLDPLLKETSTAPLAQPPGKGM
+DDRLFYIYTSGTTGLPKAAIIVHSRYYRIAAFGHYSYSMQAADVLYDCLPLYHSAGNIMG
+VGQCLIYGLTVVLRKKFSASRFWDDCVKYNCTVVQYIGEICRYLLKQPVREAEGRHRVRL
+AVGNGLRPSIWEEFTERFGVRQIGEFYGATECNCSIANMDGKVGSCGFNSRILPHVYPIR
+LVKVNEDTMELLRDAQGLCIPCQTGEPGLLVGQINQQDPLRRFDGYISESATSKKIAHSV
+FRKGDSAYLSGDVLVMDELGYMYFRDRSGDTFRWRGENVSTTEVEGVLSRLLGQTDVAVY
+GVAVPGVEGKAGMAAIADPHGRLSPNALYEELQKVLAPYARPIFLRLLPQVDTTGTFKIQ
+KTRLQHEGFDPRQTSDRLFFLDLKQGHYLPLDQGVYTRICSGAFAL
+>tr|E1BBP4|E1BBP4_BOVIN Tyrosine-protein kinase receptor OS=Bos taurus OX=9913 GN=DDR1 PE=3 SV=3
+MGPGALSFLLLLLLVATGDADMKGHFDPAKCRYALGMQDRTIPDGDISASSSWSDSTAAR
+HSRLESSDGDGAWCPAGPVFPKEEEYLQVDLRRLHLVALVGTQGRHAGGLGKEFSPSYRL
+RYSRDGHRWMDWRDRWGQEVILGNEDPGGVVLKDLGPPMVARLVRFYPRADRVMSVCLRV
+ELYGCLWKDGLLSYTAPVGQTMYLSEAVHLNDSTYDGYTTHTVGGLQYGGLGQLADGVVG
+LDDFRKSQELRVWPGYDYVGWSNHSFPSGYVEMEFEFERLRAFQAMQVHCNNMHTLGARL
+PGGVECRFKRGPAMAWEGEPVRHALGGSLGDPRARTVSVPLGGRMGRFLQCRFLFAGPWL
+LFSEISFISDVVNDSSLALGGTFPPAPWWPPGPPPTNFSSLELEPRGQQPVAKAEGSPTA
+ILIGCLVAIILLLLLIIALMLWRLHWRRLLSKAERRVLEEELTVHLSVPGDTILINNRPG
+PREPPPYQEPRPRGNPPHSAPSVPNSSALLLSNPAYRLLLATYARPPRGPGPPTPAWAKP
+TNTQACSGDYMEPEKPGAPLLPPPPQNSVPHYAEADIVTLQGVTGGNTYAVPALPPGAAG
+DGPPRVDFPRSRLRFKEKLGEGQFGEVHLCEVESPQDLVSLDFPLSVRKGHPLLVAVKIL
+RSDATKNARNDFLKEVKIMSRLKDPNIIRLLGVCVQDDPLCMITDYMENGDLNQFLSAHQ
+LEDKATEGPGDGEAAQGPTISYPMLLHVAAQIASGMRYLATLNFVHRDLATRNCLVGENF
+TIKIADFGMSRNLYAGDYYRVQGRAVLPIRWMAWECILMGKFTTASDVWAFGVTLWEVLM
+LCRAQPFGQLTDEQVIENAGEFFRDQGRQVYLSRPPACPLSLYELMLRCWSREPEQRPPF
+SQLHRFLAEDAVNTV
+>tr|E1B801|E1B801_BOVIN Rho GTPase activating protein 35 OS=Bos taurus OX=9913 GN=ARHGAP35 PE=4 SV=1
+MMMARKQDVRIPTYNISVVGLSGTEKEKGQCGIGKSCLCNRFVRPSADEFHLDHTSVLST
+SDFGGRVVNNDHFLYWGEVGRSLEDCVECKMHVVEQTEFIDDQTFQPHRSTTLQPYIKRA
+AATKLASAEKLMYFCTDQLGLEQDFEQKQMPDGKLLIDGFLLGIDVSRGMNRNFDDQLKF
+VSNLYNQLAKTKKPIVVVLTKCDEGVERYIRDAHTFALSKKNLQVVETSARSNVNVDLAF
+STLVQLIDKSRGKTKIIPYFEALKQQSQQIATAKDKYEWLVSRIVKNHNENWLSVSRKMQ
+ASPEYQDYVYLEGTQKAKKLFLQHIHRLKHEHIERRRKLYLAALPLAFEALIPNLDEIDH
+LSCIKAKKLLETKPEFLKWFVVLEETPWDATSHIDNMENERIPFDLMDTVPAEQLYEAHL
+EKLRNERKRAEMRRAFKENLETSPFITPGKPWEEARSFIMNEDFYQWLEESVYMDIYGKH
+QKQIIDKAKEEFQELLLEYSELFYELELDAKPSKEKMGVIQDVLGEEQRFKALQKLQAER
+DALILKHIHFVYHPTKETCPSCPSCVDAKIEHLVSSRFIRPSDRNQKNSLSDPNIDRINL
+VILGKDGLARELANEIRALCTNDDKYVIDGKMYELSLRPIEGNVRLPVNSFQTPTFQPHG
+CLCLYNSKESLSYVVESIEKSRESTLGRRDNHLAHLPLTLILVNKRGDTSGETLHSLIQQ
+GQQIASKLQCVFLDPASAGIGYGRNINEKQISQVLKGLLDSKRNLNLVSSTASIKDLADV
+DLRIVMCLMCGDPFSADDILFPVLQSQTCKSSHCGSNNSVLLELPIGLHKKRIELSILSY
+HSSFSVRKSRLVHGYIVFYSAKRKASLAMLRAFLCEVQDIIPVQLVALTDGAIDVLDNDL
+SREQLTEGEEIAQEIDGRFTSIPCSQPQHKLEIFHPFFKDVVDKKNIIEATHMYDNAAEA
+CSTTEEVFNSPRAGSPLCNSNLQDSEEDIETPSYSMFREDTPLPSLSKDHSKLSMELEGN
+DGLSFIMSNFESKLNNKVPPPVRPKPPVHFEITKGDLSYLDQGHRDAQRKSVSSSTWLPP
+DGFDPSDYAEPMDAVVKPRNEEENIYSVPHDSTQGKIITIRNINKAQSNGSGNGSDSEMD
+TSSLERGRKVSMVSKPVLYRTRCSRLGRFASYRTSFSVGSDDELGPIRKKEEDQASQGYK
+GDNAVIPYETDEDPRRRNILRSLRRNTKKPKPKPRPSITKATWESTYFGVPLTTVVTPEK
+PIPVFIERCIEYIEATGLSTEGIYRVSGNKSEMESLQRQFDQDHNLDLAEKDFTVNTVAG
+AMKSFFSELPDPLVPYNMQIDLVEAHKINDREQKLHALKEVLKKFPKENHEVFKYVISHL
+NKVSHNNKVNLMTSENLSICFWPTLMRPDFSTMDALTATRTYQTIIELFIQQCPFFFHNR
+PISEPPGATPSSPSALASTVPFLTSTPITSQPSPPQSPPPTPQSPMQPLLPSQLQAEHTL
+>tr|Q1L1H6|Q1L1H6_BOVIN CD1b3 OS=Bos taurus OX=9913 GN=CD1B3 PE=1 SV=1
+MLLLPFLLLGVILPGGDNEDVFQGPTSFHLMQISTFVNSTWAQNQGSGWLDDLQIHGWES
+DSGTAIFLKPWSKGNFSDDEVTELVDLFRAYFIGFTREVQDRVNEFQLEYPFVIQVTAGC
+ELHSGEAIESSLRGALGGLDFVSIQNHSCVPAPDSGSRGQKFCALTTQYQGISDIIERLL
+SETCPRYLLGVLDAGKAELQRQVKPEAWLSSGPTPGPGRLLLVCHVSGFYPKPVRVMWMR
+GEQEQPGTQQGDLMPNADWTWYLRVTLNVAAGEAAGLNCRVKHSSLGDQDIILYWGHPTS
+IGLILVAIIIPSLILLICLALWFWRRWSYQNIL
+>tr|A0A3S5ZPK1|A0A3S5ZPK1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC615792 PE=4 SV=1
+MATQVMGQSSGGGGLFTGSGTMGMALPNDMYDLPDLSRAELAAPQLIMLANVALTGEVNG
+GCCDYLVGEERQMAELMPVGDSNFSDSDGEGLEESPEVKGEPSGLENMELESLELSVVQP
+RPVFEVAAASETYSSNKDVPQETPVAEDKCKNLKTKPFRCKPCQYEAESEEQFVHHIRVH
+SAKKFFVEESAEKQAKARESGSSTGEEGDFSKGPIRCDRCGYNTNRYDHYTAHLKHHTRA
+GDNERVYKCIICTYTTVSEYHWRKHLRNHFPRKVYTCGKCNYFSDRKNNYVQHVRTHTGE
+RPYKCELCPYSSSQKTHLTRHMRTHSGEKPFKCDQCSYVASNQHEVTRHARQVHNGPKPL
+NCPHCDYKTADRSNFKKHVELHVNPRQFNCPVCDYAASKKCNLQYHFKSKHPTCPNKTMD
+VSKVKLKKTKKREADLPDNKLTSEKTETEQTKGKGDVTGKKNERSVKAEKKDNVSKEKKP
+CSNASSQVTTRTRKSAMEGKEVDVSPGNCSEKSCKSKKSKRKVEAEAHPLQEPVNDEEPV
+TKKKRKAESKSKNSQEVPKGDSKVEENKKQNICVKNSTKKKTVRSKSCKKSSQPAQRRPT
+QMESAQVGCVQTEPLPHPSPPPPPPPPVGCGEVEVVQKGPVPMEPSPSMEPVQVTPVEME
+PSPLPPPPPEPAQVGSVQVEPPPPPPPMGDAEIEVVQKGPVETEPPPPMEPIPRRSPRKD
+NRKEKSSMLSEMARKEQVLIEVGLVPVKDKQLLKESASAQDLFPPSPPLPKESLKGEESK
+DQNLLPAGEGNEEAPLQKVEAEEAGKSLAGVAAVIKESANTSSSEENLNMPEGETSEDKH
+QAEAMLCEMEMDTDEKKAENFPSRDSAVEEPVSPPLSALPLEKHEAVSTTAVASPPVTVA
+VNESQEMDEDEGIHSHDGSDLSDNTSEDSDDSGLNGARPVPQETSGKDGKDALAVKVAAG
+DFVCIFCDRSFRKEKDYSKHLNRHLVNVYFLEKAAQGQE
+>tr|A0JND0|A0JND0_BOVIN Hypoxia inducible factor 1, alpha subunit (Basic helix-loop-helix transcription factor) OS=Bos taurus OX=9913 GN=HIF1A PE=2 SV=1
+MEGAGGANDKKKISSERRKEKSRDAARSRRSKESEVFYELAHQLPLPHNVSSHLDKASVM
+RLTISYLRVRKLLDAGDLDIEDEMKAQMNCFYLKALDGFVMVLTDDGDMIYISDNVNKYM
+GLTQFELTGHSVFDFTHPCDHEEMREMLTHRNGLVKKGKEQNTQRSFFLRMKCTLTSRGR
+TMNIKSATWKVLHCTGHIHVYDTNSNQSQCGYKKPPMTCLVLICEPIPHPSNIEIPLDSK
+TFLSRHSLDMKFSYCDERITELMGYEPEELLGRSIYEYYHALDSDHLTKTHHDMFTKGQV
+TTGQYRMLAKRGGYVWIETQATVIYNTKNSQPQCIVCVNYVVSGIIQHDLIFSLQQTECV
+LKPVESSDMKMTQLFTKVESEDTSSLFDKLKKEPDALTLLAPAAGDTIISLDFGSNDTET
+DDQQLEEVPLYNDVMLPSSNEKLQNINLAMSPLPASETPKPLRSSADPALNQEVALKLEP
+NPESLELSFTMPQIQDQPASPSDGSTRQSSPEPNSPSEYCFDVDSDMVNEFKLELVEKLF
+AEDTEAKNPFSTQDTDLDLEMLAPYIPMDDDFQLRSFDQLSPLENSSTSPQSASTNTVFQ
+PTQMQEPPIATVTTTATSDELKTVTKDGMEDIKILIAFPSPPHVPKEPPCATTSPYSDTG
+SRTASPNRAGKGVIEQTEKSHPRSPNVLSVALSQRTTAPEEELNPKILALQNAQRKRKIE
+HDGSLFQAVGIGTLLQQPDDRATTTSLSWKRVKGCKSSEQNGMEQKTIILIPSDLACRLL
+GQSMDESGLPQLTSYDCEVNAPIQGSRNLLQGEELLRALDQVN
+>tr|F1MH43|F1MH43_BOVIN V-type proton ATPase subunit a OS=Bos taurus OX=9913 GN=ATP6V0A1 PE=3 SV=1
+MGELFRSEEMTLAQLFLQSEAAYCCVSELGELGKVQFRDLNPDVNVFQRKFVNEVRRCEE
+MDRKLRFVEKEIRKANIPIMDTGENPEVPFPRDMIDLEANFEKIENELKEINTNQEALKR
+NFLELTELKFILRKTQQFFDEMADPDLLEESSSLLEPSEMGRGTPLRLGFVAGVINRERI
+PTFERMLWRVCRGNVFLRQAEIENPLEDPVTGDYVHKSVFIIFFQGDQLKNRVKKICEGF
+RASLYPCPETPQERKEMASGVNTRIDDLQMVLNQTEDHRQRVLQAAAKNIRVWFIKVRKM
+KAIYHTLNLCNIDVTQKCLIAEVWCPVTDLDSIQFALRRGTEHSGSTVPSILNRMQTNQT
+PPTYNKTNKFTYGFQNIVDAYGIGTYREINPAPYTIITFPFLFAVMFGDLGHGILMTLFA
+VWMVLRESRILSQKNENEMFSTIFSGRYIILLMGVFSIYTGLIYNDCFSKSLNIFGSSWS
+VRPMFDIYNWTEETLRGNPVLQLNPAVTGVFGGPYPFGIDPIWNIATNKLTFLNSFKMKM
+SVILGIIHMLFGVSLSLFNHTYFKKPLNIYFGFIPEIIFMTSLFGYLVILIFYKWTAYNA
+KTSEKAPSLLIHFINMFLFSYGDSGNSMLYSGQKGIQCFLVVVALLCVPWMLLFKPLVLR
+RQYLRRKHLGTLNFGGIRVGNGPTEEDAEIIQHDQLSTHSEDAEEFDFGDTMVHQAIHTI
+EYCLGCISNTASYLRLWALSLAHAQLSEVLWTMVIHIGLKVKSLAGGLALFFIFAAFATL
+TVAILLIMEGLSAFLHALRLHWVEFQNKFYSGTGFKFLPFSFEHIREGKFDD
+>tr|Q17QY5|Q17QY5_BOVIN THAP domain-containing protein 4 OS=Bos taurus OX=9913 GN=THAP4 PE=2 SV=1
+MSPVVEPLSWMLGTWLSEPPGAGTFPTLQPFRYLEEAHISHVGQPMLNFSFNAFHPDTHK
+PMHRECGFIRLEPDTNKVAFVSAQNTGIVEVEEGEVNGQELCIASHSIARISFAKEPHVE
+QITRKFRLNSEGKLEQTVSMATTTQPLTQHLHVTYKKVTP
+>tr|E1BKC0|E1BKC0_BOVIN Free fatty acid receptor 4 OS=Bos taurus OX=9913 GN=FFAR4 PE=2 SV=3
+MSPECAQAAGTAPVRSLERANRTRFPFFSDVKGDHRLVLNAVETVVLALIFVVSLLGNVC
+ALVLVARRRRRGTTASLVLNLFCADLLFTSSIPLVLVVRWTEAWLLGPVACHLLFYMMTL
+SGSVTIFTLAAVSLERVVCIVRVQRGARGPGRRARAALLALIWGYSAIAALPLCIFFRVL
+PQRLPGADQEISICTLVWPSIGGEITWDVSFAIFNFLVPGLLIVISYSKILQVRLLQTQL
+CFVFLQITKASRKRLTMSLAYSESHQLRVSQQDVRLFRTLFLLMISFFIMWSPIIITILL
+ILIQNFKQNLVIWPSLFFWVMAFTFANAAVNPILYNMSLFRNEWRRFFHCCFFAEKGAML
+TDTSVRRNDLSVISS
+>tr|Q29S10|Q29S10_BOVIN Gasdermin D OS=Bos taurus OX=9913 GN=GSDMD PE=2 SV=1
+MASAFEKVVRSVVRELDHKDLTPVDSLWSSTSFQPYTLLSRKPLSSRFWRPRYKCVNLSI
+RDILEPDAPEPALECGRTFQFHDAMDGQLQGSVKLAAPGQGRLSGGAAVSGSSSASMDLC
+TLRVTPNTWEAMHHERRLRQPEPKTLQQLRSRGDDVFVVTEVLQTQKEVEVTRTHKQEGS
+GQFALPGAFCLQGKGEGHLSQKKTVTIPSGSTLAFRAAQLVIGSDWDILLFPDKKQRTFL
+SLQAGRRPSSSADSHPHPCFSLASIRALSDYFHFQPDGSTEHWLATTDDYQGLRAEVKAW
+AMGLEGLSKGLCGQLLGGLGQVLRDEPALQALEDSLEQGLCSGPVEPRDGPVGAILESLV
+LPSGQLETELAGPVFYLLQALAVLSEAQHVLLAEVLEMGALSGTFWLVESLVEQSSPWQE
+HRAVSLPPERLGDSWGSEAPGWALLEACGLEPQVGTPQVCWEPGARGCACALYACLALLL
+RLSQLC
+>tr|Q56JY8|Q56JY8_BOVIN Transcription factor BTF3 OS=Bos taurus OX=9913 GN=BTF3 PE=2 SV=1
+MKETIMNQEKLAKLQAQVRIGGKGTARRKKKVVHRTATADDKKLQFSLKKLGVNNISGIE
+EVNMFTNQGTVIHFNNPKVQASLAANTFTITGHAETKQLTEMLPSILNQLGADSLTSLRR
+LAEALPKQSVDGKAPLATGEEDDDEVPDLVENFDEASKNEAN
+>tr|Q58CP3|Q58CP3_BOVIN Interleukin 10 receptor subunit beta OS=Bos taurus OX=9913 GN=IL10RB PE=2 SV=1
+MARSLLSWLGGCLLMSALGMVPPPENVRMNSVNFKNILRWESPAFPKGNLTFTAQYQSYR
+KFQDTCTSILLTECDFSSLSKYGDHTLRVRAEFADESSEWINITFCPVDDTTIGPPRMQV
+EALANSLHVRFFAPRIENEPEPWTMRNIYNSWTYHVRYWKNGSDEKFSISGQYDFEFLRN
+LESQTTYCVQVRGFLSDRNKAGEWSEPVCEQTTIDETTPSWMVASVLAASVCAALLLLLG
+CFFLLRCVYRKARHAFPPRNSLPQHLKEFMSHPHHSTLLLFSFPLSDENEVFDKLSVITE
+VSESCKLNPGAGCGLTT
+>tr|A7MBJ6|A7MBJ6_BOVIN WD repeat domain 59 OS=Bos taurus OX=9913 GN=WDR59 PE=2 SV=1
+MAARWSSENVVVEFRDSQATAMSVDCVGQHAVLSGRRFLYIVNLDAPFEGHRKISRQSKW
+DIGAVQWNPHDSFAHYFAASSNQRVDLYKWKDGSGEVGTTLQGHTRVISDLDWAVFEPDL
+LVTSSVDTYIYIWDIKDTRKPTVALSAVAGASQVKWNKKNANCLATSHDGDVRIWDKRKP
+STAVEYLAAHLSKIHGLDWHPDSEHILATSSQDNSVKFWDYRQPRKYLNILPCQVPVWKA
+RYTPFSNGLVTVMVPQLRRENSLLLWNVFDLNTPVHTFVGHDDVVLEFQWRKQKEGSKDY
+QLVTWSRDQTLRMWRVDSQMQRLCANDILDGVDEFIESIALLPEPEKILHTQDTDHQHNS
+SHGEEEVLKEDPPSSLLEEKKSEQLGLPQTLQQEFSLINVQIRNVNVEMDAADRSCTVSV
+HCSSHRVKMLVKFPAQYPNHAAPSFQFINPTTITSTMKAKLLKILKDTSLQKVKRNQSCL
+EPCLRQLVSCLESFVNQEDSASSNPFALPNSVTPPLPTFARVTTAYGSYQDANIPFPRTS
+GARFCGAGYLVYFTRPMTMHRAVSPTEPTPRSLSALSAYHTGLIAPMKIRTEAPGNLRLY
+SGSPTRSEKEQVSISSFYYKERKSRRWKSKREGSDSGNRPIKAAGKVIIQDIACLLPVHK
+SLGELYILNVNDIQETCQKNAASALLVGRKDLVQVWSLATVATDLCLGPKSDPDLETPWA
+RHPFGRQLLESLLAHYCRLRDVQTLAMLCSVFEAQSRPQGIPNPFGPFPSRSANLVVSHS
+RYPSFTSSGSCSSLSDPGFNTGGWNIVGRETEHISSPWGESSPEEIRFGSLTYSDPRERE
+RDQHDKNKRLLDPANTQQFDDFKKCYGEILYRWGLREKRAEVLKFVSCPPDPHKGIEFGV
+YCSHCRSEVRGTQCAICKGFTFQCAICHVAVRGSSNFCLACGHGGHTSHMMEWFRTQEVC
+PTGCGCHCLLESTF
+>tr|A0A3Q1LW29|A0A3Q1LW29_BOVIN Cytoplasmic dynein 1 intermediate chain 1 OS=Bos taurus OX=9913 GN=DYNC1I1 PE=4 SV=1
+MSDKSDLKAELERKKQRLAQIREEKKRKEEERKKKEADMQQKKEPVPDDSDLDRKRRETE
+ALLQSIGISPEPPLVPTPMSPSSKSVSTPSEAGSQDSGDLGPLTRTLQWDTDPSVLQLQS
+DSELGRRLHKLGVSKITQVDFLPREVVSYSKETQTPLATHQSEEDEDDEEMVEPKGDQDS
+EQENEDKKQEVKEAPPRELTEEEKQQILHSEEFLIFFDRTIRVIERALAEDSDIFFDYSG
+RELEEKDGDVQAGANLSFNRQFYDEHWSKHRVVTCMDWSLQYPELMVASYNNNEDAPHEP
+DGVALVWNMKFKKTTPEYVFHCQSSVMSVCFARFHPNLVVGGTYSGQIVLWDNRSHRRTP
+VQRTPLSAAAHTHPVYCVNVVGTQNAHNLITVSTDGKMCSWSLDMLSTPQESMELVYNKS
+KPVAVTGMAFPTGDVNNFVVGSEEGTVYTACRHGSKAGIGEVFEGHQGPVTGINCHMAVG
+PIDFSHLFVTSSFDWTVKLWTTKHNKPLYSFEDNADYVYDVMWSPVHPALFACVDGMGRL
+DLWNLNNDTEVPTASVAIEGASALNRVRWAQGGKEVAVGDSEGRIWIYDVGELAVPHNDE
+WTRFARTLVEIRANRADSEEEGAVELSA
+>tr|A0A3Q1MEC9|A0A3Q1MEC9_BOVIN Transportin 2 OS=Bos taurus OX=9913 GN=TNPO2 PE=4 SV=1
+MDWQPDEQGLQQVLQLLKDSQSPNTATQRIVQDKLKQLNQFPDFNNYLIFVLTRLKSEDE
+PTRSLSGLILKNNVKAHYQSFPPPVADFIKQECLNNIGDASSLIRATIGILITTIASKGE
+LQMWPELLPQLCNLLNSEDYNTCEGAFGALQKICEDSSELLDSDALNRPLNIMIPKFLQF
+FKHCSPKIRSHAIACVNQFIMDRAQALMDNIDTFIEHLFALAVDDDPEVRKNVCRALVML
+LEVRIDRLIPHMHSIIQYMLQRTQDHDENVALEACEFWLTLAEQPICKEVLASHLVQLIP
+ILVKGMKYSEIDIILLKGDVEEDEAVPDSEQDIKPRFHKSRTVTLPHEAERPDGSEDAED
+DDDDDALSDWNLRKCSAAALDVLANVFREELLPHLLPLLKDLLFHPEWVVKESGILVLGA
+IAEGCMQGMVPYLPELIPHLIQCLSDKKALVRSIACWTLSRYAHWVVSQPPDMHLKPLMT
+ELLKRILDGNKRVQEAACSAFATLEEEACTELVPYLSYILDTLVFAFGKYQHKNLLILYD
+AIGTLADSVGHHLNQPEYIQKLMPPLIQKWNELKDEDKDLFPLLECLSSVATALQSGFLP
+YCEPVYQRCVTLVQKTLAQAMMYTQHPEQYEAPDKDFMIVALDLLSGLAEGLGGHVEQLV
+ARSNIMTLLFQCMQDSMPEVRQSSFALLGDLTKACFIHVKPCIAEFMPILGTNLNPEFIS
+VCNNATWAIGEICMQMGAEMQPYVQMVLNNLVEIINRPNTPKTLLENTAITIGRLGYVCP
+QEVAPMLQQFIRPWCTSLRNIRDNEEKDSAFRGICMMIGVNPGGVVQDFIFFCDAVASWV
+SPKDDLRDMFYKILHGFKDQVGEENWQQFSEQFPPLLKERLAAFYGV
+>tr|A4FUZ3|A4FUZ3_BOVIN Proteasome (Prosome, macropain) 26S subunit, ATPase, 1 OS=Bos taurus OX=9913 GN=PSMC1 PE=1 SV=1
+MGQSQSGGHGPGGGKKDDKDKKKKYEPPVPTRVGKKKKKTKGPDAASKLPLVTPHTQCRL
+KLLKLERIKDYLLMEEEFIRNQEQMKPLEEKQEEERSKVDDLRGTPMSVGTLEEIIDDNH
+AIVSTSVGSEHYVSILSFVDKDLLEPGCSVLLNHKVHAVIGVLMDDTDPLVTVMKVEKAP
+QETYADIGGLDNQIQEIKESVELPLTHPEYYEEMGIKPPKGVILYGPPGTGKTLLAKAVA
+NQTSATFLRVVGSELIQKYLGDGPKLVRELFRVAEEHAPSIVFIDEIDAIGTKRYDSNSG
+GEREIQRTMLELLNQLDGFDSRGDVKVIMATNRIETLDPALIRPGRIDRKIEFPLPDEKT
+KKRIFQIHTSRMTLADDVTLDDLIMAKDDLSGADIKAICTEAGLMALRERRMKVTNEDFK
+KSKENVLYKKQEGTPEGLYL
+>tr|Q2KIV7|Q2KIV7_BOVIN pyrophosphatase (Inorganic) 2 OS=Bos taurus OX=9913 GN=PPA2 PE=1 SV=1
+MRALLPLLRTSWAWPVGAAAAASARLLRGASAGPGPRRTMALYRTEERGQPHSPDYRLFF
+KNVAGHYISPFHDIPLKVDSEENVFNMVVEVPRWTNAKMEIATKEPLNPIKQDVKDGKLR
+YVANIFPHKGYIWNYGALPQTWEDPHRKDKSTDCCGDNDPIDVCEIGSKVLSRGEVVHVK
+ILGVLALIDQGETDWKLIAINVNDPEASKFHDIEDVKKYKPGYLEATLNWFRFYKIPEGK
+PENQFAFNGEFKNKAFALEVIKSAHECWRALLMDKCDGGAINCTNVQVCDSPFHCSQEEA
+RSLVESVSFSLNKGSNEEDQVWHFLGK
+>tr|A4FUG1|A4FUG1_BOVIN SEC22 homolog A, vesicle trafficking protein OS=Bos taurus OX=9913 GN=SEC22A PE=2 SV=1
+MSMILSASVIRVRDGLPLSASTDYEQGTGMQECRKYFKMLSRKLAQLPDRCTLKTGRYNI
+NFISSMGVSYMMLCTENYPNVLAFSFLDELQKEFITTYNMMKTNTAVRPYCFIEFDNFIQ
+RTKQRYNNPRSLSTKINLSDMQMEIKLRPPYQISMGELGSANGVTSAFSVDYKGAGKISS
+AHQRLEPATLSGIVAFILSLLCAALNLIRGFHAIESLLQSDGEDLNYIVAFFLGTAACLY
+QCYLLVYYTSWRNVKSFLTFGLICLCNMYLYELRNLWQLFFHVTVGAFVTLQIWLRQAQG
+KAPDYDV
+>tr|A0A3Q1MHH3|A0A3Q1MHH3_BOVIN Sorbin and SH3 domain containing 1 OS=Bos taurus OX=9913 GN=SORBS1 PE=4 SV=1
+MSSECDAGASKAVVNGLAPGSNGQDKATADPLRARSISAVKIIPVKTVKNSAGLVLPPDM
+DPTRICTGKGAVTLRASSSYREIPSSSPVSPQETPKQERKTDEWRLSSNADANGNAQPSS
+LAAKGYRSVHPSLPSSKPQDATSSSPAPPEVIVVPLYRVNTDRGHEGTDRPPASLGPHGP
+PVPAAAPAGSPLTFPTLDDFIPPHLQRRSHHSQPASAPGSLPPASQTPPSFSPQPPLVPP
+VPEGLRRVSEPDLTGAVSSTDSSPLLNEVSSSHAGTDSQTFASVSKPSSAYPSTTIVNPT
+IVLLQHNREQQKRLSSLSDPVSERRAGEQDSAPAQEKPTSPGRAAERKAKDDSRRVAKSA
+QDLSDVCMDEVGIPLRNTERSKDWYKTMFKQIHKLNRDDDSDLYSPRYSFSEDTKSPLSV
+PRSKSEMSCIDGEKVVKRSATLPLPTRSSSLKSSPERTDWEPPDKKVDTRKYRAEPRSIY
+EYQPGKSSVLTNEKMSRDISPEEIDLKNEPWYKFFSELEFGKPSSAVSPTPDISSEPPGY
+VYSSNFHAVKRESDGAPGDLTSLENERQIYKSVLEGGDIPLQGLSGLKRPSSSASTKDSE
+SPRHFIPADYLESTEEFIRRRHDDKEKLLADQRRLKREQEEADIAARRHTGVIPTHHQFI
+TNERFGDLLNIDDTAKRKSGSEMRPARAKFDFKAQTLKELPLQKGDIVYIYKQIDQNWYE
+GEHHGRVGIFPRTYIELLPPAEKAQPKKLTPVQVLEYGEAIAKFNFNGDTQVEMSFRKGE
+RITLLRQVDENWYEGRIPGTSRQGIFPITYVDVIKRPLVKNPTDYIDLPFSSSPSRSTTA
+SPQQPQAQQRRVAPDRSQTSQDLFSYQALYSYIPQNDDELELRDGDIVDVMEKCDDGWFV
+GTSRRTRQFGTFPGNYVKPLYL
+>tr|A0A3Q1MLS0|A0A3Q1MLS0_BOVIN Dishevelled associated activator of morphogenesis 2 OS=Bos taurus OX=9913 GN=DAAM2 PE=4 SV=1
+MAPRKRSRHGLGFLCCFGGSDLPEINLRDNHPLQYMEFSSPIPNPEELNIRFAELVDELD
+LTDKNREAVFALPPEKKWQIYCSKKKEQEDPNKLATSWPDYYIDRINSMAAMQSLYAFDE
+EETEMRNQVVEDLKTALRTQPMRFVTRFIELEGLTCLLNFLRSMDHATCESRIHTSLIGC
+IKALMNNSQGRAHVLAQPEAISTIAQSLRTENSKTKVAVLEILGAVCLVPGGHKKVLQAM
+LHYQVYAAERTRFQTLLNELDRSLGRYRDEVNLKTAIMSFINAVLNAGAGEDNLEFRLHL
+RYEFLMLGIQPVIDKLRQHENAILDKHLDFFEMVRNEDDLELARRFDMVHIDTKSASQMF
+ELIHKKLKHTEAYPCLLSVLHHCLQMPYKRNGGYFQQWQLLDRILQQIVLQDERGVDPDQ
+APLENFNVKNIVNMLINENEVKQWRDQAEKFRKEHAELVSRLERKERECETKTLEKEEMM
+RTLNKMKDKLARESQELRQARGQVAELVAQLSEISTGPVSSPPPPGGPLTLSSSMTNNDL
+PPPPPPLPFACCPPPPPPPLPPGGPPTPPGAPPCFSMGMPLPPDPFPSSDVPLRKKCVPQ
+PSHPLKSFNWVKLNEERVPGTIWNEIDDMKVFRILDLEDFEKMFSAYQRHQELITNPSQQ
+KELGSTEDIHLASRKVKELSVIDGRRAQNCIILLSKLKLSNEEIRQAILKMDEQEDLAKD
+MLEQLLKFIPEKSDVDLLEEHKHEIERMARADRFLYEMSRIDHYQQRLQALFFKKKFQER
+LAEAKPKVEAILLASRELIRSKRLARMLEVVLAIGNFMNKGQRGGAYGFRVASLNKIADT
+KSSIDRWDLPASVASLSLRPGLSLLPPPVHVCCCFGHVQFFATHGLRPTRLLCPWDSPGK
+NSGVGLPFPSPGIFPTRGSNLHLPASPALQVDSLPTEPPGKPSQNRSQLSPNTSA
+>tr|Q2KJ43|Q2KJ43_BOVIN CTD (Carboxy-terminal domain, RNA polymerase II, polypeptide A) small phosphatase 2 OS=Bos taurus OX=9913 GN=CTDSP2 PE=2 SV=1
+MEHGSIITQARREDALVLTKQGLVSKSSPKKPRGRNIFKALFCCFRAQHVGQSTSSTELS
+PYKEEANTIAKSDLLQCLQYQFYQIPGTCLLPEVTEEDQGRICVVIDLDETLVHSSFKPI
+NNADFIVPVEIEGTTHQVYVLKRPYVDEFLRRMGELFECVLFTASLAKYADPVTDLLDRC
+GVFRARLFRESCVFHQGCYVKDLSRLGRDLRKTLILDNSPASYIFHPENAVPVQSWFDDM
+ADTELLNLIPIFEELSGAEDVYTSLGQLRAP
+>tr|A0JN55|A0JN55_BOVIN 6-phosphofructo-2-kinase/fructose-2, 6-biphosphatase 3 OS=Bos taurus OX=9913 GN=PFKFB3 PE=2 SV=1
+MPLELTQSRVQKIWIPVDHRPSLPRTCGPKLTNSPTVIVMVGLPARGKTYISKKLTRYLN
+WIGVPTKVFNVGEYRREAVKQYSSYNFFRPDNEEAMKVRKQCALAALRDVKSYLTKEGGQ
+IAVFDATNTTRERRHMILHFAKENDFKVFFIESVCDDPTVVASNIMEVKISSPDYKDCNS
+AEAMDDFMKRINCYEASYQPLDPDKCDRDLSLIKVIDVGRRFLVNRVQDHIQSRIVYYLM
+NIHVQPRTIYLCRHGESEHNLQGKIGGDSGLSSRGRKFANALSKFVEEQNLKDLKVWTSQ
+LKSTIQTAEALQLPYEQWKALNEIDAGVCEEMTYEEIKDTYPEEYALREQDKYYYRYPTG
+ESYQDLVQRLEPVIMELERQENVLVICHQAVLRCLLAYFLDKSAEEMPYLKCPLHAVLKL
+TPIAYGCRVESIYLNVESVSTHRERSEDAKKGPNPLMRRNSVTPLASPEPTKKPRINSFE
+EHVASTSAALPTCLPPEVPTQLPGQPLLGKACLT
+>tr|Q3T161|Q3T161_BOVIN Synapse associated protein 1 OS=Bos taurus OX=9913 GN=SYAP1 PE=2 SV=1
+MFRSLSSWFGLEQPAAGGRQSQGDGQPRGHAPPDQRSEAVAESVEGEPQLAGNQELLHQA
+KGLGNYLFNFATAATKKITESVAETAQTIKKSVEEGKIDDIIDKTFIGDFHKEQKKFVEE
+QNTKKSEVAVPPWVDSNDEETIQQQILALSADKRNFLRDPPAGVQFNFDFDQMYPVALVM
+LQEDELLSRMRFDLVPKLVKEDVFWRNYFYRVSLIKQSAQLTALAAQQQAAGKEEKNHGR
+QNELPLTEAVRPKTPPVVIKSQLKTQEDEEEISTSPCVSEFVSDAFDSCNLDQEDLRKEM
+EQLVLDKKEEGTVALEEDSVDWEKELQQELQEYEVVAESEKRDENWDKEIEEMLKEEN
+>tr|Q32L05|Q32L05_BOVIN Fizzy and cell division cycle 20 related 1 OS=Bos taurus OX=9913 GN=FZR1 PE=2 SV=1
+MDQDYERRLLRQIVIQNENTMPCVAEMRRTLTPANSPVSSPSKHGDRFIPSRAGANWSVN
+FHRINENEKSPSQNRKAKDATSDNGKDGLAYSALLKNELLGAGIEKVQDPQTEDRRLQPS
+TPERKGLFTYSLSTKRSSPDDGNDVSPYSLSPVSNKSQKLLRSPRKPTRKISKIPFKVLD
+APELQDDFYLNLVDWSSLNVLSVGLGTCVYLWSACTSQVTRLCDLSVEGDSVTSVGWSER
+GNLVAVGTHKGFVQIWDAAAGKKLSMLEGHTARVGALAWNADQLSSGSRDRMILQRDIRT
+PPLQSERRLQGHRQEVCGLKWSTDHQLLASGGNDNKLLVWNHSSLSPVQQYTEHLAAVKA
+IAWSPHQHGLLASGGGTADRCIRFWNTLTGQPLQCIDTGSQVCNLAWSKHANELVSTHGY
+SQNQILVWKYPSLTQVAKLTGHSYRVLYLAMSPDGEAIVTGAGDETLRFWNVFSKTRSTK
+ESVSVLNLFTRIR
+>tr|E1BBU9|E1BBU9_BOVIN INO80 complex subunit D OS=Bos taurus OX=9913 GN=INO80D PE=4 SV=3
+MYEGKHIHFSEVDNKPLCSYSPKLCKQRRLNGYAFCIRHVLEDKTAPFKQCEYVAKYNSQ
+RCTNPIPKSEDRRYCNSHLQVLGFIPKKERKKKNDPIDEVKVRHQMDTMAFSLTVPTLAL
+KMPNGLDGMSLSPPGARVPVHYLETELEDPFAFNEEDDDLKKGATVRKKLQSKLAQNRQR
+QRETEILKVRQEHFSPPSAPSQQQPPQQHSHLSPLPTLKPPAPPQGVVCKSPQPQNTSLP
+MQGVAPTTHTIAQARQLSHKRPLPLLPSSRAPAVDPPRTDRVLMKATAFSPHFSCISRLQ
+RLVKLCTQKHQLDTDLFPHLGLDWSEESGEELEDSEQASPYQVAWSIRETLRYERHTSDD
+DDMESRSSRVTQLCTYFQQKYKHLCRLERAESRQKKCRHTLRKALLQAASREPECAGQLI
+QELQRAACSRASVSRTTLKEMEAAACSGTVKGEQCTNKALPFTRHCFQHILLNRSQQLFS
+SCTAKFADGQQCSVPVFDITHQTPLCEEHAKKMDNFLRGDSSRKVQHQQQRKPRKKTKPP
+ALTKKHKKKRRRGPRRPQKPIPPAVPQGNLSMPTSVSLPVEASRIRSPSTPELSADELPD
+DIANEITDIPHDLELNQEDFSDVLPRLPDDLQDFDFFEGKNGDLLPTTEEAEELERALQA
+VTSLECLSTIGVLTQSDGVPVQELSDRGIGVFSTGTGASGIPSLSREVNTDLGELLNGRI
+VHDNFSSLELDESLLRSATLSNPPTPLAGQIQGQFSAPASVSLTSATLMSQSALGERAFP
+GQFHGLHDGSHASQRPHPAQLLSKADDLITSRQQYSSDHSHSSPHGSHYDSEHVPSPYSD
+HITSPHTTSYSGDNMAATFSAEMPVMAQHLLPTPLEVPLGGVVNPRTHWGNLPVNLGDPS
+PFSNLLVADGHLLSTSLSTPPTTSNSETTQPAFATVTPSSSSVLPGLPQTSFSGMGPSAE
+LLASTSPKQQLPQFSAAFGHQLSSHSGIPKDLQPSHSSIAPPTGFTVTGATATSTNNASS
+PFTSPN
+>tr|A0A3Q1MBG9|A0A3Q1MBG9_BOVIN Perilipin 4 OS=Bos taurus OX=9913 GN=PLIN4 PE=1 SV=1
+MSARDGGQDPPKPKGKTLGSFFGSLPGFSAARNLVANAHSSAREAQPAAEPAGAPAEEAA
+QPQAQAPTDPEQTARALEKTLLPSDKMISGAKELVSSQMARTKDALSTGMASIVDTAKGV
+VQGGLGMSQSTLTVTKDAVASGATGAVGVAKGALQTGIDTAKTVVTGTKDVVSTGLTGAV
+NMAKGTVQTGMDTTKTVLTGTKDTVSTGLTGAMGMAKGAIQTGMDTTKTVLTGTKDTVST
+GLTGAVSMAKGTVQTGMDTTKTVLTGTKDTVSTGLTGAMGMAKGAIQTGMDTTKTVLTGT
+KDTVSTGLTGAMGVAKGAVQTGMDTTKTVLTGTKDAVSSGLTGAMGMAKGAVQTGMDTTK
+TVLTGTKETVSTGLTGAMGVAKGAVQTGMDTTKTVLTGTKETVSTGLTGAMGVAKGAVQT
+GMDTTKTVLTGTKDTMSTGLTAAVSMAKGAVQTGMDTTKTVLTGTKDTMSTGLTGAMGVA
+KGAVQTGMDTTKTVLTGAKDTMSTGLTGAMGVAKGAVQTGMDTTKTVLTGTKDTMSTGLT
+GAMGVAKGAVQTGMDTTKTVLTGTKDTVSTGLTGAMGVAKGAVQTGMDTTKTVLTGTKDT
+VSTGLTGAMGMAKGAVQTGMDTTKTILTGTKDTVSTGLTGAMGVAKGAVQTGMDTTKTVL
+TGAKDTVSTGLTGAMGVAKGAVQTGMDTTKTVLTGTKDTVSTGLTGAMGVAKGAVQTGMD
+TTKTVLTGTKDTMSTGLTGAMGVAKGAVQTGMDTTKTVLTGAKDTVSTGLTGAMGVAKGA
+VQTGMDTTKTVLTGTKDTVSTGLTGAMGVAKGAVQTGMDTTKTVLTGTKDVVASGLTGAM
+GVAKGAVQTGMDTTKTVLTGTKDTVSTGLTGAMGVAKGAVQTGMDTTKTVLTGTKDTVST
+GLTGAMGVAKGAVQTGMDTTKTVLTGAKDTVSTGLTGAIGMAKGAVQTGMDTTKTVLTGT
+KDTMSTGLTGAMGVAKGAVQTGMNTTKTVLTGTKDTVSTGLTGAMGVAKGAVQTGMDTTK
+TVLTGTKETVSTGLTGAIGMAKGAVQTGMDTTKTVLTGTKDVVASGLTGAMGVAKGAVQT
+GMDTTKTVLTGTKDTMSTGLTGAMGVAKGAVQTGVDTTKTVLTGTKDTVSTGLTGAMGVA
+KGAVQTGMDTTKTVLTGTKDTMSTGLTGAMGVAKGTVQTGMDTTKTMLTGAKDTVSTGLT
+GAMGVAKGTVQTGMDTTKTILTGTKDTVSTGLTGAMGVAQGAVQTGMDTTKTVLTSTKDI
+VSTSITGAMGVAKGAVQVGMDTTTSILTGTKDVLSTAITGAMGVAKEAVQVGMDTTKSVL
+TGTKDVLSTSISGAMDVAREAVQIGVDTTTSILTGTKDVLSTSITGAMDVGNEAIQTGMD
+STMTILTGTKGAMSSGLSSVGHVAEEGMHTGVGIIPNWLPDSKAATSVGLASSRAPDEGE
+QTIPSSPQAQLSNHGPLSAEAVFSQEATLGKVDAAPGATTHGQEGVQGFAALRDELEELG
+EIFQPMSAEEQAQLVATQPRRREVTADQGSYFVRLGDVAPGFRQRAFEHALSHLQHSEFQ
+ARDALAQLEDVFREIEEAQQAPAGDASSQAEEAAAGEVLATGALSRACDLVQQLHVAYSR
+LASGLQGLPTELQWQLQQARHSICELYGLVSSAATVAELPVKRLAESRQGVGQAWRGLEQ
+VLRSVQQGPPLGWLVGPFALPADGQPL
+>tr|A7YWC7|A7YWC7_BOVIN TNF receptor-associated factor OS=Bos taurus OX=9913 GN=TRAF5 PE=2 SV=1
+MAYFEEQGGVPCGFTRQNSGNSISLDFEPDAEYQFVERLEERYKCAFCHSVLHNPHQTGC
+GHRFCHQCILALRELDTVPLCPVDKEVIKSQEVFKDNCCKREVLNLYVFCKNAPRCNAKI
+ILGRYQDHLQHCLFQPVQCSNENCREPVLRKDLKEHLSAYCQFREEKCLYCKKDVVVINL
+QNHEENLCPDYPVSCPNKCLQTIPRTEVDEHLAVCPEAEQDCAFKNYGCAVKDKRGNLQE
+HERSALRDHMLLVLEKNFQLEEQISDLYKSLEQKESKIQQLAEMVKKFEKEFKQFAQLFG
+KNGSFLSNMQVLASHIDKSAWLEVQVRQLLQMANQQQSKFDLKPLVEAIDTVKQKITLLE
+TNDQRLVVLEGETNKHDAHINIHKAQLNKNEERFKLLESACYNGKLIWKVTDYKLKKKEA
+LDGHTVSIFSQPFYTSRCGYRLCARAYLNGDGSGKGTHLSLYFVVMRGEFDSLLQWPFRQ
+RVTLMLLDQSGKKNHIMETFKADPNSSSFKRPDGEMNIASGCPRFVAHSTLENAKNTYIK
+DDTLFLKVAVDLTDLEDL
+>tr|F1MII9|F1MII9_BOVIN Ring finger and FYVE like domain containing E3 ubiquitin protein ligase OS=Bos taurus OX=9913 GN=RFFL PE=4 SV=3
+MWATCCNWFCLDGQPEEASPPQGARTQAYSNPGYSSFPSPTGSEPSCKACGTHFASMARK
+QTCLDCKKNFCTSCSSQVGAGPRLCLLCQRFRATDFRREELMKMKVKDLRDYLSLHDIST
+DMCREKEELVSLVLGQQPVISQEGRTQAPHLGPDFPEQQAFLARPHTSTVPPTSPGLQSA
+PPGQAQGRQQANGHVSQDQEEPIYLERTARAPAEDETQSVDSEDSFVPGRRASLSDLTDL
+EDIEGLTVRQLKEILARNFVNYKGCCEKWELMERVTRLYKDQKGLQHLVCSAEDQNGGAV
+PSSLEENLCRICMDSPIDCVLLECGHMVTCTKCGKRMNECPICRQYVIRAVHVFRS
+>tr|Q2KI36|Q2KI36_BOVIN Signal peptidase complex catalytic subunit SEC11 OS=Bos taurus OX=9913 GN=SEC11C PE=2 SV=1
+MVRASTVGAHLPASGLDIFGDLRKMNKRQLYYQVLNFAMIVSSALMIWKGLIVLTGSESP
+IVVVLSGSMEPAFHRGDLLFLTNFREDPIRAGEIVVFKVEGRDIPIVHRVIKVHEKDNGD
+IKFLTKGDNNEVDDRGLYKEGQNWLEKKDVVGRARGFLPYVGMVTIIMNDYPKFKYALLA
+VMGAYVLLKRES
+>tr|F1N4U6|F1N4U6_BOVIN Gamma-butyrobetaine hydroxylase 1 OS=Bos taurus OX=9913 GN=BBOX1 PE=1 SV=1
+MGCVIQKAEVLDGAHLMRIIWQDGTDSIYPAVWLRDNCQCPDCYLDSAKARKLLIEALDV
+YVGIKDLMFDRKKVHIMWPNDHCSEYGADWLKKRCFSQQAREKLQKELFLPECQYWGSEF
+QLPTLDFEDVLKDDEHAYRWLSSLKKVGIVRLTGAADKRGEVLKLGKRIGFLYLTFYGHT
+WQVQDKIDANNVAYTTGKLSFHTDYPALHHPPGVQLLHCIKQTVTGGESEIVDGFNVCQK
+LKEKNPRAFQILSSTFVDFTDIGVDYCDFSVQSKHKIIELDDKDQVVRINFNNATRDTIF
+DVPVERVQPFYAALKEFVDLMNCKDFKFTFKMNPGDVITFDNWRLLHGRRSYEAGTEITR
+HLEGAYADWDVVMSRLRILRQSLQNRN
+>tr|A0A3Q1LNA9|A0A3Q1LNA9_BOVIN Non-specific serine/threonine protein kinase OS=Bos taurus OX=9913 GN=PAK3 PE=4 SV=1
+MSDGLDNEEKPPAPPLRMNSNNRDSSALNHSSKPLPMAPEEKNKKARLRSIFPGGGDKTN
+KKKEKERPEISLPSDFEHTIHVGFDAVTGEFTPDLYGSQMCPGKLPEGIPEQWARLLQTS
+NITKLEQKKNPQAVLDVLKFYDSKETVNNQKYMSFTSGDKSAHGYIAAHPSSTKTASEPP
+LAPPVSEEEDEEEEEEEDDNEPPPVIAPRPEHTKSIYTRSVVESIASPAAPNKEVTPPSA
+ENANSSTLYRNTDRQRKKSKMTDEEILEKLRSIVSVGDPKKKYTRFEKIGQGASGTVYTA
+LDIATGQEVAIKQMNLQQQPKKELIINEILVMRENKNPNIVNYLDSYLVGDELWVVMEYL
+AGGSLTDVVTETCMDEGQIAAVCRECLQALDFLHSNQVIHRDIKSDNILLGMDGSVKLTD
+FGFCAQITPEQSKRSTMVGTPYWMAPEVVTRKAYGPKVDIWSLGIMAIEMVEGEPPYLNE
+NPLRALYLIATNGTPELQNPERLSAVFRDFLNRCLEMDVDRRGSAKELLQHPFLKLAKPL
+SSLTPLIIAAKEAIKNSSR
+>tr|A0JN37|A0JN37_BOVIN ADP-ribosylation factor-like 6 interacting protein 1 OS=Bos taurus OX=9913 GN=ARL6IP1 PE=2 SV=1
+MAEGDNRSTNLLAAETASLEEQLQGWGEVMLMADKVLRWERAWFPPAIMGVVSLVFLTIY
+YLDPSVLSGVSCFVMFLCLADYLVPILAPRIFGSNKWTTEQQQRFHEICSNLVKTRRRAV
+GWWKRLFTLKEEKPKMVLFWFCLI
+>tr|Q08DQ8|Q08DQ8_BOVIN Solute carrier family 26 member 6 OS=Bos taurus OX=9913 GN=SLC26A6 PE=2 SV=1
+MGLSEAPGERDTQALLSRTQAMELRRRDYHVERPLLNQEQLEELGSRSPAQVTLQWRTWF
+RCSRARAQALLLQYLPVLAWLPQYSVRDWLLGDLLSGLSVAIMQLPQGLAYALLAGLPPV
+FGLYSSFYPVFVYFLFGTSRHISVGTFAVMSVMVGSVMESLAPDDAFLLGLNSTLDVAAR
+DASRVQLASTLSVLVGLFQVGLGMVHFGFVVTYLSEPLVRAYTTAASVHVFVSQLKYVFG
+LHLSSRSGPLSLIYTVLEVCWKLPQMVVGTMVTAIVAGVVLVLVKLLNEKLRRHLPMPLP
+GELLTLIGATGISYGVGLKQAFGVDIVGKIPTGLVPPMAPRPQLFAKLLGNAFAIAVVGF
+AIAISLGKIFALRHGYRVYSNQELVALGLSNLLGGIFQCFPVSCSMSRSLVQESTGGNTQ
+VAGAISSLFILIIIVKLGELFQDLPKAVLAAVVIVNLKGMVMQCTDVVSLWKANRMDLLI
+WVVTFVATILLNLDLGLAVAVVFSLMLVVVRTQMPHYSVLGQVPDTDIYRDVAEYSGARE
+VPGVKVFRSSVTVYFANAELYSDSLKQRCGVDVDYLLSQKKKLLRKQELKLKQLQKENKL
+PKQAAASKGTSVSINVNPTVMDIESNNDVEGSKAREASAGTELEDTGAWAPEDAKASDIS
+SLKALGLPQPDFHTLILDLSSFSFVDTVCLKSLKNIFRDFREIEVEVYMAACHAPVITQL
+EDGHFFDASITKQHLFASVHDAVLFALQHRRSGPVNPVLVTKL
+>tr|Q32P77|Q32P77_BOVIN PARK2 co-regulated OS=Bos taurus OX=9913 GN=PACRG PE=2 SV=1
+MVAEKETLSLNKCPAKLPTRTKLLAQQPLPVHQPHSLVSEGFTVKAMMKNSVVRGPPSAG
+AFKERPTKPTAFRKFYERGDFPIALEHDSKGNKIAWKHVSAGALAVIRALLETDLGR
+>tr|A7YWM8|A7YWM8_BOVIN N-acetylneuraminic acid phosphatase OS=Bos taurus OX=9913 GN=NANP PE=2 SV=1
+MGLSRVRAVFFDLDNTLIDTAGASRKGMLEVIKLLQSKYHYKEEAEIICNKVQVKLSKEC
+FHPSNTCITDLRTSHWEEAIQETKGGAANRKLAEECYFLWKSTRLQHMTLAEEVKAMLTE
+LRKEVRLLLLTNGERQTQREKIEACACQSYFDAIVVGGEQKEEKPAPSIFYYSCDLLGVQ
+PGDCVMVGDTLETDIQGGLNAGLKATVWINKNGVVPLKSSPTPHYIVSSVLELPALLHSI
+DCKVSVST
+>tr|Q17QR9|Q17QR9_BOVIN Sparc/osteonectin, cwcv and kazal-like domains proteoglycan (Testican) 1 OS=Bos taurus OX=9913 GN=SPOCK1 PE=1 SV=1
+MPAIAVLAAAAAAWCLLQVESRHLDALAGGAGPNNGNFLDNDQWLSTVSEYERDKYWNRF
+RDEVEDDYFRNWNPNKPFDQALDPAKDPCLKVKCSPHKVCVTQDYQTALCVSRKHLLPRQ
+KKGTVVHKHWVGPSNLVKCKPCPAAQSAMVCGSDGHTYTSKCKLEFHACSTGRSLTTLCD
+GPCPCLPEPEPPKHKAEKNACTDKELRNLASRLKDWFGALHEDANRVINPTSSETAQGRF
+DTSILPICKDSLGWMFNKLDMNYDLLLDHSEINAIYLDKYEPCIKPLFNSCDSFKDGKLS
+NNEWCYCFQKPGGLPCQNEMNRIQKLSKGKSLLGAFIPRCNEEGYYKATQCHGSTGQCWC
+VDKYGNELAGSRKQGAVNCEEEQETSGDFGSGGSVVLLDDLEDEQDLGPKDRLGKPRVHA
+RAVTEDDEDEDDDKEDEVGYIW
+>tr|A7MBC4|A7MBC4_BOVIN ATF7IP protein OS=Bos taurus OX=9913 GN=ATF7IP PE=2 SV=1
+MDSIEEPQKKVFKARKTMRVSDRQQLEAVYKVKEELLKTDVKLLNGNHENGDLDPTSPLE
+NMDYLNDKEEVNGIENVCFDPEKSKAEWKETPCTLNVHVKSKQDDDLKCEPLSANNISPD
+LASKLTAEPSSGDPASDLATGGLGSGDPVSGDLASETLTSGDPASDDPVSGDLASGETVS
+SELVSSEPVSNELVTSEPVPGESVSSEPVSSDSACDDPASGDLASESLASDDPGSGDLPS
+SDPAFGDSASGNPASDEPTSETAFDPTFDPSSVPACEPVPETDSTEPSSNKGDDFLQQNR
+DDEKLDKIFSFDEKNKADGNSDADAEALETDDTIICSDLSPEKKLEEDAITESALGEEAI
+SSSVEIDHSEKNEEENSADLMETISEDVIKDDKNENILENTDSMETDEIIPILEKLAPAE
+DELTCFSKASLLPIDESNPDLEEKMEGSFGSPSKQESSESLPKEAFLVLSDEEDISGEKD
+ESEVISQNETCSPEQVESNEKDSKPEEEEQVIREDERPSEKSEFFRRKRSKSEDMDNIQS
+KRRRYLEEEYEAEFQVKITAKGDINQKLQKVVQWLLEEKLCALQCAVFDKTLAELKTRVE
+KIECNKRHKTVLAELQAKIARLTKRFGAAKEDLKKRQENPPNPPVSPGKSVVDVISNNSV
+PYRNTNTVRQMLESKRNISESAPPSFQTPVNTVSSTNLVTPPTVVSSQPKLQPPVTSGSL
+TATSVLPAPNTATVVASTQVPSGNPQPTISLQSLPVILHVPVAVSSQPQLLQSHPGTLVT
+NQPSGNVEFISVQSPPTVSGLTKNPVSLPSLPNPTKPNNVPSVPSPSIQRNSPASAAPLG
+TTLAVQAVPTAHSIVQATRTSLPTVGPSGLYSPSNNRGPIQMKIPISAFSTSSPAEQSST
+TTTRIENQTNKTVDASVNKKAADSTSQSGKATSNDSGGVIDLTMDDEESGALQDPKKVNH
+TAVSTMSSSQALSRPLQPIQPAPPLQPSGVPTSGPSQTTIHLLPTAPTTVNVTHRPVTQV
+TTRLPVPRAPANHQVVYTTLPAPPAQAPLRGTVMQAPAVRQVNPQNSVTVRVPQATTYVV
+NNGLTLGSTGPQLTVHHRPPQVHTEPPRPVHPAPLPEAPQPQRLPPEAASTSLPQKPHLK
+LARVQSQNGIVLSWSVLEVDRSCATVDSYHLYAYHEEPSATVPSQWKKIGEVKALPLPMA
+CTLTQFVSGSKYYFAVRAKDIYGRFGPFCDPQSTDVISSSQSS
+>tr|A7MB94|A7MB94_BOVIN MAP1LC3C protein OS=Bos taurus OX=9913 GN=MAP1LC3C PE=2 SV=1
+MQTPQKSPSLRPFKQRKSLATRREEVAGIRVKFPGKIPVIVERYPREKFLPRLDKTKFLV
+PQELTMTQFLSVIRSRMVLGATEAFYLLVNNKSLGSMNVTMAEIYRDYKDEDGFVYMTYA
+SQEMFGCLGSAAPEDASSLGEDRPCHPL
+>tr|A0A0M6L0P8|A0A0M6L0P8_BOVIN Growth hormone E5 OS=Bos taurus OX=9913 GN=GHE5 PE=2 SV=1
+MSSRFSLRSIPCSRFPPSHPIGLTPYGQQASSPWGHSTIPMAPAPSFHAHQWTYNPVRGS
+CLLLLLVMSNLLLCQGNSCLCSRPAVIDIPLESLTVLLLNASRLSHNILKHSRTMFHEFD
+IKYAQGKLYYINAIKSCHTNSLQTPEEPEKVLETNIKDLSKWILMLLYSWNRPLYHLVTD
+LRSMKEVSDSIQSNARESVKNLLELQALIERQFCQIIFPVRRKMLKARIYWCGLPSLESS
+DEDVRHSEFYKLFKCLYRDSRKVDMYTTILGCRLSNSC
+>tr|Q3ZC53|Q3ZC53_BOVIN RWD domain containing 1 OS=Bos taurus OX=9913 GN=RWDD1 PE=2 SV=1
+MTDYGEEQRNELEALESIYPDSFTVLSENPPSFTITVTSEAGENDETVQTTLKFTYSEKY
+PDEAPLYEIFAQLNLEDNDVADILKLLALQAEENLGMVMIFTLVTAVQEKLNEIVDQIKT
+RREEEKKQKEKEAEEAEKQLFHGTPVTIENFLNWKAKFDAELLEIKKKRMKEEEQAGKNK
+LSGRQLFETDHNLDTSDIQFLEDAGNNVEVDESLFQEMDDLELEDDDDDPDYNPADRESD
+LTD
+>tr|A4FV17|A4FV17_BOVIN SNX22 protein OS=Bos taurus OX=9913 GN=SNX22 PE=2 SV=1
+MLEVHIPSVGPEKGHMVFRVEVLCRGRRHIVQRRYREFHALHKRIKKLYKVPDFPSKRLP
+NWRTRGLEQRRQGLEAYIQGILYLNQDVPKELLEFLSLRHWPTDPKASNRGSQLYHRPVV
+SFPMDPYICTPSPEPLPSVVVQGVLQGLYGFNATIAEAQPEAAHHPAPMPPTP
+>tr|F1MGU0|F1MGU0_BOVIN Death domain associated protein OS=Bos taurus OX=9913 GN=DAXX PE=4 SV=1
+MATANSIIVLDDDEEDEAAAQPGPSHPPPNPASPKAEAPGSSQPHGAGGSSSSGGKKCYK
+LENEKLFEEFLALCKTQTADHPEVVPFLYNRQQRAHPLFLASAEFCNILSRVLSRAQNRP
+AKLYVYINELCTVLKAHSAKKKLNLAPAATTSSEPSGNNPPTDPSSDPNADTTASEAPRT
+HGSRRQIQRLEQLLALYVAEIRRLQEKELDLSEMDDPDSTYLQEARLKRKLIRLFGRLCE
+LKDCSSLTGRVIEQRIPYRGTRYPEVNRRIERLINKPGPDTFPDYGDVLRAVEKAAARHS
+LGLPRQQLQLMAQDAFRDVGIRLQERRHLDLIYNFGCHLTDDYRPGIDPALSDPVLARRL
+RENRSLAMSRLDEVISKYAMMQDRNEESERQKRRARLPQATSSHSEDTPKSSLDSGEGPS
+GMASQECPTTSKAEIDDEEDEESEEEEEEEEEEDEEEDEEEDEEDEEEEDEATDSEEEED
+LEQMKEGQGDDEEEEEEAEKDGDKSPMSPLPISTEKNLEPHKGKNGSSEEQQNKRLTESP
+SSLAEEPPAPSSVVAESREEHLEELPLEEESPMSQLFELEIEALPLDTTPSPEERDVSSS
+KKSEDLLTTVLENGAAMVTSTSFNGGVSPHTWGDSSPPRKKSRKEKQTEAGPLGKSHVEM
+QRAVQKNGQKTHTLPSPPSPLASMAPVADSSTRVDSPSHGLVTSSLCSPLPTRLSHTPQS
+QPSRPGTYKMSVATQCDPEEIIVLSDSD
+>tr|A7Z037|A7Z037_BOVIN Chimaerin OS=Bos taurus OX=9913 GN=CHN1 PE=2 SV=1
+MALTLFDTDEYRPPVWKSYLYQLQQEAPHPRRITCTNEVENRPKYYGREFHGMISREAAD
+QLLSVAEGSYLIRESQRQPGTYTLALRFGSQTRNFRLYYDGKHFVGEKRFESIHDLVTDG
+LITLYIETKAAEYIAKMTINPIYEHIGYTTLNREPAYQKHMPVLKETLDEKDSTGQDGVS
+EKRLTSLVRRATLKENEQIPKYEKVHNFKVHTFRGPHWCEYCANFMWGLIAQGVKCADCG
+LNVHKQCSKMVPNDCKPDLKHVKKVYSCDLTTLVKARTTKRPMVVDMCIREIEARGLNSE
+GLYRVSGFSDLIEDVKMAFDRDGEKADISVNMYEDINIITGALKLYFRDLPIPLITYDAY
+PKFIESAKIMDPDEQLETLHEALKLLPPAHCETLRYLMAHLKRVTLHEKENLMNAENLGI
+VFGPTLMRSPELDAMAALNDIRYQRLVVELLIKNEDILF
+>tr|Q32LP5|Q32LP5_BOVIN Nuclear factor 1 OS=Bos taurus OX=9913 GN=NFIA PE=2 SV=1
+MSKEEERAVKDELLSEKPEVKQKWASRLLAKLRKDIRPEYREDFVLTVTGKKPPCCVLSN
+PDQKGKMRRIDCLRQADKVWRLDLVMVILFKGIPLESTDGERLVKSPQCSNPGLCVQPHH
+IGVSVKELDLYLAYFVHAADSSQSESPSQPSDADIKDQPENGHLGFQDSFVTSGVFSVTE
+LVRVSQTPIAAGTGPNFSLSDLESSSYYSMSPGAMRRSLPSTSSTSSTKRLKSVEDEMDS
+PGEEPFYTGQGRSPGSGSQSSGWHEVEPGMPSPTTLKKSEKSGFSSPSPSQTSSLGTAFT
+QHHRPVITGPRASPHATPSTLHFPTSPIIQQPGPYFSHPAIRYHPQETLKEFVQLVCPDA
+GQQAGQVGFLNPNGSSQGKVHNPFLPTPMLPPPPPPPMARPVPLPVPDTKPPTTSTEGGA
+ASPTSPTYSTPSTSPANRFVSVGPRDPSFVNIPQQTQSWYLG
+>tr|A6QNX1|A6QNX1_BOVIN ZNF554 protein OS=Bos taurus OX=9913 GN=ZNF554 PE=2 SV=1
+MAAECLLPWPQEVVTFKDVAVDFSMEEWELLDPGQRKLYRDVMLETCGNLASVEALRNQR
+TNSGFEDGTICLASASQVTTFSLWTAYSLFQPVVSFHLEQGEAMWMAGKGTLRASYSDWE
+TKLRSQQSVYKKVVLEEEPLGGMNITKLPREDWGYTESEGNCEDFQRLLRQMGYPQIVAF
+SQEKPIDWYEFGENCNLSSELVLSRDSIGRHFYDYDLAIASLVSDPFLNHHQMGYPDQRP
+CESIKCGKDLSQNIHLIPHERTQMGERISAYAESGKSFNHGMAITIQNRINTVEKPYECH
+QCGKVFNRRHSLSEHQRIHTGERPFECQECGRAFTHSSTLTRHLRTHTGEKPYACHECGK
+AFNRISSLTQHQRIHTGEKPYQCEDCGKSFCQSSYLILHKRTHTGEKPYECNKCGKAFSD
+RSSLNQHERTHTGKNPYECNQCGRAFSQRSSLVRHERTHTGEKPYLCSECGKAFSQSSSL
+ITHQKTHNSQKTYKIIDCGKAFYQSCHLVGF
+>tr|A7MAZ0|A7MAZ0_BOVIN TSPY like 4 OS=Bos taurus OX=9913 GN=TSPYL4 PE=2 SV=1
+MSGLDEGDSLPVAKTCGPATPDHAPGHPDFKQCQSEETEATSVMADTGEGGLETAAEGGA
+AQDPAVCGLALRIRVAGSRGRVATKAGQKEAPASTEGLEAASASTSVGADNSQENGCQRR
+ELRSPAIEKALEACDAGSLAAQMLPRAKAKEMTTKKCAVSAAGEKEGVTEEVVEGKKVIQ
+KEKKVVGGAKEETRARAPKINNCMDSLEAIDQELSNVNAQADRAFLQLERKFGRMRRLHM
+QRRSFIIQNIPGFWVTAFRNHPQLSPMISGQDEDMMRYMINLEVEELKHPRAGCKFKFIF
+QSNPYFRNEGLVKEYERRSSGRVVSLSTPIRWHRGQDPQSHIHRNREGNTIPSFFNWFSD
+HSLLEFDRIAEIIKAELWPNPLQYYLMGDGPRRGFRDPARQPVESSRTFRFQSG
+>tr|A7E308|A7E308_BOVIN NFKB activating protein like OS=Bos taurus OX=9913 GN=NKAPL PE=2 SV=1
+MAPVFQSSYPEDTPGSGRQRRSSSKSPPSAQARHSPWVSRTHSRDREGLRPSWSRSGVGA
+SYPFNRPGSRERPPGLRNYDFSSSSFSCGGYRYHQHHYVGNRQWAEDCEKEKEESYRQRR
+LKERERIGELGAPEVWGLSPKFPEPDSDEHTPVEDEEVKTKKSSSSDSSSEEKRKKAGRS
+KNKKKRKKKSKRKHRTYSDNSDSDSDSDTNSSSDDKRRVKKSRRKRNAELKSPRKRRLRR
+LKKNPVTQAIRIQKGSWQKTSGLSSQRLQITWI
+>tr|M5FK71|M5FK71_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC538941 PE=3 SV=1
+MVEANQSRVSEFLLLGLSRQPQQQQQLLFLLFLTMYLATVLGNLLILLAISMDSHLHIPM
+YFFLCNLSFVDTYFSSTTVPKVLANHVLRSHTISFSECLTQMYFVFMFMDMDNFLLAVIA
+YDRFVAVCHPLHYSAKMTPQLCALLVTGSWVTASLDMLLHTLLMARLSFCADNAIPHFFC
+DVTPLLKLSCSDTHLNEVVILTEGALIMITPFVCILVSYVLITCAVLRIPSTKGRWKAFS
+TCGSHLAVVFLFYGTIIFLYFNPLSSHAAETDVAAGVMFSVVTPMLNPFIYSLRNQDIKG
+ALGKVIAMKFFSAQ
+>tr|A0JNE9|A0JNE9_BOVIN CTP synthase OS=Bos taurus OX=9913 GN=CTPS1 PE=2 SV=1
+MKYILVTGGVISGIGKGIIASSVGTILKSCGLHVTSIKIDPYINIDAGTFSPYEHGEVFV
+LDDGGEVDLDLGNYERFLDIRLTKDNNLTTGKIYQYVINKERKGDYLGKTVQVVPHITDA
+IQEWVMRQALIPVDEDGLEPQVCVIELGGTVGDIESMPFIEAFRQFQFKVKRENFCNIHV
+SLVPQPSSTGEQKTKPTQNSVRELRGLGLSPDLVVCRCSNPLDTSVKEKISMFCHVEPEQ
+VICVHDVSSIYRVPLLLEEQGVVDYFLRRLDLPIERQPRKMLMKWKEMADRYDRLLETCS
+IALVGKYTKFSDSYASVIKALEHSALAINHKLEIKYIDSTDLEPSTLQEDPVRYHEAWQK
+LCSAHGVLVPGGFGVRGTEGKIQAISWARKQKKPFLGVCLGMQLAVVEFSRNVLGWQDAN
+STEFDPNTNHPVVIDMPEHNPGQMGGTMRLGKRRTLFQTKNSVMRKLYGDPDYLEERHRH
+RFEVNPVLKKCLEEQGLKFVGQDVEGERMEIVELEDHPFFVGVQYHPEFLSRPIKPSPPY
+FGLLLASVGRLPHYLQKGCRLSPRDTYSDRSGSSSPDSEITELKFPSINHD
+>tr|Q2KIQ3|Q2KIQ3_BOVIN WD repeat domain 54 OS=Bos taurus OX=9913 GN=WDR54 PE=2 SV=1
+MFRRERSIPLRGSAAALCNNLSVLQLPARNLTHFGVVHGPSAQLLSAAPEGVPLAQRQLH
+AKEGAGVSPPLITQIHWCVLPFRVLLVLTSHRGIQMYESDGSVMVYWHALDAGDASLVQA
+AFARGIAASGHYVCVGTWSGQVLVFDIPTKGPNIVLSEELARHQTPISDIATEPAQGQDC
+VADTVTADDSGLLCVWRSGPKFKLLTQIPGFGVPCSSVQLWQGTIAAGYGNGQVRLYEAS
+TGTVHVQIDAHARAICALDLAPELLSAAEDTFVHIWKLSRSPEDGYIEVEHCHGECVPDT
+QLCGARFCDPSGSSFAVTGYDVAEILRFSSI
+>tr|A4IFA2|A4IFA2_BOVIN LNX1 protein OS=Bos taurus OX=9913 GN=LNX1 PE=2 SV=1
+MNQPDPAEDPDPSPEPLCVVCGQAHSPEENHFYTYTEDVDDDLICHICLQALLDPLDTPC
+GHTYCTVCLTNFLVEKDFCPVDRKPLVLQHCKKSNILVNKLLNKLLVTCPFTEHCTEVLQ
+RCDLEHHFQTSCKGASHYGLTKDRKRRSQDGCPDGSTSLTATSLSPEISTVPTVSLMTDE
+PGLDNPAYVSTAEDGQPNSPLDSGRSNRTRARPFERSTIRSRSFKKINQALSVLRRTKSG
+STVVSQADQGREDSENTTVLDVFPRLYHLIPDGEITSIKINRTDPNENLSIRLVGGSETP
+LVHIIVQHIYRDGVIARDGRLLPGDIILKVNGMDISNVRHNYALRLLRQPCQVLRLTVLR
+EQKFRSRTDGQPLDTYGPRDDSFHVILNKSSPEEQLGIKLVRKMDEPGVFIFNVLDGGVA
+DRHGQLEENDRVLAINGHDLRYGSPESAAHLIQASERRVHLVVSRQVRRHSPDIFQEASW
+NSNGSQSPGSGDRSNTPKPLHPVVTCHEKVVSVRKDPGESLGMTVAGGASHTEWDLPIYV
+ISVEPGGVISRDGRIKTGDILLNVNGIELTEVSRSEAVGLLKSTSSSVVLKALEVKEYEP
+QEDDSSLAALDSDQDTAPPSDWFPSWVMWLELPRYLYNCKDVILRRNTAGSLGFCIVGGY
+EEYNGNKPFFIKSIVEGTPAYNDGRIRCGDILLAVNGRSTTGMIHACLARMLKELKGKIT
+LTIASWPGTFL
+>tr|A7MBC5|A7MBC5_BOVIN IARS protein OS=Bos taurus OX=9913 GN=IARS PE=2 SV=1
+MVQQVPENISFPAEEEKILQFWSDFNCFQECLKQSKHRPKFTFYDGPPFATGLPHYGHIL
+AGTIKDIVTRYAHQSGFHVDRRFGWDCHGLPVEYEIDKTLGIRGPEDVAKLGIAEYNSQC
+RAIVMRYSTEWKSTITRLGRWIDFDNDYKTLYPQFMESVWWIFKQLYDKGLVYRGVKVMP
+FSTACNTPLSNFESHQNYKDVQDPSIFVTFPLEEDENISLVAWTTTPWTLPSNLALCVNP
+DLQYVKIKDSVRGKLLILMEARLSALYKSESDYEILERFPGASLRGKKYRPLFDYFVKCK
+ESGAFTVLVDNYVKEEEGTGIVHQAPYFGADDYRVCMDFNIIQKDSLPICPVDASGCFTA
+EVTDFAGQYVKDADKNIIKTLKEQGRLLVASTFTHSYPFCWRSDTPLIYKAVPSWFVRVE
+HMVDQLLRNNDLCYWVPEFVREKRFGNWLKDARDWAISRNRYWGTPIPLWVSSDFEEVVC
+IGSIAELEELSGTKISDLHRESIDHLTIPSRCGKGLLHRVSEVFDCWFESGSMPYAQIHY
+PFENKREFEDAFPADFIAEGIDQTRGWFYTLLVLATSLFGRPPFKNVIVNGLVLASDGQK
+MSKRKKNYPDPLSVIDKYGADALRLYLINSPVVRAENLRFKEEGVRDVLKDVLLPWYNAY
+RFFIQNVLRLQKEEEVEFLYNENMVKESTNITDRWVLSFMQSLVGFFETEMAAYRLYTVV
+PRLVKFVDILTNWYVRMNRRRLKGENGVEDCVKALETLFSVLLSLCRLMAPYTPFLTELM
+YQNLKTLIDPVSVQDKDTFSIHYLMLPHVREELIDKKTESAVSRMQSVIELGRVIRDRKT
+IPIKYPLKEIVVIHQDQEALNEVKSLEKYIIEELNVRKVTLSTDKNKYGVRLRAEPDHMV
+LGKRLKGAFKMVMTSIKQLSNEELERFQENGTIVVEGHELHEEDIRLIYTFDQATGGTTQ
+FEAHSDAQVLVLLDVTPDQSMVDEGMAREVINRIQKLRKKCNLVPTDEITVYYKATSEGK
+YLNNVIESHTDFIFATIKSPLKPYPVPISDEILIQEKMQLKGSDLEITLTKGSSTHGPAC
+AYVNLNICTNGSEQGGVLLLENPKGDNRLDLVKLKNVVTSIFGVKNTELAVLLGETEIKN
+QTNLLNLSGKTLCVTAGSALSVVDSPSTLLCQYINLQLLNADPQECLMGTVGTLLLENPL
+GQNGLTHQGLLYEAAKMFGLRSRKLKLFLNETQTEEITEEIPMKTLNTKTVYVSVLPTTA
+DF
+>tr|A7MBA4|A7MBA4_BOVIN PAG17 protein OS=Bos taurus OX=9913 GN=PAG17 PE=2 SV=1
+MKWLVLLWLVAFSECIVKIPLRQVKTMRKTLSGKNTLNNFLKEHTYSLSQISSRGSNLTI
+HPLRNIMDMLYVGNITIGTPPQEFQVVFDTGSSDLWVPSVFCQSLACATKVMFIHLYSST
+FRHTQKVFNIKYNTGRMKGLLVYDTVRIGDLVSTDQPFCISLAEVGFDGIPFDGVLGLNY
+PNMSFSGAIPIFDNLKNEGAISEPVFAFYLSKDKREGSVVMFGGVDHRYYKGELNWVPLI
+QAGGWTVHVDRISMKRKIIACSGGCEALVDTGTALIKGPRRLVNNIQKLIGTTPRGSKHY
+VSCSVVNTLPSIIFTINGINYPVPARAYILKDSESHCYTAFKENTVRTSRETWILGDVFL
+RLYFSVFDRGNDRIGLARAV
+>tr|A0A140T848|A0A140T848_BOVIN Breast cancer anti-estrogen resistance protein 3 OS=Bos taurus OX=9913 GN=BCAR3 PE=4 SV=1
+MAAGKFASLPRNMPVNHQFPLASSMDLLSSKSPLVERRADAYQDVSIHGTLPRKKKGPPP
+IRSCDNFSHVGTLPHSRSPRHHSPLIQDVIQEQPLQDWKGEAFTFRDQHLLDPTLEYVKF
+SKERHVMDRTPERLKKELEEELLLSSEDLRSHAWYHGRIPRQVSENLMQRDGDFLVRDSL
+SSPGDFVLTCQWKNLPQHFKIRRTVVRLSEAYSRVQYQFEMESFDSIPGLVRCYVGNRRP
+ISQQSGAIIFQPVNRTVPLRCLEERYGASSPDRAHEGSLTEGRPDAAKRLSLTVGGTQAR
+EQGLPRGNLLRNKEKSGSQPACLDHMQDRRALSLKAHQSESYLPIGGKLTPQSPSVGTSP
+CPNSPVFRTGSEPTLSPAVVRRVSSDARPGEALRGSDSQLCPKPPPKPCKAPLLKAPPSP
+SIWLNSEANYCELNPALAASYDGASRLPFCAQDSYVELLTAKQNGGLGTRNSDTSYLILD
+DDDRTRPWKPPPAPGDTVGEDQDTFVMPLLETTSSFKPNDFESKLLPPENKPLETSMLKR
+AKELFTNSDPKVIAQHLLSVDCKVARILEVSEEMRKNMGVNSGLELITLPYGHQLRLDII
+ERHNTMAIGIAVDILGCTGSLEDRAATLNKIIQVAVELKDSMGDLYSFSAIMKALEMPQI
+TRLEKTWTALRHQYTQTAILYEKQLKPFSKVLHEGRESTCVPPNNVSVPLLMPLVTLMER
+EAVTFEGTDMWEKNDESCEIMLNHLATARLMAEAADSYRMNAEKILAGFQPDEEMSEVFK
+TEFQMRLLWGSKGAQVNQTERYEKFNQILTALSRKLEPPPVKQMEF
+>tr|Q3MHK5|Q3MHK5_BOVIN Nicolin 1 OS=Bos taurus OX=9913 GN=NICN1 PE=2 SV=1
+MSRVSVPCHVKGTVALQVGDVRTSQGRPGVLVIDVTFPSVAPFELQEIMFKNYYTAFLTI
+RVRQHTSTHTPAKWVTCLRDYCLMPDPHSEEGAQEYVSLFKHQMLCDMAQVLELRLILRQ
+PSPLWLSFTVEELQIFQQGPKSPSMTFPKWLSHPVPCEQPTPLLEGLPDPSRVSSEVQQM
+WALTEMIRASHTSTRIGRFDVDGCYDLNLLSYT
+>tr|A4FV44|A4FV44_BOVIN FCH domain only 2 OS=Bos taurus OX=9913 GN=FCHO2 PE=2 SV=1
+MVMAHFVENFWGEKNSGFDVLYHNMKHGQISTKELADFVRERATIEEAYSRSMTKLAKSA
+SNYSQLGTFAPVWDVFKTSTEKLANCHLDLVRKLQELIKEVQKYGEEQVKSHKKTKEEVA
+GTLEAVQAIQSITQALQKSKENYNTKCVEQERLKKEGATQREIEKAAVKSKKATDTYKLY
+VEKYALAKADFEQKMTETAQKFQDIEQTHLIHIKEIIGSLSNAIKEIHLQIGQVHEEFIN
+NMANTTVESLIQKFAESKGTGKERPGLIEFEECDPASVVEGKLTSCNVWQLADSFCLLNR
+EAAAHWCWHVCGVM
+>tr|A6H749|A6H749_BOVIN MATN4 protein OS=Bos taurus OX=9913 GN=MATN4 PE=2 SV=1
+MKLSGLFPFMLLALGSLALWAVEGAENALKAGACPPRKTTQCLGDEKPKCRSDWQCPHKK
+KCCLDTCGTECLDPVNVTNPVKKKPGTCPLVHGRCLMLKPLNHCETDDQCVGTLKCCNAV
+CGKVCLSPMKA
+>tr|A6QLW0|A6QLW0_BOVIN BUB1 mitotic checkpoint serine/threonine kinase OS=Bos taurus OX=9913 GN=BUB1 PE=2 SV=1
+MDNPENVFQMFEAHMQSYKGDDPLGEWESYMQWVEENFPENKEYLTTLLEHLMKEFLDKK
+RYHNDPRFINYCLQFAKCNSDLHQFFEFLYNHGIGTQAAPLYVAWAGHLEAQGERQHANA
+VFRRGIQNQAEPRELLQQQYRMFQTRLTETHLPTQVRTSEPLHDAQILNQMVTSSSNPGS
+NPACISKNQGPQLSGATPAAGDKGEQRVITISKSEYSAHPSVADKADVQQVVMYCKEKLI
+RGESEFSFEELRAQKYNLRRKHEQWVNEERHYMKRKEANALEEQLLKQKMDELHKKLHQV
+VEMSQENLPSSQGTSEVSPACLEPHTDSQQELRAPGLPAISQQTSKNVDEKPREASSVPR
+MANAATTALVSPAANQGVACPAPLAGQHVTDAVFSGGSRDARCVNKSTHEFRPQSGAELK
+EGCETQKVVNTSSFHTTPNTSLGLVQATPSKAQPSPTVHTKEALGFIMNMFQAPTLPDIS
+DDKEEWPSLSQNEFEAQFQKNAPSSGAWGVNKIISSLSSAFPVFEDGNKENYGLPQLKNK
+PAGARTFGERSVSRFPAKPNEVPHTDEFLDDSTVWGVRCNKSLAPSPKSPGDFRSAAQLA
+STPFHRLPADCVHPPEDKENMVANQHTRVALDSCERNKVEPLKERKCSPVEETSPDPVCP
+ADGPSASLLHLGQPAVAVLMSEAEQGLKACKVTDPDFATAEDPAEASAELQAELMPAGPL
+GNVDAPNFTVENPWDEELILKLLSGLSKPVSSYPNTYEWPCKLPAIKPKTEFQLGSLLVY
+VDHFIGQGAFAQVYEVTHGDVNNSKNKEKFALKVQKPPNLWEFYIGTQLMERLKPSMQHM
+FIKFYSAHLFQNGSVLVGDLYSYGTLLNAINLYKNTPEKVMPQALVLAFAIRMLCMIQQV
+HDCEIIHGDIKPDNFILGNRFLEQDNKDDDLSNGLVLIDLGQSIDMKLFPKGTAFTGKCE
+TSGFQCIEMLSNKPWNYQIDYFGVAATIYCMLFGTYMKVKNEGGIWKPEGLFRRLPHLDM
+WNEFFHIMLNIPDCHHLPSLDLLRQKLKKTFQEHYTSKIKTLCNRLIVLLLEYKRSRK
+>tr|A6QQL4|A6QQL4_BOVIN UBFD1 protein OS=Bos taurus OX=9913 GN=UBFD1 PE=1 SV=1
+MAAAGAPDGMEEPGMDTEAETEAPARSLNCVEAEAAAGAAAEDSCAARGNLQPAPAQPPG
+DPAAQASVSNGEDAGGGAGRELVDLKIIWNKTKHDVKFPLDSTGSELKQKIHSITGLPPA
+MQKVMYKGLVPEDKTLREIKVTSGAKIMVVGSTINDVLAVNTPKDAAQQDAKAEENKKEP
+LCRQKQHRKVLDKGKPEDVMPSVKGAQERLPAVPLSGMYNKSGGKVRLTFKLEQDQLWIG
+TKERTEKLPMGSIKNVVSEPIEGHEDYHMMAFQLGPTEASYYWVYWVPTQYVDAIKDTVL
+GKWQYF
+>tr|A7MBD2|A7MBD2_BOVIN MRM1 protein OS=Bos taurus OX=9913 GN=MRM1 PE=2 SV=1
+MALPPAVGTATWPCCSLPLTRPFSQATRRWGRPGGEELGRLPLDDLASMPRSAGGPELLF
+GLSPCLLALRAARRRVARLLLQACRSGLQGQRAELLRAAEERAIPVLRPRRRELDVLCRH
+QVHQGVCMEVSPLRPRPWAEAEVARPGDDPQQLWLVLEGLQDPRNLGAVLRSAHFLGVDK
+VITSRRNSCPLTPVVSKASAGAMEVMDVFSTDDLAGFLQARARQGWLVAGTVGCPGPEIA
+LSSKIPVTRCLDFLWDRPTLLVLGNEGSGLSRAVQASCQLLLTILPGRQLPPGLESLNVS
+VAAGILLHSICSQRKGFPVERRREQLLHDPQDPSASSEAARVAQHPGLSSGSEKKRQDGG
+>tr|A0A3Q1M6N4|A0A3Q1M6N4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=ACTR3B PE=3 SV=1
+MERFMEQVIFKYLRAEPEDHYFLMTEPPLNTPENREYLAEIMFESFNVPGLYIAVQAVLA
+LAASWTSRQVGERTLTGIVIDSGDGVTHAIPVAEGYVIGSCIKHIPIAGRDITYFIQQLL
+REREAGIPPEQSLETAKAIKEKYCYICPDIVKEFAKYDVDPRKWIKQYTGVNAINQKKFV
+IDVGYERFLGPEIFFHPEFANPDFMESISEVVDEVIQNCPIDVRRPLYKNVVLSGGSTMF
+RDFGRRLQRDLKRVVDARLKLSEELSGGRIKPKPVEVQVITHHMQRYAVWFGGSMLASTP
+EFLQVCHTKKDYEECGPSICRHNPVFGVMS
+>tr|Q3SZ98|Q3SZ98_BOVIN Guanine nucleotide-binding protein subunit gamma OS=Bos taurus OX=9913 GN=GNG10 PE=2 SV=1
+MSSGASVSALQRLVEQLKLEAGVERIKVSQAAAELQQYCMQNACKDALLVGVPAGSNPFR
+EPRSCALL
+>tr|Q3SWY7|Q3SWY7_BOVIN Splicing factor 3a subunit 3 OS=Bos taurus OX=9913 GN=SF3A3 PE=2 SV=1
+METILEQQRRYHEEKERLMDVMAKEMLTKKSTLRDQINSDHRTRAMQDRYMEVSGNLRDL
+YDDKDGLRKEELNAISGPNEFAEFYNRLKQIKEFHRKHPNEICVPMSVEFEELLKARENP
+SEEAQNLVEFTDEEGYGRYLDLHDCYLKYINLKASEKLDYITYLSIFDQLFDIPKERKNA
+EYKRYLEMLLEYLQDYTDRVKPLQDQNELFGKIQNEFEKKWENGTFPGWPKETSSALTHA
+GAHLDLSAFSSWEELASLGLDRLKSALLALGLKCGGTLEERAQRLFSTKGKSLESLDTSL
+FAKNPKTKGTKRDTERNKDIAFLEAQIYEYVEILGEQRHLTHENVQRKQARTGEEREEEE
+EEQISESESEDEENEIIYNPKNLPLGWDGKPIPYWLYKLHGLNINYNCEICGNYTYRGPK
+AFQRHFAEWRHAHGMRCLGIPNTAHFANVTQIEDAVSLWAKLKLQKASERWQPDTEEEYE
+DSSGNVVNKKTYEDLKRQGLL
+>tr|A5D7J6|A5D7J6_BOVIN CALR protein OS=Bos taurus OX=9913 GN=CALR PE=2 SV=1
+MLLPVPLLLGLLGLAAADRTVYFKEQFLDGDGWTERWIESKHKPDFGKFVLSSGKFYGDQ
+EKDKGLQTSQDARFYALSARFEPFSNKGQTLVVQFTVKHEQNIDCGGGYVKLFPAGLDQT
+DMHGDSEYNIMFGPDICGPGTKKVHVIFNYKGKNVLINKDIRCKDDEFTHLYTLIVRPNN
+TYEVKIDNSQVESGSLEDDWDFLPPKKIKDPDAAKPEDWDDRAKIDDPTDSKPEDWDKPE
+HIPDPDAKKPEDWDEEMDGEWEPPVIQNPEYKGEWKPRQIDNPEYKGIWIHPEIDNPEYS
+PDSNIYAYENFAVLGLDLWQVKSGTIFDNFLITNDEAYAEEFGNETWGVTKAAEKQMKDK
+QDEEQRLHEEEEEKKGKEEEEADKDDDEDKDEDEEDEDEKEEEEEEDAAAGQAKDEL
+>tr|Q50JB9|Q50JB9_BOVIN Beta-defensin 103B OS=Bos taurus OX=9913 GN=defb300 PE=2 SV=1
+MRLYYLLFALLFLFLLPVPGNGGIISGLQRYYCKIRSGRCALIGCLPKEEQIGRCSLSGR
+KCCRKKK
+>tr|Q08DN4|Q08DN4_BOVIN Aurora kinase B OS=Bos taurus OX=9913 GN=AURKB PE=2 SV=1
+MAQKENAYPWPYGRQTAQPGLNTLPQRVLRKEPVTPSALVLMSRSNAQPTAAPGQKVVEN
+SSGTPNIPKRSFTIDDFEIGRPLGKGKFGNVYLAREKKSHFIVALKVLFKSQIEKEGVEH
+QLRREIEIQAHLQHPNILRLYNYFYDRRRIYLILEYAPRGELYKELQKSRTFDEQRTATI
+MEELADALTYCHAKKVIHRDIKPENLLLGLRGELKIADFGWSVHAPSLRRKTMCGTLDYL
+PPEMIEGRTHNEKVDLWCIGVLCYELLVGNPPFESASHNETYRRIVKVDLKFPPSVPLGA
+QDLIYKLLKHNPSERLPLAQVSAHPWVRTHSRRVLPPSAPQSVP
+>tr|A6H7I6|A6H7I6_BOVIN Retinoid X receptor beta OS=Bos taurus OX=9913 GN=RXRB PE=2 SV=1
+MSWAARPPFLPQRHAAGQCGPVGVRKEMHCGVASRWRRRRPWLDPAAAAAAAAAGGQQAP
+EPEPGEAGRDGMGDSGRDSRSPDSSSPNPLPQGAAPPSPPGPPLPPSAAASLGGSGAPPP
+PSMPPPPLGSPFPVISSSMGSPGLPPPAPPGFSGPVSSPQINSTVSLPGGGSGPPEDVKP
+PVLGVRGLHCPPPPGGPGAGKRLCAICGDRSSGKHYGVYSCEGCKGFFKRTIRKDLTYSC
+RDNKDCTVDKRQRNRCQYCRYQKCLATGMKREAVQEERQRGKDKDGDGEGAGGAPEEMPV
+DRILEAELAVEQKSDQGVEGPGGTGGSGSSPNDPVTNICQAADKQLFTLVEWAKRIPHFS
+SLPLDDQVILLRAGWNELLIASFSHRSIDVRDGILLATGLHVHRNSAHSAGVGAIFDRVL
+TELVSKMRDMRMDKTELGCLRAIILFNPDAKGLSNPSEVEVLREKVYASLETYCKQKYPE
+QQGRFAKLLLRLPALRSIGLKCLEHLFFFKLIGDTPIDTFLMEMLEAPHQLA
+>tr|Q0R346|Q0R346_BOVIN Protein O-fucosyltransferase 1 OS=Bos taurus OX=9913 GN=POFUT1 PE=2 SV=1
+MGAAAWAPSLLPPRVSLLLLLLPLPGLPVGSWDPAGYLLYCPCMGRFGNQADHFLGSLAF
+AKLLNRTLAVPPWIEYQHHKPPFTNVHVSYQKYFKLEPLQAYHRVISLEDFMEKLAPTHW
+PPEKRVAYCFEVAAQRSPDKKTCPMKEGNPFGPFWDQFHVSFNKSELFAGISFSASYKDQ
+WIQRTLIPHFHSKHQSPHWEQRSASVWLLTACHVSKDVASRSNQDGCVS
+>tr|Q3SZT2|Q3SZT2_BOVIN N-ribosyldihydronicotinamide:quinone reductase 2 OS=Bos taurus OX=9913 GN=NQO2 PE=2 SV=1
+MAGKKVLIVYAHQEPRSLNGSLKDVAVAELSQQGCSVIVSDLYAMNFEPRATGKDITGTL
+SNPGFFNYGVEAHKAYKKQSLSSDIIEEQKKLQEANLVIFQFPLYWFSVPAVLKGWMDRV
+LCQGFAFDFPGSYDDGFLKGKLAILSLTTGGTASMFSKTGVNGDFRYFLWPLQHGTLHFC
+GFKVLAPQISFGPEMASEEERKRMVASWAQRLKTLWEEEPIQCSPPWYFGQ
+>tr|V9VGN8|V9VGN8_BOVIN Sperm flagella 2 protein OS=Bos taurus OX=9913 GN=SPEF2 PE=2 SV=1
+MSEILCQWLNQELKVSQTVSPKSFAKAFSSGYLIGEVLHKFALQDDFADFSESRISSAKL
+NNFSRLEPTLHLLGVQFDQNVAHNIITEKPGAATKLLYQLYIALQRKKKGVQTGVEMPTV
+QPLMSTKVQNMKSEAFRDQRLSRRRQNEIMAKIQAAIIQIPKPTSNRTLKTLDAQKLMKK
+KKEAEDVANEIKKFEALIKKDLQAKESASKTSLDTAGQTTTDLLNTYSDDDYIKKIQKRL
+EEDAFAREQREKRRRRLLMDQLIAHEAQEEAYREEQLINRLMRQSQQERRIAVQLMHVRH
+EKEVLWQNRIFREKQYEERRLKDFQDALDREAALARQAKIDFEEQALRDKEIHEQIAIER
+AQARYEKHYSICAEILDQILDLSTKVADYRLLTNNLIPYKLMHDWKELFFHGKPIYEQAS
+LRHLPTKLSAEHLIELEKRNLLDNNDYEEYKNMVGEWALPEEMVENLPPSNNCILGHVIH
+RLIESSLPPQVESTVPELPSFAIKGCLLGKTFSGKTTALKALEKSFPIQVLSIDTLVQEA
+IQAFHDKESVSGAHGLQKAPEEKALPVRQESDEDNQACTSSPKDVQDGSLAEALPKKEDK
+TRLDTDARKAAKAEEVVASDSFSELTVRAQLGAKSERLLKKGKSISDILLVSIMVNAINQ
+IPMYKGWILDGFPMTLNQAKLLEEALTGYNRNLIELEGKKSHTSTLAVDPTASKEVPLPP
+SAFDFVMLLDISDNSSLNRMNDIMAEAFSSETPLEDINQRVAAKNKDTDEDQNLRDQIQH
+RIVGFLDNWPSLEQWFTEPENILIKINAEVDKQSLCQKVKEMFMTEIMKKENKGSPRGKS
+LGGKIPLKKSPAESTDVSPVPVVPAPSKPGSEEWVYVNEPIPEEIPSFLVPYWKLIENSY
+INSIKTVLRQLREDQHAVLAYLYDIRTGFQQFLRRPDHKQDFVSQWQADFNSLPDDLWDD
+EETKAELHQRVNDFRDRLWDICDARKEEAEQERLDIINESWLQDSTGIAMNHFFSLMQAE
+LNRFQDTKRLLQDYYRAMECKIPMDDTKRFTRVPLVQLDSKDILESQLRIPLVPRRSISP
+ESALSKPKTKSILKGKIDYMLESVELNFEADEKMVMDTWQQSSLAISHMVAAEIHQRLME
+EEKENQPAETKEKLPQAAANKKVKKEPPPPPPPPKKKKEDKKGKGKSPPMTEAAPVVLPA
+EETEEVEVKNELKLRIKEEHLAALQFEEIATQFRLELIKVKALAFLEELVIKAVDVYRLM
+EKWLGERYLNEMASVEKLTGVARYHIETSTKIQNELYLDQEDFFINGDIKVFPDPPPPVR
+PPPVEKEENGTLTIEQLDNLRDQFLDIAPKGIIGNKAFADLLLDLVTLNLGTNNFPSSWM
+NLTQPELQELASLLVTNSESVDWRKFLLVVALPWPIPLEEELLETLQRFKALDAEQLGTI
+TYEQYKQAGLWFTGDEDIKIPENPLEPLPFNRQEHLIEFFFRLFADCEKDPPQLDYTQML
+LYFACHPDSTEGVYRALSVAIGTHVFQPIEMPHPVAEKTSSFTDMSPIEEYPELEDNFMS
+EERELQEDGEEKEEEIPENANTEMISMQTLLQVFRGGNEVLDANRFASHQKLENTYLENF
+IKVFQDLGSKNLEPIEVAVLLKHPFIQDLISSYPDYKIPDVKIILQRSEHVQGSDEERSP
+SRLTEEKK
+>tr|Q0P5I7|Q0P5I7_BOVIN Ubiquitin-like modifier-activating enzyme 3 OS=Bos taurus OX=9913 GN=UBA3 PE=2 SV=1
+MADGEEPEKKRRRIEELLAEKMAVDGGCGDTGDWEGRWNHVKKFLERSGPFTHPDFEPST
+ESLQFLLDTCKVLVIGAGGLGCELLKNLALSGFRQIHVIDMDTIDVSNLNRQFLFRPKDV
+GRPKAEVAAEFLNDRIPNCNVVPHFNKIQDFNDTFYRQFHIIVCGLDSIIARRWINGMLI
+SLLNYEDGVLDPSSIVPLIDGGTEGFKGNARVILPGMTACIECTLELYPPQVNFPMCTIA
+SMPRLPEHCIEYVRILQWPKEQPFGEGVPLDGDDPDHIQWIFQKALERASQYNIRGVTYR
+LTQGVVKRIIPAVASTNAVIAAVCATEVFKIATSAYIPLNNYLVFNDVDGLYTYTFEAER
+KENCPACSQLPQNIQFSPSAKLQEVLDYLTNSASLQMKSPAITATLEGKNRTLYLQSVTS
+IEERTRPNLSKTLKELGLVDGQELAVADVTTPQTVLFKLHFTS
+>tr|A2VDN7|A2VDN7_BOVIN Heterogeneous nuclear ribonucleoprotein U OS=Bos taurus OX=9913 GN=HNRNPU PE=1 SV=1
+MSSSPVNVKKLKVSELKEELKKRRLSDKGLKAELMERLQAALDDEEAGGRPAMEPGNGSL
+DLGGDSAGRSGAGLEQEAAAGGDDDEEEEEEEEEGIAALDGDQMELGEENGAAGAADSGP
+MEEEEAASEDENGDDQGFQEGEDELGEEEEGAGDENGHGEQQPQPPAAPQQQPQQQRGAA
+KEAAGKSSGPTSLFAVTVAPPGARQGQQQAGGKKKAEGGGGGGRPGAPAAGDGKTEQKGG
+DKKRGVKRPREDHGRGYFEYIEENKYSRAKSPQPPVEEEDEHFDDTVVCLDTYNCDLHFK
+ISRDRLSASSLTMESFAFLWAGGRASYGVSKGKVCFEMKVTEKIPVRHLYTKDIDIHEVR
+IGWSLTTSGMLLGEEEFSYGYSLKGIKTCNCETEDYGEKFDENDVITCFANFESDEVELS
+YAKNGQDLGIAFKISKEVLAGRPLFPHVLCHNCAVEFNFGQKEKPYFPIPEDYTFIQNVP
+LEDRVRGPKGPEEKKDCEVVMMIGLPGAGKTTWVTKHAAENPGKYNILGTNTIMDKMMVA
+GFKKQMADTGKLNTLLQRAPQCLGKFIEIAARKKRNFILDQTNVSAAAQRRKMCLFAGFQ
+RKAVVVCPKDEDYKQRTQKKAEVEGKDLPEHAVLKMKGNFTLPEVAECFDEITYVELQKE
+EAQKLLEQYKEESKKALPPEKKQNTGSKKSNKNKSGKNQFNRGGGHRGRGGFNMRGGNFR
+GGAPGNRGGYNRRGSMPQRGGGGGGSGGIGYPYPRGPVFPSRGGYSNRGNYNRGGMPNRG
+NYNQNFRGRGNNRGYKNQSQGYNQWQQGQFWGQKPWSQHYHQGYY
+>tr|Q2YDD5|Q2YDD5_BOVIN Cyclin B1 interacting protein 1 OS=Bos taurus OX=9913 GN=CCNB1IP1 PE=2 SV=1
+MSLCEDMLLCNYRKCRVKLSGYAWVTACSHIFCDQHGSGEFSRSPAICPACNSTLSGKLD
+IVRTELSPSEEYKAMVLAGLRPEIVLDISSRALAFWTYQVHQERLYQEYNFSKAEGHLKQ
+MEKIYTQQIQSKDVELTSMKGEVTSMKKVLEEYKKKFSDISEKLMERNRQYQKLQGLYDS
+LRLRNITIANQDSTLEPSMIAQSGVFGFPLGNNSKFPLDGTPVRNRGGGDGDFQFRPFFV
+GSPTAPEPANSFFSFASPNNELEQQPVSSRAFKVKRI
+>tr|Q1LZ92|Q1LZ92_BOVIN Core histone macro-H2A OS=Bos taurus OX=9913 GN=H2AFY2 PE=2 SV=1
+MSGRSGKKKMSKLSRSARAGVIFPVGRLMRYLKKGTFKYRISVGAPVYMAAVIEYLAAEI
+LELAGNAARDNKKARIAPRHILLAVANDEELNQLLKGVTIASGGVLPRIHPELLAKKRGT
+KAKSETILSPPPEKRGRKATSGKKGGKKSKAAKPRTSKKSKPKDSDKEGTSNSTSEDGPG
+DGFTILSSKSLVLGQKLSLTQSDISHIGSMRVEGIVHPTTAEIDLKEDIGKALEKAGGKE
+FLETVKELRKSQGPLEVAEAAVSQSSGLAAKFVIHCHIPQWGSDKCEEQLEETIKNCLSA
+AEDKKLKSVAFPPFPSGRNCFPKQTAAQVTLKAISAHFDDSSASSLKNVYFLLFDSESIG
+IYVQEMAKLDAK
+>tr|E1BEE4|E1BEE4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=GBP4 PE=4 SV=3
+MASEIHMPGPECLIKNINGQLLVNREALKILSAIKQPVVVVAIVGLYRTGKSYLMNKLAG
+KNKGFSVGSTVQSHTKGIWMWCVPHPKKPDHTLVLLDTEGLGDVEKGDNQNDSWIFALAV
+LLSSTFVYNSIGTINQQAMDQLHYVTELTRRIRAKSSPDKHEVLDSANFVSFFPDFVWTL
+RDFSLELVADGQPITADEYLEYSLKLKQGNDKKTKNFNEPRLCIRKFFPEKKCFIFDRPA
+HRKYLIHLEQLQEEDLNPKFREQVADFCFYILSHSKAKTLSGGITVNGPRLESLVLTYVN
+SISSGDLPCMESAVLALAEIENLAAVQKAIAHYDQQMDQKLKLPTETLQELLDLHRATEK
+EAIEVFMKNSFKDVDQVFQKKLGDKLEAKLDDFCKQNMKASSDYCMALIQDICHPLYEDV
+KQGTFFKPGAYYLFIKKMNEPKNKYHQVPRKGVQTGETLRKYLDSKEDVADALLQIDQLL
+TEKEKEIEVERMKYEAANKILEEMQKKNEQMMREKEASYQEHVKQLTEKMEKERAQLIAE
+QERVLALQVLMASGSIKMDPIYLVENRKSQLSVNPKALKILHQISQPLVVVAITGLYQTG
+KSYLMNRLAGQNHGFRLGSTVRSETKGIWMWCVPHPSKENHTLVLLDTEGLGNVEKEDSK
+NDLWIFALAVLLSSTLIYNSMNSINDQALQQLHYVTELTELIRSKSSPSSDKVEDSARFV
+SFFPDFIWTVRDFMLELKLDGSSITEDEYLENALKLIPDKGPQIQNLNLPRECIRKFFPK
+RKCFVFDRPASNKKQLLHLEEMPDNQLDEDFQKQSKDFCSYIYTHAKTKTLKEGITVTGK
+RLGPLVEAYVNAINSGSVPCLENAVTTLAQLENSAAVQKAADHYSEQMAQRLSLPIDALQ
+ELLEVHTACEKEAIAVFMEHSFKDENQDFQKKLAIMIDKKKDDFLLQNEEASVRYCQTEF
+KKLSEPLMTSISEGTFLVPGGHHCYLEARNKFEENYKLIPRKGVKANQVLQSFLQSQAEV
+EAAILKVDQALTDADKAMAEERTKRQAAEMKQDLLTQELNDEKQKMEAQERSHKENIAQV
+KEKLEMERENLLREQEAVLAHKLKIQEEMLTEGFERKANELHEEILQLQKEIERTKDDRL
+LENLLNIRVGFQEERDTGGALGTSQGS
+>tr|A5D7T9|A5D7T9_BOVIN A-kinase anchoring protein 10 OS=Bos taurus OX=9913 GN=AKAP10 PE=2 SV=1
+MRGAGPSPRQSPRALRPDPGPAMSFFRRKVKSKEQEKTPDVKSVKASISVHSPQKSTKNH
+ALLEAAGPSHVAINAISANMDSFSSSRTATLKKQPSHMEAAHFGDLGRSCLDYQTQEAKS
+SLSKTLEQVLHDTMVLPYFIQFMELRRMEHLVKFWLEAESFHSTTWSRIRAHSLNTVKQS
+SLAEPVSPSKKPETTAAFVTESLNRRLEDSGSAHLEGIDLNNRTSNSQNHLLSQESDSAH
+LLHLETARTGTHWGSLETQESSRLMVASRSSPSSPLKELSGKLMKSIEQDAVNTFTKYIS
+PDAAKPIPITEAMRNDIIAKICGEDGQVDPNCFVSAQSIVFSAMEHEHFSEFLRSHHFCK
+YQIEVLTSGTVYLADILFCESALFYFSEYMEKEDAVNILQFWLAADNFQSQLAAKKGQYD
+GQEAQNDAMILYDKYFSLQATHPLGFDDVVRLEIESNICREGGPLPNCFTTPLRQAWTTM
+EKVFLPGFLSSNLYYKYLNDLIHSVRGDEFLGGSGSLTAPGSMGPPDDSHPGAADSATSQ
+SSVKKASVKILKNFDEAIIVDAASLDPESLYQRTYAGKMTFGRVSDLGQFIRESEPEPDV
+KKSKGPGGASLEDC
+>tr|Q3T076|Q3T076_BOVIN Ribosomal protein S14 OS=Bos taurus OX=9913 GN=RPS14 PE=1 SV=1
+MAPRKGKEKKEEQVISLGPQVAEGENVFGVCHIFASFNDTFVHVTDLSGKETICRVTGGM
+KVKADRDESSPYAAMLAAQDVAQRCKELGITALHIKLRATGGNRTKTPGPGAQSALRALA
+RSGMKIGRIGNTKCHSALRSVMLKVRSCGGNRRTAPL
+>tr|A0A0N4STN2|A0A0N4STN2_BOVIN Cytochrome c oxidase subunit 7B, mitochondrial OS=Bos taurus OX=9913 GN=COX7B PE=4 SV=1
+MFNLRMFPLAKNALSRLRVQSIQQAVARQIHQKRAPDFHDKYGNAVLASGATFCVAVWVY
+MATQIGIEWNPSPVGRVTPKEWREQ
+>tr|A1A4K4|A1A4K4_BOVIN Lethal giant larvae homolog 1 (Drosophila) OS=Bos taurus OX=9913 GN=LLGL1 PE=2 SV=1
+MMKFRFRRQGADPQREKLKQELFAFHKTVEHGFPNQPSALAFDPELRIMAIGTRSGAVKI
+YGAPGVEFTGLHRDAATVTQMHFLPGQGRVLTLLDDDSLHLWEIVHHDGCAHLEEAIHFQ
+APSRPGFDGASGLPSLAHITVVLLVAAGDMAALGTEGGSIFFLDVPTLTLLEGQTLGPDE
+VLRSVPDDYRCGKALGPVESLQGHLRDPTKILIGYSRGLLVIWNQAARCAERIFLGNQQL
+ESVCWERSGHTVVSSHSDGSYAIWAADTGDSPTAQPTVATTPYGPFPCKAINKVLWRSCA
+SGEHFVIFSGGMPRASYGDRHCVSVLQAETLVTLDFTSRVIDFFTVHSTRPEDEFDEPQA
+LAVLLEEELVVLDLQTPGWPAVPAPYLAPLHSSAITCSAHVANVPAKLWARIVSAGEQQS
+PQPASGASSWPITGGRNLAQEPSQRGLLLTGHEDGTVRFWDASGVALRPLYKLCTAGLFQ
+TDCEHADSLAQAAEDDWPPFRKVGCFDPYSDDPRLGVQKVALCKYTAQMVVAGTAGQVLV
+LELSDTPAEQTVGVASLDLLQDREGFTWKGHERLSPRLGPLPWPAGFQPRALVQCLPPAA
+VTAVTLHAEWGLVAFGTSHGFGLFDYLRRSPVLARCTLHPSDSLAMEGPLSRVKSLKKSL
+RQSFRRIRKSRASGKKRTVAGSKLQEANAQLAEQAGPQDVEVTPVQRRIEPRSADDSLSG
+VVRCLYFADTFLRDAAHHGPTMWAGTNSGSVFAYALEVPAAVAGGEKRPERAVEAVLGKE
+VQLMHRAPVVAIAVLDGRGRPLPEPYEASRDLAQAPDMQGGHAVLIASEEQFKVFTLPKV
+SAKTKFKLTAHEGCRVRKVALATFASVACEDYTETCLACLTNLGDVHVFSVPGLRPQVHY
+ACIRKEDISGIASCVFTRHGQGEAGRRLQSGLLGGGDVAPYCASCPLLGFYLISPSEFER
+FSLSARNITEPLCSLDVRWPRGATHISHRSRESPKLSQANGTPGIILAPQSCDGSPDPIC
+KEADTPEPPEAMLSPMSIDSATSADTTLDTTGDMTVEDVKDFLGSSEESEKNLRNLSEEE
+ARACAILIK
+>tr|Q0VCK2|Q0VCK2_BOVIN cAMP-dependent protein kinase inhibitor OS=Bos taurus OX=9913 GN=PKIB PE=2 SV=1
+MRTDSPKMTDVEPVVNNFASSARAGRRNAVPDIQGSTAAGGTLEELPVKLEALSVKEDVK
+KKDEETAQDQLEKPKDEGK
+>tr|A7Z077|A7Z077_BOVIN KIAA1551 OS=Bos taurus OX=9913 GN=RESF1 PE=2 SV=1
+MNWNAKPESVTLPPQYPKKQASFLEQGLVNTLSTTSQSSFHTGSNQEPCLFLSNSHPVSQ
+PLLNIRNHKTPPQIPISDLHSGTIVTSQTSVERITYANVKGPKQLSHDLQISSGVTPDVW
+LNSPMGSSTLSHTGATVSHQTGFGTNVPNVHALQNQFLTSDTYSMQLHMIPSNSGRVPIT
+YQGNTRLNLPLSEQQVDWAPQRASSGLTYQDYRPLPKQYNYSPRSFLQEPALQKQNAMSS
+VSFQVKNNQSPNPALTFKSKQIAAVPSYQYAVTQTDKRPPPPYDCRYASQSLQSTPRVVK
+QSSMEVPQSQEMHLPEMRKDFCRDFQQQWQNLNENFSMMGGSCNLKVNTSVNHPFNEPVR
+SSVTGAQALAQNNQERTVDSQNLTSNQALDTSATKEKLVRDIKTLVEIKKKFSDLARKIK
+INKSLLMAAGCIKTPNTSYSESAQNSGLSLKQTAKIQSEPQLTLVTPEIVEDKPPTVMES
+AEETNRPQRVLSSNLQDRNFNQVSSVSLNSACSEKLPIPEQVHDLEVVNSLKTSTVEVTQ
+APLNNTQLSSGNSVSIAQNVPTNSEVTFLPHSTSSEEYISKYPNKNRLILSLLTSGSKTQ
+KKLLKDTGECIHDSKLHNFEMNANTENTGNQLKTTETVNLPRTCNRNAKVADTSCLECKS
+FNGVSSNSGSRFSMELLATCLSLWKKQPSEPTKEKQDNESKTNITAIAVSKPAPICESSP
+FSPVGNSQNKIVNSSLETISSVVAQNYESSGTTTTKGIAVVSPLILSDVNTLSVKDTTSE
+ALPEMVYPVIKEGSVCSLQNKLTENTAALKINVNEPVTSTTGIMIFPLIEDKQSESTNTN
+SEGIPNTNQGKHNESEPDIQCPVSDQQTSYISKDSSSVGSDVLQIGSICSLVEGDTSYDS
+QIAEIFNLLPLQKVEPQKPLPNHQMMSSRQQNEHLENITESKDFDFKKDEFVQCTDVSNK
+ITDQSESLQLPALSPLKCVEAKSGILEEGSLEHITENESMANDTCSSAATQQDSYPQEAD
+TSCSYTEQDPTTDESLHDKTSILYLHDQLSELLKEFPYGIEPVNMHESSVVQQMANQISE
+AQTCGKTDCDSKGSTDQIQITILNTDQMKELFPEQDDQPSEVDKLTEPQKEKPVTKEEKQ
+CDPQACRVEERCASVPLDSEKDDIRCCALGWLSMVYEGVPQCQCNSIKKSDSKEKKQINP
+CSPSEAKSYKQGERTSDRDVPVALNSPPNNPPKSPLTSSVEKKHFPETKQSSNIKDKSKT
+ERNSSLRTEQELSGQLLSKGDKKLDSLQSHKRKRNLQFHEVNFNSANKITKFSQESLQRK
+FMAQNLGPLKPKMSFLTSKTKDLNMKNGSSVQSVSPEKRKLKSAGSKQKSLEERKLDEGI
+TLDSEIKRKKHDKQEQNKNVGGGAFKFCNFSTPNERAWIKEKTVSNVKSSGSKDSSSKMN
+RVLSPKEYLSRQKHKEALKKNYLKNSDSQYMRPSKLSVQVESSGKSNERPNGSVQTCKES
+LNIGTGHGKSIKTHHSKESKTYISRNIKGTVGGKQSDKMWIDRTKLDKNLNNINNEGELS
+QMSSQTKDQRKLYLNRVAFKCTERERICLTKLDNSPRKLKEKRPESKCKNPLPVKDTTEK
+LSMLEFKLCPDGVFKNTNTVEDQKDLQHTPRKEQAPVQGPV
+>tr|F1MI79|F1MI79_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=C25H16orf71 PE=4 SV=1
+MASPDEDGRPSLPNPWDAILEAVKHQLPSLDSDSSASDCEDEELFIFQRNQTVLIPDLSE
+ELADDPAGAWVTSSGSPPELAAVPVESAMEPWGEWNAWPRPQESAPLEGRAPSKSSSLLR
+MSAETPPRQDDDAGGTLNTSASQSPRQGPQGEATRSPQEAGLQTEPLGAASQAQEGSDAA
+SRKALRRERRKMIEKDILHKVTWDGRNPACPDASRGKEKACDAVEVPSEGPPGCLPVLSL
+QELEEWDLDQVLLSLTGREEDRGDGAPGAAWWAAGRLQGQDHTEPSTQDRLMERLSLLCA
+RQSRETCRAQPGYGTARERVGSSSSSGHLGRRPLGLSCPPARVLSVRRLRPLEIRPGPAL
+G
+>tr|E1BP61|E1BP61_BOVIN Solute carrier family 23 member 2 OS=Bos taurus OX=9913 GN=SLC23A2 PE=4 SV=3
+MMGIGKNTTSKSMEAGSSAEGKYEDEAKHPSFFTLPVVINGGATSSGEQDNEDTELMAIY
+TTENGIAEKSSLAETLDSTGSLDPQRSDMIYTIEDVPPWYLCIFLGLQHYLTCFSGTIAV
+PFLLADAMCVGYDQWATSQLIGTIFFCVGITTLLQTTFGCRLPLFQASAFAFLAPARAIL
+SLDKWKCNTTDVSVANGTTALLHTEHIWYPRIREIQGAIIMSSLIEVVIGLLGLPGALLK
+YIGPLTITPTVALIGLSGFQAAGERAGKHWGIAMLTIFLVLLFSQYARNVKFPLPIYKSK
+KGWTAYKLQLFKMFPIILAILVSWLLCFIFTVTDVFPPDSTKYGFYARTDARQGVLLVAP
+WFKVPYPFQWGLPTVSAAGVIGMLSAVVASIIESIGDYYACARLSCAPSPPIHAINRGIF
+VEGLSCVLDGIFGTGNGSTSSSPNIGVLGITKVGSRRVIQYGAALMLALGMIGKFSALFA
+SLPDPVLGALFCTLFGMITAVGLSNLQFIDLNSSRNLFVLGFSIFFGLVLPSYLRQNPLV
+TGITGIDQVLNVLLTTAMFVGGCVAFILDNTIPGTPEERGIRKWKKGVGKGSKSLDGMES
+YDLPFGMNIIKKYRCFSYLPISPTFAGYTWKGLGKSASSRSSDEDSQATV
+>tr|Q0VBW7|Q0VBW7_BOVIN Gonadoliberin OS=Bos taurus OX=9913 GN=GNRH1 PE=2 SV=1
+MKPTPKLLAGLILLILCVVGCSGQHWSYGLRPGGKRNAENVIDSFQEIAKEVDQPVEPKC
+CGCIVHQSHSPLRDLKAALESLIEEETGQRKI
+>tr|Q08DK2|Q08DK2_BOVIN ADP ribosylation factor GTPase activating protein 1 OS=Bos taurus OX=9913 GN=ARFGAP1 PE=2 SV=1
+MASPRTRKVLKEVRAQDENNVCFECGAFNPQWVSVTYGIWICLECSGKHRGLGVHLSFVR
+SVTMDKWKDVELEKMKAGGNARFREFLESQEDYDPCWSLQEKYSSRAAALFRDRVAALAE
+GKEWSLESSPAQSWTPPQPRTLLSSAHRASGQVQNSTASGDKAFEDWLNDDLGSYQGAQE
+NRYVGFGNTVPPPKREDDFLNSAMSSLYSGWSSFATGASRFASAAKEGATKFGSQASQKF
+WGSKQQPELASELGHSLNESVLKPAQEKGVGSRGWRDVTTFFSGRAEDSSDRPPEGPSYQ
+NSGGDNSQNTLDQSFWESFGSTDPARAHRSPSSDSWTCADASADKRSSDSWDVWGSGSAS
+ASNNRISDNSDGGEAWGGDGEGRAKVAKKATPPPTVDDGWDNQDW
+>tr|A0A3Q1LP34|A0A3Q1LP34_BOVIN [F-actin]-monooxygenase MICAL3 OS=Bos taurus OX=9913 GN=MICAL3 PE=4 SV=1
+MEESKNEATNRAHVLFDRFVQATTCKGTLKAFQELCDHLELKPKDHRSFYHKLKSKLNYW
+KAKALWAKLDKRGSHKDYKKGKVCTNTKCLIIGAGPCGLRTAIDLSLLGAKVVVIEKRDA
+FSRNNVLHLWPFTIHDLRGLGAKKFYGKFCAGAIDHISIRQLQLILLKVALILGIEIHVN
+VEFRGLVEPPEDQENERIGWRALVHPKTHPVSEYEFEVIIGGDGRRNTLEGFRRKEFRGK
+LAIAITANFINRNTTAEAKVEEISGVAFIFNQKFFQELREATGIDLENIVYYKDDTHYFV
+MTAKKQSLLDKGVILHDYADTELLLSRENVDQEALLSYAREAADFSTQQQLPSLDFAINH
+YGQPDVAMFDFTCMYASENAALVREHNGHQLLVALVGDSLLEPFWPMGTGIARGFLAAMD
+SAWMVRSWSLGTSPLEVLAERESIYRLLPQTTPENVSKNFSQYSIDPVTRYPNVNVNFLR
+PSQVRHLYDTGDTKDVHLEMENLVNSRTTPKLARNESVARSSKLLGWCQRQTDGYAGVNV
+TDLTMSWKSGLALCAIIHRYRPDLIDFDSLDEQNVEKNNQLAFDIAEKELGISPIMTGRE
+MASVGEPDKLSMVMYLTQFYEMFKDSLPSRDASDLNAEERAVLIASTKSPISFLSKLGQT
+ISRKRSPKDKKEKDLDGAGKRRKTSQSEEEDTPRGHRGARPTLVSTLTDRRMDVALGNQN
+KVKYMATQLLAKFEENAPPQSVGVRRQPTQERGVSQPSSCLPEQGRSAPTPQWKQGSIKK
+EFPQNLGGSDTCYFCQKRVYVMERLSAEGKFFHRSCFKCEHCATTLRLSAYAYALEDGKF
+YCKPHYCYRLSGPAQRKRPAGVPLSGKEARGPLQDSPAADASGRPSTSASPAERSPGPSV
+NGLEEPSVAKRLRGTPERIELENYRLSVRQAEGLEEVPEETQAEHNLSSVLDTGTEEDAA
+SSSSESEMEEEEPPLPTSDLGGVPWKEAVRIHALLRGKSEEELEASRSFGAGEEEEEDEE
+DEEEEEEEEDEEDEEEDEDESSEVPGLPSVRRAAVQAWLESVSGVPFDEDDLERDVDSEP
+AEIEGEAAENGDAGDTGAELDDDQHWSDDVPSEANTELHLPAVGAELELRVSDGEEEPPP
+ASVGHPERGPSRVSSPTRSPEEPTGLSSPARSPGAQSAHPPLAAVATGVRSPAESPLPEP
+STPPAEPEAHPPIRSQPEARTPPSPASPQRPSPPTQLPICSQPQPSPEATVPSPTLSPIR
+SQPVPARTSTPLAPLPVKNQGVTKDTLGSSLPGDEALKRSDLVAEFWMKSAEIRRSLGLT
+PVHRSPGSELAFQSPPLKACPAEKAPQSEGLRLLKPPPVPRKLGLPAAEGAQPCPPTPVS
+PPDREPKGPREEHRDLSSSSGLGLQGSSSRTRTPGSQSFNTSDSTMLTPPSSPPPPPPDE
+EPATLHRKPALAGQLVASAPPPPAVCVRPPREPTQPPQEEARKSFVESVDEIPFADDVED
+TYDDNTCDDRTEDSSLQETFFTPPSHWPHPKQPLAPENGRGPESAVPLQKRGLPLVSAEA
+KELAAERMRAREKSVRSQALRDAMARQLSRMKEMDIAAAAPRTPRTPAPRRATAVPPKGP
+EEPAPRHEATSEELLSPPSDSGGPDGSVTSSEGSSGKSKKRSSLFSPRRSKKEKKPKGEG
+RPLERPSPGTLEEAAAKPRSLWKSVFSGYRKDKKKSDGRSCPSTPSSGTTVDAGKPRASP
+VSRAELRTRRQLSCSEDSDLSSDDVLERTSQKSRKEPRTYTEEELNAKLTRRVQKAARRQ
+AKQEELKRLHRAQIIQRQLEQVEEKQRQLEERGVAVEKALRGEAGMGKKDDPKLMQEWFK
+LVQEKNAMVRYESELMIFARELELEDRQSRLQQELRERMAVEDHLKTEEELAEEKRILNE
+MLEVVEQRDALVALLEEQRLREKEEDKDLEAAMLSKGFSLHWS
+>tr|A0A3Q1MBI4|A0A3Q1MBI4_BOVIN Neuronal cell adhesion molecule OS=Bos taurus OX=9913 GN=NRCAM PE=4 SV=1
+MQLKIMPKKKRLSAGRAPLMLFLCQMISALEVPLDLVQPPTITQQSPKDYIIDPRENIVI
+QCEAKGKPSPSFSWTRNGTHFDIDKDPLVTMKPGSGTLTINIMSEGKAETYEGVYQCTAR
+NERGAAISNNIVIRPSRSPLWTKEKLEPIILRNGQSLVLPCRPPIGLPPPIIFWMDNSFQ
+RLPQSERVSQGLNGDLYFSNVLPEDTREDYICYARFNHTQTIQQKQPISVKVISVDELND
+TIAANLSDTEFYGAKSHRPRPPTFLTPDGNTSRKEELRGNVLSLECIAEGLPTPIIYWIK
+EDGTLPINRTFYRNFKKTLQIVQVTEADSGNYQCIAKNALGAIHHTISVTVKAAPYWIIA
+PQNLVLSPEEDGTLICRANGNPKPRISWLSNGVPIEIAPDDPSRKIDGDTIIFSKVQERS
+SAVYQCNASNEYGYLLANAFVNVLAEPPRILTSANTLYQVIANRPALLDCAFFGSPLPTI
+EWFKGAKGSALREDIYVLHENGTLEIPVAQKDSTGTYTCVARNKLGMAKNDVHLEIKDPT
+RIIKQPEYAVVQRGSTVSFECKVKHDHTLIPTVMWLKDHGELPNDGRFTVDKDRLVVADV
+NDDDGGTYTCVANTTLDNVSASAVLSVVAPTPTPAPIYDVPNPPFDLELTDQLDRSVQLS
+WTPGDDNNSPITKFIIEYEDAMHEPGLWHHQTEVPGTQTTAQLKLSPYVNYSFRVMAENN
+LGRSLPSEASEQYLTKAAEPDKNPTAVEGLGSEPDNLVITWKPLNGFESNGPGLQYKVSW
+RQKDGDDEWTSVVVANVSKYIVSGTPTFVPYLIKVQALNDAGFAPEPAAVMGHSGEDLPM
+VAPGNVRVNVVNSTLAEVHWDPVPLKSIRGHLQGYRIYYWKAQSSLTRNRRHIEKKILTF
+QGSKTHGMLPGLEPFSHYTLNVRVVNGKGEGPASPDKVFNTPEGVPSAPSSLKIVNPTLD
+SLTLEWEPPSHPNGILTEYTLKYQPINSTHELGPLVDLKIPANKTRWILKNLNFSTRYKF
+YFYAQTAAGSGIQITEEAITTVDEAGILPPDVGAGKAMASRQVDIATQGWFIGLMCAVAL
+LILILLIVCFIRRNKGGKYPVKEKEDAHADPEIQPMKEDDGTFGEYSDAEDHKPLKKGSR
+TPSDRTVKKEDSDDSLVDYGEGVNGQFNEDGSFIGQYSGKKEKEPAEGNESSEAPSPVNA
+MNSFV
+>tr|Q3ZBZ6|Q3ZBZ6_BOVIN Glypican 3 OS=Bos taurus OX=9913 GN=GPC3 PE=2 SV=1
+MAGTVRTACLVVAMLLSLDCPGQAQPPPPPPDATCHQVRSFFQRLQPGLKWVPETPVPGS
+DLQVCLPKGPTCCSRKMEEKYQLTARLNMEQLLQSASMELKFLIIQNAAVFQEAFEIVVR
+HAKNYTNAMFKNNYPSLTPQAFDFVGEFFTDVSLYILGSDINVDDMVNELFDSLFPVIYT
+QLMNPGLPESTLDINECLRGARRDLKVFGNFPKLIMTQVSKSLQVTRIFLQALNLGIEVI
+NTTDHLKFSKDCGRMLTRMWYCSYCQGLMMVKPCGGYCNVVMQGCMAGVVEIDKYWREYI
+LSLEELVNGMYRVYDMENVLLGLFSTIHDSIQYVQKNGGKLTTTIGKLCAHSQQRQYRSA
+YYPEDLFIDKKVLKVARVEREETLSSRRRELIQKLKSFISFYSALPGYICSHSPVAENDT
+LCWNGQELVERYSQKAARNGMKNQFNLHELKMKGPEPVVSQIIDKLKHINQLLRTMSVPK
+GRVLDKNLDEEGLESGDCGDDEDECIGGSGDGMMKVKNQLRFLAELAYDLDVDDAPGSKQ
+HVNQKDNEIVASHNLGNGHPPLKLLTSLAISVLCFFLLVH
+>tr|A0A3Q1M5C2|A0A3Q1M5C2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MEGNVGEAPSRRSRRRRLVLKPSQKDALQALFQQNPYPGIATRERLARELGIDESRVQVW
+FQNQRRRRSKQSRPPSEHVQDSAREARRKRTVISPSQTRILVQAFTRDRFPGIAAREELA
+RQTGIPEPRIQVSSPASARAQDVAQEQGGFSPAKRLELDTRRLGLGLGLGGEGAESRRPE
+WRVLGRFWPCGHPSFPRAKGFVCRKWPCRSRPGPDRPEAGRPGGLSNGRRRGASTVGDLD
+GHGF
+>tr|A7MBG8|A7MBG8_BOVIN RuvB-like helicase OS=Bos taurus OX=9913 GN=RUVBL1 PE=1 SV=1
+MKIEEVKSTTKTQRIASHSHVKGLGLDESGLAKQAASGLVGQENAREACGVIVELIKSKK
+MAGRAVLLAGPPGTGKTALALAIAQELGSKVPFCPMVGSEVYSTEIKKTEVLMENFRRAI
+GLRIKETKEVYEGEVTELTPCETENPMGGYGKTISHVIIGLKTAKGTKQLKLDPSIFESL
+QKERVEAGDVIYIEANSGAVKRQGRCDTYATEFDLEAEEYVPLPKGDVHKKKEIIQDVTL
+HDLDVANARPQGGQDILSMMGQLMKPKKTEITDKLRGEINKVVNKYIDQGVAELVPGVLF
+VDEVHMLDIECFTYLHRALESSIAPIVIFASNRGNCVIRGTEDVTSPHGIPLDLLDRVMI
+IRTMLYTPQEMKQIIKIRAQTEGINISEEALNHLGEIGTKTTLRYAVQLLTPANLLAKIN
+GKDGIEKEHVEEISELFYDAKSSAKILADQQDKYMK
+>tr|G3N242|G3N242_BOVIN RUNX1 translocation partner 1 OS=Bos taurus OX=9913 GN=RUNX1T1 PE=4 SV=2
+MISVKRNTWRALSLVIGDCRKKGNFEYCQDRTEKHSTMPDSPVDVKTPSRLTPPTMPPPP
+TTQGAPRTSSFTPTTLTNGSSHSPTALNGAPSPPNGFSNGPSSSSSSSLANQQLPPACGA
+RQLSKLKRFLTTLQQFGNDISPEIGERVRTLVLGLVNSTLTIEEFHSKLQEATNFPLRPF
+VIPFLKANLPLLQRELLHCARLAKQNPAQYLAQHEQLLLDASTTSPVDSSELLLDVNENG
+KRRTPDRTKENGFDREPLHSEHPSKRPCTISPGQRYSPNNGLSYQPNGLPHPTPPPQHYR
+LDDMAIAHHYRDSYRHPSHRDLRDRNRPMGLHGTRQEEMIDHRLTDREWAEEWKHLDHLL
+NCIMDMVEKTRRSLTVLRRCQEADREELNYWIRRYSDAEDLKKGGSSSSSHSRQQSPVNP
+DPVALDAHREFLHRPASGYVPEEIWKKAEEAVNEVKRQAMTELQKAVSEAERKAHDMITS
+ERAKMERTVAEAKRQAAEDALAVINQQEDSSESCWNCGRKASETCSGCNTARYCGSFCQH
+KDWEKHHHICGQTLQAQQQGDTPAVSSSVTPNSGAGSPMDTPPAATPRSTTPGTPSTIET
+TPR
+>tr|Q2KJ47|Q2KJ47_BOVIN EH-domain containing 2 OS=Bos taurus OX=9913 GN=EHD2 PE=1 SV=1
+MFSWLKRGGARGQQPEAIRTVTSALKELYREKLLPLEEHYRFGTFHSPALEDADFDGKPM
+VLVAGQYSTGKTSFIQYLLEQEVPGSRVGPEPTTDCFVAVMHGDTEGTVPGNALVVDPDK
+PFRKLNPFGNTFLNRFMCAQLPNQVLESISIIDTPGILSGAKQRVSRGYDFPAVLRWFAE
+RVDLIILLFDAHKLEISDEFSEAIGALRGHEDKIRVVLNKADMVETQQLMRVYGALMWAL
+GKVVGTPEVLRVYIGSFWSQPLLVPDNRRLFELEEQDLFRDIQGLPRHAALRKLNDLVKR
+ARLVRVHAYIISYLKKEMPSVFGKENKKKQLILKLPVIFAKIQLEHHISPGDFPDCQKMQ
+ELLMAHDFTKFHSLKPKLLEALDEMLTHDIAKLMPLLRQEELESTDVGVQGGAFEGTHMG
+PFVERGPDEALEDGEEGSDDDAEWVVTKDKSKYDEIFYNLAPADGKLSGTKAKTWMVGTK
+LPNSVLGRIWKLSDVDRDGMLDDEEFALASHLIEAKLEGHGLPTNLPRRLVPPSKRRHKG
+SAE
+>tr|A5PJL0|A5PJL0_BOVIN 4-hydroxyphenylpyruvate dioxygenase like OS=Bos taurus OX=9913 GN=HPDL PE=2 SV=1
+MAAPVRRLCHIAFHVPAGLPLARDLQRLFGFQPLAVREADGWRQLALRSGDAVFLVNEGA
+GPGEPLYGLDPHHGIPSATNLCFDVADAGAAARGLAALGCSVPVPPVSVRDEQGTATYAV
+VSSPAGNLSLTLLERTGFGGPFLPGFSPVPSTPGPGWFSHVDHLTLACNPSSSPKLLHWF
+HDCLGFHHLPLSPGEDPELGLEVAAGSGLGGLRLTALQGPVGSAVPTLVLAESLPRATSG
+QDQVEQFLARNRGPGLQHVGLYTPSIKEATEGVAGAGGQLLTPPKAYYQQPGKEEQILAA
+GQEPNLLARQGVLLDGDRGKFLLQVFTKSLFAEDTFFLELIQRQGATGFGQGNIRALWQS
+VQEQAARVQEACKGPKLDAAGCPGALESSA
+>tr|A6QPL0|A6QPL0_BOVIN Arginine and serine rich protein 1 OS=Bos taurus OX=9913 GN=RSRP1 PE=2 SV=1
+MSSYVNDLWSGSPPKASPSSSRSGSCSQPSSASGSRSPSRSSVSSRSWSRSRSPPGRRSR
+SRSRSRRRHQRRYRRYSRSYSRSHSRSRSRRYRERHSGSTRRSYRSRSRSRGRLYYRSAY
+AIARGRHYYGFGRTVYPEERRSWRGRSRSRSRSPTPFRLSEKDRMELLEIAKANAAKALG
+TANFDLPASLRSVPVSKERNQETAVLTNGAKSEMF
+>tr|A6QNR3|A6QNR3_BOVIN Transporter OS=Bos taurus OX=9913 GN=SLC6A12 PE=2 SV=1
+MAADDRKLAAPKEGLPVVPWAPEEGPRPDQEAQDQVKDRGQWNNKMEFVLSVAGEIIGLG
+NVWRFPYLCYKNGGGAFFIPYFIFFFSCGIPVFFLEVALGQYTSQGSVTAWRKICPLLQG
+IGLASVVIEAYLNIYYIIILAWALFYLFSSFTSELPWTTCAHSWNTEHCMDFLNHSTAGT
+TGPSENVTSPVMEFWERRVLSISPGIQHLGGLRWELALCLLLAWIICYFCIWKGVKITGK
+VVYFTATFPYLMLVILLVRGVTLPGAYEGIIYYLKPDLLRLKDPQVWMDAGTQIFFSFAI
+CQGCLTALGSYNKYHNNCYRDSIALCFLNSGTSFVAGFVVFSILGFMSREQGVPISEVAE
+SGPGLAFIAFPKAVTMMPLSQLWSCLFFIMLIFLGLDSQAAPEERAAGASDPRHLSHVLP
+DRALPGH
+>tr|B5TM83|B5TM83_BOVIN Hypothetical LOC100190915 protein OS=Bos taurus OX=9913 GN=LOC100190915 PE=2 SV=1
+MKLASDSTTNLQSSAVTEKTITELAEQSAFLQVHSGTSVKSKKVSAASWRENSLIMLSQS
+IATETRIS
+>tr|E1BMI8|E1BMI8_BOVIN TNF receptor-associated factor OS=Bos taurus OX=9913 GN=TRAF1 PE=3 SV=2
+MASGSASSPRPAPDENEFPFGCPPTACQDPPEPGPVCCAVCLSEKVRNDEDRICPKCKGD
+DTPSESPGSLLSQEKDHPEVAEAGVGCPFAGVGCSFKGSPKFMEEHEVTSQAAHLNLLLG
+FMKQWKAQLGSGLGYGPMALERNLSDLQLQGAVEVAGDLEVDCYRAPCSESQDELALHHF
+MKEKLLNELEGKLCVFENIVAVLNKEVEASHLALAASIHQSQLDREHILSLEQRVLELQQ
+TLAQKDQALGKLEQSLRLVEEASYDGTFLWKITNVTRRCHESACGRTVSLFSPAFYTAKY
+GYKLCLRLYLNGDGTGKRTHLSLFIVIMRGEYDALLPWPFRNKVTFMLLDQNNREHAIDA
+FRPDLNSASFQRPQSETNVASGCPLFFPLNKLQSPKHAYVKDDTMFVKCVVDTNT
+>tr|G5E522|G5E522_BOVIN Transient receptor potential cation channel subfamily A member 1 OS=Bos taurus OX=9913 GN=TRPA1 PE=2 SV=2
+MRRSLRKMLRPGEKKEPRDFVCLGVEDHKDNSNDFKVVFEGNKCGLQNFVKKQKRFKKYD
+DVNASPLHHAAEEGQVELMEMIVNESSCEVLNVMDDYGNTPLHWAAGKNQVESVKFLLRK
+GANPNLRNCSMMAPLHVAVQGGYNDVMKVLIEHSSTDVNLEGENGNTALIITCFTDNSEA
+MQLLLNKGAKPCKSNKWGCFPIHQAAFSGAKKCMEIILKFGEEHGYSRLSHINFVNSGKA
+SPLHMAVQSGDLDMIKMCLDNGAQLDLLEKGKCTALHFAATQGATEIVKLMVSSYSGNSD
+IVNEVDGNHETLLHRASLFDHHELADYLISVGADIDITDSEGRSPLLLATASASWNTVNL
+LLSKGARVDIKDNLGRNFLHLTVQQPYGLKNLHPEFLQMQHIKELVMDEDNDGCTPLHYA
+CRQGVPVSVNNLLNFNVSIHSKSKDKKSPLHFAASYGRINTCQRLLQDISDTRLLNEGDL
+HGMTPLHLAAKNGHDKVVQLLLKKGALFLSDHNGWTALHHASLGGYTQTMKVILDTNLKC
+TDRLDEEGNTALHFAAREGHAKAVSLLLSYDADVVLNKQQASFLHVAIHNKRKEVVLTTI
+RSKRWGECFKVFNHCSPTNRCPVIELVEYLPECMKVLLDFCVMPSTEDKSSQDYHIEYNF
+TYLQCPLEFTKKGTPVPDIVYEPLLTLNAMVQHNRIELLNHPVCKEYLLMKWLAYGFRAH
+VLNLGSYCLGLFPMTFLVVSIRPGMAFNSTGIINETSDHSEILDTKNSFAINFCMILVLL
+SSILGYCKEVAQIFQQKRNYFLDKDNAAEWIIYTTSIIFVSPLFIRIPAYVQWQCGAVAI
+FLYWMNFLLYLQRFEKCGIFIVMLEVIMKTLLKSTIVFVFLLVAFGLCFYVLLNIQDAFS
+SPFLSIIQTFSMMLGDINYHDAFLEPYLKNELEYPLLSFVHLIIFTMFVPIVLMNLLIGL
+AVGDIAEVQKHALLKRIAMQVELHTSLEKKLPLWFLHKVDQKSIIVYPNRPRYSRGILRG
+VFHYIFCPHETRQEIPNVDTSLETEIMKQKYRLKDLSSLLEKQHELIKLIIQKMEIISET
+EDEDYHSSFQDRFKKQQLEQRNSKWNCVLRAVKAKTHSP
+>tr|F1N232|F1N232_BOVIN Transient receptor potential cation channel subfamily C member 4 associated protein OS=Bos taurus OX=9913 GN=TRPC4AP PE=4 SV=3
+MAAAPAAAGSGAGRGRRAAATVAAWGGWGGRPRPGNILLQLRQGQLTGRGLARAVQFTET
+FLTERDKQSKWSGIPQLLLKLYATSHLHSDFVECQNILKEISPLLSMEAMAFVTEERKLT
+QETTYPNTYIFDLFGGVDLLVEILMRPTISIRGQKLKISDEMSKDCLSILYNTCVCTEGV
+TKRLAEKNDFVIFLFTLMTSKKTFLQTATLIEDILGVKKEMIRLDEVPNLSSLVSNFDQQ
+QLANFCRILAVTISEMDTGNDDKHTLLAKNAQQKKSLSLGPSAAEINQAALLSIPGFVER
+LCKLATRKVSESTGTASFLQELEEWYTWLDNALVLDALMRVANEESEHNQASIVFPPPGA
+SEENSPPHSSTRTQLPQSMKIMHEIMYKLEVLYVLCVLLMGRQRNQVHRMIAEFKLIPGL
+NNLFDKLIWRKHSASALVLHGHNQNCDCSPDITLKIQFLRLLQSFSDHHENKYLLLNNQE
+LNELSAISLKANIPEVEAVLNTDRSLVCDGKRGLLTRLLQVMKKEPAESSFRFWQARAVE
+SFLRGTTSYADQMFLLKRGLLEHILYCIVDSECKSRDVLQSYFDLLGELMKFNVDAFKRF
+NKYINTDAKFQVFLKQINSSLVDSNMLVRCVTLSLDRFENQVDMKVAEVLSECRLLAYIS
+QVPTQMSFLFRLINIIHVQTLTQENVSCLNTSLVILMLARRKERLPLYLRLLQRMEHSKK
+YPGFLLNNFHNLLRFWQQHYLHKDKDSTCLENSSCISFSYWKETVSILLNPDRQSPSALV
+SYIEEPYMDIDRDFTEE
+>tr|A4IFU2|A4IFU2_BOVIN RAP2B protein OS=Bos taurus OX=9913 GN=RAP2B PE=2 SV=1
+MREYKVVVLGSGGVGKSALTVQFVTGSFIEKYDPTIEDFYRKEIEVDSSPSVLEILDTAG
+TEQFASMRDLYIKNGQGFILVYSLVNQQSFQDIKPMRDQIIRVKRYERVPMILVGNKVDL
+EGEREVSFGEGKALAEEWSCPFMETSAKNKASVDELFAEIVRQMNYAAQPNGDEGCCSAC
+VIL
+>tr|A6QPU6|A6QPU6_BOVIN DNA excision repair protein ERCC-6-like 2 OS=Bos taurus OX=9913 GN=ERCC6L2 PE=2 SV=1
+MDQSTPKPHAETNSKDIWHPGERCLAPSPDNEKLCEASIKSITVDENGKSFAVILYSNFQ
+ERRVPLKRLQEVKSVKDCSRNFIFDDEDLEKPYFPDRKFPSSAVPFQLSENGDSIPYTIN
+RYLRDYQREGAQFLYGHFIQGRGCILGDDMGLGKTVQVISFLAAVLGKKGTREDIENNMP
+EFLLRNMKKDPPSTAKKMFLIVAPLSVLYNWKDELDTWGYFRVTILHGNKKDSELIRVKQ
+RKCEIALTTYETLRLCLDELNR
+>tr|E1BHD1|E1BHD1_BOVIN Circadian associated repressor of transcription OS=Bos taurus OX=9913 GN=CIART PE=4 SV=1
+MDSPSSVSSYSSYSLSSSFSTSPVNSDFGSPSDSEGEDKWAPGPKPDSVGQKEGSRPSPG
+PIRCRQRPKVSSNQHVASHSEQRGLASSMSGSGIKRSRDGELETCINIQGCTTEGDLLFA
+QKCKELQGFIPPLTDLLKGLKMGRFERGLSTFQQSVAMDRIQRILGVLKKPQMGERYLGT
+LLQVEGMLKTWFPHIAAQKSSLGSSRNQLTKYFPSHHSYPAASSPAPSMEKMDQTQLGQL
+VLKPKQPWHLTEWPAMNLTWIHTTPICNPPLSSPGTISFSHGPLGTGTSIGVILFLQHGV
+QPLTHSAPITPVPSTTASPVIPGNHQKLSAEGSHYYNFPVTLPSNCSCALSPLGLPASTR
+ERTTGHLEQMRGHPAVAPAVHPLDP
+>tr|A7MB61|A7MB61_BOVIN NAB1 protein OS=Bos taurus OX=9913 GN=NAB1 PE=2 SV=1
+MAAALPRTLGELQLYRILQKANLLSYFDAFIQQGGDDVQQLCEAGEEEFLEIMALVGMAS
+KPLHVRRLQKALRDWVTNPGLFNQPLTSLPVSSIPIYKLPEGSPTWLGISCSSYERNSSS
+REPHLKIPKCAATTCVQSLGPGKSDVVGSLALQSISDSRLWQGHHATESEHSLSPADLGS
+PASPKESSEVLDAAAALSVAECVERMAPTLPKSDLNEVKELLKTNKKLAKMIGHIFEMSD
+DDPHKEEEIRKYSAIYGRFDSKRKDGKHLTLHELTVNEAAAQLCVKDNALLTRRDELFAL
+ARQISREVTYKYTYRTTKSKCGERDELSPKRIKVEDGFPDFQDSVQTLFQQAKAKSEELT
+ALSSQQPEKVMAKQMEFLCTQAGYDRLQHAERRLSAGLYRQSSDEHSPNGLMSDSAEGQG
+ERPLNLRMPNLQNRQPHHFVVDGELSRHYSSEAKSHSSESLGILKDYPHSAFTLEKKVIK
+TEPEDSR
+>tr|A8YXY5|A8YXY5_BOVIN PTBP1 protein OS=Bos taurus OX=9913 GN=PTBP1 PE=1 SV=1
+MDGIVPDIAVGTKRGSDELFSACVTNGPFIMSGTSASTANGNDSKKFKGDSRSAGVPSRV
+IHIRKLPGDVTEGEVISLGLPFGKVTNLLMLKGKNQAFIEMHTEEAANTMVNYYTSVTPV
+LRGQPIYIQFSNHKELKTDSSPNQARAQAALQAVNSVQSGNLALAASAAAVDAGMAMAGQ
+SPVLRIIVENLFYPVTLDVLHQIFSKFGTVLKIITFTKNNQFQALLQYADPVSAQHAKLS
+LDGQNIYNACCTLRIDFSKLTSLNVKYNNDKSRDYTRPDLPSGDSQPSLDQTMAAAFGAP
+GIMSASPYAGAGFPPTFAIPQAAGLSVPNVHGALAPLAIPSAAAAAAAAGRIAIPGLAGA
+GNSVLLVSNLNPERVTPQSLFILFGVYGDVQRVKVLFNKKENALVQMADGSQAQLGKGWP
+GRAGLLVVPRALRSRMLSLPLPRPQP
+>tr|Q58DI0|Q58DI0_BOVIN Ectonucleoside triphosphate diphosphohydrolase 3 OS=Bos taurus OX=9913 GN=ENTPD3 PE=2 SV=1
+MFTVLTRQPCEQAGLKVLSRTPAIIALVVLFLSIVVLMAITLIQTHQKEVLFPGPKYGIV
+LDAGSSRTTIYVYQWPAEKANNTGVVSQSFKCNVKGSGISNYAENPQDAPKAFEDCMQKV
+KGHIPVSLHGSTCVYLGATAGMRLLRLQNETAANEVLESIENYFKSQPFDFRDAQIISGQ
+EEGIYGWITANYLMGNFLEKSLWHMWVHPSGKETTGALDLGGASTQISFAAEEKVGLNTS
+DIMKVTLYGYEYTLYTHSFQCYGRNEAEKRFLATLLQDYTTETTLTNPCYPQNYITTFTE
+AQVFGSLCTEDLRPERYNPNNTITFEGTGDPSLCREKVASLFNFSACHDHEACSFDGVYQ
+PRVKGSFVAFAGFYYTANAFNLSGSFSLHAFNLSTWNFCSKNWNQEMWCIYTMEYHSAIK
+KNEIVPFLAT
+>tr|A5D798|A5D798_BOVIN FTO protein OS=Bos taurus OX=9913 GN=FTO PE=2 SV=1
+MKRTPTAEEREREAKKLRLLEELEDTWLPYLTPKDDEFYQQWQLKYPKLILREAASVPEL
+LHKEVQQAFLTLHKHGCLFRDLVRIQGKDLLTPVSRILIGNPGCTYKYLNTRLFTVPWPV
+KGSDAKYNEAEIAAACQTFLKLNSYLQVETIQALEELAAKEKANIDAVPVCIGPDFPRVG
+MGSSFDGHDEIDMKNRAAYNVTLLNFMDPQKMPYLKEEPYFGMGKMAVSWHHDENLVDRS
+AVAVYSYSCEGPEEESEDDPQLEGRDPDIWHVGFKISWDIETPGLAIPLHQGDCYFMLDD
+LNATHQHCVLAGLPPRFSSTHRVAECSTGTLEYILQRCQVALQNVREEADNGEISLKSLE
+SVVLKQGEEIHNEVEFEWLRQFWFQGSRYKKCTDWWCQPMSQLEEMWRKMEWLTSAVLRE
+VRREGVPMEQKNEMLTSILASITTRQNLRREWHARCQSRIARTLPADQKPECRPYWEKGD
+PSMPLPFDLTEIVSELRGLLLETRP
+>tr|Q3ZCL0|Q3ZCL0_BOVIN Cysteine-rich secretory protein 2 OS=Bos taurus OX=9913 GN=CRISP3 PE=2 SV=1
+MALFTVVLFLAAVWLPFFPAKGQDRRFADLSNTLKNVQTEIVNKHNDLRRGVSPPPSNML
+KMQWNTTAAANAQNWANKCLFKHSKKEDRRVGTRNCGENLFMSSYPSTWSNAIQSWYDEV
+HDFVFEVGPKSPQAVIGHFTQIVWYSSFLIGCGVAYCPKQSLKYLYVCQYCPAGNIVGRQ
+HVPYQKGTPCGSCPNHCDNGLCTNSCEYEDTYSNCASLKETWTCASDFVKTNCKAACNCQ
+GKIY
+>tr|A6H6X7|A6H6X7_BOVIN Zona pellucida glycoprotein 3 (Sperm receptor) OS=Bos taurus OX=9913 GN=ZP3 PE=2 SV=1
+MGPCSRLFVCFLLWGSTELCSPQPFWDDETERFRPSKPPAVMVECQEAQLVVTVDKDLFG
+TGKLIRPADLTLGPDNCEPLASADTDGVVRFAVGLHECGNILQVTDNALVYSTFLLHNPR
+PAGNLSILRTNRAEVPIECHYPRQGNVSSWAIQPTWVPFRTTVFSEEKLVFSLRLMEENW
+SAEKMTPTFQLGDRAHLQAQVHTGSHVPLRLFVDHCVATLTPDWSTSPYHTIVDFHGCLV
+DGLTDASSAFKAPRPRPEILQFTVDVFRFANDSRNMIYITCHLKVTPVDRVPDQLNKACS
+FSKSSNRWSPVEGPTDICRCCSKGRCGISGRSMRLSHREGRPVPRSRRHVTEEADVTVGP
+LIFLRKMNDRGVEGPTSSPPLVMLGLGLATVMTLTLAAIVLGLTGRLRAASHPVCPVSAS
+Q
+>tr|C9EGT0|C9EGT0_BOVIN Complement C3d receptor 2 OS=Bos taurus OX=9913 GN=CR2 PE=2 SV=2
+MGAAGPIWVFLTLLAPGVLGIFCDPPPSIKNGRSSYYSGPVAHNAVVTYTCQSAFRLIGE
+RRLFCISKDNVNGIWDKAPPICEYYDRNSVCSEPIVPGGYRSKTSRPPFRHGDAVTFSCN
+ANFTMKGNKTVWCRANRRWGPTPLPTCESDIPLECPSLPTIANGHHTGESVGSFAPGLTV
+TYSCEPGYLLLGENTIHCLSSGDWSAVAPTCKEAECEAPGPFLNGQIKRPTSFGVGATVN
+FSCNEGYRLQGPLSSQCVIFGQRTFWTRMPACEEILCPSPPPILNGRHTGTSSVIFRYGS
+TVSYTCDPGPEKGVNFILVGERTIRCTTDSQKTGTWSGPAPRCELSVSELLCPPPQIPRG
+QISSGQRDQYSYNDTVVFACMFGFTMKGSRAVRCNAQGTWEPSVPVCEKDCQAPPKILNG
+QKEDRHRVRFDPGTSIKYSCDLGYELVGEESIHCTPDGVWMPTAPTCKVAECEPVGKQVF
+KKPKNQFIRPDVNSSCDEGYRLGESVYQQCQGTIPWFMEIRLCKDITCPPPPVIYNGVHT
+GSSSEDVLYGTTVTYTCNPGPERGVKYNLIGESTIRCISNDQETGIWSGPAPLCKLSLPA
+VQCSHVHVANGYKISGKEAPYFYNDSVIFKCDDGFILKGSSQVRCKANNTWDPEIPVCEK
+GCQPPSGLHHGRHTGGNRVLFVSGMTVDYTCDLGYLLVGNRSIHCMPSGNWSPSVPRCEE
+AQCQPLEEDVREPPVDSLVVPANISCQEGYRMMKYAFQKCQDDENRVWFQRIPVCEIIHC
+QDPPVINNGRYRGVLPGGFPYGSEVSYECDQGFDLLGEKSIRCISDSKGLVSWSGRAPQC
+LKSPPVTHCPNPEVMHGHKLNKTYSSYSHNDILHIACNPGFIMNGSHLIRCHTNNKWVPG
+VPTCIKKAFFGCQRPLTISHGNHTGGDITRFSPGMSILYSCNQGYLLVGEALLLCTHEGT
+WNQPAPYCKEVNCSFPEHINGIQNGLEPGRMYQYGAVVTLMCEDGYTLEGSPQSQCQEDH
+RWNPPLAVCKSPSSLAPLIAGFSAGVIALFCLGAVALYMISKHRERNYYTNTNYKEDVHL
+ETLDIYSVDPYSPAN
+>tr|A7MBA7|A7MBA7_BOVIN X-ray repair cross complementing 5 OS=Bos taurus OX=9913 GN=XRCC5 PE=2 SV=1
+MARSWDKAAVVLCMDVGLAMSNSFPGEESPFELAKKVMTMFVQRQVFAENKDEVALVLFG
+TDGTKNALAAGDQYQNITVHRHLMRPDFDLLEDIESKIQPGSQQADLLDALIVCMDLIQE
+ETLGKKFEKRHIEVFTDLSSPFSRDQLDIIIHNLKKSGISLQFFLPFPIGKQGGTGDRGD
+GSLLSDHHGPSFPPKGITEQQKEGIQMVKKVMMSLEGEDGLEEIYSFSEALRQLCVFKKT
+ERRSMPWSCQLTIGSNLSIKIVAYKSITQEKVKKCWTVVDARTLKKEAIQKETVYCLNDD
+DETEVSKEDTIQGFRYGSDIIPFSKVDEEQMKYKSEGKCFSVLGFCRSSQVHMKYFMGNQ
+VLKVFAAKDDEAAAVALSSLIHALDELDMVAVVRYVYNEKTNPQVGVAFPLIKDAYECLV
+YIQLPFMEDLRQYMFPSLKNNRKCTPTEAQLSAVDALIDSMSLVQKDEEEGTIEDLFPTS
+KIPNPQFQRLFQCLLHRALHPQEPLPPIQQHILSMLDPPTEVTAKCQVPLSKIKTLFPLT
+EVIKKKNQVTGQDIFQDNHEEGPTCKKLKTEEEEAHFSVSSLAEGSVTCVGSVNPAENFR
+VLVRQKKATFEEASHQLINHIEQFLDTNETLYFMKSMDCIKAFREEAIQFSEEQRFNNFL
+KALREKVEVKQLNHFWEIVVHDGVTLITKDEAPGSSVTTEEAKKFLAPKENANADSAAAF
+EEGGDVDDLLELI
+>tr|Q0VCC9|Q0VCC9_BOVIN MAD4 OS=Bos taurus OX=9913 GN=MXD4 PE=2 SV=1
+MELNSLLILLEAAEYLERRDREAEHGYASVLPFDGDFARKKTKAAGLVRKAPNNRSSHNE
+LEKHRRAKLRLYLEQLKQLVPLGPDSTRHTTLSLLKRAKMHIKKLEEQDRRALSIKEQLQ
+REHRFLKRRLEQLSAQSLERVRTDSTGSAVSTDDSEQEVDVEGMEFGPGELDSVGSGSDV
+DDHYSLRGGGCSHGSFGPPCRRPGRPGLS
+>tr|F1MJA7|F1MJA7_BOVIN Steroid 17-alpha-hydroxylase/17,20 lyase OS=Bos taurus OX=9913 GN=LOC112444495 PE=3 SV=1
+MWLLLAVFLLTLAYLFWPKTKHSGAKYPRSLPSLPLVGSLPFLPRRGQQHKNFFKLQEKY
+GPIYSFRLGSKTTVMIGHHQLAREVLLKKGKEFSGRPKVATLDILSDNQKGIAFADHGAH
+WQLHRKLALNAFALFKDGNLKLEKIINQEANVLCDFLATQHGEAIDLSEPLSLAVTNIIS
+FICFNFSFKNEDPALKAIQNVNDGILEVLSKEVLLDIFPVLKIFPSKAMEKMKGCVQMRN
+ELLNEILEKCQENFSSDSITNLLHILIQAKVNADNNNAGPDQDSKLLSNRHMLATIGDIF
+GAGVETTTSVIKWIVAYLLHHPSLKKRIQDDIDQIIGFNRTPTISDRNRLVLLEATIREV
+LRIRPVAPTLIPHKAVIDSSIGDLTIDKGTDVVVNLWALHHSEKEWQHPDLFMPERFLDP
+TGAQLISPSLSYLPFGAGPRSCVGEMLARQELFLFMSWLLQRFNLEIPDDGKLPSLEGHA
+SLVLQIKPFKVKIEVRQAWKEAQAEGSTS
+>tr|E1BEH7|E1BEH7_BOVIN Tandem C2 domains, nuclear OS=Bos taurus OX=9913 GN=TC2N PE=4 SV=1
+MATEFIKSCCRGCFYGGTEKHNLSVERDFKAAVSHSQNTTISIPPLTSVSVKPQVGCTED
+YLLSKLPSDGKEVPFVVPRFKLSYIQPRMQGTPSQLEELEGSARASFGDRKAELSSSAQQ
+GPSYDVYNPFYKYQHVSPDLSRRFPPRSEATRLYGSVCDLRTNRLPSSPGLSKSMFDLTS
+SSQRFIQRHDSLSSVPSSSSSRKNSQGSNRSLDTITLSGDERDWGRLNVKVFYNSSVEQI
+WITVLQCRDLSWPSRYGDTPTISVKGILTLPKPVHFKSSVKEGSNNIEFMETFVFSIKLQ
+SLQTVRLVFKIQTQTPRKKTIGECSLSLRTLSTQEMDYSLDISPPSKISVCHAELELGTC
+FQAVNSRIQLQILEAQYLPSSSTPLTLSFFVKVAMFSSGELIYKKKTRLLKASNGRVKWG
+ETMIFPLIQSEKEIIFLIKLYSRSSVGRRHFVGQIWISEDSNNTEAVSQWKETVTHPEKV
+VVKWHKLNPS
+>tr|F1MN19|F1MN19_BOVIN Nuclear receptor subfamily 3 group C member 1 OS=Bos taurus OX=9913 GN=NR3C1 PE=3 SV=1
+MDPKESLSTPSREEIPSSVLGRERGNVMDFYKTLRGGATVKVSASSPSLAAASQSDSKQQ
+RLLVDFPKGSGSNAQQPDLSKAVSLSMGLYMGETETKVMGNDLGFPQQGQISLSSGETDF
+RLLEESIANLNRSTSVPENPKNSASTAVSAAPTEKEFPKTHSDVSSEQQNLKGQKGSNGG
+NMKLYTTDQSTFDIWRKKLQDLEFSSGSPSKETSESPWSSDLLIDENCLLSPLAGEDDPF
+LLEGSSNEDCKPLVLPDTKPKIKDNGDLILPSPSSVPLPQVKTEKEDFIELCTPGVIKQE
+KLGPVYCQASFSGANIIGNKMSAISVHGVSTSGGQMYHYDMNTASLSQQQDQKPIFNVIP
+PIPVGSENWNRCQGSGDDNLTSLGTLNFSGRSVFSNGYSSPGMRPDVSSPPSSSSAATGP
+PPKLCLVCSDEASGCHYGVLTCGSCKVFFKRAVEGQHNYLCAGRNDCIIDKIRRKNCPAC
+RYRKCLQAGMNLEARKTKKKIKGIQQATTGVSQETSENPANKTIVPATLPQLTPTLVSLL
+EVIEPEVLYAGYDSSIPDSTWRIMTALNMLGGRQVIAAVKWAKAIPGFRNLHLDDQMTLL
+QYSWMFLMAFALGWRSYRQSCANMLCFAPDLIINEQRMALPCMYDQCKHMLYVSSELNRL
+QVSYEEYLCMKTLLLLSSVPKEGLKSQELFDEIRMTYIKELGKAIVKREGNSSQNWQRFY
+QLTKLLDSMHDVVENLLNYCFQTFLDKTMSIEFPEMLAEIITNQIPKYSSGNIKKLLFHQ
+K
+>tr|A6H725|A6H725_BOVIN LOC788925 protein OS=Bos taurus OX=9913 GN=PRR5 PE=2 SV=1
+MSSPSLSDLGKREPAAATAADERGTQQRRACANATWDSIHNGVIAVFQRKGLPDQELFSL
+NEGVRQLLKTELGSFFTEYLQNQLLTKGMVILRDKIRFYEGQKLLDSLAETWDFFFSDVL
+PTLQAVFYPVQGKEPSVRQLALLHFRNTITLGVKLEDALARAHARVPPAIVQMLLVLQGV
+HESRGVTKDYLRLETLIQKVVSPYLGTYGLYSGEGAFTHSCILEKHYLRRSRSGDVLAKN
+PVVRSKSYNTPLLNPVAEHEAEGAAAGGAGVRRHSVSEMTSCPEPQGFADTPGPGPAGAF
+GTSPSSPPSGPCANRLYPPAQPPKPGPGAARGSPASSSPENLVDQILESVDSDSEGIFID
+FGRGGGSGTSEFDGAGGRQSVV
+>tr|A1L506|A1L506_BOVIN Ubiquitin carboxyl-terminal hydrolase OS=Bos taurus OX=9913 GN=USP11 PE=2 SV=1
+MDTPHTAQFSQTDSVDLVLHTAREQFLVSPQEETRLWIKNAEGSFERLCNTRVTVLDAAL
+KTGQVVVMETRNKDGTWPSAQPHDTRSTLEEEEDFQGQPGICGLTNLGNTCFMNSALQCL
+SNVPQLTEYFLKNRYLEELNFCNPLGMKGEIAQAYADLVKQAWSGHHRSIVPQVFKTKVG
+HFASQFLGYQQHDSQELLSFLLDGLHEDLNRIKKKEYVELCDAAGRPDQEVAQEAWQNHK
+RRNDSVIVDTFHGLFKSTLVCPDCGNVSVTFDPFCYLSVPLPVSHKRVMEVFFVSMDPRR
+KPEQHRLVVPKKGKISDLCVALAKHTGISPERMMVADVFSHRFYKIYQLEESLSSILDRD
+DIFIYEVSGRAAIGENSREDVVLPIYLRERTPARDYNSSYYGLMLFGHPLLVSVPRDRLS
+WDALYHILLYRLSRYVTRPSSDDEDDGDEKDLEDKDRLPKPGRVTAGSSQDPGLEQAGPS
+SRVVSRSRAPVDNSPGPSHWPQRARRKHLFTLHTVNSNGTSDRSTFNEDTHAQPYIAIDW
+EPEMKKRYYDEVEAEGYVKHDCVGYVLKKAPVQLQECIELFTTVETLEKENPWYCPTCKQ
+HQLATKKLDLWMLPETLIIHLKRFSYTKFSREKLDTLVEFPIRDLDFSEFVIKPQNDSSR
+ELYKYDLIAVSNHYGGLRDGHYTTFACNKDSGQWHYFDDSSVSPVTENQIESKAAYVLFY
+QRQDVARRLQTQASSSKPPASSACGAPPKSEFVDVN
+>tr|Q148D6|Q148D6_BOVIN Mannose-P-dolichol utilization defect 1 OS=Bos taurus OX=9913 GN=MPDU1 PE=2 SV=1
+MAAEVDGPLKRVLVPLLLPEKCYDQIFVQWDLLHVPCLKILLSKGLGLGIVAGSLLVKLP
+QVFKILGAKSAEGLSLQSVMLELVALTGTMVYSITNNFPFSSWGEALFLMLQTITIAFLV
+LHYRGQTVKGVAFLVCYALVLLVLLSPLTPQAVVTLLQASNMPSVVVGRLLQAATNYRNG
+HTGQLSAITVFLLFGGSLARIFTSIQETGDPLMAGTFVVSSLCNGLIAAQVLFYWNAKAP
+HKKKKQ
+>tr|Q08DF3|Q08DF3_BOVIN L(3)mbt-like 3 (Drosophila) OS=Bos taurus OX=9913 GN=L3MBTL3 PE=2 SV=1
+MTESASSTSGQEFDVFSVMDWKDGVGTLPGSDLKFRVNEFGALEVITDESEMENVKKATA
+TTTWMVPTAQEVFSEKTGMPFRLKDPVKVEGLQFCENCCQYGNVDECLSGGNHCSQNCAR
+HIKDNRDQKEERDMGEDNEEEDSKCSRKKKPKLSLKADSKEEEERDDEMENKQDGRILRG
+SQRARRKRRGDSVVLKQGLPPKGKKAWCWASYLEEEKAVAVPAKLFKEYQSFPYNKNGFK
+VGMKLEGVDPEHQSVYCVLTVAEVCGYRIKLHFDGYSDCYDFWVNADALDIHPVGWCEKT
+GHKLHPPKGYKEEEFNWQTYLKTCKAQAAPKSLFENQNITVIPSGFRVGMKLEAVDKKNP
+AFICVATVTDMVDNRFLVHFDNWDESYDYWCEASSPHIHPVGWCKEHRRTLITPPGYPNV
+KHFSWDKYLEETNSLPAPARAFKVKPPHGFQKKMKLEVIDKRNPMFIRVATVADTDDHRI
+KVHFDGWNSCYDYWIDADSPDIHPVGWCSKTGHPLQPPLSPLDLMEASEHGGCTTPGCKG
+IGHFKRARHLGPHSAANCPYSEINLNKDRIFPDRLSGEMPPASPSFPRSKRADTNEISSS
+PETRDQRAEDVKEDFEERTESELRTPHEARGAREESSVQQAQRRSAVFLSFKSPIPCLPL
+RWEQQSKLLPTVAGIPASKVSKWSTDEVSEFIQSLPGCEEHGKVFKDEQIDGEAFLLMTQ
+TDIVKIMSIKLGPALKIFNSILMFKAAEKNSHNEL
+>tr|A7MB02|A7MB02_BOVIN MGC152422 protein OS=Bos taurus OX=9913 GN=MANEAL PE=2 SV=1
+MARRRRRACIALFLVLLFAFGTLMGLRTLKAPDGLPALGPGLELAPFERHPEGAPAPAAR
+APAAPAAPPPPPPRTAGPGSSPGPAPAEAEPAPGQSLRVYSDLHAFYYSWYGSPGREGHY
+IHWDHVMVPHWDPKISASYPRGRHSPPDDLGSSFYPELGPYSSRDPDVLREHMTQLKEAA
+IGVLVLSWYPPGMADDNGEPSDDLVPAILDTAHQYNIQVAFHIQPYKGRDDITLHDNIKY
+IIDTYGSHGAFYRYKNSMGKSLPLFYIYDSYLTSPEAWAHLLTPNGPHSIRNTPYDGVFI
+ALLVEEGHTHDILAAGFDGMYTYFASNGFSFGSSHQNWKAVKNFCDANNLMFIPSVGPGY
+IDTSIRPWNNHNTRNRVNGKYYETALQAALTVRPEIVSITSFNEWHEGTQIEKAIPKKTP
+TRLYLDYLPHQPSLYLELTRRWAEHFIKEKEQWLM
+>tr|Q58DA3|Q58DA3_BOVIN Ly1 antibody reactive OS=Bos taurus OX=9913 GN=LYAR PE=2 SV=1
+MVFFTCNACGESVKKVQVEKHVALCRNCECLSCIDCGKDFWGDDYKNHVKCISEDQKYGG
+KGYEGKTHKGDVKQQAWIQKIHELIKRPNVSPKVRELLEQISGFDNVPRKRAKFQNWMKN
+SLKVHNESILEQVWNIFSEASSSEPVGKGPDQQPPEPVTKPCAENNADVPSSKANSSTEE
+PAEAKKNKRERKAERQKNTKKEKKELRLENHQEDAKSQKPKKRKAAQEAEQEAADGSSGT
+KSQRKKSKAERAQDGAVDGGAKVGEQADAGPGKRKRKHSEVEANSKKKKIKPPENSEDGE
+PENHEAPAKGKFNWKGTIKAVLKQAPDNEITIKKLRKKVLAQYYAVTNEHHKSEEELLVI
+FNKKISKNPTLKLLKDKVKLVK
+>tr|G3MXV2|G3MXV2_BOVIN KRTAP7-1 OS=Bos taurus OX=9913 GN=KRTAP7-1 PE=4 SV=1
+MTRFFCCGSYFPGYPSYGTNFHRTFRATPLNCVVPLGSPLNYGYGCNGYSSLGYCFGGSN
+FSNLGCGYGGSCYRPWGSGSGFGYSTY
+>tr|Q5EA94|Q5EA94_BOVIN C-X-C motif chemokine receptor 6 OS=Bos taurus OX=9913 GN=CXCR6 PE=2 SV=1
+MAEYNYEDLGFFNGSNDSSQGHQDFLRFSKFFLPCMYVVVFTCGLVGNSLVLVIYVFYQK
+LKSLTDVFLMNLPLADLVFVCTLPFWAYAGIHEWVFGNVMCKALLGIYTLNFYTSMLVLT
+CITVDRFVAVVRATKAYNQQAKRMAWGKAICSSIWVVSLLVSLPQIIYGNVLYHDKPFCG
+YHEAISTMVLAIQMTLGFFLPLLAMILCYSVIIKTLLQARGFRKHKSLKIIFLVVAVFLL
+TQTPFNLVKLIRSTSWEYHTMTSFDYAITVTEAIAYLRACLNPVLYAFVGLKFRKNFWKL
+VKDAGCLPYLGVSGQHMYSEDTSRSASASHNVEATSMFHL
+>tr|Q3ZBC8|Q3ZBC8_BOVIN SERTA domain containing 1 OS=Bos taurus OX=9913 GN=SERTAD1 PE=2 SV=1
+MLSKGLKRKREEEEEEKETLAVDAWWLDPSHSAVAQGPPAVASSSLFDLSVLKLHHSLRQ
+SEPDLRHLVLVVNTLRRIQASMAPTAALPPVPTPPTAPSVADNLLASSDAALSASMASLL
+EDLSHIEGLSQAPQPLVDEGPLGRSAGGASPSLGALDLLGPATGCLLDDGLEGLFEDIDT
+SMYDSELWAPVSEGHKSSPEDGPGKEVGPDLDEAELDYLMDVLVGTQALERPPGPGR
+>tr|A0JNF9|A0JNF9_BOVIN Signal transducing adaptor family member 1 OS=Bos taurus OX=9913 GN=STAP1 PE=2 SV=1
+MMAKKPPKPAPRRIFQERSKITALPLYFEGFLSVKRSEYQEYKHYWTELRGTTLFFYTDK
+KSTTYVDKLDIIDLTCLTDQNSTEKNCVKFTLVLPKEDVQLKIENTESGEEWKGFILTVT
+ELSVPLHVSLLPGQVIRLHEVLEKEKKRRIETERTPSSSPEKGKQPVEDYEDVLNPMPAC
+FYSVSRKEATEMLEKNPSMGNMILRPGSDSRNYSITIRQEIDLPRIKHYKVMSAGKNYTI
+DLEKPVTLPNLFSVIDYFVKETRGNLRPFIYSTDETLETKGFPVTSLK
+>tr|A6QPZ0|A6QPZ0_BOVIN CSTB protein OS=Bos taurus OX=9913 GN=CSTB PE=2 SV=1
+MWGPNLGGFSDTQDATAEIQAIADQVKSQLEEKENKKFPVFKAVKFRSQVVAGMNYLIKV
+QVDEDDFVHIRVFESLPHENKPVALTSYQTNKSRHDELTYF
+>tr|Q08DD8|Q08DD8_BOVIN Metallophosphoesterase domain containing 2 OS=Bos taurus OX=9913 GN=MPPED2 PE=2 SV=1
+MAHGIPSQGKVTITVDEYSSNPTQAFTHYNINQSRFQPPHVHMMELDEAQRNLPYEYKIV
+IAGNHELTFDKEFMADLVKQDYYRFPSVSKLKPEDFDNVQSLLTNSIYLQDSEVTVKGFR
+IYGAPWTPWFNGWGFNLPRGQSLLDKWNLIPEGIDILMTHGPPLGFRDWVPKELQRVGCV
+ELLNTVQRRVRPKLHVFGGIHEGYGIMTDGYTTYINASTCTVSFQPTNPPIIFDLPNPQG
+S
+>tr|A6QPI7|A6QPI7_BOVIN Cholinergic receptor, nicotinic, alpha 7 OS=Bos taurus OX=9913 GN=CHRNA7 PE=2 SV=1
+MRGSLCLALAASILHVSLQGEFQRKLYKDLVKNYNPLERPVANDSLPLTVYFSLSLLQIM
+DVDEKNQVLTTNIWLQMTWTDHYLQWNASEYPGVKTVRFPDGQIWKPDILLYNSADERFD
+ATFHTNVLVNSSGHCQYLPPGIFKSSCYIDVRWFPFDVQQCKLKFGSWSYGGWSLDLQMQ
+EADISGYIPNGEWDLVGVLGKRSEKFYECCKEPYPDVTFTVSIRRRTLYYGLNLLIPCVL
+ISALALLVFLLPADSGEKISLGITVLLSLTVFMLLVAEIMPATSDSVPLIAQYFASTMII
+VGLSVVVTVIVLQYHHHDPDGGKMPKWTRVILLNWCAWFLRMKRPGEDKVRPACQHKQRR
+CSLASVEMSAVAGPPATNGNLLYIGFRGLDTMHCAPTPDSGVVCGRVACSPTHDEHLLHA
+GQPSEGDPDLAKILEEVRYIAHRFRCQDESEAVCSEWKFAACVVDRLCLMAFSVFTILCT
+IGILMSAPNFVEAVSKDFA
+>tr|A7MBH9|A7MBH9_BOVIN G protein subunit alpha i2 OS=Bos taurus OX=9913 GN=GNAI2 PE=2 SV=1
+MGCTVSAEDKAAAERSKMIDKNLREDGEKAAREVKLLLLGAGESGKSTIVKQMKIIHEDG
+YSEEECRQYRAVVYSNTIQSIMAIVKAMGNLQIDFADPSRADDARQLFALSCTAEEQGVL
+PEDLSGVIRRLWADHGVQACFGRSREYQLNDSAAYYLNDLERIAQSDYIPTQQDVLRTRV
+KTTGIVETHFTFKDLHFKMFDVGGQRSERKKWIHCFEGVTAIIFCVALSAYDLVLAEDEE
+MNRMHESMKLFDSICNNKWFTDTSIILFLNKKDLFEEKIIHSPLTICFPEYTGANKYDEA
+ASYIQSKFEDLNKRKDTKEIYTHFTCATDTKNVQFVFDAVTDVIIKNNLKDCGLF
+>tr|Q0VCZ4|Q0VCZ4_BOVIN Kallikrein-related peptidase 10 OS=Bos taurus OX=9913 GN=KLK10 PE=2 SV=1
+MTPRHLHLSAASGAQGGLGKLLLLPLLVAQFWVSEALLLPANDTSSNFVASGAPCAHGSQ
+PWQVSLFNGLSFHCAGVLVDRSWVLTAAHCGNNKPLWARVGDDHLLLLQGEQLRRTSRPI
+VHPKYQQGSGPILPRRTDEHDLMLLKLGRPVVPGPRVRPLRLPFRCTQPGDQCQIAGWAT
+TSSRRVKYNKGLSCSRVTILSPKECEVFYPGVITSNMMCAGLDQGQDPCQSDSGGPLVCD
+ETLQGILSWGVYPCGSAQHPAVYTQICKYRSWIEKTIRSN
+>tr|F1ME83|F1ME83_BOVIN Mitotic spindle positioning OS=Bos taurus OX=9913 GN=MISP PE=4 SV=1
+MDRVTRYPIFGIPHSSRMAGVAFDGDTSYTFELVGVGPTASFWSQDETPAWPTDHEASLE
+MTRTGTSRSLRVFPGRMAPWPPCPQDNEDEEVKAYHLEARDTPPWQPQNLERERQAVIRG
+QAVRKGGTVATLRGASDHREPRGPSRPQSMPLEENEIDREQIDFLAVRQQFLNLEQANPP
+PGAAHANARVLQSPQSKVAHAKTGVSRNAPLGVAHVNSEVPQSPQPKVAHVNAVASQNSP
+PRASQASKALSRPPLANGYVLPVKPQVKEVVTEEKRVHPLPTRSVAQALEDPGSRSREES
+PEPLKETPIEREIRLAQEREADLREQRGLQRATSHQELVEIPARLLLSKVSLAAAPRRDR
+GRPSLYVQRDIAQESQREEDHRREGLQVGRASTPDWSSEGPQPRLRRVHSSDSILSPTPD
+AHAASLAPEVRKVSRIPPDAYQPYLSPGTPPGESPASHAYCRPSNLSADEARPVGSPKAA
+GSQRHPSESSAKPSGLKQEPPRGPLHASRGVLRQEYFHLRPLRFRVPDEPERAEAPRVWG
+WEVAGAPALRLQKSQSSELLEREVENVLRREREMAEERRSALYPEVFSDECCDHDSRSSS
+RKSSITGSYSVSESHYFTPIHLHSDLVWTVEAPAKDALQQKKKKEQWYAGINPLDDVNSE
+ILEATRVTRHRNAMAERWEAGIYASEDED
+>tr|A6QLA5|A6QLA5_BOVIN C-C motif chemokine receptor 4 OS=Bos taurus OX=9913 GN=CCR4 PE=2 SV=1
+MNPTDIADTTVDESIYNSYYLYENLPKPCNKDGIRAFGGLFLPPLYSLVFLFGLLGNSVV
+VLVLFKYKRLKSMTDVYLLNLAISDLLFVLSLPFWGYYAADQWVFGLGLCKLISWIYLVG
+FYSGIFFITLMSIDRYLAIVHAIFSLRARTLTYGVITSVATWSVAVLVSLPGLLFSTCYT
+ERNHTYCKTKYSFNSTRWKVLSSLEINILGLVIPLGIMLFCYSMIIRTLQHCKNEKKNKA
+VKMIFAVVVLFLGFWTPYNVVLFLETLVELEVLQDCTFERHLDYAIQTTETLAFVHCCLN
+PVIYFFLGEKFRKYIVQLFKTCRGTLVLCQYCRLLPMYTDTPSSSYTQSTVDHDLRDAL
+>tr|A6QPA2|A6QPA2_BOVIN CCDC92 protein OS=Bos taurus OX=9913 GN=CCDC92 PE=2 SV=1
+MAATNLENQLHSAQKNLLFLQREHASTLKGLHAEIRRLQQHCTDLTYELTVKSSDQTGDG
+ASRSSELKKRCEDLEAQLKLKEDENTELLKELEQKNAMITVLENTIKERERKYLEELKVK
+SHKLGVLTSELEQRAGTIAYLTSQLHATKRKLLSAGGTSDGSPAGSPALASYKPAPPKDR
+LPETPRRRMKKSLSAPLHPEFEEVYRFGAESRKLLLREPVDAMPDPTPFLLARESAEVHL
+IKERPLVIPPIASDRSAGEQSSPAREKPHKAHVGVAHRIHHVAPAQAPPEVETLAVDQVN
+GGKVVRKHSGTDRTV
+>tr|Q0VBZ2|Q0VBZ2_BOVIN UBA domain containing 1 OS=Bos taurus OX=9913 GN=UBAC1 PE=2 SV=1
+MFVQEEKIFAGKVLRLHVCASDGAEWLEEATEDTSVEKLKDRCLKHCAPGGLEDPKNVTH
+HKLIHAASERVLSDAKTLLEEHVQDEDVILLIRKRAPAPLPKMAEVSAEEQKKQEQKAPD
+RDAIFRATASLPSHNMDRAVVQTSARDFQTELRKILVSLIEVAQKLLALNPDAVELFRKA
+NAMLDEDEDERMDEAALRQLTEMGFPETRAAKALRLNHMSVPQAMEWLIEHADDPTIDTP
+LPGPSSQGEAGAEAAPAAGTSEEEEEARDELTEIFKKIRRKREFRADARAVISLMEMGFD
+EKEVIDALRVSNNQQNAACEWLLGDRRPSPEELDKGIDPESPLFQAILDNPVVQLGLTNP
+KTLLAFEDMLENPLNSTQWMNDPETGPVMLQISRIFQTLNRT
+>tr|Q9N0X7|Q9N0X7_BOVIN Trophoblast Kunitz domain protein 2 OS=Bos taurus OX=9913 GN=TKDP1 PE=2 SV=1
+MSRLCLSAALLFLLVILVDSTPVYEHHTQDQGLETSHRRGPEKRSIIDVISHVIDGVVKG
+TKIFHGLKGLADIISNGIQGQVMISGTQLENHTVEEFLTQILKHAASKPEFCMEPELKGP
+CKDQMTRYFYNAKTRYCEPFVYGGCEGNKNNFQTLSHCIVTCCPVPVTM
+>tr|A6QR38|A6QR38_BOVIN C23H6ORF47 protein OS=Bos taurus OX=9913 GN=C23H6orf47 PE=1 SV=1
+MFLRRLGGWLPRPWGRRKSTRPDLPTPEPRRMDSSSENSGSDWDSAPETMGDLGSPKTQD
+SGAQRSSGAAPEPSREAQVEQLGYKRMDSLKWEKTASSTQESGRPEAGETIPKLGQDPVD
+SDGTGKRGGSPEEELSPSVAEAPVEKPGRRQKLLGWLRGDTVGGAGAPHQYLGDPEECLQ
+ISTNLTLHLLELLASALLGLCSRPLRAALDALGLRGPLGLWLHGLLSFLAALHGLHAVLS
+LLTAHPLHFACLFGLLQALVLAVSLREPSGDEEATDLEGEKLGREGEEQKGDPGKGL
+>tr|A0A3Q1LKE1|A0A3Q1LKE1_BOVIN Ninjurin 2 OS=Bos taurus OX=9913 GN=NINJ2 PE=4 SV=1
+MSVPPLGGLSHPEETAETRRQGLEPTQSDQRPAGESSRMEPERGIVNPQPGSPSPGSSPL
+VNLNRYATKKSLAESLLDMALFMSNAVQLKAVLDRGPSSYNYFALVTLLSISLILQVVIG
+ILLVVMALLNLNEVEKQWRLNQLNNAATALIFITVMINIFITAFGAHRIGLLAAGTPL
+>tr|E1BAZ1|E1BAZ1_BOVIN C-type lectin domain family 4 member A OS=Bos taurus OX=9913 GN=CLEC4A PE=4 SV=1
+MTSEVTYVEVKFNKPKSSGTESELPAAPKETKLHQSGHSFSKLLLTSLLILLLLLAISFL
+IAFIFFFQKCSYVHKEEKATRELIHTELECVKENSTLEGKDWSCCPKNWGPFSSNCYFIS
+NGAKSWNDSEKECLRMNAHLLVINTKAEQDFITQKLKTDSAYYVGLSDRTLTTGKRHWQW
+VDEIPYNESATFWHEGEPNNPKERCVMLNAPSRKWGWNDCSCNEFHKFICKMMKIYL
+>tr|A8E4R4|A8E4R4_BOVIN EXOSC6 protein OS=Bos taurus OX=9913 GN=EXOSC6 PE=2 SV=1
+MPGDHRRIRGPEESQPPQLYAAGEGEEPAPRDPARLRPVYARAGLLSQAKGSAYLEAGGT
+KVLCAVSGPRPAEGGERGGGPAGAGGEAPAALRGRLLCDFRRAPFSGRRRRAPPGGGEER
+ELALALQEALEPAVRLGRYPRAQLEVSALLLEDGGSALAAALTAAALALADAGVEMYDLV
+VGCGLSRAPAPAPAWLLDPTLLEEERASAGLTVALMPVLNQVAGVLGSGEGGPTESWAEA
+VRLGLEGCQRLYPVLQQCLVRAARRRGAAAPL
+>tr|A6QNW8|A6QNW8_BOVIN Adhesion G protein-coupled receptor E5 OS=Bos taurus OX=9913 GN=ADGRE5 PE=2 SV=1
+MGGPHGGPFLLFHVLCFLLTLSEVGSQNSKACALPCPPNSSCVNGTACRCAPGFISFSGE
+IFTDPLESCDDINECGPPSPVDCGSSADCQNTEGGYYCTCSPGYEPVSGAMIFRNESENT
+CRDVDECSSGQHQCHNSTVCFNTVGSYTCHCREGWEPKHGLKNKQKDTICKEISFPAWTA
+PPGIKSRSLSAFFERVQKMSRDFKPAMAKKSMQDLVGSVDDLLKNSGDLESLDQSSKHVT
+VTHLLSGLEQILRTLAKAMPKGSFTYRSLDNTELSLVVQEQGKGNVTVGQSHARMLLDWA
+VAAAAEESGPTVVGILSSQNMKKLLANASLKLDSEKLKETYKSPVRGAKVTLLSAVSSVF
+LSNTNTEKLDSNVSFAFALHEQPELKPRQELICAFWKKDSNGNGSWATTGCWKMGRGNGS
+ITCQCSHLSSFAILMAHYDVEDPKLALITKVGLALSLACLLLCILTFLLVRPIQGSRTTV
+HLHLCICLFVGSAIFLAGIENEGGEVGTRCRLVAVLLHYCFLAAFCWMSLEGVELYFLVV
+RVFQGQGLRKLWLCLIGYGVPLIIVGISAGAYSKGYGREKFCWLNFEGGFLWSFVGPVTF
+IVLGNAIIFVITVWKLTQKFSEINPDIKKLKKARVLTITAIAQLFVLGCTWVFGLLLFDP
+ESWVLSYIFSILNCLQGFFLFVLYCLLNKKVREEYRKWACMVAGNKYSEFATTTSGSGSS
+HNQTQALRPSESGM
+>tr|A0A3B0IZF8|A0A3B0IZF8_BOVIN Adiponectin B OS=Bos taurus OX=9913 GN=C1QC PE=4 SV=1
+MGSGAWPLLVLNLLLLLLARPLRGQTETYCYGIPGMPGLPGAPGKDGYDGLPGPKGEPGI
+PAAPGTRGPKGQKGDPGTPGYPGKNGPMGTPGIPGAPGTMGPPGEPGVEGRYKQKHQSVF
+SVTRQTVQFPEANSLVKFNRVITNPQGHYDKDSGKFTCKVPGLYYFVFHTSHTSNLCVLL
+YRSGFKVATFCDHMTSAKQVSSGGVLLRLQEGQQVWLAVNDYNGMVGMEGSDSVFSGFLL
+FPD
+>tr|A1L4Z7|A1L4Z7_BOVIN Oxidised low density lipoprotein (Lectin-like) receptor 1 OS=Bos taurus OX=9913 GN=OLR1 PE=2 SV=1
+MTVDDPKGMKDQLDQKPNGKTAKGTTGFVSSWRWYPAAVTLGVLCLGLLVTVILLILQLS
+QVSDLIKKQQANITHQEDILEGQILAQRRSEKSAQESQKELKEMIETLAHKLDEKSKKLM
+ELHRQNLNLQEVLKEAANYSGPCPQDWLWHEENCYQFSSGSFNWEKSQENCLSLDAHLLK
+INSTDELEFIQQTIAHSSFPFWMGLSMRKPNYSWLWEDGTPLTPHLFRIQGAVSRMYPSG
+TCAYIQRGTVFAENCILTAFSICQKKANLLRAQ
+>tr|A5D9E8|A5D9E8_BOVIN Mimecan OS=Bos taurus OX=9913 GN=OGN PE=2 SV=1
+MKTLQSTLLLFLFVPLIKPAPPSQQDSRIIYDYGTDNLEETFFSQDYEDKYLDGKSTKEK
+ETMIIVPDEKSFQLQKDETITPLPPKKENDEMPTCLLCVCLSGSVYCEEVDIDAVPPLPK
+ESAYLYARFNKIKKLTAKDFADIPNLRRLDFTGNLIEDIEDGTFSKLSLLEELTLAENQL
+LKLPVLPPKLTLFNAKYNKIKSRGIKANTFKKLHNLSFLYLDHNALESVPLNLPESLRVI
+HLQFNNITSITDDTFCKANDTSYIRDRIEEIRLEGNPVILGKHPNSFICLKRLPIGSYI
+>tr|Q3ZBZ2|Q3ZBZ2_BOVIN MGC128424 protein OS=Bos taurus OX=9913 GN=SMIM3 PE=2 SV=1
+MEATSQIPMEVVLPKHILDIWVIVLIILATIVIMTSLLLCPATAVIIYRMRSHPILNGAV
+>tr|F1MDU7|F1MDU7_BOVIN DNA topoisomerase 2 OS=Bos taurus OX=9913 GN=TOP2A PE=3 SV=3
+MEVSPLQPVNENMQVSKTKKNEDAKKRLSIERIYQKKTQLEHILLRPDTYIGSVESVTQQ
+MWVYDEDIGINYREVTYVPGLYKIFDEILVNAADNKQRDPKMSCIRITIDPENNLISIWN
+NGKGIPVVEHKVEKMYVPALIFGQLLTSSNYDDEEKKVTGGRNGYGAKLCNIFSTKFTVE
+TASREYKKMFKQTWMDNMGKAGEMELKPFSGEDYTCITFHPDLSKFKMQSLDKDIVALMV
+RRAYDIAGSTKDVKVYLNGNRLPVKGFRSYVDLYLKDKVDETGNPLKVIHEQVNHRWEVC
+LTMSEKGFQQISFVNSIATSKGGRHVDYVADQIVTKLVDVVKKKNKGGVAVKAHQVKNHM
+WIFVNALIENPTFDSQTKENMTLQVKSFGSTCQLSEKFIKAAIGCGIVESILNWVKFKAQ
+IQLNKKCSAVKHNRIKGIPKLDDANDAGGRNSTECTLILTEGDSAKTLAVSGLGVVGRDK
+YGVFPLRGKILNVREASHKQIMENAEINNIIKIVGLQYKKNYEDEDSLKTLRYGKIMIMT
+DQDQDGSHIKGLLINFIHHNWPSLLRHRFLEEFITPIVKVSKNKQEMAFYSLPEFEEWKS
+STPNHKKWKVKYYKGLGTSTSKEAKEYFADMKRHRIQFKYSGPEDDAAISLAFSKKQTDD
+RKEWLTHFMEDRRQRKLLGLPDDYLYGQATTYLTYNDFINKELILFSNSDNERSIPSMVD
+GLKPGQRKVLFTCFKRNDKREVKVAQLAGSVAEMSSYHHGEMSLMMTIINLAQNFVGSNN
+LNLLQPIGQFGTRLHGGKDSASPRYIFTMLSPLARLLFPAKDDHTLKFLYDDNQRVEPEW
+YIPIIPMVLINGAEGIGTGWSCKIPNFDVREVVNNIRRLMDGEEPLPMLPSYKNFKGTVE
+ELAPNQYVISGEVAILNSTTIEISELPIRTWTQTYKEQVLEPMLNGTEKTPPLITDYREY
+HTDTTVKFVVKMTEEKLAEAERAGLHKVFKLQTSLTCNSMVLFDHVGCLKKYDTVLDILR
+DFFELRLKYYGLRKEWLLGMLGAESAKLNNQARFILEKIDGKIIIENKPKKELIKVLIQR
+GYDSDPVKAWKEAQQKVPDEEENEESDSEKETEKSDSATDSGPTFNYLLDMPLWYLTKEK
+KDELCKLRNEKEQELETLKRKSPSDLWKEDLAAFVEELEVVEAKEKQDEQIGLPGKGGKA
+KGKKTQMVEILPSPSGKRVIPRVTEEMKAEAEKKIKKKIKSENTEGSPQEDGMEMESQKL
+RLEKKQKREPVTKTKKQTTLPFKPIKKAKKRNPWSDSESDMSSNESNFDVPPREKESRRA
+AAKTKFTMDLDSDEDFSDFDEDTQDDFVPSNASPPKIQTSPKHNNKELKPLKSASSVTEL
+DADDAEDNAPPPPSSPAADFPAVTETINPVPKKNVTAKKTAAKSQSSTSTTGTKKRAAPK
+KTKKDPDLDSDVSKKPNAPKTKTRRKRKASSSDDSDSNFEKLISKAVTSKKPKEESDDFH
+LDLDSAVGSRAKSVRTKKPIKYLEESDEDDFF
+>tr|A7Z057|A7Z057_BOVIN 14-3-3 protein gamma OS=Bos taurus OX=9913 GN=YWHAG PE=2 SV=1
+MVDREQLVQKARLAEQAERYDDMAAAMKNVTELNEPLSNEERNLLSVAYKNVVGARRSSW
+RVISSIEQKTSADGNEKKIEMVRAYREKIEKELEAVCQDVLSLLDNYLIKNCSETQYESK
+VFYLKMKGDYYRYLAEVATGEKRATVVESSEKAYSEAHEISKEHMQPTHPIRLGLALNYS
+VFYYEIQNAPEQACHLAKTAFDDAIAELDTLNEDSYKDSTLIMQLLRDNLTLWTSDQQDD
+DGGEGNN
+>tr|F1MHS5|F1MHS5_BOVIN Protein S100-A9 OS=Bos taurus OX=9913 GN=S100A9 PE=3 SV=3
+MEDKMSQMESSIETIINIFHQYSVRLGHYDTLIQKEFKQLVQKELPNFLKKQKKNEAAIN
+EIMEDLDTNVDKQLSFEEFIMLVARLTVASHEEMHNTAPPGQGHRHGPGYGKGGSGSCSG
+QGSPDQGSHDQGSHGHGHGHSHGGHGHSHGGHGHSH
+>tr|A0A3Q1M837|A0A3Q1M837_BOVIN Peptidyl-glycine alpha-amidating monooxygenase OS=Bos taurus OX=9913 GN=PAM PE=4 SV=1
+MAGFRSLLVLLLVFPSGCVGFRSPLSVFKRFKETTRSFSNECLGTTRPVIPIDSSDFALD
+IRMPGVTPKQSDTYFCMSVRLPMDEEAFVIDFKPRASMDTVHHMLLFGCNMPASTGNYWF
+CDEGTCTDKANILYAWARNAPPTRLPKGVGFRVGGETGSKYFVLQVHYGDISAFRDNHKD
+CSGVSLHLTRLPQPLIAGMYLMMSVDTVIPPGGKVVNSDISCHYKKYPMHVFAYRVHTHH
+LGKVVSGYRVRNGQWTLIGRQSPQLPQAFYPVEHPVDVSFGDILAARCVFTGEGRTEVTH
+IGGTSSDEMCNLYIMYYMEAKHAVSFMTCTQNVAPDIFRTIPPEANIPIPVKSDMVMMHG
+HHKETENKDKTSLLQQPKREEEGVLEQDFHVEEALDWPGVYLLPGQVSGVALDPQNNLVI
+FHRGDHVWDGNSFDSKFVYQQRGLGPIEEDTILVIDPNNAAVLQSSGKNLFYLPHGLSID
+KDGNYWVTDVALHQVFKLDPKSKEGPLLTLGRSMQPGSDQNHFCQPTDVAVDPDTGTIYV
+SDGYCNSRLVQFSPSGKFITQWGEASLESSPKPGQFRVPHSLALVPPLGQLCVADRENGR
+IQCFKTDTKEFVREIKHPSFGRNVFAISYIPGLLFAVNGKPYFEDQEPVQGFVMNFSSGE
+IIDVFKPVRKHFDMPHDIAASEDGTVYVGDAHTNTVWKFTSTEKMEHRSVKKAGIEVQEI
+KESEAVVETKMENKPASSELQKIQEKQKLVKEPGSGVPAVLITTLLVIPVVVLLAIALFI
+RWKKSRAFGDSERKLEASSGRVLGRLRGKGGGGLNLGNFFASRKGYSRKGFDRLSTEGSD
+QEKDEDASESEEEYSAPPPAPAPSS
+>tr|E1BI09|E1BI09_BOVIN Glycine-N-acyltransferase like 3 OS=Bos taurus OX=9913 GN=GLYATL3 PE=4 SV=2
+MQVLNCSTKLLLLEKMLKSHFPESLKVYGAVMNINRGNPFQKEVVLDSWPDFKAIITRRQ
+KEAETDNLDHYTNAYAVFYKDVNAYRQLLEEHGVINWDQVFQIQGLQSELYDVSKAVADS
+KQVDVKLASFKAACLPPISTVDTSFLMNSSVQLTYLSIADVDLLNQTWSRGGNEQCRRYI
+ANLISCFPSVCVRDDKGNPMSWSMTDQFATMCHGYTMPEHRRKGYNRLVILMLARKLQSR
+GFPCQGNILDDNTTSINLTKSIHSDFLPCRFHRLILTPPAFSGQVHP
+>tr|A5D9B4|A5D9B4_BOVIN Heterogeneous nuclear ribonucleoprotein H2 OS=Bos taurus OX=9913 GN=HNRNPH2 PE=2 SV=1
+MMLSTEGREGFVVKVRGLPWSCSADEVMRFFSDCKIQNGTSGIRFIYTREGRPSGEAFVE
+LESEDEVKLALKKDRETMGHRYVEVFKSNSVEMDWVLKHTGPNSPDTANDGFVRLRGLPF
+GCSKEEIVQFFSGLEIVPNGMTLPVDFQGRSTGEAFVQFASQEIAEKALKKHKERIGHRY
+IEIFKSSRAEVRTHYDPPRKLMAMQRPGPYDRPGAGRGYNSIGRGAGFERMRRGAYGGGY
+GGYDDYGGYNDGYGFGSDRFGRDLNYCFSGMSDHRYGDGGSSFQSTTGHCVHMRGLPYRA
+TENDIYNFFSPLNPMRVHIEIGPDGRVTGEADVEFATHEDAVAAMAKDKANMQHRYVELF
+LNSTAGTSGGAYDHSYVELFLNSTAGASGGAYGSQMMGGMGISNQSSYGGPASQQLSGGY
+GGGYGGQSSMSGYDQVLQENSSDYQSNLA
+>tr|A6QPC1|A6QPC1_BOVIN GNAS protein OS=Bos taurus OX=9913 GN=GNAS PE=2 SV=1
+MDRRSRPQLGRRARHNYNDLCPPIGRRAATALLWLSCSIALLRALATSSTRAQQRAAAQR
+RTFLNAHHRSAAQVFPEPPESDHEDTDFEPSLPECPEYQEEEFDYESETESESEIESETE
+FETESDTAPTTEPETEPEDEPGPVVPKRPTFHQSLTERLSALRLRSPDASPSRAPPSTQE
+SESPRQGEEPENKDPRDPEESEEPKEEEKQQQHRCKPKKPTRRDPSPESPSKRGAIPIRR
+H
+>tr|Q58DB8|Q58DB8_BOVIN Phosphopantothenoylcysteine decarboxylase OS=Bos taurus OX=9913 GN=PPCDC PE=2 SV=1
+MEPNSGRLPGLEATGPQMEPRATSSAAAPLRRQFRVLVGVTGSVAALKLPLLVSKLLDIP
+DLEVAVVTTERAKHFYSPRDVPVTLYSDADEWEMWKRRSDPVLHIDLRRWADLMLVAPLD
+ANTLGKVASGICDNLLTCVIRAWDRSKPLLFCPAMNTAMWEHPITEQQVGQLKDFGYIEI
+PCVAKKLVCGDQGLGAMAEVGTIVGKVKEVLSQRQLPAELNPGFLSPASL
+>tr|Q08DH0|Q08DH0_BOVIN SSX family member 2 interacting protein OS=Bos taurus OX=9913 GN=SSX2IP PE=2 SV=1
+MGDWMTVTDPGLSSESKTISQYTSETKMSPSSLYSQQVLCSSIPLSKNVHSYFSAFCTEE
+NIEQSISYLDQELTTFGFPSLYEESKGKETKRGLNIVAVLNCMNELLVLQRKNLLAQENV
+ETQNLKLGSDMDHLQNCYAKLKEQLETSRREMIGLQERDRQLQCKNRNLHQLLKNEKDEV
+QKLQNIIASRATQYNHDMKRKEREYNKLKERLHQLVMNKKDKKIAMEVLNYVGRADGKRG
+SWRTGKTEARNEDEMYKILLNDYEYRQKQILMENAELKKVLQQMKKEMISLLSPQKQKPR
+ERADDSTGTVISDIEEDAGELSRESIWDLSCETVREQLTNSIRKQWRILKSHVEKLDNQV
+SKVHLEGFNDEDVISRQDHEQETEKLELEIQQCKEMIKTQQQLLQQQLATACDDDTTSLL
+RDCYLLEEKERLKEEWSLFKEQKKNFEKERRSFTEAAIRLGLERKAFEEERASWLKQQFL
+NMTTFDHQNSENVKLFSAFSGSSDRDTPTLHSRSRQKKPHGVPSGSPVCTSKLTKSLPAS
+PSTSDFCQTRSCASEHSSINVLNITTEETKPNQVGRECTNQKWSMSSRPGSQEGCYSGCS
+LAYTNSHVEKDDLP
+>tr|Q08DS2|Q08DS2_BOVIN Carbohydrate sulfotransferase OS=Bos taurus OX=9913 GN=CHST12 PE=2 SV=1
+MTKSRLFRLWLVLGSAFMVLLIIVYWDNMGPAHFNLHTALSRPHALKPFPSPNSASGDVF
+TSSLEILESFVREKQGPLLSKRVEQPSLPASSKPVLGNLEESVRGYDWSSHAAQQSADPD
+ARQAERRSVLRGFCANDSFVFPTKERSFDDIPNYELNHLIVDDRHGVIYCYVPKVACTNW
+KRVMIVLSQSLSDQGTPYRDPLDIPREYVHNSSTHLTFNKFWRRYGKFSRHLMKIKLKKY
+TKFLFVRDPFVRLISAFRSKFELENEEFYQKFAVPMLKRYSNHTSLPASVSEAFSTGLRL
+SFATFIQYLLDPHTEQLAPFNEHWRQVHRLCHPCQIDYDFVGKLETLDQDAAQLLRLLKV
+DKLLQFPPSYRNRTASSWEEGWFAKIPLAWRQQLYKLYEADFVLFGYPKPENLLRD
+>tr|Q3SZN8|Q3SZN8_BOVIN Ribonuclease/angiogenin inhibitor 1 OS=Bos taurus OX=9913 GN=RNH1 PE=1 SV=1
+MKLDIQCEQLSDARWTELLPLIQQYEVVRLDDCGLTEVRCKDIGSALQANASLTELSLRT
+NELGDGGVLLVLQGLQSPTCKIQKLSLQNCCLTEAGCGVLPGVLRSLPTLRELHLSDNPL
+GDAGLRLLCEGLLDPRCRLEKLQLEYCSLTAASCEPLAAVLRATRDLKELVVSNNDIGEA
+GVQALCRGLAESACQLETLKLENCGLTAANCKDLCGIVASQASLKDLDLGSNRLGDAGLA
+ELCPGLLSPSSQLRTLWLWECDLTVSGCRELCRVLQAKEALKELSLAGNSLGDEGAQLLC
+ESLLQPGCQLESLWVKSCGFTAACCQHFSSMLTQNKHLLELQLSSNPLGDAGVHVLCQAL
+GQPGTVLRVLWVGDCELTNSSCGGLASLLLASPSLRELDLSNNGLGDPGVLQLLGSLEQP
+ACSLEQLVLYDIYWTEAVDERLRAVEESKPGLRIIS
+>tr|A0JNG2|A0JNG2_BOVIN NFE2L3 protein OS=Bos taurus OX=9913 GN=NFE2L3 PE=2 SV=1
+MNSPTHYNVNFSEAVSHDVSLHEAMLRCSDNTFRRDPVARTSQPQEQILQLSSITNTEQT
+LPGTNLTGFLPFVDNQMRNLSQDHLYDLDINILDETNLMSLATGFDPMEVSWLLEEPGYD
+SGLSLDSSNNSTSVIKSNSSHSICEGATGSSSDLSHHDLEGAVGGYCPEPSKLCYMNDKS
+DSHFHGDLVFQHVSHNHTYHLEPSALESTSESFSMPGKSQKISRYLNDTDRNLSRDEQRA
+KALHIPFSVDEIIRMPVDSFNSMLSRHYLTDLQVSLIRDIRRRGKNKVAAQNCRKRKLDV
+ILNLEDDVCSLQAKKETLKREQSQCHKAINIMKQKLHHLYCDVFSRLRDDQGRPVSPNQY
+ALQCTHDGSVVIVPKELIIPGQKKENQKGKRKNERKN
+>tr|A0A3Q1MKS0|A0A3Q1MKS0_BOVIN Synapse differentiation-inducing gene protein 1-like OS=Bos taurus OX=9913 GN=SYNDIG1L PE=4 SV=1
+MPAAVSVLLSPAGPLRTAQLATKSQGSGAPLPGAAPRTMESLSELQNPLLPRSPTHLHGP
+YPYPEASPAWPCREKIYSYLLGGAGPAHAHQLLDPGSLQLAVEAWYRPSCLLGRDKVKEP
+RAGSCETSFTEGREPPAGPTERSTEPGQAEEDVAIQTVSYGVQEEFQGQEGDPEEEESDA
+TSTESESEDNFLTLPPRDHLGLTIFSMLCCFWPLGIAAFYFSQGVRAHGGPGLLLWPPPL
+PRGPGVRAWGAGTVLLGMGMFPFTSASLSLDQQGHLQGGLPPGQHHLPPGPLPGDTLHCC
+GGWSLCSRGGGPGSLYVPEWPQLMAKKVWHPDSPTLPKEVEMGYWG
+>tr|E1BNI9|E1BNI9_BOVIN Transient receptor potential cation channel subfamily V member 3 OS=Bos taurus OX=9913 GN=TRPV3 PE=2 SV=3
+MSLCRTAMKAHPKEMVPLTGRRATIPFVNPAIMQEKRPSEITPTKKSAHFFLEIEGFEPN
+PTVAKTSPPIFSKPMDSNIRQCVSGNCDDMDSPQSPQDDVTETPSNPNSPSANLAKEEQR
+RKKKRLKKRIFTAVSEGCVEELLELLGELQELCKRRHSLDVPDFLMHKLTALDTGKTCLM
+KALLNINPNTKEIVRILLAFAEENDILDRFINAEYTEEAYEGQTALNIAIERRQGDITAA
+LIAAGADVNAHAKGVFFNPKYQHEGFYFGETPLALAACTNQPEIVQMLMENEQTDITSQD
+SRGNNILHALVTVAEDFKTQNDFVKRMYDMILLRSRTWELETTRNNDGLTPLQLAAKMGK
+AEILKYILSREIKDKRLRSLSRKFTDWAYGPVSSSLYDLTNVDTTTDNSVLEIIVYNTNI
+DNRHEMLTLEPLHTLLHMKWKKFAKYMFFLSFCFYFFYNITLTLVSYYRPREEEALPHPL
+ALTHKMGWLQLLGRMFVLIWAMFISVKEGIAIFLLRPSDLQSILSDAWFHFVFFAQAVLV
+ILSVFLYLFAYKEYLACLVLAMALGWANMLYYTRGFQSMGMYSVMIQKVILHDVLKFLFV
+YIVFLLGFGVALASLIEKCPKSHENCSSYGSFSDAVLELFKLTIGLGDLNIQQNSKYPIL
+FLFLLITYVILTFVLLLNMLIALMGETVENVSKESERIWRLQRARTILEFEKILPEWLRS
+RFRMGELCKVAEDDFRLCLRINEVKWTEWKTHVSFLNEDPGPGRRTADSNKIQDSSRSNS
+KTTLNAFEEIDEFPETSV
+>tr|A5D7G0|A5D7G0_BOVIN Tyrosine--tRNA ligase OS=Bos taurus OX=9913 GN=YARS2 PE=2 SV=1
+MAAPMLRCISRSQWLGTQALSGVLPLGLREAHSAAQGLLAVQKARGLFKEFFPEKGTKTE
+LPELFDRGTGGKFPQTIYCGFDPTADSLHVGHLLALLGLFHFQRAGHNVIALVGGATARL
+GDPSGRTKEREALDAERVQSNARALSQGLKALAANHQQLFANGRTWGSFTVLDNSAWYQK
+QDLVNFLAAVGGHFRMGTLLSRLSVQTRLKSSEGMSLAEFLYQVLQAYDFYYLFQHYGCR
+VQLGGSDQLGNIMSGYEFIHKLTGEDVFGISVPLITSTTGAKLGKSAGNAVWLNRDKTSP
+FELYQFFLRQQDDLVERYLKLFTFLPLPEIDHIMQLHVKEPEKRGPQKRLAAEVTKLVHG
+QEGLASAKRCTQALYHSSIDALEVMSDQELKELFKEASFSELVLDPGTSVLDTCRKANAI
+PDGPRGYRMITEGGVSINHRQVTNPESVLVVGQHILKNGLSLLKIGKRNFYIIKWLRL
+>tr|A0A3Q1M3C1|A0A3Q1M3C1_BOVIN TEA domain transcription factor 1 OS=Bos taurus OX=9913 GN=TEAD1 PE=4 SV=1
+MERMSDSADKPIDNDAEGVWSPDIEQSFQEALAIYPPCGRRKIILSDEGKMYGRNELIAR
+YIKLRTGKTRTRKQVSSHIQVLARKKVREIQAAIKVSSHIQVLARRKSRDFHSKLKVTSM
+DQTAKDKALQHMAAMSSAQIVSATAIHNKLGLPGIPRPTFPGAPGFWPGMIQTGQPGSSQ
+DVKPFVQQAYPIQPAVTAPIPGFEPASAPAPSVPAWQGRSIGTTKLRLVEFSAFLEQQRD
+PDSYNKHLFVHIGHANHSYSDPLLESVDIRQIYDKFPEKKGGLKELFGKGPQNAFFLVKF
+WADLNCNIQDDAGAFYGVTSQYESSENMTVTCSTKVCSFGKQVVEKVETEYARFENGRFV
+YRINRSPMCEYMINFIHKLKHLPEKYMMNSVLENFTILLVVTNRDTQETLLCMACVFEVS
+NSEHGAQHHIYRLVKD
+>tr|A6QLB3|A6QLB3_BOVIN ITGA2B protein OS=Bos taurus OX=9913 GN=ITGA2B PE=2 SV=1
+MARALCLLRALWLLEWVQLLLGPGAMPPTWALNLDSVQFTVYTGPNGSHFGFSLDFYKNS
+NGSVYVVVGAPRTLGHSEEETGGVFLCPWKAEGGQCISLPFDLYDETRSIGTQTFQTFKA
+GQGLGASVVSWRDSIVACAPWQHWNVLDRNEEEAQKTPVGGCFVAQLQNGDRTEYSPCRD
+NKMSQFYERNHFRDDRRYCEAGFSSVVTQAGELVLGAPGGYYFVGLLARAPIADIISSYR
+PSTLLWHVPTQFTYDQSHLQYYDGYRGYSVAVGNFDGNPNTTEYVFGAPTWSWTLGAVEI
+LDSYHQMLHRLHGEQMASYFGHSVAVTDVNGDGRHDLLVGAPLYMESRADRKLAEVGRVY
+LFLQTRGARMLGAPNLLLTGTQLYGRFGSAIAPLGDLNRDGYNDVAVAAPCGGPNGQGQV
+LVYLGQSEGLNPSPSQVLDSPFPTGSGFGFSLRGATDIDDNGYPDLLVGAYGASKVVVYR
+AQPVVMVTVQLMVQDSLNPAVKTCVLSQTKTPVSCFNIQMCVGATGHNIPEKLHLNAELQ
+LDRQKPRQGRRVLLLDSQQAGTILNLDLRGRHNPNCSTATAFLRDEADFRDKLSPIVLSF
+SVSLPPEKDGGAPALVLHGNTHVQEQTRIILDCGDDDLCVPQLQLTASVKGSPLLIGADN
+VLELQMDAANEGEGAYEAELTVYLPPGAHYMRAVSNMEGFERLICNQKKENETKVVLCEL
+GNPMKSNAQIEVMMWVSVEKLEEAGEQVSFLLQIRSKNSQNPNSEMVELDVPVRAVAHVE
+LRGNSFPASLVVAAEEGNGQNSSDSWGPKVEHTYELHNNGPGAVSGLRLNLYLPSQSQPS
+DLLYILDIHPQGGLQCASQPSPNPLQLEWRLPTPSPSPAHHKRDRRQAVLPEEKQPSRLQ
+DPILVSCDSAPCTVVQCELQEMARGQRVMVTVLALLSRSILQERPLDQFVLQSHAWFNVS
+SFPYSVPALSLPSGEALVQTQLLRVSEEREIPMWWVLVGVLGGLLLLTFLILAMWKVGFF
+KRNRPPLEEDDEEE
+>tr|Q08E44|Q08E44_BOVIN Microspherule protein 1 OS=Bos taurus OX=9913 GN=MCRS1 PE=2 SV=1
+MDKDSQGLLDSSLMASGTASRSEDEESLAGQKRASSQALGTIPKRRSSSRFIKRKKFDDE
+LVESSLAKSSTRAKGASGVEPGRCSGSEPSSSEKKKVSKTPSTPVPPSPAPAPGLTKRVK
+KSKQPLQVTKDLGRWKPADDLLLISAVLQTNDLTSVHLGVKFSCRFTLREVQERWYALLY
+DPVISKLACQAMRQLHPEAIAAIQSKALFSKAEEQLLSKVGSTSQPTLETFQDLLHRHPD
+AFYLARTAKALQAHWQLMKQYYLLEDQTVQPLPKGDQVLNFSDAEDLIDDSKLKDMRDEV
+LEHELTVADRRQKREIRQLEQELHKWQVLVDSITGMSSPDFDNQTLAVLRGRMVRYLMRS
+REITLGRATKDNQIDVDLSLEGPAWKISRKQGVIKLKNNGDFFIANEGRRPIYIDGRPVL
+CGSKWRLSNNSVVEIASLRFVFLINQDLIALIRAEAAKITPQ
+>tr|F1MYC6|F1MYC6_BOVIN Probable ATP-dependent RNA helicase DDX4 OS=Bos taurus OX=9913 GN=DDX4 PE=2 SV=2
+MGDEDWEAEIIKPHISSYVPVFEKDRYSSGANGDTFNRTPASSSEMGDGSSRRDHFMRSG
+FASGRSLGNRDPGESNKRENTSTVGGFGVGKSFGNRGFSNNKFEEGDSSGFWRESSIDCE
+DNQTRNRGFSKRGGYQDGNDSEALGSSRRGGRGSFRGCRGGFGRGSPNSDYEQDEGTQRS
+GGIFGSRRSALSGAGNGDTFQSRSGGGSGRGGYKGLNEEVITGSGKNSWKSEAEGGESGD
+TQGPKVTYIPPPPPEDEDSIFAHYQTGINFDKYDTILVEVSGHDPPPAILTFEEANLCQT
+LNNNIAKAGYTKLTPVQKYSIPIIQGGRDLMACAQTGSGKTAAFLLPILAHMMRDGITAS
+RFKELQEPECIIVAPTRELINQIYLEARKFSFGTCVRAVVIYGGTQLGHSIRQIVQGCNI
+LCATPGRLMDVIGKEKIGLRQVKYLVLDEADRMLDMGFGPEMKKLISCPGMPSKEQRQTL
+MFSATFPEEIQRLAGEFLKSNYLFVAVGQVGGACRDVQQTILQVGQYSKREKLVEILRNI
+GDERTMVFVETKKKADFIATFLCQEKISTTSIHGDREQREREQALGDFRCGKCPVLVATS
+VAARGLDIENVQHVINFDLPSTIDEYVHRIGRTGRCGNTGRAISFFDLESDSQLAQPLVK
+VLSDAQQDVPAWLEEIAFSTYGPGFSGNARGNVFASVDTRKNYHGKSSLNTAGFSSTQAP
+NPVDDESWD
+>tr|Q3SX06|Q3SX06_BOVIN Myocilin OS=Bos taurus OX=9913 GN=MYOC PE=2 SV=1
+MPAVQLLLLACLLGGVGARTAQFQKANDRSGRCQYTFSVASPSESSCPEQGQAMLAIQEL
+QRDSSEQRATLESTKARLSSLEALLHRLTSGQPAGPLETHQGLQRELEALRREREQLETQ
+TQELESAYSNLVRDKSALEEEKRRLQAENEDLARRLESSSQEVASLRRGQCPQAHSSSQD
+VPSGSREVAKWNLENMDFQELKSELTEVPASQILKESPSGHPRNEEGGTGCGELVWVGEP
+ITLRTAETITGKYGVWMRDPQATFPYTGETTWRIDTVGTDIRQVFEYDHIRQFTQGYPSK
+VHVLPRPLESTGAVVYRGSLYFQAAESRTVLRYDLRTETLKAEKEIPGAGYHGQFPYSWG
+GYTDIDLAVDEIGLWVIYSTEAAKGAIVLSKLNPETLELEQTWETNIRKQSVANAFIICG
+TLYTVSSYSSPDATVNFAYDTGTGSSKALTVPFKNRYKYSSMIDYNPLERKLFAWDNFNM
+VSYDIKLSRL
+>tr|Q2T9V1|Q2T9V1_BOVIN Peptidylprolyl isomerase (Cyclophilin)-like 2 OS=Bos taurus OX=9913 GN=PPIL2 PE=2 SV=1
+MGKRQHQKDKMYITCAEYTHFYGGKKPDVPQTNFRRLPFDHCSLSLQPFAYPVCTPEGVV
+FDLLNIVPWLKKYGTNPSNGEKLDGRSLIKLNFAKNSEGKYHCPVLFTVFTNSSHIVAIR
+TTGNVYAHEAVEQLNIKAKNFRDLLTDEPFCRQDIITLQPRPLPGPCLLLPSSCVAQDVP
+GSLPDTFFVPQDPTNLDKFNVSNFFHVKNNMKIIDPDEEKAKQDPSYYLKNTNTETRETL
+QELYKEFKGDEVLAATMRVPEKAKVDKLNAAHYSTGRVSASFTSTAMVPETTHEAAAIDE
+DELRYQFVKKKGYVRLHTSLGDLNLELHCDLTPKTCENFIRLCKKRYYDGTVFHRSIRNF
+VIQGGDPTGTGTGGESCWGKPFRDEFRPNLSHTGRGVLSMANSGPNTNKSQFFITFRSCA
+YLDKKHTIFGRVVGGFDTLTAMENVESDPKTDRPKEEIRIDSTIVFVDPYEEADAQIAEE
+RRKTQLEAAAPESTAKSSQSPQGSQGPQTYRQGVGKYISPAVTKRVAEEEPSTSAAVPVA
+KKKPSRGFGDFSSW
+>tr|W0SK50|W0SK50_BOVIN Collagen alpha-1(XVII) chain OS=Bos taurus OX=9913 GN=COL17A1 PE=2 SV=1
+MDITQKNKRDGTEVTERIITETVTTRLTSLPPKGGTSNGYAKTGSLGGGSRLEKQSLTHG
+SSGYINSSGSLRGNASTSSYRRAHSPASTLPNSPGSTFERKTHVTRHGTYEGSSSGNSSP
+EYPRKEFASSSTRGRSQTRESEIRVRLQSASPSTRWTELDDVKRLLKGSRSASVSPTRNS
+SNTLPIPKKGTVETKVVTASSQSVSGTYDTTILDANLPSHVWSSTLPAGSSMGTYHNNIT
+TQSSSLLNTNAYSAGSVFGVPNNMASCSATLQPGISTSSSVFGMQNNLAPSSSTLSHGMA
+ATSTAYGVKKNMPQSPTAVSTGVSTSAASTTNVQNDDLLHKDCKFLILEKDNTPAKKEME
+LLIMTKDSGKVFTASPASVAATSFSEDTLKKEKQAAYTDTYLVSEANGDVKTVTAKGNGA
+SADIHGYDHRRGGGGGGGSGGALGSGAAGGGGKGSWGAAPTWCPCGSWCSWWKWLLGLLL
+TWLLLLGLLFGLIALAEEVRKLKARVEELEKMRGRLSYNEKMERSSQDSVQGVAPRLGEG
+LGKSELDDYNLEDVWQFMKVRLMTEQENGNLRGSPGPKGDMGVQGPKGDRGFPGTPGIPG
+PLGHQGPEGPKGQKGNVGEPGMEGPMGQRGREGPMGPRGEPGPPGFGEKGDRGDAGKPGI
+PGPPGVPGSVGPKGSSGSPGPQGPPGSIGPQGLRGEVGLPGIKGDKGPMGPPGPKGDQGE
+KGPRGLTGEPGLKGLPGAVGEPGAKGAMGPAGPDGHQGPRGEQGLPGMPGTRGLPGPSGD
+PGKPGLTGPQGPQGIPGTPGRPGVKGEPGAPGKIMTSEGSSTITVPGPPGPPGAMGPPGP
+PGAPGPVGPAGLPGQQGPRGEPGLAGESFMGSSSSFSEVLSTQGIDLRGPPGPPGPPGPP
+GEGLPGPPGPPGSLLTSSETFFSGPPGPPGPPGPKGDQGPPGPRGHQGERGFPGLSGSGS
+SSLGLNLQGPPGPPGPQGPKGDKGDPGVPGAPGIPGGPSRGGSSSSTTFMQGPPGPPGPP
+GPPGSLSSSGLEIQQYISDYMQSDSIRSYLSGVQGPPGPPGPPGPVTTITGETFNYSELA
+SLVVSYLQTSGYNIGTSSTSISSEDILAALRRDDVRQYLQQYLMPQGAGGDWFLQSLDYA
+ELSNRILSYMSSTGVSIGLPGPPGPPGLPGTSYEELLSLLQGSEFRGIVGPPGPPGPPGL
+PGSSWSSISTEDLSSYLQTAGLSSIPGPPGPPGPPGPRGPPGISGALATYAAENSDSFRS
+ELISYLTSPDVRSFIVGPPGPPGPQGPPGDTRLVSTDSSYSRSGSSSSFSRDTSYSSSMG
+IGGASGGSLGEAGAFGMDMGRGYGAAAESGMYGGNGRFGTSFAGGLDYNELAVRVSESLQ
+RQGLLQGMAYTVQGPPGRPGPQGPPGISKIFSAYSNVTEDLMDFFRTYGAIPGPPGQKGE
+MGIPGPKGERGPAGPPGPRGHKGEKGDKGDQFYIGRRRRSIAVKP
+>tr|Q0VCQ2|Q0VCQ2_BOVIN Solute carrier family 27 (Fatty acid transporter), member 4 OS=Bos taurus OX=9913 GN=SLC27A4 PE=2 SV=1
+MLLGASLLGVLLFSWLVLKLPWTQVGLSLFFLYLGSGGWRFIRIFIKTVRRDIFGGTVLL
+RVKAKVRRYLRERRTVPILFAATVQRHPDKTALIFEGTDTHWTFRQLDNYSNSVANFLQA
+QGLASGDVVALFMENRNEFVGLWLGMAKLGVEAALINTNLRRDALLHCLTSSQARALVFG
+SEMAPAVLEIHANLDPSLNFFCSGPWEPSTVPAGTKHLDPLLEDAPKHQPSRPNKGFVDK
+LFYIYTSGTTGLPKAAIVVHSRYYRMAALVYYGFRMRPDDIVYDCLPLYHSAGNIVGIGQ
+CLIHGMTVVIRKKFSASRFWDDCIKYNCTIVQYIGELCRYLLNQPPREAEGQHRVRMALG
+NGLRQCIWTDFCSRFHIPQVAEFYGATECNCSLGNFDGQVGACGFNSRILSFVYPIRLVR
+VNEDTMELIRGPDGLCIPCKPGEPGQLVGVIIQEDPLRRFDGYLNQGTNDKKIAGDVFKK
+GDQAYLSGDVLVMDELGYVYFRDRTGDTFRWKGENVSTTEVEGTLSRLLDMADVAVYGVE
+VPGTEGRAGMAAVASSSGSCDLEHLAQLLQKELPLYARPIFLRFLPELHKTGTFKLQKTE
+LQKEGFDPTVVKDQLFYLDARKGRYVPLDQEAYTRIQAGQEKL
+>tr|Q2KJD5|Q2KJD5_BOVIN Bernardinelli-Seip congenital lipodystrophy 2 (Seipin) OS=Bos taurus OX=9913 GN=BSCL2 PE=2 SV=1
+MVNDPPVPALLWAQEMGHVMAGRARKLLLQFGVFFCTILLLLWVSVFLYGSFYYSYMPTV
+SHLSPVHFHYRTDCESSTSLLCSFPVANVTLAKGGRDRVLMYGQPYRVTLELELPESPVN
+QDLGMFLVTISCYTRGGRIISTSSRSVMLHYRSSLLQMLDTLVFSSLLLFGFAEQKQLLE
+VELYPEYRENSYVPTTGAIIEIHSKRIQMYGAYLRIHAHFTGLRYLLYNFPMTCAFVGVA
+SNFTFLSVIVLFSYMQWVWGGIWPRQRLSLQVNIRNRKRSRKDIQRKVSAHQPGTGPQGQ
+EESPQLSPVTEDGESHADPSGTEGQLSEEEKTEQQPLSGEEELEPEASDGSGSWEDAALL
+TEANLAASGSAPAPETVGSSEPSAGSVRQRPICSSS
+>tr|Q2NKZ7|Q2NKZ7_BOVIN Protein tyrosine phosphatase, mitochondrial 1 OS=Bos taurus OX=9913 GN=PTPMT1 PE=2 SV=1
+MVWVPGAPGGPGDSPGAGPEVATLERPAPAGSSSLGAAAASPPPWPGPRSPASCPAVGGS
+PLRRAGWAGMAAGTLLEAGLARVLYYPTLLYTVFRGKMPGRAHRDWYHRIDSTVLLGALP
+LRSMTRRLVQDENVRGVITMNEEYETRFLCNSSKEWEKAGVEQLRLSTVDMTGVPTLANL
+QKGVQFTIRHQSLGHSVYVHCKAGRSRSATMVAAYLIQVYHWTPEEAIRAITKIRSHIYI
+RPGQLEVLKEFHKVTTAGAAKTEIHHTPLT
+>tr|W8QEC7|W8QEC7_BOVIN Nuclear factor 1 OS=Bos taurus OX=9913 GN=NFIX PE=2 SV=1
+MDEFHPFIEALLPHVRAFSYTWFNLQARKRKYFKKHEKRMSKDEERAVKDELLGEKPEIK
+QKWASRLLAKLRKDIRPEFREDFVLTITGKKPPCCVLSNPDQKGKIRRIDCLRQADKVWR
+LDLVMVILFKGIPLESTDGERLYKSPQCSNPGLCVQPHHIGVTIKELDLYLAYFVHTPES
+GQSDSSNQQGDADIKPLPNGHLSFQDCFVTSGVWNVTELVRVSQTPVATASGPNFSLADL
+ESPSYYNINQVALGRRSITSPPSTSTTKRPKSIDDSEMESPVDDVFYPGTGRSPAAGSSQ
+SSGWPNDVDAGPASLKKSGKLDFCSALSSQGSSPRMAFTHHPLPVLAGVRPGSPRATASA
+LHFPSTSIIQQSSPYFTHPTIRYHHHHGQDSLKEFVQFVCSDGSGQATGQHSQRQAPPLP
+TGLSASDPGTATF
+>tr|Q2HJ20|Q2HJ20_BOVIN Sclerostin domain containing 1 OS=Bos taurus OX=9913 GN=SOSTDC1 PE=2 SV=1
+MLPPAIHFSLIPLACILMKSCLAFKNDATEILYSHVVKPVPAHPSSNSTMNQARNGGRHF
+SNAGLDRNTRVQVGCRELRSTKYISDGQCTSISPLKELVCAGECLPLPVLPNWIGGGYGT
+KYWSRRSSQEWRCVNDKTRTQRIQLQCQDGSTRTYKITVVTACKCKRYTRQHNESSHNFE
+SLSPAKPAQHQRERKRAGKPSKHSMS
+>tr|A6QNX9|A6QNX9_BOVIN SPT3 homolog, SAGA and STAGA complex component OS=Bos taurus OX=9913 GN=SUPT3H PE=2 SV=1
+MNNTAASPMSAATSSSGRSTGKSISFTAELQSMMFSLGDARRPLHETAVLVEDVVHTQLI
+NLLQQAAEVSQLRGARVISAEDLLFLMRKDKKKLRRLLKYMFFRDYKSKIVKGIDEDDLL
+EDKLSGSNNVNKRQKIAQDFLNSIDQTGELLAMFEDDEIDEVKQERMERAERQTRVMDSA
+QYAEFCESRHLSFSKKGSKFRDWLDCGSMEIKPNVIAMEILAYLAYETVAQLVDLALLVR
+QDMVTKAGDPFSHAISATFIQYHNSAEGSCMKPYPESPENTPPPTPTAPSAGSQHSGKTT
+SGSLGNGSAGQDSAKTKQRKRKKSTAACGVEAHSDAIQPSHIREAIRRYGHKIGPLSPFT
+SAYRRNGMAFLAC
+>tr|B0JYR0|B0JYR0_BOVIN Furin OS=Bos taurus OX=9913 GN=FURIN PE=2 SV=1
+MELRPWLFWVVAAAGALVLLVADARGEKVFTNTWAVHIPGGPAVADRVARKHGFLNLGQI
+FGDYYHFWHRAVTKRSLSPHRLRHNRLQREPQVKWLEQQVAKRRAKRDIYQEPTDPKFPQ
+QWYLSGVTQRDLNVKEAWAQGYTGRGIVVSILDDGIEKNHPDLAGNYDPGASFDVNDQDP
+DPQPRYTQMNDNRHGTRCAGEVAAVANNGVCGVGVAYNARIGGVRMLDGEVTDAVEARSL
+GLNPNHIHIYSASWGPEDDGKTVDGPAHLAEEAFFRGVSQGRGGLGSIFVWASGNGGREH
+DSCNCDGYTNSIYTLSISSATQFGNVPWYSEACSSTLATTYSSGNQNEKQIVTTDLRQKC
+TESHTGTSASAPLAAGIIALTLEANKNLTWRDMQHLVVRTSKPAHLNANDWATNGVGRKV
+SHSYGYGLLDAGAMVALAQNWTTVAPQRKCTIDILTEPKDIGKRLEVRKTVTACLGEPSH
+ITRLEHAQARLTLSYNRRGDLAIHLVSPMGTRSTLLAARPHDYSADGFNDWAFMTTHSWD
+EDPSGEWVLEIENTSEANNYGTLTKFTLVLYGTAPEGLPTPPESIGCKTLTSSQACVVCE
+EGFSLHQKNCVQHCPPGFAPQVLDTHYSTENDVEIIRASVCTPCHASCATCQGPAPTDCL
+SCPSHASLDPVEQTCSRQSQSSRESHQQQPPPPPRPPPAEVATEPRLRADLLPSHLPEVV
+AGLSCAFIVLVFVTVFLVLQLRSGFSFRGVKVYTMDRGLISYKGLPPEAWQEECPSDSEE
+DEGRGERTAFIKDQSAL
+>tr|A4IFN7|A4IFN7_BOVIN Ubiquitin-protein ligase E3A OS=Bos taurus OX=9913 GN=UBE3A PE=2 SV=1
+MATACKRSSGEPQSDDIEASRMKRAAAKHLIERYYHQLTEGCGNEACTNEFCASCPTFRR
+MDNNAAAIKALELYKINAKLCDPHPSKKGASSAYLENSKGASNNCSDIKMNKKDGQGARD
+DFKDVTYLTEEMVYEILELCREREDYSPLIRVIGRVFSSAEALVQSFRKVKQHTKEELKS
+LQGKDEDKDEDEKEKAACSAAAMEEDSEASSSRISDSSQGDNNLQKLGPDDVSVDIEAIR
+RVYTRLLSNEKIETAFLNALVYLSPNVECDLTYHNVYSRDPNYLNLFIIVMENRNLHSPE
+YLEMALPLFCKAMSKLPLAAQGKLVRLWSKYSADQIRRMMETFQQLITYKVISTDFNNRN
+LVNDDDAIVAASKCLKMVYYANVVGGEVDTNHNEEDDEEPLPESSELTLQELLGEERRNK
+KGPRVDPLETELAVKTLDCRKPLIPFEEFINEPLNDVLEMDKDYTFFKVETENKFSFMTC
+PFILNAVTKNLGLYYDNRIRMYSERRITVLYSLVQGQQLNPYLRLKVRRDHIIDDALVRL
+EMIAMENPADLKKQLYVEFEGEQGVDEGGVSKEFFQLVVEEIFNPDIGMFTYDESTKLFW
+FNPSSFETEGQFTLIGIVLGLAIYNNCILDVHFPMVVYRKLMGKKGTFLDLGDSHPVLYQ
+SLKDLLEYEGNVEDDMMITFQISQTDLFGNPMMYDLKENGDKIPITNENRKEFVNLYSDY
+ILNKSVEKQFKAFRRGFHMVTNESPLKYLFRPEEIELLICGSRNLDFQALEETTEYDGGY
+TRDSVVIREFWEIVHSFTDEQKRLFLQFTTGTDRAPVGGLGKLKMIIAKNGPDTERLPTS
+HTCFNVLLLPEYSSKEKLKERLLKAITYAKGFGML
+>tr|A7MB22|A7MB22_BOVIN C13H10ORF38 protein OS=Bos taurus OX=9913 GN=FAM171A1 PE=2 SV=1
+MSRSAALLLCLLGCHVWKAVTKTLREPGAGAQEVTLKVHISDASTHQPVPDALIEIFTNQ
+VSIASGTSGTDGVAFIKFQYKLGSQLIVTATKHAYVPNSAPWKPIRLPVFSSLSLGLLPE
+RSATLMVYEDVVQIVSGFQGARPQPRVHFQRRALRLPENTSYSDLTAFLTAASSPSEVDG
+FPYLRGLDGNGTGTWLKSGLGLVHQEGSQLTWTYIAPQLGYWVAAMSPPNPGPVVTQDIT
+TYHTVFLLAILGGMAFILLVLLCLLLYYCRRKCLKPRQHHRKLQLPATLESSKRDQSTSM
+SHINLLFSRRESEFPGPLSVTSQGRPPDAPGSKELMSGVHLEMMSSNGEVDMHTPMLKLS
+YSTSQEFSSREELLSHKEEDKSQISFDNLTPSGTLGKDYHKSVEIFPLKARKPMEREGYE
+SSGNSDYRRSYNAMLSQPLFEKQDRESQASVTHISTGSKLTIQEHMYPAPSSPEKDQLLE
+RRPTECMMSRSVDHLERPTSFPRPGQLICCSSVDQVNDSVYRKVLPALVIPAHYMKLPGD
+HSYVGQPLVVPADPQLEIERLQAELSSPHAGIFPHPSAQIQAQPLSCQAISQQHLQDTGA
+REWTPQSASMSESLSIPASLNDAALAQMNSEVQLLTEKALLELGGGKPLPHPRAWFVSLD
+GRSNAHVRHSYIDLQRAGRNGSNDASLDSGVDMNEPKSARKGRGDPSTLPQSHPPVQEHP
+QKESRAADSSAYTQLVYLEDMDQSGSEGGTTAGTPEDSALRCLLDGSSRRSGGQLPSLQE
+ETTKRISDVAPEPAASPDQRRSAPQDEEDDEDDDDQGEDKKSPWQKREERPLMAFNIK
+>tr|A4IF76|A4IF76_BOVIN MGC140461 protein OS=Bos taurus OX=9913 GN=MGC140461 PE=2 SV=1
+MKGCLLLPLLLLGTVSAVYLEKDAPHLGDLETQADLSQDPEGSGGQEGELAVSGEVLESG
+REEAEDTHDDEGASDPDDLDEDVQCPKEEETVQLPGSPEGKIRHYLMLQTPRTFANAQRV
+CRQCYRGNLASIHNFNVNRLIHHLSIITNYGQVWIGGFIRVQFRCRRFFWIDGSYWNFAY
+WAAGQPTFGTGHCVALNTRGGRWRRAPCNWPLPFVCSF
+>tr|E1B9W1|E1B9W1_BOVIN Transmembrane protein 114 OS=Bos taurus OX=9913 GN=TMEM114 PE=4 SV=1
+MRVHLGALAGAAALTGALSFVLLAAAIGTDFWYIIDTERLERGGPGARGPVGANNRSQLE
+PLSSHSGLWRTCRVQSPCAPLMNPFWQENVTVSDSSRQLLTMHGTFVILLPLSLILMVFG
+GMTGFLSFLLRASCLLLLTGTLFLFGALVTLAGISVYIAYSAAAFQEALCLLQEKTLLDQ
+VDIRFGWSLALGWVSCVAELLTGATFLVAARVLSLRRRQDQAI
+>tr|A4IFM0|A4IFM0_BOVIN SETMAR protein OS=Bos taurus OX=9913 GN=SETMAR PE=2 SV=1
+MPKRKTMEMMLEKKQIRAIFLFEFKMGRKAAETTRNINNAFGPGTAKERTVQWWFKKFRK
+GDESLEDDERSARPSEVDNDQLREIIDADPLKTTRKIAEELKVNHSTVVRHLKQIGKVKK
+LNKWVPHELTEIQKNRRFEVSSSLILRNKNEPFLDRIVTCHEKWILSDNQRQPAQRLDRG
+KTLNLYQKKIMVTVWWSAAGLIHYSFLNPGETITSEKYAQQINEMHQKLQHLQPALVNRK
+GPVLLHDNARPHVAQPALQKFNELGYEVLPHPPHSPDLLPTHYHFFKHLDNFLQGKRFHN
+QQDAENAFQEFVESRSTGFYATGINELTSRWQKCVDCNGSYFD
+>tr|A6QR30|A6QR30_BOVIN Cyclin dependent kinase 16 OS=Bos taurus OX=9913 GN=CDK16 PE=2 SV=1
+MDRMKKIKRQLSMTLRGGRGIDKTNGAPEQIGLDESGGGGGSDLGEAPTRAAPGEPRSVR
+GPLSSAPEIVHEDLKMGSDGESDQASATSSDEVQSPVRVRMRNHPPRKISTEDINKRLSL
+PADIRLPEGYLEKLTLNSPIFDKPLSRRLRRVSLSEIGFGKLETYIKLDKLGEGTYATVY
+KGKSKLTDNLVALKEIRLEHEEGAPCTAIREVSLLKDLKHANIVTLHDIIHTEKSLTLVF
+EYLDKDLKQYLDDCGNIINMHNVKLFLFQLLRGLAYCHRQKVLHRDLKPQNLLINERGEL
+KLADFGLARAKSIPTKTYSNEVVTLWYRPPDILLGSTDYSTQIDMWGVGCIFYEMATGRP
+LFPGSTVEEQLHFIFRILGTPNEDTWPGILSNEEFRTYNYPKYRAEALLSHAPRVDSDGA
+DLLTKLLQFEGRNRISAEDAMKHPFFLSLGDRIHKLPDTTSIFALKEIQLQKEASIRSSS
+MPDSGRPAYRVVDTEF
+>tr|A4FUE1|A4FUE1_BOVIN Nuclear inhibitor of protein phosphatase 1 OS=Bos taurus OX=9913 GN=PPP1R8 PE=2 SV=1
+MAAAANSGSSLPLFDCPTWAGKPPPGLHLDVVKGDKLIEKLIIDEKKYYLFGRNPDLCDF
+TIDHQSCSRVHAALVYHKHLKRVFLIDLNSTHGTFLGHIRLEPHKPQQIPIDSTVSFGAS
+TRAYTLREKPQTLPSAVKGDEKMGGEDDELKGLLGLPEEETELDNLTEFNTAHNKRISTL
+TIEEGNLDIQRPKRKRKNSRVTFSEDDEIINPEDVDPSVGRFRNMVQTAVVPVKKKRVEG
+PGSLGLEESGSRRMQNFAFSGGLYGGLPPTHSEAGSQPHGIHGTALIGGLPMPYPNLAPD
+VDLTPVVPSAVNMNPAPNPAVYNPEAVNEPKKKKYAKEAWPGKKPTPSLLI
+>tr|Q08DI9|Q08DI9_BOVIN Matrix metallopeptidase 19 OS=Bos taurus OX=9913 GN=MMP19 PE=2 SV=1
+MNWQWLWLGFLLPLTVSCWAVGPEEKEAAVDYLLQYGYLQKPLEGPDNFRPEDVIEALRA
+FQEASELPVSGQLDDATSARMRQPRCGLEDPFNQKTLKYLLLGHWRKKHLTFRILNLPST
+LPSSTARAALLQAFQYWSNVAPLTFREVKAGWADIRLSFHGRHSLYCSNSFDGPGRVLAH
+ADIPELGSVHFDEDELWTEGTYRGVNLRIIAAHEIGHALGLGHSRYTQALMAPVYAGYRP
+YFKLHPDDVAGIQALYGKKSPEIEEEEEEEDTALPTIPLVPTEPSPMPDPCSSDLDAVML
+GPRGKTYAFKGNYVWTVTDSGLGPLFQVSALWEGLPGNLDAAVYSPRTQWIHFFKGDKVW
+RYINFKMSPGFPKKLNRVGPNLDAALYWPINKKVFLFKGSGYWQWDELATTDFSRYPKPT
+KGLFTGVPDQPSAAMSWRDGRVYFFKGKQYWRLNQQLRAEKGFPRDIAHNWMHCRPPNTT
+ASDGDTDSSVTGTDHAATG
+>tr|Q17QJ4|Q17QJ4_BOVIN Early growth response protein OS=Bos taurus OX=9913 GN=EGR1 PE=2 SV=1
+MAAAKAEMQLMSPLQISDPFGSFPHSPTMDNYPKLEEMMLLSNGAPQFLGAAGAPEGSSG
+SSSGSSGGGGGGGGGSSSSNSNSSSAFNPQGEASEQPYEHLTAESFPDISLNNEKVLVET
+SYPSQTTRLPPITYTGRFSLEPAPNSGNTLWPEPLFSLVSGLVSMTNPPATSSSASSPAA
+SSSASQSPPLSCAVQSNDSSPIYSAAPTFPTPNTDIFPEPQGQAFPGSAGPALQYPPPAY
+PGAKGGFQVPMIPDYLFPQQQGDLGLGTPDQKPFQGLESRTQQPSLTPLSTIKAFATQSG
+SQDLKALNSTYQSQLIKPSRMRKYPNRPSKTPPHERPYACPVESCDRRFSRSDELTRHIR
+IHTGQKPFQCRICMRNFSRSDHLTTHIRTHTGEKPFACDICGRKFARSDERKRHTKIHLR
+QKDKKADKSAASAATSSLPSYPSPVATSYPSPVTTSYPSPATTSYPSPVPTSYSSPGSST
+YPSPVHNGFPSPSVATTYSSVPPAFPTQVSSFPSSAVTNSFSASTGLSDMTTTFSPRTIE
+IC
+>tr|A5PKD1|A5PKD1_BOVIN NOP14 nucleolar protein OS=Bos taurus OX=9913 GN=NOP14 PE=2 SV=1
+MGKARSAARGKASAAPAGALGGRARPNPNPFEVKVNRQKFQVLGRKTRHDVGLPGVSRAR
+ALQKRTQTLLKEYKERDKSNVFRDKRFGEYNSSISPEEKMMKRFALEQQRQHEKKSIYNL
+NEDEELTHYGQSLADIEKHNDIVDSDSDTEGRGALSAELTATHFGGGSGPVPGKAAQQPS
+GGEEPPKSRRELIEELIARSKQEKRERQAQREDALELTEKLDQDWKEIQALLACKTPKSE
+SGGGKEKPKPDAYDMMVRELGFEMKAQPSNRMKTEEELAREEQERLRRLEAERLRRMLGR
+DEDAHSKRPKHLSADDLNDGFVLDTDDRRLLSYKDGKMNVEEEPSGDSSGGDSGEEEEEK
+GEGWSEGGPEDSDSPDGHSDLESDADSEDARSADGLVGRESDGVEVRAQLQGDQRPSPGE
+RLPRDDRAAARAQLPYTFAVPESCEELKSLLSGKSMEDQLLVVERIQKCNHPSLAVGNKA
+KLEKLFGFLLEYIGDLAVSDPPDLGVIDKLVVPLYDLCQMFPESASDAVKFVLRDAMHEM
+EGTVETTGRAAFPGLDVLIYLKIAGMLFPTSDFWHPVITPALLCLSQLLTKCPVRSLQDA
+VKGLFVCCVFLDYVALSQRFIPELINFLAGILHMATPNKQSQGYTLVHPFRALGKNSELL
+LVSDEEDMATWQRRSLPLQWAGGLKAQTETEANHTRLSCLALCLALVRRCVLAYGTLPSF
+HDIARPLRALLTEHLATRCCPPTLQELSRSVLTEMENQPRHYRPLVCEKSKPVPLKLFTP
+RLVKVLEFGRKQGSTREEQERRRLIHKHKREFKGAVREIRKDNQFLARMRLSEIMERDAE
+RKRKVKQLFNSLATQEGEWKALKRKKFKK
+>tr|E1BIL7|E1BIL7_BOVIN Zinc finger with KRAB and SCAN domains 1 OS=Bos taurus OX=9913 GN=ZKSCAN1 PE=4 SV=1
+MMTAESREATGLSPQAAQEKDGIVIVKVEEEDEEEHMWGQDASLQESPPPDPEVFRQRFR
+HFCYQNTFGPREALSRLKELCHQWLRPEIHTKEQILELLVLEQFLSILPRELQAWLQEYR
+PDSGEEAVTLLEDLELDLSGQQVPGQVHGPEMLARGMVPLDPVQESSSFDLPHEPTQSHF
+KHSSRKPRLLQPRALPATHVPAPHPEGAPRDQAMASALFSADSQAMVKIEDMAVSLILEE
+WGCQNLARRNLNRDTRQENYGNVISQGCENRNENEESTSKAESAEDSASRGETAGKFQKD
+VGEKREQQGRVVERQQRNPEEKAGKEKRDSGPATVKEKKPSTGERGPREKGKGLGRSFSL
+SSNFTTTEEVPTGTKAHRCDECGKCFTRSSSLIRHKIIHTGEKPYECSECGKAFSLNSNL
+VLHQRIHTGEKPHECNECGKAFSHSSNLILHQRIHSGEKPYECNECGKAFSQSSDLTKHQ
+RIHTGEKPYECSECGKAFNRNSYLILHRRIHTREKPYKCTKCGKAFTRSSTLTLHHRIHT
+RERASEYSPASLDTFGAFLKTCV
+>tr|A8E652|A8E652_BOVIN CYP2C19 protein OS=Bos taurus OX=9913 GN=CYP2C19 PE=2 SV=1
+MDLFVVLVICLSILIFLFLWNQRHAKGKLPPGPTPLPIVGNILQINIKNVSKSISKLAED
+YGPVFTLYFGMKPTVVLHGYEAVKQVLIDQSEEFSGRGSLPVADNINQGLGIVFSNGEIW
+KQTRRFSLMVLRNMGMGKRTIEHRIQEEALCLVEALKKTNGSPCDPTLLLSCAPCNVICS
+IIFRNRFEYNDERLLTLIKYFNENSRLVSTPWVELYNTFPSLLHYFPGSHNTIFKNMTEQ
+RKFILEEIKKHQESLDLNNPQDFIDYFLIKMEKEKHNKHSEFTMDNLITTVWDVFSAGTE
+TTSLTLRYGLLLLLKHPEVTAKVQEEIDRVVGRNRSPCMQDKSCMPYTDAVLHEIQRYID
+LVPSSMPHAATQDVKFREYLIPKGTVILTSLTSVLHDDNEFSNPGQFDPGHFLDESGNFK
+KTDHFMAFSAGKRVCVGEGLARMELFLLLVSILQHFTLKSVVDPKHIDTAPSFKGLISIP
+PFCEMCFIPV
+>tr|A6QLY9|A6QLY9_BOVIN Eva-1 homolog B OS=Bos taurus OX=9913 GN=EVA1B PE=2 SV=1
+MDAPRRDMELLSNSLAAYAHIRANPESFGLYFVLGVCFGLLLTLCLLVISISCAPRPRPR
+GPAPRRDLRSSTLEAEDDEEEDDDEEDTVTRLGPDDTLPGPELSTEPDGPLSVNVFTSAE
+ELERAQRLEERERILREIWRTGQPDLLGTGTLGPSPTGTGTLGRMHYY
+>tr|A1XG22|A1XG22_BOVIN NF-kappaB transcription factor p65 subunit OS=Bos taurus OX=9913 GN=RELA PE=2 SV=1
+MDDLFPLIFPAEPAQASGPYVEIIEQPKQRGMRFRYKCEGRSAGSIPGERSTDTTKTHPT
+IKINGYTGPGTVRISLVTKDPPHRPHPHELVGKDCRDGFYEAELCPDRCIHSFQNLGIQC
+VKKRDLEQAISQRIQTNNNPFQVPIEEQRGDYDLNAVRLCFQVTVRDPAGRPLRLAPVLS
+HPIFDNRAPNTAELKICRVNRNSGSCLGGDEIFLLCDKVQKEDIEVYFTGPGWEARGSFS
+QADVHRQVAIVFRTPPYADPGLQAPVRVSMQLRRPSDRELSEPMEFQYLPDTDDRHRIEE
+KRKRTYETFKSIMKKSPFNGPTDPRPPTRRIAVPNRGSASIPKPAPQPYSFTPSLSTINF
+EEFSPMVFPSGQIPSQTSALAPAPTPVLTQTQVLAPAPAPAPGMASTLAQALAPGLAQAV
+TPPAPRTNQTGEGTLTEALLQLQFDTDEDLGALLGNNTDPAVFTDLASVDNSEFQQLLNQ
+GVPMGPHTAEPMLMEYPEAITRLVTGSQRPPDPAPTPLGPPGLTNGLLSGDEDFSSIADV
+DFSALLSQISS
+>tr|A6H736|A6H736_BOVIN RNF166 protein OS=Bos taurus OX=9913 GN=RNF166 PE=2 SV=1
+MAMFRSLVGSAQQRQPPGGPAGGDSALEAQYSCPICLEVYHRPVAIGSCGHTFCGECLQP
+CLQVPSPLCPLCRLPFDPKKVDKAAHVEKQLASYKAPCRGCSKKVTLAKMRVHVSSCMKV
+QEQMANCPKFVPVVPTSQPIPSAVPNRSTFACPYCGARNLDQQELVKHCVDNHRSDPNRV
+VCPICSAMPWGDPSYKSANFLQHLLHRHKFSYDTFVDYSIDEEAAFQAALALSLSEN
+>tr|Q2YDI8|Q2YDI8_BOVIN Makorin ring finger protein 2 OS=Bos taurus OX=9913 GN=MKRN2 PE=2 SV=1
+MSTKQVTCRYFMHGVCREGSQCLFSHDLANSKPSTVCKYYQKGCCAYGARCRYDHTRPSA
+AAGGAVGTMPHGVPSPGFHSPRPASELTASIVKTNLHEPGKREKRTLVLRDRNLSGMAED
+RTCPGVGSSPGGCSDAQSGPELRPHSYLDAIRSGLDDLEAGSSYGGGQQLCPYAAAGECR
+FGDACVYLHGDVCEICRLRVLHPFDPEQRKAHEKVCMAAFEHEMEKAFALQASQDKVCSI
+CMEVVLEKASASERRFGILSSCSHTYCLSCIRQWRCAKQFENPIIKSCPECRVISEFVIP
+SVYWVEDQNKKNELIEAFKQGMGKKACKYFEQGRGTCPFGSKCLYRHAYPDGRLAEPEKP
+RKQLSSEGTVRFLNSVRLWDFIENRESRHAPSTDDVDVTELGDLFMHLSGVEPSET
+>tr|A7YY59|A7YY59_BOVIN Hexosyltransferase OS=Bos taurus OX=9913 GN=B3GALNT2 PE=2 SV=1
+MRNWLVLLCPCVLGAALHLWLRLRSPPPARASGAGPADQLALFPQWKSNHYDVVVGVLSA
+RNNHQLRNVIRSTWLKHLLQHPALSQRVLVKFIIGAHGCTVPVEDREDPYSCKLLNITNP
+VLNQEIEAFSLLEDTSSGLSEDRVVSVSFRVLYPIVITSLGVFYDAGDVGFQRNITVKLY
+QAEQEEALFSARFSPPSCGVQVNKLWYKPVEQFILPESFEGTIVWESQDLQGLVSRNLHK
+VTVNDGGGVLRVVTTGEGALPHEFMEGVEGVAGGFIYTIQEGDALLKTLHSRPRRLLDHI
+HNLHEEDALLKEESSLYDDIVFVDVVDTYRNVPAKLLNFYRWTVETTSFDLLLKTDDDCY
+IDLEAVFNRIALKNLDGPNFWWGNFRLNWAVDRTGKWQELEYPSPAYPAFACGSGYVISR
+DIVHWLAGNSGRLKTYQGEDVSMGIWMAAIGPKRYQDSLWLCEKTCEPGMLSSPQYSPQE
+LAQLWRLKELCGDPCRCEGRG
+>tr|A4IFD4|A4IFD4_BOVIN Adhesion G protein-coupled receptor G7 OS=Bos taurus OX=9913 GN=ADGRG7 PE=2 SV=1
+MASSHACNLRVLVAVVCGLLTAVVLGLGIWRLVIRIQRGTVTSTSSTPIEFCKNGGTRQN
+GRCICPEEWKGLRCTIINFCENSTYGRFTFNRIPVGRYGSSLQVCDESTLNAGNPLAVRQ
+CSLNENGEIELQNVTIGNCNQTLENLENQIVNISTQSQNISNEAQILTSNASNLTPENIM
+SATRVVGQIFNTSRNASSEAKKVAVTTVSQLLDASEDVFQRAATAADSDDTFSTLIEQME
+TYSLSLSDQPVVEPNIAIQSVNVYSGGNEGSTGVLFTVLKGTSNSLTPGSTRVNVDVDKL
+NPNEQTELQLLLNTSKTNVKACGFVVYQNNKLFQSKTFITKSDFSQKIVSSKTDENVEDQ
+SASVEMVFNPRYNRTEFQLHSYACVYWNFSMKDWDTHGCHKQKVTDGFLHCHCNHTTNFA
+VLMSFKKEYKYPESLDVFSTFGCALSIAGLALTIIFQIGTRKVRKTSVTWVLVSLCASML
+IFNLFFVFGIENSNKNIKTSNSETNNTDLNSNVMLRQDTVVISNPKCTAIAILLHYFGLA
+TFVWMGLSAAQLYFLLIRTMKPLPQHFILFNSLIGWGVPAIVVALTVGIICSQNGNNSQW
+ELDYRQEEICWLATQGSSGLIASPLLWSFIVPVTLIIISNIVMFIIIVVKVLWKNNQNLT
+STKKVSSLKKILSTLSVAVVFGITWILAYLMLTDNDDIRLIFSYIFCVFNTTQGLQIFIL
+YTVRTKIFQSKASEVLKSLSSTAGRVKVLPSKPRLHVRMYNMIRSFPALNERFRLLEPST
+LSEEMSESDQVNPST
+>tr|Q17QS3|Q17QS3_BOVIN Monocyte to macrophage differentiation-associated OS=Bos taurus OX=9913 GN=MMD PE=2 SV=1
+MRFQSRFQRFMNHRAPANGRYKPTCYEHAANCYTHAFLIVPAIVGSALLHRLSDDCWEKI
+TAWIYGMGLCALFIVSTVFHIVAWKKSHLRTVEHWFHMCDRMVIYFFIAASYAPWLNLRE
+LGPLASHMRWFIWLMAAGGTIYVFLYHEKYKVIELFFYLTMGFSPALVVTSMNNTDGLHE
+LACGGLIYCLGVVFFKSDGIIPFAHAIWHLFVATAAAVHYYAIWKYLYRSPTDFMRHL
+>tr|A7Z088|A7Z088_BOVIN YEATS domain containing 2 OS=Bos taurus OX=9913 GN=YEATS2 PE=2 SV=1
+MSGIKRTIKETDPDYEDVSVALPNKRHKAIENSARDAAVQKIETIIKEQFALEMKNKEHE
+IEVIDQRLIEARRMMDKLRACIVANYYASAGLLKVSEGSKTCDTMVFNHPAIKKFLESPS
+RSSSPANQRSETPSANHSESDSLSQHNDFLSDKDTNSNMDIEERLSSNTEQRPSRNTGRD
+TSSIAGSHKTEQRNTDLTGDETSRLFVKKTIVVGNVSKYIPPDKREENDQSTHKWMVYVR
+GSRREPSINHFVKKVWFFLHPSYKPNDLVEVREPPFHLTRRGWGEFPVRVQVHFKDSQNK
+RIDIIHNLKLDRTYTGLQTLGAETVVDVELHRHSLGEDSVYPQSSESDISDAPPSLPLTI
+PAPMKASSPIKQSHEPVPDTSVEKEGFPANTEAERHTTFYSLPSSLERTPTKMTTSQKVT
+FCSHGNSAFQPIASSCKIVPQGQIPNPESPGKSFQPITMSCKIVSGSPISTPSPSPLPRT
+PTSTPVHVKQGTTSSVINNPYVIVDKPGQVIGASTPTAGSPTSKLSTASQASQGTGSPIP
+KIHGSSFVTSAVKQEDSLFASMPPLCPIGSHPKVQSPKPITGGLGAFTKVIIKQEPGEAS
+HVPTTGAASQLPLPQYVTVKGGHMIAVSPQKQVLTAGEGTAQSPKIQPSKVVGVPVGSTL
+PSTVKQAVAISGGQILVAKASSSVTKAVGPKQVVTQGVAKAIVSGGGGTIVAQPVQTLTK
+AQVTAAGPQKSGSQGSVMATLQLPATNLANLANLPPGTKLYLTTNSKNPSGKGKLLLIPQ
+GAILRATNNANLQSGSAGSSGGGSSSGGGGGGSSGATGGTGGAQNPAGPGGISQHLTYTS
+YILKQTPQGTFLVGQPSPQTSGKQLTTGSVVQGTLGVSTSSAQGQQTLKVISGQKTTLFT
+QAAPGGQASLMKISDSTLKSVPATSQLSKPGTTMLRVAGGVITTATSPAVALSANGPAQQ
+QSEGTTPGSSSAVGSVMKTSGQQQVCVSQAAVGSCKAPAPSVISATSLVSTPNPISGKAT
+VSGLLKIHSSQSNPQQAVLTIPSQLKPLSVNTSGGVQTILMPVNKVVQSFSTSKSPAILP
+VAAPTPVVPSSAPAAVTKVKTEPETPAPGGPSQDGQTAVKTEDSSELGNYVIKIDHLETI
+QQLLTAVVKKIPLITAKSEDASCFSAKSVEQYYGWNIGKRRAAEWQRAMTMRKVLQEILE
+KNPRFHHLTPLKTKHIAHWCRCHGYTPPDPESLRSDGDSIEDVLTQIDSEPECPSSFSSA
+DNLCRKLEDLQQFQKREPENEEEVDILNVSEPLKINIKKEQEEKQEEMKLYLPPTPGSEF
+IGDITQKIGITLQPVALHKNVYASVVEDMLLKATEQLVSDILRQALAVGYQAASHNRIPK
+EITVSNIHQAICNIPFLDFLTNKHMGILNEDQ
+>tr|A6QPQ1|A6QPQ1_BOVIN NEPN protein OS=Bos taurus OX=9913 GN=NEPN PE=2 SV=1
+MCLLYAFLALLSLCYGLDPHCPGRCSCDSEQSVQCYRLTEVPSGIPSTTKKLYISHSKIQ
+HLQLSNFTQMLALEDFLLLASGTESVENDTFKTLSTLKTLELWKNKLRRVPRALPASLEV
+LKLNDNSIYVLHGSDFEGLKKLKILELKNNLISSISTSMLSSLVSLQSLMLDANNIENVE
+GPLALPHLKHLSMENNKLHLIPASFFTSLQSLQFLSFSSNFLTKIPINLPKSLLSLKMER
+NRLKAVRFRDMKHLENLSHLYLSENSLSSIEGAQLLANLTTLEVSQNQLQTLPLRLPARL
+QKLDISNNLIRKVTAQDFRDLRDLKHLFLDNNIVSLFEAGALQKCSQLSNLALEQNLLLS
+IPLRLPGTLARLDLKGNAIQDIAEREVKDLKQLQVLNLRNNRLSALDLKALEVLPRLRHL
+YLDGNPWNCTCSLLRAREVLKAKGTDVRGGQCVAPAERQGESWMSSKKIMKQCEHHLHLT
+EKSKETKKKPKLEEHASIRINVDDDYYDYEID
+>tr|F1N7W5|F1N7W5_BOVIN PHD finger protein 21B OS=Bos taurus OX=9913 GN=PHF21B PE=4 SV=3
+MAPSTAVSMVSDSVKVQPLLISADNKVIIIQSQVQPQPENTTESRAPTEEPNRGAQASNK
+RKEERPSSQENPEKIAFMVALGLVTTEHLEEIQSKRQERKRRSTANPAYSGLLETERKRL
+ASNYLNTPLFLTARANEDPCWKNEITHDELCAACKRGTNLQPCGTCPRAYHLSCLDPPLK
+TAPKGVWVCPKCQQKALKKDGGVPWTGMLAIVHSYVTHKTVKEEEKQKLLQRGSELQSER
+QQLEERDRRLASAVKKCLELKTSLLARQRGTQSSLDRLRALLRLIQGDQMLQVTMTTTSP
+APLLAGPWTKPSTAAMHSALQHPQGHN
+>tr|A5D790|A5D790_BOVIN CTXN1 protein OS=Bos taurus OX=9913 GN=TIMM44 PE=2 SV=1
+MSATWTLSPEPLPPSTGPPVGAGLDAEQRTVFAFVLCLLVVLVLLMVRCVRILLDPYSRM
+PASSWTDHKEALERGQFDYALV
+>tr|Q17QI3|Q17QI3_BOVIN Acetyl-CoA acetyltransferase 2 OS=Bos taurus OX=9913 GN=ACAT2 PE=1 SV=1
+MSADSDPVVIVSAARTIIGSFNGALSTVPVHDLGSTVIREVLKRAAVAPEEVSEVIFGHV
+LAAGCGQNPVRQASVGAGIPYSVPAWSCQMICGSGLKAVCLAAQSIRIGDSSIVVAGGME
+NMSKAPHLVHLRTGVKIGETPLADSILCDGLTDAFHNYHMGITAENVAKQWQVSREDQDK
+VAVLSQNRTEHAQKAGHFDKEIVPVFVSSKKGLTEVKTDEFPRHGSNMEAVSKLKPCFLM
+DGTGTVTPANASGINDGAAAVVLMKKSEAGNRGLTPLAQIVSWAQAGVEPSIMGIGPIPA
+IKQAVAKAGWSLEDVDVFEINEAFAALSVAIAKELGLNPEKVNTEGGAIALGHPLGASGC
+RILVTLLHTLERKGGHRGVAALCIGGGMGIAMCVQRG
+>tr|Q3ZC77|Q3ZC77_BOVIN Neuroepithelial cell transforming 1 OS=Bos taurus OX=9913 GN=NET1 PE=2 SV=1
+MVAHDEVGGLLPIKRTIRVLDVNNQSFREQEEPSNKRVRPLARVTSLANLISPVRNGAVR
+RFGQTIQSFTLRGDSRSPASAQKFSSRSTVPVPAKRRSSALWSETLDGSMKQSLTSREIR
+RQEAIYEMSRGEQDLIEDLKLARKAYHDPMLKLSIMSEEELTHIFGDLDAYIPLHEDLLE
+RIGEATKPGGTVEHVGQILVNWLPGLNAYKGYCSNQLAAKALLDQKKQDPRVQDFLQRCL
+ESPFSRKLDLWSFLDIPRSRLVKYPLLLKEILRHTPKDHPDVQLLEKAILIIQGVLSDIN
+VKKGESECQYYIDKLEYLEEKQKDPRIESSKVLLCHGELKNKNGHKLHIFLFQDILVLTR
+PVTRNERHSYQVYRQPIPVQELVLEDLQDGDVRMGGSFRGAFGNSDKAKNIFRVRFQDPC
+LGQSHTLQANDVFHKQQWFSCIRNAIAPFQQATGPSELHQECRENPTTTSNARAQRQASM
+VSSMTQGKADGDAAECGAPVHTADHTTGMKAPRAQTSLRKARDRAQVGGKRKETLV
+>tr|E1BNL7|E1BNL7_BOVIN Leucine zipper tumor suppressor family member 3 OS=Bos taurus OX=9913 GN=LZTS3 PE=4 SV=1
+MAKLETLPVRADPGRDPLLAFAPRPSELGPPDPRLAMGSVGSGVAHTQEFAMKSVGTRTG
+GGGNQGSFPGPRSSGVSRERPGRYPSEDKALANSLYLNGELRGSDHTDVCGNVVGSSGGS
+SSSGGSDKAPPQYREPSHPPKLLAASGKLDQCSEPLVRPSAFKPVVPKNFHSMQNLCPPQ
+TNGTPEGRQGPGGLKGGLDKSRTMTPAGGGGSGLSDSGRNSLTSLPTYSSSYSQHLAPLS
+ASTSHINRIGTASYGSGSGGGSSGGGSGYQDLATSDSGRASSKSGSSSSMGRPGHLGSGE
+GGGGGLPFTACSPPSPSALIQELEERLWEKEQEVAALRRSLEQSEAAVAQVLEERQKAWE
+RELAELRQGCSGKLQQVARRAQRAQQGLQLQVLRLQQDKKQLQEEAARLMRQREELEDKV
+AACQKEQADFLPRMEETKWEVCQKAGEISLLKQQLKDSQADVSQKLSEIVGLRSQLREGR
+ASLREKEEQLLSLRDSFSSKQASLELGEGELPSACLKPALTPVDPAEPQDALATCESDEA
+KMRRQAGVAAAASLVSLDGEADTGGESGTRALRREVGRLQAELAAERRARERQGASFAEE
+RRVWLEEKEKVIEYQKQLQLSYVEMYQRNQQLERRLRERGAAGGASTPTPQHGEEKKAWT
+PSRLERIESTEI
+>tr|A6H6Y4|A6H6Y4_BOVIN Carboxypeptidase A1 OS=Bos taurus OX=9913 GN=CPA1 PE=1 SV=1
+MQGLLILSVLLGAALGKEDFVGHQVLRITAADEAEVQTVKELEDLEHLQLDFWRGPGQPG
+SPIDVRVPFPSLQAVKVFLEAHGIRYRIMIEDVQSLLDEEQEQMFASQSRARSTNTFNYA
+TYHTLDEIYDFMDLLVAEHPQLVSKLQIGRSYEGRPIYVLKFSTGGSNRPAIWIDLGIHS
+REWITQATGVWFAKKFTEDYGQDPSFTAILDSMDIFLEIVTNPDGFAFTHSQNRLWRKTR
+SVTSSSLCVGVDANRNWDAGFGKAGASSSPCSETYHGKYANSEVEVKSIVDFVKDHGNFK
+AFLSIHSYSQLLLYPYGYTTQSIPDKTELNQVAKSAVAALKSLYGTSYKYGSIITTIYQA
+SGGSIDWSYNQGIKYSFTFELRDTGRYGFLLPASQIIPTAQETWLGVLTIMEHTVNNLY
+>tr|B5U8Y5|B5U8Y5_BOVIN Growth hormone secretagogue receptor OS=Bos taurus OX=9913 GN=GHSR PE=2 SV=1
+MWNATRSEEPGPNLTLPDLGWDALPDNDSLTDELPPLFPAPLLAGVTATCVALFVVGIAG
+NLLTMLVVSRFRELRTTTNLYLSSMAFSDLLIFLCMPLDLVRLWHYRPWNLGDLLCKLFQ
+FVSESCTYATVLTITALSVERYFAICFPLRAKVVITKGRVKLVILVIWAVAFCSAGPIFV
+LVGVEHENGTDPRDTNECRATEFAVRSGLLTVMVWVSSVFFFLPVFCLTVLYSLIGRKLW
+RRRRGEAAVGASLRDQNHKQTVKMLAVVVFAFILCWLPFHVGRYLFSKSFEPGSVEIAQI
+SQYCNLVSFVLFYLSAAINPILYNIMSKKYRVAVFKLLGFEPFSQRKLSTLKDESSRAWT
+ESSINT
+>tr|A6H760|A6H760_BOVIN GGNBP1 protein OS=Bos taurus OX=9913 GN=GGNBP1 PE=2 SV=1
+MEAPASSPRPRILGRSSMFCFFRRLVGSKRSPKNSDRALRRGQSWPLRGQDAVPLMTDCQ
+GRAGRRESRPPTTLPFARPGALPQPSPSGLEMGDPGAQTSPPAEVLRVVAQGVEVKPVLP
+RGSQEVLGHLSELEEREEAATGEASGNTGTSERGHSAQALAVEPGCVRRAVGPLEFSPEA
+FTREEECLLDGDPRLASSKVGAAPWNRLLGLYKRLQKGAMAKFPLKEGLPREEPGEEEAA
+AAEEDSALKLCVPGIVTLQSPLHKAFRSTDTVGFVEAELKKLLVVQRESRLWKMGGYDGR
+ELLTQPEITLEEAGIVDGQHLLLEEMDEMGNWPPE
+>tr|Q32LH2|Q32LH2_BOVIN Centrin 4 OS=Bos taurus OX=9913 GN=CETN4 PE=2 SV=1
+MASRPSSDQWKKNAAKIELNETQKQEIKEAFDLFDVDGSGTIDVKELKIAMRALGFEPKK
+EEIKKMIAETDKEGIGTISFEKFFAIMSVKMSEKDEKEEILKAFKLFDDDDTGSISLNNI
+KRVAKELGENLTDDELQEMLDEADHDGDGEINKEEFLKMMQKTTLY
+>tr|A0A3Q1M0G9|A0A3Q1M0G9_BOVIN Activating transcription factor 7 interacting protein 2 OS=Bos taurus OX=9913 GN=ATF7IP2 PE=4 SV=1
+MASPDRSKRKILKAKKTMPVSCRKQVEILNKSRNVEALKTAAIENNISSGNQKSSTDVIS
+SKCRHSENDASSLNSIKNSVCGPKSKEFSQNLIKPLEITDSETRLEYTEEQVVYPYEKPS
+KTEFPGKLFIHKAKASQNTSENHFECQASVTRSFFEHEEDCNLKSICHLSSVLSDIVQIP
+KSTKTNTLDDKRIDKIVSYLEANSSAELYDKKQSDILVFSSDDPFVPGDKIPKLVNSVIS
+SNCADDILKSEESYRTCLSSILSCENIDAEWLSSRDTDSNNSHNQKKRMFSENKENVKRM
+KTSEQINENISVALEKQTALLEQVRHLVRQEIYSISYKLFDNKLRELTERIGKTQCKNKH
+EAIADELFVSF
+>tr|D2CNK1|D2CNK1_BOVIN Agouti-related protein OS=Bos taurus OX=9913 GN=AGRP PE=4 SV=1
+MLTAVLLSCALLLAMPPMQGAQMGPAPLEGIGRPEEALFLELQGLSLQPSLKRITEEQAE
+ESLLQEAEAKALAEVLDPEGRKPRSPRRCVRLHESCLGHQVPCCDPCATCYCRFFNAFCY
+CRKLGTTTNPCSRT
+>tr|A0A3Q1MVB9|A0A3Q1MVB9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC112441502 PE=4 SV=1
+MDISACEHLLTERGTQQTAGTMGTPSGPPRRRCIPWNRLLLAVSLLTFWTPPTTAQPTIE
+TMPPLAAEGSDVLLLAHNVAKNPLGYAWYRGERVDNSQLIASYRVDTNATTKGPAHSGRE
+TLYPNGTLLIQSVTQEDTGSYTLLVTNDDLRTERQTGHLHVHPVLPTPVITSNNSNPWEH
+KDTVVLTCGPETQNTSYMWWISNQSLPKSTRLKLSEDKRTLTVFNVTRKEKGPYVCETRN
+LVSISHSDPFTLDVLYPVARPSLQVSNTTVPEHEGPVVLTCLTDETGVSIRWLFKGQSLL
+LADRMTLSSDSSTLTIDPVSREDAGGYQCEVSNRGNSSRSGPLRLRVTWRKNAQALSVGA
+ITGIVIGVLLVLTLLAVLGCFIFLHRGNWPPASTSGRGPSGSSVSQASLPDARPPAPIYQ
+ELLHPDTDVYCNISHKADVGP
+>tr|G3X6N5|G3X6N5_BOVIN Delta-like protein OS=Bos taurus OX=9913 GN=JAG2 PE=4 SV=2
+MINPEDRWKSLHFSGHVAHLELKIRVRCDENYYSPTCNKFCRPRNDFFGHYTCDQYGNKA
+CMDGWMGKECKEAVCKQGCNLLHGGCSVPGECRCSYGWQGRFCDECVPYPGCVHGSCVEP
+WQCTCETNWGGLLCNKDLNYCGSHHPCANGGTCINAEPDQYRCACPDGYSGKNCERAEHA
+CASNPCANGGSCHEVPSGFECHCPSGWSGPTCALDIDECASNPCAAGGTCVDQVDGFECV
+CPEQWVGATCQLDANECEGKPCLNAFSCKNLIGGYYCDCLPGWKGANCHINVDDCRGQCQ
+HGGTCKDLVNGYQCVCPRGFGGRHCEHQLDECASSPCHGGLCEDLVDGFRCHCPQGFSGP
+LCEVDIDLCEPSPCQNGAQCYNLEGDYYCACPDDMGGKNCSEPRAPCPGGACRVVDGCGF
+EAGTRVAGMGPSGVCGPHGHCISQPGGNFSCVCDSGFTGTYCHENIDDCLGQPCRNGGTC
+IDEVDAFRCFCPSGWEGELCDTNPNDCLPDPCHSRGRCFDLVNDFYCMCDDGWKGKTCHS
+REFQCDAYTCSNGGTCYDSGDTFRCACPPGWKGSTCTVAKNSSCLPNPCVNGGTCVGSGD
+SFSCICRDGWEGRTCTHNTNDCNPLPCYNGGVCVDGVNWFRCECAPGFAGPDCRINIDEC
+QSSPCAYGATCVDEINGYRCSCPPGRSGLRCQEVIVFGRSCWSQGMPFPHGSSWVEDCNS
+CRCLDGHRDCSKVWCGQKPCLLAGRPDALSTQCPPGQQCLEKAPGQCLQPPCAAWGACSA
+EEPALPSTPCQPRSGHLDNNCARLTLRFNRDQVPQGTTVGTVCSGIRALPATRAAARDRL
+LVLLCDRPPSEASAVEVALSFSPARDVPDSTLIQSAAHAIVAAITQRGNSSLLLAVTEVK
+VETVVVGRSSTGLLVTVLCGVFSVLCLACVVVCVWWMRKRRKERERSRLPREEGPNNQWA
+PLNPIRNPIERPGGPGGPKDALFPCKNFTPPPRRVGEALPGPAGAGEDEEDEEPGRGEDE
+SPEAEKFLAHKFTKDPGRSPGRPARWASGPKVDNRTLGGVSAARRAGRE
+>tr|A0A3Q1LWI6|A0A3Q1LWI6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MSCIFAVSHDSIQRRVRMSVHNPPRLAILAAIGLLRDEPLAISTLEFLPTELYPPLFMAA
+VFGRHRETLKAMVPAWPFARLPLGSLMQKPHQGTLQAVLEGLDVLLAQKVHPR
+>tr|Q32PB6|Q32PB6_BOVIN Inactive serine/threonine-protein kinase TEX14 OS=Bos taurus OX=9913 GN=TEX14 PE=2 SV=1
+MSRAVHLPVPCPVQLGSLRNDSLEAQLHEYVKQGNYVKVKRILKKGFLTTSRRAAPIF
+>tr|F1MQP3|F1MQP3_BOVIN Histone deacetylase 6 OS=Bos taurus OX=9913 GN=HDAC6 PE=4 SV=3
+MTSTGQDSTTPKERRSRHNPHSPTHDSSITSKRGVKKSAILRSSPSLAEVKKKGRMKKLS
+QTAEQDLIMGLQGLNLNLESRTLSGTGLVFDEQLNEFHCLWDDSFPERPERLHAIKEQLI
+QEGLLDRCVSFQARFAEKEELMLVHSLEYIDLMETTQYMNEEELHVLADTYDSVYLHPNS
+YTCACLASGSVLRLVDAVLEAEIRNGMAIIRPPGHHAQHSLMDGYCMFNHVAVAARYAQQ
+KHDIQRVLIVDWDVHHGQGIQFAFDQDPSVLYFSIHRYEQGRFWPHLKASNWSTTGLGQG
+QGYTINVPWNQVGMQDADYIAAFLHVLLPVAFEFQPQLVLVAAGFDALQGDPKGEMAATP
+AGFAQLTHLLMGLAEGKLILSLEGGYNLHSLAEGVSATLHTLLGDPCPVLESPGAPCPSA
+QASLSCTLEALEPFWESLVRSVESLEEKDTVEKDDVEEKEEERLPQSPELSVPIWPVLQA
+RTGLVYDQQMMDHHNLWDNYHPEMPQRIHFIMHHLDELGLAKRCHSLPARPATDAELLTC
+HSAEHLERLRATEKMKTRELRREGANYDSIYICSSTFACAQLAAGAACRLVEAVLAGEVL
+NGVAVVRPPGHHAEPDAACGFCFFNSVAVAARHAQAISGHALRILIVDWDIHHGNGTQHI
+FEEDPSVLYISLHRYDHGTFFPMGNEGACTRIGKATGTGFTVNVAWNGPRMGDADYLAAW
+HRLVLPVAYEFNPELVLVSAGFDAARGDPLGGCQVSPEGYAHLTHQLMGLANGHIILILE
+GGYNLTSISESMAACTRSLLGDPLPLLTRLRPPLSGAQASITKTIQVHRRYWRSLRVMKR
+KNKEELSSSKLITKKPSQPASPGLANVTTTVEGNILETGMGQAASEASVKESTPDQTESA
+TAPVELTQGQSSDTATQGAALDQTISEGATGGAELIQNPPASCINNRIPPALPVQGATAQ
+TSPSKLMANLRILDLDSTTQEPSEEEGLLGEAAGGQDTNESVPVQVFGDHADTDEVMFYA
+VRPLLWCPHLAAVCPIPETGLNVTQPCQDCGTLQENWVCLSCYQVYCGRYINAHMLQHHE
+GSGHPLVLSYADLSAWCYHCQAYVHHKDLLAVKNIAHQNKFGEDIPHSD
+>tr|F1MQ31|F1MQ31_BOVIN Brevican core protein OS=Bos taurus OX=9913 GN=BCAN PE=4 SV=2
+MAPLFLPLLATLVLAWIPVALADALEGDSSEDRAFRVRIAGDAPLQGVLGGALTIPCHVH
+YLRPSPSRRAAQGSPRVKWTFLSGGREAEVLVARGLRVKVSEAYRFRVALPAYPASLTDV
+SLVLSELRPNDSGIYRCEVQHGIDDSSDAVEVKVKGVVFLYREGSARYAFSFAGAQEACA
+RIGARIATPEQLYAAYLGGYEQCDAGWLSDQTVRYPIQTPREACYGDMDGFPGVRNYGVV
+DPDDLYDVYCYAEELNGELFLGAPPDKLTLEEARTYCQERGAKIATTGQLYAAWDGGLDR
+CSPGWLADGSVRYPIVTPSQRCGGGLPGVKTLFLFPNQTGFPNKHSRFNVYCFRDSAQPS
+AIPEAANPASDLASDALEAIVTVTETLEELKLPQEAVESESRGAIYSIPIIEDGGGGSST
+PEDPAEAPRTLLEFETQSIVPPLGSSEEEGKVLEQEEKYRGEEEKEEEEEEEEVEDEALW
+AWPSELSSLDPEAPLPTEPVPEESLTQASPPVRAALQPGVSPPPYDEPEAPRPPRVLGPP
+TKTLPTPREGNLASPPPSTLVGAREIEEETGGPELSGAPRGESEETGSSEDAPSLLPATR
+APGDTRDLETPSEENSRRTVPAGTSVRAQPVLPTDSASRGGVAVAPSSGDCVPSPCHNGG
+TCLEEEEGVRCLCLPGYGGDLCDVGLHFCSPGWDAFQGACYKHFSARRSWEEAENKCRMY
+GAHLASISTPEEQDFINNRYREYQWIGLNDRTIEGDFLWSDGVPLLYENWNPGQPDSYFL
+SGENCVVMVWHDQGQWSDVPCNYHLSYTCKMGLVSCGPPPELPLAEVFGRPRLRYEVDTV
+LRYRCREGLTQRNLPLIRCQENGRWGLPQISCVPRRPARALRPVEAQEGRPWRLVGHWKA
+RLNPSPNPAPGP
+>tr|Q3T0Z0|Q3T0Z0_BOVIN WAP four-disulfide core domain 2 OS=Bos taurus OX=9913 GN=WFDC2 PE=1 SV=1
+MPACRLGPLLAALLLGLLLGLPPVTGSIAVKPGECPELEGDANCTKACVLDEDCDDNLKC
+CQAGCATVCQMPNDKPGSCPNVDIAFPQLGLCRDQCQVDSQCPDALKCCVNGCGRVSCVT
+PVF
+>tr|A5PJ88|A5PJ88_BOVIN BOLA-DQA5 protein OS=Bos taurus OX=9913 GN=BOLA-DQA5 PE=2 SV=1
+MVLNRALILGTLALTTMMSPSGGEDIVADHVGIYGVNIYQSYGPSGQFTHEFDGDEQFYV
+DLEKKETVWKLPLFSRMLSFDPQFALRNIAIMKLHVDFLTKFSNSTAATNKVPEVTVFSK
+SPVMLGQPNTLICHVDNIFPPVINITWLRNGHSVTEGVSETSFLIKSDYSFLKIKYLTFL
+PSDDDIYDCKVEHWGLDEPLLKHWGLTRTMPLML
+>tr|Q29RH1|Q29RH1_BOVIN Defensin, beta OS=Bos taurus OX=9913 GN=DEFB PE=2 SV=1
+MRVFHLLLLALGLLLSQLGPGASQLTALGQ
+>tr|A0A3Q1MQJ2|A0A3Q1MQJ2_BOVIN BBX, HMG-box containing OS=Bos taurus OX=9913 GN=BBX PE=4 SV=1
+MKGSNRNKDHSAEGEGTGKRPKRKCLQWHPLLAKKLLDFSEEEEEEDEEEDIDKVQLLGA
+DGLEQDVGETEDDESPEQRARRPMNAFLLFCKRHRSLVRQEHPRLDNRGATKILADWWAV
+LDPKEKQKYTDMAKEYKDAFMKANPGYKWCPTTNKPVKSPAPTTVNPRKKLWAFPSDSSR
+DLPSPKKTKTEEMPQLNFGMADPTQMGGLSMLLLAGEHALGTPEISSGTCRPDVPESSEL
+RQKSPLFQFAEISSSTSHPDAPSKQCQASALFQFAEISSNTSHLGGAEPVKRCGKSALFQ
+LAEMCLASEGVKMEESKLIRTKELDGGRIKELEKGKEERDTKMEKTDEARLQKEAEFEKS
+AKENVRDSKEIRNFEELRMDDIIGIKMEAPKEIKKEELEEDQKCGHFPDFSYSASSKIII
+SDVPSRKDHICHPHGIMIIEDPTALSKPEKLKKKKKKNKMDRHGNDKSTPKKACKKRQSS
+ESDIESVMYTIEAVAKGDWGIEKLGDTPRKKVRPSSSGKGSILDAKPPKKKVKSREKKMS
+KEKSSDPTKESRPPDFISISASKNISGEVPEGIKAEPLTPTEDALPPTLSGQAKPEDSDC
+HRKIETCGSRKSERSCKGALYKTLVSEGMLTSLRANVDRGKRSSGKGNSSDHEGCWNEES
+WTFSQSGTSGSKKFKKTKPKEDSVLGSTKLDEEFEKKFNSLPQYSPVTFDRKCVPVPRKK
+KKTGNMSAEPTKTSKGPFQSQKKNLFHKIVSKYKHKKEKPNVPEKGSGDKWSSKQLFLDA
+IHPTEAIFSEDKNTTEPAYKVKNALSIPNTPEPTTTQEPLVGSQKRKARKTKITHLVRTA
+DGRVSPAGGTLDDKPREQLQRSLPKVTETGCSDECSHNREAAETRSSTPEMPAVSAFFSL
+AALAEVAAMENVHRLAVGSLAALRPGGPVQLYPFHRTWVETITCKPSSSLLM
+>tr|B0JYR2|B0JYR2_BOVIN Interferon regulatory factor 5 OS=Bos taurus OX=9913 GN=IRF5 PE=2 SV=1
+MNQPAPAALLPPRRVRLKPWLVAQVNSCQYPGLQWVNGEKKLFYIPWRHATRHGPSHDGD
+NTIFKAWAKETGKYTEGVDEADPAKWKANLRCALNKSRDFRLIYDGPRDMPPQPYKVYEV
+CSNGPAPAESQPSEDNAEEEEEEELQKMLPGLSITEAVQPGPAMAPYSLPKEDVKWPPTL
+QPPVVLAPPAPGPNLLVPAPGNAADFGEVFSEVLPSSQPQPGSLSTSLAPTGEQLLPDLL
+ISPHMLPLTDLEIKFQYRGRPPRALTISNPQGCRLFYSQLEATQEQVELFGPVSLEQVRF
+PSPEDIPSEKQRFYTNQLLDVLDRGLILQLQGQDLYAIRLCQCKVFWSGPCASAQGSHPN
+PIQREVKTKLFSLEDFLNELILFQKGQTNTPPPFEIFFCFGEEWPDCKPREKKLITVQVV
+PVAARMLLEMFSGELSWSADSIRLQISNPDLKDRMVEQFKELHHIWLSQQHLQPVAQTPA
+MPGLSAAQGPWPMHPVGMQ
+>tr|G5E5L8|G5E5L8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC112441484 PE=4 SV=2
+MNRTSQLLLTGAHGAVPPAYEVLKEEHEVAVLGAPQSQAPLTTTVINIRSDTAVPDHIVW
+SLFNTIFMNWCCLGFVAFAYSVKSRDRKMVGDITGAQSYASTAKCLNICSLVLGILLTVV
+LIVLVSTGSLMIVQAVSELMQNYGGH
+>tr|Q8HY88|Q8HY88_BOVIN Potassium channel subfamily K member 2 OS=Bos taurus OX=9913 GN=KCNK2 PE=2 SV=1
+MAAPDLLDPKSAAQNSKPRLSFSTKPTVLASRVESDTTINVMKWKTVSTIFLVVVLYLII
+GATVFKALEQPHEISQRTTIVIQKQTFISQHACVNSTELDELIQQIVAAINAGIIPLGNT
+SNQISHWDLGSSFFFAGTVITTIGFGNISPRTEGGKIFCIIYALLGIPLFGFLLAGVGDQ
+LGTIFGKGIAKVEDTFIKWNVSQTKIRIISTIIFILFGCVLFVALPAIIFKHIEGWSALD
+AIYFVVITLTTIGFGDYVAGGSDIEYLDFYKPVVWFWILVGLAYFAAVLSMIGDWLRVIS
+KKTKEEVGEFRAHAAEWTANVTAEFKETRRRLSVEIYDKFQRATSIKRKLSAELAGNHNQ
+ELTPCRRTLSVNHLASERDVLPSLLKTESIYLNGLTPHCAGEEIAVIENIK
+>tr|A2PYH9|A2PYH9_BOVIN Musashi RNA binding protein 1 OS=Bos taurus OX=9913 GN=MSI1 PE=2 SV=1
+METDAPQPGLASPDSPHDPCKMFIGGLSWQTTQEGLREYFGQFGEVKECLVMRDPLTKRS
+RGFGFVTFMDQAGVDKVLAQSRHELDSKTIDPKVAFPRRAQPKMVTRTKKIFVGGLSVNT
+TVEDVKQYFEQFGKVDDAMLMFDKTTNRHRGFGFVTFESEDIVEKVCEIHFHEINNKMVE
+CKKAQPKEVMSPTGSARGRSRVMPYGMDAFMLGIGMLGYPGFQATTYASRSYTGLAPGYT
+YQFPEFRVERTPLPSAPVLPELTAIPLTAYGPMAAAAAAAAVVRGTGSHPWTMAPPPGST
+PSRTGGFLGTTSPGPMAELYGAANQDSGVSSYISAASPAPSTGFGHSLGGPLIATAFTNG
+YH
+>tr|A7YY66|A7YY66_BOVIN CREB3L2 protein OS=Bos taurus OX=9913 GN=CREB3L2 PE=2 SV=1
+MEVLESGEQSVLQWDRKLSELSEPGETEALMYHTHFSELLDEFSQNVLGQLLNDPFLSEK
+SVSMEVEPSPTSPAPLIQAEHSYSLCEEPRAQSPFTHITASDSFNDDEVESEKWYLSPDF
+PPTTIKTEPITDEPPSGLVPSVTLTITAISTPFEKEETPLEMNAGVDSSCQTIIPKIKLE
+PHEVDQFLNFSPKEASVDHLHLPPTPPSSNSSDSEGSLSPSPRLHPFGLPQTHSPARAPA
+RAPSALASSPLLTAPHKLQGSGPLVLTEEEKRTLIAEGYPIPTKLPLTKSEEKALKKIRR
+KIKNKISAQESRRKKKEYMDSLEKKVESCSTENLELRKKVEVLENTNRTLLQQLQKLQTL
+VMGKVSRTCKLAGTQTGTCLMVVVLCFAVAFGSFFQGYGPYPSATKMALPSQHSLQEPYT
+SSVVRSRNLLIYEEHSPLEEPPSSASAGELGRWDRGSALLRAKGLESRPDVDLPHFIISN
+ETSLEKSVLLELQQHMVSTNLEGNETLKVVELDRRVNATF
+>tr|F1N2S1|F1N2S1_BOVIN Ankyrin repeat and SOCS box protein 2 OS=Bos taurus OX=9913 GN=ASB2 PE=4 SV=2
+MATEISARGRPRAIGQEEYNLYSSLSEDELVQMAIEQSLADKTRGPTTTETTVPTRVNRE
+PAHFYPWTRSSVSPESAPTSAPKGLFQEVMQKYNRSKSSQLAPVDPVLKAIKEDDEEALT
+AMIKAGKNLSEPNKEGWLPLHEAAYYGQLNCLKALHRAYPAVIDQRTLQEETALYLATCR
+GHVDCLQFLLQAGAEPDISNKSRETPLYKACERKNVEAVRILVQYKADTNHRCNRGWTAL
+HESVARNDLEVMEILVSGGAKVEAKNAYGITPLFVAAQSGQLEALRFLAKYGADINTQAS
+DSASALYEACKNGHEEVVEFLLSQGADANKTNKDGMLPLHIASKKGNYRIVQMLLPVTSR
+TRVRRSGISPLHLAAERNNDEVLEALLGARFDVNAPLAPERARLYEDRRSSALYFAVVNN
+NVYATELLLLAGADPNRDVINPLLVAIRHGCLRTMQLLLDHGANIDAYIATHPTAFPATI
+MFAMKCLSLLKFLMDLGCNGEPCFSCLYGNGPHPPAPPPSNRFNDAPASDKAPSAVQFCE
+FLSAPEVSRWAGPIIDVLLDYVGNVQLCSRLKEHIDSFEDWAVIKEKAEPPRPLAHLCRL
+RVRKAIGKYRIKLLDTLPLPGRLIRYLKYENTQ
+>tr|Q6H320|Q6H320_BOVIN Glandular kallikrein OS=Bos taurus OX=9913 GN=KLK1 PE=2 SV=1
+MWFPVLCLALSLAGTGAVFPIQSRIVGGQECEKHSQPWQVAIYHFSTFQCGGVLVAPQWV
+LTAAHCKSDNYQVWLGRHNLFEDEDTAQFAGVSEDFPNPGFNLSLLENHTRHPGEDYSHD
+LMLLRLQEPVQLTQNVQVLGLPTKEPQLGTTCYASGWGSVKPDEFSYPDDLQCVDLTLLP
+NEKCATAHPQEVTEWMLCAGHLEGGKDTCVGDSGGPLICEGMLQGITSWGHIPCGTPNKP
+SVYTKVILYLDWINKTMTDNP
+>tr|A7E303|A7E303_BOVIN COL8A1 protein OS=Bos taurus OX=9913 GN=COL8A1 PE=2 SV=1
+MAGPPSPLQLLGVLLTLSVGSIRLIHAGAYYGIKPLPPQIPAQIPPQIPQYQPLGQQVPH
+MPLGKDGLNVGKELPHMQYGKEYPHLPQYRKEVQPAPRMGKEAAPKKGKEIPLASLRGEQ
+GPRGEPGPRGPPGPPGLPGQGIPGVKGKPGPQGYPGIGKPGMPGMPGKPGAMGMPGAKGE
+IGPKGEIGPMGIPGPQGPPGPHGLPGIGKPGGPGLPGQPGAKGERGPKGPPGPPGLQGPK
+GEKGFGMPGLPGLKGPPGMHGPPGPVGLPGVGKPGVTGFPGPQGPLGKPGPPGEPGPQGP
+IGVPGVQGPPGIPGIGKPGQDGIPGQPGFPGGKGEQGLPGLPGPPGLPGVGKPGFPGPKG
+DRGVGGLPGPLGPRGEKGPVGAPGLGGPPGEPGLPGIPGPMGPPGAIGFPGPKGEGGVVG
+PQGPPGPKGEPGLQGFPGKPGFLGEVGPPGMRGLPGPIGPKGEAGLKGLPGLPGAPGLLG
+PKGEPGIPGEQGLQGPPGIPGISGPSGPIGPPGIPGPKGEPGLPGPPGFPGVGKPGVAGL
+HGPPGKPGALGPQGQPGLPGPPGPPGPPGPPAVMPPTPPPHGEYLPDMGLGIEGAKPPHA
+YGAKKGKNGGGPAYEMPAFTAELTAPFPPVGAPVKFDKLLYNGRQNYNPQTGIFTCEVPG
+VYYFVYHVHCKGGNVWVALFKNNEPVMYTYDEYKKGFLDQASGSAVLLLRPGDRVFLQMP
+SEQAAGLYAGQYVHSSFSGYLLYPM
+>tr|A6QQJ4|A6QQJ4_BOVIN TIMELESS protein OS=Bos taurus OX=9913 GN=TIMELESS PE=2 SV=1
+MMNCELLATCSALGYLEGDTYHKEPDCLESVKDLIRYLRHEDETRDVRQQLGSAQILQSD
+LLPILTQHRQDKPLFDAVIRLMVNLTQPALLCFGSVPKEPSFRHHFLQVLAYLQAYKEAF
+ASEKAFGVLSETLYELLQLGWEERQEEDNLLIERILLLVRNVLHVPADLEQEKRIDDDAS
+VHDRLLWAIHLSGLDDLLLFLASSPAEQQWSLHVLEIISLMFRDQNPEQLAGVGQGRLAQ
+ERRTDVAELEVLRQREMAEKKTRALQRGNRHSRFGGSYIIQGLKSIGERDLVFHKGLHNL
+QNYTSDLGKQPRRVPKRRQAARELSIQRRSALNVRLFLRDFCSEFLENCYNRLMGSVKDH
+LLREKAQQHDETYYMWSLAFFMAFNRAASFRPGLVSETLSVRTFHFIEQNLTNYYEMMLT
+DRKEAVSWARRMHLALKAYQELLATVNEMDVCPDEAVRESSRIIKSEAWPGCWSRLPCLS
+TQTSPFPSQLHLSPPSPSFPDQSFHPLLFSVCRQHFLCDGVPGALPGSLSEV
+>tr|A7YW33|A7YW33_BOVIN DNA polymerase delta interacting protein 3 OS=Bos taurus OX=9913 GN=POLDIP3 PE=2 SV=1
+MADISLDELIRKRGAASKGRLNARPGVGGVRSRVGIQQSLLSQPARTATFQQRFDARQKI
+GLSDARLKLGVKDAREKLLQKDARFRIKGKVQDAREMLNSRKQQSTVPQKPHQVADAREK
+ISLKRNSPAAFMSPPIGTVTPALKLTKTIQVPQQKAMAPIHAHPAGMRINVVNNHQAKQN
+LYDLDDDDDVIAPIPTKQMKFAASGSFLHHMAGVSSSKLSMSKALPLTKVVQNDAYTAPA
+LSSSVRTKALTNMSRTLVNKEEPPKELPPAEPVLSPLEGTKMTVNNLHPRVTEEDIVELF
+CVCGALKRARLVHPGVAEVVFVKKDDAITAYKKYNNRCLDGQPMKCNLHMNGNVITSDQP
+ILLRLSDSPSVKKESELPRRVNSAPSSNPPAEVDPDTILKALFKSSGASVTTQPTEFKIK
+L
+>tr|A7YY41|A7YY41_BOVIN GDNF family receptor alpha OS=Bos taurus OX=9913 GN=GFRA1 PE=2 SV=1
+MFLATLYFVLPLLDVLLSAEVSGGDRLDCVKASDQCLKEQSCSTKYRTLRQCVAGKETNF
+SLTSGLEAKDECRSAMEALKQKSLYNCRCKRGMKKEKNCLRIYWSMYQSLQGNDLLEDSP
+YEPVNSRLSDIFRVVPFIPDVFQQVEHISKGNNCLDAAKACNLDDTCKKYRSAYITPCTT
+SMSNDVCNRRKCHKALRQFFDKVPAKHSYGMLFCSCRDIACTERRRQTIVPVCSYEEREK
+PNCLNLQDSCKTNYICRSRLADFFTNCQPESRSVSSCLKENYADCLLAYSGLIGTVMTPN
+YIDSSSLSVAPWCDCNNSGNDLEECLKFLNFFKDNTCLKNAIQAFGNGSDVTVWQPALPV
+QTTTATTTTAFRVKNKPLGPAGSENEIPTHVLPPCANLQAQKLKSNISGSTHLCLSDRDY
+EKDGLAGASSHITTKSMAAPPSCGLSPLLALLVFLS
+>tr|Q148E9|Q148E9_BOVIN NME/NM23 family member 9 OS=Bos taurus OX=9913 GN=NME9 PE=2 SV=1
+MGSKKKEIALQVNVSTQELWEEMLSSKGLTVVDVYQGWCGPCKPVVSLFQKMRVEVGPDL
+LHFASAEADCLDVLERYRGKCEPTFLFYAGGELVAVVRGANAPLLEKTIREQLEAEKRVL
+AEGRERRVIKDEALSDEDECFSHEKNNGDDEDLVSSEKACTLAIIKPDAVVHGKTDEIIM
+KIQEAGFDILTNEERTMTEAEMRLFYQHRAGEDTFEKLVHHMCSGPSHLLILARTEGTED
+VVTAWRTLMGPCDPHVARREQPDSLRAQYGTEMPFNAVHGSWDSEDARRELALLFPGFQF
+SDQIPESAPLGHEAEGAEGPNKMLCSPEDVDEAARDQSEATS
+>tr|A6QQ32|A6QQ32_BOVIN LOC785621 protein OS=Bos taurus OX=9913 GN=LOC785621 PE=2 SV=1
+MFGQGTTLTVHPKVKDPNPTVYQLRSPQSSDTSVCLFTDFDSNQVNMEKIMGSEGSTVHK
+TNSTVLNMEILGSKSNGIVTWGNTSDAGCEYTFNETIPFASSLEISCNAKLVEKSFETDI
+NLNSQNLSVIVFRILLLKVVGFNLLMTLRLWSS
+>tr|F1ML66|F1ML66_BOVIN Transcription factor EC OS=Bos taurus OX=9913 GN=TFEC PE=4 SV=1
+MTLDHQILNQSFKRSHPPTPSSELLVQHGHPSPESDTGLTGNPLTKLLTLGKEDDNTEWH
+VSGSILDVYSGEQEISPVNMGLTSASCPSSLPMKREITETDTRALAKERQKKDNHNLIER
+RRRYNINYRIKELGTLIPKSNDPDMRWNKGTILKASVEYIKWLQKEQQRAQELEHRQKKL
+EQANRRLLLRIQELEIQARAHGLPTLASLVTVDLGAHITKQTHLEQNSGDYCQQLVLSQG
+TSPELCDQAMAFSDPLSHFTDLSFSAALKEEQRLDNMLLDDTVSPFGTDPLLSAISPAVS
+KESSRRSSFSSEDGDEL
+>tr|Q1ECT2|Q1ECT2_BOVIN Trophoblast Kunitz domain protein 4 OS=Bos taurus OX=9913 GN=TKDP4 PE=2 SV=1
+MNRLCLSAALLFLLVILVDSTPVYEHHTQDQVAELLKGVGFDELKGLPQTMSQMMISGRQ
+LENHAVEVFPTQTLREENKADSKPAFCLEPKVTGHSKSSWPRYFYNAETGHCEQFTYGGL
+GGNKNNFITEEECMKTCGQGAGSLREHAKSGPQKP
+>tr|F1MCH2|F1MCH2_BOVIN Kynureninase OS=Bos taurus OX=9913 GN=KYNU PE=3 SV=2
+MEPSPLELPTDTLRRIASELRCHPTDERVALRLDEEDKLRHFRECFYIPKMQDLPPIDLS
+LVNKDEEAIYFSGNSLGLQPKMVKTYLEEELDKWAKMGAYGHSVGKRPWIIGDETISGLM
+ADIVGANEKEIALMNGLTVNLHLLLLSFFKPTPKRYKILLEAKAFPSDHYAVESQLQLHG
+LNIEKSMRIIKPREGEDTLRLEDILEVIENEGDSIAVILFSGLHFYTGQLFNIPAITKAG
+QAKGCFVGFDLAHAVGNVELHLHDWGVDFACWCSYKYLNTGAGGLAGAFVHEKHAYTIKP
+ALVGWFGHELSTRFQMDNKLQLIPGVNGFRISNPPILLVCSLHASLEIFKQATMKALRRK
+SILLTGYLEYMIKQYFSQDKAESKKTVVNIITPSCIAERGCQLTLTFSIPIKHIIQELEK
+RGVVCDKREPDGIRVAPVPLYNSFHDVYKFINLLTSVLGSVET
+>tr|A2VDN9|A2VDN9_BOVIN KIAA0020 protein OS=Bos taurus OX=9913 GN=PUM3 PE=2 SV=1
+MEVKGKKKLTGKGTKMSQEKSKFHKNNDSGSSKTFPKKVVKEGGPKITSKNFEKTATKPG
+KKGVKQFKNKQQGDRIPKNKFQQANKFNQKRKFQPDSKSDESAAKKPKWDEFKKKKKELK
+QSRQLSDKTNYDIVIRAKQIWEILRRKDCDKEKRVKLMSDLQKLIQGKIKTIAFAHDSTR
+VIQCYIQFGNEEQRKQAFEELRGDLVELSKAKYSRNIVKKFLMYGSKAQIAEIIRSFKGH
+VRKLLRHAEASAIVEYAYNDKAILEQRNMLTEELYGNTFQLYKSADHPTLDKVLEVQPEK
+LELIMDEMKQILTPMAQKEAVIKHSLVHKVFLDFFTYAPPKLRSEMIEAIREAVVYLAHT
+HDGARVAMYCLWHGTPKDRKVIVKTMKTYIEKVANGQYSHLVLLAAFDCIDDTKLVKQII
+ISEIINSLPNIVNDKYGRKVLLYLLSPRDPAHTVREIIEVLQKGDGNAHSKKDTEIRRRE
+LLESISPALLSYLQGHAQEVVLDKSACVLVADILGTATGDVQPAMDAVASLAAAELHPGG
+KDGELHIAEHPAGHLVLKWLIEQDKKMKERGREGCFAKTLIERVGVKNLKSWASVNRGAI
+ILSSLLQSSDQEVANKVKAGLKSLIPALEKSKNTSKGIEMLLEKLTA
+>tr|A5PK75|A5PK75_BOVIN Torsin OS=Bos taurus OX=9913 GN=TOR1A PE=2 SV=1
+MKLGRAALGLLLLAPLAVRAVEPISLGLALAGVLTGYISYPRLYCLFAECCSPKRSLSRE
+ALQKDLDSKLFGQHLAKKVILNALSGFISNPKPKKPLTLSLHGWTGTGKNFVSKIIAENI
+YEGGLNSDYVHLFVATLHFPHISNITLYKDQLQSWIRGNVSACARSIFIFDEMDKMHAGL
+IDAIKPYLDYYDNLDGVSYQKAIFIFLSNAGAERITDVALDFWRSGKQREEIKLKDMEHA
+LSVSAFNNKNSGFWHSSLIDRNLIDYFVPFLPLEYKHLKMCIRVEMQSRGYEVDEDIVSR
+VAEEMTFFPKEERVFSDKGCKTVFTKLDYYYDD
+>tr|Q58DF4|Q58DF4_BOVIN COMM domain containing 4 OS=Bos taurus OX=9913 GN=COMMD4 PE=2 SV=1
+MRFRFCGDLDCPDWVLAEISTLAKISSVKLRLLCGQVLKDLLGGGIDYEKILKLTMDARF
+ESGDVKATVAVLSFILSSAAKHSVDGESLSSELQQLGLPKEHAASLCRCYEEKQSSLQAR
+LRACSLRVNRLVGVGWRVDYTLSSSLLRTVEEPLVHLRLEVAASPVPRPSLLPCPSQQTS
+SRSSWQT
+>tr|Q6WCD5|Q6WCD5_BOVIN Toll-like receptor 4 OS=Bos taurus OX=9913 GN=TLR4 PE=2 SV=1
+MMARARLAAALIPATAILSCLRTESWDPCVQVVPNISYQCMELNLYKIPDNIPISTKMLD
+LSFNYLRHLGSHNFSSFPELQVLDLSRCEIKIIEDDTFQGLNHLSTLILTGNPIQSLAWG
+AFSGLSSLQKLVAVETNLVSLNDFPIGHLKNLKELNVAHNFIHSFKLPEYFSNLPNLEHL
+DLSNNKIQNIYYEDVKVLHQMPLLNLSLDLSLNPLDFIEPGTFKEIKLNGLTLRSNFNSS
+HVMKTCIQGLAGLKTNRLVLGEFKNERKLQRFDRSFLEGLCNLTIEQFRIAYLDKFSGDD
+TDLFNCLANVSVISLLSISLGSLQALLKDFRWQHLEIINCDFDKFPALKLSSLKKFVFTD
+NKDISTFTEFQLPSLQYLDLKRNHLSFKGCCSHTDFGTTNLKHLDLSFNDVITLGSNFMG
+LEQLEHLDFQHSTLKQINAFSAFLSLRNLRYLDISYTNIRIVFHGIFTGLVSLQTLKMAG
+NSFQNNLLPDIFTELTNLTVLDLSKCQLEQVAQTAFHSLSSLQVLNMSHNKLLSLDTFLY
+EPLHSLRILDCSFNRIMASKEQELQNLPRSLTWLNLTQNAFACVCEHQSFLQWVKDQRQL
+LVGAEQMMCAEPLDMEDMPVLSFRNATCQLSKTIISVSVVTVLLVSVVGVLVYKFYFHLM
+LLAGCKKYGRGESTYDAFVIYSSQDEDWVRNELVKNLEEGVPPFQLCLHYRDFIPGVAIA
+ANIIQEGFHKSRKVIVVVSQHFIQSRWCIFEYEIAQTWQFLSSRAGIIFIVLQKLEKSLL
+RQQVELYRLLSRNTYLEWEDSVLGRHVFWRRLRKALLAGKPQSPEGTADAETNPQEATTS
+T
+>tr|A5PKC2|A5PKC2_BOVIN SHBG protein OS=Bos taurus OX=9913 GN=SHBG PE=2 SV=1
+MVSRGPLAIWHGLLLLLLLPLPSHGGPALRPPLPSQTTEDSPALHLSSGPGQEPVTIMTF
+NLTKITKISSSFEFRTWDPEGVIFYGDTNPKNDWFMLGLRDGRPEIQLHNHWAQLTVSAG
+PRLDDGKWHQMEVKIHGDSLLLRVDGVEVLCLRQVFGQQANNSQLIMRIALGGLLFPASD
+LRLPLVPALDACLRQDDWLDQQAQTSASVPTSVRSCAVESQPGIFFPPGTGAEFGLQEIP
+RPHAEPWAFSLDLALQLAAGSGRLLALGTPENPSWLSIHLQDQKVVLSSGSGPGLDLPLI
+LGLRLQLNLTVSGVVLSQGAKKEILALPPTGPGSLLDLWVQPHGRLFLGALPGEATSASF
+CLDGLWAQGRSLDMDRAQSRSLNIWTHSCPQNPGNGSDTTH
+>tr|E1BC35|E1BC35_BOVIN Ubiquitin carboxyl-terminal hydrolase OS=Bos taurus OX=9913 GN=USP45 PE=3 SV=2
+MRVKEPSKASPEKAKRSKRPPIPHDEDSSDDVAVGFTCQHISHAVSVHHVKKAIAENLWS
+VCLECVKERRFCDGQPVLLPDIWLCLKCGFQGCGKNSEGQHSLKHFKSWRAELHCIIINL
+STWIIWCYECDEKLSTHCNKKVLAQIVDFLQKHVSKTQTSAFSRIIKLCEEKCETGEKNK
+GRKGGSVTSVKGITNLGNTCFFNAVMQNLAQSYILTELMNEIKEHGTKLKIVPSSDSQLD
+PLVVELSSPGPLTSALFLFLHSMKETERGPLSPKVLFNQLCQKAPAFKSFQQQDSQELLH
+YLLDAVRTEETKRIQAGILKAFNNPTTKTADDETRKKVKAYGREGVKMNFIDRIFIGELT
+STVMCEECSNISTVKDPFIDISLPIIEERVSKPVLLGRISKYGRLQETDNGQYSGTVPVE
+NTHQPRAIRKHSLSKDMNQLIHGRKCTRKSSSGEDKAAVIYQENESLEVNRDSSLFASIM
+NTELTLTESPTDGSEKEDSLSESSVDADSEASESESAPKQTLLLRSRSGYYTHTNGHPHY
+PSKSEPLTREGDHGDEGVAEAISELHLSSTIIRARDFDRENQPLSVSNNLCFSEDKHMVS
+QSPQNAFQTLSQSYITTSKECSVQSCLYQFTSMELLMGNNKLLCENCTEKKQKYQKETTS
+AEKKAEGVYTNARKQLLISAVPAILILHLKRFHQAGLSLRKVNRHVDFPLMLDLAPFCSA
+TCKNVSVGGKVLYGLYGVVEHSGSMKGGHYTAYVKVRTPSRKLLEYITGKKNVPGLKEPD
+SESASQWVHVSDTYVQVVPESRALSAQAYLLFYERIL
+>tr|E1BKT0|E1BKT0_BOVIN Leucine zipper protein 1 OS=Bos taurus OX=9913 GN=LUZP1 PE=4 SV=3
+MAEFTSYKDTASSRHLRFKLQSLSRRLDELEEATKNLQKAEDELLDLQDKVIQAEGSNSS
+MLAEVEVLRQRVLRIEGKDEEIKKAEDLCQLMKEKLEEEENLTRELKSEIERLQKRMAEL
+EKLEEAFSRSKNDCTQLCLSLNEERNLTKKISSELEMLRIKVKELESSEDRLDKTEQSLV
+SELEKLKSLTLSFVSERKYLNEKEKENEKLIKELTQKLEQNKKMNRDYTRNASNLLERND
+LRIEDGISSPLPSKESRRKGALDYLKLAENETRNKSENEKNRNQEDNKVKDLTQEIEKLK
+TQIKHFESLEEELKKMRAKNNDLQDNYLSEQNKNKLLASQLEEIKLQIKKQKELENGEVE
+GEDAFLSGRGRHERTKLRGHGSEASAKHMARELSPQHKRERHCNRELTLNNENPLKNRQV
+SSPSFTNRKTAKASHAGAGADSGAQETRRTEDRFTAGSSQSEGKKSREQPPVLSRYPPAA
+QEHSKVWKGAPKPGTESGLKGKVEKATRTFSDNTHGSVSNDVSGRGDKASETSTEAPFGK
+RGQVPGSGSQITQAADAGSSKAVGALATSRRSSSEGLSKGKKATSGLEADTSFPSSKTPP
+LSKYPYSSRSQENILQGFSTPSKEGVEQPVAVVMEDSSQHEALRCRVTKSSGREKPDSDD
+DMDMVSLVTAKLVNTTITPEPEPPHQPQSREKAKSRGAVRASLFENDKDIGTENESGKAV
+RASANAMELPEANGSGAKSQRPFSPREALRSRAIIKPVIIDKDVKKIMGGSGTEAALEKQ
+KSASKPGPNKVTSSITIYPSDSGSPRAAPGEAARERHTSTSNIQVGLPELASVSNHVSSP
+FELSIHKHDITLQLSEAERTGDGSLKNRPETVVSRSSIIIKPSDPVERNSHAPTVETIRW
+KSHSAPLEAGSPDARHITVRNAWKSRRDLNSSEDSPARVGRHVESPNPHTQRSSSDCSDP
+EQPGSYLSEQGTRRGGTSGEVPELASRRTQSSLAVSEVFTRRSRAGDAVPAEAWNHLAGT
+EEGDDCTLSVYRRLHNSLERSELSVTQGPPEPGRTRAEQRLRPTRPCAEDN
+>tr|A0A3Q1LJW1|A0A3Q1LJW1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC104973826 PE=4 SV=1
+MFWFSLGHIWTQRAPQASTMPIPAFWVHPPPLCLLLTLLLGLTGSAGDGELQVIQPERSV
+SVAAGETATLHCTVTSLSPMGPIKWFRGTGPGREFIYSQKEAPFPRVTSVADVTKRNNMD
+YSIRISNITRADSGVYFCVKFQKGERGDMEFKSGPGTHLTVSGAAGDRELQVIQPERSVS
+VTAGETATLHCTVTSLSPVGPIKWFRGTGPGRELIYSQKEAPFPRVTNVSDATKRNNMDY
+SIRISNIAPADTGVYYCVKFQKGERGDVEFKSGPGTHLTVSGPEQSSPLLAVFLLGPKTL
+LLVGISIILICKKF
+>tr|A0A3Q1MIR4|A0A3Q1MIR4_BOVIN Centrosomal protein of 44 kDa OS=Bos taurus OX=9913 GN=CEP44 PE=4 SV=1
+MATGDLKRSLRNLEQVLRSLNYPREVDCVGLVKGDTAASLPIISYSLTSYSPYVAELLVD
+SNIELLAKNDLRFIDTVYKLLRDQFNYKPILTKKQFIQCGFAEWKIQIICDILNCVMKKH
+KELSSLEKTPSQQRKKTSSAKSEPCSSTEKTSTEPVGIDVTGRFVTSGKKKAVVIRHLYN
+EDGANIPEDTVTDVNEAFDVCDIKAAEITIPELQVPDINCEQEDITVNPEVTALQSMLAE
+CQEKLKKLTCIESRLESLEEKMKGKVLVNEKTWANLLSRVTLLETEMLLSKKNDEYIQFN
+EMSEDYSSSSDMDSLNPDRKSKEERHANIPLSSGYSTVSSDSTPRTSTVNYCGLKEISEN
+LPTWSVPSSCSHPSRLRSRPPPASSSWTLPGPSPRLPLHFWDPMSPFPCCTRMF
+>tr|A4FV20|A4FV20_BOVIN RHOJ protein OS=Bos taurus OX=9913 GN=RHOJ PE=2 SV=1
+MNCQEGNDSSCGCGGHEEKKMLKCVVVGDGAVGKTCLLMSYANDAFPEEYVPTVFDHYAV
+TVTVGGKQHLLGLYDTAGQEDYNQLRPLSYPNTDVFLICFSVVNPASYHNVQEEWVPELK
+GCMPHVPYVLIGTQIDLRDDPKTLARLLYMKEKPLTYEHGVKLAKAIGAQCYLECSALTQ
+KGLKAVFDEAILTIFHPKKKKKHCSQCHSCCSII
+>tr|A6H727|A6H727_BOVIN GLI family zinc finger 1 OS=Bos taurus OX=9913 GN=GLI1 PE=2 SV=1
+MFNSMTPPPVSSYGEPCCLRPLPSQGAPGMGTEGLPGLPFCHQATLMPGPHSYGPAREAN
+SCTEVPLFPPPRSAVKLTKKRALSISPLSDTSLDLQTVIRTSPSSLVAFINSRCASPGGS
+YGHLSIGTMSPSLGFSPQMNHQKGTSPSFGVQPCGPHDPTQSGMMPHPQSRGPLPTCQLK
+SELGVLVNKCPEEPLEGDMSSPNSSSTQDPLLGMLDGREDLEREEKPEPDSVYETDCRWD
+GCSQEFDSQEQLVHHINSEHIHGERKEFVCHWGGCSRELRPFKAQYMLVVHMRRHTGEKP
+HKCTFEGCRKSYSRLENLKTHLRSHTGEKPYMCEHEGCSKAFSNASDRAKHQNRTHSNEK
+PYVCKLPGCTKRYTDPSSLRKHVKTVHGPDAHVTKRHRGDGPLPRAPALSSVEPKRERDG
+GPIREESRLTVPEGAMKPQPSPGAQSSCSSDHSPAGSAANTDSGVEMTGNAGGSTEDLSS
+LDEGPCLAGTGLSTLRRLENLRLDQLHQFRPMGPRGLKLPSLTHTGTPGSRRLGAPVSLD
+RRSSSSSSVSSAYTVSRRSSLASPFPPGSPPENGASSLPGLTPAQHYLLRARYASARGGG
+TPPTAAPSLDRTGALPAPPWRSRAEYPGYNPNVGVTRRASDPARSVDRPAPARVQRFKSL
+GCVHNTPTVVGGGQNFDPQLPTSVYSPQPPSITENVSMDTRGLREEPEVGTSMMGSGLNP
+YMDFPPADTLGYGGPEAAAAEPYGARGPGSLPLGPGPPTNYGPNPCPQQVPYSEPTPETW
+SEFPSHSGLYSGPKAPAGAYSQCPRLEHYGQVQVKPEQGCPVGSDATGLAPCLNAHPNEG
+PPRSQPLFSHYPQPPPPQYPQSGSYTQPPPDYLPSEARPSLDFESPTHSTGHLKAQLVCN
+YVQSQQELLWDSGGRGDPPVQEPLYQSPKFLGGSQVSPSPAKAPVATYGPGFAPNMPNHK
+PGSYPTPSCHENFAVGANKASHRAAAPPRLLPPLPACYGPLKAGGTNPSCGHPEVGRLGG
+GPALYPPPEGQVCNPLDSLDLDNTQLDFVAILDEAQGLSPPPSHNQRDSSEHTPPPSGTP
+NMAVGNMSVLLGSLPGETQFLNSSA
+>tr|E1BIP5|E1BIP5_BOVIN RBR-type E3 ubiquitin transferase OS=Bos taurus OX=9913 GN=RNF19A PE=3 SV=1
+MQEQEIDFIFKYNEGLCVNTDPDSILMSILDMSLHRQMGSDRDLQSSASSVSLPSVKKAP
+KKRRISIGSLFRRKKDNKRKSRELNGGVDGIASIESIHSEMCTDKNSIFSTNTSSDNGLT
+SISKQVGDFIECPLCLLRHSKDRFPEIMTCHHRSCVDCLRQYLRIEISESRVNISCPECT
+ERFNPHDIRLILSDDVLMEKYEEFMLRRWLVADPDCRWCPAPDCGYAVIAFGCASCPKLT
+CGREGCGTEFCYHCKQIWHPNQTCDAARQERAQSLRLRTIRSSSISYSQESGAAADDIKP
+CPRCAAYIIKMNDGSCNHMTCAVCGCEFCWLCMKEISDLHYLSPSGCTFWGKKPWSRKKK
+ILWQLGTLVGAPVGIALIAGIAIPAMIIGIPVYVGRKIHNRYEGKDVSKHKRNLAIAGGV
+TLSVIVSPVVAAVTVGIGVPIMLAYVYGVVPISLCRSGGCGVSAGNGKGVRIEFDDENDI
+NVGGTNTAVDTTSVAEARHNPSIGEGSVGGLTGSLSASGSHMDRIGAIRDNLSETASTMA
+LAGASITGSLSGSAMVNCFNRLEVQADVQKERYSLSGESGTVSLGTVSDNASTKAMAGSI
+LNSYIPLDKEGNSMEVQVDIESKPSKFRHNSGSSSVEDGSATRSHPGGSSGGLPEGKSSA
+TKWSKEATAGKKSKSGKLRKKSNMKINETREDMDAQLLEQQSTNSSEFEAPSLSDSMPSV
+ADSHSSHFSEFSCSDLESMKTSCSHGSTDYHARFATVNILPEVENDRLENSPHQCSISVL
+TQTASCSEVPQLNHIAEEHGNSGIKPSVDLYFGSALKETNNNHSHQTMELKVAIQTDI
+>tr|Q3ZBJ7|Q3ZBJ7_BOVIN Ecotropic viral integration site 2A OS=Bos taurus OX=9913 GN=EVI2A PE=2 SV=1
+MGHMRHYLHLAFLMTTAFSLSPGTKANYTHLWDNNSTVLDPDIHNKTDRSQNENFNANPK
+TPEADKKDNSTNMPETETSSHITFLTPKSELELYVSSVVRNSPPTVQNIENTSKSHSEIF
+KKGVCEEDNNKMAMLVCLIIIAVLFLICTILFLSTVVLANKVSSLRRSKQAGKRQPRSNG
+DFLASSGLWPAESDTWKRAKQLTVPNLMMQSTGVLTATMERKDEEGTEKLTN
+>tr|Q58DD1|Q58DD1_BOVIN Zinc finger and BTB domain containing 43 OS=Bos taurus OX=9913 GN=ZBTB43 PE=2 SV=1
+MEPGTNSFRVEFPDFSSTILQKLNQQRQQGQLCDVSIVVQGHIFRAHKAVLAASSPYFCD
+QVLLKNSRRIVLPDVMNPRVFENILLSSYTGRLVMPAPEIVSYLTAASFLQMWHVVDKCT
+EVLEGNPTVLCQKLNHGSDHQSPSSSNYNGLVESFELGSGGHTDFPKAQELRDGENEEES
+TKDELSSQLTEHEYLPSNSSTEHDRLSTEMASQDGEEGASDSAEFHYTRPMYSKPSIMAH
+KRWIHVKPERFEQACEGMDVHAPYDEHQVTESINTVQTEYSVQPSGVEEDFHIGEKKVEA
+EFDEQADESNYDEQVDFYGSSMEEFSGERSDGNLIWHKQEAALASGYSENIEMVTGIKEE
+ASHLGFSATDKLYLCQCGKSFTHKSQRDRHMSMHLGLRPYGCGVCGKKFKMKHHLVGHMK
+IHTGIKPYECNICAKRFMWRDSFHRHVTSCTKSYEAAKAEQNTTEACTKSYEAAKAEQNT
+TETCTKSYEAAKAEQNTT
+>tr|A0A3Q1M0U2|A0A3Q1M0U2_BOVIN Protein kinase domain-containing protein OS=Bos taurus OX=9913 GN=CDK14 PE=3 SV=1
+MCDLIEPQPAEKIGKMKKLRRTLSDSFSRIALKKEDTTFEEICVTKMSTRNCPGMDSVIK
+PLDTIPEDKKVRVQRTQSTFDPFEKPTNQVKRVHSENNACINFNKSSSAGKESPKVRRHS
+SPSSPTSPKFGKADSYEKLEKLGEGSYATVYKGKSKVNGKLVALKVIRLQEEEGTPFTAI
+REASLLKGLKHANIVLLHDIIHTKETLTLVFEYVHTDLCQYMDKHPGGLHPDNVKLFLFQ
+LLRGLSYIHQRYILHRDLKPQNLLISDTGELKLADFGLARAKSVPSHTYSNEVVTLWYRP
+PDVLLGSTEYSTCLDMWGVGCIFVEMIQGVAAFPGMKDIQDQLERIFLVLGTPNEDTWPG
+VHSLPHFKPERFTLYSSKNLRQAWNKLSYVNHAEDLASKLLQCSPKNRLSAQAALSHEYF
+SDLPPRLWELTDMSSIFTVPNVRLQPEAGESMRTFGKNNSYGKSLSNSKH
+>tr|Q2KJ17|Q2KJ17_BOVIN G3BP stress granule assembly factor 2 OS=Bos taurus OX=9913 GN=G3BP2 PE=1 SV=1
+MVMEKPSPLLVGREFVRQYYTLLNKAPEYLHRFYGRNSSYVHGGVDASGKPQEAVYGQND
+IHHKVLSLNFSECHTKIRHVDAHATLSDGVVVQVMGLLSNSGQPERKFMQTFVLAPEGSV
+PNKFYVHNDMFRYEDEVFGDSEPELDEESEDEVEEEQEERQPSPEPVQENANSGYYEAHP
+VTNGIEEPLEESSHEPEPEPESETKTEELKPPVEEKNLEELEEKSASPPPAEPVSLPQEP
+PKPRVEAKPEVQSQPPRVREQRPRERPGFPPRGPRPGRGDIEQNESDNRRIIRYPDSHQL
+FVGNLPHDIDENELKEFFMSFGNVVELRINTKGVGGKLPNFGFVVFDDSEPVQRILIAKP
+IMFRGEVRLNVEEKKTRAARERETRGGGDDRRDIRRSDRGPGGPRGIVGGGMMRDRDGRG
+PPPRGGMAQKLGSGRGAGQMEGRFTGQRR
+>tr|Q3T0Y1|Q3T0Y1_BOVIN Ubiquitin thioesterase OS=Bos taurus OX=9913 GN=OTUB1 PE=1 SV=1
+MAAEEPQQQKQEPLGSDSEGVNCLAYDEAIMAQQDRIQQEIAVQNPLVSERLELSVLYKE
+YAEDDNIYQQKIKDLHKKYSYIRKTRPDGNCFYRAFGFSHLEALLDDSKELQRFKAVSAK
+SKEDLVSQGFTEFTIEDFHNTFMDLIEQVEKRTPVADLLASFNDQSTSDYLVVYLRLLTS
+GYLQRESKFFEHFIEGGRTVKEFCQQEVEPMCKESDHIHIIALAQALSVSIQVEYMDRGE
+GGTTNPHVFPEGSEPKVYLLYRPGHYDILYK
+>tr|Q0IIG2|Q0IIG2_BOVIN EGF containing fibulin extracellular matrix protein 2 OS=Bos taurus OX=9913 GN=EFEMP2 PE=2 SV=1
+MLPFASCLPGSLLLWALLLLVLGAASPQDSEEPDSYTECTDGYEWDPDSQHCRDVNECLT
+IPEACKGEMKCINHYGGYLCLPRSAAVINDLHGEGPPPPVPPAEHPHPCLPGYEPDEQER
+CVDVDECAQALHDCRPSQECHNLPGSYQCTCPDGYRKIGPECVDIDECRYRYCQHRCVNL
+PGSFRCQCEPGFQLGPNNRSCVDVNECDMGAPCEQRCFNSYGTFLCRCHQGYELHRDGFS
+CSDIDECSYSSYLCQYRCVNEPGRFSCHCPQGYQLLATRLCQDIDECESGAHQCSEAQTC
+VNFHGGYRCVDTNRCVEPYVQVSDNRCLCPASNPLCREQPSSIVHRYMSITSERSVPADV
+FQIQATSVYPGAYNAFQIRAGNSQGDFYIRQINNVSAMLVLARPVTGPREYVLDLEMVTM
+NSLMSYRASSVLRLTVFVGAYTF
+>tr|A7MBF1|A7MBF1_BOVIN Serine--pyruvate aminotransferase OS=Bos taurus OX=9913 GN=AGXT PE=1 SV=1
+MLWALTTARAVLGHRTAGWVRTMASHSLLVAPPAALSKPLFIPSRLLLGPGPSNLTPRVM
+AAGGLQVLGHMHQEVYQIMDEIKQGIQYVFQTRNPLSLAISGSGHCALEAALFNLLEPGD
+SFLVGVSGIWGQRAQDIAERIGARVYPMVKAPGGHFTLQEVEEALARHKPALLFLAHGES
+STGVLQPLDGYGELCHRHQCLLLVDSVASLGGAPVYMDQQGIDVLYSGSQKVLNAPPGTS
+LISFSNKAKNKIYARKTKPVSFYLDIQWLANFWGCDDKPRTYHHTTPVTSLYSLRESLAH
+LAEQGLENSWRQHREASEYLHACLQGLGLQLFVKDPALRLPTITSVVVPTGYDWRDIVKY
+IMDHHDIEIAGGLGPSAGKVLRIGLLGGNATRESVDRVTRALREALQRCPRSKL
+>tr|Q0IIC1|Q0IIC1_BOVIN Acyl-CoA synthetase bubblegum family member 2 OS=Bos taurus OX=9913 GN=ACSBG2 PE=2 SV=1
+MVSRPRRPETQTTQEEKAEDLKVDMSQEQVTPRLWTIHRDGEVLMRLSKHGPGHESPLTI
+PEFFRESVNRFGSYPALASKKNGRWEVLNYNQYYEACRKTARAMLKLGLEPFHSVGILGF
+NSIEWILAALGAIFAGGFCVGIYATNFADACEYVITHAKVNILLLENNAQLQKILSIPMN
+KMETLKAIVQYKPPVDDSKCENLYSWDDFLELGSSIPDAQLDQIIKSQKANQCAVIIYTS
+GTTGQPKGVMLSHDNGTQIQYLVWEDTTCRRATKPEYSNY
+>tr|A5PJE2|A5PJE2_BOVIN MGC152344 protein OS=Bos taurus OX=9913 GN=MGC152344 PE=2 SV=1
+MVFGLCSQRERLYSLLYTIQIFILTGVVYYYFQGSLGKTAPAHELAVESSQPIGETLRPV
+WGPMDKCYPVTAQRRRVRSGKKHDSEAMTPQAMGGPNDAAPKEESAVLSREEGQVGPPGE
+EPASLIPGAKGMTEKEEVARTPGWQENNKVRKEMAVYVSEASEEDVMEERPEGWVPHLLR
+KLWLGWFPASDIPKAQSHE
+>tr|A6QNM9|A6QNM9_BOVIN SLC25A12 protein OS=Bos taurus OX=9913 GN=SLC25A12 PE=2 SV=1
+MAVKTTKRGDPQELRNIFLQYASTEVDGEHYMTPEDFVQRYLGLYNDPNSNPKIVQLLAG
+VADQTKDGLISYQEFLAFESVLCAPDSMFIVAFQLFDKSGNGEVTFENVKEIFGQTTIHH
+HIPFNWDCEFIRLHFGHNRKKHLNYTEFTQFLQELQLEHARQAFALKDKSKSGLISGLDF
+SDIMVTIRSHMLTPFVEENLVSAAGGSISHQVSFSYFNAFNSLLNNMELVRKIYSTLAGT
+RKDVEVTKEEFAQSAIRYGQVTPLEIDILYQLSDLYNATGRLTLADIERIAPLAEGALPY
+NLAELQRQQSHGLGRPIWLQIAESAYRFTLGSVAGAVGATAVYPIDLVKTRMQNQRGTGS
+VVGELMYKNSFDCFKKVLRYEGFFGLYRGLIPQLIGVAPEKAIKLTVNDFVRDKFTRRDG
+SIPLLAEILAGGCAGGSQVIFTNPLEIVKIRLQVAGEITTGPRVSALNVLRDLGLFGLYK
+GAKACFLRDIPFSAIYFPVYAHCKLLLADENGHVGGINLLAAGAMAGVPAASLVTPADVI
+KTRLQVAARAGQTTYSGVIDCFRKILREEGPSAFWKGTAARVFRSSPQFGVTLVTYELLQ
+RWFYIDFGGLKPSGSEPTPKSRIADLPPANPDHIGGYRLATATFAGIENKFGLYLPKFKP
+PSVAVVQPKVAAAAQ
+>tr|A5PJF9|A5PJF9_BOVIN UEV and lactate/malate dehyrogenase domains OS=Bos taurus OX=9913 GN=UEVLD PE=2 SV=1
+MEFDSEALRRLLGKYKFRDLTVEELKNVNMFFPHFRYSMDTYVFKDSSQKDLLNFTGTIP
+VIYQGNTYNIPIRLWILDSHPFAPPICFLKPTANMGILVGKHVDAQGRIYLPYLQNWSHP
+KSVIVGLIKEMIAKFQDELPLCSLSSSDEARQVDLLAYIAKITEGVSDINSKNWANRENK
+TVNKITVVGGGELGIACTLAISAKGIADKLVLLDFSEGTKGGMMDLDIFNLPNVEISKDL
+SASAHSKVVIFTVNSLGSSQSYLDVVQSNVDMFRALVPALGHYSQHAILLVASQPVEIMT
+YVTWKLSAFPANRVIGIGCNLDSQRLQYIITNVLKAQTSGKEVWVIGEQGEDKVPIWSGQ
+EEVTNHDSQMQLSNRAMELLRVKGQRSWSVGLSVADLLDSIVNDKKKVHSVSILAKGYYD
+INSEVFLSLPCILGTSGVSEVIKTTVKEETVTEKLQSSASSIHGLQQQLKL
+>tr|F1N3Z7|F1N3Z7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=CYP4V2 PE=3 SV=1
+MLAPWLLSVGPKLLLWSGLCAVSLAGATLTLNLLKMVASYARKWRQMRPVPTIGDPYPLV
+GHALMMKPDARDFFQQIIDFTEECRHLPLLKLWLGPVPLVALYNAETVEVILSSSKHIEK
+SYMYKFLEPWLGLGLLTSTGNKWRSRRKMLTPTFHFTILEDFLDVMNEQANILVTKLEKH
+VNQEAFNCFFYVTLCTLDIICETAMGKNIGAQRNDDSEYVRAVYRMSDSIHQRMKMPWLW
+LDLIFYMFKNGREHRRSLKIVHDFTNNVITERANEMKRHEEGTSNDKEKDFPPRKTKCRA
+FLDLLLNVTDDQGNKLSHEDIREEVDTFMFEGHDTTAAAINWSLYLLGWYPEVQQKVDTE
+LEEVFGKSDRPVTLEDLKKLKYLDCVIKESLRLFPSVPFFARNLTEDCEVAGHKIVQGCQ
+VIIVPYALHRDPKYFPDPEEFKPERFFPENLKGRHTYAYVPFSAGPRNCIGQKFAIMEEK
+TILSCILRHFWVESNQKREELGLAGELILRPSNGIWIKLKRRNTDES
+>tr|A7MBI6|A7MBI6_BOVIN GLOD4 protein OS=Bos taurus OX=9913 GN=GLOD4 PE=1 SV=1
+MANRRALHFVFKVGNRFETACFYRDVLGMKILRHEEFQDGCKAACNGPYDGKWSKTMVGY
+GPEDDHFVTELTYNYGIGSYQLGNDFLGITVASRQAVSNARKLKWPLSEMGDGVFETKAP
+GGYKFYLQDCSPPQSDPVLKVTLAVSDLQKSLNYWSNLLGMKIYEEDEKKQRALLGYADN
+QCKLELRAIPGKVDHATGFGRIAFSCPQKELSDLEDLMKRENQKILTPLVSLDTPGKATV
+QVIILADPDGHEICFVGDEAFRELSKVDPEGNKLLDDAISGDKSDEWFAAQKKPKATG
+>tr|G3N1E0|G3N1E0_BOVIN Dexamethasone-induced protein-like OS=Bos taurus OX=9913 GN=DEXI PE=4 SV=1
+MPGARVAAHLDALGPLVPSVPPPLLPSMFYVGLFFVNVLILYYAFLMEYIVLNVGLVFLP
+EDMDQALVDLGVLSDPGSGLYDADSELDVFDGYLE
+>tr|A5D7J4|A5D7J4_BOVIN Homeobox protein OS=Bos taurus OX=9913 GN=PITX2 PE=2 SV=1
+MNCMKGPLHLEHRAAGTKLLAASSSSSCHHPQPLAMASVLAPGQARSLDSSKHRLEVHTI
+SDTPSPEAAEKDKSQQGKNEDVGAEDPSKKKRQRRQRTHFTSQQLQELEATFQRNRYPDM
+STREEIAVWTNLTEARVRVWFKNRRAKWRKRERNQQAELCKNGFGPQFNGLMQPYDDMYP
+GYSYNNWAAKGLTSASLSTKSFPFFNSMNVNPLSSQSMFSPPNSISSMSMSSSMVPSAVT
+GVPGSSLNSLNNLNNLSSPSLNSAVPTPACPYAPPTPPYVYRDTCNSSLASLRLKAKQHS
+SFGYASVQNPASNLSACQYAVDRPV
+>tr|A7Z041|A7Z041_BOVIN SH2 domain containing 2A OS=Bos taurus OX=9913 GN=SH2D2A PE=2 SV=1
+MEFPLAQICPQGSREAPAITFSTFQPLGLNRRSCQGPGLLLGPRLQAPEEAWPSPRGPAG
+QAVAPLQVPAAACNPKDVGKEEVPREEALFLQAETRAWFQKTQAHELLQHGAAPIWFHGF
+ITRREAERLLETKPQGCYLVRFSESAVTFVLTYRSRTCCRHFLLAQLGDGRHVVLGEDSA
+HARLQDLLLHYTACPLSPYGETLTEPLARQTPEPAGLSLRTEESDFGSKSQDSPFQYSPI
+LKKERSIAPTQRDGSGEPKQSSQSPRPKPPIPAKPQLQPEVYTSPAPRPRPALPPKPSNP
+IYNEPDEPIDFYAMGRGSPGEAPSNIYAEVEVREPDSRSEDPQCILRHEVLRKCQSRPVL
+GSQNPGGQQLHSENSVAEQGPTVPHQPLPRWGHTLPHNLSRQVLQDRGQAWLPLGPPQ
+>tr|A5PJQ4|A5PJQ4_BOVIN Glycine receptor alpha 4 OS=Bos taurus OX=9913 GN=GLRA4 PE=2 SV=1
+MTTLVPATLSFLLLWTLPGQVLLRVALAKEEVKPGTKASQPMSPSDFLDKLMGRTSGYDA
+RIRPNFKGPPVNVTCNIFINSFGSVTETTMDYRVNVFLRQQWNDPRLAYREYPDDSLDLD
+PSMLDSIWKPDLFFANEKGANFHEVTTDNKLLRIFKNGNVLYSIRLTLILSCPMDLKNFP
+MDIQTCTMQLESFGYTMNDLMFEWLEDAPAVQVAEGLTLPQFILRDEKDLGYCTKHYNTG
+KFTCIEVKFHLERQMGYYLIQMYIPSLLIVILSWVSFWINMDAAPARVGLGITTVLTMTT
+QSSGSRASLPKVKRHARDLACHRAPHFPFIPTFPPTDYFPWI
+>tr|A2VDV6|A2VDV6_BOVIN Sphingosine-1-phosphate receptor 2 OS=Bos taurus OX=9913 GN=S1PR2 PE=2 SV=1
+MGGVYSEYLSSSKVREHYNYTKESPDTKDTPSRQVASALIILLCCAIVVENLLVLIAVAR
+NSKFHSAMYLFLGNLAASDLLAGVAFIANTLLSGSVTLGLTPVQWFAREGSAFITLSASV
+FSLLAIAIERHVAIAKVKLYGSDKSCRMLLLIAASWLISLVLGGLPILGWNCLGHLEACS
+TVLPLYAKPYVLCVVTIFSVILSAIVALYIRIYCVVRSSQADVAAPQTLALLKTVTIVLG
+VFIFCWLPAFSILLLDYACPVRTCPMLYQAHYFFAFATLNSLLNPVIYTWRSRDLRREVL
+RPLQCWRQAAGMQGRRDRTPGHHLLPLRSSSSLEKGMHVPTSPTFLEGNTIV
+>tr|Q58CS5|Q58CS5_BOVIN FGD1 family, member 3 OS=Bos taurus OX=9913 GN=FGD3 PE=2 SV=1
+MEPGRGSSTPPAPSATMEEPDAGPNNSSLEQPSTDPGKLPALPVGPGTHHGELASGSPGS
+QASAGDMSSVGEASSSKIPNRDSGIDSPSCSVVSEHFCEDSGEAGPGTIIKGPHPGTALG
+SKSPQEEADSDAGEGSSEEPDPENNPSKVDMDPAKCSEPQKLLHIAQELLHTEETYVKRL
+YLLDQVFCTGLTEAGIPSEVTTGIFSNISSIYRFHGQFLLPELQARITNEWDVNPRLGDI
+LQKLAPFLKMYGEYVKNFDRAMELVSTWTQRSPVFKDTVQSIQKQELCGNLTLQHHMLEP
+VQRVPRYELLLKDYLKRLPEDAPDWKDAERSLELISTAANHSNAAIRKMEKMHKLLEVYE
+RLGGEEDIVNPANELIKEGHIQKLSAKNGTTQDRHLFLFNSMILYCVPKLRLMGQKFSVR
+EKMDISGLQVQDIVKSGAAHTFIITGKKRSLELQTRTEEEKKEWIQVIQATIEKHKQNSQ
+TFKAFSGSFSQDENSPLTPEPPMMSPSSEVPAVPANSGGGVSGSEPRRGSSKTRRDKEKQ
+GCSSCGETFNSITKRKHHCKLCGAVICGKCSEFKAENGRQSRVCKECFLTQPVAHLNLSL
+EEPLEPKQSTEKPVAAEPPPSLLCGPLQVSDGGTAWSKAWAAISESDSLELVLHLHEGSQ
+DGQLLHAIPLSGCRVSVLDPAERLDARHVWQLQQAQQSLYLSAPSAELQQQWLEALSSAA
+HRHSSQASLGAPQPQAPAAP
+>tr|D9IE06|D9IE06_BOVIN Mitochondrial optic atrophy 3 protein isoform b OS=Bos taurus OX=9913 GN=OPA3 PE=1 SV=1
+MVVGAFPMAKLLYLGIRQVSKPLANRIKEAARRSEFFKTYICLPPAQLYHWVEMRTKMRI
+MGFRGTVIKPLNEEAAAELGAELLGEATIFIVGGGCLVLEYWRHQAQQRRKEEEQRAAWD
+AMRDEVGHLALALEALQTQVQAAPPKGDLEELQAQMREVRAQLRAGDPPSTPGAASTSQA
+ASSPKK
+>tr|Q2KIB1|Q2KIB1_BOVIN Chromosome 12 open reading frame 11 ortholog OS=Bos taurus OX=9913 GN=INTS13 PE=2 SV=1
+MKIFSESHKTVFVVDHCPYMAESCRQHVEFDMLVKNRTQGIIPLAPISKSLWTCSVESSM
+EYCRIMYDIFPFKKLVNFIVSDSGAHVLNSWTQEDQNLQELMAALAAVGPPNPRADPECC
+SILHGLVAAVETLCKITEYQHEARTLLMENAERVGNRGRIICITNAKSDSHVRMLEDCVQ
+ETIHEHNKLAANSDHLMQIQKCELVLIHTYPVGEDSLVSDRTKKELSPVLTSEVHSVRAG
+RHLATKLNILVQQHFDLASTTITNIPMKEEQHANTSANYDVELLHHKDAHVDFLKSGDNH
+LSGSSREGSFKETITLKWCTPRTNNIELHYCTGAYRISPVDVNSRPSSCLTNFLLNGRSV
+LLEQPRKSGSKVISHMLSSHGGEIFLHVLSSSRSILEDPPSISEGCGGRVTDYRITDFGE
+FMRENRLTPFLDPRYKIDGSLEIPLERAKDQLEKHTRYWPMIISQTTIFNMQAVVPLASV
+IVKESLTEEDVLNCQKTIYNLVDMERKNDPLPISTVGTRGKGPKRDEQYRIMWNELETLV
+RAHINNSEKHQRVLECLMACRSKPPEEEERKKRGRKREDKEDKSEKAVKDYEQEKSWQDS
+ERLKGILERGKEELAEAEIIKDSPDSPEPPNKKPLVEMDETPPVEKSKGPVSLLSLWSNR
+INTANSRKHQEFAGRLNSVNNRAELYQHLKEENGMETTENGKASRQ
+>tr|B0JYK2|B0JYK2_BOVIN NPC intracellular cholesterol transporter 1 OS=Bos taurus OX=9913 GN=NPC1 PE=2 SV=1
+MSVRGPAFGLLLLLFCPAQVFSQSCIWYGECGIASGDKRYNCRYSGPPEPLPQDGYDLVQ
+ELCPGFFFGNVSLCCDVQQLHTLKDNLQLPLQFLSRCPSCFYNLVNLFCELTCSPRQSQF
+LNVTATEDYVDPATNQTKTNVKELQYYVGESFANAMYNSCRDVEAPSSNEKALGLLCGRE
+ASACNATNWIEYMFNKDNGQAPFTITPVFSDLPTHGMEPMNNATKGCDESVDEVTGPCSC
+QDCSAVCGPKPQPPPPPVPWRILGLDAMYVIMWSTYMAFLLVFFGAFFAVWCYRKRYFVS
+EFTPIDGNIPFSINASDKGGPTCCDPLGAAFEAHLRRLFEWWGSFCVRHPGCVVFFSVAF
+IAACSSGLVFIQVTTDPVDLWSAPGSQARLEKEYFDTHFGPFFRTEQLIIRAPHTPPHIY
+EPYPSGADVPFGPPLAVDILHQVLDLQTAIESITASYNNETVTLRDICVAPLSPYNQNCT
+ILSVLNYFQNSHSVLDHQVGDDFFVYADYHTHFLYCVRAPASLNDTSLLHDPCLGTFGGP
+VFPWLVLGGYDDQNYNNATALVITFPVNNYYNDTEKLQRAQAWEREFINFVQNYENPNLT
+ISFKAERSIEDELNRESNSDVFTVLISYGVMFLYISIALGHIKSCRRLLVDSKISLGIAG
+VLIVLSSVACSLGIFSYIGVPLTLIVIEVIPFLVLAVGVDNIFILVQTYQRDERLQGETL
+DQQVGRVLGEVAPSMFLSSFAETVAFFLGGLSVMPAVHTFSLFAGMAVLIDFLLQITCFV
+SLLGLDIKRQEKNQLDVLCCVGGAADDAGIQASESCLFRFFRNSYAPLLLKDWMRPLVVA
+VFVGVLSFSIAVLNKVEIGLDQSLSMPDDSYVTDYFQSLNQYLHAGPPVYFVLEEGHDYT
+STKGQNMVCGGLGCNNDSLVQQVFTAAQLDSYTRIGFAPSSWIDDYFDWVKPQSSCCRIY
+NSTEQFCNASVVNPTCVRCRPLTPEGKQRPQGADFMRFLPMFLSDNPNPKCGKGGHAAYS
+AAVNILDNGTRVGATYFMTYHTVLQTSADFIDAMEKARLIASNITRTMNQQGGDHRVFPY
+SVFYVFYEQYLTMIDDTIFNLSVSLGAIFLVAVVLLGCELWSAVIMCATIAMILVNMFGV
+MWLWGISLNAVSLVNLVMSCGISVEFCSHITRAFTVSTKGSRVERAEEALSHMGSSVFSG
+ITLTKFGGIIVLAFAKSQIFQIFYFRMYLAMVLLGATHGLIFLPVLLSYIGPSINKAKSL
+TTQQRYRGTEREQLLNF
+>tr|A7MBA8|A7MBA8_BOVIN ZFAND5 protein OS=Bos taurus OX=9913 GN=ZFAND5 PE=2 SV=1
+MAQETNQTPGPMLCSTGCGFYGNPRTNGMCSVCYKEHLQRQQNSGRMSPMGTASGSNSPT
+SDSASVQRADASLNNCEGAAGSTSEKSRNMPVAALPVTQQMTEMSISREDKVTTPKTEVS
+EPVVTQPSPSVSQPSTSQSEEKAPELPKPKKNRCFMCRKKVGLTGFDCRCGNLFCGLHRY
+SDKHNCPYDYKAEAAAKIRKENPVVVAEKIQRI
+>tr|Q2TBK6|Q2TBK6_BOVIN Glycoprotein integral membrane 1 OS=Bos taurus OX=9913 GN=GINM1 PE=2 SV=1
+MEGAPRAPLALRLLFFAALPATGWLTTGTLEPPLLPPAPKDSIRINVTTLEDGEVSKEQV
+VLNITYESGQVYVNDFPVNSGVTRISCQTLIVKNENLENLEEKEYFGIVSVRILVHEWPM
+TSGSSLQLIVIQEEVVEIDGKQAQQKDVTEIDILVKNQGIVRRSNYTLPLEESMLYSISR
+DSDILFTLPNLSKKGESVSSLQTTSQYLIRNVETTVNEALPGKLPETPLRAEPPSSYKVM
+CQWMEKFRKDLCRFWSSIFPIFFMFLNVMVVGIIGAVIVITILKVLFPVCEYKGILQLDK
+VNVIPLTAVNLYPDGPEKTAENPGDKTCV
+>tr|A0A3Q1LT72|A0A3Q1LT72_BOVIN DUF4195 domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MAELFMECEEEELEPWQKKVKEVDDDDDDEPIFVGEISSSKPAISNILNRVNPSSYSRGI
+KNGALCRGITPAFKPTSQHYTNPASNPVASPVNFHPESRSSDSSVIVQPLSKPVSVSETT
+QSAQGYVGYYLSVSTRHSENSVFPWLIIQWNHYQHQKISPSL
+>tr|F6QCK7|F6QCK7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=DOC2G PE=4 SV=1
+MGQLACWLGHFGIITLSPAIAHTVCLASFLSFHPRHGPVRSRLPPRTLHDALSDARWPCL
+DPRPPVTPVCSAPQPQLDPEPEGDSDDSTALGTLEFTLLFDTDNSTLHCTAHRAKGLKPP
+ASGSMDTFVKANLLPGASKASQLRTRTVRGTRGPVWEETLTYHGFTLQDARRKTLRLCVC
+EDPWLRRRRRAPPLGELRVPLRKLVPNRARSFDVCLERRRLTKRPKSLDTARGMSLYEQE
+VEAELASEERGRVLLSLCYSSQRGGLLVGVLRCAHLAPMDANGYSDPFVRLFLHPNTGRK
+SKYKTSVRKKTLNPEFNEEFFYAGPREELAQKTLLVSVWDYDLGTADDFIGGVQLSSRAG
+GERQQHWRECLGHSDRRLELWHPLDGAPLQLSD
+>tr|A4IFF9|A4IFF9_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=OR12D2 PE=2 SV=1
+MLNQTPITEFFLLGMTDIQVLQPVLFVVFLTIYFLNLAGNGAILIVVISDPRLHSPMYFF
+LGNLSCLDICYSTVTLPKMLENFLSTHKAISFLGCISQLHFFHFLGSTEAMLVPVMAFDR
+FVAICKPLRYTLIMSHQVCIQMAVTIWIIGFFHALLHSVMTSRLNFCGSNHIHHFFCDVK
+PLLKLACGNTELNQRLLNNVTGTFAVGSFFLTLLSYFYIIIYLFFKTHSCSMLHKALSTC
+ASHFMVVVLLFGPVFFIYIRPASGSSMDQEGIIAIMYSVVTPVLNPLIYTLRNKEVKEAL
+RRMIRRKL
+>tr|E1B8V8|E1B8V8_BOVIN Melanocortin-3-receptor OS=Bos taurus OX=9913 GN=MC3R PE=3 SV=3
+MNASCCLHSAQPKLPNSSEHVAAPSFSNQSSSRFCEQVFIKPEVFLALGIISLLENILVI
+LAVVRNGNLHSPMYFFLCSLAVADMLVSVSNALETIMIAVVNSDYLTLEDQFIQHMDNVF
+DSMICISLVASICNLLAIAVDRYVTIFYALRYHSIMTVRKALALIVAIWLGCGICGVVFI
+VYSESKMVIVCLVTMFLAMLLLMGTLYVHMFLFARLHVKRIAALPPADGAAPQQHSCMKG
+AVTITILLGVFVFCWAPFFLHLVLIITCPTNPYCVCYTAHFNTYLVLIMCNSVIDPLIYA
+FRSLELRNTFKEILCSCNGMNLG
+>tr|A7MB09|A7MB09_BOVIN SKP2 protein OS=Bos taurus OX=9913 GN=SKP2 PE=2 SV=1
+MHRKHLQEIPDQSSNVTTSFTWGWDSSKTSELLSGMGVSALEKEEVDSENIPQELLSNLG
+HPQSPPRKRLKSKGNDKDFVIVRRPKLNRENFPGVSWDSLPDELLLGIFSCLCLPELLKV
+SSVCKRWYHLAFDESLWQTVDLAGRNLYPDVVGRLLSRGVVAFRCPRSFMDQPLVEHFSP
+FRLQHLDLSNSVIDASTLHGLLSHCSKLQNLSLEGLRLSDPVVDNLAQNTNLLRLNLSGC
+SGFSESALKTLLSSCSRLDELNLSWCYDFTEKHVQMAVAHVSKTITQLNLSGYRKNLQRS
+DVSTLVGRCPNLVHLDLSDSVMLKNDCFPEFYQLNYLQHLSLSRCYDIIPETLLELGEIP
+TLKTLQVFGIVPDGTLQLLKEALPHLQINCSHFTTIARPTIGNKKNQEIWGIKCRLSLEK
+PSCL
+>tr|E1BDX2|E1BDX2_BOVIN Leucine rich repeat containing 8 VRAC subunit B OS=Bos taurus OX=9913 GN=LRRC8B PE=4 SV=1
+MITLTELKCLADAQSCYHILKPWWDVFWYYITLIMLLVAVLAGALQLTQSRVLCCLPCKV
+EFDNHCAVPWDILKASVNASSDAGMPLPLPLRIQNDLHRQQYSYIDAVCYEKQLHWFAKF
+FPYLVLLHTLIFAACSNFWLHYPSTSSRLEHFVAILHKCFDSPWTTRALSETVAEQSVRP
+LTLSKSKVLLSSSGCSAGDVDSNKQSLPYPQPGLESAGIESPTSSVLDKKEGEQAKAIFE
+KVKRFRLHVEQKDIIYRVYLKQIIVKVILFVLIITYVPYFLTYITLEIDCSVDVQAFTGY
+KRYQCVYSLAEIFKVLASFYVILVILYGLTSSYSLWWMLRSSLKQYSFEALREKSNYSDI
+PDVKNDFAFILHLADQYDPLYSKRFSIFLSEVSENKLKQINLNNEWTVEKLKSKLVKNAQ
+DKVELHLFMLNGLPDNVFELTEIEVLSLELIPEVKLPSAISQLVSLKELHVYHSSLVVDH
+PALAFLEENLKILRLKFTEMGKIPRWVFHLKNLKELYLSGCVLPEQVSTMQLEGFQDLKN
+LRTLYLKSSLSRIPQVITDLLPSLQKLSLDNEGSKLVVLNNLKKMVNLKSLELISCDLER
+IPHSIFSLNNLHELDLRENNLKTVEEIISFQHLQNLSCLKLWHNNIAYIPAQIGALSNLE
+QLSLDHNNIENLPLQLFLCTKLHYLDLSYNHLTFIPEEIQYLSNLQYFAVTNNNIEMLPD
+GLFQCKKLQCLLLGKNSLMSLSPHVGELSNLTHLELIGNYLETLPPELEGCQSLKRSCLI
+VEENLLNTLPPPVTERLQTCLDKC
+>tr|A8E4N5|A8E4N5_BOVIN RING1 protein OS=Bos taurus OX=9913 GN=RING1 PE=2 SV=1
+MTTPANAQNASKTWELSLYELHRTPQEAIMDGTEIAVSPRSLHSELMCPICLDMLKNTMT
+TKECLHRFCSDCIVTALRSGNKECPTCRKKLVSKRSLRPDPNFDALISKIYPSREEYEAH
+QDRVLIRLSRLHNQQALSSSIEEGLRMQAMHRAQRVRRPMPGSDQTTTMSGGEGEPGEGE
+GDGEDVSSDSAPDSAPGPAPKRPRGGGAGGSSVGTGGGGTGGVGGGAGSEDSGDRGGTLG
+GGTLGPPSPPGAPSPPEPGGEIELVFRPHPLLVEKGEYCQTRYVKTTGNATVDHLSKYLA
+LRIALERRQQQEAGEPGGPGGGTSDPTAGPDGGGGEGGGAGGADGPEEPALPSLEGVSEK
+QYTIYIAPGGGAFTTLNGSLTLELVNEKFWKVSRPLELCYAPTKDPK
+>tr|A4IFD1|A4IFD1_BOVIN PDCD4 protein OS=Bos taurus OX=9913 GN=PDCD4 PE=2 SV=1
+MDVENEQILNVNPTDPDNLSDSLFSGDEENAGTEEIKNEINGNWISASSINEARINARAK
+RRLRKNSSRDSGRGDSVSDNGSEGLRCGVSAPTSPKGRLLDRRSRSGKGRGLPKKGGAGG
+KGVWGTPGQVYDVEEVDVRDPNYDDDQENCVYETVVLPLDEMAFEKTLTPIIQEYFEHGD
+TNEVAEMLRDLNLGEMKSGVPVLAVSLALEGKASHREMTSKLLSDLCGTVMSTNDVEKSF
+DKLLKDLPELALDTPRAPQLVGQFIARAVGDGILCNTYIDSYKGTVDCVQARAALDKATV
+LLSMSKGGKRKDSVWGSGGGQQSVNHLVKEIDMLLKEYLLSGDMSEAEHCLKELEVPHFH
+HELVYEAIVMVLESTGESTFKMILDLLKSLWKSSTITLDQMKRGYERIYNEIPDINLDVP
+HSYSVLERFVEDCFQAGIISKQLRDLCPSRGRKRFVSEGDGGRLKPESY
+>tr|A6QNV9|A6QNV9_BOVIN PPP2R3A protein OS=Bos taurus OX=9913 GN=PPP2R3A PE=2 SV=1
+MMIKETSLRRDPDLRGELAFLARGCDFVLPSRFKKRLKSFQQTQIQNKPEKKPGTPLPPP
+APFPSSPRPPSPVPHVNNVVSATLSINIPRFYFPEGLPDACSNHEQTLSRIEAAFMDIED
+QKADVYEMGKIAKACGCPLYWKAPMFRAAGGERTGFVSAQSFITIWRKLLSNHHDDASKF
+ICLLAKPSCSSLEQDDFIPLLQDVVDTHPGLTFLKDAPEFHSRYITTVIQRIFYTVNRSW
+SGKITATEIRKSNFLQTLALLEEEEDINQITDYFSYEHFYVIYCKFWELDSDHDLYISQA
+DLSRYNDQASSNRIIERIFSGAVTRGKTVQKEGRMSYADFVWFLISEEDKRNPTSIEYWF
+RCMDVDGDGVLSMYELEYFYEEQCERMEAMGIEPLPFHDLLCQMLDLVKPASDGKITLRD
+LKRCRMAHIFYDTFFNLEKYLDHEQRDPFAVQKDVENDSPEPSDWDRFAAEEYETLVAEE
+SAQAQFQEGSFEDYETEEPPSPSEIGNKGNKIVTSSLSEKCGKLQSVDEE
+>tr|A6QPE3|A6QPE3_BOVIN TEX101 protein OS=Bos taurus OX=9913 GN=TEX101 PE=2 SV=1
+MGACHFQGLLLLFLVGAPTLIMAQKLFCQKGTFMGIQEDATNMFNWTSEKVEACDNGTLC
+QETILLIKTAGTKTAILATKSCSLDGTPAITFIQHTAAPSLAAISYSNYCEDPFCNNREG
+LYDIWNIQETEEETKGTTSLHCPTCLALGSCLNAPSVACPNNTDRCYQGKLQVSEGNVNS
+LLEIKGCTSIIGCKLMSGVFKIGPLWVKETCPSMSISTRKIDNGATWLHTSVWKLKLLLM
+LLLLILGGSASGP
+>tr|Q0VCM1|Q0VCM1_BOVIN Chondroitin sulfate proteoglycan 5 OS=Bos taurus OX=9913 GN=CSPG5 PE=2 SV=1
+MGRAEGGGPGRGPPPLLLLLGVTLVLASGAAPVPEAGSAVEADTPVKSVPAWEPRANDTR
+EKAGPPAAGKDETSRIAPGGEQALVGPGVGAEEALEASAAVTSTAWLEAESPGLGGVTAE
+AGSGDTQALPATLPTPDEALGTSSTSAATFEATEASEPPSPAPGDKPSPGPELPKESPME
+VWLNLGGSTPDPHGPEPTYPFQGTLEPHPASDIIDIDYFEGLDGEGRGADLGSFPGSPGT
+SEHHPDPGGETPSWSLLDLYDDFTPFDESDFYPTTSFYDDLDEEEEEEEDKDAVGGGDLE
+DENDLLVPTEKPGLGPGTGQPTSRWHAVPPQHTLGMIPGSSIALRPRPGEPGRDLAPSEN
+GTVCRSGFVRHNGSCRSVCDLFPSYCHNGGQCYLVENIGAFCRCNTQDYIWHKGMRCESI
+ITDFQVMCVAVGSAALVLLLLFMMTVFFAKKLYLLKTENTKLRRTNKFRTPSELHNDNFS
+LSTIAEGSHPNDDSSAPHKIQEALKSCLKEEEPFNIQNSMSPKLEGGKGDQADLEVNCLQ
+NNLT
+>tr|A1L4Z4|A1L4Z4_BOVIN RAD54 like OS=Bos taurus OX=9913 GN=RAD54L PE=2 SV=1
+MRRSLAPSQLAKRKPEDGPSDDEDWQPGAVTSKKPKKSSCETQSQECFLSPFRKPLTQLT
+NRPPCLDSSQHEAFIRSILSKPFKIPIPNYQGPLGSRALGLKRAGVRRALHDPLEEGALV
+LYEPPPLSAHDQLKFDKEKLPVHVVVDPILSKVLRPHQREGVKFLWECVTSRRIPGSHGC
+IMADEMGLGKTLQCITLMWTLLRQSPDCKPEIDKAVVVSPSSLVRNWYNEVGKWLGGRIQ
+PLAIDGGSKDEIDQKLEGFMNQRGARVPSPILIISYETFRLHVGVLQKGSVGLVICDEGH
+RLKNSENQTYQALDSLNTSRRVLISGTPIQNDLLEYFSLVHFVNSGILGTAQEFKKHFEL
+PILKGRDAAASEEDRRVGEERLRELTSIVNRCLIRRTSDILSKYLPVKIEQVVCCRLTPL
+QIELYKRFLRQAKPAEELREGKMSVSSLSSITSLKKLCNHPALIYDKCVEEEDGFEGTLD
+IFPPGYNSKALEPQLSGKMLVLDYILAVTRSRSSDKVVLVSNYTQTLDLFEKLCRARRYL
+YVRLDGTMSIKKRAKVVERFNNPSSPDFVFMLSSKAGGCGLNLIGANRLVMFDPDWNPAN
+DEQAMARVWRDGQKKTCYIYRLLSAGTIEEKIFQRQSHKKALSSCVVDEEQDVERHFSLG
+ELKELFTLDEAKLSDTHDRLRCRRCVNNHQVWPPPDGSDCTSDLAQWNHSTDKRGLKDEV
+LQAAWDAASTAITFVFHQRSHEEQRGLHL
+>tr|A7MBG6|A7MBG6_BOVIN RGS17 protein OS=Bos taurus OX=9913 GN=RGS17 PE=2 SV=1
+MRKRQQSQNEETPAVSQAPGNQRPNNTCCFCWCCCCSCSCLTVRNEERGENAGRPTHTTK
+MESIQVLEECQNPTSNEVLSWSQNFDKMMKAPAGRNLFREFLRTEYSEENLLFWLACEDL
+KKEQNKKVIEEKARMIYDDYISILSPKEVSLDSRVREVINRNLLDPNPHMYEDAQLQIYT
+LMHRDSFPRFLNSQIYKSFVESTASSTSES
+>tr|Q1LZ99|Q1LZ99_BOVIN DNA methyltransferase 1 associated protein 1 OS=Bos taurus OX=9913 GN=DMAP1 PE=2 SV=1
+MATGADVRDILELGGPEGDAASGTISKKDIINPDKKKSKKSSETLTFKRPEGMHREVYAL
+LYSDKKDAPPLLPSDTGQGYRTVKAKLGSKKVRPWKWMPFTNPARKDGAMFFHWRRAAEE
+GKDYPFARFNKTVQVPVYSEQEYQLYLHDDAWTKAETDHLFDLSRRFDLRFVVIHDRYDH
+QQFKKRSVEDLKERYYHICAKLANVRAVPGTDLKIPVFDAGHERRRKEQLERLYNRTPEQ
+VAEEEYLLQELRKIEARKKEREKRSQDLQKLITAADTTAEQRRTERKAPKKKLPQKKEAE
+KPAVPETAGIKFPDFKSAGVTLRSQRMKLPSSVGQKKIKALEQMLLELGVELSPTPTEEL
+VHMFNELRSDLVLLYELKQACANCEYELQMLRHRHEALARAGVLGGPTTPASGPAPAPAE
+PAVSEPGLGSDPSKDAIIDVVGAPLTPNSRKRRESASSSSSVKKAKKP
+>tr|Q2TBL2|Q2TBL2_BOVIN Cell adhesion molecule 1 OS=Bos taurus OX=9913 GN=CADM1 PE=2 SV=1
+MASAVLPSGPQCAAAAAAAAAAAPPGLRLRLLLLLLSAAALIPTGDGQNLFTKDVTVIEG
+EVATISCQVNKSDDSVIQLLNPNRQTIYFRDFRPLKDSRFQLLNFSSSELKVSLTNVSIS
+DEGRYFCQLYTDPPQESYTTITVLVPPRNLMIDIQKDTAVEGEEIEVNCTAMASKPATTI
+RWFKGSKELKGKSEVEEWSDMYTVTSQLMLKVHKEDDGVPVICQVEHPAVTGNLQTQRYL
+EVQYKPQVHIQMTYPLQGLTREGDALELTCEAIGKPQPVMVTWVRVDDEMPQHAVLSGPN
+LFINNLNKTDNGTYRCEASNIVGKAHSDYMLYVYDSRAGEEGAIRAVDHAVIGGVVAVVV
+FAMLCLLIILGRYFARHKGTYFTHEAKGADDAADADTAIINAEGGQNNSEEKKEYFI
+>tr|G3N2T7|G3N2T7_BOVIN Arylsulfatase family member H OS=Bos taurus OX=9913 GN=ARSH PE=4 SV=2
+MKQPFCGWHCWLSASLWCFFGSLQGMFVTRNSRPNIVLLMADDLGVGDLCCYGNNSVSTP
+NIDRLASEGVRLTQHLAAASMCTPSRAAFLTGRYPVRSGMASSSNLNRDVVWLGGSGGLP
+PNETTFAKLLQHRGYRTGLIGKWHQGLSCASRDDHCYHPLNHGFDYFYGMPFELLSDCQA
+FRTPELHRWVRVRLWVSTVVLGLIPLLLLVPTCARWFLVPWPVILAFALLALLFFVCWFS
+SYGFTRRWNCVLMRNHEIVQQPVRLERLASHMLKEALAFIDRYKRGPFLLFISFLHVHTP
+LVTKEKFVGHSKFGLYGDNVEEMDWMVGKVLEALDRERLANHTLVYFTSDNGGRLEAQDR
+SGQLGGWNGRYRGGRGMAGWEGGIRVPGIFRWPTVLEAGKVIDEPTSLMDIFPTLSYIGG
+GIPPLGRVIDGRNLMPLLEGRVSRSEHEFLFHYCGVSLHTARWYQKDCATVWKVHFVTPK
+FSPEGAGACYGSGVCPCSGDVTYHDPPLLFDISRDPSESRPLNPDNEALFDAVVKKVEAA
+VRRHRGTLTPVPQQLSVFNALWKPWLQPCCGSFPFCGCDRADDIVSAAW
+>tr|F1MM72|F1MM72_BOVIN Rho GTPase activating protein 22 OS=Bos taurus OX=9913 GN=ARHGAP22 PE=4 SV=3
+MLPTAPSKRRTLAARYFARSKSLVMGEQSRSPGRPPCPRRLGPVLKAGWLKKQRSIMKGW
+QQRWFVLRGDQLFYYKDKDETKPQGFISLQGTQVTELLPGPEDPGKHLFEISPGGAGERE
+KVPANPEALLLMASSQRDMEDWVQAIRRVIWAPFGRGIFGQRLEDTVHHERKYGPRLAPL
+LVEQCVDFIRERGLSEEGLFRMPGQANLVRDLQDSFDCGEKPRFDSTTDVHTVASLLKLY
+LRELPEPVVPFARYEDFLNCAQLLTKDEGEGTLELAKQVSSLPLVNYNLLRYICKFLDEV
+QSHSDVNKMSVQNLATVFGPNILRPQREDPVTIMEGTSLVQHLMTILIRKHSQLFTSRTT
+EGPASPRGGPPCTVGWGSEEVTRDDQAEPGSPSAPCLPSHRTSSLDGAAVAALSRTSPTG
+LGSRCSPAATSPGKKVQTLPNWRSSFRQSGSRSGSPKVGTSSLEVPIISSGGNWLMNGLS
+SLRGHRRASSGDRLKDSGSEQRLSTYDNVPPQSCFPSTPSVASLPWSGASSCEASAQGSV
+SSCTACRASDSSTCSSLHIEGALEPSPVLSSSEERRSLDLDHGLDGVGACISSSEPSDPG
+SPAQDHARRTEVLQGLVTELRAELCRQRTEYETNVKRLEEGSADLRKRMSRLEEELDQEK
+KKYTMLEIKLRNSERAREDAEKRNQLLQREMEEFFSTLGSLTVGAKGARALK
+>tr|F1MKD1|F1MKD1_BOVIN N-terminal Xaa-Pro-Lys N-methyltransferase 1 OS=Bos taurus OX=9913 GN=NTMT1 PE=4 SV=2
+MTSEVIEDEKQFYSKAKTYWKEVPATVDGMLGGYGHISSIDINSSRKFLQRFLREGPNKT
+GTSYALDCGAGIGRITKRLLLPLFGVVDMVDVTEDFLVKAKTYLGEEGKRVRNFFCCGLQ
+DFSPEPQSYDVIWIQWVIGHLTDQHLAEFLRRCKRGLRPNGIIVIKDNMAQEGVILDDVD
+SSVCRALDVVHRIVRSAGLSLLAQERQENLPDEIYHVYSLALR
+>tr|Q08DC2|Q08DC2_BOVIN RAB31, member RAS oncogene family OS=Bos taurus OX=9913 GN=RAB31 PE=2 SV=1
+MMAIRELKVCLLGDTGVGKSSIVCRFVQDHFDHNISPTIGASFMTKTVPCGNELHKFLIW
+DTAGQERFHSLAPMYYRGSAAAVIVYDITKQDSFHTLKKWVKELKEHGPENIVMAIAGNK
+CDLSDIREVPLKDAKEYAESIGAVVVETSAKNAINIEELFQGISRQIPPLDPHENGNSGS
+IKLGKPTSQVGRRCC
+>tr|Q2YDF4|Q2YDF4_BOVIN TADA3L protein OS=Bos taurus OX=9913 GN=TADA3 PE=2 SV=1
+MSELKDCPLQFHDFKSVDHLKVCPRYTAVLARSEDDGIGIEELDTLQLELETLLSSASRR
+LRVLEAETQILTDWQDKKGDRRFLKLGRDHELGAPPKHGKPKKQKLEGKAGHGPGPGPGR
+PKSKNLQPKIQEYEFTDDPIDVPRIPKNDAPNRFWASVEPYCADITSEEVRTLEELLKPP
+EDEAEHYKIPPLGKHYSQRWAQEDLLEEQKDGARAAAVADKKKGLMGPLTELDTKDVDAL
+LKKSEAQHEQPEDGCPFGALTQRLLQALVEYKNKNL
+>tr|Q3SZT5|Q3SZT5_BOVIN RAB, member of RAS oncogene family-like 2B OS=Bos taurus OX=9913 GN=RABL2B PE=2 SV=1
+MARDKAKPCELDQEKYDADDNVKIICLGDSAVGKSKLMERFLMDGFQPQQLSTYALTLYK
+HTATVDGKTVLVDFWDTAGQERFQSMHASYYHKAHACIMVFDVQRKITYRNLSTWYAELR
+EFRPEIPCIVVANKIDADMKMTQKSFNFARKFSLPLYFVSAADGTNVVKLFSDAIRLAVS
+YKQNSRDFMDEVLQELENIDLKREEEEEEEMLDKEQPGRQQSPSPS
+>tr|Q2KJE7|Q2KJE7_BOVIN Proteasome (Prosome, macropain) activator subunit 1 (PA28 alpha) OS=Bos taurus OX=9913 GN=PSME1 PE=2 SV=1
+MATLRVLPEAQAKVDVFREDLCTKTENLLGSYFPKKISELDAFLKEPDLNEANLSNLKAP
+LDIPVPDPVKEKEKEERRKQQEKEDKDEKKKGEDEDKGPPCGPVSCNEKIVVLLQRVKPE
+IKDVIEKLNLVTTWLQLQIPRIEDGNNFGVAVQEKVFELMTALHTKLEGFHTQISKYFSE
+RGDAVTKAAKQPHVGDYRQLVHELDEAEYRDIRLMVMEIRNAYAVLYDIILKNFEKLKKP
+RGETKGMIY
+>tr|A2VDQ9|A2VDQ9_BOVIN Calpain 8 OS=Bos taurus OX=9913 GN=CAPN8 PE=2 SV=1
+MAALAARVTRQRAATEGLGSSQKAVRYLGQDFETLRQQCLDSGLLFKDPEFPACPSALGY
+KDLGPCSPRTQGVVWKRPMELCPSPQFIVGGATRTDICQGALGDCWLLAAIASLTLNREL
+LYRVVPRDQSFQENYAGIFRFQFWQYGEWVEVVVDDRLPTKNGKLLFLHSEEGSEFWSAL
+LEKAYAKLNGSYEALTGGSTVEGFEDFTGGISEFYNLKKPPASLFQIIQKALRSGSLLAC
+SIDISSAAETEAITSQKLVKGHAYSVTGVEEVDFRGCPERLIRLRNPWGEVEWKGAWSDD
+APEWNYIDPRQKEQLGKKAEDGEFWMSFSDFLRQFSRLEICNLSLDSLSSEEAHKWSLVL
+FNGRWTRGSTAGGCQNYPGSS
+>tr|A7MBI5|A7MBI5_BOVIN DPYSL3 protein OS=Bos taurus OX=9913 GN=DPYSL3 PE=1 SV=1
+MASGRRGWDSSHEDDLPVYLARPGTTDQVPRQKYGGMFCNVEGAFESKTLDFDALSVGQR
+GAKTPRSSQGSVRGSGSRPGAEGDTPHRGQGREESREPAPASPAPAGVEIRSATGKEVLQ
+NLGPKDKSDRLLIKGGRIVNDDQSFYADIYMEDGLIKQIGDNLIVPGGVKTIEANGKMVI
+PGGIDVHTHFQMPYKGMTTVDDFFQGTKAALAGGTTMIIDHVVPEPESSLTEAYEKWREW
+ADGKSCCDYSLHVDITHWNDSVKQEVQGLIKDKGVNSFMVYMAYKDWYQVSNTELYEIFT
+CLGELGAIAQVHAENGDIIAQEQTRMLEMGITGPEGHVLSRPEELEAEAVFRAITIASQT
+NCPLYITKVMSKSAADLISQARKKGNVVFGEPITASLGTDGTHYWSKNWAKAAAFVTSPP
+LSPDPTTPDYINSLLASGDLQLSGSAHCTFSTAQKAIGKDNFTAIPEGTNGVEERMSVIW
+DKAVAMGKMDENQFVAVTSTNAAKIFNLYPRKGRISVGSDSDLVIWDPDAVKIVSAKNHQ
+SAAEYNIFEGMELRGAPLVVICQGKIMLEDGNLHVTQGAGRFIPCSPFSDYVYKRIKARR
+KMADLHAVPRGMYDGPVFDLTSTPKASTPAGSTRGSPTRPNPPVRNLHQSGFSLSGTQVD
+EGVRSASKRIVAPPGGRSNITSLS
+>tr|E1BMR4|E1BMR4_BOVIN Polycomb group ring finger 2 OS=Bos taurus OX=9913 GN=PCGF2 PE=4 SV=2
+MHRTTRIKITELNPHLMCALCGGYFIDATTIVECLHSFCKTCIVRYLETNKYCPMCDVQV
+HKTRPLLSIRSDKTLQDIVYKLVPGLFKDEMKRRRDFYAAYPLTEVPNGSNEDRGEVLEQ
+EKGALSDDEIVSLSIEFYEGVRDREEKKGPLENGDGDKEKTGVRFLRCPAAMTVMHLAKF
+LRNKMDVPSKYKVEVLYEDEPLKEYYTLMDIAYIYPWRRNGPLPLKYRVQPACKRLTLPT
+APTPSEGTNTSGASECESVSDKAPSPATLPATSSSLPSPATPSHGSPSSHGPSAPHPTSP
+APPVTAGGATAAANGGTSNCLQTPSSTSRGRKMTVNGAPVPPLT
+>tr|G3MX14|G3MX14_BOVIN Small integral membrane protein 15 OS=Bos taurus OX=9913 GN=SMIM15 PE=4 SV=1
+MFDLKAWAEYVVEWAAKDPYGFLTTVILALTPLFLASAVLSWKLAKMIEAREKEQKKKQK
+RQENIAKAKRLKKD
+>tr|A7MBI9|A7MBI9_BOVIN SLC11A2 protein OS=Bos taurus OX=9913 GN=SLC11A2 PE=2 SV=1
+MVLGPEQKIPDEDASGDHGDSASLGAINPAYSNSSIPQSPGAGSEDPFTTYFDEKIAIPE
+EEYSCFSFRKLWAFTGPGFLMSIAYLDPGNIESDLQSGAVAGFKLLWVLLLATIVGLLLQ
+RLAARLGVVTGLHLAEVCHRQYPRVPRIILWLMVELAIIGSDMQEVIGSAIAINLLSVGR
+IPLWGGVLITIADTFVFLFLDKYGLRKLEAFFGFLITIMALTFGYEYVTVKPSQTQVLKG
+MFLPSCSGCRTPQIEQAVGIVGAVIMPHNMYLHSALVKSRQVDRANKQEVREANKYFFIE
+SCIALFVSFIINVFVVSVFAEAFFGKTNEQVVAVCTNSSSPHTHLFPDDNSTLAVDIYKG
+GVVLGCYFGPAALYIWAVGILAAGQSSTMTGTYSGQFVMEGFLNLKWSRFARVILTRSIA
+IIPTLLVAVFQDVEHLTGMNDFLNVLQSLQLPFALIPILTFTSLRPVMSEFANGLGWRIA
+GGILVLIICSINMYFVVVYVQDLGHVVLYVVAAVVSVAYLSFVFYLGWQCLIALGMSFLD
+CGHTVSISKVLLTEEATSGYTK
+>tr|A6QL99|A6QL99_BOVIN 6-phosphofructo-2-kinase/fructose-2,6-bisphosphatase 2 OS=Bos taurus OX=9913 GN=PFKFB2 PE=2 SV=1
+MSGNPASSSEQNNNSYETKASLRISEKKCSWASYMTNSPTLIVMIGLPARGKTYVSKKLT
+RYLNWIGVPTKVFNLGVYRRQAVKSYKSYDFFRHDNEEAMKIRKQCALVALKDVKAYLTE
+ESGQIAVFDATNTTRERRDLILNFAEENSFKVFFVESVCDDPDVIAANILEVKVSSPDYP
+ERNRENVMDDFLKRIECYKVTYQPLDPDSHDKDLSFIKVINVGQRFLVNKVQDYIQSKIV
+YYLMNIHVHPRTIYLCRHGESEFNLLGKIGGDSGLSVRGKQFAQALRKFLEEQEIADLKV
+WTSQLKRTIQTAESLGVTYEQWKILNEIDAGVCEEMTYAEIQEQYPDEFALRDEEKYLYR
+YPGGESYQDLVQRLEPVIMELERQGNVLVISHQAVMRCLLAYFLDKGADELPYLRCPLHT
+IFKLTPVAYGCKVETIKLNVEAVNTHRDKPTAETSRAAHRLPSPAPPTSPS
+>tr|A6QPA5|A6QPA5_BOVIN HOXA10 protein OS=Bos taurus OX=9913 GN=HOXA10 PE=2 SV=1
+MCQGNSKGENAANWLTAKSGRKKRCPYTKHQTLELEKEFLFNMYLTRERRLEISRSVHLT
+DRQVKIWFQNRRMKLKKMNRENRIRELTANFNFS
+>tr|Q3T0J0|Q3T0J0_BOVIN 3'-phosphoadenosine 5'-phosphosulfate synthase 1 OS=Bos taurus OX=9913 GN=PAPSS1 PE=2 SV=1
+MEVPGSLCKKVKLSNNAQNWGMQRATNVTYQAHHVSRNKRGQVVGTRGGFRGCTVWLTGL
+SGAGKTTVSMALEEYLVCHGIPCYTLDGDNIRQGLNKNLGFSPEDREENVRRIAEVAKLF
+ADAGLVCITSFISPYTQDRNNARRIHEGASLPFFEVFVDAPLHVCEQRDVKGLYKKARAG
+EIKGFTGIDSEYEKPEAPELVLKTDSCDVNDCVQQVVELLQERDIVPVDASYEVKELYVP
+ENKLHLAKTDAETLPALKINKVDLQWVQVLAEGWATPLNGFMREREYLQCLHFDCLLDGG
+VINLSVPIVLTATQEDKERLDGCTAFALMYEGRRVAILRNPEFFEHRKEERCARQWGTTC
+KNHPYIKMVMEQGDWLIGGDLQVLDRIYWNDGLDQYRFTPTELKQKFKDMNADAVFAFQL
+RNPVHNGHALLMQDTHRQLLERGYRRPVLLLHPLGGWTKDDDVPLMWRMKQHAAVLEEGV
+LNPETTVVAIFPSPMMYAGPTEVQWHCRARMVAGANFYIVGRDPAGMPHPETGKDLYEPT
+HGAKVLTMAPGLITLEIVPFRVAAYNKKKKRMDYYDSEHHEDFEFISGTRMRKLAREGQK
+PPEGFMAPKAWDVLMEYYKSLEKA
+>tr|E1BD66|E1BD66_BOVIN Brain enriched guanylate kinase associated OS=Bos taurus OX=9913 GN=BEGAIN PE=4 SV=2
+MEKLRLRSAWVPSCLGQSRNLQGRRARSSPSLWDSSLQEQKGELRKRLSYTTHRLEKLEN
+EFDSTRHFLEIELRRAQEELEKVTEKLRRIQSNYMALQRINQELEDKLFRMGQHYEEEKR
+ALSHEIVALNSHLLEAKVTIDKLSEDNELYRKDCSLAAQLLQCSQTYGRGRKMAELPAEF
+QERMNLHAEKPGCSLPAPLCRPAYADSVPPCVLAKVLEKPDPGSLSSHLSEASAQDLGFP
+EGLEKPGSRPPYKGDIYCSDTALYCPEERHRDRRPSVEGPGSDVGFLQAQNSTDSTAEEE
+EEEEDTEAGAAAYPASYRHEAFGGYAASLPTSSSYSSFSAASEEKEHAQASTLTASQQAI
+YLNSRDELFGRKPPAAYGSSPRYAAAAAAVAAPLEAAVAPGFPRTVSPFPAEPFRFPLSP
+GPQPALMPPNLWNLRAKPGSARLVAGAGRGQWRPLSVDDIGAYPFPAAAAAPAPSLASPG
+GFNDRYYGARGSPGENAEGRASPLYASYKADSFSEGDDLSQGHLVEPRYLRAAGDLSLSP
+GRSADPLPSYAASEGDRERLGVQLLGASGSPEPELSLRSSRDSLEPSSMEASPEMHPGAR
+LSPQPAFPRAGSSGLSRKDSLTKAQLYGTLLN
+>tr|Q3SYW7|Q3SYW7_BOVIN SPARC like 1 OS=Bos taurus OX=9913 GN=SPARCL1 PE=2 SV=1
+MKTVVFFLCILGTAGAIPTQARFLSDHSNPTADSLSFFQEAETSEHTAIPLVEVEDAENE
+KETATSIEDHSHHKPEKSSLLKSEEENHDQSADQEESYNQNLGLQDQEKTESDLTENLEY
+SPTEGTLELKEDMSEPQKEKLPESFLAHDVSSIVDSNQQESITKTEENQKQPVDDSHPQL
+NSQDLRDQGNQDQDTNGEEEGEKEPGEVGTHNDEEERETELPKEPSNNKQEEDSTQSDAV
+LEESYQPTQESKMQKEELEHGSREQEEENTNAEMEEETASKIDKPSQDTEWQSQEGKPGP
+EVISNHEEMDKKTLSEPLLVEPTDDVNEVAINHGAKDDSDDGPGYNESEDDFTPSEEFLS
+NERAQSMYYHLEYEEQIENALKNENVDSSETVENQQAKKAESSLKEDEHSTEGNTRVHSV
+DSCLSFQCKRGHICKADQHGKPYCVCQDPASCPPTKLLDQVCGTDNQTYASSCHLFATKC
+RMERTKKGHQLHLDYFGACKTIPACTDFEVTQFPLRMRDWLKNILVQLYEPNPEHSGYLN
+EKQRNKVKKIYLDEKRLLAGDHSIDLLLRDFKKNYHMYVYPVHWQFSELDQHPRDRVLTH
+SELAPLRASLVPMEHCITRFFEECDPNKDKHITLQEWGHCFEIKEEDIDENLLF
+>tr|A6QQX0|A6QQX0_BOVIN PBK protein OS=Bos taurus OX=9913 GN=PBK PE=2 SV=1
+MEETNNFKTPSRLSEKRKSALCLTPCINIPASPFMQKLGFGTGVNVYLMQRSPRGLSHSP
+WAVKKINPRCNDDYQSMYQKRLTDEAKILKTLNHPNIIGYRAFTKASDGSLCLAMEYGGE
+KSLNDLIEERNKDSRDPFPAAIILKVALNMARGLKYLHQDKKLLHGDIKSSNVVIKGDFE
+TIKICDVGVSLPLDENMTVTDPEACYIGTEPWKPKEALEEDGIITDKADIFAFGLTLWEM
+MTLSIPHINLPDDDDDDEDKTFDESDFDDDAYYAALGTRPPVNMEELDETYQKVIELFSV
+CTNEDPKDRPSAACIVEALEVDIL
+>tr|G3N2N1|G3N2N1_BOVIN SLIT and NTRK like family member 2 OS=Bos taurus OX=9913 GN=SLITRK2 PE=4 SV=1
+MLSGVWFLSVLTVAGILETESRKTAKDICKIRCLCEEKENVLNINCENKGFTTVSLLQPP
+QYRIYQLFLNGNLLTRLYPNEFVNYSNAVTLHLGNNGLQEIRTGAFSGLKTLKRLHLNNN
+KLEVLREDTFLGLESLEYLQADYNYISAIEAGAFSKLNKLKVLILNDNLLLSLPSNVFRF
+VLLTHLDLRGNRLKLMPFAGVLEHIGGIMEIQLEENPWNCTCDLLPLKAWLDTITVFVGE
+IVCETPFRLHGKDVTQLTRQDLCPRKSTGDSAQRGGHTDTHIPRLSPTLNPALNPTRAPK
+ASRPPKMRNRPTPRVTVSKDRQSFGPIMVYQTKSPVPLTCPSSCVCTSQSSDNGLNVNCQ
+ERKFTNISDLQPKPTSPKKLYLTGNYLQMVYKNDLLEYSSLDLLHLGNNRIAVIQEGAFT
+NLTSLRRLYLNGNYLEVLFPAMFDGLQSLQYLYLEYNVIKEIKPLTFDALINLQLLFLNN
+NLLRSLPDNIFGGTALTRLNLRNNHFSHLPVKGVLDQLPAFIQIDLQENPWDCTCDIMGL
+KDWTEHANSPVIINEVTCESPAKHAGEILKFLGREAICPDGPNLSDGTVLSMNHNTDTPR
+SLSVSPSSYPELHTEVPLSVLILGLLVVFILSVCFGAGLFVFVLKRRKRVPSVPRSANNL
+DVSSFQLQYGSYNTETQDKADGHVYNYIPPPVGQMCQNPIYMQKEGDPVAYYRNLQEFSY
+SNLEEKKEEPATLAYTISATELLEKQAPREPELLYQNIAERVKELPSAGLVHYNFCTLPK
+RQFTPSYESRRQNQDRINKTVLYGTPRKCFVGQSKADHPLLQAKPQSEPDYLEVLEKQTA
+ISQL
+>tr|F1MQD1|F1MQD1_BOVIN Calpain 5 OS=Bos taurus OX=9913 GN=CAPN5 PE=3 SV=2
+MFSCVKPYENQNYSALKRACLRRKVLFEDPNFPATDDSLYYKGSPGPTVRWKRPKDICED
+PRLFVDGISSHDLHQGQVGNCWFVAACSSLASRESLWQKVIPDWKEQEWDPEKPNAYAGI
+FHFHFWRFGEWVDVVIDDRLPTVNNQLIYCHSSSRNEFWCALVEKAYAKLAGCYQALDGG
+NTADALVDFTGGVSEPIDLTEGDFANDEAKRNQLFERVLKVHSRGGLISASIKAVTAADM
+EARLACGLVKGHAYAITDVRKVRLGHGLLAFFKSEKLDMIRLRNPWGEREWNGPWSDTSE
+EWQKVSKSEREKLGVTVQDDGEFWMTFEDLCRYFTDIIKCRLINTSYLSIHKTWEEARLR
+GAWTRHEDPLQNRSGGCINHKDSFFQNPQYIFDVKKPEDEVLISIQQRPKQSTRRDGKGE
+NLAIGFDIYKVEENRQYRMHSLQHRAASSIYINSRSVFLRTEQPEGRYVIIPTTFEPGHT
+GEFLLRVFTDVPSNCRELRLDEPPRTCWSSLCGYPQQVTQVHVLGAAGLKDSPTGANSYV
+IIKCEGDKVRSAVQKGTSTPEYDVKGVFYRKKPSQPITVQIWNHRVLKDEFLGQVHLKAN
+ADDLQALHTLHLRDRNSRQPSDLPGTVAVRVLSSASLTAV
+>tr|A0A3S5ZPK8|A0A3S5ZPK8_BOVIN Toll-like receptor 3 OS=Bos taurus OX=9913 GN=TLR3 PE=3 SV=1
+MSRPLPYHIHFFSGLLTCWILCTSSAHKCTVRHEVADCSHLKLTQIPDDLPTNITVLNLT
+HNQLRRLPPANFTRYSQLTILDGGFNSISKLEPELCQSLPWLEILNLQHNEISQLSDKTF
+IFCMNLTELHLMSNSIQKIKNDPFKNLKNLIKLDLSHNGLSSTKLGTQLQLENLQELLLS
+NNKISSLTPEEFDFLGNSSLKRLELSSNQIKEFSPGCFHTLGELSGLSLNNAKLSPSLTE
+KLCLELSNTSIENLSLSSNQLDTISHTTFDGLKQTNLTTLDLSRNSLRVMGNDSFAWLPH
+LEYLSLEYNNIEHLSSRSFYGLSNLRRLDLRRSFTRQSISLTSLPKIDDFSFQWLKCLEY
+LNMDDNNFPGIKRNTFTGLVRLKFLSLSNSFSSLRTLTNETFLSLAGCPLLLLNLTKNKI
+SKIQSGAFSWLGHLEVLDLGLNEIGQELTGQEWRGLDNIVEIYLSYNKYLELTTNSFTSV
+PSLQRLMLRRVALKNVDCSPSPFRPLPNLVILDLSNNNIANINDELLKGLEKLEILDLQH
+NNLARLWKHANPGGPVQFLKGLFHLHILNLGSNGFDEIPVEAFKDLRELKSIDLGMNNLN
+ILPQSVFDNQVSLKSLSLQKNLITSVQKTVFGPAFRNLSYLDMRFNPFDCTCESIAWFVN
+WINSTHTNISELSNHYLCNTPPQYHGYPVMLFDVSPCKDSAPFELLFMININILLIFIFI
+VLLIHFEGWRISFYWNVSVHRVLGFKEIDRAEQFEYAAYIIHAYKDRDWVWKHFSPMEEE
+DHTLRFCLEERDFEAGVLELEAIVNSIRRSRKIIFVVTQNLLKDPLCKRFKVHHAVQQAI
+EQNLDSIILIFLEEIPDYKLNHALCLRRGMFKSHCILNWPVQKNG
+>tr|Q0VCW0|Q0VCW0_BOVIN Grainyhead like transcription factor 1 OS=Bos taurus OX=9913 GN=GRHL1 PE=2 SV=1
+MTQEYDNKRPVLVLQNEALYSQRRSYTSEDEAWKSFLENPLTAATKAMMSINGDEDSAAA
+LGLLYDYYKVPRERRSSAAKADVEHAEPDHSKRNVPNVTEQPLISAGENRVQVLKNVPFN
+IVLPHGNQLGIDKRGHPTAPDTTVTVSISAMPTHAIKTESQPHGFTVGIPPAVYHPEPTE
+RVVVFDRNLNADQFGSGAQPPNAQRRTPDSTFSETFKEGVQEVFFPSDLSLRMPGMNSED
+YVFDSVSGNNFEYTLEASKSLRQKPGDSTMTYLNKGQFYPVTLKEVSGSEAVHHPIGKVR
+SVIMVVFAEDKSREDQLRHWKYWHSRQHTAKQRCIDIADYKESFNTISNIEEIAYNAISF
+TWDINDEAKVFISVNCLSTDFSSQKGVKGLPLNIQIDTYSYNNRSNKPVHRAYCQIKVFC
+DKGAERKIRDEERKQSKRKGKCTDPSSQLNAFSDVKVPLLPSHKRMDITVFKPFIDLDTQ
+PVLFIPDVHFASLQRGAHVLPIASEELEGEGSSLKRGPYSAEDDFVIPPPAKLTRVEEPK
+RVLLYVRKESEEVFDALMLKTPSLKGLMEAISDKYDVPQEKIGKIFKKCKKGILVNMDDN
+IVKHYSNEDTFQLQMEESGGSFKLTLTEI
+>tr|E1BLT5|E1BLT5_BOVIN ATP binding cassette subfamily B member 4 OS=Bos taurus OX=9913 GN=ABCB4 PE=4 SV=2
+MDPEVGRIATFRITETREDGFELGVSSSQAKEKMKKVNLIGPLTLFRYSDWQDKLFMSFG
+TIMAITHGSGLPLMMIVFGEMTDRFVNTGGNFSLPVNFSLAMLNPGRILEEEMTRYAYYY
+SGLGAGVLVAAYIQVSFWTLAAGRQIKKIRQEFFHAILRQEIGWFDISDITELNTRLTDD
+ISKISEGIGDKVGMFFQAIATFFAGFIVGFIRGWKLTLVIMAISPILGLSTAVWAKILSA
+FSDKELAAYAKAGAVAEEALGAIRTVIAFGGQKRELERYQKHLENAKRIGIKKAISANIS
+MGTAFLLIYASYALAFWYGSTLVIAKEYTIGNAITVFFSILIGAFSIGQAAPCIDAFANA
+RGAAYAIFAIIDSDPKIDSFSERGHKPDNIKGNLEFRDVHFSYPARPDVQILKGLNLKVE
+SGQTVALVGNSGCGKSTVVQLVQRLYDPDVGSIIIDGQDIRTFNVKYLREIIGVVSQEPV
+LFATTIAENIRYGRGNVTMDEIQQAVKEANAYEFIMRLPQKFDTLVGERGAQLSGGQKQR
+IAIARALVRNPKILLLDEATSALDTESEAEVQAALDKAREGRTTIVIAHRLSTIRNADVI
+AGFDDGVIVEQGSHGELMKKEGVYFRLVNTQISGSQIQSEEFKVALADEKPAMGLTHPIV
+RRSLHKSLRSSRQYQNGFDVETSELDESVPPVSFLKILKLNKTEWPYLVVGTLCAVANGA
+LQPAFSVIFSEMIAIFGPGDDEVKQQKCNMFSLLFLGLGIISFFTFFLQGFTFGKAGEIL
+TTRLRLMAFKAMLRQDMSWFDDHKNSTGALSTRLAMDASQVQGATGTRLALIAQNTANLG
+TGIIIAFIYGWQLTLLLLSVVPIIAVSGIVEMKLLAGNAKRDKKELETAGKIATEAIENI
+RTVVSLTQERKFESMYVEKLYGAYRNSVRKAHVYGISFSISQAFMYFSYAGCFRFGAYLI
+VNGHMRFRDVILVFSAIVLGAVALGHASSFAPDYAKAKLSAAHLFKLFERQPLIDSHSEE
+GLRPDKFEGNVTLNEVVFNYPTRPNVPVLRGLSLEVKKGQTLALVGSSGCGKSTVVQLLE
+RFYDPLAGTVLLDGHEAKKLNVQWLRAQLGIVLQEPVLFDCSIADNIAYGDNSRPVTMPE
+IVSAAKAANIHPFIETLPHKYETRVGDKGTQLSGGQKQRIAIARALIRHPRILLLDEATS
+ALDTESEKIVQEALDKAREGRTCIVIAHRLSTIQNADLIVVIENGRVREHGTHQQLLAQK
+GIYFTMVSVQAGTQN
+>tr|A5PJF5|A5PJF5_BOVIN BRI3 binding protein OS=Bos taurus OX=9913 GN=BRI3BP PE=2 SV=1
+MGARASGGPRARTGFLLLLLLLGLVAPGAQGARSRGGTEKNSYRRTVNTFSQSVSSLFGE
+DNVRAAQKFLTRLTERFVLGVDMFVETVWKVWAELLEVLGLDVSNLSQYFNPSSVASSPA
+RALLLVGVVLLAYWFLSLTLGFTFSALHVVFGRFFWVVRVILFSMSCVYILHKYEGEPEN
+AVLPLCFVVAIYFMTGPMGFYWRGGPSGPSVEEKLEQLENQVRLLNIRLTRVLESLDRPN
+SK
+>tr|F1N011|F1N011_BOVIN MAS1 proto-oncogene, G protein-coupled receptor OS=Bos taurus OX=9913 GN=MAS1 PE=3 SV=2
+MDEANGTSFVAEEPSNASTSGNTSVKDPRREIPIVHWVIMSISPLGFVENGILLWFLCFR
+MRRNPFTVYITHLSIADISLLFCIFILSVDYALDYELSSGYYYTIVTLSVTFLFGYNTGL
+YLLTAISVERCLSVLYPIWYRCHRPKHQSAFVCALLWALSCLVTTMEYVMCIDSEGQTHS
+RGDCRAVIIFIAILSFLVFTPLMVVSSTILVVKIRKNSWASHSSKLYLVITVTIIIFFIF
+AMPMRLLYLLYYEYWSTFKNLHHVSLLFSTINSSANPFIYFFVGSSRKKRFKESLKVVLT
+RAFKDEMQPRQPEDHTATTATETVV
+>tr|A6QP32|A6QP32_BOVIN KRT85 protein OS=Bos taurus OX=9913 GN=KRT89 PE=2 SV=1
+MASHSYNSSSYRVRDFSSCSAVVPKPGVHGFANGLAFHGGSPGGPGYRRLGGFGSRSLCA
+VGSPRIAVSYAWPLRGGGSFGYQAGGLYGPIPPCITTVSVNESLLAPLNLEIDPKAQCVK
+HEEKEQIKGLNNKFAAFIDKVRFLEQQNKLLETKLQFYQNHQCCESNLEPLFNGYIETLR
+REAECVEANSGRLASELNHVEEVLEGYKKKYEEEVALKTTAENEFVVLKKDIDCAYLRKA
+DLEANVEALKEEMSFLQSLYDEEIYLLQSQISDTSVVVKMDNSRELNMDSVVAEIKAQYD
+GIASRSRAEVESWYQTKCEEMKVTVTQQGENLRRTKEEINELNRMIQRLTAEVENAKQQR
+CKLETALAEAEQQGEAALNDAKCKLAGLEEALQKAKQDMACLLKEYQEVMNSKLGLDVEI
+ATYRKLLEGEESRLCEGVGSINICVSRSQGGVICGDLDSTVPRGLGGTAISSSALCSPSV
+GGFCSSVRSVRFA
+>tr|A0A3Q1MPJ1|A0A3Q1MPJ1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=FAM19A4 PE=4 SV=1
+MKSPRMRACARSVLLSHWLFLAYVLMVCCKLMSASSQHLRGHTGHHQIKQGTCEVVAVHR
+CCNKNRIEERSQTVKCSCFPGQVAGTTRAQPSCVEASIVIQKWWCHMDPCLEGEDCRVLP
+DYSGWSCSSGNKVKTTKVTR
+>tr|E1BPC0|E1BPC0_BOVIN Progestin and adipoQ receptor family member 5 OS=Bos taurus OX=9913 GN=PAQR5 PE=4 SV=2
+MLSLKLPRLLSMEQVPQVFHEQGILFGYRHPQSSATACLLSLFQMTNETLNIWTHLLPFW
+FFSWRFVSTLQVTDILNDSYSWPLLVYMGASCLFPLASSCAHTFSSMSRNARHICYFLDY
+GAVNLFSLGCAIAYSAYVFPDTLVSTTFHDYYLTLAVLNTIISIWLSCYSRFFEIQKPGI
+CKMLRVLAFAFPYTWDSLPVLFRVFLLPWESAENEATVYHQKHVAMTLLASFFYSAHLPE
+RLAPGRFDYIGHSHQLFHVCVILATHMQMEAILLDKTLRKEWLLTHCRPLVFAQIAGAIL
+LCLIFSLSNIVYFSAALYRIPEPELHKKET
+>tr|F1N5F9|F1N5F9_BOVIN Family with sequence similarity 169 member A OS=Bos taurus OX=9913 GN=FAM169A PE=4 SV=3
+MAFPVDMLDNCSHEELENSAEDYMSDLRCGDPENPERFSLLNITIPISLSNVGFVPLYGG
+DQTQKVLALFAPEDSLTAVALYLADQWWAIDDIVKTSVPSREGLKQVRTLGERVVLYVLN
+RIIYRKQEMERNEIPFLCHSSTDYAKILWKKGEAIGFYSVKPTGSTCASFLTQSYQLPVL
+DTMFVRKKYRGKDFGLHMLEDFVDSFTEDALGLRYPLTSLMYIACNQYFEKYPGDHELLW
+EVEGVGHWYQRIPITRALQRETLKITAISQNEAKRSTSGEYSLASVPEYEARAEDSQSSE
+MQLTIDSLKDAFASTSEGHDKTPVSTRTRSSHLKRPKIGKRFQDSEFSSSQGEDEKTPQT
+SPTASVNKLESTARTSESSEEFLEEEPEQSVIEFEDESSDKDVEPAPETQPGLEKQEGEK
+ESALEPMNGEIIDDTLKTSLITEEEDSTSEVLDEELKLQSFNSSEDSPNLAPLVVDSSQP
+LEGVAQDKTSHATDSETLLDEGPSDDKGHTEEKLPLVSRKKAHFGSSDNVATIPNEDRSD
+SAFPNSVIAEFSEESIPENVSPNTTASLEDQGEEGVAEPQESSAALPQSSLIEVELEDVP
+FSQNAGQKNLSEEQSEASSEQLDQLTQSTEKTVDSSSEEIEVEVPVVDRRNLRRKAKGHK
+GPAKKKAKLT
+>tr|Q3ZCM0|Q3ZCM0_BOVIN Tyrosine-protein kinase OS=Bos taurus OX=9913 GN=LCK PE=2 SV=1
+MGCSCSSNPEDDWMENIDVCENCHYPIVPLDGKTTLPMRNGSEVRDPLVTYEGSNPPASP
+LQDNLVIALHSYEPSHDGDLGFEKGEQLRILEQNGEWWKAQSLTTGQEGFIPFNFVAKAN
+SLEPEPWFFKTLSRKDAERQLLAPGNTHGSFLIRESESTAGSFSLSVRDFDQTQGEVVKH
+YKIRNLDKGGFYISPRVTFPGLHELVRHYMNTSDGLCTRLSRPCQTQKPQKPWWEDEWEV
+PRETLKLVERLGAGQFGEVWMGYYNGHTKVAVKSLKQGSMSPDAFLAEANLMKQLQHQRL
+VRLYAVVTQEPIYIITEYMENGSLVDFLKTSEGIKLTINKLLDMAAQIAEGMAFIEEQNY
+IHRDLRAANILVSHSLSCKIADFGLARLIEDNEYTAREGAKFPIKWTAPEAINYGTFTIK
+SDVWSFGILLTEIVTHGRIPYPGMTNPEVIQNLERGYRMVRPDNCPEELYQLMMLCWKER
+PEERPTFDYLRSVLEDFFTATEGQYQPQP
+>tr|A5PK58|A5PK58_BOVIN ATAD2 protein OS=Bos taurus OX=9913 GN=ATAD2 PE=2 SV=1
+MVVLRSSLELQSHPAASATDSLDLSSEFLSLEQIGRRRLRSAGAAEQSAVTAAAAGDGSS
+VKEVETYHQTRTLRSLRKNAQNSSDSSFDKNTVITEKHANGRHFTRQLARQQADKKKEEC
+KEDKAIAVTRSLRTRSIVQKTEHLHEESGDVEVRRSCRIRSRYGSVNQSVLFDKLITNTA
+EAVLQKMDDMEKQRRRRMRKLEDLEVFNETEEGNLNMYTRGKQKDIQRTDEETTDNQEGS
+VESSEEGEDQEDEDEDDEEEEDDDDDEDEEDVEEDNQKRYYLRQRKATVYYQAPLEKPRH
+QRKPKIFYTGPASPARPRYRLSSAGPRSPYCKRMNRVFLGTRFWTSIFR
+>tr|A6QPP5|A6QPP5_BOVIN TCF7 protein OS=Bos taurus OX=9913 GN=TCF7 PE=2 SV=1
+MVPTGNGLTWTERHNKASQPSHGVPQLSPLYDHFSSPHPTPAPADISQKQGVHRPLQTPD
+LSGFYSLTSGSMGQLPHTVSWFTHPPLMLGSGVPGHPAAIPHPAIVPSSGKQELQPYDRS
+LKAQADSKAEKEAKKPTIKKPLNAFMLYMKEMRAKVIAECTLKESAAINQILGRRWHALS
+REEQAKYYELARKERQLHMQLYPGWSARDNYGKKKRRSREKHQESNSGGKRNAFGTYPEK
+AAAPAPFLPMTVL
+>tr|Q1LZ81|Q1LZ81_BOVIN PDCD6 protein OS=Bos taurus OX=9913 GN=PDCD6 PE=2 SV=1
+MAAYPYRPGPGAGPAAGAALPDQSFLWNVFQRVDKDRSGVISDNELQQALSNGTWTPFNP
+VTVRSIISMFDRENKAGVNFSEFTGVWKYITDWQNVFRTYDRDNSGMIDKNELKQALSGF
+GYRLSDQFHDILIRKFDRQGRGQIAFDDFIQGCIVLQRLTDIFRRYDTDQDGWIQVSYEQ
+YLSMVFSIV
+>tr|A3KMW9|A3KMW9_BOVIN ZNF644 protein OS=Bos taurus OX=9913 GN=ZNF644 PE=2 SV=1
+MRLFLQRDVNKTKSRLNVLNGLTNNMDDLKINTDVTGAKEELLDDNSFISDKESGVHKPK
+DCQASFQKNNTFTLPEELSKDKSEKALSGGQSTLFIHAGAPTVSSENFILPKGAAVNGPV
+SHSSLTKTSNMNKGSVSLTTGQPVDQPTTESCSGLKVAADLQLSTPQKASQHQVLFLLSD
+VAHAKNPTHSIKKLPTSASIGCDIQNSVGSGIKSDSTLINQVEVGEDSEDLLVKNDCVST
+LTGISSGTDEFRSDNDTNWDPQKEFIQFLITNDDTVDKAPVHSKVGLEKKRKRKMDVSKI
+TRYTEDCFSDSNCVPNKSKMLEVDFMEQNEDVQAIDSRTYALSQVKPESADEDLESVDTF
+QHLIFNSDKCGEDSSPVHTSTFLSNTLKKKCEESDSESPVTFSTEEPSFYPCTKCNVNFR
+EKKHLHRHMMYHLDGNSHFRHLNVPRPYACRECGRTFRDRNSLLKHMIIHQERRQKLMEE
+IRELKELQDEGRSARLQCPQCVFGTNCPKTFVQHAKTHEKDKRYYCCEECNFMAVTENEL
+ECHRGIAHGAVVKCPIVSSDVVQRKTHKKAFMKDPVIGSSKKSATYICKMCPFTTSVKSI
+FKKHMEYLHSSSCIDSFGSPLGLDKRKSDIIEEPIDTDSPKPLTKQQSTTFPKNSALKQD
+VKRTFGSSSQSSNFSKFHKRPHRIQKARKSIAQSGVNVCSQNSSPHKTVMIKSSIDQKPK
+YFHQTAKEKSNAKANSNYLYRHKYENYRMIKKSGESYPLHFKKEEASSLNSLHLFSSSNS
+HNNSFISDPHNSDTKRPEGFKDHRRVAVKRVVKESKKESSVGGEDLDSYPDFLHKMTVVV
+LQKLNSTEKKDSYETEDESSWDNVELGDYTTQTIEDETYHDINQEHVNIFPLFKSKVEGQ
+QSGENATLSYDQNDGFYFEYYEDGGTNNFLHEIHDPQHLENAETALSKHSSVFHWTDLSL
+EKKSCPYCPATFETGVGLSNHVRGHLHRAGLSYEARHVVSPEQIATSDKMQHFKRTGTGT
+PIKRVRKAIEKSETTSEHTCQLCGGWFDTKIGLSNHVRGHLKRLGKTKWDAHKSPICVLN
+EMMQNEEKYEKILKALNSRRIIPRPFVAQKLASSDDFLSQNVIPLEAYRNGLKTEALSVS
+ASEEEGLSFLNEYDETKPELPSGKKNQSLTLIELLKNKRMGEEKNSSVSPQKIHNQTARK
+RFVQKCVLPLNEDSPLMYQPQKMDFTMHSALDCKQKKSRSRSGSKKKLLTLPHGADEVYI
+LRCRFCGLVFRGPLSVQEDWIKHLQRHIVNANLPRTGAGMVEVTSLLKKPASITETSFSL
+LMAEAAS
+>tr|E1B9H1|E1B9H1_BOVIN Tubulointerstitial nephritis antigen like 1 OS=Bos taurus OX=9913 GN=TINAGL1 PE=3 SV=1
+MWRRPLGLLLLLLLLLAGELGVGARRGRRRRELAPALHRRGIRDAGGRYCQEQDLCCRGR
+ADDCALPYLGVTCYCDLFCNRTVSDCCPDFWDFCLGVPPPFPPIQGCMHKGRIYPVLGTY
+WDNCNRCTCHEKERWECDQEPCLVDEDMIEAINHGDYGWRAGNHSAFWGMTLDEGIRYRL
+GTVRPSSFVANMNEIHTVLGPGEVLPRTFEASEKWPNLIHDPLDQGNCAGSWAFSTAAVA
+SDRVSIHSLGHMSPVLSPQNLLSCDTHNQQGCRGGRLDGAWWFLRRRGVVSDHCYPFSGH
+GRDEAVPAPPCMMHSRAMGRGKRQATARCPNSYVHANDIYQVTPAYRLGSNEKEIMKELM
+ENGPVQALMEVHEDFFLYQSGIYSHTPVSLGRPERYRRHGTHSVKITGWGEETLPDGRTI
+KYWTAANSWGPAWGERGHFRIVRGANECDIESFVLGVWGRVGMEDMGHH
+>tr|C8KIL7|C8KIL7_BOVIN Sodium-dependent monocarboxylate cotransporter OS=Bos taurus OX=9913 GN=SLC5A8 PE=2 SV=1
+MGAPRDIGTFVVWDYVVFAGMLLISAVIGIYYAFAGGGQQTSKDFLMGGRRMTAVPVALS
+LTASFMSAVTVLGTPSEVYRFGAIFSIFAITYFLVVVLSAEVFLPVFYKLGITSTYEYLE
+LRFNKCVRLCGTILFIVQTILYTGIVIYAPALALNQVTGFDLWGVVVATGVVCTFYCTMG
+GLKAVIWTDVFQVGIMVAGFTSVIIKAALIQGGISTIINDASNGGRLNFWNFNPNPLQRH
+TFWTIIIGGTFTWTSIYGVNQSQVQRYISCKSRFQAKLSLYINLLGLWVILVCSVLCGLA
+LYSRYHDCDPWTAKKVSAPDQLMPYLVLDILQDYPGLPGLFVACAYSGTLSTVSSSINAL
+AAVTMEDLVKPRFPSLSEKSLSWLSQGMSVLFGALCIGMAALASLMGALLQAALSIFGML
+GGPLMGLFSLGILVPFANSIGALGGLLAGFAISLWVGIGAQLYPPLPERMMPLRLETHGC
+NSTYNGTNWMTTTEMPFSTSASQVHNIERTPLMDNWYSLSYLYFSTVGTLVTLFVGMLIS
+LSTGGRKQNIDCRFLLTKEDFLSNFDIFKKKKQVLGYKSHPVEDGGTDNPAFNHIELNGT
+DQSSKISGTRL
+>tr|E1BFN3|E1BFN3_BOVIN Abelson helper integration site 1 OS=Bos taurus OX=9913 GN=AHI1 PE=4 SV=2
+MRVIKNKPRDPQSATEDPENDVIVEEDKQEKANKKVMKTALQVTAQEMEPEAPEKGDAAP
+QKRRTKPQPGVTHDKSEKAKEEGEKNTLDEEQLMQVYQLQVAEEMAKEIKKKIRKKLKEQ
+LSYFPLDSSLHDDKLSGEKRKKKKKKVPTLSKSETSTSIISDDPVEGEQKKESPVGAVTS
+DTHQDDEVSSKEQNVEDNMQDDSKSKLKKKKKKAKTDDNEDTDGDGVHEITSRDSPVYPK
+CLLDDDLVLGVYIHRTDRLKSDFMISHPMVKIHVVDENTGQYVKKDDSERPVSSYYEKEN
+VDYILPIMTQPYDFKQLKSRLPEWEEQIIFNENFPYLLRDFEESPKVILFFEILDFLSME
+EIKNNSEIQNQECGFRKIAWAFLKLLGANGNVNINSKLRLQLYYPPTKPRSQSNVVEVFE
+WWSKCPRNHYPSTLYVTVRGLKVPECVKPSYRSMMALQEEKGKPVYCERHHEPSLVDTEP
+GLEDSKEVVKWKRLPGQACRIPNKHLFSLNAGERGCFCLAFSHNGRILAAACASRDGYPI
+ILYEIPSGRFMRELCGHLNIIYDLCWSKDDRYILTASSDGTARIWRNEINNTNTFRVLPH
+PSFVYTAKFHPVVRELVVTGCYDSVIRIWEVDMRQDPAVLIRQFDTHKSFINSLCFDIEG
+HHMYSGDCTGVIVVWNTYVKVNDAQHSVRHWSINKEIKESEFKGIPISYLEVHPNGKRLL
+IHTKDSTLRIMDLRILAARKFVGAANYREKIHSTLTPCGTFLFAGSEDGIVYVWNPETGE
+QVAMYSDLPFKSPVRDIAYHPLENMVAFCAFGQNEPILLYIYDFHVAQQEAEMFRRYNGT
+FPLPGIHQSQGALCTCPKLPHQGSFQIDDYVPTEHSSMKMQQVKQRIDSVTEVIRACAAK
+VNKNLSFTSTSASSQQSKSKQSNMLDAHQILRQFGFSQTGIMSIERKPCNHHVDTAPTVV
+ALYDYTANRSDELTIHRGDIIRVFFKDNEDWWYGSIGKGQEGYFPANHVASETLYRELPP
+EVKERSPPITPKEKAKMEKAFPAPQKQSFKSQDFRLSSESTAHSERGREQSQEDRGHVMD
+TKGKKMEQTSRKVTLIE
+>tr|Q0VCF8|Q0VCF8_BOVIN Transcription factor SOX OS=Bos taurus OX=9913 GN=SOX4 PE=2 SV=1
+MVQQTNNAENTEALLAGESSDSGAGLELGIASSPTPGSTASTGGKADDPSWCKTPSGHIK
+RPMNAFMVWSQIERRKIMEQSPDMHNAEISKRLGKRWKLLKDSDKIPFIREAERLRLKHM
+ADYPDYKYRPRKKVKSGNANSGSAAAAASKPGEKGDKVGGSGHGGGGGGGSHAGGGGGGG
+ASGGGANSKPAQKKSCGSKVAGGGVGKPHAKLILAGGGGKAAAATASASSSSSSSSFAAE
+QAGAAALLPLGAAAAAADHHSLYKARTPGASASAAASASAGLAAPGKHPAEKKVKRVYLF
+GGLGASSSPVGGVGAGADPSDPLGLYEEGGAGCSPDGPSLSGRSSAASSPAAGRSPADHR
+SYASLRAASPAPSSAPSHASSSASSSSSSSSSSSSSSSSGSSSSDDEFEDDLLDLNPSSN
+FESMSLGSFSSSSALDRDLDFNFEPGSGSHFEFPDYCTPEVSEMISGDWLESSISNLVFT
+Y
+>tr|A6QQB8|A6QQB8_BOVIN CD1A protein OS=Bos taurus OX=9913 GN=CD1A PE=2 SV=1
+MLFLQIALLLSLLPCGDSENDFQEPITFKIIRISSFYSQFFAQNLGSAWLDELQTHAWDN
+NSDRVIYLRPWSKGNFSNEELMDVENVLHTFFIRLGQVLHNHASQWQLQYPFELQIAGGC
+EMHIRDASVGFVRIGYQGSDFLSFQKDVWVPSPEGGISAQFVCTLFNLYRGTQEIIHKLL
+SDTCPRFLLSLLDAGKAYLQRQVRPEAWLSPGPSPGPGQLMLVCHVSGFYPKPIWVMWMR
+GEQEQQGTQRSDVLPNADGTWYLRVSLDVEASEASGLSCRVRHSSLGGQDIILYWDHHSS
+TAWIILAMIVPLVLLAGLAFWLRKSWSTYMSDA
+>tr|A4IFJ8|A4IFJ8_BOVIN ACTL6A protein OS=Bos taurus OX=9913 GN=ACTL6A PE=2 SV=1
+MSGGVYGGDEVGALVFDIGSYTVRAGYAGEDCPKVDFPTAIGMVVERDDGSTLMEIDGDK
+GKQGGPTYYIDTNALRVPRENTEAISPLKNGMVEDWDSFQAILDHTYKMHVKSEASLHPV
+LMSEAPWNTRAKREKLTELMFEHYNIPAFFLCKTAVLTAFANGRSTGLILDSGATHTTAI
+PVHDGYVLQQGIVKSPLAGDFITMQCRELFQEMNIELIPPYMIASKEAVREGSPANWKRK
+EKLPQVTRSWHNYMCNCVIQDFQASVLQVSDSTYDEQVAAQMPTVHYEFPNGYNCDFGAE
+RLKIPEGLFDPSNVKGLSGNTMLGVSHVVTTSVGMCDIDIRPGLYGSVIVAGGNTLIQSF
+TDRLNRELSQKTPPSMRLKLIANNTTVERRFSSWIGGSILASLGTFQQMWISKQEYEEGG
+KQCVERKCP
+>tr|A6QR60|A6QR60_BOVIN CRISPLD1 protein OS=Bos taurus OX=9913 GN=CRISPLD1 PE=2 SV=1
+MKCTVREWFRVTTVLLMAPAIPAMVVPNATLLEKLLEKYMDEDGVWWIAKQRGKRAITDN
+DMQSILDLHNKLRSQVYPTASNMEYMTWDVELERSAESWAETCLWEHGPANLLPSIGQNL
+GAHWGRYRPPTFHVQAWYDEVRDFSYPYEHECNPYCPFRCSGPVCTHYTQVVWATSSRIG
+CAINLCHNMNIWGQIWPKAVYLVCNYSPKGNWWGHAPYKHGRPCSACPPSFGGGCRENLC
+YKEGSDSYYPAQEEETNEIERQQSQVHDTHVRTVADDSNRNEVISTQQMSQIVSCEVRLR
+DQCKGTTCNRYECPAGCLDSKAKVIGSVHYEMQSSICRAAIHYGIIDNDGGWVDITRQGR
+KHYFIKSNRNGVQTIGKYQSANSFTVSKVTVQAVTCETTVEQLCPFHKPASHCPRVYCPR
+NCMQANPHYARVIGTRIYSDLSSICRAAVHAGVVQNQGGYVDVMPVDKRKTYTASFQNGI
+FSESLQNPPGGKAFRVFAVM
+>tr|Q24JZ1|Q24JZ1_BOVIN Granulysin OS=Bos taurus OX=9913 GN=GNLY PE=1 SV=1
+MTSWAVLLITSVLLVAPGLAFSGLTPESHDQATAHLCDGDELCQGLALEDPQGDLLLQGE
+ELSLRCGSCRRIIQHLMDKLGDQPDENTVIEEASKVCSKMRLLKGLCKSIMKKFLRTIAE
+DIVAGKTSQVICVDIKMCKSKPVGFI
+>tr|Q3I0Y3|Q3I0Y3_BOVIN Leucine rich repeat containing 4 OS=Bos taurus OX=9913 GN=LRRC4 PE=2 SV=1
+MKLLWQVTVHHTWNAVLLPVVYLTAQVWILCAAIAAAASAGPQNCPSVCSCSNQFSKVVC
+TRRGLSEVPQGIPSNTRYLNLMENNIQMIQADTFRHLHHLEVLQLGRNSIRQIEVGAFNG
+LASLNTLELFDNWLTVIPSGAFEYLSKLRELWLRNNPIESIPSYAFNRVPSLMRLDLGEL
+KKLEYISEGAFEGLFNLKYLNLGMCNIKDMPNLTPLVGLEELEMSGNHFPEIRPGSFHGL
+GSLKKLWVMNSQVSLIERNAFDGLASLVELNLAHNNLSSLPHDLFTPLRYLVELHLHHNP
+WNCDCDILWLAWWLREYIPTNSTCCGRCHAPLHMRGRYLVEVDQASFQCSAPFIMDAPRD
+LNISEGRMAELKCRTPPMSSVKWLLPNGTVLSHASRHPRISVLNDGTLNFSHVLLSDTGV
+YTCMVTNVAGNSNASAYLNVSTAELNTSNYSFFTTVTVETTEISPEDTTRKYKPVPTTST
+GYQPAYTTSTTVLIQTTRVPKQVAVTATDTNDKMQTSLDEVMKTTKIIIGCFVAVTLLAA
+AMLIVFYKLRKRHQQRSTVTAARTVEIIQVDDDIPAAAPAAATAAPAGVSGHCPVDD
+>tr|A6QLU9|A6QLU9_BOVIN LOC508963 protein OS=Bos taurus OX=9913 GN=OTULIN PE=2 SV=1
+MSRGTMPQPEAWSGASCTEKPAWEAGAAAPEGGKSAAGGPPRVAVQCPSEHEEDMYRAAD
+EIEKEKELLIHERGSSEARLSVAPEMDIMDYCKKEWRGNTQKATCMKKGYEEVSQKFTSI
+RRVRGDNYCALRATLFQAMSQPAALPSWLQDPELTLLPEKLISKYSWIKQWKLGLKFEGK
+SKDLVDKIKESLALLRKKWASLAELRTAEARQMACDELFTNEEEEYSLYEAVKFLMLNRA
+IELYDDKEKGREVPFFSVLLFARDTSSDPGQLLRNHLNQVGHTGGLEQVEMFLLAYAVRH
+TIQVYRLSKYGTEEFVTVYPTDAPRDWPVVTLIAEDDRHYNVPVRVCEETSL
+>tr|Q32LD5|Q32LD5_BOVIN Transmembrane protein 217 OS=Bos taurus OX=9913 GN=TMEM217 PE=2 SV=1
+MKQQHWCGMTAKMGSLLSGVFTITAVDLYLIFEQKYLRRNNCTEQMSQIKNTSILIKVFI
+ICWSLTIVFLLSFITILVSCLLLYSVYAHMHRGLLIYIVWIFFYETVNIVVQCLTNDNSS
+AAEVRVMRWFGLVSRICMHSFWMFFVITYAYMIYKNKSQGNIISYNRRISTSNGDFLPRK
+SKIINFSRRYSE
+>tr|Q2TA04|Q2TA04_BOVIN Glycoprotein (Transmembrane) nmb OS=Bos taurus OX=9913 GN=GPNMB PE=2 SV=1
+MECLYCFLGFLLLAAGLPLDAAKRFHDVLSNERPSGYMREHNQLSGWSSDENDWNEKLYP
+VWKRGDSRWKSSWKGGRVQAVLTSDSPALVGSTITFAVNLVFPRCQKEDASGNIVYEKNC
+RNDTGASPDLYVYNWTAGTEDSDWGNDTSEGHHNVFPDGKPFPRPWKKNFVYVFHTLGQY
+FQKLGQCSVTISINTANVSLGPQIMEVTVYRRHRRAYVPIAKVKDVYVVTDQIPVFVTMS
+QKNNRNSSDETFLRDLPITFSVLIHDPSHFLNESAIYYKWNFGDNTGLFVSNNHTLNHTY
+VLNGTFSLNLTVQAEVPGPCPLPSPRPPKTTPPLVTAGDSTLELREIPDESCHITRYGYF
+KATITIVEGILEVNIIQVTDVPMPRPQPDNSLVDFVVTCHGSIPTEVCTIISDPSCQITQ
+NPVCDPVAMELGDTCLLTVRRAFSGSGTYCMNLTLGNDASLALTSTLVSINSRDPASLLR
+TANGILVSLGCLAILVTVIAFLMYKKHKEYKPIENSPGIVIRGKGLNVFLNHAKTLFFPG
+NQEKDPLLKNQPGIL
+>tr|Q2KJ77|Q2KJ77_BOVIN HSPA (Heat shock 70kDa) binding protein, cytoplasmic cochaperone 1 OS=Bos taurus OX=9913 GN=HSPBP1 PE=2 SV=1
+MANQGSGGSRLPLALPSASQDCSSGGSGSSEGGSGHPPPPRNLQGLLQMAITAGSEEPDP
+PPEPMSEERRQWLQEAMSAAFRGQREEVEQMKNCLRVLSQPTPSSAGEAELATDQQEREG
+ALELLADLCENMDNAADFCQLSGMHLLVGRYLEAGPAGLRWRAAQLIGTCSQNVAAIQEQ
+VLGLGALRKLLRLLDRDPCDAVRVKALFAISCLVREQEAGLLQFLRLDGFSVLMRAMQQQ
+VQKLKVKSAFLLQNLLVGHPEHKGTLCAMGMVQQLVALVRTEHSPFHEHVLGALCSLVTD
+FPQGVRECREPELGLEELLRHRCQLLQQHEEYQEELEFCEKLLQTCFSTPTDDSMDR
+>tr|Q3SYW8|Q3SYW8_BOVIN Baculoviral IAP repeat-containing 3 OS=Bos taurus OX=9913 GN=BIRC3 PE=2 SV=1
+MNIVENSVFLSNLMKSANMFELKYDFSCELYRMSTYSTFPAGVPVSERSLARAGFYYTGV
+KDKVKCFCCGLMLDNWKQGDNPIEKHKKLYPSCSFVQNLNSINVSGASSQPTFHSSLTNS
+THSLLPSLENSGYFSGSYSSFPSNLLNSGPNQDFSALRISSYPCAMNTEKARLLTFQMWP
+LTFLSPTDLAKAGFYYIGPGDRVACFACGGTLSNWEPKDDAMSEHLRHFPNCPFLGNQLQ
+GTSRYTASNLSMQTYAARIKTFCSWPSSVPVHPEQLASAGFYYVGHSDDVKCFCCDGGLR
+CWESGDDPWVEHAKWFPRCEYLIQIKGQEFISRVQASYPHLLEQLLSTSDNPEDENTESP
+IIHFGPGENHSEDSVMMNTPVVKAALEMGFSRRLVKQTVQSKILMTGENYKTISDLVLDL
+LNAEDEIREEEKERATEEKESDDLSLIRKNRMALFQHLTCVLPILDSLLTARVISEQEHD
+VIKQKTQTSLQARELIDTILVKGNFAATIFKNSLQEIDPMLYKRLFVQQDIKYIPTENVS
+DLPMEEQLRRLQEERTCKVCMDKEVSIVFIPCGHLVVCKDCAPSLRKCPICRGTIKGTVR
+TFLS
+>tr|N0E660|N0E660_BOVIN Casein kinase II subunit beta OS=Bos taurus OX=9913 GN=CSNK2B PE=2 SV=1
+MSSSEEVSWISWFCGLRGNEFFCEVDEDYIQDKFNLTGLNEQVPHYRQALDMILDLEPGF
+TVSRSIQWPTSCSSKPPATSRAQ
+>tr|A0A0U3FIE9|A0A0U3FIE9_BOVIN NK2A OS=Bos taurus OX=9913 GN=NK2A PE=4 SV=1
+MTSWAVLLIASVLLVAPGLAFSGLTPESHDQATAHLCDGDELCQGLDPEDPQGDLLLQGE
+ELGLLCGPCWMIMQHLMDKLGDQPDENTVIEVASKMCSKMRLLKGLCKSITKRFLRRIAA
+DITAGKTSRVICEDIKMCKSKPVGFI
+>tr|F1MQ39|F1MQ39_BOVIN Growth hormone receptor OS=Bos taurus OX=9913 GN=GHR PE=4 SV=1
+MDLWQLLLTLAVAGSSDAFSGSEATPAFLVRASQSLQILYPVLETNSSGNPKFTKCRSPE
+LETFSCHWTDGANHSLQSPGSVQMFYIRRDIQEWKECPDYVSAGENSCYFNSSYTSVWTP
+YCIKLTSNGGIVDHKCFSVEDIVQPDPPVGLNWTLLNISLTEIHADILVKWEPPPNTDVK
+MGWIILEYELHYKELNETQWKMMDPLMVTSVPMYSLRLDKEYEVRVRTRQRNTEKYGKFS
+EVLLITFPQMNPSACEEDFQFPWFLIIIFGILGLAVTLFLLIFSKQQRIKMLILPPVPVP
+KIKGIDPDLLKEGKLEEVNTILAIHDNYKHEFYNDDSWVEFIELDIDDPDEKTEGSDTDR
+LLSNDHEKSLNIFGAKDDDSGRTSCYEPDILEADFHVSDMCDGTSEVAQPQRLKGEADIS
+CLDQKNQNNSPSNDAAPASQQPSVILVEENKPRPLLIGGTESTHQAVHTQLSNPSSLANI
+DFYAQVSDITPAGNVVLSPGQKNKTGNPQCDTHPEVVTPCQANFIVDNAYFCEVDAKKYI
+ALAPHVEAESHVEPSFNQEDIYTTTESLTTTAGRSGTAEHVPSSEIPVPDYTSIHIVQSP
+QGLVLNATALPLPDKEFLSSCGYVSTDQLNKIMP
+>tr|A0JN70|A0JN70_BOVIN E3 ubiquitin-protein ligase pellino homolog OS=Bos taurus OX=9913 GN=PELI1 PE=2 SV=1
+MFSPDQENHPSKAPVKYGELIVLGYNGSLPNGDRGRRKSRFALFKRPKANGVKPSTVHVA
+CTPQAAKAISNKDQHSISYTLSRAQTVVVEYTHDSNTDMFQIGRSTESPIDFVVTDTVPG
+SQSNSDTQSVQSTISRFACRIICERNPPFTARIYAAGFDSSKNIFLGEKAAKWKTSDGQM
+DGLTTNGVLVMHPRNGFTEDSKPGIWREISVCGNVFSLRETRSAQQRGKMVEIETNQLQD
+GSLIDLCGATLLWRTAEGLSHTPTVKHLEALRQEINAARPQCPVGFNTLAFPSMKRKDVV
+DEKQPWVYLHCGHVHGYHNWGNKEERDGKDRECPMCRSVGPYVPLWLGCEAGFYVDAGPP
+THAFSPCGHVCSEKTTAYWSQIPLPHGTHTFHAACPFCAHQLAGEQGYIRLIFQGPLD
+>tr|Q0VCV6|Q0VCV6_BOVIN V-type proton ATPase subunit G OS=Bos taurus OX=9913 GN=ATP6V1G2 PE=2 SV=1
+MASQSQGIQQLLQAEKRAAEKVADARKRKARRLKQAKEEAQMEVDQYRREREQEFQSKQQ
+AAMGSQGNLSAEVEQATRRQVQGMQSSQQRNRERVLAQLLGMVCDVRPQVHPNYRIAA
+>tr|A8WFK5|A8WFK5_BOVIN C6orf173 protein OS=Bos taurus OX=9913 GN=CENPW PE=2 SV=1
+MALSTTVSQRKMIRRKAPRGFLKRIFKRQKPHLRLETSSDLLVHLNCLLFVHRLAEESRI
+NACGSKCGVIKKEHVLAAAKVILKKSRG
+>tr|Q58DR7|Q58DR7_BOVIN ATPase, H+ transporting, lysosomal 31kD, V1 subunit E isoform 1 OS=Bos taurus OX=9913 GN=ATP6V1E1 PE=1 SV=1
+MALSDADVQKQIKHMMAFIEQEANEKAEEIDAKAEEEFNIEKGRLVQTQRLKIMEYYEKK
+EKQIEQQKKIQMSNLMNQARLKVLRARDDLITDLLNEAKQRLSKVVKDTTRYQVLLDGLV
+LQGLYQLLEPRMIVRCRKQDFPLVKAAVQKAIPVYKVATKRDVDVQIDQEAYLPEEIAGG
+VEIYNGDRRSRCPTHSKVGWTS
+>tr|A0JN68|A0JN68_BOVIN Myelin transcription factor 1-like OS=Bos taurus OX=9913 GN=MYT1L PE=2 SV=1
+MEVDAEEKRHRTRSKGVRVPVEPAIQELFSCPTPGCDGSGHVSGKYARHRSVYGCPLAKK
+RKTQDKQPQEPAPKRKPFTVKADSSSVDECYDSDGSEDADEKEEDEDEEDESDEDEEQRE
+DEEEDEDGDREDEEEMDEEDEDEEDREEEEEDEDEEDEEEDEDDEDNEDHQLGCHGARAL
+QDAEKDDNNNEEYDNYDELVAKSLLNLGKIAEDAAYRARTESEMNSSTSHSLEDDSDKTE
+VPGRKGELSLDLDSDVVRETVDSLKLLAQGHGAVLGDHAGDRAYADALAPPDGRTLSYGL
+LGKPANNGLADKLVEESDEEVCLSSLECLRNQCFDLARKLSEGSPQERPPPPGAGPRAHG
+RPEDDFPGRTPDRSYSDMMNLMRLEEQLSPRPRTFSSCAKEDGGYRERDDDAASVTSDRS
+EEVFDMTKGNLTLLEKAIALETERAKAMREKMALEAGRRDSLRSFEDQSPRPLPGEERKP
+KSADSHVKKPYYDPSRAEKKESKCPTPGCDGTGHVTGLYPHHRSLSGCPHKDRVPPEILA
+MHENVLKCPTPGCTGRGHVNSNRNSHRSLSGCPIAAAEKLAKAQEKHQGCDVSKSSQASD
+RVLRPMCFVKQLEIPHYGYRNSVPTTTPRSNLAKELEKYSKTSFEYNTYDSHTYGKRAIA
+PKVQTRDISPKGFDAKRYCKDASPSSSTTSTYAPSSSSNLSCGGGSSASSTCSKSSFDYT
+HDVEAAHMAATAILNLSTRCREMPQNLSTKPQDLCAARNPDMEVDENGTLDLSMNKQRPR
+EGCCPILTPLEPMSPQQAVVSSRCFQLSEGDCWDLPVDYTKMKPRRMDEDESKEITPEDL
+DPFQEALEERRYPGEVTIPSPKPKYPQCKESKKDLITLSGCPLADKSIRSMLATSSQELK
+CPTPGCDGSGHITGNYASHRSLSGCPRAKKSGIRIAQSKEDKEDQEPIRCPVPGCDGQGH
+ITGKYASHRSASGCPLAAKRQKDGYLNGSQFSWKSVKTEGMSCPTPGCDGSGHVSGSFLT
+HRSLSGCPRATSAMKKAKLSGEQMLTIRQRASNGIENDEEIKQLDEEIKELNESNSQMEA
+DMIKLRTQITTMESSLKTIEEENKVIEQQNESLLHELANLSQSLIHSLANIQLPHMEDGV
+WWGQ
+>tr|A6QR33|A6QR33_BOVIN VPS18 protein OS=Bos taurus OX=9913 GN=VPS18 PE=2 SV=1
+MASILDEYEDSLSRSTVLQPGCPSVGIPHSGYVNAQLEKEAPIFTKQRIDFTPSERITSL
+VVSCNQLCMSLGKDTLLRIDLGKANEPNHMELGRKDDAKVHKMFLDPTGSHLLIALSSTE
+VLYVNRNGQKVRPLARWKGQLVESVGWNKALGTESSTGPILVGTAQGQIFEAELSASEGG
+LFGPAPDLYFRPLYVLNEEGGPAPVCSLEAERGPEGRGFVIATTRQRLFQFIGRVAEGAE
+AQGFSGLFAAYADHPPPFREFPSSLGYSELAFYTPKLRSAPRAFAWMMGDGVLYGSLDCG
+RPDSLLSEERVWEYPEGVGPGASPPLAIVLTQFHFLLLLADRVEAVCTLTGQVVLRDHFL
+EKFGPLKHMVKDSSTGHLWAHTERAVFRYHVQREARDVWRTYLDMNRFDLAKEYCRERPD
+CLDTVLAREADFCFRQRRYLESARCYALTQSYFEEIALKFLEARQEEALAEFLQRKLASL
+KPAERTQATLLTAWLTELYLSRLGALQGDPDALNLYRETRERFRSFLSSPRHKEWLFASR
+ASIHELLASHGDTEHMVYFAVIMQDYERVVAYHCQHEAYEEALAVLARHRDPQLFYKFSP
+ILIRHIPRQLVDAWIEMGSRLDARQLIPALVNYSQGGEAQQVSQAIRYMEFCVNVLGETE
+QAIHNYLLSLYARGQPASLLAYLEQAGASPHRVHYDLKYALRLCAEHGHHRACVHVYKVL
+ELYEEAVDLALQVDVDLAKQCADLPEEDEELRKKLWLKIARHVVQEEEDVQTAMACLASC
+PLLKIEDVLPFFPDFVTIDHFKEAICSSLKAYNHHIQELQREMEEATASAQRIRRDLQEL
+RGRYGTVEPQDKCATCDFPLLNRPFYLFLCGHMFHADCLLQAVRPGLPAYKQARLEELQR
+KLGAAPPPAKGSARAKEAEGGTAAGGPSREQLKADLDELVAAECVYCGELMIRSIDRPFI
+DPQRYEEEHLSWL
+>tr|Q0IIA2|Q0IIA2_BOVIN Odorant-binding protein-like OS=Bos taurus OX=9913 GN=MGC151921 PE=2 SV=1
+MKILFLSLVLLVVCAAQETPAEIDPSKVVGEWRTIYAAADNKEKIVEGGPLRCYNRHIEC
+INNCEQLSLSFYIKFDGTCQFFSGVLQRQEGGVYFIEFEGKIYLQIIHVTDNILVFYYEN
+DDGEKITKVTEGSAKGTSFTPEEFQKYQQLNNERGIPNENIENIIETDDCPP
+>tr|A5PK31|A5PK31_BOVIN AP complex subunit sigma OS=Bos taurus OX=9913 GN=AP1S3 PE=2 SV=1
+MIHFILLFSRQGKLRLQKWYTTLPDKERKKITREIVQIILSRGQRTSSFVDWKELKLVYK
+RYASLYFCCAVENQDNELLTLEIVHRYVELLDKYFGNVCELDIIFNFEKAYFILDEFIIG
+GEIQETSKKSAVKAIEDSDMLQETMEEYMNKPTF
+>tr|A7YWH5|A7YWH5_BOVIN DKC1 protein OS=Bos taurus OX=9913 GN=DKC1 PE=1 SV=1
+MADAEVLILPKKHKKKKERKSLPEEAVAEIQHAEEFLIKPESRVAQLDTSQWPLLLKNFD
+KLNVRTTHYTPLPCGSNPLKREIGDYIRTGFINLDKPSNPSSHEVVAWIRRILRVEKTGH
+SGTLDPKVTGCLIVCIERATRLVKSQQSAGKEYVGIVRLHNAIEGGTQLSRALETLTGAL
+FQRPPLIAAVKRQLRVRTIYESKMIEYDPERRLGIFWVSCEAGTYIRTLCVHLGLLLGVG
+GQMQELRRVRSGVMSEKDHMVTMHDVLDAQWLYDNHKDESYLRRVVYPLEKLLTSHKRLV
+MKDSAVNAICYGAKIMLPGVLRYEDGIEVNQEIVVITTKGEAICMAIALMTTAVISTCDH
+GIVAKIKRVIMERDTYPRKWGLGPKASQKKLMIKQGLLDKHGKPTDSTPATWMQEYVDYS
+NSAKKDVPPKAVKATPVVAEVVKTPKRKRESESEDTSPAAPQVVKKEKKKKKKEKAKAAA
+EKPGAGDSDSTKKKKKKKIKAEMVSE
+>tr|A0A3Q1LSN0|A0A3Q1LSN0_BOVIN Lymphocyte cytosolic protein 1 OS=Bos taurus OX=9913 GN=LCP1 PE=1 SV=1
+MARGSVSDEEMLELREAFAKVDTDGNGYISCSELNDLFKAACLPLPGYRVREITENLMTT
+GDLDQDGKISFDEFIKVFHGLKSTEVAKTFRKAINKKEGICAIGGTSEQSSVGTQHSYSE
+EEKYAFVNWINKALENDPDCRHVIPMDPNTNDLFSAVGDGIVLCKMINLSVPDTIDERTI
+NKKKLTPFTIQENLNLALNSASAIGCHVVNIGAEDLKEGKPYLVLGLLWQVIKIGLFADI
+ELSRNEALAALLREGESLEDLMKLSPEELLLRWANYHLENAGCNKINNFSSDIKDSKAYY
+HLLEQVAPKGDEEGIPAVVIDMSGLREKDDIQRAECMLQQAERLGCRQFVTATDVVRGNP
+KLNLAFIANLFNKYPALHKPENQDIDWGALEGETREERTFRNWMNSLGVNPRVNHLYSDL
+SDALVIFQLYEKIKVPVDWSRVNKPPYPKLGGNMKKLENCNYAVELGKNQAKFSLVGIGG
+QDLNEGNRTLTLALVWQLMRRYTLNILEDIGGGQKVNDDTIVSWVNETLKEAEKNSCISS
+FKDPKISTSLPVLDLIDAIQPGSINYDLLKTENLNEEEKLNNAKYAISMARKIGARVYAL
+PEDLVEVNPKMVMTVFACLMGKGMKRV
+>tr|Q3T119|Q3T119_BOVIN FCGRT protein OS=Bos taurus OX=9913 GN=FCGRT PE=2 SV=1
+MRLPRPQPWGLGLLLVLLPGALSAENYRSLQYHFTAVSAPAAGTPAFWVSGWLGPQQYLS
+YNNLRAQAEPYGAWVWESQVSWYWEKETMDLRNQETLFLEALQALGEGPFTMQGLLGCEL
+GPDNVSVPVAKFALNGEEFMMFDPKLGIWDGDWPESRTVSIKWTQQPEAVNKEKTFLLYS
+CPHRLLGHLERGRGNLEWKEPPSMRLKARPGSPGFSVLTCSAFSFYPPELKLRFLRNGLA
+IGSGEIDMGPNGDGSFYAWSSLTVKSGDEHHYRCVVQHAGLAQPLTVELESPARTSVPVV
+GIVIGLFLLLTVAAGGALLWRRMRKGLPAPWISFRGEDVGALLPTPGLSKDGES
+>tr|A6QQH1|A6QQH1_BOVIN PIR protein OS=Bos taurus OX=9913 GN=PIR PE=2 SV=1
+MSSKKVTLSVLSREQSEGVGARVRRSIGRPELKNLDPFLLFDEFKGGRPGGFPDHPHRGF
+ETVSYLLEGGSMAHEDFCGHAGQLNPGDLQWMTAGQGIMHAEMPCSEEPVHGLQLWVNLR
+SSQKMVKPRYQELKSDEIPKPSQDGVTVAVISGEALGIKSKIYTYTPTLYLDFKLDQGAK
+HVQSIPKGWTSFIYTISGNVYIGPDDAQQKIEPHHTAVLGEGDSVHVENKDPERSHFVLI
+AGEPLKEPVVQHGPFVMNTDEEISQAILDFRNAKNGFERAKTWKSKIGN
+>tr|A7MBA2|A7MBA2_BOVIN 26S proteasome non-ATPase regulatory subunit 1 OS=Bos taurus OX=9913 GN=PSMD1 PE=1 SV=1
+MITSAAGIISLLDEEEPQLKEFALHKLNAVVNDFWAEISESVDKIEVLYEDEGFRSRQFA
+ALVASKVFYHLGAFEESLNYALGAGDLFNVNDNSEYVETIIAKCIDHYTKQCVENADLPE
+GEKKPIDQRLEGIVNKMFQRCLDDHKYKQAIGIALETRRLDVFEKTILESNDVPGMLAYS
+LKLCMSLMQNKQFRNKVLRVLVKIYMNLEKPDFINVCQCLIFLDDPQAVSDILEKLVKED
+NLLMAYQICFDLYESASQQFLSSVIQNLRTVGTPLASVPGSTNTGTVPGSERESDSMETE
+EKTGSVLVGKTPEVSPEPKDQTLKMIKILSGEMAIELHLQFLIRNNNTDLMILKNTKDAV
+RNSVCHTATVIANSFMHCGTTSDQFLRDNLEWLARATNWAKFTATASLGVIHKGHEKEAL
+QLMATYLPKDTSPGSAYQEGGGLYALGLIHANHGGDIIDYLLNQLKNASNDIVRHGGSLG
+LGLAAMGTARQDVYDLLKTNLYQDDAVTGEAAGLALGLVMLGSKNAQAIEDMVGYAQETQ
+HEKILRGLAVGIALVMYGRMEEADALIESLCRDKDPILRRSGMYTVAMAYCGSGNNKAIR
+RLLHVAVSDVNDDVRRAAVESLGFILFRTPEQCPSVVSLLSESYNPHVRYGAAMALGICC
+AGTGNKEAINLLEPMTNDPVNYVRQGALIASALIMIQQTEITCPKVNQFRQLYSKVINDK
+HDDVMAKFGAILAQGILDAGGHNVTISLQSRTGHTHMPSVVGVLVFTQFWFWFPLSHFLS
+LAYTPTCVIGLNKDLKMPKVQYKSNCKPSTFAYPAPLEVPKEKEKEKVSTAVLSITAKAK
+KKEKEKEKKEEEKMEVDEAEKKEEKEKKKEPEPNFQLLDNPARVMPAQLKVLSMLETCRY
+QPFKPLSIGGIIILKDTSEDIEELVEPVAAHGPKIEEEEQEPEPPEPFEYIDD
+>tr|E1BNF8|E1BNF8_BOVIN FGGY carbohydrate kinase domain containing OS=Bos taurus OX=9913 GN=FGGY PE=4 SV=2
+MPGGNEPRSYYVGMDVGTGSVRAALVDQRGTLLAFADQPINQWEPQFNHHEQSSEDIWAA
+CCVVSKKVVQGVNLHQIRGLGFDATCSLVVLDKQFRPLPVNPEGDSRRNIIMWLDHRAVS
+QVHRINETKHSVLQYVGGVMSVEMQAPKLLWLKENLRETCWDKAGHFFDLPDFLSWKATG
+VTARSLCSLVCKWTYSAEKGWDDSFWKMVGLEDLVTDNYSKIGNQVLPPGASLGSGLTPE
+AAKDLGLPPGIAVAASLIDAHAGGLGVIGADVKGHGLACEGQPVTSRLAVICGTSSCHMG
+ISKNPIFVPGVWGPYFSAMVPGFWLNEGGQSVTGKLIDHMVQGHAAFPELQAKATARGQS
+VYAYLNSHLDLIKKAQPVGFLTVDLHVWPDFHGNRSPLADLTLKGMVTGLKLSQDLDDLA
+ILYLATVQAIAFGTRLIIEAMESAGHSISTLFLCGGLSKNPLFVQMHADITGLPVVLSQE
+VESVLVGAAVLGACASGDFASVQEAMAKMSKVGKVVFPRHEDKRYYDKKYQVFLKLVEHQ
+KEYAAIMKGD
+>tr|Q2NKT8|Q2NKT8_BOVIN Uncharacterized protein MGC137055 OS=Bos taurus OX=9913 GN=MGC137055 PE=2 SV=1
+MADFLKGLPVDDKSNFSSFHANCLSKASNRRLPVYLPTQEYPSEQIIVTEKTNILLRYLQ
+QQRDKKNAAEKTDQEQVNLEAESWASPCKIPGIYSQDWDD
+>tr|A5PJN6|A5PJN6_BOVIN UTP6 protein OS=Bos taurus OX=9913 GN=UTP6 PE=2 SV=1
+MAEVIQERVEDRLPELQQLERTGLFSHAEIKAIIRKALELEYRIQRRSLLKDDFIRYVQY
+EIHLLDLIEKRRARVGYTFKKDEIEDPIIHRIQSVFRRASIKWKDDVRLWLSFIAFCRKW
+ASNVHLSKIFSSLLAFHSNKPGLWILAAKWELEDRFSSESARQLFLRALRFHPRCPKLYE
+EYFRMELMHAEKLRKEKQEFEKANMDVGNLEHAEEILTGELARIIYKNSTSVIKSAKFHV
+SLLSIARLFDFAKDLQREIYNDLKALHADDPVTWDYVARQELVIESQPVEELPATKQAKA
+KEVGRREERCCAVYEEAVKTVPTEAMWKCYMNFCMERFTKKTSSRLLRKKRLERTMVAFW
+KAHELKLLPELQYRQLSKLLLHRQLLKESLEVADAGVKLFKKSVEMWQVKLEALITSESH
+EMSKGFEQAFEYLKPQICLPLWLTWAQWSEDAGKQEETEAIYKRAIFGLPGADSVPVKEM
+YLNWAYRSGGYKKARDVFKSLQENRPFSVDFFRKMIQFEKEQESCKMENLREYYERALRE
+FGTNDSDLWMDYIKEELNHPLGRPENCGQIYWRAMKMLQGESVEQFMAKHALYQAGRL
+>tr|Q85BD6|Q85BD6_BOVIN NADH-ubiquinone oxidoreductase chain 5 OS=Bos taurus OX=9913 GN=ND5 PE=3 SV=1
+MNMFSSLSLVTLLLLTTPIMMMSFNTYKPSNYPLYVKTAISYAFITSMIPTMMFIHSGQE
+LIISNWHWLTIQTLKLSLSFKMDYFSMMFIPVALFVTWSIMEFSMWYMYSDPNINKFFKY
+LLLFLITMLILVTANNLFQLFIGWEGVGIMSFLLIGWWYGRADANTAALQAILYNRIGDI
+GFILAMAWFLTNLNTWDLQQIFMLNPSDSNMPLIGLALAATGKSAQFGLHPWLPSAMEGP
+TPVSALLHSSTMVVAGIFLLIRFYPLTENNKYIQSITLCLGAITTLFTAMCALTQNDIKK
+IIAFSTSSQLGLMMVTIGINQPYLAFLHICTHAFFKAMLFMCSGSIIHSLNDEQDIRKMG
+GLFKAMPFTTTALIVGSLALTGMPFLTGFYSKDLIIEAANTSYTNAWALLMTLIATSFTA
+IYSTRIIFFALLGQPRFPTLVNINENNPLLINSIKRLLIGSLFAGYIISNNIPPTTIPQM
+TMPYYLKTTALIVTILGFILALEISNMTKNLKYHYPSNAFKFSTLLGYFPTIMHRLAPYM
+NLSMSQKSASSLLDLIWLEAILPKTISLAQMKASTLVTNQKGLIKLYFLSFLITILISMI
+LFNFHE
+>tr|Q3ZCK2|Q3ZCK2_BOVIN RAS like proto-oncogene A OS=Bos taurus OX=9913 GN=RALA PE=2 SV=1
+MAANKPKGQNSLALHKVIMVGSGGVGKSALTLQFMYDEFVEDYEPTKADSYRKKVVLDGE
+EVQIDILDTAGQEDYAAIRDNYFRSGEGFLCVFSITEMESFAATADFREQILRVKEDENV
+PFLLVGNKSDLEDKRQVSVEEAKTRADQWNVNYVETSAKTRANVDKVFFDLMREIRARKM
+EDSKEKNGKKKRKSLAKRIRERCCIL
+>tr|A5D7S7|A5D7S7_BOVIN LOX protein OS=Bos taurus OX=9913 GN=LOX PE=2 SV=1
+MRFAWTALLGSLQLCALVRCAPPAASHRQPPREQAAAPGAWRQKIQWENNGQVFSLLSLG
+SQYQPQRRRDPGATAPGAANATAPQMRTPILLLRNNRTAAARVRTAGPSAAAAGRPRPAA
+RHWFQAGYSTSGAHDAGTSRADNQTAPGEVPTLSNLRPPNRVDVDGMVGDDPYNPYKYTD
+DNPYYNYYDTYERPRPGSRYRPGYGTGYFQYGLPDLVPDPYYIQASTYVQKMAMYNLRCA
+AEENCLASSAYRGDVRDYDHRVLLRFPQRVKNQGTSDFLPSRPRYSWEWHSCHQHYHSMD
+EFSHYDLLDASTQRRVAEGHKASFCLEDTSCDYGYHRRFACTAHTQGLSPGCYDTYNADI
+DCQWIDITDVKPGNYILKVSVNPSYLVPESDYSNNVVRCEIRYTGHHAYASGCTISPY
+>tr|F1ME23|F1ME23_BOVIN R-spondin 1 OS=Bos taurus OX=9913 GN=RSPO1 PE=4 SV=1
+MRLGLCVVALVLSWMHLAAGSRGIKGKRQRRISADGGQACAKGCELCSEVNGCLKCSPKL
+FILLERNDIRQVGVCLPSCPPGYFDARNPDMNKCIKCKIEHCEACFSHNFCTKCQESLYL
+HKGRCYPACPEGSAPADGTMECSSPAQCEMSEWSLWGPCSKKKKLCGFRRGLEERTRRVL
+HAPGGDHAVCSDTKETRKCTVRRTPCPEGQKRRKGSQGRRENANRNPGRKESKEAGTGAR
+RRKGQQQQQQGTEGPVTSLGPT
+>tr|A0A3Q1MGR2|A0A3Q1MGR2_BOVIN Pituitary adenylate cyclase-activating polypeptide type I receptor OS=Bos taurus OX=9913 GN=ADCYAP1R1 PE=3 SV=1
+MRGGRHWPEPPCRLRSVMASIAQVSLAALLLLPMATAMHSDCIFKKEQAMCLEKIQRVND
+LMGLNDSSPGCPGMWDNITCWKPAHVGEMVLVSCPELFRIFNPDQVWETETIGEFGFADS
+KSLDLSDMRVVSRNCTEDGWSEPFPHYFDACGFEEYESETGDQDYYYLSVKALYTVGYST
+SLVTLTTAMVILCRFRKLHCTRNFIHMNLFVSFMLRAISVFIKDWILYAEQDSNHCFVST
+VECKAVMVFFHYCVVSNYFWLFIEGLYLFTLLVETFFPERRYFYWYIIIGWGTPTVCVSV
+WAMLRLYFDDTGCWDMNDNTALWWVIKGPVVGSIMVNFVLFIGIIVILVQKLQSPDMGGN
+ESSIYFSCVQKCYCKPQRAQQHSCKMSELSTITLRLARSTLLLIPLFGIHYTVFAFSPEN
+VSKRERLVFELGLGSFQGFVVAVLYCFLNGEVQAEIKRKWRSWKVTVLGMKSRKLQEKIN
+PWMSIYFLFFFIFF
+>tr|A5D7H9|A5D7H9_BOVIN Transporter OS=Bos taurus OX=9913 GN=SLC6A14 PE=1 SV=1
+MDKLKCLSFFKCRRKENAEVAPENLHVNENDENQERGNWSKKSDYLLSMVGYAVGLGNVW
+RFPYLTYNNGGGAFLIPYVIMLALAGLPLFFLECSMGQFSSLGPVSVWRILPLFQGVGIT
+MVLISLFVTIYYNVIIAYSLYYLFASFQRELPWSKCSISWADGNCSRSPFVTHCNVSISI
+NEIFQANKSWVDANNLTCINGSEVYQTGQLPSEQYWNKVALQRSSGLDETGVIVWYLALC
+LLLAWLIVGAALFKGIKSSGKVVYFTALFPYVVLLILVIRGATLEGASKGISYYIGAQSN
+FAKLKDAEVWKDAATQIFYSLSVAWGGLVALSSYNKFNNNCYSDAIVVCVTNCLTSVFAG
+FAIFSILGHMAHISGKEVTQVVKSGFDLAFIAYPEALAQLPGGPFWSVLFFFMLLTLGLD
+SQFASIETITTTIQDLFPKVMKKMRAPITLGCCLVLFFLGLICVTQAGIYWVNLIDHFCA
+GWGILIAAILEIIGVVWIYGGNRFIDDIEMMIGAKRWIFWLWWRTCWFVITPILLMSILI
+WSVIQFRRPKYGQIPYPNWGVALGWCMITFCIIWIPVMAIINIIQAKGNIFQRIATCCRP
+AANWGPYLEKHRGERYENMSDSKKETNHEISNISDSRKPE
+>tr|E1BPE8|E1BPE8_BOVIN Lipin 1 OS=Bos taurus OX=9913 GN=LPIN1 PE=4 SV=3
+MNYVGQLAGQVFVTVKELYKGLNPATLSGCIDIIVVRQPNGSLQCSPFHVRFGKMGVLRS
+REKVVDIEINGESVDLHMKLGDNGEAFFVQETDNDQEVIPMHLATSPILSEGASRMESQL
+KRNSTDRIRGLDTNTSAQVSPPSETPSSGSLVKKRRKRRRKSQLDSLKRDENMNTSEDED
+MFPIEMSSDEEAEPLDSSRTIPDDIPPFQEDVPKESRCPVMTYPQSASYPNSDREWSPSA
+SLVDCRRPPPHLAVAAEGGLSSSCPPQSSHFHASESPSVSRPSTPKSDSELVSKSADRTI
+QKNNLEMLWLWGELPQATKSSPHKMKESSPLNSRKICDKMHFQAIHSESSDAFSDQSPTL
+VRASPVQPLLEQNKPQTEIQFVNEDDVEALGAAAPPLPMIEEPKAHSANTAPVAASKTDS
+PSRKKDKRSRHLGADGVYLDDLTDMDPEVAALYFPKNGDPSGLTKPTSDNGARSANQSPQ
+SVGSSGVDSGVESTSDGLRDLPSIAISLCGGLSDNREITKDAFLEQAVSYQQFADNPALI
+DDPNLVVKIGNKYYNWTTAAPLLLAMQAFQKPLPKATVESIMRDKMPRKGGRWWFSWRGR
+NTTIKEESKPEQCLAGKSHSTGEQPSQLGMATRIKHESSSSDEEHAAAKPSSTSHLPLLS
+SVSYKKTLRLTSEQLKSLKLKNGPNDVVFSVTTQYQGTCRCEGTIYLWNWDDKVVISDID
+GTITRSDTLGHILPTLGKDWTHQGIAKLYHKVSQNGYKFLYCSARAIGMADMTRGYLHWV
+NERGTVLPQGPLLLSPSSLFSALHREVIEKKPEKFKVQCLTDIKNLFFPNTEPFYAAFGN
+RPADVYSYKQVGVSLNRIFTVNPKGELVQEHAKTNISSYVRLCEVVDHVFPLLKRSHSSD
+FPCSDTFSNFTFWREPPPPFENQDVHSASA
+>tr|O46497|O46497_BOVIN Pregnancy-associated glycoprotein 9 OS=Bos taurus OX=9913 GN=PAG9 PE=2 SV=1
+MKWIVLLGLVAFSECIVKIPLRQVKTMRKTLSGKNMLKNFLKEHPYRLSQISFRGSNLTI
+HPLRNIMNLVYVGNITIGTPPQEFQVVFDTGSSDLWVPSFCTMPACSAPVWFRQLQSSTF
+QPTNKTFTITYGSGSMKGFLAYDTVRIGDLVSTDQPFGLSVVEYGLEGRNYDGVLGLNYP
+NISFSGAIPIFDNLKNQGAISEPVFAFYLSKNKQEGSVVMFGGVDHQYYKGELNWIPLIE
+AGEWRVHMDRISMKRTVIACSDGCEALVHTGTSHIEGPGRLVNNIHRLIRTRPFDSKHYV
+SCFATKYLPSITFIINGIKYPMTARAYIFKDSRGRCYSAFKENTVRTSRETWILGDAFLR
+RYFSVFDRGNDRIGLARAV
+>tr|E1BMM2|E1BMM2_BOVIN Alpha-1,6-mannosylglycoprotein 6-beta-N-acetylglucosaminyltransferase OS=Bos taurus OX=9913 GN=MGAT5 PE=4 SV=1
+MAFFTPWKLSSQKLGFFLVTFGFIWGMMLLHFTIQQRTQPESSSMLREQILDLSKRYIKA
+LAEENRNVVDGPYAGVMTAYDLKKTLAVLLDNILQRIGKLESKVDNLVSNGTGTNLTNST
+TPVPSLLEKINVADIINGAQEKCVLPPMDGYPHCEGKIKWMKNMWRSDPCYAEYGVDGST
+CSFFIYLSEVENWCPHLPWRVKNPYEEADRNSLAEIRTDVNILYSMMKKHEEFRWMRLRI
+RRMADAWIQAIKSLAMKQNLEKRKRKKVLVHLGLLTKESGFKIAETAFSGGPLGELVQWS
+DLITSLYLLGHDIRISASLAELKEIMKKVVGNRSGCPTVGDRIVELIYIDIVGLAQFKKT
+LGPSWVHYQCMLRILDSFGTEPEFNHANYAQLKGHKTPWGKWNLNPQQFYTMFPHTPDNS
+FLGFVVEQHLNSSDVHHINEIKRQNQSLVYGKVDSFWKNKKVYLDIIHTYTEVHATVYGS
+STKNIPSYVKNHGILSGRDLQFLLRETKLFVGLGFPYEGPAPLEAIANGCAFLNPKFNPP
+KSSKNTDFFIGKPTLRELTSQHPYAEVFIGRPHVWTIDLGNQEEVEDAVKAILSQKIEPY
+MPYEFTCEGMLQRINAFIEKQDFCHGQVMWPPLSALQVKFAEPGQSCKQVCQENQLICEP
+SFFQHLNKDKDLLKYDVNCQSSELAKDIVAPSFDPKNKHCVFQGDLLLFSCAGAHARHRR
+ICPCRDFIKGQVALCKDCL
+>tr|A5PKD3|A5PKD3_BOVIN ANKRD55 protein OS=Bos taurus OX=9913 GN=ANKRD55 PE=2 SV=1
+MRQATMDFSTPSVFDQQKGDSSEEVDLTMVYQAASNGDVSALTAVIREDPSILECCDSEG
+CTPLMHAVSGRQVDTVKLLLKMGASINTQDAYGRTSLCLATYLGWLEGCVSLLRNGAKHN
+IPDKNGRLPLHAATAEPDVRLLTVLVQQSNLNEINHQDNESGNRILCSIILSHHQGPSII
+NYDDESGKTCVHIAAAAGFCDIINELARVPECNLQALDVDDRTPLHWAAAAGKADCVQSL
+LELGMDSNLRDINESTPLAYALYCGHTDCVKLLSQESRTEPPRPLPAQNSRPQKKEGRFS
+MLNQIFCKNRKEEQRAYQKDPSRDWHRGEHTSEVDDIITTFDSNVDTNCQEQPGEQVAMV
+DFKKRTSENSKYHLPEKKPLARKGLPPIRTQSLPPITLGHNFLTASQGATSHAGLSSGTH
+HAAQRSQKSRSEQDLLNNRTGCQALLDNPWKGDSHPVFYKAWTMSSSDKLLDRLLTSRSG
+HQEVSGPPHLPHLHNPSSGQNFQHLSPNRPKIRDLPYTRNNLAPLPDQKFLSGEPLRTNR
+VLPAIPSQRGHSPPAEESENSASSTNDEN
+>tr|A4FV53|A4FV53_BOVIN GTP binding protein 2 OS=Bos taurus OX=9913 GN=GTPBP2 PE=2 SV=1
+MDSRVSELFGGCCRPGGGPAVGGTLKARGAGGGSSCGGPKGKKKNGRNRGSKANNPPYLP
+PEAEDGNIEYKLKLVNPSQYRFEHLVTQMKWRLQEGRGEAVYQIGVEDNGLLVGLAEEEM
+RASLKTLHRMAEKVGADITVLREREVDYDSDTPRKITEVLVRKVPDNQQFLDLRVAVLGN
+VDSGKSTLLGVLTQGELDNGRGRARLNLFRHLHEIQSGRTSSISFEILGFNSKGEVVNYS
+DSRTAEEICESSSKMITFIDLAGHHKYLHTTIFGLTSYCPDCALLLVSANTGIAGTTREH
+LGLALALKVPFFIVVSKVDLCAKTTVERTVRQLERVLKQPGCHKVPMLVTSEDDAVTAAQ
+QFAQSPNITPIFTLSSVSGESLDLLKVFLNILPPLTNSKEQEELMQQLTEFQVDEIYTVP
+EVGTVVGGTLSSGICREGDQLVVGPTDDGCFLELRVCSIQRNRSACRVLRAGQAATLALG
+DFDRSLLRKGMVMVSPEMNPTICSVFEAEIVLLFHATTFRRGFQVTVHVGNVRQTAVVEK
+IHAKDKLRTGEKAVVRFRFLKHPEYLKVGAKLLFREGVTKGIGHVTDVQAIAAGEAQANM
+GF
+>tr|A7E3W7|A7E3W7_BOVIN ATP-dependent RNA helicase DDX1 OS=Bos taurus OX=9913 GN=DDX1 PE=2 SV=1
+MTPSSYCVEQKNQSEMGVMPEIAQAVEEMDWLLPTDIQAESIPLILGGGDVLMAAETGSG
+KTGAFSIPVIQIVYETLKDQQEGKKGKATIKTGASVLNKWQMNPYDRGSAFAIGSDGLCC
+QSREVKEWHGCRATKGLTKGKHYYEVSCHDQGLCRVGWSSMQASLDLGTDKFGFGFGGTG
+KKSHNKQFDNYGEEFTMHDTIGCYLDIDKGHVKFSKNGKDLGLAFEIPPHMKNQALFPAC
+VLKNAELKFNFGEEEFKFPPKDGFVALSKAPESFVVKSQHTGSAQVAQTKFLPNAPKALI
+VEPSRELAEQTLNNVKQFKKYIDNPKLRELLIIGGVAARDQLSVLDNGVDIVVGTPGRLD
+DLVSTGKLNLSQVRFLVLDEADGLLSQGYSDFINRIHNQIPQITSDGKRLQVIVCSATLH
+SFDVKKLSEKIMHFPTWVDLKGEDSVPDTVHHVVVPVNPKTDRLWERLGKSHIRTDEVHA
+KDNTRPGANSPEMWSEAIKILKGEYAVRAIKEHKMDQAIIFCRTKIDCDNLEQYFMQQGG
+GPDKKGHQFSCVCLHGDRKPHERKQNLERFKKGDVRFLICTDVAARGIDIHGVPYVINVT
+LPDEKQNYVHRIGRVGRAERMGLAISLVATEKEKVWYHVCSSRGKGCYNTRLKEDGGCTI
+WYNEMQLLSEIEEHLNCTISQVEPDIKVPVDEFDGKVTYGQKRTLGGGNYKGHVDVLAPT
+VQELAALEKEAQTSFLHLGYLPNQLFRTF
+>tr|A6QQQ3|A6QQQ3_BOVIN Prolargin OS=Bos taurus OX=9913 GN=PRELP PE=2 SV=1
+MRSSLCWLLTLLLILATAAQGQPTRRPRPRPRPRPRPRLRPTPSFPQPDEPTEPTDLPPP
+LPPGPPSVFPDCPRECYCPPDFPSALYCDSRNLRKVPVIPSRIHYLYLQNNFITELPVES
+FKNATGLRWINLDNNRIRKVDQRVLEKLPSLVFLYMEKNQLEEVPAALPRNLEQLRLSQN
+QISRIPPGVFSKLENLLLLDLQHNKLSDGVFKPDTFQGLKNLMQLNLAHNTLRKMPPKVP
+SAIHQLYLDSNRIEAIPSGYFKGFPNLAFIRLNYNQLSDRGLPKNSFNISNLLVLHLSHN
+RISSVPAISSRLEHLYLNNNSIEKINGTQICPNNIVAFHDFSSDLEHVPHLRYLRLDGNY
+LKPPIPLDLMMCFRLLQSVVI
+>tr|A4FV36|A4FV36_BOVIN Spermatogenesis-associated protein 20 OS=Bos taurus OX=9913 GN=SPATA20 PE=2 SV=1
+MLGARALRCRGFLLPGAGPVLALSYRGSSARDKDRSVTVSSSVPMPAGGKGSRTNCSQST
+PQKVPNRLINEKSPYLLQHAYNPVDWYPWGQEAFDKAKKENKPIFLSVGYSTCHWCHMME
+EESFQNEEIGRLLSEDFVSVKVDREERPDVDKVYMTFVQATSSGGGWPMSVWLTPDLQPF
+VGGTYFPPEDGLTRVGFRTVLMRIRDQWKQNKSTLLENSQRVTTALLARSAISMGDRQLP
+PSAATMNSRCFQQLDEGYDEEYGGFAEAPKFPTPVILSFLFSYWLSHRLTQDGSRAQQMA
+LHTLKMMANGGIRDHVGQGFHRYSTDRQWHVPHFEKMLYDQAQLTVAYSQAFQISGDEFY
+SEVAKGILQYVVRNLSHRSGGFYSAEDADSPPERGMRPKEGAFYVWTVKEVQHLLPEPVL
+GATEPLTSGQLLMKHYGLTEAGNISPSQDPKGELQGQNVLTVRYSLELTAARFGLDVEAV
+RTLLNSGLEKLFQARKHRPKPHLDSKMLAAWNGLMVSGFAVTGAVLGQERVINYAINGAK
+FLKRHMFDVASGRLMRTCYAGSGGTVEHSNPPCWGFLEDYAFVVRGLLDLYEASQESAWL
+EWALRLQDTQDRLFWDSRGGGYFCSEAELGAGLPLRLKDDQDGAEPSANSVSAHNLLRLH
+GFTGHKDWMDKCVCLLTAFSERMRRVPVALPEMVRALSAHQQTLKQIVICGDPQAKDTKA
+LLQCVHSIYIPNKVLILADGDPSSFLSRQLPFLNTLRRLEDRATAYVCENQACSMPITEP
+CELRKVLHQ
+>tr|A7Z085|A7Z085_BOVIN WDR82P1 protein OS=Bos taurus OX=9913 GN=WDR82P1 PE=2 SV=1
+MKLTDSVLRSFRVAKVFRENSDKINCFDFSPNGETVISSSDDDSIVLYDCQEGKPKRTLY
+SKKYGVDLIRYTHAANTVVYSSNKIDDTIRYLSLHDNKYIRYFPGHSKRVVALSMSPVDD
+TFISGSLDKTIRLWDLRSPNCQGLMHLQGKPVCSFDPEGLIFAAGVNSEMVKLYDLRSFD
+KGPFATFKMQYDRTCEWTGLKFSNDGKLILISTNGSFIRLIDAFKGVVMHTFGGYANSKA
+VTLEASFTPDSQFIMIGSEDGKIHVWNGESGIKVAVLDGKHTGPITCLQFNPKFMTFASA
+CSNMAFWLPTIDD
+>tr|A4PJ02|A4PJ02_BOVIN Glycophorin A OS=Bos taurus OX=9913 GN=gpa PE=2 SV=1
+MDRKMIFVLLLSGYIFTKPVATQTPGISDPTHLPGPRIVLQHKFSQPVMVSIIFAVIAGI
+VGIIVGSAGLILLLRRRNRERTSTPKTSEQPEEIEDLPQ
+>tr|E1BIU4|E1BIU4_BOVIN Zinc finger CCCH-type containing 7B OS=Bos taurus OX=9913 GN=ZC3H7B PE=4 SV=2
+MERQRRKADIEKGLQFIQSTLPLKQEEYEAFLLKLVQNLFAEGNDLFREKDYKQALVQYM
+EGLNVADYAASDQVALPRELLCKLHVNRAACYFTMGLYEKALEDSEKALGLDSENIRALF
+RKARALTELGRHKEAYACSSRCSLALPHDESVTQLGQELAQKLGLRVRKAYKRPQELETF
+SLLSNGTAAGVADQGTSNGLGSIDDIETDCYVDLRGSPAPLPSTPTMPLFPHVLDLLAPL
+DSSSRALPGTESLDDFSDGDVFGPELDTLLDSLSLVQGGLPGGGVPSELPQLIPVFPGGT
+PLLPPVVGGSIPVSSPLPPASFGLVVDPSKKLAASVLDALEPPGSGLDSLDLLPYSETRL
+DALDSFGSSRGSLDKPSSFLEDTNSQDHRPSSGTQKPAPSPEPSMPNTALLIKNPLAATH
+EFKQACQLCYPKTGPRAGDYTYREGLEHKCKRDMLLGRLRSAEDQTWKRIRPRPTKTSFV
+GSYYLCKDMINKQDCKYGDNCTFAYHQEEIDVWTEERKGTLNRDLLFDPLGGVKRGSLTI
+AKLLKEHQGIFTFLCEICFDSKPRIISKGTKDSPSVCSNLAAKHSFYNNKCLVHIVRSTS
+LKYSKVRQFQEHFQFDVCRHEVRYGCLREDSCHFAHSFIELKVWLLQQYSGMTHEDIVQE
+SKKYWQQMEAHTGKASSSLGAPRTHGPSTFDLQMKFVCGQCWRNGQVVEPDKDLKYCSAK
+ARHCWTKERRVLLVMSKAKRKWVSVRPLPSIRNFPQQYDLCIHAQNGRKCQYVGNCSFAH
+SPEERDMWTFMKENKILDMQQTYDMWLKKHNPGKPGEGTPVGSREGEKQIQMPTDYADIM
+MGYHCWLCGKNSNSKKQWQQHIQSEKHKEKVFTSDSDASGWAYRFPMGEFRLCDRLQKGK
+ACPDGDKCRCAHGQEELNEWLDRREVLKQKLAKARKDMLLCPRDDDFGKYNFLLQEDGNA
+PGAALEAPATAAGTGD
+>tr|Q1JQB6|Q1JQB6_BOVIN Mitochondrial tRNA translation optimization 1 OS=Bos taurus OX=9913 GN=MTO1 PE=2 SV=1
+MFCIRGCGRRVAASLTKQHLASVRFGGDSAAPWTPHFDVVVIGGGHAGTEAAAAAARCGS
+RTLLLTHRVDTIGQMSCNPSFGGIGKGHLMREVDALDGLCSRICDQSGIHYKVLNRRKGP
+AVWGLRAQIDRKLYKQNMQKEILNTPLLTVQEGAVEDLILTEPEPEHTGKYRVSGVVLAD
+GSKVYAESVVLTTGTFLRGMIVIGLEMHPAGRLGDQPAVGLAQTLEKLGFVVGRLKTGTP
+PRIAKESVNFSILNKQTPDNPSIPFSFINQTVWIKPEDQLPCYLTHTNPRVDEIVLENLH
+LNCHVKETTRGPRYCPSIESKVLRFPNRVHQVWLEPEGMDSDLIYPQGLSVTLPAELQEK
+MITCIRGLENAKMIQPGYGVQYDYMDPRQISPSLETHLVQRLFFAGQINGTTGYEEAAAQ
+GVIAGINASLRVRHKPPFVISRTEGYIGVLIDDLTTLGTNEPYRMFTSRAEFRLSLRPDN
+ADSRLTFRGYKEAGCVSQQRYERASWMKSSLEEGISMLKSIEFLSTKWKNLIPESSISSG
+KSLPLRALDVLKYEEVDMELLARAVPEPLKKYTQCRELAERLKIEATYESVLFHQQQEIK
+EVQRDEALQLPKDLDYLTLKDISLSYEVREKLHFSRPQTIGAASRIPGVTAAAIVNLLRF
+VKTTQQRQAAMNRIPKTDQQLHDTDRLEESQL
+>tr|Q1RMV3|Q1RMV3_BOVIN Death inducer-obliterator 1 OS=Bos taurus OX=9913 GN=DIDO1 PE=2 SV=1
+MDDKGDPSGEEAPKAIKPTSKEFRKTWGFRRTTIAKREGAGDAEVDTLEPSPRQQQSPSL
+RRSGRQPKRTERVEEFLTTVRRRGRRSAPVSLEDSGEPASCPATDAETASEGSVESASDG
+KSGPRSSSTGAKQRLASSAKAREGEDEDDTSDSDSDGLTLKELQNRLRKKREQEPADRPT
+RGIQNRLRRKRREEDPAETVDVQAGDVMEGSLPASREPEGDLGAAAQAVKEDREGRQDGR
+VAPGGRAEEAADSIRHKPECEVYDPSALYCLCRQPHNNRFMICCDRCEEWFHGDCVGISE
+ARGRLLERNGEDYICPNCTILQVQDEASSEATDMQDTRSGPLGADSTDFTSIGTVEQKSS
+EDQGIKGRIEKAANPSGKKKLKIFQPVVEAPGAAKCIGPGCSSVAQPDSVYCSNDCILKH
+AAATMRFLSAGKEQKPKAKEKLKTKPEKIVLQKCSVQAGIKISSVHKRLASDKKENAVKK
+AAVAPPRNEVLTKEPVCESSTPSWASDHNYNAVKPEQTAAPWPPLLYKCMYHPGGALDPS
+WSFWTAVHSGLFRARSSSHLWCCTCRDRSPCPRPGGLLSLAHPSLVCWDFLSVFPKSCTS
+SWLNVHL
+>tr|Q2V6R8|Q2V6R8_BOVIN Mucosal addressin cellular adhesion molecule-1 OS=Bos taurus OX=9913 GN=MADCAM1 PE=2 SV=1
+MERGRALLLPLLLGLLQPGRGGLLEVDPPESQVAMAVGESRNFTCRMTCADGRAASVVWR
+GLDTSLGAVQSSAGLSILYVLNASLSAAGTRVCVGSCGDVNLQHRVRLLLFAFPDQLTVS
+PEAVVPGRDQEVACTAHNVTPPGPDTLSMSLLLGDRELEGVEALPNVTEEPQEGEDSLFQ
+VTQRWLLPTSETSSLRTLHCQVTMRLPGRELTHHRTIPVLQGLTSPEPPSITSSELPTMT
+PAKPSITASPEPTDTTTPESFVMKPPKPPITTSPELASTYSPASPGPASPGATSSNSSTR
+PCLPEIHQSSASGALELLCEVPCGPSMAVRWTQAPGGLEAYETWEAGAQAWLSSRSTLWS
+GCHPEGWFQCRLDPGGHMANLYVVPEICSPTMSAALWTSSLALGLLLLVFLAYHLWKRCQ
+PTSR
+>tr|G3MXU0|G3MXU0_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 GN=LOC100852077 PE=4 SV=2
+MSMVPPSLLCLGLCLSQSTWAQKGNLPPPHITALPGSTVPAKSPVTLLCQGPKQAEGYRI
+SRVGSPEPMDKEEQIMSRKTNNLSIAEVTTDKTGLYHCSYQRGGRRSQFSDPLQLVMTGA
+YSKPSLSSVVGTVVAPGETVKLQCFSKINHDVFILTKEDGDPITQNQSYTPQDGGRQTIF
+LLNPVSSTQAGTYRCYGAFHDNPYVWSQPSDPLHLQVEGEEEAQSTHLLPALGLTVCG
+>tr|Q0VCR3|Q0VCR3_BOVIN ZFP36 protein OS=Bos taurus OX=9913 GN=ZFP36 PE=2 SV=1
+MDLAAIYKSLLSLSPELPSDLGETESSTSWASSGPWSLSSSDSSLPEVAARLPGRSTSLV
+EGRSCGWVPPPPGFAPLAPRPSSELSPSPTSPTATPTTSSRYKTELCRTFSESGRCRYGA
+KCQFAHGLGELRQASRHPKYKTELCHKFYLQGRCPYGSRCHFIHNPSEDLAAPGHPHVLR
+QSISFSGLPSGRRTSPPPASLAGPSVSSWSFSPSSSPPPPPGDLLLSPSAFSAAPGTPVS
+RRDPTPACCPSCRRATPNSVWGPVGGLARSPSAHSLGSDPDEYASSGSSLGGSDSPVFEA
+GVFGPPQPPAAPRRLPIFNRISVSE
+>tr|Q9TTF5|Q9TTF5_BOVIN CD38 molecule OS=Bos taurus OX=9913 GN=CD38 PE=1 SV=1
+MADCCTPRKKLCWCVLLFFLVAGITGGAIGIYKWHYSGLNRWHGAGSTADFQKIIQERCD
+TYTQTIRPGSRSRNCQAIRQAFMSAFISKDPCKATKEDYNSLINLAPPTVPCGQQVFWSK
+TKELAHEYAKRRRLMTLEDTLLGYLADGLRWCGEPGSSDLNIWSCPDWRKDCRTNYLSVF
+WEVLSERFAESACNTVRVVLNGSLENAFDSMSIFGRVEAPNLRPQVELEAWLVHDTGKPP
+SDSCSGSSIRKLKSILDGRNVKFRCMDNLSRDQFLQRS
+>tr|A6QNR9|A6QNR9_BOVIN LOC100124428 protein OS=Bos taurus OX=9913 GN=NCBP1 PE=2 SV=1
+MSRRRHSDENDGGQPHKRRKTSDANETEDHLESLICKVGEKSACSLESNLEGLAGVLEAD
+LPNYKSKILRLLCTVARLLPEKLTIYTTLVGLLNARNYNFGGEFVEAMIRQLKESLKSNN
+YNEAVYLVRFLSDLVNCHVIAAPSMVAMFENFVSVTQEEDVPQVRRDWYVYAFLSSLPWV
+GKELYEKKDAEMDRIFASTENYLKRRQKTHVPMLQVWTAEKPHPQEEYLDCLWAQIQKLK
+KDRWQERHILRPYLAFDSILCEALQHNLPPFTPPPHTEDSVYPMPRVIFRMFDYTDDPEG
+PVMPGSHSVERFVIEENLHCIIKSHWKERKTW
+>tr|A7E2Z1|A7E2Z1_BOVIN C1QTNF6 protein OS=Bos taurus OX=9913 GN=C1QTNF6 PE=2 SV=1
+MGMAALGLLWAALLLPLSVSGLPTEEPTSGEAVASSSPGFCRRCCDSEDPTVLADAARAS
+SASPSALPYVLPEVRPYINITILKGDKGDRGLLGSPGKLGREGPRGDRGPQGIKGAKGQA
+GSPGSPCQTRFSAFSVGRKTALHSSEGFQPLLFDTVFVNPDGHFDLAAGHFVAPLGGLYF
+FSLNVHSWNFKETYVHVVHNDKAAVILYAQPSDRSIMQSQSVMLALAPGDHVWARLFKRE
+RDNAVYSDDIDTYITFSGHLIKPEED
+>tr|A6QLP6|A6QLP6_BOVIN USP38 protein OS=Bos taurus OX=9913 GN=USP38 PE=2 SV=1
+MDKILEGLVSSSHPLPLKRVIVRKVVESAEHWLDEAQCEAMFDLTTRLILEGQDPFQRQV
+GHQVLEAYARYHRPEFESFFNKTFVLGLLQQGYHSLDRKDVAIVDYIHNGLKLIMSCPSV
+LDLFSLLQVEVLRMVCERPEPQLCARLSDLLTDFVQCIPKGKLSITFCQQLVRTIGHFQC
+VSTQEKELREYVSQVTKVSNLLQNIWKAEPSTLLPSLQEVFASISSTDASFEPSVALASL
+VQHIPLQMITVLIRSLTTDPNVKDASMTQALCRMIDWLSWPLAQHVDTWVIALLKGLAAV
+QKFTILIDVTLLKIELVFNRLWFPLVRPGALTVLSHMLLSFQHSPEAFHLIVPHVVNLVH
+SFKSDGLPSSTAFLVQLTELIHCMMYHYSGFPDLYEPILEAIKDFPKPSEEKIKLILNQS
+AWTSQSNSLASCLSRLSGKSETGKTGLINLGNTCYMNSVIQALFMATDFRRQVLSLNLNG
+CNSLMKKLQHLFAFLAHTQREAYAPRIFFEASRPPWFTPRSQQDCSEYLRFLLDRLHEEE
+KILKVQSSHKSSESPGCSETSLQEVANKAAVLTETHCTSDGEKTLIEKMFGGKLRTHICC
+LNCRSTSQKVEAFTDLSLAFCPSSSMENLSFQDPASSPSTQDDGLTQASGPGPSKEPGVC
+NASAVAIACDSAVNERMVDSPDEFCCTEDTSVPNDSSKMLVNKDVPQKPGGETTPSVTDL
+LNYFLAPEILTGDNQYYCENCASLQNAEKTMQITEEPEYLILTLLRFSYDQKYHVRRKIL
+DNVSLPLVLELPVKRTPFSSLSESWSLDVDFTDISENLAKKLKPSGTEEACSPKLVPYLL
+SSVVVHSGVSSESGHYYSYARNVTGTESSCQMCPQPETLAFSSSRNHLLGRESPTVVIEQ
+DLENKDMSKEWFLFNDSRVTFTSFQSVQKITSRFPKDTAYVLLYKRQNSTNGLNGNNSTT
+GLWVNGDPPLQKELMDAITKDNKLYLQEQELNARARALQAASASCSFRPNGFDDNDPPGS
+CGPTGGGGGGGFNTVGRLVF
+>tr|Q1RMR3|Q1RMR3_BOVIN Cortactin OS=Bos taurus OX=9913 GN=CTTN PE=1 SV=1
+MWKASAGHTVSITPDDGGADDWETDPDFVNDVSEKEQRWGAKTVQGSGHQEHINIHKLRE
+NVFQEHQTLKEKELETGPKASHGYGGKFGVEQDRMDKSAVGHEYQSKLSKHCSQVDSVRG
+FGGKFGVQVDRVDQSAVGFEYQGKTEKHASQKDYSSGFGGKYGVQSDRVDKSAVGFDYQG
+ETEKHGSQRDYSRGFGGKYGIDKDKVDKSAVGFEYQGKTEKHESQKDYVKGFGGKFGVQT
+DRQDKCALGWDHQEKPQLHESQKDYKTGFGGRFGVQSERQDSCAVGFDYKEKLAKHGSQQ
+DYSKGFGGKYGVQKDRMDKNASTFEDVAAVSSSYQKTVPVEAVNSKTSNIRANFENLAKE
+KEQEDRRKAEAERAQRMAQERQEQEAARRQLDEQARAQKPTPPASPTPQPAQERPPSSPV
+YEDAAPFRAEPSPSREPELGYSVETTEYPEAYVSEGVYESTEAPGHYRAEEGTYDEYEND
+LGITAIALYDYQAAGDDEISFDPDDIITNIEMIDDGWWRGLCKGRYGLFPANYVELRQ
+>tr|Q0VCH2|Q0VCH2_BOVIN Mitochondrial inner membrane protease subunit OS=Bos taurus OX=9913 GN=IMMP1L PE=2 SV=1
+MLRGVLGKTFRLVGYTIQYGCIAHCAFEYVGGVLVCSGPSMEPTIQNSDIVFAENLSRHF
+YGIQRGDIVVAKSPSDPKSNICKRVIGLEGDKILTSSPAGFFKSHSYGRRGGS
+>tr|E1BPK4|E1BPK4_BOVIN Kelch like family member 38 OS=Bos taurus OX=9913 GN=KLHL38 PE=4 SV=2
+MCSKSRSCHSLTLQDDLPLGTSPRLCRMDTESSEGLLFKDHDFSSDLLRQLNDLRQNRIL
+TDVSICAGSWEVPCHRNVLASSSPYFRAMFCSNFRESREAKVQLKGVDASTLERIVLYVY
+TGEVHITVESVLPLMEAASMLQYPKLLEACSSFLQSQLTPSNCLGMTRLSEIFSCETLRK
+KAREVALTYFPEVAASADLKELCALELRDYLGDDGLCGEEEKVFEALMVWIKHDLQTRKR
+YMQDLFKQVRLQYVHPAFFHHFIANDALLQSSPPCQTILETAKRQVFSLYSTASSAGLQP
+LWHVPPRNSYQDFLILLGGRKDNQQTTRDVLLYDGQTSRWQSLAKLPARLYKASAVSLHR
+SIYMLGGMAVGKSVPSAKVYVFSLKLNQWRPGQPMLAARYSHRSAAHKNFIFSIGGIGEG
+HEVMGSVERYDSVGNVWERMASMPVGVLHPAVAVKDQRLYLFGGEDIMQNPVRLIQVYHI
+SRNTWFKMETRMIKNVCAPAVVLGERIVIVGGYTRRILAYDPQSNKFVKCADMKDRRMHH
+GATVMGNKLYVTGGRRLTTDCSIEDSASFDCYDPETDTWTSQGQLPHKLFDHACLTLQCI
+PHHSTVPWVP
+>tr|Q2NKU2|Q2NKU2_BOVIN Signal recognition particle 9 OS=Bos taurus OX=9913 GN=SRP9 PE=2 SV=1
+MPQYQTWEEFSRAAEKLYLADPMKCLVYRTDQAQDVKKIEKFHSQLMRLMVAKESRSVAM
+ETD
+>tr|A7MB15|A7MB15_BOVIN FZD1 protein OS=Bos taurus OX=9913 GN=FZD1 PE=2 SV=1
+MAEEEAPKKSRAAGGGGGGGSWELCAGALRTVPAAEGSGDAGSRRRPPADSRRLARRLLL
+LLWLLEAPLLLGVRAQAAGQGPGPGQQPPPPQQQQSGQQYNGERGISIPDHGYCQPISIP
+LCTDIAYNQTIMPNLLGHTNQEDAGLEVHQFYPLVKVQCSAELKFFLCSMYAPVCTVLEQ
+ALPPCRSLCERARQGCEALMNKFGFQWPDTLKCEKFPVHGAGELCVGQNTSDKGTPTPSL
+LPEFWTSNPQHGGGGHRGGGFAGGAGASERGKFSCPRALKVPSYLNYHFLGEKDCGAPCE
+PTKVYGLMYFGPEELRFSRTWIGIWSVLCCASTLFTVLTYLVDMRRFSYPERPIIFLSGC
+YTAVAVAYIAGFLLEDRVVCNDKFAEDGARTVAQGTKKEGCTILFMMLYFFSMASSIWWV
+ILSLTWFLAAGMKWGHEAIEANSQYFHLAAWAVPAIKTITILALGQVDGDVLSGVCFVGL
+NNVDALRGFVLAPLFVYLFIGTSFLLAGFVSLFRIRTIMKHDGTKTEKLEKLMVRIGVFS
+VLYTVPATIVIACYFYEQAFRDQWERSWVAQSCKSYAIPCPHLQAGGAPPHPPMSPDFTV
+FMIKYLMTLIVGITSGFWIWSGKTLNSWRKFYTRLTNSKQGETTV
+>tr|Q0P5C5|Q0P5C5_BOVIN SET and MYND domain containing 3 OS=Bos taurus OX=9913 GN=SMYD3 PE=2 SV=1
+MEPSKVEKFSTTDKGNGLRALAPLRPGELLFRSDPLAYTVSKGSRGVVCDRCLLGKEKLM
+RCSQCRIAKYCSAKCQKKAWQDHKRECKCLKSCKPRYPPDSVRLLGRVVFKLMQETPSES
+EKLYSFYDLESNINKLTEDKKEGLRQLALTFQHFMREEIQDASQLPPSFDIFEAFAKVIC
+NSFTICNAEMQEVGVGLYPSMSLLNHSCDPNCSIVFNGPHLLLRAVRDVEAGEEDADMLT
+GDEQVWKEVQESLKKIEDLKAHWKWEQVLAMCQSIISSNAERLPDINIYQLKVLDCAMDA
+CLNLGLLEEALFYGIRTMEPYRIFYPGNHPVRGVQIMKVGKLQLHQGMFPQAMKNLRLAF
+DIMRVTHGREHSLIEDLILLLEECDANIRAP
+>tr|D1Z308|D1Z308_BOVIN Periostin OS=Bos taurus OX=9913 GN=POSTN PE=1 SV=2
+MIPFLPVCSLFLLLVVNPANANGHYDKILAHSRIRGRDQGPNVCALQQILGTKKKYLSTC
+RNWYQGAICGKKTTVLYECCPGYMRMEGMKGCPAVMPIDHVYGTLGIVGATTTQSYSDVS
+KLREEIEGKGSFTYFAPSNEAWDNLDSDIRRGLESNVNVELLNALHSHMVNKRMLTKDLK
+NGMIIPSMYNNLGLFINHYPNGVVTVNCARIIHGNQIATNGVVHVIDRVLTQIGTSIQDF
+IEAEDELSSFRAAAITSDILETLGRDGHFTLFAPTNEAFEKLPRGVLERIMGDKVASEAL
+MKYHILNTLQCSEAIMGGAVFETLEGNTIEIGCDGDSITVNGIKMVNKKDIVTNNGVIHL
+IDQVLVPDSAKQVIELAGNQQTTFTDLVAQLGLASALRPDGEYTLLAPVNNAFSDDTLSM
+DQRLLKLILQNHILKVKIGLNELYNGQKLETIGGKQLRVFVYRTAVCIENSCMVRGSKQG
+RNGAIHIFQEIIKPAEKSLHEKLKQDKRFSIFLSLLEAADLKELLTQPGDWTLFVPTNDA
+FKGMTNEEKEILIRDKNALQNIILYHLTPGVFIGKGFEPGVTNILKTTQGSKIYLKGVND
+TLLVNELKSKESDIMTTNGVIHVVDKLLYPADTPVGNDQLLEILNKLIKYIQIKFVRGST
+FKEIPITVYTTKIITKVVEPKIKVIEGSLQPIIKTEGRTIPKVKIEGEPEFRLIKEGETV
+TEVIHGEPIIKKYTKIIDGVPVEITEKETREERIITGPEIKYTRISTTGGETEETLKKLL
+QEEVTKVTKFIEGGDGHLFEDEEIKRLLQGDTPVRKIQANKRVQASRRRSREDRPQ
+>tr|Q0VC83|Q0VC83_BOVIN Hexosyltransferase OS=Bos taurus OX=9913 GN=B3GNT8 PE=2 SV=1
+MRCPKCLLCLSALLTLLGLKVYIEWTSEPRLGKAYPGPRSTLLGPTPASTEPTLPANLSA
+RLGQTGPLPLAYWNQQQWRLGTLPGVNSTEAGDCGAWGAAAAAEIPDFASYPEDLRRFLL
+WAACRSFPPWLPTGGGGQVTGCEDPGDVPFLLLAVKSEPGRFAERQAVRETWGRPAPGVR
+LLFLLGSPVGQAGPDLSTLVSWESRRYGDLLLWDFLDVPFNQTLKDLMLLAWLGRHCPGV
+SFVLQAQDDAFVRTPALLDHLRGLPPVRARGLYLGEVFIQAKPLRKPGGPFYVPGSFFEG
+SYPAYASGGGYVIAGRLAPWLLQAAARVAPFPFGDVYTGLCFQALGLAPRTHKGFLTAWP
+ADRTADPCAFRDLLLVRPLSPQDSIRLWKQLQDPGLQCRAPEAEAGRGGQGSP
+>tr|A5PJQ8|A5PJQ8_BOVIN E74 like ETS transcription factor 3 OS=Bos taurus OX=9913 GN=ELF3 PE=2 SV=1
+MAATCEISNVFSNYFSTMYSSDDPTLASVPPAPTFGADDLVLALGSPQMALEGTEKSSWL
+GEQPQLWSKAQVLDWVSYQAEKHRCDPGTIDLSRCELDGAALCRCAPEELRLLFGPLGDQ
+LYSQLWDLTSSFPDELSWIMELLEKDSLALQEPLGEQGPFDQGSPFAQEMLEDCRQASPT
+YPGSFGARAPSPGSSDVSTTGTGASQSPHASDSGGSDVDLDAPDSKLFPGDGFPDYKKGE
+LKHGKRKRGRPRKLSKESRECLEGKKSKHAPRGTHLWEFIRDILIHPELNEGLMKWENRQ
+EGVFKFLRSEAVAQLWGQKKKNSSMTYEKLSRAMRYYYKREILERVDGRRLVYKFGRNSS
+GWKEEEVVGHRN
+>tr|A7MBI2|A7MBI2_BOVIN SESN1 protein OS=Bos taurus OX=9913 GN=SESN1 PE=2 SV=1
+MAERENEVRWDGLCSRDSTTRETALENIRQIIVRKTECLRLVKETPYRPADGLSNAVSLD
+GLNKLLAHLLMLSKRCPFKDVREKSEFILKSVQELGIRIPRPLGHGPSRFIPEKEILQVG
+SEDAQMHTLFTDSFAALGRLDNITLVMVFHPQYLESFLKTQHYLLQMDGPLPLHYRHYIG
+IMAAARHQCSYLVNLHVNDFLHVGGDPKWLNGLENAPQKLQNLGELNKVLAHRPWLITKE
+HIEGLLKAEEHSWSLAELVHAVVLLTHYHSLASFTFGCGISPEIHCDGGHTFRPPSVSNY
+CICDITNGNHSVDEMQVNSAGNVSVSDSFFEVEALMEKMKQLQECREEEEASQEEMASRF
+EIEKRESMFVFSSDDDEVTPARDVSRHFEDTSYGYKDFSRHGMHVPTFRVQDYCWEDHGY
+SLVNRLYPDVGQLIDEKFHIAYNLTYNTMAMHKDVDTSMLRRAIWNYIHCMFGIRYDDYD
+YGEINQLLDRSFKVYIKTVVCTPEKVTKRMYDSFWRQFKHSEKVHVNLLLIEARMQAELL
+YALRAITRYMT
+>tr|A0A140T8C4|A0A140T8C4_BOVIN OCIA domain containing 1 OS=Bos taurus OX=9913 GN=OCIAD1 PE=4 SV=1
+MNGRADFREPNTEVPRPIPHIGADYIPTEEERRVFAECNDESFWFRSVPLAATSMLITQG
+LISKGILSSHPKYGSIPKLIFACIMGYFAGKLSYVKTCQEKFKNLENSPLGEALRSGQAR
+RSSPTGHYSQRSKYDSNVSGHSSFGTSPAADNLEKEMLPHYEPIPFSASLNESTPTGITD
+HIAQGPDPNTEESPKRKNITYEELRNKNRESYEVTLTHKTDPSVRPMQERMPKKEVKVNK
+YGDTWDE
+>tr|A5D7I9|A5D7I9_BOVIN LOC513111 protein OS=Bos taurus OX=9913 GN=LOC513111 PE=2 SV=1
+MGRPVMLTIEDRDVKGFTWAIAPALTSLGYLLILLVSIFPFWVRLVNEESQEVFFSGLFE
+NCFHIKCWKPRPLSIYVILGRVSLLSAVVLSFLTTFIMVSFASQLFPRTRKHNFVSAFIS
+FLTGACAFLALLLHALEIQSLRMKPSPPQFSIQWPYYVLGFSILLFMVAGAICLIQEIAC
+PRCHLLPISQSTEDTQEISYLENLDSLGGELSSMQKETLLKEETII
+>tr|A2VE93|A2VE93_BOVIN LRRC25 protein OS=Bos taurus OX=9913 GN=LRRC25 PE=2 SV=1
+MGGPLMWALLLPLLLHQAGSQTSSCSVLSGYMDWTKEYFDTCLNFSGKILTQLPQNQSLR
+ARSVQLLDLSANGLQRLPWSFFRDLEQLQLLIVTNNSLDFVDRALAERCGLELLADCSCA
+LLDWHTDRQDNCSGPELPRCLDVPTGAWHNLSVFLDVSCPSGLTKIAIGALAASGSLLLV
+LAIAGPVLAWRFCRHRMDQNLSKTWASQDGSRSGSGRQPRYSSQGRRPKSPANTPPRSST
+PDYENMFVGPPAARHQWDELRSPPSEGGDFYMTYDSLQHESQPVYCNLQSLSQVPLDDEE
+YVVPGR
+>tr|Q08E45|Q08E45_BOVIN Calcium/calmodulin-dependent protein kinase II alpha OS=Bos taurus OX=9913 GN=CAMK2A PE=2 SV=1
+MATITCTRFTEEYQLFEELGKGAFSVVRRCVKVLAGQEYAAKIINTKKLSARDHQKLERE
+ARICRLLKHPNIVRLHDSISEEGHHYLIFDLVTGGELFEDIVAREYYSEADASHCIQQIL
+EAVLHCHQMGVVHRDLKPENLLLASKLKGAAVKLADFGLAIEVEGEQQAWFGFAGTPGYL
+SPEVLRKDPYGKPVDLWACGVILYILLVGYPPFWDEDQHRLYQQIKAGAYDFPSPEWDTV
+TPEAKDLINKMLTINPSKRITAAEALKHPWISHRSTVASCMHRQETVDCLKKFNARRKLK
+GAILTTMLATRNFSGGKSGGNKKNDGVKESSESTNTTIEDEDTKVRKQEIIKVTEQLIEA
+ISNGDFESYTKMCDPGMTAFEPEALGNLVEGLDFHRFYFENLWSRNSKPVHTTILNPHIH
+LMGDESACIAYIRITQYLDAGGIPRTAQSEETRVWHRRDGKWQIVHFHRSGAPSVLPH
+>tr|A0A3Q1NKS6|A0A3Q1NKS6_BOVIN 2-oxoglutarate dehydrogenase, mitochondrial OS=Bos taurus OX=9913 GN=OGDH PE=1 SV=1
+MFHLRTCAAKLRPLTASQTVKTFSQNRPAAARTFGQIRCYTAPVAAEPFLSGTSSNYVEE
+MYYAWLENPKSVHKSWDIFFRNTNAGAPPGTAYQSPLPLSPGSLSAVARAGPLVEAQPNV
+DKLVEDHLAVQSLIRAYQIRGHHVAQLDPLGILDADLDSSVPADIISSTDKLDLAVFKER
+LRMLTVGGFYGLDESDLDKVFHLPTTTFIGGQESALPLREIIRRLEMAYCQHIGVEFMFI
+NDLEQCQWIRQKFETPGVMQFTNEEKRTLLARLVRSTRFEEFLQRKWSSEKRFGLEGCEV
+LIPALKTIIDKSSENGVDYVIMGMPHRGRLNVLANVIRKELEQIFCQFDSKLEAADEGSG
+DVKYHLGMYHRRINRVTDRNITLSLVANPSHLEAADPVVMGKTKAEQFYCGDTEGKKVMS
+ILLHGDAAFAGQGIVYETFHLSDLPSYTTHGTVHVVVNNQIGFTTDPRMARSSPYPTDVA
+RVVNAPIFHVNSDDPEAVMYVCKVAAEWRSTFHKDVVVDLVCYRRNGHNEMDEPMFTQPL
+MYKQIRKQKPVLQKYAELLVSQGVVNQPEYEEEISKYDKICEEAFARSKDEKILHIKHWL
+DSPWPGFFTLDGQPRSMTCPSTGLTEDILTHIGNVASSVPVEDFTIHGGLSRILKTRGEL
+VKNRTVDWALAEYMAFGSLLKEGIHIRLSGQDVERGTFSHRHHVLHDQNVDKRTCIPMNH
+LWPNQAPYTVCNSSLSEYGVLGFELGFAMASPNALVLWEAQFGDFHNTAQCIIDQFICPG
+QAKWVRQNGIVLLLPHGMEGMGPEHSSARPERFLQMCNDDPDVLPDLKEANFDINQLYDC
+NWVVVNCSTPGNFFHVLRRQILLPFRKPLIIFTPKSLLRHPEARSNFDEMLPGTHFQRVI
+PEDGPAAQNPGNVKRLLFCTGKVYYDLTRERKARDMVEQVAITRIEQLSPFPFDLLLQEV
+QKYPSAELAWCQEEHKNQGYYDYVKPRLRTTISRAKPVWYAGRDPAAAPATGNKKTHLTE
+LQRLLDTAFDLDAFKNFS
+>tr|F1MLW7|F1MLW7_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=3
+MGGLGRPCPQEGFLCQPVSLCAVFGSGTTLTVLGQPKSPPSVTLFPPSTEELNGNKATLV
+CLISDFYPGSVTVVWKADGSTITRNVETTRASKQSNSKYAASSYLSLTSSDWKSKGSYSC
+EVTHEGSTVTKTVKPSECS
+>tr|A0A3Q1M1J9|A0A3Q1M1J9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MDKRTSKVSPNTPIPIIRRLADKYLKPTLASKNIMSFVLGRQLGRHRNYLDLSKWLWICL
+SVLLV
+>tr|F1N6Y3|F1N6Y3_BOVIN Parathyroid hormone/parathyroid hormone-related peptide receptor OS=Bos taurus OX=9913 GN=PTH1R PE=3 SV=2
+MGAARIAPGLALLLCCPVLSSAYALVDADDVMTKEEQIFLLHRAQAQCEKRLKEVLQRPA
+DIMESDKGWASASTSGKPKKEKPSGKLHPESEEDKEVPTGSRPRGRPCLPEWDHILCWPL
+GAPGEVVAMPCPDYIYDFNHKGHAYRRCDRNGSWELVPGHNRTWANYSECVKFLTNETRE
+REVFDRLGMIYTVGYSVSLASLTVAVLILAYFRRLHCTRNYIHMHLFLSFMLRAVSIFVK
+DAVLYSGTALDEAERLTEEELRAIAQAPPPPAAAAGYVGCRVAVTFFLYFLATNYYWILV
+EGLYLHSLIFMAFFSEKKYLWGFTVFGWGLPAIFVAVWVGVRATLANTGCWDLSSGNKKW
+IIQVPILASIVLNFILFINIVRVLATKLRETNAGRCDTRQQYRKLLKSTLVLMPLFGVHY
+IVFMATPYTEVSGTLWQVQMHYEMLFNSFQGFFVAIIYCFCNGEVQAEIKKSWSRWTLAL
+DFKRKARSGSSSYSYGPMVSHTSVTNVGPRTGLGLPLSPRLLPAATTNGHPPLPGHTKSG
+SPALQATPPAVAAPKEDGFLNGSCSGLDEEACAPERPPVLLQEEWETVM
+>tr|A7MBA9|A7MBA9_BOVIN Presenilin OS=Bos taurus OX=9913 GN=PSEN1 PE=2 SV=1
+MTELPAPLSYFQNAQMSEDNHLSNTVRSQNDSRERHEHGNERRRRGNTESVSNGRAPSSS
+QQVVEQEEEEDEELTLKYGAKHVIMLFVPVTLCMVVVVATIKSVSFYTRKDGQLIYTPFT
+EDTETVAQRALHSILNAVIMISVIVIMTILLVVLYKYRCYKVIHAWLIVSSLLLLFFFSF
+IYLGEVFKTYNVAMDYISVALLIWNFGVVGMIAIHWKGPLRLQQAYLIMISALMALVFIK
+YLPEWTAWLILAVISVYDLVAVLCPKGPLRMLVETAQERNETLFPALIYSSTMVWLVNMA
+EGDPEAQRKVSKNSNYNAQSTESESQDPVTESDDGGFSEEWEAQRDSRLGPHHSTAESRS
+AVQDLSSSILASEDPEERGVKLGLGDFIFYSVLVGKASATASGDWNTTIACFVAILIGLC
+LTLLLLAIFKKALPALPVSITFGLIFYFATDYLVQPFMDQLAFHQFYI
+>tr|Q3SYT9|Q3SYT9_BOVIN Poly(RC) binding protein 2 OS=Bos taurus OX=9913 GN=PCBP2 PE=1 SV=1
+MDTGVIEGGLNVTLTIRLLMHGKEVGSIIGKKGESVKKMREESGARINISEGNCPERIIT
+LAGPTNAIFKAFAMIIDKLEEDISSSMTNSTAASRPPVTLRLVVPASQCGSLIGKGGCKI
+KEIRESTGAQVQVAGDMLPNSTERAITIAGIPQSIIECVKQICVVMLESPPKGVTIPYRP
+KPSSSPVIFAGGQDRYSTGSDSASFPHTTPSMCLNPDLEGPPLEAYTIQGQYAIPQPDLT
+KLHQLAMQQSHFPMTHGNTGFSAGLDASAQTTSHELTIPNDLIGCIIGRQGAKINEIRQM
+SGAQIKIANPVEGSTDRQVTITGSAASISLAQYLINVRLSSETGGMGSS
+>tr|A3DUJ0|A3DUJ0_BOVIN Deleted in azoospermia like OS=Bos taurus OX=9913 GN=DAZL PE=2 SV=1
+MSAANPETPNSTISREASTQSSSATTSQGYVLPEGKIMPNTVFVGGIDVRMDETEIRSFF
+ARYGSVKEVKIITDRTGVSKGYGFVSFYNDVDVQKIVESQINFHGKKLKLGPAIRKQNLC
+AYHVQPRPLVFNPPPPPQFQSVWSNPNAETYMQPPTMINPITQYVQAYPPYPSSPVQVIT
+GYQLPVYNYQMPPQWPAGEQRSYVIPPAYTTINYHCNEVDTGADVLPSECSVHEATPSSG
+NGPQKKSVDRSIQTVVSCLFNPENRLRNSVVTQDDYFKDKRVHHFRRSRAVLKSV
+>tr|Q9XS50|Q9XS50_BOVIN Vascular endothelial growth factor C OS=Bos taurus OX=9913 GN=VEGFC PE=2 SV=1
+MHLLGFCSVACSLLAAALLPGPRRAPAAAAAAFESGLGFSDTEPDAGENKAYAGKEMEEQ
+LRSVSSVDELMTVLYPEYWKMYKCQLRKGGWQHSTEQANTNIRTGETLKFAAAHYNTEIL
+RSIDNEWRKTQCMPREVCIDVGKEFGAATNTFFKPPCVSVYRCGGCCNSEGQQCMNTSTS
+YLSKTLFEITVPLSQGPKPVTISFANHTSCRCMSKLDVYRQVHSIIRRSLPAALPQCQAA
+NKTCPADYIWNNHVCRCLAQHDFIFSPSAGDDSADGFHDICGPNKELDEETCQCVCKGGL
+QASSCGPHKELDRDSCQCVCKNKLFPSSCGANREFDENTCQCICKKTCPRNQPLNPGKCA
+CECTENPQKCFLKGKKFQHQTCSCYRRPCTNRVKHCEQGLSFSEEVCRCVPSYWKRPHVN
+>tr|A4IFJ4|A4IFJ4_BOVIN C5H12ORF53 protein OS=Bos taurus OX=9913 GN=PIANP PE=2 SV=1
+MESRMRPALLLSHLLSLWPLLLLPLPPPAQGSSSSPRTPPAPARPPCARGGPSAPRHVCV
+WERAPPPSRSPRVLRSRRQVLPGTAPPATPSGFEEGPPSSQYPWAIVWGPTVSREDGGDP
+NSANPGFLPLDYGFAAPHGLATPHPNSDSMRADGDGLILGEAPATLRPFLFGGRGEGVDP
+QLYVTITISIIIVLVATGIIFKFCWDRSQKRRRPSGQQGALRQEESQQPLTDLSPAGVTV
+LGAFGDSPTPTPDHEEPRGGPRPGMPQPKGAPAFQLNRIPLVNL
+>tr|A0A3Q1LM20|A0A3Q1LM20_BOVIN Histone H4 OS=Bos taurus OX=9913 GN=LOC112445649 PE=3 SV=1
+MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLK
+VFLENVIRDAVTYTEHAKRKTVTAMDVVYALKRQGRTLYGSTHLQFRQEPQGSCDFRLRS
+QGPCRLGTGESGLVLG
+>tr|G3MX01|G3MX01_BOVIN Forkhead box N3 OS=Bos taurus OX=9913 GN=FOXN3 PE=4 SV=2
+MGPVMPPSKKPESSGISVSSGLSQCYRGSGFSKALQEDDDLDFPLPDIRLEEGAMEDEEL
+TNLNWLHESKNLLKSFGDSVLRSVSPVQDPDDDPPPSPAHSDVPYDARQNPNCKPPYSFS
+CLIFMAIEDSPTKRLPVKDIYNWILEHFPYFANAPTGWKNSVRHNLSLNKCFKKVDKERS
+QSIGKGSLWCIDPEYRQNLIQALKKTPYHPHSNVFNTPPASPQAYQSTSGPPLWPGSTFF
+KRNGALLQVPPGVIQNGTRVLSRGLFPGVRPLPVTPIGMTAAVRNGLPGCRLRTESEPAC
+GSPVVSGDPKEDHTYSSAKAAAARSASPASDCASSSSADEPDEVAAKGGPEGSEGSCPSR
+ESHSEPEEDGRPRGPREAPGDGGPAHKKRPPAAKARKVPSDTLPLKKRRTEKPPESDDEE
+MKEAAGSLLHLAGIRSCLNNITNRTAKGQKEQKETAKN
+>tr|A5D7T1|A5D7T1_BOVIN PRICKLE1 protein OS=Bos taurus OX=9913 GN=PRICKLE1 PE=2 SV=1
+MPLEMEPKMSKLAFGCQRSSTSDDDSGCALEEYAWVPPGLRPEQIQLYFACLPEEKVPYV
+NSPGEKHRIKQLLYQLPPHDNEVRYCQTLSEEEKKELQVFSAQRKKEALGRGTIKLLSRA
+VMHAVCEQCGLKINGGEIAVFASRAGPGVCWHPSCFVCFTCSELLVDLIYFYQDGKIHCG
+RHHAELLKPRCSACDEIIFADECTEAEGRHWHMKHFCCLECETVLGGQRYIMKDGRPFCC
+GCFESLYAEYCETCGEHIGVDHAQMTYDGQHWHATEACFSCAQCKTSLLGCPFLPKQGQI
+YCSKTCSLGEDVHASDSSDSAFQSARSRDSRRSVRMGKSSRSADQCRQSLLLSPALNYKF
+PGLSGNADDTLSRKLDDLSLSRQGANFVSEEFWKGRVEHETPEDPEEWAEHEDYMTQLLL
+KFGDKSLFQQQPSEMDIRASEHWIADNMVKNKTELKQNNQSLASKKYQSDMYWAQSQDGL
+GDSAYGSHPGPASSRRLQELDLDHGASGYNHDQTQWYEDSLECLSDLKPEQSVRDSMDSL
+ALSNITGASVDGESKPRPSLYSLQNFEEIETEDCEKMSNMGTLNSSMLHRSTESLKSLSS
+ELCPEKVLPEEKPVHLPVLRRSKSQSRPQQVKFSDDVIDNGSYENIEIRQPPMSERTRRR
+VYHFEERGPRSHYHRRRRSRKSRSDNALNLVTERKYSPKDRLRLYTPDNYEKFIQNKSAR
+EIQAYIQNADLYGQYTHATSDYALQNPGGPRFLGLYGEDDDSWCSSSTSSSSDSEEEGYF
+LGQPIPQPRPQRYAYYTDDLSSPTSALPTPQFGQRTTKSKKKKGHKGKNCIIS
+>tr|Q1JQ90|Q1JQ90_BOVIN Glutathione S-transferase, alpha 3 OS=Bos taurus OX=9913 GN=GSTA3 PE=2 SV=1
+MAAKPKLWYFHGRGRMESIRWLLAAAGVEFEEEFLETREQYEKLQKDGRLLFGQVPLVEI
+DGMELTQTRAILSYLAAKYNLHGKDLKETVRIDMYADGTLDLMLMVALAAFKHPEEKEES
+LALAVKKAKTRYFPVFEKILKDHGEDFLVGNKFSWADIQLLEVILTMEELDASVLSDFPL
+LKEFKTRISNIPTIKKFLQPGSQRKPPPDSHYVEVVRNILQF
+>tr|A6QNS6|A6QNS6_BOVIN NID1 protein OS=Bos taurus OX=9913 GN=NID1 PE=2 SV=1
+MLATVRRSGAAWTRALLLQLLLAGPGGCLSRQELFPFGPEHGDLELEAGDDLVSPALELS
+TALHFFDRSDIDSVYVTTNGIIAMSEPPAKETHPGPFPPTFGAVAPFLADLDTTDGLGKV
+YYREDLSPSVTQLAAECVQRGFPEVSFKPSSAVVVTWESVAPYQGPSKDPTLEGKRNTFQ
+SILASSDSSTYAIFLYPEDGLQFYTTFSKKEENQVPAVVAFSQGLVGLIWKSDGAYNIFA
+NDKESIGNLAKSSNSGLQGIWVFEIGSPATASGVVPADVNLGLDDGTEYDDEDYDSVTRV
+GLEDAVTTSFPYEAPGRGDSGTYNTPSDLSPRRMATERPLTPPTEKTRSFQLPGERFPQQ
+QPQVIDVDEVEETEIVFRYNTDSRQTCANNRHQCSVHAECRDFATGFCCRCVAGYTGNGR
+QCVAEGSPQRVNGKVKGRIFVGDSQVPIVFENTDLHSYVVMNHGRSYTAISTIPETVGYS
+LLPLAPIGGIIGWMFAVEQDGFKNGFSITGGEFTRQAEVTFVGHRDKLIIKQQFSGIDEH
+GHLTIDTELEGRVPQIAFGSSVHIEPYTELYHYSRQVITSFSTREYTVTEPERHGTAPSH
+AHTYRWRQTITFRECLHDDSRPALPSTQQLSVDSVFVLYNQEERILRYALSNSIGPVRDG
+SPDALQNPCYIGSHGCDSNAACRPGPGTQFTCECSIGFRGDGRTCYDIDECSEQPSVCGN
+HAICNNHPGTFRCECVEGYQFSEAGTCVAAVGLRPVNHCETGLHDCDIPQRARCIYMGGS
+SYTCSCLPGFSGDGRACQDVDECQPSRCHPDAFCYNTPGSFTCRCKSGYQGDGFHCVPGV
+VEKTRCQHEREHILGTADSSRPRPPGLFVPECDEHGQYVPTQCHSSTGYCWCVDRDGREV
+QGTRTRSGMRPPCLSTVAPPVHYGPPVPTTVIPLPPGTHLLFAQTGKIERLPLEGSTMTK
+SEAKTLLHAPGKVIIGLAFDCVDKMVYWTDISQPSIGRASLHGGEPATIVRQDLGSPEGI
+ALDHLGRNIFWTDSQLDRIEVAKLDGTQRRVLFETDLVNPRGIVTDSVRGNLYWTDWNRD
+NPKIETSYMDGTNRRILVQDDLGLPNGLTFDAYSSQLCWVDAGAHRAECLKPGQSSRRKV
+LEGLQYPFAVTSFGKNLYYTDWKTNSVVAVDLAVSKETDSFHPHKQTRLYGITSALSQCP
+EGHNYCSVNNGGCTHLCLATPGSRTCRCPDNTLGVDCIERK
+>tr|Q2KIS0|Q2KIS0_BOVIN Methyl-CpG-binding domain protein 3-like 1 OS=Bos taurus OX=9913 GN=MBD3L1 PE=2 SV=1
+MVKTSQRKHCDCGKVSKPKPGLNISIPLRMSSYIFKRPVTRITSHPSNEVRCYPWEETLD
+NPQQLYWQKRLQGLQACSSAGELLSPLDLAKALQKFAPSCPGESLPGVHTGGPNSSPMAT
+PAWSSDLSQTVPGAGSGTPQVLCEQCLVTEEDIRNQERRVKTARERLAIAMVADRLAGEA
+EKVWGQEGCPGPML
+>tr|A0A3B0ITQ7|A0A3B0ITQ7_BOVIN Adiponectin G OS=Bos taurus OX=9913 GN=C1QTNF7 PE=4 SV=1
+MFVLLYVTSFAICASGQPRSNQFKGESYSPRYICSIPGLPGPPGPPGANGSPGPHGRIGL
+PGRDGRDGRKGEKGEKGAAGLRGKAGPLGLAGEKGDQGETGKKGPMGPEGEKGEVGPPGP
+PGPKGDRGEQGDPGLPGVCRCGSIVLKSAFSVGITTSYPEERLPIIFNKVLFNEGEHYNP
+ATGKFICAFPGIYYFSYDITLANKHLAIGLVHNGQYRIKTFDANTGNHDVASGSTVIYLQ
+PEDEVWLEIFFTDQNGLFSDPGWADSLFSGFLLYVDTDYLDSISEDDEL
+>tr|F1MLH7|F1MLH7_BOVIN Ring finger protein 214 OS=Bos taurus OX=9913 GN=RNF214 PE=4 SV=3
+MAASEVAGVVATAPNPPESSSSVCASKPDEGPPDGLSPKDPAQKHKNSPLSSVSNQTITK
+ENNRNAHLEHPEQNPGSSAGDTSAAHRAVLGENLIATALGLSGGGSQSDLKDVASTAGEE
+GDTCLQESLHPATRSLKAGCHTKQLASGNCPEEKAPQASILKEGSRDPGLEFPPVVPPAN
+GVEGVRVDQDDDQDSSSLKLSQNIAVQTDFKTTDSEVNTDQDIEKNLDKMMTERTLLKER
+YQEVLDKQRQVENQLQVQLKQLQQRREEEMKNHQEILKAIQDVTIKREETKKKIEKEKKE
+FLQKEQDLKAEIEKLCEKGRREVWEMELDRLKNQDGEINRNIMEETERAWKAEILSLESR
+KELLVLKLEEAEKEAELHLTYLKSTPPTLETVRSKQEWETRLNGVRIMKKNVRDQFNNHI
+QLVRNGAKLSSLPQIPTPTLPPPPSETDFMLQVFQPSPSLAPRMPFSIGQVTMPMVMPSA
+DPRSLSFPILNPALSQPNQPSPPLPGSHGRNSPSLGSLVGPHGPHVPPAASIPPPPGLGG
+VKASTETPRPQPVDKLEKILEKLLTRFPQCNKAQMTNILQQIKTARTTMAGLTMEELIQL
+VAARLAEHERVAASTQPLGRIRALYPAPLAQISTPMFLPSAQVSYPGRSSHAPATCKLCL
+MCQKLVQPSELHPMACTHVLHKECIKFWAQTNTNDTCPFCPTLK
+>tr|A6QP80|A6QP80_BOVIN KIAA0391 OS=Bos taurus OX=9913 GN=PRORP PE=2 SV=1
+MTFYFTGIRSFPKLWKSNSYFGLSSSHSYLSLFLKDCYGIRNQEKCFSVKTMSAQNTKAM
+NLLIAKARCVRKLDESSNKQVSADSSHMFAAGAAKKRSQMNPSSKDPVLPPVENIIQLPT
+KPLNSEEWDKLKEEFKEKANFENWIILQMVKCHSSVDVAKSVLAWVAAKNSGIVGYDLLV
+KYLYLCVFHKQTSEIIDVYEIMKARYKRLESGAYTLLMRGLIYTDRWREALLLLEDLKKV
+MIPSKKNYDDCIQGALFHQDVNVAWNLYQELLSHDIVPMLETLKAFFDFGKDMKDDQYSN
+KLHNILLYLRNNQLYPGESFAHSIKTWFESIPGEQWKGQFTTIQQSGQCLGCGKTVESIH
+LSSEEYEFLKAKIMRDVIDGGDQYKKTTPQIGSLW
+>tr|A8E660|A8E660_BOVIN NUDT7 protein OS=Bos taurus OX=9913 GN=NUDT7 PE=2 SV=1
+MSRPGPPQEPGRNSLIDDAKARLKKHDCGTEYSHCSSNKCSILLPLLAKDGKLYLLFTLR
+SEKLRRSPGEVCFPGGKCEPTDVDDVATALREAQEEVGLRPHQVEVVCCLMPLPFDKDMW
+ITPVVGFIDSNFEARPNPDEVKNVFLVPLEYFLRPRVYHQSHVTRCGRRVIVHCFEYTDP
+EDGVTYCIRGLTARCAVFIALVILGEKPSFEVDFNLSDLIPPSEESFLKPQKHALSKL
+>tr|A0A3Q1M8G3|A0A3Q1M8G3_BOVIN Scm like with four mbt domains 2 OS=Bos taurus OX=9913 GN=SFMBT2 PE=4 SV=1
+MEGSVSISSMQDPSSSPLEKHQSSADRNGDLDSEEGSSLEETGFNWGEYLEETGASAAPH
+TSFKHVEISIQSNFQPGMKLEVANKKNPDTYWVATVITTCGQLLLLRYCGYGEDRRADFW
+CDVVIADLHPVGWCTQNNKTLMPPDAIKEKYTDWTEFLIRDLTGSRTAPASLLEGPLRGK
+GPIDLITVDSLIELQDSQNPFQYWIVSVLENAGGRLRLRYVGLEDTESYDQWLFYLDYRL
+RPVGWCQENKYRMDPPSEIYPLKTDSEWKRALEKSLIDAAKFPLPMEVFKDHADLRSHFF
+TVGMKLETVNMSHICPASVTKVFNNHFFQVTIDDLRPEPSKLSMLCHADSLGILPVQWCL
+KNGVNLTPPKGYSGQDFDWADYHKQHGTEEAPPFCFRNTSFSRGFTKNMKLEAVNPRNPG
+ELCVASVIAVKGRLMWLRLEGLQSPAPEFIVDVESMDIFPVGWCEANSYPLTTPHKTASQ
+KKRKIAVVQPEKQSPSTVPVEKIPHDLCLFPHLDATGTVNGKYCCPQLFINHRCFSGPYL
+NKGRIAELPQSVGPGKCVLVLKEVLSMLINAAYKPGRVLRELQLVEDPHWNFQEETLKAK
+YRGKTYRAVAKIVRTSDQVADFCRRVCAKLECCPNLFSPVLVSENCPENCSIHTKTKYTY
+YYGKRRKISKPPIGESRVESGHPKPARRRKRRKSMFVQKKRRSSAVDLAAGSGEESEEED
+ADAMDDDSGSEETGSEIRDDQTDTSSAEVPSTRPRRAVTLRSSVEAERRAPVERTRRARR
+APATPQAEGAPQSPAASQEAAEDVKQEEEERLVLESNPLEWSVTDVVRFIKLTDCAPLAK
+IFQEQDIDGQALLLLTLPTVQECMELKLGPAIKLCHQIERVKVAFYAQYAN
+>tr|A5PJK3|A5PJK3_BOVIN HOXD10 protein OS=Bos taurus OX=9913 GN=HOXD10 PE=2 SV=1
+MSFPNSSPAANTFLVDSLISACRSDSFYSSSASMYMPPPSADMGTYGMQTCGLLPSLAKR
+EVNHQNMGMNVHPYIPQVDSWTDPNRSCRIEQPVTQQVPTCSFTTNIKEESNCCMYSDKR
+NKLISAEVPSYQRLVPESCPVENPEVPVPGYFRLSQTYATGKTQEYNNSPEGSSTVMLQL
+NPRGAAKPQLSAAQLQMEKKMNEPASGQEPTKVSQVESPEAKSGLPEERSCLAEVSVSSP
+EVQEKESKEEIKSDTPTSNWLTAKSGRKKRCPYTKHQTLELEKEFLFNMYLTRERRLEIS
+KSVNLTDRQVKIWFQNRRMKLKKMSRENRIRELTANLTFS
+>tr|B2NIZ2|B2NIZ2_BOVIN Anion exchange protein OS=Bos taurus OX=9913 GN=SLC4A4 PE=2 SV=1
+MEDEAVLDRGASFLKHVCDEEEVEGHHTIYIGVHVPKSYRRRRRHKRKTGHREKKEKERI
+SENYSDKSDVENADESSSSILKPLISPAAERIRFILGEEDDSPAPPQLFTELDELLAVDG
+QEMEWKETARWIKFEEKVEQGGERWSKPHVATLSLHSLFELRTCMEKGSIMLDREASSLP
+QLVEMIVDHQIETGLLKPDLKDKVTYTLLRKHRHQTKKSNLRSLADIGKTVSSASRMFTN
+PDNGSPAMTHRNLTSSSLNDISDKPEKDQLKNKFMKKLPRDAEASNVLVGEVDFLDSPFI
+AFVRLQQAVMLGALTEVPVPTRFLFILLGPKGKAKSYHEIGRAIATLMSDEVFHDIAYKA
+KDRQDLIAGIDEFLDEVIVLPPGEWDPAIRIEPPKSLPSSDKRKNMYSGGENVQMNGDTP
+HDGGHGGGGHADCEELQRTGRFCGGLIKDIKRKAPFFASDFYDALNIQALSAILFIYLAT
+VTNAITFGGLLGDATDNMQGVLESFLGTAVSGAIFCLFAGQPLTILSSTGPVLVFERLLF
+NFSKDHNFDYLEFRLWIGLWSAFLCLILVATDASFLVQYFTRFTEEGFSSLISFIFIYDA
+FKKMIKLADYYPINSNFKVGYNTQFSCVCMPPDPVNISVSNDTTLAPEDLPTISSSNMYH
+NATFDWAFLTTKECLKYGGKLVGNNCGFVPDITLMSFILFLGTYTSSMALKKFKTSPYFP
+TTARKLISDFAIILSILIFCVIDALVGVDTPKLIVPSEFKPTSPNRGWFVAPFGGNPWWV
+YLAAAIPALLVTILIFMDQQITAVIVNRKEHKLKKGAGYHLDLFWVAILMVVCSFMALPW
+YVAATVISIAHIDSLKMETETSAPGEQPKFLGVREQRVTGTLVFILTGLSVFMAPILKFI
+PMPVLYGVFLYMGVASLNGVQFMDRLKLLLMPLKHQPDFIYLRHVPLRRVHLFTFLQVLC
+LALLWILKSTVAAIIFPVMILALVAVRKGMDYLFSQHDLSFLDDVIPEKDKKKKEDEKKK
+KKKKGSLDSDNDDSDCPYSEKVPSIKIPMDIMEQQPFLSDSKPSDRERSPTFLERHTSC
+>tr|A0A3Q1LVJ9|A0A3Q1LVJ9_BOVIN Peptidyl-tRNA hydrolase 1 homolog OS=Bos taurus OX=9913 GN=PTRH1 PE=4 SV=1
+MLWTIAPQAPLSMGFSRLEYWSGLPCSSPGAFFPTQGLNPRLLCLLHWQAGSLPPSGVNC
+GLSSWEPRVRTTFLGRSVVTGATVSLGQVELFGLTAEEVYLVHDELDKPLGKVALKLGGS
+ARGHNGVRSCMSCLNSNVSLPLHPALSRVGWHRAPTTPSHQSSLGPLWFPHRQCHGCRWA
+SGGRPTGTQCRPMCWAASPLLSRSCCLQCWSVLWTCSWATSTSGAGSLHQAPEAGGLGCL
+PDCHARAHPPTHRGATPACGVFFFF
+>tr|A6H6X2|A6H6X2_BOVIN ANG2 protein OS=Bos taurus OX=9913 GN=ANG2 PE=2 SV=1
+MVMVLSPLFLVFMLDLGLTPQTLAQNDAYRGFLRKHYDPSPTGHDDRYCNTMMERRNMTR
+PCKDTNTFIHGNSDDIRAVCDDRNGEPYRNGLRRSRSPFQVTTCRHRGGSPRPPCRYRAF
+RANRVIVIRCRDGFPIHLEENFIPPRP
+>tr|Q1LZD6|Q1LZD6_BOVIN ATP binding cassette subfamily C member 5 OS=Bos taurus OX=9913 GN=ABCC5 PE=2 SV=1
+MKDIDIGKEYIIPSPGYRNVRERTSNSGQHRDREDSKYKRTQPLDCQDALETAARAEGLS
+LDPSMHSQLRILDEEHPKGKYHHSLSVLKPIRTTSKHQHPVDNAGLFSCMTFSWLSPLAR
+KAHKKGELLMEDVWSLSKYESSEVNCRRLERLWQEELNEVGPDAASLRRVVWTFCRTRLI
+LSIVCLMITQLAGFSGPNFQDGCILRSE
+>tr|A4IFF0|A4IFF0_BOVIN GPR21 protein OS=Bos taurus OX=9913 GN=GPR21 PE=2 SV=1
+MNSTLDGNQSSHSFCLLAFGYLETVNFCLLEVLIIVFLTVLIISGNIIVIFVFHCAPLLN
+HHTTSYFIQTMAYADLLVGVSCLVPSLSLLHHPLPVEESLTCQVFGFVVSVLKSVSMASL
+ACISIDRYIAITKPLTYNTLVTPWRLRLCIFLIWLYSTLVFLPSFFHWGKPGYHGDVFQW
+CAESWHTDPYFTLFIVMMLYAPAALIVCFTYFNIFRICQQHTKEINERQARFSSQSGETG
+EVQACSDKRYAMVLFRITSVFYILWLPYIIYFLLESSTGHSNRFASFLTTWLAISNSFCN
+CVIYSLSNSVFQRGLKRLSGAMCTSCASQMVAKDPYTVRSKGPLNGFHV
+>tr|Q1RMU9|Q1RMU9_BOVIN FIG4 homolog (S. cerevisiae) OS=Bos taurus OX=9913 GN=FIG4 PE=2 SV=1
+MPAAAAPIISSVQKLVLYETRARYFLVGSNHAETKYRVLKIDRTEPKDLVIIDDRHVYTQ
+QEVRELLGRLDLGNRTKMGQKGSSGLFRAVSAFGVVGFVRFLEGYYIVLITKRRKMADIG
+GHAVYKIEDTNMIYIPNDSVRISHPDEARYLRIFQNVDLSSNFYFSYSYDLSHSLQYNLT
+VLRMPLEMLKSETTQTRQESFDIFEDEGLITQGGNGVFGISSEPYMKYVWNGELLDIIKN
+TVHRDWLLYIIHGFCGQSKLLIYGRPVYVTLIARRSSKFAGTRFLKRGANCEGDVANEVE
+TEQMLCDASVMSFTAGSYSSYVQVRGSVPLYWSQDISTMMPKPPITLDQADPFAHVAALH
+FDQMLQRFGSPIIVLNLVKEREKRKHERILSEELVAAVTYLNQFLPPEHTIIYIPWDMAK
+YTKSKLCNVLDRLNVIAESVVKKTGFFVNRPDSYCSILRPDEKWNELGGCVIPTGRLQTG
+VLRTNCVDCLDRTNTAQFMVGKCALAYQLYSLGLIDKPNLQFDTDAVRLFEELYEDHGDT
+LSLQYGGSQLVHRVKTYRKIAPWTQHSKDIMQTLSRYYSNAFSDADRQDSINLFLGVFHP
+TEGKPHLWELPTDFYLHHKNTMRLLPTRRSYTYWWTPEVIKHLPLPYDEVICAANLKKLV
+VKKFHKYEEEIDIHNEFFRPYELSSFDDTFCLAMTSSARDFMPKTVGIDPSPFTVRKPDE
+TGKSVLGNKSNREEAVLQRKTAASAPPPPSEEAVSSSSEDDSGTDREEEGSVSQRSTPVK
+MTDLGDSAKVTENVVQPMKEVYGINLSDGLSEEDLSIYLRFVQLGQSQHKQDKSSQQFCS
+RCPDGVIKLTPISAFSQDNIYEVQPPKVDRKSTEIFHAHIQASQGIMQPLGKEDTSMYRE
+YIRNRYL
+>tr|Q29RL6|Q29RL6_BOVIN Vesicle amine transport 1 like OS=Bos taurus OX=9913 GN=VAT1L PE=2 SV=1
+MAKEGVEKAEETEQMIEKEAGKEPAEGGGGGGSHRLGDAQEMRAVVLAGFGGLNKLRVTR
+KAMPEPQDGELKIRVKACGLNFIDLMVRQGNIDTPPKTPLVPGFECSGIVEALGDSVKGF
+EIGDRVMAFVNYSAWAEVVCTPVEFVYKIPEDMSFSEAAAFPMNFVTAYMMLFEVANLRE
+GMSVLIHSAGGGVGQAVAQLCSTVPNVTVFGTASTFKHEAIKDSVTHLFDRNADYVQEVK
+RISAEGVDIVLDCLCGDNTGKGLSLLKPLGTYILYGSSNMVTGETKSFFSFAKSWWQVEK
+VNPIKLYEENKVIAGFSLLNLLFKQGRAGLIRGVVDKLIALYNQKKIKPVVDSLWALEEV
+KEAMQRIHDRGNIGKLILDVEKTPTPLMANDSTETSEAGEEEEDHEGDSENKERMPFIQ
+>tr|A0A3Q1MYU9|A0A3Q1MYU9_BOVIN Sperm acrosome associated 9 OS=Bos taurus OX=9913 GN=SPACA9 PE=4 SV=1
+MMNEVKESLRSVEQKYKIFQQQQFTFIGALEHCRENAHDKIRPISSIGQVQSYMEHHCSN
+STDRRILLMFLDICSELSKLCQHFEALHAGTPVTNNLLEKCKTLVSQSNDLSSLRAKYPH
+DVVNHLSCDEARNHYGGVVSLIPIILDLMKEWVAHSEKLPRKALQQVSEPQAATRATAHA
+PQASGTQPQLRKQNCGQLIQNIPKPGGKDQGSSKPPWRPPGGKL
+>tr|Q1LZA9|Q1LZA9_BOVIN Tripartite motif-containing 27 OS=Bos taurus OX=9913 GN=TRIM27 PE=2 SV=1
+MASGSVAECLQQETTCPVCLQYFVEPMMLDCGHNICCACLARCWGAAETNVSCPQCRETF
+PQRHMRPNRHLANVTQLVKQLRTERPSGPGGEMGVCEKHREPLKLYCEEDQMPICVVCDR
+SREHRGHSVLPLEEAVEGFKEQIQNQLDHLKRVKDLKKRRRAQGEQARAELLSLTQMERE
+KIVWEFEQLYHSLKEHEYRLLARLEELDLAIYNSINGAITQFSCNISHLSNLIAQLEEKQ
+QQPTRELLQDIGDTLSRAERIRIPEPWITPPDLQEKIHIFAQKCLFLTESLKQFTEKMQS
+DMEKIQELREAQLYSVDVTLDPDTAYPSLILSDNLRQVRYSYLQQDLPDNPERFNLFPCV
+LGSPCFIAGRHYWEVEVGDKAKWTIGVCEDSVCRKGGVTSAPQNGFWAVSLWYGKEYWAL
+TSPMTALPLRTPLQRVGIFLDYDAGEVSFYNVTERCHTFTFSHATFCGPVRPYFSLSYSG
+GKSAAPLIICPMSGIDGFSGHVGNHGHSMETSP
+>tr|Q2ABC5|Q2ABC5_BOVIN Taste receptor type 2 OS=Bos taurus OX=9913 GN=TAS2R1 PE=3 SV=1
+MLESHLVSHLVLAVVHLLLGILVNGIIVIVNGTDFIKQRKLIPLDLLVSCLAISRMGIQL
+AFFYTNLALLSLIKFPQFTETLVVFTFVNDLGLWFATWLSVYYCTKIATIAHPLSFWLKM
+KISKLVPWLILVSLLYACSTSAMHVKYKWVFYGEDFLGLFFPNVTTHIKVTPTLQFAFLF
+AEFALPLFIFLISSLPLIFSLGRHAWQVRNTWTGPRNPHTRAYIRAFLSILSFLALYLCH
+YLIIALIFFQIFNLRSFLFLFCTFVVGSYHSVHSITLILGNPKMKQNAKALLLLRK
+>tr|A4IFP7|A4IFP7_BOVIN ADP ribosylation factor 5 OS=Bos taurus OX=9913 GN=ARF5 PE=2 SV=1
+MGLTVSALFSRIFGKKQMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKN
+ICFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVQESADELQKMLQEDELRDAV
+LLVFANKQDMPNAMPVSELTDKLGLQHLRSRTWYVQATCATQGTGLYDGLDWLSHELSKR
+>tr|Q17QC1|Q17QC1_BOVIN LRRC57 protein OS=Bos taurus OX=9913 GN=LRRC57 PE=2 SV=1
+MGNSALRAHVETAQKTGVFQLKDRGLTEFPSELQKLTSNLRTIDLSNNKIENLPPMIIGK
+FTLLKSLSLNNNKLTALPDELCNLKKLETLSLNNNQLRELPSTFGQLSALKTLSLSGNQL
+RALPPQLCSLRHLDVVDLSKNQIRSILDTVGELQVIELNLNQNQISQISVKISSCPRLKV
+LRLEENCLELSMLPQSILSDSQICLLAVEGNLFEIKKLRELEGYDKYMERFTATKKKFA
+>tr|Q3SX08|Q3SX08_BOVIN EMD protein OS=Bos taurus OX=9913 GN=EMD PE=1 SV=1
+MDDYAALSDSELAAVLRQYNIPHGPVVGSTRKLYEKKIFEYESQRRRLSPPSSSASSFSY
+RFSDLDSASVDSDMYDLPKKEDALLYQSKGYNDDYYEESYLTTRTYGEPESMGTSKGFRQ
+PSASLSDADPFHRQVRDDNLFSLEEEGKDRERLLYGQDSAYQNIAHYRPISNISRSSLGL
+SYYPTSSSTSSVSSSSSSAPSWLTRRAIRPEKQAPGAAGLGQDRQVPLWGQVLLFLVFAA
+FLLFVYYFMQAEDGNPFWAEP
+>tr|A0A3S5ZP81|A0A3S5ZP81_BOVIN CD80 molecule OS=Bos taurus OX=9913 GN=CD80 PE=4 SV=1
+MGHTMKWGTLPPKRPCLWLSQLLVLTGLFYFCSGITPKSVTKRVKETVMLSCDYNTSTEE
+LTSLRIYWQKDSKMVLAILPGKVQVWPEYKNRTITDMNDNPRIVILALRLSDSGTYTCVI
+QKPDLKGAYKLEHLTSVRLMIRADFPVPTINDLGNPSPNIRRLICSTSGGFPRPHLYWLE
+NGEELNATNTTLSQDPETKLYMISSELDFNMTSNHSFLCLVKYGDLTVSQTFYWQESKPT
+PSANQHLTWTIIIPVSAFGISVIIAVILTCLTCRNAAIRRQRRENEVEMQSCSQSP
+>tr|Q2KJ55|Q2KJ55_BOVIN Rho GTPase activating protein 25 OS=Bos taurus OX=9913 GN=ARHGAP25 PE=2 SV=1
+MSLKLPRNWDFNLRGEAGKIARSRSVMTGEQMAAFHPASTPNPLERPIKMGWLKKQRSIV
+RNWQQRYFVLRAQQLYYYKDEEDVKPQGCMYLPGSTIKEIATNPEEAGKFVFEVIPASCD
+QSRTGQDSYVLMASSQAEMEEWVKFLRRVSGTPSGAVFGQRLDETVAYEQKFGPHLVPIL
+VEKCAEFILEHGLNEEGIFRLPGQDNLVKQLRDAFDAGERPSFDRDTDVHTVASLLKLYL
+RDLPEPVVPWSQYDGFLLCGQLMNSDEAKAQQELIKQLSILPRENYSLLSYICRFLHEIQ
+LNCGVNKMSVDNLATVIGVNLIRSKVEDPAVIMRGTPQIQRVMTMMIRDHEVLFPKSKDA
+PLSPPAPQNDPKKPPVARSSVGWDATEDPPISRTDSASDSDATSPTGQQPNDGCLEDKAS
+RDKPGDWKPQSRKRTQTLPNRKCFLTSALQGANSCKTEIFKNEFWSSSSEAKAGDGHRRT
+MSQGVPQLFDSQRTSTYDNVPTQPGSPGDKASTLSPQACDSKRDALASLNSETGPGKKIS
+GEEELESLQRMVQELRKEIETQKQMYEEQIENLEKENYDVWAKVVRLNEELENEKKKSAA
+LEISLRNVERCREDVEKRNKVLEEEVKEFVKSIKEPKTDA
+>tr|U3Q123|U3Q123_BOVIN Erythrocyte membrane protein band 4.1 like 2 OS=Bos taurus OX=9913 GN=EPB41L2 PE=2 SV=1
+MTTEVGSASEVKKESDQLGADATKEKPKEVAENQQNQTSDPEEEKGSQSSPPAESQSSPR
+RQKKEKDPSESRGISRFIPPWLKKQKSYTLVAAKDGGDKKEPAQPVVGEQVLDKEESLPE
+EERRAKGDAEETAQRKQQEIKVDVKEEKPLQLVEEVSKEREEEKVKEIQEAEFMDEAAKR
+ETKEVQTNELRAEKASLKAPKKTKTVQCKVTLLDGSEYSCDLEKRAKGQVLFDKVCEHLN
+LLEKDYFGLVFQENPEQKNWLDPAKEIKRQLRNLPWLFSFNVKFYPPDPSQLTEDITRYF
+LCLQLRQDIASGRLPCSFVTHALLGSYTLQAELGDYDPEEHASHDLSDFQFAPTQTKELE
+EKVAELHKTHRGLSPAQADSQFLENAKRLSMYGVDLHHAKDSEGVDIKLGVCANGLLIYK
+DRLRINRFAWPKILKISYKRSNFYIKVRPAELEQFESTIGFKLPNHRAAKRLWKVCVEHH
+TFYRLVSPEQPPKAKFLTLGSKFRYSGRTQAQTRQASSLIDRPAPHFERTSSKRVSRSLD
+GAPIDAVDQSLMKDFPGPAGEVSAPGPGVVSTAVVQDGDGRRDLRSPAKVPHMPLIEGKP
+PVVKTEMVTISDASQRTEISTKEVPIVQTETKTITYESPQIDGGAGGDSGTLLTAQTITS
+ESVSTTTTTHITKTVKGGISETRIEKRIVITGDADIDHDQALAQAIREAREQHPDMSVTR
+VVVHKETELEEGEE
+>tr|Q3ZBE4|Q3ZBE4_BOVIN RAB34, member RAS oncogene family OS=Bos taurus OX=9913 GN=RAB34 PE=2 SV=1
+MNILAPVRRDRVLTKLPQCLRKEAALHVHKDFQPRVTCACQEHRTGTVGFKISKVIVVGD
+LSVGKTCLINRFCKDTFDKNYKATIGVDFEMERFEVLGVPFSLQLWDTAGQERFKCIAST
+YYRGAQAIIIVFNLNDVASLEHTKQWLADALKENDPSSVLLFLVGSKKDLSVSVPVVGED
+FTSRQGKPHAQHLTLTFLQTPAQYILMEKDALKVAQEMKAEYWAVSSLTGESEAPGLPAC
+LASHSYTSSCAPRPGTTLELLSAPCVR
+>tr|G3N184|G3N184_BOVIN NudE neurodevelopment protein 1 like 1 OS=Bos taurus OX=9913 GN=NDEL1 PE=4 SV=2
+MDGEDIPDFSSLKEETAYWKELSLKYKQSFQEARDELVEFQEGSRELEAELEAQLVQAEQ
+RNRDLQADNQRLKYEVEALKEKLEHQYAQSYKQVSVLEDDLSQTRAIKEQLHKYVRELEQ
+ANDDLERAKRATIVSLEDFEQRLNQAIERNAFLESELDEKESLLVSVQRLKDEARDLRQE
+LAVRERQQEVTRKSAPSSPTLDCEKMDSAVQASLSLPATPVGKGTENNFPSPKAIPNGFG
+TSPLTPSARISALNIVGDLLRKVGALESKLAACRNFAKDQASRKSYISGNVNCGVMNSNG
+TKFSRSGHTSFFDKGAVNGFDPAPPPPGLGSSRPSSAPGMLPLSV
+>tr|A6QQY6|A6QQY6_BOVIN LHX5 protein OS=Bos taurus OX=9913 GN=LHX5 PE=2 SV=1
+MMVHCAGCERPILDRFLLNVLDRAWHIKCVQCCECKTNLSEKCFSREGKLYCKNDFFRRF
+GTKCAGCAQGISPSDLVRKARSKVFHLNCFTCMVCNKQLSTGEELYVIDENKFVCKDDYL
+SSSSLKEGSLNSVSSCTDRSLSPDLQDPLQDDPKETDNSTSSDKETANNENEEQNSGTKR
+RGPRTTIKAKQLETLKAAFAATPKPTRHIREQLAQETGLNMRVIQVWFQNRRSKERRMKQ
+LSALGARRHAFFRSPRRMRPLGGRLDESEMLGSTPYTYYGDYQGDYYAPGGNYDFFAHGP
+PSQAQSPADSSFLAASGPGSTPLGALEPPIAGPHAADNPRFTDMISHPDTPSPEPGLPGS
+LHPMPGEVFSGGPSPPFPMSGTSGYSGPLSHPNPELNEAAVW
+>tr|Q3T159|Q3T159_BOVIN UBE2A protein OS=Bos taurus OX=9913 GN=UBE2A PE=2 SV=1
+MSTPARRRLMRDFKRLQEDPPAGVSGAPSENNIMVWNAVIFGPEGTPFEDGTFKLTIEFT
+EEYPNKPPTVRFVSKMFHPNVYADGSICLDILQNRWSPTYDVSSILTSIQIPWVKSLLGI
+VNF
+>tr|Q32PJ4|Q32PJ4_BOVIN Promyelocytic leukemia zinc finger protein OS=Bos taurus OX=9913 GN=ZBTB16 PE=2 SV=1
+MDLTKMGMIQLQNPSHPTGLLCKANQMRLAGTLCDVVIMVDSQEFHAHRTVLACTSKMFE
+ILFHRNSQHYTLDFLSPKTFQQILEYAYTATLQAKAEDLDDLLYAAEILEIEYLEEQCLK
+ILETIQASDDNDTEATMAEGGAEEEEDRKARYLKNIFISKHSSEESGYASVAAQSLPGPM
+VDQSPSVSTSFGLSAMSPTKAAVDSLMTIGQSLLQGALQPPAGPEEPTLAGGGRHPAVAE
+VKPEMMQVDEVPGQESPGTVESSISAGMGDKVEERGKEGPGTPTRSSVITSARELHYGRE
+ESTEQLPPPVEAGQGPPGRLEPPAHPAEKHLGLYSVLPNHKADAVLSMPSSVTSGLHVQP
+ALAVSMDFSTYGGLLPQGFIQRELFSKLGELAVGMKAESRSVGEQCSVCGVELPDNEAVE
+QHRKLHSGMKTYGCELCGKRFLDSLRLRMHLLAHSAGAKAFVCDQCGAQFSKEEALETHR
+QTHTGTDMAVFCLLCGKRFQAQSALQQHMEVHAGVRSYICSECNRTFPSHTALKRHLRSH
+TGDHPYECEFCGSCFRDESTLKSHKRIHTGEKPYECNGCGKKFSLKHQLETHYRVHTGEK
+PFECKLCHQRSRDYSAMIKHLRTHNGASPYQCTICSEYCPSLSSMQKHMKGHKPEEIPPD
+WRIEKTYLYLCYV
+>tr|A7MB32|A7MB32_BOVIN Non-specific serine/threonine protein kinase OS=Bos taurus OX=9913 GN=STK38L PE=2 SV=1
+MAMTAGSTTTFPMSNHTRERVTVAKLTLENFYSNLILQHEERETRQKKLEVAMEEEGLAD
+EEKKLRRSQHARKETEFLRLKRTRLGLDDFESLKVIGRGAFGEVRLVQKKDTGHIYAMKI
+LRKADMLEKEQVAHIRAERDILVEADGAWVVKMFYSFQDKRNLYLIMEFLPGGDMMTLLM
+KKDTLTEEETQFYISETVLAIDAIHQLGFIHRDIKPDNLLLDAKGHVKLSDFGLCTGLKK
+AHRTEFYRNLTHNPPSDFSFQNMNSKRKAETWKKNRRQLAYSTVGTPDYIAPEVFMQTGY
+NKLCDWWSLGVIMYEMLIGYPPFCSETPQETYRKVMNWKETLVFPPEVPISEKAKDLILR
+FCIDSENRIGNSGVEEIKSHPFFEGVDWGHIRERPAAIPIEIKSIDDTSNFDDFPESDIL
+QPVPNTTEPDYKSKDWVFLNYTYKRFEGLTQRGSIPTYMKAGKL
+>tr|Q2TBW3|Q2TBW3_BOVIN Small nuclear RNA activating complex, polypeptide 3, 50kDa OS=Bos taurus OX=9913 GN=SNAPC3 PE=2 SV=1
+MAEDSRGDPACGGMDGRKDPVPSSGGFNFPEYEFPELNTQAFHVAAFGELWRGRLRGEED
+LSLKDLPGGREVTDSGCEDAAVARDLGCSLETAAELRAVCGLDKLRCLEEGEDPEVIPEN
+TDLVTLGVRKRLLKHREETLRIDRACRQETFAYEMESHAVGKKPENSADMIEEGELILSV
+NIFYPVIFHKHREHKPYQTMLVLGSQKLTELRDSICCVSDLQIGGEFSNTPDQAPENISK
+DLYKSAFFYFEGTFYNDKRYPECRDLSRTIIEWSESHDRGYGKFQTAKMEDFTFNDLYIK
+VGFPYLYCHQGDCEHVVVITDIRLVHHDDCLDKTLYPLIIKKHWLWTRKCFVCKMFTARW
+VTNNDSFAPQDPCFFCDVCFRMLHYDAEGNKLGEFLAYPYVDPGTFN
+>tr|A0A3Q1N633|A0A3Q1N633_BOVIN Transmembrane protein 108 OS=Bos taurus OX=9913 GN=TMEM108 PE=4 SV=1
+MKRSLQALYCQLLSFLLTLALTEALVFAAQEPSPRESLQVSPSGTIPGTMVTASLSSTRH
+SSMVATPASVVTPTPHPDGPSSQATAPMATTTPHLDGHPPTNTISTIMATASTPHSEGSL
+STGPLPAAMATTSSHSEGRAPGETAPTILLTKPGEATSRPPTAPSRATTRRPPRPPGSSR
+KGAGSSPRPIPAAPSGHAGRKDGQRGRNQSSTHLGQKRPLGKIFQIYKGNFTGSVEPDPS
+TFTPRNPLWGYSSSPQPQTVAATSAPSRTSWVPPTTPLVPVEDKPSLSRADQGGGSTFTS
+QGGEPDATAASGTPASQQRAPVPSQRPHGDPQDGSSHSDSWLTVTPGTSRPPSTNSGVFA
+ATTGPIQAAFDASVSVPSEGLPQGTSLAPQAPAHPTWASESTVSQAEEKAVATPTPTMMG
+RVPSPLSTVVSTATGNFLNRLVPAGTWKPGTAGNISHVAEGDKPQHRATICLSKMDIAWV
+ILAISVPISSCSVLLTVCCLRRKKKPANPENSLSYWNNAITMDYFSKHAVELPREIQSLE
+TSEDQLSEPRSPANGDYRDTGMVLVNPFCQETLFVGNDQVSEI
+>tr|F1N5F3|F1N5F3_BOVIN Histone H2B OS=Bos taurus OX=9913 GN=LOC101904455 PE=3 SV=3
+MSAEHGQLQQSGGRRGRSPGDKKSRRRSRRKETYSMYIYKVLKQVHPDIGISSKAMSIMN
+SFVNDLFERLAGEAARLAQYSGRTTLTSREVQTAVRLLLPGELAKHAVSEGTKAVTKYTS
+SK
+>tr|A2VDU9|A2VDU9_BOVIN Melanophilin OS=Bos taurus OX=9913 GN=MLPH PE=2 SV=1
+MGKTLDLSKLTDEEAKHVWEVVQRDFNLRRKEEERLEGLKGKIKKENSKRELLSDTAHLN
+NTHCARCLQPYRLLETPKRQCLDCHLFTCRGCGHPHPEEQGWLCDPCHLARVMKMGSLEW
+YYSHVRARFKHFGSAQVVRSLCGRLQGAGLPDGVRSAPDVHSGPEQMPGHPSGEEEQTDE
+DGEGDTAAQAQPLGSQKKRLLPIHGWDFEADSDDSDPFCGHPPSLSSVSGATDGLQALTD
+DPCAEETTSQEAGILDQADARAPGCHPRPEEQRISLSPAGPDELSELCLPGESCTAGPGV
+AATPGTNILRNEQLPSQYLADVDTSDEESIWIQRVASHHPRQKGWTASESQHPGGDEPTD
+ADAEEAALKRKLEELTSHISDQGASSAEEEEGMDAGAEMGRSKTVEDHPGATREVRTAAG
+QTPSRGKDPLSPGDPEQPSRTTEAELAELEGRVAATTCEVRRTESQVSNIKSRIAALQAA
+GLTVRPSGTPQRKSNLPIFLPRLVRKSGQSLKDPNADPLDEAEVEAVPCLVRRELSNYPK
+SQDKDDDSFSRKSMYRGSLTQRNPNGRKRATNHSFAKPVMTHQP
+>tr|A0A3Q1LXS2|A0A3Q1LXS2_BOVIN Cilia and flagella associated protein 161 OS=Bos taurus OX=9913 GN=CFAP161 PE=4 SV=1
+MKDFLAKRDKGQLLIQRNRRLKENLLRPMQLSVSEDGYIHYGDKVMLVSPDHPETEADLF
+LPGDLSLCMTPDEIKAHLSNELEVPCGLSAAQTKIPVGRNTFTILCAAGEVIGQVLRYGQ
+NFRLGITGGFDDRMLYLSSDHRTLLKSSKRSWLQEVFLTHEDSYLNCWQAAFPHPQLRLE
+YEGSPVPANTKILITHCHTNRGLVAHRHLFLRTYFGQEAEVAAHTYLDSHRVEKPKNHWM
+LVTGAPRKDLSTMLDLPKPPAEDTRALEQEREQVSDPGARSTPDARGCVPQCTLPM
+>tr|Q05B82|Q05B82_BOVIN TEA domain transcription factor 2 OS=Bos taurus OX=9913 GN=TEAD2 PE=2 SV=1
+MGEPRAGAPLDDGSGWTGSEEGSEEGTGGSEGAGGDGGPDAEGVWSPDIEQSFQEALAIY
+PPCGRRKIILSDEGKMYGRNELIARYIKLRTGKTRTRKQVSSHIQVLARRKSREIQSKLK
+ALNVDQVSKDKAFQTMATMSSAQLISAPSLQAKLGPAGPQTPELFQFWSGSSGPPWNVPD
+VKPFSQTPFSLSLTPPSTDLPGYEPPQALSPPALPPPAPSPPAWQARALGTARLQLVEFS
+AFVEPPDATDSYQRHLFVHISQHCPSPGAPPLESVDVRQIYDKFPEKKGGLRELYDRGPP
+HAFFLVKFWADLNWGPSGEEVGAGGSSGGFYGVSSQYESLEHMTLTCSSKVCSFGKQVVE
+KVETERAQLEDGRFVYRLLRSPMCEYLVNFLHKLRQLPERYMMNSVLENFTILQVVTNRD
+TQELLLCTAYVFEVSTSERGAQHHIYRLVRD
+>tr|Q3ZBV5|Q3ZBV5_BOVIN Amyloid beta (A4) protein-binding, family A, member 3 OS=Bos taurus OX=9913 GN=APBA3 PE=2 SV=1
+MEFLTVSQPPPGPPAMDLEEPRDTLLPSQDLTRDCQWDPTPGGSSSLSQMELDGLNIQDL
+VQQFEALPGDLVGLSPDGPPCPLHIATGHGLAPRDMADAHGLLSAEADREDLLNLLQQDE
+GPPSLPGSQKPPDPAPRLLQPPEDPEEDTGPQEWTEGASAEQDEDRSSNSSPEPWLETSP
+LVTPEEPPASVQRPKTLASYPALQEVSGPCDHEDLLDGVIFGAKYLGSTQLVSERNPPPS
+TRMAQAQEAMDRVKAPDGESQPMTEVDLFVSTKRVKVLMTDSQEAMMDHALQTISYIADI
+GSTLVLMARRRLAQRPAAQPHSRRLYKMICHVFQSEDAQLIAQAIGQAFAVAYSQFLRES
+GIDPSQVGTQQSQGAMGPGHLHNGDLDHFSNSENCREVFIEKRRGEGLGVALVESGWGSL
+LPTAVIANLLHGGPAERSGALSIGDRITAINGTSLVGLPLAACQAAVREVKSQTLVTLSI
+VHCPPVTTAIIRRPHVREQLGFCVEDGIICSLLRGGIAERGGVRVGHRIIEINGHSVVAT
+PHARIIELLTEAHIEVHIKTMPAATYRLLTGQEQPVYL
+>tr|F1MJY8|F1MJY8_BOVIN Interferon-gamma-inducible GTPase IFGGE protein OS=Bos taurus OX=9913 GN=IRGC PE=4 SV=1
+MATSKLPAVSGEEETTILMAKEELEALRTAFESGDIPQAASRLRELLASSDCTRLEVGVT
+GESGAGKSSLINALRGLGAEDPDAALTGVVETTIEPSPYPHPQFPDVTLWDLPGAGSPGC
+SADKYLKQVDFGRYDFFLLVSPRRCGAVETRLASEILRQGKKFYFVRTKVDEDLAATRMQ
+RPSGFSEGAVLHEIREHCVERLRGAGVHDPRVFLVSNLSPARYDFPLLMSTWERDLPAHR
+RHAGLLSLPDISLEALQKKKDMLQEQVLKTALVSGVIQALPVPGLAAAYDDALLIRSLRG
+YHRSFGLDDDSLAKLAEQVGKQAGDLRSVIRSPLANEVSPETVLRLYSQSSDGAMRVARA
+FEKGIPVFGTLVAGGISFGTVYTMLQGCLNEMAEDAQRVRIKALEEEEDTQPDVSLEAAG
+DNGVEKRGSGEGSMEEAPLSTRRKLGLLLKYILDSWKKRDLAEDK
+>tr|A5PJH7|A5PJH7_BOVIN LOC788112 protein OS=Bos taurus OX=9913 GN=LOC788112 PE=1 SV=1
+MAGAWKALVLVAGLAAVACVAQRGLSYEEIVTQALKFFNQGRRGQRIFGLLESTPPPPDL
+NSTTIPLNFRIKETVCFLLWYRRRPRQCPFREGGEERNCTGSFFMLRQLRLLSLNCVPDR
+ELEPEPRRRERRSAGSAGEDPPELDSSNLPPAVRDMYERAKYDIISNILRNF
+>tr|A5PK25|A5PK25_BOVIN REV1 protein OS=Bos taurus OX=9913 GN=REV1 PE=2 SV=1
+MRRGGWRKRAEDDWEKWGGYMAAKVQKLEQQFRSDAAIQKDGTSSTIFSGVAIYVNGYTD
+PSAEELRKLMMLHGGQYHVYYSRSKTTHIIATNLPNAKIKELKGEKVIRPEWIVESIKAG
+RLLSCIPYQLYSKPSSVQKSLNFNPVCKPEDPLPGPSSITKQLNDRVNHIIKKIETESEV
+RVNGVNNWNEEAADNDFDFVGLEPVFPGRKQNGIPHPRGGRALCNGHAHSSHGALKSLDC
+LAPPGSGVASRLSPEPAQEEAAGAERSRGAFRDCTVQPAQQSACSADALRDPHRTKPFSS
+PPLHSNPRVNGAHHSTVQGPSSTKSTSSVPSPSRGRPSGPPRPSDFNFISDFYSRSRLHH
+ISAWKWELTEFVNALQKQSSGVFPGREKLKKMKAGRSALVVTDTGRYSTTIVFLRTVMLT
+ILFLICHWGSGQY
+>tr|E1BAH0|E1BAH0_BOVIN GRB10 interacting GYF protein 1 OS=Bos taurus OX=9913 GN=GIGYF1 PE=4 SV=1
+MAAETLNFGPEWLRALSSGGSVASPPPSPAMPKYKLADYRYGREEMLALYVKESKVPDEL
+QDKEFAALLQEEPLQPLALEPLTEEEQRNFSLSVNSVAVLRLMGKGAGPPLGGASRGRGS
+TRSRGRGRGDSCFYQRSIEEGDGAFGRNPREIQRSQSWDDRGERRFEKSARRDGARSGFD
+EGGAGPRKEHARSDSENWRSLREEQEEEEEGSWRLGAGPRRDGDRWRSTSPDGGPRSAGW
+REHGDRRRKFEFDLRGDRGGCGEEEGRGGGGSSHLRRCRGPDGFDDDKDGLPEWCLDDED
+EEMGTFDASGAFLPLKKGPKEPIPEEQELDFQGLEEEEEEPSEGLDEEGPEAGGKELTPL
+PPQEEKSSSPSPLPTLGPLWGANGEGDDPVEKDLPAAEGEDMRGMQLSPGAGSPPGPPDL
+EDDEGLKHLQQEAEKLVASLQDSSLEEEQFTAAIQAQGLRHSAAATALPLSHGAARKWFY
+KDPQGEIQGPFTTQEMAEWFQAGYFSMALLVKRGCDEGFQPLGEVIKMWGRVPFAPGPSP
+PPLLGNMDQERLKKQQELAAAALYQQLQHQQFLQLVGSSRQLPQCVLREKAALGDLPPPQ
+QQQLTAFLQQLQALKPPRGGDQNLLPTMNRSLSVPDSGPLWDIHTSASPQSGGEASLWDI
+PINSSTQGPILEQLQLQHKFQERREVELRAKREEEERKRREEKRRQQQQQQEEQKRRQEE
+EELFRRKQARQQELLLKLLQQQQQAVAAVPAPPTPSSPPPLWAGLAKQGLSMKTLLELQL
+EGERHLHKQPPPREPSRAQAPNHRVQLGGLGSAPLNQWVSEAGPLWGGPDKSGGGSSSLG
+LWEDTLKSSGSLARSLGLKNSRSSPSLSDSYSHLSGRPVRKKTEEEEKLLKLLQGIPRPQ
+DGFTQWCEQMLHTLSTTGSLDVPMAVAILKEVESPYDVHDYIRSCLGDTLEAKEFAKQFL
+ERRAKQKASQQRQQQQEAWLSSGSLQTAFQTNHSTKLGPGEGSKAKRRALMLHSDPSILG
+YSLHGPSGEIESVDDY
+>tr|A6QLZ0|A6QLZ0_BOVIN Galectin OS=Bos taurus OX=9913 GN=LGALS3 PE=1 SV=1
+MADGFSLNDALSGSGKPNPQGWPGSWGNQPAGAGGYPGAAYPGAYPGQAPPGPYPGQGPP
+GAYPGQGPPGAYPGQGPPGAYPGPTAPAYPGPTAPSAYPGPGAYPPPAQPSAPGAYPAAG
+PYGIPSGPLNVPYDLPFPGGIRPRMLITILGTVKPNANRLALDFKRGNDVAFHFNPRFNE
+DNRRVIVCNSKLNNNWGKEERQMVFPFESGKPFKIQVLVEPDHFKVAVNDAHLLQYNHRV
+KNFGEISTLGISGDITLTSASHTMI
+>tr|Q58D19|Q58D19_BOVIN HMT1 hnRNP methyltransferase-like 1 OS=Bos taurus OX=9913 GN=PRMT2 PE=2 SV=1
+MATPGDCPRNELQEEEDPTTQAEEEHLQGAVHPEEFVAIADYSATDETQLSFLRGEKILI
+LRQTTADWWWGERAGCCGYIPANHLGKQLEDWDPEDSWQDEEYFGSYGTLKLHLEMLADQ
+PRTTKYHSVILQNKESLKDKVILDVGCGTGIISLFCAHYAQPRAVFAVEASEMAQHTGQL
+VVQNGFADIITVFQQKVEDVVLPEKVDVLVSEWMGTCLLFEFMIESILYARDAWLKEDGI
+IWPTTAALHLVPCSADKDYRSKVLFWDNAYEFDLSPLNGTQKDVPVAPILGHCPPEPASS
+ALPFAPGAADNL
+>tr|E1BDY4|E1BDY4_BOVIN Rho guanine nucleotide exchange factor 15 OS=Bos taurus OX=9913 GN=ARHGEF15 PE=4 SV=3
+MSAQSLPEATPPTQKPPRIIRPRPPSRARATQSPGPHHNGSSPQESPLTANEAPSPMCTP
+MFWEPPAPSLKPPALLPPSASKASLDSQTFPDSFPDSSDTPSPVSRRSISPETTPRSPVP
+PPKPSGSPRMALPLLPTTQVPDQDGSASAPGTVRRLAGKFEWGTEGRVQAADTLEPSPPG
+GVDVNGERETPQGNLTGSGSQENGTPGAGLACPPCCPCVCHVGRPGLELRWVPVGGYEDG
+PRVPCRASPLRASRSRPSPSSLSHPPVVLTSYRSTAERKLLPPLKPPKPTRIRQDITISG
+EPPQPDLDPPSEDGIQRGDSPDGAPQNDSPATTEGREEEELEELKEQNWELPLQDEPLYQ
+TYRAAVLSEELWGVGEDGGPASANAGEAPTFARPPGPRNTLWQELPAVRASGLLDTLSAQ
+ERRMQESLFEVVTSEASYLRSLRLLTDTFVLSQALRDTLTPRDHHTLFSNVQRVQGVSER
+FLGKLLSRVRASPHIRDLCDVVHAHAVGPFSVYVDYVRNQQYQEETYSRLMDTNVRFSAE
+LRRLQSLPKCQRLPLPSFLLLPFQRITRLRMLLQNILRQTEEGSSRQENAQKALGAVSKI
+IERCSAEVGRMKQTEELIRLTQRLRFHKVKALPLVSWSRRLELQGELTELGCRRGGVLFA
+SRPRFTPLCLLLFSDLLLITQPKSGQRLQVLDYAHRSLVQAQQVPDPSGPPTFRLSLLSN
+HQGRPTHRLLQASSLSDMQRWLGAFPTPGPLPCSADTVYEDCDCSQELCSEPSTPTKTEG
+RNVESRAPPKHLHKNPEGWLKGLPGAFPAQLVCEVTGEHERRKHLRQHQRLLEAVGPSSG
+PPDAPPP
+>tr|Q0VC42|Q0VC42_BOVIN Obscurin-like 1 OS=Bos taurus OX=9913 GN=OBSL1 PE=2 SV=1
+MRGRVRTVANVTVKGPILKRLPRKLDVFEGENAVLLVETREAGVEGRWSRDGEDLPATCQ
+SSSGHMHALVLPGVTREDAGEVTFSLGNSRTTTLLRVKCIKHNPPGPPVLAEMFKGHRNT
+VLLTWKPPDPTPETPFIYRLERQEVGSEDWVQCFSIEKAGAVEVPGDCVPTEGDYRFRVC
+TVSEHGRSPHVVFHGSAHLVPTAHLVAGLEEVQVYDGEDAVFSLDLSTVIQGTWFLNGEE
+LKSNEPEGQVGPGPLRYRVEQHGLQHRLILQAVRHQDSGALIGFSCPGVQDSAALTIQES
+PVHILSPQDKVSLTFTTSDRVVLTCELSRVDFPASWYKDGQQVEESESLVVKMDGRKHRL
+ILPEAQVQDSGEFECRTEGISAFFSVTVQDPPVHIVAPREHVFVHAITSECVMLTCEVDR
+EDAPVHWFKDGQEVEESDFVLLESEGPHRRLVLPSAQPSVGGEFQCVAGDERAYFTVTIT
+DVSSWIVYPSGKVYVAAVRLERVVLTCELCRPWAEVRWTKDGEEVVESPALLLQKEDTVR
+RLVLPAVQLEDSGEYLCEIDDESASFTVTVTESYQSQDSSNNNPELCILLKKPKTRRLWS
+RFPPWRRTAGAE
+>tr|Q3MHY0|Q3MHY0_BOVIN Telomeric repeat-binding factor OS=Bos taurus OX=9913 GN=TERF1 PE=2 SV=1
+MAEDTASAAQSPRGRADGEDAGSPGERVADTVTDDQEQFECQELLDCQVQPGVPEEEEEA
+GLMAEAEAVAAGWMLDFLCVSLCRAFRDGRSDDFHRTRDSAEAIIHGLSSLTAYQLRTIY
+VCQFLTRIEAGKTLDAQFESDERITPLESALMIWASIEKEHDKLHEEIENLIKIQAIAVC
+MENGNFKEAEEVFERVFGDPNSYTPFKRKLLTIISQKDTFHSFFQHFSYNHMMEKIKSYV
+NCVLNEKSSTFLMKAAAKVVETKRARTTYSEDKPNGKDVELATETDLDTGKSVSDRQSAV
+TESSWGTVSLLRSHRNLFLSKLKRGNQQQDFNKKEERVETPQSGRKKKNSRRATESKRTR
+ILKSQPVTPEKHRSRKKQAWLWEEDKNLRSGVRKYGEGNWSKILSHYEFNNRTSVMLKDR
+WRTMKKLKLICSDSED
+>tr|Q2HJE8|Q2HJE8_BOVIN Coiled-coil-helix-coiled-coil-helix domain containing 1 OS=Bos taurus OX=9913 GN=CHCHD1 PE=1 SV=1
+MATPSLRGRLARLGNPRKPILKPNKPLILANHVGERRREKGEATCITEMSIMMACWKQNE
+FRDEACKKEIRDFFDCASRAEAARKMRSIQEDLGELGSLPPRKLNKLLQRFPNKPHLS
+>tr|Q58D48|Q58D48_BOVIN Platelet derived growth factor subunit B OS=Bos taurus OX=9913 GN=PDGFB PE=2 SV=1
+MLSDHSIRSFDDLQRLLHGDSVDEDGAELDLNLTRSHSGGELESLSRGRRSLGSPTVAAE
+PAVIAECKTRTEVFEISRRLIDRTNANFLVWPPCVEVQRCSGCCNNRNVQCRPTQVQDRK
+VQVKKIEIVRKKKIFKKATVTLVDHLACRCETVVARAVTRTPGSSQEQRARTPQTRVTIR
+TVRVRRPPKGKHRKFKHTHDKTALKETLGA
+>tr|Q17QC2|Q17QC2_BOVIN Retinol dehydrogenase 13 OS=Bos taurus OX=9913 GN=RDH13 PE=2 SV=1
+MSRYVLPLSVLGTAVGGAVLLKDFVAGGACPSKATIPGKTVIVTGANTGIGKQTALELAK
+RGGNIILACRDMEKCEAAAKEIRGETLNHRVNARHLDLASLKSIREFAAKVTEEEEHVHI
+LINNAAVMRCPHWTTEDGFEMQLGVNYLGHFLLTNLLLDKLKASAPSRIINVSSLAHVAG
+HIDFEDLNWEKRKYDTKAAYCQSKLAVVVSTKELSRRLQGTGVTVNALHPGVARTELGRH
+TGMHSSAFSSFTLGPIFWLLVKSPELAAQPSVYLAVAEELEGVSGKYFDVLKEKPPAPEA
+EDEEVAKRLWAESVRLVGLEMSHGSHGKTGQPLPK
+>tr|A7E345|A7E345_BOVIN KRTAP4-7 protein OS=Bos taurus OX=9913 GN=KRTAP4-7 PE=2 SV=1
+MVSSCCGSVCSDQSCGRSLCQETCCQPSCCQTTCCRTTCCRPSCGVSSCCRPVCCQPTCP
+RPTCCISSCYRPSCCVSSCGSSCCRPTCCISSCCRPQCCQPVCCQPTCSRPACCISSCCR
+PSCCVSSCGSSCCRPACCISSCCRPRCCQSVCCQPTCSHISSCCRPSCCGSSCCLRPVCG
+RVSCHTTCYRPTCVISTCPRPVCCPSSCC
+>tr|Q861S4|Q861S4_BOVIN Similar to ribosomal protein L21 (Fragment) OS=Bos taurus OX=9913 GN=RPL21 PE=2 SV=1
+MTNTKGKRRGTRYMFSRPFRKHGVVPLATYMRIYRKGDIVDIKGMGTVQKGMPHKCYHGK
+TGRVYNVTQHAVGIIVNKQVKGKILAKRINVRIEHIKHSKSRDSFLKRVKENDQKKKEAK
+EKGTWVQLKRQPAPPREAHFVRTNGKEPELLEPIPYEFMA
+>tr|E1BFU3|E1BFU3_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 GN=LOC526163 PE=4 SV=3
+MTPRGRAGWLPSALLLLQLPGCLSLSGPRRVTGIVGRSLSVECQYQEEFINNTKYWCKPP
+CVLSWRMVETTESEREVRRGRVSIRDHPASLTFTVTLENLREEDAGTYWCGIDVLSTFDP
+IFEVEVSVITAPATASGPERPTGSPGAPTTLSVPTWSTASAQETPDPGQPPRPPLGSAHF
+LLLVFLKVPLLLGMRGAALWVHRPRRS
+>tr|Q2HJB1|Q2HJB1_BOVIN Vacuolar protein sorting 4 homolog A (S. cerevisiae) OS=Bos taurus OX=9913 GN=VPS4A PE=2 SV=1
+MTTSTLQKAIDLVTKATEEDKAKNYEEALRLYQHAVEYFLHAIKYEAHSDKAKESIRAKC
+MQYLDRAEKLKDYLRNKEKHGKKPVKENQSESKGSDSDSEGDNPEKKKLQEQLMGAVVME
+KPNIRWNDVAGLEGAKEALKEAVILPIKFPHLFTGKRTPWRGILLFGPPGTGKSYLAKAV
+ATEANNSTFFSVSSSDLMSKWLGESEKLVKNLFELARQHKPSIIFIDEVDSLCGSRNENE
+SEAARRIKTEFLVQMQGLKSEFISHCRRRLPVPRCSDCIWGALLTTSQRPTSTSWPGRQK
+ATRVQTSASLCGTPSCSP
+>tr|A7U7N6|A7U7N6_BOVIN Doppel protein OS=Bos taurus OX=9913 GN=PRND PE=2 SV=1
+MRKHLGGCWLAIVCILLFSQLCSVKARGIKHRIKWNRKVLPSTSQVTEARTAEIRPGAFI
+KQGRKLDIDFGVEGNRYYEANYWQFPDGIHYNGCSKANVTKEKFITSCINATQAANQEEL
+SREKQDNKLYQQVLWQLIRELCSTKHCDFWLERGAGLRVTLDQPMMLCLLVFIWFIVK
+>tr|Q1LZ90|Q1LZ90_BOVIN Chloride channel, nucleotide-sensitive, 1A OS=Bos taurus OX=9913 GN=CLNS1A PE=2 SV=1
+MSFLRSFPPPGSAEGLRQQQPDTEAVLNGKGLGTGTLYIAESRLSWLDGSGLGFSLEYPT
+ISLHAVSRDLNAYPREHLYVMVNAKFGEESKESVADEEEEDSDDDIEPISEFRFVPSDKS
+ALEAMFTAMCECQALHPDPEDEDSDDYDGDEYDVEAHEQGQGDIPTFYTYEEGLSHLTAE
+GQATLERLEGMLSQSVSSQYNMAGVRTEDSVRDYEDGMEVDTTPTVAGQFEDADVDH
+>tr|A7E350|A7E350_BOVIN PLG protein OS=Bos taurus OX=9913 GN=PLG PE=2 SV=1
+MLPASPKMEHKAVVFLILLFLKSGLGDLLDDYVNTQGASLLSLSRKNLAGRSVEDCAAKC
+EEETDFVCRAFQYHSKEQQCVVMAENSKNTPVFRMRDVILYEKRIYLLECKTGNGQTYRG
+TTAETKSGVTCQKWSATSPHVPKFSPEKFPLAGLEENYCRNPDNDENGPWCYTTDPDKRY
+DYCDIPECEDKCMHCSGENYEGKIAKTMSGRDCQAWDSQSPHAHGYIPSKFPSKNLKMNY
+CRNPDGEPRPWCFTTDPQKRWEFCDIPRCTTPPPSSGPKYQCLKGTGKNYGGTVAVTESG
+HTCQRWSEQTPHKHNRTPENFPCKNLEENYCRNPNGEKAPWCYTTNSKVRWEYCTIPSCE
+SSPLSTERMDVPVPPEQTPVPQDCYHGNGQSYRGTSSTTITGRKCQSWSSMTPHRHLKTP
+ENYPNAGLTMNYCRNPDADKSPWCYTTDPRVRWEFCNLKKCSETPEQVPAAPQAPGVENP
+PEADCMIGMGKSYRGKKATTVAGVPCQEWAAQEPHHHSIFTPETNPQSGLERNYCRNPDG
+DVNGPWCYTMNPRKLFDYCDVPQCESSFDCGKPKVEPKKCSGRIVGGCVSKPHSWPWQVS
+LRRSSRHFCGGTLISPKWVLTAAHCLDNILALSFYKVILGAHNEKVREQSVQEIPVSRLF
+REPSQADIALLKLSRVTAAGLWSALRRTNTFCKESLLGVLAVHAPISLVCMFVFPPMFLG
+LKKL
+>tr|V6F7N8|V6F7N8_BOVIN Succinate dehydrogenase [ubiquinone] cytochrome b small subunit OS=Bos taurus OX=9913 GN=SDHD PE=3 SV=1
+MAALWRLSVLCGAREGRALFLRTPVVRPALVSAFLQDRPAQGWCGTQHIHLSPSHHSGSK
+AASLHWTGERVVSVLLLGLIPAAYLNPCSAMDYSLAATLTLHSHWGIGQVVTDYVHGDAV
+QKAAKTGLLVLSAFTFAGLCYFNYHDVGICKAVAMLWKL
+>tr|Q1RMX1|Q1RMX1_BOVIN BMP and activin membrane-bound inhibitor homolog OS=Bos taurus OX=9913 GN=BAMBI PE=2 SV=1
+MDRHSSYIFIWLQLELCAMAVLLTKGEIRCYCDAAHCVATGYMCKSELSACFSRLLDPQN
+INSPLTHGCLDSLASTADICQARQARNHSGSPLPSLECCHEDMCNYRGLQDVLTPPKGEA
+SGQGNRYQHDGNRNLITKVQELTSSKELWFRAAVIAVPIAGGLILVLLIMLALRMLRSEN
+KRLQEQRQQMLSRLHYSFHGHHSKKGQVAKLDLECMVPVSGHENCCLTCDKMRQADLSHD
+RILSLVHWGMYSGHGKLEFV
+>tr|Q2KII5|Q2KII5_BOVIN Histone H2B OS=Bos taurus OX=9913 GN=HIST1H2BI PE=2 SV=1
+MPEPTKSAPAPKKGSKKAVTKAQKKDGKKRKRSRKESYSVYVYKVLKQVHPDTGISSKAM
+GIMNSFVNDIFERIAGEASRLAHYNKRSTITSREIQTAVRLLLPGELAKHAVSEGTKAVT
+KYTSSK
+>tr|A0A3Q1NDP4|A0A3Q1NDP4_BOVIN Cell adhesion molecule L1 like OS=Bos taurus OX=9913 GN=CHL1 PE=4 SV=1
+MEVVLSGRGLITSLIFFLLKFSTAIDIPLSVQQVPTIVKQSTVQVAFPFDEYFQIECVAK
+GNPEPKFLWNKDDKPFNLSDPRIIVSNNGGTFRIPNDGHISHFQGKYRCFASNKLGIAMS
+EEIEFIVPNAPKFPKEKIDPLEVEEGDPIVLPCNPPKGLPPLHIYWMNIELEHIEQDERV
+YMSQKGDLYFANVEEKDSRNDYCCFAAFPRLRTIVQKMPMKLTVNSSNSIKQRKPRLLLP
+PPESGSESFVTILKGDTLLLECFAEGLPTPQVDWNKMGGDLPKGRETKENYGKTLKIENV
+SSQDKGTYRCTASNVLGSATHDFQVTVEEPPHWTKKPQSGVYSTGSSGILLCEAEGEPEP
+MIKWRVNGLPIERNPYSGDVMSPGEISFTNVQPNHTAVYQCEATNVHGTILANANIDVLD
+VRPLIQTADEENYATVVGYSAFLHCEFFASPEAIVSWQKVEEAKPLDGRRYHVHENGTLQ
+ITETTEEDAGSYSCWVENAKGKTAVTANLDIRNATSLRVSPKNPRVPKSHTLELHCESQC
+DSHLKYSLKLSWSKDGEAFEMNGTEDGRIIIDGANLTISNVTMEDEGIYSCSAHTALDSV
+ADMTQVTVLDVPDPPENLHLSERQNRSVRLTWEAGDDHNSNISEYIVEFEGNKEEPGRWE
+ELTRVQGKETTVVLSLAPYVRYQFRVIAMNEVGRSQPSHPSGHHETPPAAPDKNPQNIRV
+QASQPKEMIIKWEPLKAMEQNGPGLEYRVTWKPQGAPVEWEEETVTNHTLRVMTPAVYAP
+YDVQVQAINHLGSGPEPQSVILYSGEDYPDAAPVIQGVDVINSTLVKVTWSTIPKERVHG
+HLKGYQVNWWKTKSLLDGRTHPKEVNILRFSGQRDYGMVPSLDAFSEFHLTVLAYNSKGA
+GPESEPFIFQTPEGVPEQPTFLKVIKVDKDTATLSWGLPKKLNGNLTGYLLQYQIINDTD
+EIGELNDINITTPSKPSWRLLNLSATTKYKFYLRACTSKGCGKPITEESATLGEGSKSVG
+KISEGVNLTQKIHPVEAFEPGAEHVVRLLTKNWVDNNSIFEDVIETRGREYAGLYDDIST
+QGWFIGLMCAIALLTLILLTVCFVKRNKGGKYSVKEKEDLHPDPEVQSAKDETFGEYSDS
+DEKPLKGSLRSLNRDMQATESADSLVEYGEGDHGLFNEDGSFIGAYAGSKEKGSVESNGS
+STATFPLRA
+>tr|D5K1V1|D5K1V1_BOVIN Protamine-2 OS=Bos taurus OX=9913 GN=PRM2 PE=4 SV=1
+MVRCHVKSPTESPPGQQGSGQQGETEHPDQARELRPEDIPVYGRTHRGRYHYRHRSHTRR
+RPYRRRRRRACRHRRRRRGCRRMRRRRRRCRRQL
+>tr|A7MB97|A7MB97_BOVIN Protein YIPF OS=Bos taurus OX=9913 GN=YIPF2 PE=2 SV=1
+MAAADELAFHEFEEATNLLAQTPNETTTRSDQLSPKGHVAVAMDSGGSYGAEDEVEESDK
+TVLLQEEKQQPGFWTFGYYQSFFDVDTSQVLDRIKGSLLPRPGHNFVRHHLRNRPDLYGP
+FWICATLAFVLAITGNLTLVLAQRRDPSIHYSPQFHKVTVASLTIYCYAWLVPLALWGFL
+RWRKGVRERMGLYTFLETVCVYGYSLFVFIPTVVLWLIPVPWLQWLFGALALALSAAGLV
+FTLWPVVREDTRWAAGVLLSVVVLLHALLAMGCKFYFFQPLPPEPMASPHQATSQPPATM
+LPPTLPRSVAA
+>tr|Q3SZ69|Q3SZ69_BOVIN Anterior pharynx defective 1 homolog A (C. elegans) OS=Bos taurus OX=9913 GN=APH1A PE=2 SV=1
+MGAAVFFGCTFVAFGPAFALFLITVAGDPLRVIILVAGAFFWLVSLLLASVVWFILVHVT
+DRSDARLQYGLLIFGAAVSVLLQEVFRFAYYKLLKKADEGLASLSEDGRSPISIRQMAYV
+SGLSFGIISGVFSVINILADALGPGVVGIHGDSPYYFLTSAFLTAAIILLHTFWGVVFFD
+ACERRRYWALGLVVGSHLLTSGLTFLNPWYEASLLPIYAVTISMGLWAFITAGGSFRSIQ
+RSLSCKD
+>tr|A6QLY3|A6QLY3_BOVIN LEPREL1 protein OS=Bos taurus OX=9913 GN=P3H2 PE=2 SV=1
+MRERIWAPLPLLLPWLLLPPPLWCGPPDSRHPEREPEPGPLQPFDLLYASGVAAYYSGDY
+ELAVRDLEAALRSHRRLREIRARCARHCAARRPLAPPGAGPGAELPFFRALLERARCYRS
+CQSQRLGGPASRHRASEDVRSDFQRRVPYNYLQRAYIKLNQMEKAVAAAHTFFMANPEHM
+EMQQNIENYRTMAGVEDLQLVDREAKPHLESYSAGVKHYEADDFELAIKYFEQALREYFN
+EDMECRALCEGPQRFEEYEYLGYKAGLYEAIADHYMQVLVCQHECVRELATRPGRLSPIE
+NFLPLHYDYLQFAYYRVGEYIKALECAKAYLLLHPDDEDVLDNVDYYESLLGDSADPASI
+EAREDLAMFVKRHKLESELIKSAAEGLGFSYTEPNYWIRYGGRQDENRVPSGVNVEVAEV
+HGLSMGKKSLPKIDRDLREGGPLLYENITFVYNSEQLNGTQRVLLDNVLSEEQCRELHSV
+ASGIMLVGDGYRGKTSPHTPNEKFEGATVLKALKFGYEGRVPLKSARLFYDISEKARKIV
+ESYFMLNSTLYFSYTHMVCRTALSGQQDRRNDLSHPIHADNCLLDPEANECWKEPPAYTF
+RDYSALLYMNDDFEGGEFIFTEMDAKTVTASIKPKCGRMISFSSGGENPHGVKAVTKGQR
+CAVALWFTLDPLYRELERIQADEVIAILDQEQQGKHELNINPKDEL
+>tr|E1BIA5|E1BIA5_BOVIN Cadherin 10 OS=Bos taurus OX=9913 GN=CDH10 PE=4 SV=2
+MTIYQFLLLFLLWVCLPRFCSPEIMFRRTPVPQKRILGARVPRSDGKILHRQKRGWMWNQ
+FFLLEEYTGSDYQYVGKLHSDQDKGDGSLKYILSGDGAGTLFIIDEKTGDIHATRRIDRE
+EKAFYTLRAQAINRRTLRPVEPESEFVIKIHDINDNEPTFPEEIYTASVPEMSVVGTSVV
+QVTATDADDPSYGNSARVIYSILQGQPYFSVEPETGIIRTALPNMNRENREQYQVVIQAK
+DMGGQMGGLSGTTTVNITLTDVNDNPPRFPQNTIHLRVLESSPVGTAIGSVKATDADTGK
+NAEVEYRIIDGDGTDMFDIITEKDTQEGIITVKKPLDYESRRLYTLKVEAENTHVDPRFY
+YLGPFKDTTIVKISIEDVDEPPVFSRSSYLFEVHEDIEVGTIIGTVMARDPDSTSSPVRF
+SLDRHTDLDRIFNIHSGNGSLYTSKPLDRELSQWHNLTVIAAEINNPKEMTRVAVFVRIL
+DVNDNAPQFAVFYDTFVCENARPGQLIQTISAVDKDDPLGGQKFFFSLAAVNPNFTVQDN
+EDNTARILTRKNGFNRHEISTYLLPVVISDNDYPIQSSTGTLTIRVCACDNQGNMQSCSA
+EALLLPAGLSTGALIAILLCIIILLVIVVLFAALKRQRKKEPLILSKEDIRDNIVSYNDE
+GGGEEDTQAFDIGTLRNPAAIEEKKLRRDIIPETLFIPRRTPTAPDNADVRDFINERLKE
+HDLDPTAPPYDSLATYAYEGNDSIAESLSSLESGTTDGDQNYDYLREWGPRFNKLAEMYG
+GGESDKDA
+>tr|Q08DP5|Q08DP5_BOVIN Histone acetyltransferase OS=Bos taurus OX=9913 GN=KAT7 PE=2 SV=1
+MPRRKRNAGSSSDGTEDSDFSTDLEHTDSSESDGTSRRSARVTRSSARLSQSSQDSSPVR
+NLQSFGTEEPAYSTRRVTRSQQQPTPVTPKKYPLRQTRSSGSETEQVVDFSDRETKNTAD
+HDESPPRTPTGNAPSSESDIDISSPNVSHDESIAKDMSLKDSGSDLSHRPKRRRFHESYN
+FNMKCPTPGCNSLGHLTGKHERHFSISGCPLYHNLSADECKVRAQSRDKQIEERMLSHRQ
+DDNNRHATRHQAPSERQLRYKEKVAELRKKRNSGLSKEQKEKYMEHRQTYGNTREPLLEN
+LTSEYDLDLFRRAQARASEDLEKLRLQGQITEGSNMIKTIAFGRYELDTWYHSPYPEEYA
+RLGRLYMCEFCLKYMKSQTILRRHMAKCVWKHPPGDEIYRKGSISVFEVDGKKNKIYCQN
+LCLLAKLFLDHKTLYYDVEPFLFYVMTEADSTGCHLIGYFSKEKNSFLNYNVSCILTMPQ
+YMRQGYGKMLIDFSYLLSKVEEKVGSPERPLSDLGLISYRSYWKEVLLRYLHNFQGKEIS
+IKEISQETAVNPVDIVSTLQALQMLKYWKGKHLVLKRQDLIDEWIAKEAKRSNSNKTMDP
+SCLKWTPPKGT
+>tr|A4IF86|A4IF86_BOVIN NBEAL1 protein OS=Bos taurus OX=9913 GN=NBEAL1 PE=2 SV=1
+MASRERLFELWMLYCSKKDPDYLKLWLDSFVSSYEQFLDVDFEKLPTRVDDVPPGISLLP
+DNILQVLRIQLLQCVQKMADGLEEEQQALSILLVKFFIILCRNLSNVEEIGTCSYINHVI
+TMTTLYIQQLKSKKKEKELADQTSIEEFVIHALAFCESLYDPYRNWRQRISGYVL
+>tr|Q1RMR8|Q1RMR8_BOVIN Reticulon OS=Bos taurus OX=9913 GN=RTN4 PE=1 SV=1
+MEDMDQSPLVSSSSDSPPRPQPAFKYQFVKEPEDEEEEEEEEEDEDEDEDLEELEVLERK
+PAAALSAAPVAAAATAATPASAPLVDFGNDFVPPAPRGPLPAAPLAAPERQPSWDPSPVS
+SSVPAPSPPSITADSPSKLFEDEEPPARPPPPPPADVSPEAEPSWTSVVPAPAAPPSTPA
+APKRRGSSGSVVVDLLYWRDIKKTGVVFGASLFLLLSLTVFSIVSVTAYIALALLSVTIS
+FRIYKGVIQAIQKSDEGHPFRAYLESEVAISEELVQKYSNSALGHVNCTIKELRRLFLVD
+DLVDSLKFAVLMWVFTYVGALFNGLTLLILALISLFSVPVIYERHQAQIDHYLGLANKNV
+KDAMAKIQAKIPGLKRKAE
+>tr|Q08DV2|Q08DV2_BOVIN Glucosamine 6-phosphate N-acetyltransferase OS=Bos taurus OX=9913 GN=GNPNAT1 PE=2 SV=1
+MKPDETPMFDPSLLKEVDWSQNTATFSPAISPTHPGEGLVLRPLCTADLNRGFFKVLGQL
+TETGVVNPEQFMKSFEHMKKSGDYYVTVVEDVTLGQIVATATLIIEHKFIHSCAKRGRVE
+DVVVSDECRGKQLGKLLLSTLTLLSKKLNCYKITLECLPQNVGFYKKFGYTVSEENYMCR
+RFLK
+>tr|F1MK67|F1MK67_BOVIN Interferon epsilon OS=Bos taurus OX=9913 GN=IFNE PE=3 SV=2
+MINKAFFEIVLVLLASSTVCSQELKLVLCQQRRVNQESLKLLNKLQTSSVQQCLPHRKHF
+LLPQKSVNPHQYQKGQVLAILHEMLQQIFSLFRAIVSLDGWEESHTEKFLVELHQQLEYL
+EALMRLQAKQKSDTLGSENLRLQVKMYFQRIHDYLESQDYSSCAWTIVQVEINRCLFLVF
+RLTRKLSEQGMET
+>tr|Q3MHE5|Q3MHE5_BOVIN V-set and transmembrane domain containing 2 like OS=Bos taurus OX=9913 GN=VSTM2L PE=2 SV=1
+MGAPLAVALGALHYLALFLQLGGATRPAGHAPWDNHISGHALFTETPHDMTARTGEDVEM
+ACSFRGSGSPSYSLEIQWWYVRSHRDWTDKQAWASNQLKASQQEDAGKDATKISVVKVVG
+SNISHKLRLSRVKPTDEGTYECRVIDFSDGKARHHKVKAYLRVQPGENSVLHLPEAPPAA
+PAPPPPKPGKELRKRSVDEEACSV
+>tr|E1BNX6|E1BNX6_BOVIN Sphingomyelin synthase 2 OS=Bos taurus OX=9913 GN=SGMS2 PE=4 SV=1
+MDIIETAKLEEHMENQTNDPANTYTRPPEPVEEENKNGNSKPKSLSNGLRKSTKKYPDYI
+QIAMPTEARNKFPLEWWKTGIAFVYALFNLVLTTVMITVVHERVPPKELSPPLPDKFFDY
+IDRVKWAFSVSEINGIILVGLWITQWLFLRYKSIVGRRFFFIIGTLYLYRCITMYVTTLP
+VPGMHFQCAPKLNGDSQAKVQRILRLISGGGLSITGSHILCGDFLFSGHTVVLTLTYLFI
+KEYSPRHFWWYHLICWLLSAAGIICILVAHEHYTVDVIVAYYITTRLFWWYHSMANEKNL
+KVSSQTNFLSRAWWFPIFYFFEKNVQGSIPCCFSWPLSWPPGCFKSSCKKYSRVQKIGED
+NEKST
+>tr|A5PK06|A5PK06_BOVIN ICK protein OS=Bos taurus OX=9913 GN=ICK PE=2 SV=1
+MNRYTTIKQLGDGTYGSVLLGRSIESGELIAIKKMKRKFYSWEECMNLREVKSLKKLNHA
+NVVKLKEVIRENDHLYFIFEYMKENLYQLIKERNKLFPESAIRNIMYQILQGLAFIHKHG
+FFHRDLKPENLLCMGPELVKIADFGLAREIRSRPPYTDYVSTRWYRAPEVLLRSTSYSSP
+IDIWAVGCIMAEVYTLRPLFPGASEIDTIFKICQVLGTPKKTDWPEGYQLSNAMNFRWPQ
+CVPNNLKTLIPNASSEAVQLLRDMLQWDPKKRPTASQALRYPYFQVGHPLGSTAHSLQES
+GKSQKDVLEKAAAPPHVKPVPPAQPPAKPHTRISSRQHQAGQPPQHLMYPYKAEAPRTDH
+LPEDKPSPLLLPSLHPKHPQAKILTGLEHKNGEIKPKSRRRWGLVSRSTKDSDDWADLDD
+SDFSPSFTRIDLKNKRQSDETLCRFESILDLKPSEPVGTGNSAPTQTSYPRRDTPTLRSA
+AKQHYLKHSRYLPGINIRNGVLPNPGKDFIPPNPWSSSGLSGKSSGTVSVISKITSVGSS
+STSSSGLAGNYIPSFLKKEISSALQRVHLAPIPDPSPGYSSLKAVRPHPGRPFFHTQPRS
+TPGLLPRPPAAQPVHGRTDWIAKYASRR
+>tr|Q2KHU1|Q2KHU1_BOVIN Chromosome 21 open reading frame 70 ortholog OS=Bos taurus OX=9913 GN=FAM207A PE=2 SV=1
+MGKVRSLRARVHQVAVRPTGEAAPGLASPAREVAPLQASTGGVAGKELACLNADVFAGTT
+IDPSALVQQLDVETRSVTSIRRGAEAKTVLPKKEKLKLRRERWLQKIEAIKLAEQKLKAE
+RRRRATVVVGDLQPLRDALPELLELEAGGRRPPTRRAASRPRPAELSRMSAMQRLQLLEE
+ERTRFQELLASPAYRASPLLAIGRQLAEQMQLEGGGQP
+>tr|A0A3Q1LTT8|A0A3Q1LTT8_BOVIN Rhombotin-1 OS=Bos taurus OX=9913 GN=LMO1 PE=4 SV=1
+MLSVQPKGKQKGCAGCNRKIKDRYLLKALDQYWHEDCLKCACCDCRLGEVGSTLYTKANL
+ILCRRDYLRLFGTTGNCAACSKLIPAFEMVMRARDNVYHLDCFACQLCNQRFCVGDKFFL
+KNNMILCQMDYEEGQLNGTFDSHVQ
+>tr|A6QPX8|A6QPX8_BOVIN RAB12 protein OS=Bos taurus OX=9913 GN=RAB12 PE=2 SV=1
+MDPGAALHRRPAGGGGLGAGSPALSGGQARRRKQPPRPADFKLQVIIIGSRGVGKTSLME
+RFTDDTFCEACKSTVGVDFKIKTVELRGKKIRLQIWDTAGQERFNSITSAYYRSAKGIIL
+VYDITKKETFDDLPKWMKMIDKYASEDAELLLVGNKLDCETDREISRQQGEKFAQQIAGM
+RFCEASAKDNFNVDEIFLKLVDDILKKMPLDMLRNELSNSILSLQPEPEIPPELPPPRPH
+VRCC
+>tr|A4FUY0|A4FUY0_BOVIN SV2B protein OS=Bos taurus OX=9913 GN=SV2B PE=2 SV=1
+MDDYKYQDNYEGYAPSDGYYRGNESNPEEDAQSDVTEGHDEEDEIYEGEYQGIPHPDDVK
+AKQAKMASSRADGLRGQADLLAERMEDEEQLAHQYETIIDECGHGRFQWTLFFVLGLALM
+ADGVEVFVVSFALPSAEKDMCLSSSKKGMLGLIVYLGMMGGAFVLGGLADKLGRKRVLSI
+SLAINASFASLSSFVQGYGAFLFCRLISGIGIGGALPIVFAYFSEFLSREKRGEHLSWLG
+IFWMTGGIYASAMAWSIIPHYGWGFSMGSNYHFHSWRVFVIVCALPCTVSMVALKFLPES
+PRFLLEMGKHDEAWMILKHVHDTNMRAKGAPEKVFTVSNIKTPKQMDEFIEIQSSTGTWY
+QRWLVRFKTTFKQVWENALYCVMGPYRMNTLILAVVWFTMALSYYGLTVWFPDMIRYFQD
+EAYKSKMKVFHDEHVYGVTINFTMENQIHQHGKLVNDKFTKMYFKHVLFEDTLFDECYFE
+DVTSTDTYFKNCTIESTIFYNTDLYQHKFINCHFINVTFLEQKEGCHMDLEQDNDFLIYL
+VSFLGSLSVLPGNIISALLMDRVGRLKMIGGSMLISAVCCFFLFFGNSESAMIGWQCLFC
+GTSIAAWNALDVITVELYPTNQRATAFGILNGLCKFGAILGNTIFASFVGITKVVPILLA
+ATSLVGGGLIALRLPETREQVLM
+>tr|A2VDL1|A2VDL1_BOVIN Activating transcription factor 2 OS=Bos taurus OX=9913 GN=ATF2 PE=2 SV=1
+MKFKLHVNSARQYKDLWNMSDDKPFLCTAPGCGQRFTNEDHLAVHKHKHEMTLKFGPARN
+DSVIVADQTPTPTRFLKNCEEVGLFNELASPFENEFKKASEDDIKKMPLDLSPLATPIIR
+SKIEEPSVVETTHQDSPLPHPESTTSDEKEVPLAQTAQPTSAIVRPASLQVPNVLLTSSD
+SSVIIQQAVPSPTSSTVITQAPSSNRPIVPVPGPFPLLLHLPNGQTMPVAIPASITSSNV
+HVPAAVPLVRPVTMVPSVPGIPGPSSPQPVQSEAKMVSKILFVLYIS
+>tr|N0DKN0|N0DKN0_BOVIN Envelope glycoprotein OS=Bos taurus OX=9913 GN=env PE=2 SV=1
+MDLITYVSLLLLTPNILSLPLDPQDNVFLSWAHSYAAFHNRSNCWVCGALPSSSVEGFPW
+WTSPFQGKDFLQVCEYLRQQSHVMPLLHLMTSTNPKMDWCNTLYSNYGHNVTFNFDYTLS
+RFNDYFATYKVNGSRSNGFLPDVYQIWDEVTWLTPEKGRLISTASICCEQTELSPKVSQQ
+LTYNDWKQLGYLSQETCNVIIPVFSNSSSGSPFVWPGMNWDWISQSHWLAPNETYWICGS
+YLWSWLPPGWIGRCTLGLAFTHGFIFSELPEKPANLSHLKTRWARSVFHWYDYLAAAFVP
+SLGTTDVMLRVDALTNFTQQALQDSQKAISALNAEQAQIRKVVLQNRFALDILTATQGRT
+CAIVHTQCCTYIPDMSMNVTHFTSHMNKMIRAMDTPEASIASLWETLTSSPWWTTILITI
+ILVVLFLLFASCICNCITGFVSSRMKAFKLHVVAQTPATAVASYSYYLGPLDHISSI
+>tr|B2Z4B0|B2Z4B0_BOVIN Angiopoietin like 2 OS=Bos taurus OX=9913 GN=ANGPTL2 PE=2 SV=1
+MRPLCVTCWWLGLLAALGAVASQKDGLEGTEEGSPGEFVYLNRYKRAGESPDKCTYTFIV
+PQQRVTGAICVNSKEPEVLLENRVHKQELELLNNELLKQKRQIETLQQLVEVDGGIVSEV
+KLLRKESRNMNSRVTQLYMQLLHEIIRKRDNALELSQLENRILNQTADMLQLASKYKDLE
+HKYQHLATLAHNQSEIIAQLEEHCQRVPAARPVPQPPPATPPRVYQPPPYNRIINQISTN
+EIQSDQNLKVLPPPLPTMPTLTSLPSSTDKPSGPWRDCLQALEDGHDTSSIYLVKPENTN
+RLMQVWCDQRHDPGGWTVIQRRLDGSVNFFRNWETYKQGFGNIDGEYWLGLENIYWLTNQ
+GNYKLLVTMEDWSGRKVFAEYASFRLEPESEYYKLRLGRYHGNAGDSFTWHNGKQFTTLD
+RDHDVYTGNCAHYQKGGWWYNACAHSNLNGVWYRGGHYRSRYQDGVYWAEFRGGSYSLKK
+VVMMIRPNPNTFH
+>tr|A2VDY2|A2VDY2_BOVIN Chromosome 1 open reading frame 210 ortholog OS=Bos taurus OX=9913 GN=C3H1orf210 PE=2 SV=1
+MSEANQTIVGSSEVPTTSTVSSGPGSGIQTWLVLVGVVLGAVVLSILIAVAAKCHLCRKH
+RASYQHHPLPETGKGGRPEVVEDEDDDGFIEDNYIQPGFGGLETGASRDHFSL
+>tr|Q0P5B6|Q0P5B6_BOVIN Kruppel like factor 1 OS=Bos taurus OX=9913 GN=KLF1 PE=2 SV=1
+MAAAETALPSISTLTALGPFSDTQEDILKWWRSEDVQDLGPGPPDHTGPPLHVRPELEDA
+PGEDEDDDRDAATPWDLDLLFTNFPCPEPGGAPQTCAPQTCAPQACALAPSEGSGAQFPP
+PPETLGAYAGGPGLVAGLLGPEEHLGWARPAPRTPAPDTFVGPSLIPTPEPKALPLQPLY
+PGPGSGSSGSYFPRTGLSVPAAPGAPYGLLSGYPALYPMPQYQGHFQLFRGLQAPAPGPP
+SPHSFLSCLGPGTTGAGLGGTTGDPGGTTEAAPSKRSRRSWARKRQAAHTCTHPGCGKSY
+TKSSHLKAHLRTHTGEKPYACTWDGCGWRFARSDELTRHYRKHTGQRPFRCQLCSRAFSR
+SDHLALHMKRHL
+>tr|Q32LF4|Q32LF4_BOVIN LEM domain containing 1 OS=Bos taurus OX=9913 GN=LEMD1 PE=2 SV=1
+MVEVKCLSDDELQNELHKLGFPPGPILPSTRKVYEKKLVQLLVSTPCAPPKKNGPGELNR
+AQDNDDSEEMQDFHQ
+>tr|Q2KIG6|Q2KIG6_BOVIN Cytochrome P450, family 8, subfamily B, polypeptide 1 OS=Bos taurus OX=9913 GN=CYP8B1 PE=2 SV=1
+MVLWGPALGALLVAIVGYLCLRGLLRQRRPKEPPLDKGPVPWLGHAMAFRKNMFEFLRHM
+QAKHGDIFTVQLGGQYFTFVMDPLSFGPILKDAQRKLDFVEYAQKLVLKVFGYRSVQGDY
+RMIHSASTKHLMGEGLEELNPSISFHVGPVDSVYPTARDFFFQKHL
+>tr|A0A3Q1M4F8|A0A3Q1M4F8_BOVIN NAD-dependent protein lipoamidase sirtuin-4, mitochondrial OS=Bos taurus OX=9913 GN=SIRT4 PE=3 SV=1
+MRMSFGLTFKRTAKVHWRANFSQQCSLRSTGLFVPPSPPLDPEKVKELQRFITLSKRLLV
+MTGAGISTESGIPDYRSEKVGLYARTDRRPIQHGDFVRSAPVRQRYWARNFVGWPQFSSR
+QPNPAHWALSNWERLGKLHWLVTQNVDALHTKAGSQRLTELHGCMHRVLCLDCGEQTPRG
+VLQERFQVLNPTWSAEAHGLAPDGDVFLTEEEVQSFQVPSCSQCGGPLKPDVVFFGDTVK
+PDKVDFVHKRVKEADSLLVVGSSLQVYSGYRFILTAREKKLPIVILNIGPTRSDDLASLK
+LDSRCGELLPLIDPR
+>tr|A6QQF8|A6QQF8_BOVIN ARHGAP18 protein OS=Bos taurus OX=9913 GN=ARHGAP18 PE=2 SV=1
+MSWLSNSQAVVLTAYHPSGKDQALGGSHGKGGEEAASSRRYGQYTMNQEVTKVTERPPFD
+RSGSQDSLDELSMEAYWTELENIKKSHENRQDDQEVVVVKEPDEGELEEEWLKEAGLSNL
+FGETAGDPQESMVFLSTLTRTQAAAVQKRVETVSQTLRKKNKQYQVPDVRDIFAQQTGSK
+EKEAPDGTESQSVGTDENKYRGKDDQASHLGVGGKELTPLVPEEAPASETDINLEVSFAE
+QAVSQKESSREKIQRSRGDDATLLTYRVPKDKTGTTRIGDLAPQDMKKVCHLALIELTAL
+YDVLGIELKQQKAVKIKTRDSGLFCVPLTVLLEQDQKKVPGTRIPLIFQKLISRIEEGGL
+ETEGLLRIPGVAVRIKNLCQELEAKFYEGTFNWESVKQHDAASLLKLFIRELPQPLLSVE
+YLKAFQAVQNLPTRKQQLQALNLLVILLPDANRDTLKALLEFLQRVVDNKEKNKMTVMNV
+AMVMAPNLFMYHTLGLKSGEQREFVMAAGIANIMHLLIRYQKLLWTVSIYFQALLM
+>tr|E1B8K0|E1B8K0_BOVIN BCL9 like OS=Bos taurus OX=9913 GN=BCL9L PE=4 SV=3
+MHPENKLTNHGKTGNGGAQSQHQNVNQGPTCNLGSKGVGAGNHGAKANQISPSNSSLKNP
+QAGVPPFSSLKGKVKRERSVSVDSGEQREAGTPSLDSEAKEVAPRSKRRCVLERKQPYSG
+DEWCSGPDSEEDDKPLGAAHNCNVADPAMAAPQLGPGQAPQLPLSESSAPGPPHGAPPGL
+RPDAPGGGGGGVPGKPPSQFVYVFTTHLANTAAEAVLQGRADSILAYHQQNVPRAKLDQA
+PKVPPTPEPLPLSTPSAGTPQTQPPPLPPPPPPAPGSAPPALPSEGPPEDANQDLTPNSV
+GAASTGGGTGGTHPNTPTASTTNNPLPPGGDPSSAPGPALLGEAPTGNGQRSLVGSEGLS
+KEQLEHRERSLQTLRDIERLLLRSGETEPFLKGPPGGAGEGGPPAPAPPAPQQPPTAPPS
+GLKKYEEPLQSMISQTQSLGGPPLEHEVPGHPPGGDVGQMNVMMQRLGQDSLTPEQVAWR
+KLQEEYYEEKRRKEEQIGLHGGRSLQDMMGMGGMMVRGPPPPYHSKPGDQWPPGMGAQLR
+GPMDVQDPMQVRAGPPFPGPRFPGNQMQRMPGFGGMQGMPMEVPMNAMQRPVRPGMGWTE
+DLPPMGGPGNFAQNAVPYPGGQGEAERFMTPRVREELLRHQLLEKRSMGMQRPLGMAGSG
+MGQGMEVERMMQAHRQMDPAMFPGQMAGGEGLAGTPMGMEFAGGRGLLSPPMGQSGLREV
+DPPMGPGNLNMNMNVNMNMNMNLNVQMTPQQQMLMSQKMRGPGDMMGPQGLSPEEMARVR
+AQNSGGMMGGPQKMLMPSQFPSQGQQGFSGGQGPYQAMPQDMGNTQDMFSPDQSSMPMGN
+VGTTRLSHMPLPPVSNPPGSVHSAPNRGLGRRPSDLTISINQMGSPGMGHLKSPTLSQVH
+SPMVTSPSANLKSPQTPSQMVPLPSANPPGPLKSPQVLSSSLSVRSPTGSPSRLKSPSMA
+VPSPGWVASPKTAMPSPGVPQNKQPPLNMSSSTTLGNMEQGALPPSGPRSSSSAPPANPP
+SGLGNPSLPFTSSPDPTPSQNPLSLMMSQMSKYAMPSSTPLYHNAIKTIATSDDELLPDR
+PLLPPPAPPQGSGPGISNNQPNQMHLNSAAAQSPMGMNLPGQQPLSHEPPPAMLPSPTPL
+GSNIPLHPNAQGTGGPPQNSMMMAPGGPDSLSAPCGPVPSSSQMMPFPPRLQQPHGAMTP
+GGGGGGGPGLQQHYPSGMALPPEDLPSQPPGPMPPQQHLMGKSMASRMGDAYPPGVLPGV
+ASVLNDPELSEVIRPTPTGIPEFDLSRIIPSEKPSSTLQYFPKSESHPPKAQPPNLHLMN
+LQNMMAEQTPSRPPNLPGQQGVQRGLNMSMCHPGQMSLLGRTGMPPQQGMVPHGLHQGVM
+SPPQGLMTQQNFMLMKQRGVGGEVYSQPPHMLSPQGSLLGPPPQQNLMVSHPLRQRSVSL
+DNQMGYLPAPGGMANLPF
+>tr|A0A140T877|A0A140T877_BOVIN Short transient receptor potential channel 4 OS=Bos taurus OX=9913 GN=TRPC4 PE=3 SV=1
+MNMAQFYYKRNVNAPYRDRIPLRIVRAESELSPSEKAYLNAVEKGDYASVKKSLEEAEIY
+FKININCIDPLGRTALLIAIENENLELIELLLSFNVYVGDALLHAIRKEVVGAVELLLNH
+KKPSGEKQVPPILLDKQFSEFTPDITPIILAAHTNNYEIIKLLVQKGVSVPRPHEVRCNC
+VECVSSSDVDSLRHSRSRLNIYKALASPSLIALSSEDPFLTAFQLSWELQELSKVENEFK
+SEYEELSRQCKQFAKDLLDQTRSSRELEIILNYRDDNSLLEEQSGNDLARLKLAIKYRQK
+EFVAQPNCQQLLASRWYDEFPGWRRRHWAVKMVTCFIVGLLFPVFSVCYLIAPKSPLGLF
+IRKPFIKFICHTASYLTFLFLLLLASQHIDRSDLNRQGPPPTIVEWMILPWVLGFIWGEI
+KQMWDGGLQDYIHDWWNLMDFVMNSLYLATISLKIVAFVKYSALNPRESWDMWHPTLVAE
+ALFAIANIFSSLRLISLFTANSHLGPLQISLGRMLLDILKFLFIYCLVLLAFANGLNQLY
+FYYEETKGLSCKGIRCEKQNNAFSTLFETLQSLFWSIFGLINLYVTNVKAQHEFTEFVGA
+TMFGTYNVISLVVLLNMLIAMMNNSYQLIADHADIEWKFARTKLWMSYFEEGGTLPTPFN
+VIPSPKSLWYLIKWIWTHLCKKKMRRKPESFGTIGRRAADNLRRHHQYQEVMRNLVKRYV
+AAMIRDAKTEEGLTEENFKELKQDISSFRFEVLGLLRGSKLSTVQSAQGTKESSNSADSD
+EKSDNEGSSKDKKKNFSLFDLTTLIHPRSAAIAAERHTISNGSALVVQEPPREKQRKVNF
+VTDIRHFGLFHRRSKQHAAAEQNANQIFSVSEGVARQQAEGPLERSIQLESRTLASRGDL
+NIPGLSEQCILVDHRERNTDSLGVQVSKRVCSFKSEKVVVEDTVPIIPKEKKHAKEEDSS
+ADYDANLTDTITHEDYVTTRL
+>tr|F1N4J5|F1N4J5_BOVIN Testis associated actin remodelling kinase 2 OS=Bos taurus OX=9913 GN=TESK2 PE=4 SV=2
+MDRSKRNSIAGFPPRVERLEDFEGGGGGDGNMTQVGRIWPSSYRALISAFSRLTRLDDFT
+CEKIGSGFFSEVFKVRHRASGQVMALKMNTLSSNRANMLKEVQLMNRLSHPNILRFMGVC
+VHQGQLHALTEYINSGNLEQLLDSNLHLPWTVRVKLAYDIAVGLSYLHFKGIFHRDLTSK
+NCLIKRDENGYSAVVADFGLAEKIPDISTGGEKLAVVGSPFWMAPEVLRDEPYNEKADVF
+SYGIILCEIIARIQADPDYLPRTENFGLDYDAFQHMVGDCPPDFLQLTFNCCNMDPKLRP
+SFVEIGKTLEEILSRLQEEELERDRKLQPTAKGLLEKGPGVKRLSLLDDKIPPKSPRPRR
+TIWLSRSQSDIFSNKPPRTVNVLDPYYQPQRVGAARAPKINPFSARQDLKGGKIKFFDLP
+SKSVISLVFDLDAPGPGTIPMADWQEPLGPPARRWRSLPSSPEFLHQEACPFVGREESLS
+DGPPPRLSSHKYRVREIPPFRASAQPAAPAHEAMDCSNAQEENGFGPRPQGVSPCLAGAP
+EEMEVEEERPRDLAPVPFSVSGMGLRTQGEQDG
+>tr|A0A3Q1MYY1|A0A3Q1MYY1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MMASFQRSNSHDKVRRIVAEEGRTARNLIAWSVPLESKDDDGKSKCQTGGKSKRTIQGTH
+KTSKQVQHV
+>tr|F1N6M1|F1N6M1_BOVIN Little elongation complex subunit 2 OS=Bos taurus OX=9913 GN=ICE2 PE=4 SV=1
+MTSMMAMGEPRLNWDVSPKNGLKTFFSRENYKDQSMAPSLKELCILSSRRIGENLNASAG
+SVENEPTVNSAAQAKEKVKTTVGMVLLPKPRVPYPRFSRFSQREQRNYVDLLVKYAKVPP
+NSKTVGINKNDYLQYLEMKKHVNEEVTEFLKFLQNSAKKCAQDYNMLSDDACLVTEQILK
+ACIEQVKKYPEFYTLHEVTSLMGFFPFRIEMGFKLEKTLLALGSVKYVKTVFPSMPAKLQ
+LSKDTIPAIETPEQIAAAMHYDISEDPNAEKLVARYHPQIALTSQSLFTLLNNHGPSYKE
+QWEIPVCIQVIPVAGSKPIKVIYINSPLPQKKMTMRERNQIFHEVPLKFMMSKNTSVPVS
+AVFMDKPEEYISEMDISYEVNECRKIETLENLDLEFDDDVTELETFGATTTKPSKSPSPA
+STSTVAPMTDTLTAPSIADTSEAPTSPDISAHSRSLSQILMEQLQKEKQLVTGMIDSGPE
+ESKNKDDQRFIPCGEKVSNSDKPLVQDSDLKTSDPLQLESSMEIETSSKNDMATEMESVD
+ERVNVLENTDTNSKEKTVTSEAANTEDVVLDSSDTDEDCLIIDMECQSNSHGKTAEVGSN
+LSSKPASLNSSSGQTSTGNQTNSTCPEESCVLKKPIKRVYKKFDPVGEILKMQDELLKPI
+SRKIPELPLMNSENSKQPPISEQPSAPSDACSWPKSIWPSAFQKPKGRLPYELQDYVEDT
+SEYVAPQEGNFVYKLFSLQDLLLLVRCSVQRIETRPRSKKRKKIRRQFPVYVLPKVEYQA
+CYGVEALTESELCRLWTESLLHSNSSFYVGHIDAFTSKLFLLEEITSEELKEKLSALKIS
+SLFNILQHILRKLSSLQEGSYLLSHAAEDSSLLIYKTSDGKVTRTAYNLHKTHCGLPGVP
+SSLSVPWVPLDPSLLLPYHIHHGRIPCTFPPKSLGPTAQQKIGGTRMPTRSHRNSVSVET
+KSLPAQQVENEGVASSKRKIT
+>tr|Q2TA31|Q2TA31_BOVIN MGC134179 protein OS=Bos taurus OX=9913 GN=MGC134179 PE=2 SV=1
+MALNCICKELLNLCHRYPHSPCSGGPVDNDMFHWQVKIMGPKDSPYQGGVFFLRIQFPSK
+YPFKPLKIMFSA
+>tr|A0A3Q1LP61|A0A3Q1LP61_BOVIN A-kinase anchoring protein 4 OS=Bos taurus OX=9913 GN=AKAP4 PE=4 SV=1
+MSDDIDWLHSRRGVCKVDLYSPRGQQDQDRKVICFVDVSTLSMEDKDSKDAAGCSSEGDL
+NLENLEEKEIIVIKDTEKQDQSKTEGSVCLFKQAPSDPLSVFNWLLNDLQKYALGFQHAL
+SPSASSCKHKVGETEGNCQKLSSGNCYSIYANHLNMDCVNNEPQSLRLEMTAAKNTNNNQ
+SPSTPPGKSPSNQRAVISPDGECSMDDLSFYVNRLSSLVIQMARKEIKEKLENGSKCLHH
+SIYPPSGDKGKNSPRSAVSKIASEMAHDAVEVTSAEMRGTGEECRDGGRKTFLYSELSNK
+NKGGDKQMCQRDSKDFADSISKGLMVYANQVASDMMVSVMKTLKVHSSGKPIPACVVLKR
+VLLKHTKEIVSDLIDSCMKNLHNITGVLMTDSDFVSAVKRNLFNHGKQNAADIMEAMLKR
+LVSALLGEKKETKSQCLSYTSLKAGSHDAKCKNQSLEFSSVKAEMKGKDKCKVKQEECKS
+LTSAEKVSEHILKESLTMWNQKQCNQGKMPSKTCPHKEEKREKISPSTDSLAKDLIVSAL
+MLIQYHLTQQAKGKEACEDDCPPGTTSYMTQSAQYEKCGGSQSAKALSMKHLETRGAPGP
+STSMKDNQHLDSQKLDMSNMVLSLIQKLLNESPFNCEDLCEGENKHSEIRTNKSTSIFKK
+SDREEEQGQDNREVDFVGGMKQVNRQFIDQLVESVMKLCLIMAKYSNNEAALTDLEEQAS
+SSNNSNFYQTSGPRSSYEAGMSQSYQDSHGPEVIVNNQCSTSSLQKQLQAVLQWIAASQF
+NVPMLYFMGDDDGQLEKVSDSDTTRNKKKGGWSG
+>tr|E1B993|E1B993_BOVIN Ankyrin repeat and EF-hand domain containing 1 OS=Bos taurus OX=9913 GN=ANKEF1 PE=4 SV=1
+MALADKRLENLQIYKVLQCVRNKDKKQIEKLTRLGYPELINFTDPVNGNSALHLASVSND
+IDMVSFLLSLGAHPDVQDKMGCTPTMRAAELGHELSMEILAKAKADMTVVDNEGKGILFY
+CILPTKRHYRCALIALEHGADVNNCTCEGKPIFLRACEEAHDVKDVCLTFLEKGANPNAI
+NTSTGRTALMEASREGVTELVRGILERGGDVNAFDNDRHHAAHFAAKGGFFDILKLLFAY
+NGDMGLIAMNGNTPLHYAAMGGFADCCKYIAQRGCDLKWKNLEHKTPRNLAKEGGFKAAS
+KEIRRAEQIANKLARPGVKNPNPHLFLRLHDWSVEHETFLREAFSFVDRGDGTVTKEDFV
+LTLEERQDFVNSEQLAAIAQLHEKVRGGGVNINEFFKGTRYLSKSYVLGSYGPKKKKKGM
+AKRARVGKFVFPLPICIIPDHVFPRRSDGGPPYYMIETYKNVTDCNRFNRDHPPEHPIQD
+DSAWYIDDPGKVFSNINFITKAGDLASLKKAFESGIPVDMKDNYYKTPLMTACATGNIDA
+VKFLLEKGANVNATDNFLWTPLHFACHAGQQDIVELLVKSGAVVDALSINNSTPLSRAIE
+SCRLDTVKYLLDIGAKFQLENRKGHSAMDVAKAYADSRIIGLIKEKLDNLPKPAENQKPK
+GKPQPKAKTEGPEIKKEEETLSAIYTVPAIVEDKKMRKDNVVYLNSLITSGYTKKVDITF
+IPQRIWSPEATTAELIRMRELRRERLTYEVDFDDFMMPFQKHITERAQAVEASFKM
+>tr|Q2TA30|Q2TA30_BOVIN Ninjurin 1 OS=Bos taurus OX=9913 GN=NINJ1 PE=2 SV=1
+MDSRPEEYELNGDLRPSSPGSPGASPSRWGRNQPINMNHYANKKSAAESMLDIALLMANA
+SQLKAVIEQGPGFAFFIPLVVLISISLALQIAVGVLLIFLVRYDLNNPAKHAKLDFLNNL
+ATGLVFIIVVVNIFITAFGVQKPTMDMAPRQ
+>tr|A5PJB5|A5PJB5_BOVIN CRABP2 protein OS=Bos taurus OX=9913 GN=CRABP2 PE=2 SV=1
+MPNFSGNWKIIRSENFEDLLKVLGVNVMLRKIAVAAASKPAVEIKQEGDTFYIKTSTTVR
+TTEINFKIGEEFEEQTVDGRPCKSLVKWESENKMVCEQRLLKGEGPKTSWTRELTNDGEL
+ILTMTADDIVCTRVYIRE
+>tr|Q3SX47|Q3SX47_BOVIN Heterogeneous nuclear ribonucleoprotein C (C1/C2) OS=Bos taurus OX=9913 GN=HNRPC PE=2 SV=1
+MASNVTNKTDPRSMNSRVFIGNLNTLVVKKSDVEAIFSKYGKIVGCSVHKGFAFVQYVNE
+RNARAAVAGEDGRMIAGQVLDINLAAEPKVNRGKAGVKRSAAEMYGSSFDLDYDFQRDYY
+DRMYSYPARVPPPPPIARAVVPSKRQRVSGNTSRRGKSGFNSKSGQRGSSSKSGKLKGDD
+LQTIKKELTQIKQKVDSLLESLEKIEKEQSKQGVEMKNDKSEEEQSSSSLKKDETNVKME
+SEGGADDSAEEGDLLDDDDNEDRGDDQLELIKDDEKEAEEGEDDRDSANGEDDS
+>tr|Q08DW1|Q08DW1_BOVIN RAB9A, member RAS oncogene family OS=Bos taurus OX=9913 GN=RAB9A PE=2 SV=1
+MAGKSSLFKVILLGDGGVGKSSLMNRYVTNKFDAQLFHTIGVEFLNKDLEVDGHFVTMQI
+WDTAGQERFRSLRTPFYRGSDCCLLTFSVDDSQSFQNLSNWKKEFIYYADVKEPESFPFV
+ILGNKVDISERQVSAEEAQAWCRDNGDYPYFETSAKDATNVAAAFEEAVRRVLATEDRSD
+PLIQTDTVRLHRKPKPSSSCCGS
+>tr|A6QPK2|A6QPK2_BOVIN LOC617574 protein OS=Bos taurus OX=9913 GN=LOC617574 PE=2 SV=1
+MSRIRPGPWEKNKAPCLEFSHLSLKDSFRDLFNPQMEISLMLYTRKNPTCAESLFEKNDS
+LNINFNTSKKAVWLIHGYRPLGSTPSWLQNFVRILLNQDDMNIIVVDWNRGATTFLYVRA
+VKNTRKVAVSLSRYIQNLLVSLEFYGLYMLYNKWWFYNTTKR
+>tr|A6QP46|A6QP46_BOVIN IGBP1 protein OS=Bos taurus OX=9913 GN=IGBP1 PE=2 SV=1
+MAAAEDELLLPRLPELFETSKQLLDEVEIAAEPTSSQIIQDKVFKGLDLLKKAAEMLSQL
+DLFSQNEDLEEIASTDLKYLMVPAFQGAFTMKQVSPSKRLDHLQWAREHFLNFLTQCQYY
+HVAKFELPKTKTNLAGNNTANSSMAYPSIIAMASQRQAKKERYKQKKEVEHRLSALKSAV
+ESGQADDEHVREYYLLHLRRWIGISLEEIESIDQEIKILREKDSTHEASTSQSSHQDRPP
+MKPFVLTQNMAQAKVFGAGYPSLASMTVNDWYEQHRKFGALPDQGIATTMSEFKRAAQQQ
+EDQEHEEEEDDEQAVCRAREWDDWKDTHPRGYGNRQNMG
+>tr|F1N5R3|F1N5R3_BOVIN Polycystin 2 like 1, transient receptor potential cation channel OS=Bos taurus OX=9913 GN=PKD2L1 PE=4 SV=2
+MNAVGSPEEQELQELGSGAWDNPTYSGPPSPRGTLKIYTISSAVLSQPQPKQPEDGPQEK
+AHRTLVSSCCLRICHGIRGLWGTTLTENTAENRELYVKTTLRELLVYVVFLVDICLLTYG
+MTSSSAYYYTKVMSELFLHTPSDTGISFQAISSMEDFWDFAQGPLLDSLYWTKWYNNQSL
+GHGSHSFIYYENLLLGVPRLRQLRVRNDSCMVHEDFREDILSCYDVYSPDEEEQLPFGPL
+NGTAWTYHSQDELGGSSHWGQLTSYSGGGYYLDLPGSRRACEEALKDLKEGLWLDRGTRV
+VFIDFSVYNANINLFCVLRLVVEFPATGGAIPSWQIRTVKLIRYVSNWDFFIVGCEIAFC
+IFIFYYIVEEILELRIHRLRYLSSIWNILDLVVILLSIMAVGFHIFRTLEVNRLMGKLLQ
+QPNMYADFEFLAFWQTQYNNMNAVNLFFAWIKIFKYISFNKTMTQLSSTLARCAKDILGF
+AVMFFLVFFAYAQLGYLLFGTQVENFSTFVKCIFTQFRIILGDFDYNAIDNANRILGPVY
+FVTYVFFVFFVLLNMFLAIINDTYSEVKEELAGQKDELQLSDLLRQGYNKTLRRLHLRKE
+QVSDVQKVLQAGEQEIQFEDFTNTLRELEHTEHETTKLTAAFTRFDQDGNGVLDEKEQKE
+TQQKLEGERVAVHAEIENLGWSIVRSPPGESSPKQAAKTGGWVSGEEFYTLTRRVLQLET
+VLEGVMTQVDAMRSKLTMVERKGWLAPLPGAGEQGIWEHLQAAPAVTPAPWGL
+>tr|Q0II30|Q0II30_BOVIN DKFZP564O0823 protein OS=Bos taurus OX=9913 GN=PARM1 PE=2 SV=1
+MVCKTLFALCIFTAGLRLQSVSASIPSTDSLLAETTTPTAIWTSSPQSPPASPTSGPSNS
+SVLLDPTPVPTSLPTKNISTEPREEQSTSPASNWEGTDPSPTSGGVHLTPTPEEHSSDTP
+EAGVPTTGSQPPAESPTLTSPQGPASSPLPPSTSPPEVPSASISTSHSSAETSTEPTGAP
+TTPESHTEEHSSTLTPTSHASSESVPTEATPQATVPPKVTCILIDMETTTTSPGVIMQEV
+EHALSSGSIAAITVTVIAVVLLVFGVAAYLKIRHSSYGRLLDDHDYGSWGNYNNPLYDDS
+>tr|Q3MHX0|Q3MHX0_BOVIN Sulfite oxidase OS=Bos taurus OX=9913 GN=SUOX PE=1 SV=1
+MLLLLLHRAVAPGLRQAYRLKSTPARLCIRACCTNDSFQPQRSSFTFSGDNSSTRGWRVM
+GTLLGLGAVLACHDQQCRASQESPRRYTREEVKSHSSPETGVWVTLGCEVFDITEFVDIH
+PGGASKLMLAAGGPLEPFWALYAVHNQPHVREILAQYKIGELSPDDKAPSILKTSDPYAD
+DPIRHSALKVNTQRPFNAEPPPELLTENYITPNPIFFTRNHLPVPNVDPDTYRLNVVGPP
+GGQSLCLSLDDLYQFPKHEITVTLQCAGNRRSEMTQFKEVRGLEWSLGAISTARWAGARL
+CDVLAQAGYQLCETEAHVCFEGLDSDPTGTAYGASIPLARAMDPEAEVLLAYEMNGQPLP
+RDHGFPVRVVVPGVVGARHVKWLGKVSVEPEESFSHWQRRDYKGFSPSVDWDTVDFDSAP
+SIQELPIQSAITQPKEGETIGSGEVTVKGYAWSGGGRAVVRVDVSLDGGLTWQVAELEGE
+EQRARKAWAWRLWHLQAPLPAGIKELNIVCKAVDESYNVQPDTVAPIWNLRGVLNNAWHR
+VHVHVTP
+>tr|Q0VCB5|Q0VCB5_BOVIN Sulfotransferase OS=Bos taurus OX=9913 GN=HS3ST1 PE=1 SV=1
+MAPLLLGAVMLVAQLQLVPSRPAVVPGDEPGLPELVRKAAALQEEISDGAAPNGSAQQLP
+QTIIIGVRKGGTRALLEMLSLHPDVAAAENEVHFFDWEEHYSQGLGWYLSQMPFSAPHQL
+TVEKTPAYFTSPKVPERVHGMNPAIRLLLILRDPSERVLSDYTQVFYNHVQKRKPYPSIE
+EFLVRDGRLNVDYKALNRSLYHLHMQNWLRFFPLRRIHIVDGDRLIRDPFPEIQKVERFL
+RLSPQINASNFYFNKTKGFYCLRDSGRDRCLHESKGRAHPQVDPRLLNKLHEYFHEPNKK
+FFELVGRTFDWH
+>tr|A1A4Q6|A1A4Q6_BOVIN Peptidase inhibitor 3, skin-derived (SKALP) OS=Bos taurus OX=9913 GN=PI3 PE=2 SV=1
+MKTRSFLVQVVVLLVLGTLVAEAAIVRGRPKGQGTKERNVLVNEKSPINGQHPVKRPNPV
+KGQGPVKGQGPVKGQGPVKGQDPVKGQDPVKGQDPVKGQDPVKGQDPVKGQDPVKRQDRI
+GGPLLPKRGSCPRVLIRCAMMNPPNRCLRDAQCPGAKKCCESSCGKTCMDPR
+>tr|A6QLW6|A6QLW6_BOVIN SORBS3 protein OS=Bos taurus OX=9913 GN=SORBS3 PE=1 SV=1
+MARIPGVGRSSASPSLENKEEHERDVALNHQDPDRGHAEEQLSSPDPSMQAPPYSLPAGL
+SLDDFIPGHLLAHVGSSTRGTRVPVIRNGGSNTLNFQFHDPAPRTVCNGYFQPRRDASRH
+PDPAWYQTWPGPGSRPPGNQKTPASQHSQKWSATWTKDSKRRDKRWVKYEGIGPVDESGM
+PIAPRSSVDSPRDWYRRMFKQIHRKMPVDSSCATSADSRHPGPQQRPPARPNQMSPLSGR
+SWDVSEESLRSTFSCSPGAPSSLHQTSKQVLRRQEKADNVWTEESWNQFLQELETGQKPK
+KPLVDDPVEKPSQPIEVLLERELAKLSAELDKDLRAIETGQPSPKSSQAPRRSREPRPPA
+RPASAWSSSSPNALYPSSSLSPHRMADGGSPFLGRRDFVYPSSARDPSASDPGGSPARKE
+EKKRKAARLKFDFQAQSPKELTLKKGDIVYIHKEVDKNWLEGEHHGRLGIFPANYVEVLP
+ADEIPKPIKPPTYQVLEYGEAVAQYNFKGDLEVELSFRKGERICLIRKVNEHWYEGRISG
+TGRQGIFPASYVQVTREPRVRLCDDGPQLPASPRLPTARLVCRPSSPLTPRSPADPTDWG
+VQTSPRRTGFSLPPQESRPQTQSLSTPRSALSHPGGSSHPPDLGTSSSTTSEIHWTPYRA
+MYQYRPQNEDELELREGDRVDVMQQCDDGWFVGVSRRTQKFGTFPGNYVAPV
+>tr|E1BFC3|E1BFC3_BOVIN Gasdermin E OS=Bos taurus OX=9913 GN=GSDME PE=4 SV=1
+MFAKATRNFLKEVDAGGNLIAVSNLNDSDKLQLLSLVTKKKRYWCWQRPKYQFLSVTLGD
+VLTEDQFLSPVVVESDFVKYEGKFENHVSGTLETALGKVKLNIGGKGLVESQSSFGTLRK
+QEVDLQQLIGDAQERTINLKNPVLQQVLERKNAVLCVLTQKIVTTQKCVISEHVQIEETC
+GGMVGIQTRTVQVSAMEDGNIIKDTNVVLEIPAPTTIAYGVIELYVRADGQFEFCLLQGK
+HGGFEQERRSNSVLLDPLPFREFVFWDMPDAGQGLPAQDKPLSVLKQATLLLERNFHPFM
+ELPEQQQIALNDVLQAVLLDEELLVVLEQVCDDIVSSLSPSLVALGELKPSQKHNLTTFL
+RLVGCSVQGERLGSQDVVDNQKLFSTAFFLVSALAEMPDNAAALLGTCCKLQIIPLLYHL
+LRALSHDGVSDLEDPALAPLKDREKFGIVQRLFAATDINLERMQSSVKAASREDPNVLPL
+ILYISLSGLCALGRAQ
+>tr|G5E5J3|G5E5J3_BOVIN ZFP28 zinc finger protein OS=Bos taurus OX=9913 GN=ZFP28 PE=4 SV=2
+MISSLEQRKEPWAVKRKSTRGMHPDSQTLLKTQELPSENNFSEEALSQEVRTERPPEHSV
+LGEGWAYAAVCEGQPGLVAVTDVATDVSQRPDPAGQSFCRSVVWADRHPGTGGRCASEPD
+LVSLLERRKAPWTVDGELVRAPFSGQQCTEETQEFLSKQSSFAEVVTDRTSETNVGRSSL
+RESWDAERLFERELASQETRLGQEAVAHHNTLSEERVCPYNRPGRWFHLDVSEEGVHRPD
+SVKKALPPNSVLIKHAGIYTGKKLFRCHECKKTFTQSSSLTVHQRIHTGEKPYKCKECGK
+AFSDGSSFARHQRCHTGKKPYECLDCGKAFIQNTSLVRHWRYYHTGEKPFDCLDCGKAFS
+DHIGLNQHRRIHTGEKPYKCEACGKSFRYGSSLTVHQRIHTGEKPYACEVCRKAFSHHAS
+LTQHQRVHSGEKPFQCKECGKAFRQNIHLASHLRIHTGEKPFECADCGKAFSISSQLATH
+QRIHTGEKPYACKVCSKAFTQKAHLAQHQKTHTGEKPYECKECGKAFSQTTHLVQHQRVH
+TGEKPYKCLQCGKAFGDNSSCTQHQRLHTGQRPYGCAECGKAFKTKSSLICHRRSHTGEK
+PYECGACGKAFSHRQSLSVHQRIHSGKKPYECKECRKAFIQIGHLNQHKRVHSGERPHGP
+GRSRRAFRQGSHFAHARTHAGDAPAHAAPVARPADLLPAFLWSPPSLPSLPS
+>tr|Q2KJJ4|Q2KJJ4_BOVIN GTPase, IMAP family member 7 OS=Bos taurus OX=9913 GN=GIMAP7 PE=2 SV=1
+MAAIPNSTLRIVLVGKTGSGKSATANTILGEKVFKSRIAAEAVTKTCQKAVREWKGRELL
+VVDTPGLFDTKETLNTTCREISQCVLASCPGPHAIVLVLRLGRYTQEEQQTVALVKNLFG
+KAAMKYMIILFTRRDELGDQSLSDFLKYADVNLRSLLQECGDRCCAISNSMNTEQAEKEA
+QVQELVELIDKMVQNNQGAYFSDPIYKDIDQKLRQQEEHLKKVYVDELQNKIKLVEKEYA
+HKQPAEKEKQIMLLMQKHEERMKNIRGEAEMNIFQVAFNMIRNTLSHIWHMFW
+>tr|A4FUH3|A4FUH3_BOVIN SPINK4 protein OS=Bos taurus OX=9913 GN=SPINK4 PE=2 SV=1
+MAARLWIVALALAVLFLVDREVSVSAEKLVFWRMPICEHMAESPACPQTYDPVCGTDGVT
+YESECKLCLARIKNRQDIQILKDGKC
+>tr|A6QLZ4|A6QLZ4_BOVIN LOC536930 protein OS=Bos taurus OX=9913 GN=TMEM266 PE=2 SV=1
+MVASTVANGPRSPWDAISLIIMFRIWRVKRVIDAYVLPVKVEMEMVIQQYEKAKAIQDEQ
+LERLTQICQEQGFEIRQLRAHLAQQDLDLAAEREAALQAPHVLSQPRSRYKVVEAGTWAE
+ETAAESVVEELQPAQEATVKDDMNSYISQYYNGPSSDSGVPEPAVCVVTTAAIDIHQPNV
+SSDLFSVDVPLKLGSEGTGASASSESATRSTRSSGTRAQSDSSQTLGSSTDCSAAREEPS
+PRPSPSALPLPPPPSQQHMAEATVQDLLSPLSEDPCPSRRALDSAPLAQPSPEGSAQPSP
+ELEHRVSLFNQKNREGFTVFQIKPVIHFQPAAPVLEEKFRSLESKEQKLHRVPEA
+>tr|A7LMA0|A7LMA0_BOVIN Beta-defensin OS=Bos taurus OX=9913 GN=DEFB124 PE=2 SV=1
+MTQLLLLLVALLVLGHVPTGRSEFKRCWNGQGACRAYCTKYEAYMHLCSDATLCCLPYGL
+KPVKTEKV
+>tr|Q3SZW9|Q3SZW9_BOVIN DnaJ (Hsp40) related, subfamily B, member 13 OS=Bos taurus OX=9913 GN=DNAJB13 PE=2 SV=1
+MGQDYYSVLQITRNSEDAQIKNAYRKLALKNHPLRSIEPGAVETFRQIAEAYDVLSDPVK
+RGIYDKFGEEGLKGGIPLEFGSQTPWTTGYVFHGNPEKVFHEFFGGDNPFNEFFDAEGNE
+ADLKFGGLRGRGVKKQDPPIERDLYLSLEDLFFGCTKKIKISRRVLNDDGYSSTIKDKIL
+TIDVKPGWRQGTRITFEKEGDQGPNIIPADIIFIVKEKLHPRFRRENDNLFFVNSIPLGK
+ALTCCTVEVKTLDDRLLNIPINDIVHPKYFKKVPGEGMPLPEDPTKKGDLFIYFDIQFPT
+RLTPQKKQMLRQALLT
+>tr|A5PJA6|A5PJA6_BOVIN Stomatin (EPB72)-like 2 OS=Bos taurus OX=9913 GN=STOML2 PE=2 SV=1
+MLARAARGTGALLLKGSVQASARAPRRASSGLPRNTVVLFVPQQEAWVVERMGRFHRILE
+PGLNILIPVLDRIRYVQSLKEIVINVPEQSAVTLDNVTLQIDGVLYLRIMDPYKASYGVE
+DPEYAVTQLAQTTMRSELGKLSLDKVFRERESLNASIVDAINQAADCWGIRCLRYEIKDI
+HVPPRVKESMQMQVEAERRKRATVLESEGTRESAINVAEGKKQAQILASEAEKAEQINQA
+AGEASAVLAKAKAKAEAIRILAAALTQHNGDAAASLTVAEQYVSAFSKLAKDSNTILLPS
+NPGDVTSMVAQAMGVYGALTKAPIPEAQDSVSSRSSRDVRSTDASLDEELDRVKLS
+>tr|G3MZI2|G3MZI2_BOVIN ACPS domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=2
+MRWKLVAEKLNIPWNNIRLQRTAKGKPVLAKDSLNPYPNFNFNISHQGDYAVLAAESELQ
+VGIDIRKTSFPGRGSIPEFFHIMKRKFTDKEWETIRSFKDEWTQLDMFYRNWALKESFIK
+AIGVGLGFELQRLEFDISPLNLDIGQVYKETRLFLDGEEEKEWAFEESKIDEHHFVAVAL
+RKPNGSRHQNVTSQDDSKATQRQFTILTFNDLISSAVPMTPEDPFWDCFCFTEEISIRNG
+TKS
+>tr|Q0V8D0|Q0V8D0_BOVIN Microsomal NAD+-dependent retinol dehydrogenase 4 OS=Bos taurus OX=9913 GN=RDH16 PE=1 SV=1
+MWLYLVVLVGLYYLLRWYRERQVVSHLRDKFVFITGCDSGFGNLLARQLDLRGLRVLAAC
+LTEKGAEQLRNQTSDRLQTVILDVTKTDSVAAATEWVKERVGDRGLWGLVNNAGISTPTA
+PNEWLTKQDFMKILDVNLLGVIDVTLSVLPLVRKARGRVVNVSSIMGRVALLGGGYCMSK
+YGVEAFSDSLRRELSYFGVKVVMIEPGYFKTAVTSPKALSQGFQASWNQASPEIKELYGE
+KFMANLMKSVDLLETTSCQDLSLVTNCMEHALTACHPRTRYSPGWDAKFIYLPMSYLPSF
+LVDLMVYWRNPRPAKAL
+>tr|Q05B90|Q05B90_BOVIN Calcium channel flower domain containing 1 OS=Bos taurus OX=9913 GN=CACFD1 PE=2 SV=1
+MSGAGGAAVAPGSSAQPAQEEGMTWWYRWLCRLSGVLGAVSCAISGLFNCITIHPLNIAA
+GVWMVMNASVLLLCEAPFCCQFMEFANAVAAKADRLRSWQKAVFYCGMAVVPIVISLTLT
+TLLGNAIAFATGVLYGLSALGKKGDAISYARIQQQRQQVDEEKLTDTLEGEL
+>tr|M0QW30|M0QW30_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=CYP4F2 PE=3 SV=1
+MLELSLSWLGLGPVAASPWLLLLFTGASWLLARILAWTYTFYNNSRRLQCFPQPPKRNWF
+LGHLGLVPPTEQGMSKLTELVAKYSQGFRIWMGPITPIIVFCHPDLIRIVANASAAVAPK
+DVIFYEVLKPWLGDGLLLSAGDKWSRHRRMLTPAFHFNILKPYMKIFTKSTDIMHAKWQH
+LIKEGHTHLDMFEHISLMTLDSLQKCIFSYDSNCQEKPSEYIAAILELSALVAKRHQEIF
+LHMDFLYYLTPDGRRFRRACRLVHDFTDAVIQERHRTLPSESIDDFLKAKAKTKTLDFID
+VLLLTKDEDGKGLSDEDIRAEADTFMFEGHDTTASGLSWILYNLAKHKEYQERCRQEVQE
+LLKDREPKNIEWDDLAQLPFLTMCIKESLRLHPPVSVISRRCTQDTVLPDGRVIPKGVIC
+LISIFGTHHNPSVWPDPEVFDPFRFDPENIKGRSPVAFVPFSVGPRNCIGQTFAMTEMKV
+VLALTLLRFRVLPDKEEPRRKPELILRAEGGLWLRVEPLSTGQQ
+>tr|A4IF83|A4IF83_BOVIN TDG protein OS=Bos taurus OX=9913 GN=TDG PE=2 SV=1
+METVNAGSYSLQQAQAFYTFPFQQMMTEAPDMAVINAQEMPAEVPAPAPAQEPTRETPPK
+GRKRKPRTTEPKTPVEPPEPAEAKKSGKSTKSKEKQEKITDTFKVKRKVDRFNGVSEAEL
+LTKTLPDILTFNLDIVIIGINPGLMAAYKGHHYPGPGNHFWKCLFMSGLSEVQLSHMDDH
+TLPGKYGIGFTNMVERTTPGSKDLSSKEFREGGRILVQKLQKYQPRIAVFNGKCIYEIFS
+KEVFGVKVKNLEFGLQPHKIPDTETLCYVMPSSSARCAQFPRAQDKVHYYIKLKDLRDQL
+KGIERNTDVQEVQYTFDLQLAQEDAKKMAVKEEKYDPGYEAAYGGAYNENPCLGEPCGFS
+SNGLAADNGESTFSDIPNGQWMTQSFTDQIPSFSNHCGTQEQEGNHV
+>tr|Q0P5K8|Q0P5K8_BOVIN Nipsnap homolog 1 OS=Bos taurus OX=9913 GN=NIPSNAP1 PE=1 SV=1
+MAPRLCSISAAARRLLGGPGSGPRDVVAVAAARFYSKDNEGSWFRSLFVHKVDPRKDAHS
+TLLSKKETSNLYKIQFHNVKPECLDAYNSLTEAVLPKLHLDEDYPCSLVGNWNTWYGEQD
+QAVHLWRFSGGYPALMDCMNKLKNNKEYLEFRKERSQMLLSRRNQLLLEFSFWNEPQPRA
+GPNIYELRTYKLKPGTMIEWGNNWARAIKYRQENQEAVGGFFSQIGELYVVHHLWAYKDL
+QSREETRNAAWRKRGWDENVYYTVPLVRHMESRIMIPLKISPLQ
+>tr|Q32LE0|Q32LE0_BOVIN Fascin actin-bundling protein 3 OS=Bos taurus OX=9913 GN=FSCN3 PE=2 SV=1
+MEEVEWTPRQPRAEDLRVGLISWAGSYLTYEPFKNTVTATAKGLGRRQTWEILVSNEHET
+QAVVRLRSLQGLYLLCEADGSLCYGRPRTSHHGCFLIRFHRNGQWTLQCIISGRYLESDG
+EDVFCTSRVLSAYHMWTPRPALHVHVILFSPLNHCYARADPTMGRVWVDAPIPCLEECGF
+LLHFQDGCYHLETSAHTFLSHLDRLVSQPSTQTAFHMQVRPGGLVALSDGEGGMLYPQGT
+RLLLSLGSNPHGGEEWFILQRCPTWVSLTSKARKFLSVIYDVEVCAASEHISPMSLFQFE
+CDNETPTLQLRSANGCYLAQMFYRLTFTFMSMIHFETILESRPKFISLHMDTAQTGEVGE
+LISQHVFQKSI
+>tr|A6H6Y1|A6H6Y1_BOVIN BOLA-DQA1 protein OS=Bos taurus OX=9913 GN=BOLA-DQA1 PE=2 SV=1
+MILNRALIWGALALTTMMGPSGSEDIVADHIGAYGINVYHSYGPSGYYTHEFDGDEEFYV
+DLEKRETVWNLPLFSKFRRFDPQGALRNIATAKHNLEVLIQRSNSTTATNKVPEVTVFSK
+SPMMLGQPNTLICHVDNIFPPVINITWLKNGQLVIEGISETSFLSKDDHSFSKISYLTFL
+PSDDDVYDCKVEHWGLDKPLLKHWEPDIPAPMSELTETVVCALGLTVGLVGIVVGTVLII
+RGLRSGGPSRHQGPL
+>tr|Q56JW7|Q56JW7_BOVIN C-X-C motif chemokine OS=Bos taurus OX=9913 GN=CXCL13 PE=2 SV=1
+MRFTPGALILMLLAFSLSPVHGVLETNNTNLKCKCIRKTVSFFPVNLIERLNIIPRGRGC
+PNTEIIVWMKNKLVICLNPQAKWTQTLIKVLSKRILSTSPAPVVKKRSD
+>tr|A0A3Q1LUI3|A0A3Q1LUI3_BOVIN Transmembrane 4 L6 family member 18 OS=Bos taurus OX=9913 GN=TM4SF18 PE=4 SV=1
+MGSRKCGSCLSSLLIPLALWSIIVNILLYFPNGQASYASSNKLTNYVWYFEGICFSGIMM
+LVVAAVLLVLENDNNYKCCQSENCSKKYMTVLSMIFSALGIAFSGYCLVISALGLLQGPY
+CRTLDGWEYAFEGTAGRFLTDSREWIQCLEPAHVVEWNIILFSILIALSGLQVIVCLIRV
+VIQLSKSLCGTYSVIIQVPDPPGTCTSSFVQDSMCLAGRLFGIASFSFHSYCPHLYSASN
+ISIFPYIPVCLLACLNGLNQRNNYKIIFCVPW
+>tr|A0A3Q1M8J1|A0A3Q1M8J1_BOVIN Methylenetetrahydrofolate reductase OS=Bos taurus OX=9913 GN=MTHFR PE=3 SV=1
+MVNEPRGNGSPGPRWEGSSSGSESSKDSSRCSTPGLDPERCERLREKMKRRMDSGDKWFS
+LEFFPPRTAQGAVNLISRFDRMGAGGPLFVDVTWHPAGDPGSDKETSSMVIASTAVNYCG
+LETILHMTCCHQSREEITGHLNKAKQLGLKNILALRGDPIGDQWEEEEGGFNYATDLVKH
+IRNEFGDYFDVCVAGYPKGHPEGESFEADLKHLKEKVAAGADFIITQLFFEAETFFRFVK
+ACSEIGITCPILPGIFPIQGYHSLRQLVKLSKLEVPQQIKDVIEPIKDNDAAIRNYGIEQ
+AVSLCQELLASGLVPGLHFYTLNREVATIEVLKRLGLWIEDPRRPLPWALSAHPKRRVED
+VRPIFWASRPKSYIYRTQEWDEFPNGRWGNSSSPAFGELKDYYLFYLKSKSPKEELLKMW
+GEELTSEESVFQVFAHYLSGEPNQNGYKVTCLPWNDEPLAAETSLMKEELLRVNRRGILT
+INSQPNINGKPSSDPIVGWGPSGGYVFQKAYLEFFTSRETVEALLQVLKKYELRVNYHIV
+DVKGENITNAPELQPNAVTWGIFPGREIIQPTVVDPVSFMFWKDEAFALWIEQWGKLYEE
+ESPSRMIIQYIHDNYFLVNLVDNEFPLDNCLWQVVEDTFELLSRPPQDKRETEAL
+>tr|A3KN10|A3KN10_BOVIN PABPN1 protein OS=Bos taurus OX=9913 GN=PABPN1 PE=2 SV=1
+MAAAAAAAAAAGAAGGRGSGPGRRRHLVPGAGGEAGEGAPGGAGDYGNGLESEELEPEEL
+LLEPEPEPEPEEEPPRPRAPPGAPGPGPGSGAPGNQEEEEESGLVEGDPGDGAIEDPELE
+AIKARVREMEEEAEKLKELQNEVEKQMNMSPPPGNAGPVIMSIEEKMEADARSIYVGNVD
+YGATAEELEAHFHGCGSVNRVTILCDKFSGHPKGFAYIEFSDKESVRTSLALDESLFRGR
+QIKVIPKRTNRPGISTTDRGFPRARYRARTTNYNSSRSRFYSGFNSRPRGRVYRSG
+>tr|Q08DP7|Q08DP7_BOVIN Serine/threonine-protein phosphatase 2A 56 kDa regulatory subunit OS=Bos taurus OX=9913 GN=PPP2R5B PE=2 SV=1
+METKLPPASTPTSPSSPGLSPVPPPDKVDGFSRRSLRRARPRRSHSSSQFRYQSNQQELT
+PLPLLKDVPASELHELLSRKLAQCGVMFDFLDCVADLKGKEVKRAALNELVECVGSTRGV
+LIEPVYPDIIRMISVNIFRTLPPSENPEFDPEEDEPNLEPSWPHLQLVYEFFLRFLESPD
+FQPSVAKRYVDQKFVLMLLELFDSEDPREREYLKTILHRVYGKFLGLRAYIRKQCSHIFL
+RFIYEFEHFNGVAELLEILGSIINGFALPLKTEHKQFLVRVLIPLHSVKSLSVFHAQLAY
+CVVQFLEKDATLTEHVIRGLLKYWPKTCTQKEVMFLGEMEEILDVIEPSQFVKIQEPLFK
+QVARCVSSPHFQVAERALYFWNNEYILSLIEDNCHTVLPAVFGTLYQVSKEHWNQTIVSL
+IYNVLKTFMEMNGKLFDELTASYKLEKQQEQQKARERQELWQGLEELRLRRLQGTQGAKE
+APLQRLTPQVTGGGQS
+>tr|F1N261|F1N261_BOVIN Tyrosine-protein kinase OS=Bos taurus OX=9913 GN=LYN PE=1 SV=1
+MGCIKSKRKDNLNDDGVDLKTQPVRNTDRTIYVRDPTSNKHQRPVADSQLLPGQRFQTKD
+PEEQGDIVIALYPYDGIHPDDLSFKKGEKMKVLEQHGEWWKAKSISTKKEGFIPSNYVAK
+VNTLETEEWFFKDITRKDAERQLLAPGNSAGAFLIRESETLKGSYSLSVRDYDPVHGDVI
+KHYKIRSLDNGGYYISPRITFPCISDMIKHYQKQSDGLCRRLEKACISPKPQKPWDKDAW
+EIPRESIKLVKRLGAGQFGEVWMGYYNNSTKVAVKTLKPGTMSVQAFLEEANLMKTLQHD
+KLVRLYAVVTREEPIYIITEYMAKGSLLDFLKSDEGGKVLLPKLIDFSAQIAEGMAYIER
+KNYIHRDLRAANVLVSESLMCKIADFGLARVIEDNEYTAREGAKFPIKWTAPEAINFGCF
+TIKSDVWSFGILLYEIVTYGKIPYPGRTNADVMTALSQGYRMPRMENCPDELYDIMKMCW
+KEKAEERPTFDYLQSVLDDFYTATEGQYQQQP
+>tr|A8E4M6|A8E4M6_BOVIN HSD11B1L protein OS=Bos taurus OX=9913 GN=HSD11B1L PE=2 SV=1
+MQMNFLSYVQLTSSALPSLTDSKGSLVVVSSLLGRVPTSFSSPYSAAKFALDSFFSSLRR
+ELDVQEVNVAITMCVLGLRDRASAAEGVRGITRVRAAPGPKAALAVIRGGATRASGVFYP
+WRFHLLCLLRSWMPHSRAWFVRQELNITTPAAA
+>tr|F1MMF8|F1MMF8_BOVIN SPRY domain-containing SOCS box protein 1 OS=Bos taurus OX=9913 GN=SPSB1 PE=4 SV=1
+MGQKVTGGIKTVDMRDPTYRPLKQELQGLDYCKPTRLDLLLDMPPVSYDVQLLHSWNNND
+RSLNVFVKEDDKLIFHRHPVAQSTDAIRGKVGYTRGLHVWQITWAMRQRGTHAVVGVATA
+DAPLHSVGYTTLIGNNHESWGWDLGRNRLYHDGKNQPSKTYPAFLEPDETFIVPDSFLVA
+LDMDDGTLSFIVDGQYMGVAFRGLKGKKLYPVVSAVWGHCEIRMRYLNGLDPEPLPLMDL
+CRRSVRLALGKGRLGEIHALPLPASLKAYLLYQ
+>tr|F1MXU5|F1MXU5_BOVIN StAR related lipid transfer domain containing 10 OS=Bos taurus OX=9913 GN=STARD10 PE=4 SV=2
+MEQSAVSPEPPGPRPVLGRDSVQVPDDQDFRSFRSECEAEAGWNLTYSKAGVSVWVQAVE
+MDRTLHKIKCRMECRDVPAETLYDVLHDIEYRKKWDSNVIETFDIARLTVNADVGYYSWR
+CPKPLKNRDVITLRSWLPMGTDYIIMNYSVKHPKYPPRKDLVRAVSIQTGYLIQSTGPKS
+CVITYLAQVDPKGSLPKWVVNKSSQFLAPKAMKKMYKACVKYPEWKQKHQPHFKPWLHPE
+QSSLPSLALSELSVQHADSLENIDESAVAESREDRTGSAGGEGSDDDTSLT
+>tr|A0A3Q1M3L2|A0A3Q1M3L2_BOVIN Kinesin family member 19 OS=Bos taurus OX=9913 GN=KIF19 PE=3 SV=1
+MKDSGDSKDQQLMVALRIRPISVAELEEGATLIAHKVDEQMVVLMDPMEDPDDILRAHRS
+REKSYLFDVAFDFTATQEMVYQATTKSLIEGVISGYNATVFAYGPTGCGKTYTMLGTDHE
+PGIYVRTLNDLFRAIEETSDDMEYEVSMSYLEIYNEMIRDLLNPSLGYLELREDSKGVIQ
+VAGITEVSTINAKEIMQLLMRGNRQRTQEPTAANQTSSRSHAVLQVAVRQRSRVRDVLQE
+VRQGRLFMIDLAGSERASQTQNRGQRMKEGAHINRSLLALGNCINALSDKGTNKYVNYRD
+SKLTRLLKDSLGGNSRTVMIAHISPASSAFEESRNTLTYASRAKSIKTRVKRNLLSVSYH
+IAQYTNIIADLRGEIQRLKRKIEERGGRSLDRAQLGRGDIRHIQAEVQLHSGQGEQAAME
+HLREQLISTFQEQMDTRRRLLELENRGMELETDTSHHLLTIASWKHEKSRRALKWREERR
+KESFTKDDTRKDSDTGDNQPDIEEPAEVVSARESIATLMTEQKKLRKQKLALEQRCRELR
+ARGRRLEETLPRRVSSEQQREALGLLCRVHELELEKAEMQSQALLRDGALRHRREALRRL
+EQRLSLCEEIIRAQRQLIQDCNLAVPQHLKELYEVYLREHEEGNLERATMMDRLASRALQ
+DSALPKISPPGTVPTPEESDLESVKMPNSESPHQHGSFLPLLGTESEANHPFKTSSRAWQ
+AKGFCLPTPPPIRGGNLVTQEATTQVGLNGQINSSPESIENLSEIPLSHKGRKETAADTK
+SIAGKAARHHLQALGAKGRQLLAPTIKQSSLSLHLKGQTDDVRPPGPLACKRPPSPTLQH
+TASEDSLSSSTGEAPSRAVECHGNGPCPPLQGQEKSPRNKREESLEAKRKRRSQAFEVTG
+QGVSLEGQELGVSGPPPCRVLKAGLLQRPQGTTSCAPLSMEFPPTEPNISLYQIPTQLPA
+HLALQPTQLSRPKMHVAGPRPVENHTEEQRMPVVGHLTPTIRPLGKTTLPMAKVKLPPCQ
+SLGPEDTTPVAAPSNPGDVSERVARMPRLPYGTSTQNKNGHFGHN
+>tr|G3MZW4|G3MZW4_BOVIN SH3 domain and tetratricopeptide repeats 1 OS=Bos taurus OX=9913 GN=SH3TC1 PE=4 SV=2
+MEGPEEPAPRGNGPAGPWGGSGDHGVREVAKSTSVSWERPRPEEAKATVRGDLTLQLLAV
+RRKSGLPDPNLQQALRGQLRLLENESCEVARTLGELSARLLSIHSDQDRLVVTFKTFEEI
+WKFSTYHALGFTHHCLENLLMDQAFWLLEPDEDQETAVRVQVDAEALRLAYESLLVQEGP
+FFVLCPDHHVRVRNGPQPFRRPPRGPQADAATAVDSPAPSPGTSSEAEGAAAAAPEPLIP
+FHQWALRVPKDSVNDPLGGPVAPDVQLMAMGPALAVADCQGSGPEEMTFRSGDRIEILGA
+QVPGLPWCLGRHTASGQVGFVRTSLINVQGQASDLENVIFLSEEERSFFSREGHFSEEEA
+RQLLRRTSSTNVCTMYRLDTSEEAETQQQQEEQEMSPPCLNPEPQEILQTVKNVLKQCKS
+CRGCPEEPGSPGVHRASSGASLPDTEEPFFCLDTGDDWADPEALGSQLLFLDSPGFQAGF
+QGLYDLSPPTLSSVFGGFTDEEELVRRLAEARGVAKKAGLPMALARLCFLLGRLCVRQLK
+LSQARVYFEEALGVLGGRFGDLVLVAAVYTSLASVHLRQKNKEKCAQVVPKALALLLGTP
+GHVGSSEADSSLLTLALRRAISSRSPQAEARACFLLAKHHARLKQPEEAMPFLERLLLLL
+PEAAGTPGTSWPMDCYLLLASIYSQRCLPHLALSCIRVASLRAQGSLGSALRSAALVLQN
+SPRLPLLPAQLAHYLRRALATLASGSGQALHGPVYASLAQLYSQHGWQGRAIAFMAQAVE
+TDARLGGCTVVDRLVALAWLHVLHRQSPAALGILESLLEAAVATPDQEGLITNMMAIALK
+RTGRTRRAAESYYRALRVARRLSRPQNEAVVLANFGALCLQAGAGGLAQHYLLEAVKLFS
+RLPSREGSPDFTRVLLRLGDLCTRRALARQAKCYYEWAFLVAVEMDHWESQLLAVQRLCH
+FYSAVVPNEARCVVYHEFQLSLARRVNDKVLEGQLLETISQLYLSLGTERAYRSALEYTK
+RSLGVFIDLLKKDKEAHAWLQAGKIYYVLRQSELVDLYIQAAQNAALYTGDPGLGLQLFE
+EAGDIFFNGTWDREKAVSFYRDRALPLAVSTGSREAELRLCNKLSALLAETEAPQEGLEF
+AHTALALSLTLGDQLNQRVACHRLAALHHRLGHGELAEHFYLKALSLCTSPLEFDEETLY
+YVKVYLALGNIIFYDLKDPLDAAGYYQLALAAAMGLGSKRAQMKLCARLASIYHHSLLDR
+ETSLFFYQKARTFATELSVRRGRLAPGPPS
+>tr|Q2KIU4|Q2KIU4_BOVIN Chromosome 18 C16orf78 homolog OS=Bos taurus OX=9913 GN=C18H16orf78 PE=2 SV=1
+MTEQSEDLKNSLPTERKLVWRSAEERRMSDLTRVLEWLERRQGKKKQALQKSKPKVVIAS
+AEPAKEEKKTKTALKHQGARRRSQGNQQVAFSEQISSQASGQNSKEDETSALYRRLYRVE
+AKGKRLSSLTPSTNPKDTPRRSDLDIKDAVAQESAQRPMQYRRQSVIEPVLQDTAFAQRK
+STVFREWGGKIPDASYERKLKSLMEKGTEPKMEMMKMLKPEEVLSCRYLRLSRTTFEPCS
+SCARMRE
+>tr|E1B7R0|E1B7R0_BOVIN DIS3-like exonuclease 2 OS=Bos taurus OX=9913 GN=DIS3L2 PE=3 SV=2
+MSHPDYRVNLRPLGTPRGVSSLVGPHGVGASPGDKKSKSKTTRGKKKSIFETYMSKEDVS
+EGLKRGTLIQGVLRINPKKFHEAFIPSPDGDRDIFIDGVVARNRALNGDLVVVKLLPEEQ
+WKVIKPESNDKETEGAYESDLPEELCGSQLPQQSLKGYNDSPDVIIEAQFDDSDSEDGHG
+NTQNALVDGVKKLSVCVQEKGKEDAGAPVIKDENTFTSQDSRVLPEKSLQRSAKVVYILE
+KKHSRAATGFLKLLADKNSELFRKYALFSPSDHRVPRIYVPLKDCPQDFVTRPKDYANTL
+FICRIMDWKEDSNFALGQLAKSLGQAGEIEPETEGILTEYGVDFSDFSSEVLECLPQGLP
+WTIPPEEFSRRRDLRKDCIFTIDPSTARDLDDALSCKSLADGNFEVGVHIADVSYFVPEG
+SSLDKVAAERATSVYLVQKVVPMLPRLLCEELCSLNPMTDKLTFSVIWTLTPKGKILAEW
+FGRTIIRSCTKLSYEHAQSMIESPTRRIPERELPAISPEHTCDEVHRAVLNLHGVAKELR
+RQRFADGALRLDQLKLAFTLDHETGLPQGCHVYEYRDSNKLVEEFMLLANMAVAHKIHRA
+FPEQALLRRHPPPQTKMLNDLVEFCDQMGLPMDFSSAGALNKSLTEMFGDDKYSLARKEV
+LTNMCSRPMQMAVYFCSGALRDPAQFRHYALNVPLYTHFTSPIRRFADVLVHRLLASALG
+YRELPDVEPDSLQKQADHCNDRRMASKRVQELSTGLFFAILVRESGPLESEAMVMGVLNQ
+AFDVLVLRYGVQKRVYCNMLPLRSHHFQKVGKKPELTLVWEPEDLEQEPVQQVITIFNLV
+EVVLQAEATALKYSAVLKRPGTEGLLDPQDQLGCGNHKEEQD
+>tr|A4FUY5|A4FUY5_BOVIN TOB2 protein OS=Bos taurus OX=9913 GN=TOB2 PE=2 SV=1
+MQLEIKVALNFIISYLYNKLPRRRADLFGEELERLLKKKYEGHWYPDKPLKGSGFRCVHI
+GEIVDPVVELAAKRSGLAVEDVRANVPEELSVWIDPFEVSYQIGEKGAVKVLYVDDSEGC
+VAPELDKEIKSSFNPDAQVFVPIGSQDSSLSNSPSPSFGQSPSPTFIPRSAQPITFTTAS
+FAATKFGSTKMKKGGGAAGGGGVASGGAGGPQPPQQQPRLARSPTNNLLKQKSLSLSLHS
+LNFITANPAPQSQLSPNAKEFVYNGGGSPSLFFDGADGPGSGSPASFGGSGAGTCNSSSF
+DVAQVFGGGANSLFLEKTPFVEGLSYNLNTMQYPSQPFQPVVLAN
+>tr|Q3T0F9|Q3T0F9_BOVIN Growth factor receptor-bound protein 2 OS=Bos taurus OX=9913 GN=GRB2 PE=2 SV=1
+MEAIAKYDFKATADDELSFKRGDILKVLNEECDQNWYKAELNGKDGFIPKNYIEMKPHPW
+FFGKIPRAKAEEMLSKQRHDGAFLIRESESAPGDFSLSVKFGNDVQHFKVLRDGAGKYFL
+WVVKFNSLNELVDYHRSTSVSRNQQIFLRDIEQVPQQPTYVQALFDFDPQEDGELGFRRG
+DFIHVMDNSDPNWWKGACHGQTGMFPRNYVTPVNRNV
+>tr|A6QR61|A6QR61_BOVIN SNX12 protein OS=Bos taurus OX=9913 GN=SNX12 PE=2 SV=1
+MSDTAVADTRRLNSKPQDLTDAYGPPSNFLEIDIFNPQTVGVGRARFTTYEVRMRTNLPI
+FKLKESCVRRRYSDFEWLKNELERDSKIVVPPLPGKALKRQLPFRGDEGIFEESFIEERR
+QGLEQFINKIAGHPLAQNERCLHMFLQEEAIDRNYVPGKVRQ
+>tr|F1MD44|F1MD44_BOVIN Rieske domain-containing protein OS=Bos taurus OX=9913 GN=LOC537017 PE=4 SV=3
+MGSIEQTAELLLCLSPAEVANLKEGINFVRNKSTGKDYILYKSKSLLRACKNMCKHQGGL
+FIKDIEDLDGRSVRCTKHNWKLDVSTMKYINPPGSFCQDELVVEESKENELLLLELNPPN
+PWDSEPRSPEDLAFGEVQITYLTHACMDLKLGDKRMVFDPWLTGPAFARGWWLLHEPPSD
+WLERLCQADLIYISHMHSDHLSYPTLKKLAGRRPDIPIYVGKTERPVFWNLNQSGVQLTN
+INVVPFGIWQQVDKNLRFMILMDGIHPEMDTCIIVEYKGHKILNTVDCTRPNGGRLPMKV
+DLMMSDFAGGASGFPMTFSGGKFTEEWKAQFIKTERKKLLNYKARLVKDLQPRIYCPFAG
+YFVESHPSDKYGWTFCITYLHTS
+>tr|A7YY37|A7YY37_BOVIN EPB41L4A protein OS=Bos taurus OX=9913 GN=EPB41L4A PE=2 SV=1
+MGCFCAVPEEFYCEVLLLNESKLTLTTQQQGIKYWLDPAKTLSEHKELINTGPPYTLYFG
+IKFYAEDPCKLKEEITRYQFFLQVKQDVLQGRLPCPINTAAQLGAYAIQAELGDYDPYKH
+TAGYVSEYRFVPDQKEELEEAIERIHKTLTGQAPSEAELNYLRTAKSLEMYGVDLHPVYG
+ENKSEYFLGLTPVGVVVYKNKKQVGKYFWPRITKVHFKETQFELRVLGKDCSETSFFFEA
+RSKTACKHLWKCSVEYHTFFRMPENESNSLSRKLSKFGSMSYKHRYSGRTALQMSRDLSI
+QLPRPDQNVSRSRSKTYPKRIAQTQPAGSNSINRVTANMENGENEGTTKIIAPSPIKSFK
+KAKNENSPDTQRSKSHAPWEENGPQSPLQTTLTIPLPLQRTL
+>tr|A6QQN1|A6QQN1_BOVIN IGSF1 protein OS=Bos taurus OX=9913 GN=IGSF1 PE=2 SV=1
+MTLDRPGEGATMLRTFTLLLFCAGLSLGVTPMAVMPSQPELWIESNYPQAPWENITLWCK
+SPSQISSKFLLLKDKTQMTWIRPSRKTFQVSFPIGALTQANTGLYRCCYWKETGWSEPSK
+VLELEAPGQLPKPVFWIQTETSPLPGCNVNILCHGWLQDLVFMLFKEGYAEPVDYQVPTG
+RVAIFSIANMTPESEGVYICRTHIQMLPTLWSEPSNPLKLIVAGGCGYGCWGLMIIVPGI
+MVG
+>tr|Q0IIH0|Q0IIH0_BOVIN WD repeat domain 45 OS=Bos taurus OX=9913 GN=WDR45 PE=2 SV=1
+MTQQPLRGVTSLRFNQDQSCFCCAMETGVRIYNVEPLMEKGHLDHEQVGSMGLVEMLHRS
+NLLALVGGGSSPKFSEISVLIWDDAREGKDSKDKLVLEFTFTKPVLAVRMRHDKIVIVLK
+NRIYVYSFPDNPRKLFEFDTRDNPKGLCDLCPSLEKQLLVFPGHKCGSLQLVDLASTKPG
+TSSAPFTINAHQSDVACVSLNQPGTVVASASQKGTLIRLFDTQSKEKLVELRRGTDPATL
+YCINFSHDSSFLCASSDKGTVHIFALKDTRLNRRSALARVGKVGPMIGQYVDSQWSLASF
+TVPAESACICAFGRNTSKNVNSVIAICVDGTFHKYVFTPDGNCNREAFDVYLDICDDDDF
+>tr|A0A3Q1M0X4|A0A3Q1M0X4_BOVIN NACC family member 2 OS=Bos taurus OX=9913 GN=NACC2 PE=4 SV=1
+MSQMLHIEIPNFGNTVLGCLNEQRLLGLYCDVSIVVKGQAFKAHRAVLAASSLYFRDLFS
+GNSKSAFELPGTVPPACFQQILSFCYTGRLTMAASEQLVVMYTAGFLQIQHIVERGTDLM
+FKVSSPHCDSQTTMIEDASSEPQSPCNQLQPAAAPPYVVSPSVPIPLLTRVKHEALELPL
+AAGPGLAPKRPLETGPRDGAAVATGSAVAAGTAPLKLPRVSYYGVPSLATLIPSIQQAPY
+SQGERTSPGASSLPTTDSPTSYHNEEDEEDDEAYDTMVEEQYGQMYIKATGSYAVQEKPE
+PVPLESRSCVLIRRDLVALPASLISQIGYRCHPKLYSEGDPGEKLELVAGSGVYITRGQL
+MNCHLCAGVKHKVLLRRLLATFFDRNTLANSCGTGIRSSTSDPSRKPLDSRVLNAVKLYC
+QNFAPSFKESEMNVIAADMCTNARRVRKRWLPKIKSMLPEGVEMYRTVMGSSAAGAPLDP
+EFPPAAAQVFEQRIYAERRGEAAAIVALRTDAVNVDLAASANPAFEAGEEADGAGSVIQE
+VAAPEPLPADGQSPPQPFEQGSASSSRPATPAPGRRPEGPYAGPL
+>tr|E1BAS8|E1BAS8_BOVIN Ubinuclein 1 OS=Bos taurus OX=9913 GN=UBN1 PE=4 SV=2
+MSEPHRVQFTSLPGSLNPAFLKKSRKEEVGGAEQHQDSEPAAAAVRITLTLFEPDHKRCP
+EFFYPELVKNLRGKVKGLQPADKKKDLLDPFNDEEKERHKVEALARKFEEKYGGKKRRKD
+RIQDLIDMGYGYDESDSFIDNSEAYDELVPASLTTKYGGFYINSGTLQFRQASESEDDFI
+KEKKKKSPKKRKLKEGGEKIKKKKKDDTYDKEKKSKKSKFSKAGFTALNASKEKKKKKYS
+GALSVKEMLKKFQKEKEAQRKRDEEHKPIAVSSAEAQGLRELEGASDPLLSLFGSASDND
+LLQAATAMDSLTDLDLEQLLSESPEGSPFRDMDDESDSLGVGLDQEFRQPSSLPEGLPAP
+LEKRVKELAQAARAAEGESRQKFFTHDVNGILLDIEAQTRELSSQTRSGVYAYLASFLPC
+SKDTLVKRARKLHLCEQGGRLKEPLQKLKEAIGRAMPEQMAKYQDECQAHTQAKVAKMLE
+EEKDKEQRERVCSDEEEDEEKGGRRIMGPRKKFQWSDEIRELLCQVVKIKLESFDLEKNK
+AQSWEDYVKAFLDAEVKPLWPKGWMQARTLFKESRRGHGHLTSILAKKKVMASCKMKVKE
+SSAKPDKKVSVSSGQTGSPLALPSEHLGGGLGVGAASREPSSQASGSLAHPAPINLEDSL
+DGDLVHNSASSLEAVSKELAVLHSRAGGSSEFPLPTPVKVPAEKLAGVLCPEEKRNFPKP
+SPSSAPPPSSSLQSPLNFLAEQALALGQSSQEKKTESSGYKELSCQAALGKGPPEGQQPK
+AKHHGLPRTSHVPPAGTAVPDPQVKVFHAGTQPQKSFTPPAPFVNKLQGPKPGSPQCHRS
+LLQLVKTATKSQSFHASMPASSGTPASSSSSHKTPASCSAAVSHPAKPHSASSSGPPYKT
+SPFLGSASKHGVSSGSPSSGGTPVQSPASGNLLPTTQPPSTGQSTSRPVPGPAVKKPPVP
+QKLTLVAPPGGPNGDSSGGTQGVAKLLTSSLKPSAVSSVTSSTSLPKGASGAVLLTGSSS
+LNLLSSSYKAGSPKLPGALNSSPLGIISQLPLHVISFSADSSAKAGVSKDAIVTGPAPGT
+FHHGLGHSLLAGVHSSPPRAAPLPHTAVSTHLPQSLPDASQLHGKGPSVPRKL
+>tr|A7YWF1|A7YWF1_BOVIN ACSS2 protein OS=Bos taurus OX=9913 GN=ACSS2 PE=1 SV=1
+MGLPEERSRSGSGSGAREEAGARSPTRSWSPPPEVSRSAHVPSLQRYRELHRRSLEEPRE
+FWGDIAKEFYWKTPCPGPFLQYNFDVTKGKIFIEWMKGATTNICYNVLDRIVHEKKLGDK
+VAFYWEGNEPEETTQITYRELLVQVCRFSNVLRKQGICKGDRVAIYMPMIPELVVAMLAC
+ARLGALHSIVFAGFSSESLCERILDSNCSLLITTDAFYRGEKLVNLKELADEALEKCQEK
+GFPVKCCIVVKHLGRAELVTGDSPSQSPPIKRPCPDVQISWNEGVDLWWHELMQKAGDEC
+EPEWCDAEDPLFILYTSGSTGKPKGVLHTVGGYMLYVATTFKYVFDFHAEDVFWCTADIG
+WITGHSYVTYGPLANGATSVLFEGIPTYPDVSRLWNIVEKYKVTKFYTAPTAIRLLMKFG
+DEPVTKHSRASLQVLGTVGEPINPEAWLWYHRVVGAQRCPIVDTFWQTETGGHMLTPLPG
+AIPMKPGSATFPFFGVAPAILNESGEELEGEAEGYLVFKQPWPGIMRTVYGNHERFETTY
+FKKFPGYYVTGDGCRRDKDGYYWITGRIDDMLNVSGHLLSTAEVESALVEHKAVAEAAVV
+GHPHPVKGECLYCFVTLCDGHIFSPALTEELKKQIREKIGPIATPDYIQNAPGLPKTRSG
+KIMRRVLRKIAQNDHDLGDTSTVVDPSIITQLFSHRCLTIQ
+>tr|Q3T156|Q3T156_BOVIN Chromosome 9 open reading frame 46 ortholog OS=Bos taurus OX=9913 GN=PLGRKT PE=2 SV=1
+MGFIFSKSMNENLKSQQEFMLMNSRLQLERQLIMQNEMRERQMAMQIAWSREFLKYFGTF
+FGITAVSLTAGAIKGKKPVLIFPIVPLGFVLAYQYDMGYGTLIHRMKGEAENILETEKSK
+LQLPKGMITFESLEKARKEQSKFFIDK
+>tr|B5TM86|B5TM86_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=2 SV=1
+MRLPDDGWVWSTQGNLEVATRLFAVARRKGVGHKMKHGGSAEVFGFELMGRLCGQSESEH
+R
+>tr|A7Z074|A7Z074_BOVIN DTX3 protein OS=Bos taurus OX=9913 GN=DTX3 PE=2 SV=1
+MSFVLSRMAACGGNCKNKVTVSKPVWDFLSKETPARLARLREEHHVSILIDGETSDIYVL
+QLSPQGPPPAPPNGLYLARKALKGLLKEAEKELKKAQRQGELMGCLALGGGGEHPELHRP
+GPPPLRAAPLLPPGARGLPPPPPPLPPPLPPRLREETEEQESTCPICLGEIQNAKTLEKC
+RHSFCEGCITRALQVKKACPMCGRFYGQLVGNQPQNGRMLVSKDATLLLPSYEKYGTIVI
+QYVFPPGVQGAEHPNPGVRYPGTTRVAYLPDCPEGNKVLTLFRKAFDQRLTFTIGTSMTT
+GRPNVITWNDIHHKTSCTGGPQLFGYPDPTYLTRVQEELRAKGITDD
+>tr|Q58DP6|Q58DP6_BOVIN Ribonuclease A family member 4 OS=Bos taurus OX=9913 GN=RNASE4 PE=2 SV=1
+MALQRTQAFLLLLLLTLLGLGLVQPSYGQDRMYQRFLRQHVDPDETGGNDSYCNLMMQRR
+KMTSHQCKRFNTFIHEDLWNIRSICSTTNIQCKNGQMNCHEGVVRVTDCRETGSSRAPNC
+RYRAKASTRRVVIACEGNPEVPVHFDK
+>tr|A6QQH2|A6QQH2_BOVIN HAUS augmin like complex subunit 7 OS=Bos taurus OX=9913 GN=HAUS7 PE=2 SV=1
+MAELGPVGGGGSGGGYEGESDDSSFQAAVEVFAKLKDLNCPFLDGLYITEPKTIQELLCS
+PSKYRLEILEWMCTRVCPSWLDRFSSLKGVSAEVKIQAEMVKLGHELMLCGLDDQELLKG
+RACAQKQLHFMDQLLDVVHSLSVGDSSCPSAEECFEDTREKTEGLLGELFSSPCLQTLLR
+PKCESWPVDMQPLLDKQSDDRQGASPLVKLEEEKVAKLARQLQESASKLQALQVECFAQQ
+GATVGSAHASTLDQKLRLVISDFHQLVMAFLQVYDGELGEYCQRPGPYLHPCGPIIQAVY
+QTLTSCSQLLKAAVEVTSTSVKAVHMANQQHDEQICWGNNSSVMSLATKMEELTQQYKTF
+SNCLHKGPQ
+>tr|Q08DY7|Q08DY7_BOVIN USH1 protein network component harmonin binding protein 1 OS=Bos taurus OX=9913 GN=USHBP1 PE=2 SV=1
+MSARATRPRSRRGRHALPGELDPVAESSEEAEAASGSSEPGPVASQDSTKLELLGPEVEV
+KGQGLESRTDKEVDGDSSRGPAPAPEGRPCEETHQAPEAAPQDGEGVPSGPDIFQTLQQA
+LSSLEAAAAAWRHRPPNCPGPVEAKDGSEGAPKPCLEQEGAGSCQREAARLAERNAWLRL
+ALGSREDELIRTQKFLQAFQAEKEMLQREVQELQDSLLRLEPFSPPSCDQAGGSGSGSSS
+SGADGETWGSQDPFSRAHPLLRRLQSDSSTQMLGSLPTQPLAPEMHIMEAQMEKLRGNIE
+KLKCFNRLLSAVLQEYKGRCEGLSMQLGQQEAEATALRLALQYSEHCEEAYSALLTLREA
+DSGAGKEAFVDDLEAAEKEAQRLLVQEKAAMDGVTLQDPYPSPEGSSVDKPTSQEVASQL
+QGYVQRLQERRALVKIPSEPGSTWVPISTVPHAEAMVQAILGTQPGPALPRLEKMQIQQD
+LAATRETLEDLMLQLQLVRREKRALELREAALRAQGPAHVLLLEQLRWERAQLRTGEANS
+SGGDSSGGGSTGDEEEWPQGPPAVPGGSRGIDGGQVSKMQDPEELTQELSASLTRALGLR
+EQLQSLREELEEVARKGRARRAQSAELNSDLCKAHSTLVMAFRGAHRKQEEQRRKLEQQM
+TLMEARQAEELAVLEATARALERSRLPCPPSRPGETFL
+>tr|A6QPR8|A6QPR8_BOVIN ZNF483 protein OS=Bos taurus OX=9913 GN=ZNF483 PE=2 SV=1
+MTAVSPDPHTLVSSEQSKVLRMETPGTPESLMRRDTADPESFRQRFRWFCYSEEAGPRKT
+LNQLWELCVQWLRPDIHTKDQILELLVFEQFLTILPGEIRIWVKSQHPKSSEEVVTLVED
+LTQVLEEKKGEINR
+>tr|A0A140T835|A0A140T835_BOVIN Carboxylic ester hydrolase OS=Bos taurus OX=9913 GN=ACHE PE=3 SV=1
+MRPPWCPLHTPSLTPPLLLLLFLLGGGAEAEGPEDPELLVMVRGGRLRGLRLMAPRGPVS
+AFLGIPFAEPPVGPRRFLPPEPKRPWPGVLNATAFQSVCYQYVDTLYPGFEGTEMWNPNR
+ELSEDCLYLNVWTPYPRPSSPTPVLVWIYGGGFYSGASSLDVYDGRFLTQAEGTVLVSMN
+YRVGAFGFLALPGSREAPGNVGLLDQRLALQWVQENVAAFGGDPTSVTLFGESAGAASVG
+MHLLSPPSRGLFHRAVLQSGAPNGPWATVGVGEARRRATLLARLVGCPPGGAGGNDTELV
+ACLRARPAQDLVDHEWRVLPQESVFRFSFVPVVDGDFLSDTPEALINAGDFHGLQVLVGV
+VKDEGSYFLVYGAPGFSKDNESLISRAQFLAGVRVGVPQASDLAAEAVVLHYTDWLHPED
+PARLREALSDVVGDHNVVCPVAQLAGRLAAQGARVYAYIFEHRASTLSWPLWMGVPHGYE
+IEFIFGLPLEPSLNYTIEERTFAQRLMRYWANFARTGDPNDPRDPKAPQWPPYTAGAQQY
+VSLNLRPLEVRRGLRAQACAFWNRFLPKLLSATDTLDEAERQWKAEFHRWSSYMVHWKNQ
+FDHYSKQDRCSDL
+>tr|A0A3Q1MHD1|A0A3Q1MHD1_BOVIN Peptidase_M28 domain-containing protein OS=Bos taurus OX=9913 GN=NAALADL2 PE=4 SV=1
+MAYQKVNADQRAPGHSQYLDNDDLQATALDLEWDMEKELEEPGFDQFQLNSAENQNLENS
+ETADLNLDPIQPATSPKGRFQRLQEESDYVTHYTRSAPKSNPRNFCRLLKIFCTAIILFI
+SGILIGYYSHKNCPSNATSSGTLDLHLYQEILKTIQGEDIKKSFRNLVQLYKSEDDMDIS
+KKIKTQWTSLGLEDVQLVNYSVLLNLPGLSPSSVTLSSSGQCFHPNGQPCSEEARKWSSQ
+DLLYSYAAYSANGILEAEVIDVSYGNADDLKRIKKMKNVTNQIALLKLGKLPLLYKLSLL
+EKTGFGGVLLYIDPCDLPKTANLSYNTFMVSLNPGGDPSTPGYPSIDGSFRQHRSNLTSL
+LVQPISASLVAKLISLPKDRTTNGACSPLELPNNEERIVNMKIQTVTKFETVTNVVGYLK
+GVTFPDRYVIVGSHHHTAHSYNRQEWASSTAVITAFIRALMLRVKRGWRPDRTIVFCSWG
+GTAFGNIGSYEWGEDFRKVLQRNVVAYISLHSPVRGNSSLHSVASPSLQQLVAEKNKFNC
+PRRDQCPKTNISSEQIQDDADYFINHLGVPTVRFTYEDIKTLEGPSFLSEALFPKHATKI
+EEMDPFFNLHETITKLSGEVILQIANEPVLPFNALDIALEVQNSLKGDQTTTPQLLALAS
+RLRESAELFQSDEMRPANDPKERDPMRVRMLNDLLQDMEKSFLVQHAPPGFYRNILYHLD
+GKTSQFSILLEAWEHCKSLASNETLQEALSEVLNSINAAQVYFKAGLDVFESVLVGKN
+>tr|A5PJ86|A5PJ86_BOVIN MRPL12 protein OS=Bos taurus OX=9913 GN=MRPL12 PE=2 SV=1
+MLPSATSLLRGPCLGLRAAALRLVRQQVPHVCAVRLMRCSSHRRGEALTGAPLDNAPKEY
+PPKIQQLVQDIASLTLLEISDLNELLKKTLKIQDVGLMPMGGMVPGAAPAPTAPEAAEED
+VPKQKERTHFTVRLTEAKPVDKVKLIKEIKNYVQGINLVQAKKLVESLPQEIKANVAKAE
+AEKIKAALEAVGGTVFWSSCPGDCGQGSRALSGALPALRPAPRLSG
+>tr|Q3ZBF2|Q3ZBF2_BOVIN NOP10 ribonucleoprotein OS=Bos taurus OX=9913 GN=NOP10 PE=2 SV=1
+MFLQYYLNEQGERVYTLKKLDPLGQQTCSAHPARFSPDDKYSRHRITIKKRFKVLMTQQP
+RPVL
+>tr|Q58D67|Q58D67_BOVIN Dynactin 4 OS=Bos taurus OX=9913 GN=DCTN5 PE=2 SV=1
+MELGELLYNKSEYIETASGNKVSRQSVLCGSQNIVLNGKTIVMNDCIIRGDLANVRVGRH
+CVVKSRSVIRPPFKKFSKGVAFFPLHIGDHVFIEEDCVVNAAQIGSYVHVGKNCVIGRRC
+VLKDCCKILDNTVLPPETVVPPFTIFSGCPGLFSGSSQNVPRS
+>tr|A0JNI3|A0JNI3_BOVIN Zinc finger protein 775 OS=Bos taurus OX=9913 GN=ZNF775 PE=2 SV=1
+MENGLAGGTGDGLVMKIKQEKPEWLLQTQAALSQKDKENIFRPRRVPPPCQTAAGKSQAW
+GHPDGTGGPRWAPPSEQAVGRAGRAPRAASGPLSPALPAGEGHFVCPDCGKRFSWWSSLK
+IHQRTHTGEKPYPCGKCGKSFSQKPNLARHQRHHTGERPFCCPECARRFSQKQHLLKHQK
+THSRPATHPCPECARCFRHQVGLRIHQRARPAAPAQPPAQAVPGLSPPARGPSPARGPGD
+LPWGRARTGAPGEPRQFICNECGKSFSWWSALTIHQRIHTGERPYPCPECGRRFSQKPNL
+TRHRRNHTGERPYLCASCGRGFSQKQHLLKHQRVHLGALAPTLSAKGDAL
+>tr|Q3SZH4|Q3SZH4_BOVIN SPATA4 protein OS=Bos taurus OX=9913 GN=SPATA4 PE=2 SV=1
+MAAAGPRGGLLTQPAAARPKTRSVLPCQAAPNGQKPKKCLVYPHPPKSSRLSRSVLRWLQ
+SLDLTFFPRNISRDFSNGFLIAEIFTTYYPWDLKLSSFKNGTSLKVKLDNWAQLEKFLAR
+KKLKLPKELIHGTIHCKAGVPEILIQEVYTLLTHREIKSIQEDLVNFTDYSYQMQLPLVP
+RSTASKSIKDNIRLSELIGNPNKLNNELKVEFLFLLQMLQRKLSRKLNPKWFAVKPTVGE
+STLDHRPAKASGNKSNSVVSKERPVPVCCAASVSTSRAKKQSKQGKPPSQGAPTFFYMSH
+PNTDFCLKKKLSRLRGNRVSTPKEIEVKQTRTYSDCMKPTINVEKEPEEAPV
+>tr|Q08DW8|Q08DW8_BOVIN Family with sequence similarity 89 member B OS=Bos taurus OX=9913 GN=FAM89B PE=2 SV=1
+MNGLPSAEAPNGAGCALAGLPPLPRGLSGLLNASGGSWRELERVYSQRSRIHDELSRAAR
+VPDGPRQATGAANAGTAAGPPGSRRPVNLDSALAALRKEMVGLRQLDMSLLCQLWGLYES
+IQDYKHLCQDLSLCQDLSSSLHSDSSYPPDAGLSDDEEPPDASLPPDPPPLTVPQTHNVR
+DQWLQDAFHISL
+>tr|Q2HJ29|Q2HJ29_BOVIN Microtubule associated scaffold protein 2 OS=Bos taurus OX=9913 GN=MTUS2 PE=2 SV=1
+MGHCCCKPYNCLQCLDKTNESALVKEKELSIELANIRDEVAFHAAKCEKLQREKAELERR
+CEAEVRNLGWQQEAELRDLERRLQLQFETEVARLQEEHHAQLLRIGCQHQEQVEDITASH
+EAALLEMENSHTLTLAILQDDHEHKARELISTHELEKKELEENFEKLRLSLQDQVDTLTF
+QSQSLRDRARRFEEALRKNTEEQLEIALAPYQHLEEDMKSLKQVLEMKNQQIHQQEKKIL
+ELEKLAEKNIILEEKIQVLQQQNEDLKARIDQNTVVTRQLSEENANLQEYVEKETQEKKR
+LSRTNEELLWKLQTGDPTSPIKLSPTSPVYRSSSSGPSSPARVSMTPR
+>tr|A2VE43|A2VE43_BOVIN RAD52 homolog, DNA repair protein OS=Bos taurus OX=9913 GN=RAD52 PE=2 SV=1
+MSGTEEAVLGGHDSRPAGGSPVLCFGQYQYTVEEHQAIQNALRQRLGPEYISSRMAGGGQ
+KVCYIEGHRVINLANEMFGYNGWAHSITQQNVDFVDLNNGKFYVGVCAFVRVQLKDGSYH
+EDVGYGVSEGLKSKALSLEKARKEAVTDGLKRALRSFGNALGNCILDKDYLRSLNKLPRQ
+PPLEVDLSNAKRQDFEPSVEQARYSSCQQNVTLEPPKAQEVTSPCRLSHSAGPHAMTQGD
+KESSSRSLAPGTAESEATLQRKLRQKQLQQQFREQMERQQRAATSALPAGRTDQAQPPPV
+PAAKPSLPRTHSPPEPLTQRDLLPDSLEMWDMALDAEDSVLRPLLKPEPPQTPAISVLKN
+HMETQNRIPPSLCHQNPQAQSGPWHLQTPSLNQHTTGDCDSSRKIQDMKKRKLDPP
+>tr|Q3ZBA4|Q3ZBA4_BOVIN RNA binding motif (RNP1, RRM) protein 3 OS=Bos taurus OX=9913 GN=RBM3 PE=1 SV=1
+MSSEEGKLFVGGLNFNTDERALEDHFSSFGPISEVVVVKDRETQRSRGFGFITFTNPEHA
+SNAMRAMNGEGVEGSGRQSRAAWEATTGPA
+>tr|A6QPT1|A6QPT1_BOVIN ATXN7L2 protein OS=Bos taurus OX=9913 GN=ATXN7L2 PE=2 SV=1
+MAALERRVPSLDDFAGQSWSSWVERADLPAADGAELEESNKNPKKLDAMTLIKEERRHGP
+LSKLYTRAPPPPPAPASSQKCHVVNGQGPACRGPGSTKTSSREKGQGSRSRGHQPPEKTQ
+KDNLCLFVPVVNLEKMSSLPKPDGHGIRVAPPSAFLSQPGGLTKDSPGKNPMASPSKELP
+GRESIEIAPSEGPSHRTEGSPSEKEPGGAKLPPKTHRKMARKECDLNRQCGVINPETKKI
+CTRLLTCKIHSVHQRREVQGRAKDFDVLVAELKANSRKGESPKEKSPGRKEAALERPSQE
+PPASVQLVAAAAAPSGAFSARAKPTYPYCALPRSRASSESELDDEGPCGDGDPGLFPFPL
+PRGGAQASSEESEEEGTSDELHLPTDCHYANRPPRPQAFCTFGSRLVSPGCYVFSRRLDR
+FCSALSSMLERHLSSHMWKKIPPAAEPPSHLVSSLPSAPLSPSPTGSSPRLPGPPPRPTC
+PASTPPTKDSLVPSYPAGSPNVAAACSQAECIGGSQAITSPLPANTPSPSFSKLPPSKAS
+KSSKGKDRLEVEAPSRKRKLSPGPTTFKRTCILEPTGKGKPSGCRGLTAKTKPALGLGLN
+GTVGPRVKRAGPLDCRGSPHQPPTPVKASQLDSQGVAGHAAKALPTNCLSEEEVAKKRKN
+LATYCRPVKAKHCQAGAPADAACSVRRKKPGPALAFEEKCSTLQELELPLFPEGKEVGLH
+EL
+>tr|A6QQZ8|A6QQZ8_BOVIN TRIO protein OS=Bos taurus OX=9913 GN=TRIO PE=2 SV=1
+MPNGIKPVDPLDSLSAVSTAYRGGGQPGTCKYWILDLDSLSVSSNDASPPASVASLQPHM
+VGAQSSPGPKRPGNTLRKWLTSPVRRLSSGKADGHVKKLAHKHKKSREVRKSADAGSQKD
+SDDSAATPQDETVEERGRNEGLSSGTLSKSSSSGMQSCGEEEGEEGADAVPLPPPMAIQQ
+HSLLQPDSQDDKASSRLLVRPTSSETPSAAELVSAIEELVKSKMALEDRPSSLLVDQGDS
+SSPSFNPSDNSLLSSSSPIDEMEERKSSSLKRRHYVLQELVETERDYVRDLGCVVEGYMA
+LMKEDGVPDDMKGKDKIVFGNIHQIYDWHRDFFLGELEKCLEDPEKLGSLFVKHERRLHM
+YIVYCQNKPKSEHIVSEYIDTFFEDLKQRLGHRLQLTDLLIKPVQRITKYQLLLKDFLKY
+SKKASLDTSELERAVEVMCVVPKRCNDMMNVGRLQGFDGKIVAQGKLLLQDTFLVTDQDT
+GLLPRCKERRVFLFEQIVIFSEPLDKKKGFSMPGFLFKNSIKVSCLCLEENVENDPCKFA
+LTSRTGDVVETFILHSSSPSVRQTWIHEINQILENQRNFLNALTSPIEYQRNHSGGGGSG
+GGGGSSGAPSGGGGGSNHSGGGGGPGGGGSGSSSRSRPSRIPQPVRHHSPVLVSSAASSQ
+AEADKMSGTCTPGPPPPPPSRSPAPEASAGPPGRAPPSSEPDGPERDTEPIPKMKVLESP
+RKSSGGVLPQDGAAKEAPRSGPGPLPLGKARPGAPSPLHSPLAAAAASPSPFGKEPLPPS
+SPLQKGGSFWSSVPASPASRPGSFTFPGDSDSLQRQARRHAAPGKDADRMSTCSSASEQS
+VQSTQSNGSESSSGSNISTMLVTHDYTAVKEDEINVYQGEVVQILASNQQNMFLVFRAAT
+DQCPAAEGWIPGFVLGHTSAVIMENPDGTLKKSTSWHTALRLRKKSEKKDKDGKREGKLE
+NGYRKSREGLSNKVSVKLLNPNYIYDVPPEFVIPLSEVTCEAGETVVLRCRVCGRPKASI
+TWKGPEHNTLNNDGHYSISYSDLGEAALKIVGVAAEDDGVYTCIAVNDMGSASSSASLRV
+LGPGSDGIVVTWKDNFDCFYSEVAELGRGRFSVVKKCDQKGTKRAVATKFVNKKLMKRDQ
+VTHELGILQNLQHPLLVGLLDTFETPTSYVLVLEMADQGRLLDCVVRWGNLTEGKIRAYL
+GEVLEAVRYLHNCRIVHLDLKPENILVDQSSAKPTIKLADFGDAVQLNTTYHIHPLLGNP
+EFAAPEIILGNPVSLTSDTWSVGVLAYVLLSGVSPFLDDSVEETCLNICRLDFSFPEDYF
+QGVSQRAKDFVCFLLHEDPAKRPSAASALQERWLQAGHGHGKGAGVLDTSRLTSFIERRK
+HQNDVRPIRSMKNFLQSRLLPRV
+>tr|G3MZ89|G3MZ89_BOVIN Solute carrier family 39 member 5 OS=Bos taurus OX=9913 GN=SLC39A5 PE=4 SV=1
+MGPPMSHLLAGLCVWVALGQVEGSAPYLGPAEQEQNHYLAQLFGLYGENGTLTAAGLARL
+LHSLGLGRVQALRLGHHGPPAGRGAPPAGDNSTHRPQDPELSVDVWTGLPLDPSGWGDLA
+ETEAPGPPHGPGPSGLGLFHRLLLLDHSLADHLNEDCLNGSQLLVNFGLSPAAPLTPRQF
+ALLCPALLYQIDSRVCIRAPAPTPSGDLLSALIHSTLAVLLLSLPAPLSLLLLRLLGPRL
+LRPLLGFLGAVAVGTLCGDALLHLLPHAQGGQHTGAGGQPEEDLGPGLSVLGGLFLLFIL
+ENVLGLLRRRGRKPRCCGQKRKDLRTPHLDLEDGSGVALQPLQAAPEPGAQSPREQDSQP
+PAVPAPPGHQGHSHGYEGGGGTNITWMVLLGDGLHNLTDGLAIGAAFSDGFSSGLSTTVA
+VFCHELPHELGDFAMLLQAGLPFRQLLLLSLVSGVLGLGGAALGVGLSLGPVPLTPWVFG
+VTAGVFLYVALVDMLPALLRPPEPLPPLHVLLQGLGLLLGGALMLTIALLEEQLWPLVSD
+D
+>tr|A5PKG1|A5PKG1_BOVIN OSTN protein OS=Bos taurus OX=9913 GN=OSTN PE=2 SV=1
+MLDWRLASAHFILAMTLMLWSSGKVFSVGVTTEAFDSGVLGVQSSPTVREAKSATDLAAK
+LLLLDELVSLENDVIETKKKRSFSGFGSPLDRLSAGSVSHKGKQRKVVDHPKRRFGIPMD
+RIGRNRLSNSRG
+>tr|Q0VC72|Q0VC72_BOVIN ST6GALNAC2 protein OS=Bos taurus OX=9913 GN=ST6GALNAC4 PE=2 SV=1
+MGSSRRIPACPPGPWLPSLPSLIPGGSSHGLLSGSDSMKAPCRLLLIVLCSVGFSAVYIL
+LCYWACLPFCPAFCLDPHLSIKSRPTVPGPLHFSGYSSVPDGKPLVRELCRSCAVVSSSG
+QMLGSGLGAEIDSAECVLRMNQAPTVGFEADVGQRSTLRVISHTSVPLLLRNYSHYFQQA
+RDTLYVVWGQGKHMDRALGGRTYRALLQLTRMYPGLQVYTFTERMMAYCDQVFQDETGKN
+REEHHPSVPYHYFEKGRLDECQMYLAHERAPRSAHRFITEKAVFSRWAKKRPIVFAHPSW
+RTQWSHRHSTITRPLLQPHSAKNT
+>tr|A1A4L3|A1A4L3_BOVIN ANXA13 protein OS=Bos taurus OX=9913 GN=ANXA13 PE=2 SV=1
+MGNRHSQSYSLPEGSQHLPKGQALPSADLQPLSHPSGSGEPESHQPVMDWIWPPSIVCQL
+LDWKSVKNILLPDKDWLLTRI
+>tr|Q32PG2|Q32PG2_BOVIN Ribosomal protein S6 kinase-like 1 OS=Bos taurus OX=9913 GN=RPS6KL1 PE=2 SV=1
+MSLVACECPPGPGPEPEPCSRARSQARVYLEQIRNRVAPGAPDMTKHDYLVDAASQIRLA
+LERDVSEDYEAAFNHYQNGVDVLLRGMHVDPNKERCEAVKLKITKYLRRAEEIFNCHLQR
+TLGSGASPDEGFSSLRLRPIRTLSSALEQLRGCKVVGVIEKVQLVQDLATGGTFVVKGLS
+RCHMTSRERLTIIPHGVPYMTQLLRYFVTEDSIFLHLEHVKGGTLWSHLLSQPCPQQSGT
+GSGSSLERMKAPLNSHLSLGTSSVPLGYTRLQDGIPLEPPWTSQSLTPARGVASVKAQRE
+AGGEASARTSTARFWDLPKAPGGCLHSHAGRGPSQSSEPAPPWGLPWVRAGAGRVLGGCC
+RGTGPSRPSASGGASPVSGGGAWSLKEEQVRQWAAETLLALEALHQQGVLCRDLNPRNLL
+LDQAGRSPRRGGWCWGQMSGP
+>tr|A0A3Q1LTG0|A0A3Q1LTG0_BOVIN Protein 4.1 OS=Bos taurus OX=9913 GN=EPB41 PE=4 SV=1
+MHCKVSLLDDTVYECVVEKHAKGQDLLKRVCEHLNLLEEDYFGLAIWDNATSKTWLDSAK
+EIKKQVRGVPWNFTFNVKFYPPDPAQLTEDITRYYLCLQLRQDIVSGRLPCSFATLALLG
+SYTIQSELGDYDPELHGADYVSDFKLAPNQTKELEEKVMELHKSYRSMTPAQADLEFLEN
+AKKLSMYGVDLHKAKDLEGVDIILGVCSSGLLVYKEKLRINRFPWPKVLKISYKRSSFFI
+KIRPGEQEQYESTIGFKLPSYRAAKKLWKVCVEHHTFFRLTSTDTIPKSKFLALGSKFRY
+SGRTQAQTRQASALIDRPAPHFERTASKRASRSLDGAAAVEPADRTPRPTSAPAIAPSPA
+AEGGVPGAPVKKAQKETVQVEVKQEEAPPEDAEPEPSEAWKKKRERLDGENIYIRHSNLM
+LEDLDKSQEEIKKHHASISELKKNFMESVPEPRPSEWDKRLSTHSPFRTLNINGQIPTGE
+GVKKTSVLPSERKVGGPENINGIRTEEVAAVTTGPSTNPDSEWEGPKRSVIPSKSQMTAS
+PESPKIFDFGSLPVSSKETEDKELGAAGSLDIKEELRGPSGECVGVEEQASALRASVSPA
+SSQLQLGEKKAESSEQRVAPGKPLGKQNGSFLDSRVGNQFPTLIRSFQPPLVKTQTVTIS
+DTANAVKSEIPTKDVPIVHTETKTITYEAAQTDDSNGDLDPGVLLTAQTITSETTSSTTT
+TQITKTVKGGISETRIEKRIVITGDADIDHDQVLVQAIKEAKEQHPDMSVTKVVVHQETE
+ISEE
+>tr|A6QLV9|A6QLV9_BOVIN SEMA4G protein OS=Bos taurus OX=9913 GN=SEMA4G PE=2 SV=1
+MWGRLWPFLLSFLTATAVPGPSLRRPSRELDATPRMTIPYEELSGTRHFKGQAQNYSTLL
+LEEASARLLVGARGALFSLNAQDIGDGTHKEIRWEASPEMQSKCHQKGKNNQTECFNHVR
+FLQRLNATHLYTCGTHAFQPLCAAIDAEAFTLPTSFEEGKEKCPYDPARGFTGLIIDGGL
+YTATRYEFRSIPDIRRSRHPHSLRTEEAPMHWLNDAEFVFSVLVRESQASAVGDDDKVYY
+FFTERAAEEGAGSFAQSRSSHRVARVARVCKGDLGGKKILQKKWTSFLKARLICHIPQYE
+MLRSVCSLQADTSAHTHFYAAFTLTTQWKTLEASAICRYDLAEVQAVFAGPYMEYQDGVR
+RWGRYEGGVPEPRPGSCITDSLRSRGYNSSQDLPSLVLDFVKLHPLMARPVVPTRGRPLL
+LKRSVRYTHLTGTPVSTPAGPTYDLLFLGTADGWIHKAVVLGSGMHIIEETQVLKEPQSV
+ENLVISPMQHSLYVGAPSGIIQLPLSSCSRYRSCYDCILARDPYCGWDPSTHACMVTTTI
+ANRSQSSRTALIQDMERGNRGCEGNRDTGPPPPLKTRSVLRGDDVLLPCDQPSNLARALW
+LLNGSMGLSDGQDGYRVGVDGLLVTDTQPEHSGNYGCYAEENGLRTLLASYSLTVRPATP
+APAPQAPAMPGAQLAPDVRLLYVLAIAALGGLCLILASSLFYVACLRGGGRGHRRKYSLG
+RAGRAGGSAVQLQTVSGQCPGEDDDGDDGERAGGLEGGCLQIIPGEGTPAPPPPPPPPPP
+TELTNGLVTLPSRLRRMNGNSYVLLRQSNNGVPAGPCSFTEELSRILEKRKHTQLVEHLD
+ESSV
+>tr|Q29RL3|Q29RL3_BOVIN TRIM6-TRIM34 readthrough transcript OS=Bos taurus OX=9913 GN=TRIM6-TRIM34 PE=2 SV=1
+MALNTVMNLQEEVSCPICRELLTEPLSLGCGHSFCQTCITNKETDISLGGDSSCPVCGTR
+YSLGNLWPNLHLANIVERLRKVKLSPEEGQKTGLCVHHEEKLLLFCKEDRKVICRLCERS
+QEHHGHHTFLMEEALKESQEMLQAALTRLRKEQQKAEKLEADIREERTSWKYQIQTERQR
+IQTEFNQLRSILDSEERRELQKLEEEEKKTLDSLAVAEAELVQQGELLKELISDLERRSE
+WSTVELLQDMSGIMKWSEIWTLKKPKTVSKKLKNVFRVPDLRGMLHTFKDLTRVQCHWVD
+ITLNPFNLNLNLVLSEDQRQVLSVPIWPVKYYNYGILGCQYFSSGKHYWEIDVSEKNAWI
+LGVYCRIRSCNIKFAVQQSTSYENAYSIYRPQFGYWVIGLKDKFRYEAFEDSSTTHPDSR
+VLTLSMTVPPRHVGVFLDYEAGTVSFFNVTNHGSLIYKFSKCNFSRNAYPYFNPWDCPAP
+MTLCPPSS
+>tr|Q3SZ29|Q3SZ29_BOVIN Polymerase (RNA) I polypeptide E, 53kDa OS=Bos taurus OX=9913 GN=POLR1E PE=2 SV=1
+MASEVFPSARWQYCGEPDDSQSALLVQFSNGRLQNPGNMRFTLYKNNDSTNPRKRSQRIL
+AAETDRLSYVGNNFGTGALKCNTLCRHFVGILNKTSGQMEVYDAELFNMQPLFSDESVES
+ESTPESQAKSFREKMDSCIEAFGTTKQKRALNSRRMNKVGSESLNRAVAKAAENIIDTKG
+VTALVSDAIHDDLQDDSVCLPPCHADAAKPEDVYKFEDLLSPVEYDALQSPSEAFRNVTS
+EEILKMIEENSHCSFVIEALKSLPSNEESRDRQARCIWYLDALIKFRAQKVIKRKSALGP
+GVPHIINTKLLKQFTCLTYNNGSLRNLISDSMKAKITAYVIVLALHINGFQIDLTMLQRD
+LKLSEKRMMEIAKAMRLKISKRKVSLAAGGEEEHRLGTLSIPLPPAQSLDRQSKRRKMT
+>tr|A5D7N7|A5D7N7_BOVIN TMCO3 protein OS=Bos taurus OX=9913 GN=TMCO3 PE=2 SV=1
+MKVPGRSHFWMWLPFLQLLVSGAEQEGVAGPAVELPRGRGAAVPQRRPWVLDGCRRLSGL
+LRQKAAVLNKLEDAIRAVERDASLSDQEKLFRVHTLEIFQKELNESENSVFQAVHGLQRA
+LQGDYRDVANMKESSRQRLEALREAAIKEETEYVELLAAEKHQVEALKHTQHRNKSLSLL
+DEILEDVRRAADRLELEIEEHAFDDNKSVRGVNFEAVLRVEEEEADPQQNLSRREVEEDL
+GLSMLIDSQNNQYILTRPRDATIPRADHHLIKDLVSIVMLSLPCGWLCTTIGLPTMFGYI
+ICGVLLGPSGLNSIKSIVQVETLGEFGVFFTLFLVGLEFSPEKLRKVWKISLQGPCYMTL
+LMVAFGLVWGHLLQIRPTQSVFISACLSLSSTPLVSKFLVGSARSDKEGDIDYGALLLGM
+LVTQDVQLGLFIAILPTLIQAGAGAHASVAMEALRLLALVGQVLFSLAAVLLVCLLVRTY
+LVGPYCRKLHVESKGNKEILVLGVSAFTFLMLTVTELLGVSMELGCFLAGALVSSQGHAA
+AEEVVSCVEPVRDFLAIVFFASIGLHVFPTFVLYELTVLLVLTLSVVVMKFVLAALVLSL
+LLPKTSQYVKWIVAAGLAQVSEFALVLGSRARRARVISREVYLLILSVTTLSLLLAPLLW
+RAAVARCAPRPERRSSL
+>tr|A6H7G1|A6H7G1_BOVIN KLHL28 protein OS=Bos taurus OX=9913 GN=KLHL28 PE=2 SV=1
+MDHTSPTYMLANLTHLHSEQLLQGLNLLRQHHELCDIILRVGDVKIHAHKVVLASISPYF
+KAMFTGNLSEKENSEVEFQCIDETALQAIVEYAYTGTVFISQDTVESLLPAANLLQIKLV
+LKECCAFLESQLDPGNCIGISRFAETYGCHDLYLAATKYICQNFEAVCQTEEFFELTHAD
+LDEIVSNDCLNVATEETVFYALESWIKYDVQERQKYLAQLLNSVRLPLLSVKFLTRLYEA
+NHLIRDDRTCKHLLNEALKYHFMPEHRLSHQTVLMTRPRCAPKVLCAVGGKSGLFACLDS
+VEMYFPQNDSWIGLAPLNIPRYEFGICVLDQKVYVIGGIETNVRPGITIRKHENSVECWN
+PDTNTWTSLERMNESRSTLGVVVLAGELYALGGYDGQSYLQSVEKYIPKVRKWQPVAPMT
+TTRSCFAAAVLDGMIYAIGGYGPAHMNSVERYDPSKDSWEMVASMADKRIHFGVGVMLGF
+IFVVGGHNGVSHLSSIERYDPHQNQWTVCRPMKEPRTGVGAAVIDNYLYVVGGHSGSSYL
+NTVQKYDPISDTWLDSAGMIYCRCNFGLTAL
+>tr|E1BDW9|E1BDW9_BOVIN Exocyst complex component 2 OS=Bos taurus OX=9913 GN=EXOC2 PE=3 SV=1
+MSRSRQPPLVTGISPNEGIPWTKVTIRGENLGTGPADLIGLTICGHNCLLTAEWMSASKI
+VCRVGQAKNDKGDIIVTTKSGGKGTSTVSFKLLKPEKIGILDQSAVWVDEMNYYDMRTDR
+NKGIPPLSLRPANPLGIEIEKGKFPQKDLEMLFPGMSADFTSENFSAAWYLIENHSNTSF
+EQLKMAITHLKRQANKKSEGSLAYVKGGLSTFFEAQDALSAIHQKLEADGTEKVEGSMTQ
+KLENVLNRASNTADTLFQEVLGRKDKADSTRNALNVLQRFKFLFNLPLNIERNIQKGDYD
+VVINDYEKAKSLFGKTEVQVFKKYYAEVETRIEALRELLLEKLLETPSTLHDQKRYIRYL
+SDLHAPGDPAWQCIGAQHRWILQLMHGCREGCVRDLKGPPGLHSTSLDLDAEVRASAPSH
+LGQTASLKRGSSFQPGRDDAWRCKTPHRVAFVEKLTKLVLSQLPNFWKLWISYVNGSLFS
+ETAEKSGHIERSKNVRQRQNDFKKMIQEVMQCLVKLVRGALLPLGAAEGSGRQLGGWEGK
+AELSGPWLAHVIQTLRLTYESLAALEIPNDLLQTIQDLVLDLRVRCVLVTLQHTAEDIKR
+LAEKEDWVVDSEGLTSLPCRFERCVVLSLQSLRGVLECKPGEASVFQHPKTQEEVCQLSI
+NIMQVFIYCLEQLSTKPDADVDTAHLSVDVSSPDLFGSIHEDFSLTSEQRLLIVLSNCCY
+LERHTFLNIAEHFEKHNFQGIEKITQVSMASLKDLDQRLFESYIELKADPIVGSLEPGIY
+AGYFDWRDCLPPTGVRNYLKEALVNIIAVHAEVFTVSKDLVPRVLSRVVEAVSEELSRLM
+QCVSSFSRNGALQARLEICTLRDTVAAHLTLESRSSFKQALEALPQLSSGADRKLLEELL
+SRVKSGMHLQLACFQAAPPPAVKT
+>tr|Q3MIB9|Q3MIB9_BOVIN TGFB induced factor homeobox 1 OS=Bos taurus OX=9913 GN=TGIF1 PE=2 SV=2
+MDSPLDLSSSAGSGKRRRRGNLPKESVQILRDWLYEHRYNAYPSEQEKALLSQQTHLSTL
+QVCNWFINARRRLLPDMLRKDGKDPNRFTISRRGAKMTEASSVESAVSIRNLMPALEESP
+FHSCTAGPNPALGRPLSPKPSSPGSILARPSVICHTTVTALKDVPFSLCQSVGVGQNTDI
+QQMANSSFTDTSLMYAEDSCKSGPSANTQSGLFNTPPPTPPDLNQDFSGFQLLVDVALKQ
+AAEMELQAKLMA
+>tr|A6QQR3|A6QQR3_BOVIN APOL3 protein OS=Bos taurus OX=9913 GN=APOL3 PE=2 SV=1
+MSSEDPRDCSESQSFYKDVFEYVQKSVSLEELQFLLTEDEPWKNFVTEADLSREEADVLY
+EYLIKLLTDLAEEDKDSLQKCQQEKERFLKEFPQVKQELKENIKKLHELADNVDKVHRDC
+TISNVVASSTGIASGALSILGVVLAPFTAGLSLGLSATGIGLGAASAVTGISTMVVEKVN
+LSSAESQASLLDRAKITSYKRHLIKVKEFGKHIRAIRVARGYPRLVATAQRYITTGRVSV
+QSARQVRSHFQGTALSVTRGARIWRGIVSGLFMGLDVYCLVKDAQELQQGAKTASAEKMR
+QKAQELETKLEKLTCIYEVCNRARLGHP
+>tr|F1MLB9|F1MLB9_BOVIN 5'-nucleotidase OS=Bos taurus OX=9913 GN=NT5C3B PE=3 SV=3
+MAEEVSTLMKATVLMRQPGRVQEIVGALRKGGEDRLQVISDFDMTLSRFAYNGIRCPSSY
+NILDNSKIISEECRKELKALFHHYYPIEIDPHRTIKEKLPHMVEWWTKAHDLLCQQKIQK
+FQIAQVVRESNAMLRDGYKTFFNTLSQNNIPLFIFSAGIGDVLEEMIRQRKVFHPNIHIM
+SNYMEFDEDGFLNGFKGQLIHTYNKNSSVFENSNYFQQLQGKTNILLLGDSMGDLTMADG
+VPGVENILKIGFLNDKVEERRERYMDSYDIVLERDETLDVVNGLLQHILQQGDWTEMQGS
+>tr|Q30309|Q30309_BOVIN BoLA-DR-alpha OS=Bos taurus OX=9913 GN=BoLA-DRA PE=1 SV=1
+MAITRVPILGLFITVLIGLQESWAIKENHVIIQAEFYLKPEESAEFMFDFDGDEIFHVDM
+GKKETVWRLPEFGHFASFEAQGALANMAVMKANLDIMIKRSNNTPNTNVPPEVTLLPNKP
+VELGEPNTLICFIDKFSPPVISVTWLRNGKPVTDGVSQTVFLPRNDHLFRKFHYLPFLPT
+TEDVYDCKVEHLGLNEPLLKHWEYEAPAPLPETTENAVCALGLIVALVGIIAGTIFIIKG
+VRKANTVERRGPL
+>tr|E1BJH6|E1BJH6_BOVIN Fn14 OS=Bos taurus OX=9913 GN=TNFRSF12A PE=2 SV=1
+MAPCPLRPLLRLLVLGLGLALLRAAAGERVPGTTPCSRGTSWSADLDKCMDCASCRARPH
+SDFCLGCTAAPPAPFRLLWPILGGALGLALVLGLLSGFLVWRRCRRREKFTTPIEETGGE
+SCPGVALIQ
+>tr|Q6QTG5|Q6QTG5_BOVIN Cytochrome c oxidase subunit 3 OS=Bos taurus OX=9913 GN=COX3 PE=3 SV=1
+MTHQTHAYHMVNPSPWPLTGALSALLMTSGLTMWFHFNSMTLLMIGLTTNMLTMYQWWRD
+VIRESTFQGHHTPAVQKGLRYGMILFIISEVLFFTGFFWAFYHSSLAPTPELGGCWPPTG
+IHPLNPLEVPLLNTSVLLASGVSITWAHHSLMEGDRKHMLQALFITITLGVYFTLLQASE
+YYEAPFTISDGVYGSTFFVATGFHGLHVIIGSTFLIVCFFRQLKFHFTSNHHFGFEAAAW
+YWHFVDVVWLFLYVSIYWWG
+>tr|A6QM01|A6QM01_BOVIN N-acetyl-alpha-glucosaminidase OS=Bos taurus OX=9913 GN=NAGLU PE=2 SV=1
+MEAMGVAAALGLLLLTAGGSTGDEAREAAAVRELLVRLLGPGPAAAFSVSVERSLATESG
+LDTYRLSGGGAGTRVQVLGSTGVAAAAGLHRYLRDFCGCHVAWSGSQLRLPQPLPAVPEE
+LTEATPNRYRYYQNVCTQSYSFLWWDWARWEQEIDWMALNGINLALAWSGQEAIWQRVYL
+ALGLTQAEIDEYFTGPAFLAWGRMGNLHTWSGPLPPSWHLKQLYLQHRILDRMRSFGMIP
+VLPAFAGHVPKALTRVFPQVNVTQMGNWGHFNCSYSCSFLLAPEDPLFPLVGSLFLRELT
+KEFGTDHIYGADTFNEMQPPSSEPSYLAAATAAVYQAMTAVDPDAVWLLQGWLFQHQPEF
+WGPAQVAAVLGAVPRGRLLVLDLFAESQPVYVRTASFQGQPFIWCMLHNFGGNHGLFGAL
+ESVNQGPTTARHFPNSTMVGTGMAPEGIGQNEVVYALMAELGWQKDPVADLGAWVTSFAA
+RRYGVSHGDAEAAWRLLLRSVYNCSGEECRGHNHSPLVRRPSLQMVTTVWYNRSDVFEAW
+RLLLTATSTLASSPAVSETEAHFYEQNSRYQLTLWGPEGNILDYANKQLAGLVADYYAPR
+WRLFTETLVESLVQGVPFQQHQFDRNAFQLEQTFVLGTRRYPSQPEGDTVDLVKKLFLKY
+YPRAVSF
+>tr|Q32LI4|Q32LI4_BOVIN CSNK1A1 protein OS=Bos taurus OX=9913 GN=CSNK1A1 PE=2 SV=1
+MASSSGSKAEFIVGGKYKLVRKIGSGSFGDIYLAINITNGEEVAVKLESQKARHPQLLYE
+SKLYKILQGGVGIPHIRWYGQEKDYNVLVMDLLGPSLEDLFNFCSRRFTMKTVLMLADQM
+ISRIEYVHTKNFIHRDIKPDNFLMGIGRHCNKLFLIDFGLAKKYRDNRTRQHIPYREDKN
+LTGTARYASINAHLGIEQSRRDDMESLGYVLMYFNRTSLPWQGLKAATKKQKYEKISEKK
+MSTPVEVLCKGFPAEFAMYLNYCRGLRFEEAPDYMYLRQLFRILFRTLNHQYDYTFDWTM
+LKQKAAQQAASSSGQGQQAQTPTGLKDEKLDPLS
+>tr|A4IFH3|A4IFH3_BOVIN NPAS2 protein OS=Bos taurus OX=9913 GN=NPAS2 PE=2 SV=1
+MDEDEKDRAKRASRNKSEKKRRDQFNVLIKELSSMLPGNTRKMDKTTVLEKVIGFLQKHN
+EVSAQTEICDIQPDWKPSFLSNEEFTQLMLEALDGFIIAVTTDGSILYVSDSITPLLGHL
+PSDVMDQNLLNFLPEQEHSEVYKMLSSHMLVTDAPSPEYLKSDNDLEFYCHLLRGSLNPK
+EFPTYEYIKFVGNFRSYNNVPSPSCNGFDGALSRPCRVPLGKEVCFIATVRLATPQFLKE
+MCIVDEPLEEFTSRHSLEWKFLFLDHRAPPIIGYLPFEVLGTSGYDYYHVDDLELLARCH
+QHLMQFGKGKSCCYRFLTKGQQWIWLQTHYYITYHQWNSKPEFIVCTHSVVSYAEVRVER
+RQELALEDPLLENVHPSALKEKGSSLEPQQHFNALDMGTSGLNTSHSPSASSRSSHKSSR
+TAMSEPTSTPSKLRAEASTPALPRSAQELPVPGLGQAAAMPAPLPAPASCDLTQQLLPQT
+ILQSPPAPVSQFSAQLSMFQTIKDQLEQRTRILQANIRWQQEELHKIQEQLCLVQDSNVQ
+MFLQQPDGSLSFSSAQRPETQQQLQQRSGPGPQLVASPQLPGQIASAQVTNQHLLRESSV
+VSTQGPKPLRSSQMIQGSSLTPQFSSTTTALPLALSLTTPASASQEAPQGQPSPDFSHDR
+QLRLLLSQPIQPMMPGSCDARQPSEVGRTGRQVKYPQSQAVFQNPDVHTTSSSAATPVLL
+MGLQPSFPASQPSPLKPAQAQPQPPHFLQVQVPTSLHSEQQDSLLLSTYSQQPGSLVYPT
+PGPARPARRVSSLSESSGLQQPPR
+>tr|A7MBK2|A7MBK2_BOVIN AMIGO1 protein OS=Bos taurus OX=9913 GN=AMIGO1 PE=2 SV=1
+MQPQGDLRGLWLLLLSLFLLLFEVARAGRPVVSCPASCLCASNILSCSKQQLPNVPHSLP
+SYTALLDLSHNNLSRLRAEWTPTRLTHLHSLLLSHNHLNFISSEAFSPVPNLRYLDLSSN
+QLRTLDEFLFSELQVLEVLLLYNNHIMAVDRCAFDDMTQLQKLYLSQNQISRFPLELVKE
+GAKLPKLTLLDLSSNKLKNLPLPDLQKLPAWIKNGLYLHNNPLHCDCELYQLFSHWQYRQ
+LSSVMDFQEDLYCMSSKKLHNVFNLSFLNCSEYKERAWEAHLGDSLTIKCDTKQQGMTKV
+WVTPSNERVLDEVANSTVTVSKDGSLHFQQVQIEHGGVYTCYAMGEAFNETLSVELKVYN
+FTLHGHHDTLNTAYTTLVGCILSVVLVLIYLYLTPCRCWCRGVEKPSSHQADSLSSSMLS
+TTPNHDPMAGGDKDDGFDRRVAFLEPAGPAQGQNGKLKPGNTLPVPEATGKGQRRMSDPE
+SVSSVFSDTPIVV
+>tr|A2VE27|A2VE27_BOVIN Betacellulin OS=Bos taurus OX=9913 GN=BTC PE=2 SV=1
+MARAAPGSGASPLPLLPALALGLVILHCVLADGNSTRSPEDDGLLCGDHAENCPATTTQP
+KRRGHFSRCPKQYKHYCIKGRCRFVVAEQTPSCVCDEGYAGARCERVDLFYLRGDRGQIL
+VICLIAVMVIFIILVVSICTCCHPLRKRRKRRKKEEEMETLGKDITPINDDIQETSIA
+>tr|Q32KV9|Q32KV9_BOVIN Phosphatidic acid phosphatase type 2A OS=Bos taurus OX=9913 GN=PLPP1 PE=2 SV=1
+MFDKTRLPYVALDVLCVLLAGLPFAILTSRHTPFQRGLFCNDESIKYPYKEDTIPYPLLG
+GIIIPFSIIVMIVGETLSVYFSLLHSNSFIRNNYIATIYKAIGTFLFGAAASQSLTDIAK
+YSIGRLRPHFLDVCDPDWSKINCSDGYIENYICRGNAQKVKEGRLSFYSGHSSFSMYCMM
+FVALYLQARMKGDWARLLRPTLQFGLVAASIYVGLSRVSDYKHHWSDVLTGLIQGALVAI
+LVAVYVSDFFKKRSSPFKERKEEDSHTTLHETPTTGNHYRNSHQP
+>tr|A6QQ73|A6QQ73_BOVIN LOC618591 protein OS=Bos taurus OX=9913 GN=LOC618591 PE=2 SV=1
+MAGEIVYADIKHTSSEHSSSLQKSDSHHHGIFLKVGCAMIIILLVIVIVLSMLVIQFKSA
+RQTEVDNELKKKYCTEQSKSEANNSIVSFNSSTVHTSCPTKDWKLHGGKCYWVAEHENKS
+WNESKNDCVTKKSHLMVVRDFTDTTFLWQNRHHSFWVGLRIPPGGKLWTWLDNSTFDPQL
+FSNQRETRSMKCAWVSSTEITKEDCQKSYPWICQL
+>tr|F1MKC7|F1MKC7_BOVIN Helicase with zinc finger OS=Bos taurus OX=9913 GN=HELZ PE=4 SV=3
+MEDRRAEKSCEQACESLKRQDYEMALQHCTEALLSLGQYSMADFTGPCPLEIESIKIESL
+LYRIASFLQLKNYGQADEDCRHVLGEGLAKGDGAFQAVLCCMQLKGKLQPVSHILAKSLT
+GESLNGMVTKDLTRLKTLLKETETATSNVLSGYHVEDLEEGSCNGWHFRPPPRGITSSEE
+YTLCKRFLEQGICRYGAQCTSAHSQEELAEWQKRYASRLIKLKQQNENKQLSGSYMETLI
+EKWMNSLSPEKVLSECVEGVKVEHDPGLSVTVNTKKSHQTWTFALTCKPARMLCRVALLY
+DAHRPHFSIIAISAGDSTTQVSQEVPENCQEWIGGKMAPNGLDHYVYKVGIAFNTEIFGT
+FRQTIVFDFGLEPVLMQRVMIDAASTEDLEYLMHAKQQLVTTAKRWDSSSKTIVDFEPNE
+TTDLEKSLLIRYQIPLSADQLFTQSVLDKSLTKTNYQSRLHDLLYIEEIAQYKEVSKFNL
+KVQLQILASFMLTGVSGGAKYAQNGQLFGRFKLTETLSEDTLAGRLVMTKVNAVYLLPVP
+KEKLVQTQGTKEKVYEATIEEKTKEYIFLRISRECCEELNLRPDYDTQVELQFQLNRLPL
+CEMHYALDRVKDNGVLFPDITMAPTIPWSPNRQWDEQLDPRLNAKQKEAVLAITTPLSIQ
+LPPVLIIGPYGTGKTFTLAQAVKHILQQQETRILICTHSNSAADLYIKDYLHPYVEAGNP
+QARPLRVYFRNRWVKTVHPVVHQYCLISSAHSTFQMPQKEDILKHRVVVVTLNTSQYLCQ
+LDLEPGFFTHILLDEAAQAMECETIMPLALATRHTRVVLAGDHMQLSPFVYSEFARERNL
+HVSLLDRLYEHYPAEFPCRILLCENYRSHEAIINYTSELFYEGKLMASGKQPAHKEFYPL
+TFFTARGEDVQEKNSTAFYNNAEVFEVVERVEELRRKWPVAWGKLDDGSIGVVTPYADQV
+FRIRAELRKKRLSDVNVERVLNVQGKQFRVLFLSTVRTRHTCKHKQTPIKKKEQLLEDST
+EDLDYGFLSNYKLLNTAITRAQSLVAVVGDPIALCSIGRCRKFWERFIALCHENNSLHGI
+TFEQIKAQLEALELKKTYVLNPLAPEFIPRALRAQHAGNTNKPQQSPPKGKSLHHTQNDH
+FQNDGIVQPNPSVLIGNPIRAYTPPPPLGPHPNLGKSPSPVQRIDPHTGTSILYVPAVYG
+GNVVMSVPVPVPWTGYQGRFAVDPRIITHQAAMAYNMNLLQTHGRGSPIPYGLGHHPPVS
+IGQPQNQHQEKDQHEQSRNGKSDTNNPGPEINKIRTPEKKPTEAKQIDLESNPQNRSPES
+RSGIVYPHPKFHRKDNLTPRHINLPLPAPHAQYAIPGRHFHPLPQLPRPPFPIPQQHALL
+NQQQSNLPEQPSPVPAQPSQVAPQLGPPPPQLSPAYPAGPGSAFFNNAVPHRPQSPPAEA
+VVPEQQPPPVLQEGHSPLRALAQPGPILPSPLNSFPDESPPGLPMGEALDRMHGSVALET
+LRQQHVRLQQWSEHHAYLSQGSLPYPHHHHPHPHLQHLPQPPVGLHQPPVRADWKLPSSA
+EDEAETTDSRFQDLLRELSNREQSETRELAEMPPPQSRLLQYRQIQTRSPPAAPSPPSGA
+DHSTHFPNFTDSSRDLEVANSPAFPQRLPPPMFSSPFSLPSEHLTPPLKYLAPDGAWTFA
+NLQQNHLMGPSFPYGLPPLPHRPPQNPFVQMQTHQPAVGQEPLQPLSSRTVSSSSLPSLE
+EYEPRGPGRPLYQRRISSSSVQPCSEEASTPQDSLAQRKELQDHNNQSSFTFSSPESWVN
+ATSSTPYQNIPCNGSSRPAQPRELAAPPKTVKAPEEHLKPESLEGSSSFNYSVLQHLGQF
+PPLMPNKQIAESASSSSPQSSGGGKPAMSYASALRAPPKPRPPPEQAKKSSDPLSLFQEL
+SLGSSAGSNGFYSYFK
+>tr|Q32KX3|Q32KX3_BOVIN AKT interacting protein OS=Bos taurus OX=9913 GN=AKTIP PE=2 SV=1
+MNPFWSMSTSSVRKRSDGEEKTLTGDVKTSPPRTAPKKQLPSIPKNALPITKPTSPAPAT
+QSTNGTHASYGPFYLEYSLLAEFTLVVKQKLPGVYVQPSYRSALMWFGVIFIRHGLYQDG
+VFKFTVYIPDNYPDGDCPRLVFDVPVFHPLVDPASGELDVKRAFAKWRSVNSCMW
+>tr|A5PJB2|A5PJB2_BOVIN Insulin OS=Bos taurus OX=9913 GN=INS PE=2 SV=1
+MALWTRLAPLLALLALWAPAPARAFVNQHLCGSHLVEALYLVCGERGFFYTPKARREVEG
+PQVGALELAGGPGAGGLEGPPQKRGIVEQCCASVCSLYQLENYCN
+>tr|A7MB57|A7MB57_BOVIN Tyrosine-protein kinase OS=Bos taurus OX=9913 GN=YES1 PE=2 SV=1
+MGCIKSKENKSPSIKYRPENTPEPVSTGVGHYGGEHAVVAPTSSTKSTSANFSSLSMTPF
+GGSSAVTPFGGASSSFSVVPSSYPAGLTGGVTIFVALYDYEARTTEDLSFKKGERFQIIN
+NTEGDWWEARSIATGKNGYIPSNYVAPADSIQAEEWYFGKMGRKDAERLLLNPGNQRGIF
+LVRESETTKGAYSLSIRDWDEVRGDNVKHYKIRKLDNGGYYITTRAQFDTLQKLVKHYTE
+HADGLCHKLTTVCPTVKPQTQGLAKDAWEIPRESLRLEVKLGQGCFGEVWMGTWNGTTKV
+AIKTLKPGTMMPEAFLQEAQIMKKLRHDKLVPLYAVVSEEPIYIVTEFMSKGSLLDFLKE
+GDGKYLKLPQLVDMAAQIADGMAYIERMNYIHRDLRAANILVGENLVCKIADFGLARLIE
+DNEYTARQGAKFPIKWTAPEAALYGRFTIKSDVWSFGILQTELVTKGRVPYPGMVNREVL
+EQVERGYRMPCPQGCPESLHELMNLCWKKDPDERPTFEYIQSFLEDYFTATEPQYQPGEN
+L
+>tr|A4IFL6|A4IFL6_BOVIN Guanine nucleotide-binding protein subunit gamma OS=Bos taurus OX=9913 GN=GNG4 PE=2 SV=1
+MKEGMSNNSTASISQARKAVEQLKMEACMDRVKVSQAAADLLAYCEAHVREDPLIIPVPA
+SENPFREKKFFCTIL
+>tr|Q32P60|Q32P60_BOVIN MGC133632 protein OS=Bos taurus OX=9913 GN=ZNF706 PE=2 SV=1
+MARGQQKIQSQQKNAKKQAGQKKKQGHDQKAAAKAALIYTCTVCRTQMPDPKTFKQHFES
+KHPKTPLPPELADVQA
+>tr|Q17QD5|Q17QD5_BOVIN ALG14, UDP-N-acetylglucosaminyltransferase subunit OS=Bos taurus OX=9913 GN=ALG14 PE=2 SV=1
+MGGALILAAAAGALALLLLAVRLWVVLSPRAPVPRRSLSLLVVAGSGGHTTEILRLLENL
+SNAYSPRHYIVADTDEMSTHKINSFEQIRADRNPSATVLCNGPGTCVPICISALLLGILG
+IKKVIIVYVESICRVEHLSLSGKILFHLSDYFIVQWPTLKEKYPKSVYLGRIV
+>tr|Q3T034|Q3T034_BOVIN Serine rich single-pass membrane protein 1 OS=Bos taurus OX=9913 GN=SSMEM1 PE=2 SV=1
+MGDLFSLFWEVDPPAIPISFTIPNQDYECRKDDSCGTIGNFLLWYFVIILVLMFFSRASV
+WMSEKKKDEDNGTSTSPSKESKDISNKRRGKEDAWDSLQMMKKPKQSQLTPVTDSEVALV
+NACLEQRRARRYSEFSQVNRTPHDSDTTECDSEESNSGASSWKESESEHRPSPAGIKKRK
+IAQRQRNMGGYQIRERPCLHCKAMRTNEWLTRHFLQNASVTSSVKGDENSGPDIKTKFSK
+F
+>tr|A7E335|A7E335_BOVIN CDH16 protein OS=Bos taurus OX=9913 GN=CDH16 PE=2 SV=1
+MVAAWLWLLCFLVPQALPRAQPAELYVEVPENYGGNFPLYLTKLLLPREETEGQIVVSGD
+AGVAGEGLFAVDPESGFLLVTRALDREEQAEYQLQVTLETENRRVLWGPQPVRVRVKDEN
+DQVPHFSQATYKVHLSRGTRPGVPFFFLEASDGDEPGTTNSDLRFHILNQAPAQPASDMF
+QLEPRLGALALSPEGTKVAKISAEDADAPSSPNSHVVYQLLSPEPEEPAELRAFELDSTS
+GSVTLGAAQLQAGQNILLQVLAMDLGGTDGGLSSTCEIPVTITDINDHAPEFTTSQIEPV
+SLPEDAEPGTLVTTLTATDADLEPAFRLMDFAIEAGDEEGTFSLDWEPDSAHVQLRLLKN
+LSYEAAPSHKLVVVVRNVEELVGPGPGPGATATVTVLVERVVPPPQLDRESYEASVPIST
+PAGSLLLTVQPSDPLSSPLRFSLVNDSEGWLCIKEISGEVHTARPLQGAQPGDMYTVLIE
+AQDADEPTLSTSATLVIHFLKALPAPTPTLAPVPSRHLCTPRQDHGVVVSGPREDPDRAG
+GHGPFSFALGPNPTVQRDWRLRALNGSHAYLTLGLHWVEPREHVVPIAVSHNDQIWQIQV
+RVIVCRCNVEGQCMRKVGRMKGMPTKLSAVGILVGTLIAIGIFLILIFTHLTLARKKDLD
+QPVDSVPLKVAV
+>tr|Q08E46|Q08E46_BOVIN MID1 interacting protein 1 OS=Bos taurus OX=9913 GN=MID1IP1 PE=2 SV=1
+MMQICDTYNQKHSLFNAMNRFIGAVNNMDQTVMVPSLLRDVPLAEPELDNDVGVEVGGSG
+GCMEERTPPAPSPGSANGSFFAPSRDMYSHYVLLKSIRNDIEWGVLHQPPAAGSEEGSAW
+KSKDILVDLSNLEGADTGEEDLEQQFHYHLRGLHTVLSKLTRKANILTNRYKQEIGFSNW
+GH
+>tr|A0JN56|A0JN56_BOVIN Chromosome 25 C16orf45 homolog OS=Bos taurus OX=9913 GN=BMERB1 PE=2 SV=1
+MELKQSLSVHLEAEKPLRRYGAVEETAWKAEGLGRNQLDIISMAETTMRPEEIELEMAKI
+QRLREVLVRRESELRFMMDDIQLCNDIMDLKQELQNLVAIPEKEKTKLQKQREDELIQKI
+HKLVQKRDFLVDDAEVERLREQEEDKEMADFLRIKLKPLDKVTKSSASECIHYSFSSRIQ
+EKRKF
+>tr|A5D7F4|A5D7F4_BOVIN PCDHGC3 protein OS=Bos taurus OX=9913 GN=PCDHGC3 PE=2 SV=1
+MVPEAWRGGLVSTGRVVGVLLLLGALHKASAAVIRYEILEEREKGFVVGNVVRDLDLDLG
+SLSARRLRVVSGASRRFFEVNWETGEMFVNDRLDREELCGTLPSCTITLELVVERPLELF
+SAEVVIQDINDNNPSFPTREMKLEISEAVAPGTRFPLESAHDPDVGSNSLQTYELSRNEY
+FALRVQTREDSTKYAELVLERALDREREPDLQLVLTALDGGTPARSASLPIRIVVLDAND
+NAPTFNQSLYRARVLEDAPPGTRVVQVRATDLDEGPNGEIIYSFGSHNRAGVRELFSLDL
+VTGVLTVKGRLDFEDAKLHEIYIQAKDKGANPEGAHCKVLVEVVDVNDNAPEITVTSVYS
+PVPEDAPLGTVIALLSVTDLDAGENGLVTCEVPPGLPFSLTSSLKNYFTLKTSAALDRET
+VPEYNLSITARDAGTPSLSALTTVRVQVSDINDNPPQSSQSSYNVYVEENNLPGVPILNL
+SVWDPDAPQNARLSFFLLEQGGETGLVGRYFTINRDSGVVSSLVPLDHEDRREFELTAHI
+SDGGTPVLATNISVNVFVTDRNDNAPQVLYPRPGQSSVEMLPRGTAAGYLVTRVVGWDPD
+AGHNAWLSYSLLGAPNQSLFAVGLHTGQITTARPVQDTDSPRQTLTVLIRDNGEPSLSTT
+ATLTVSVTEESPEALAEFPAGSAPREQNKNLTFYLLLSLILVSVGFAVTVLGVIIFKVYK
+WKQSRDLYRAPVSSLYRTPGPSLHADAVRGGLMAPHLYHQVYLTTDSRRSDPLLKKPGAA
+SPLASRQNTLRSLDPVFYRQVLGAESSPPGQQAPPNTDWRFSQAQRPGTSGSQNGDETGT
+WPNNQFDTEMLQAMILASASEAADGSSTLGGGAGTMGLSARYGPQFTLQHVPDYRQNVYI
+PGSNATLTNAAGKRDGKAPAGGNGNKKKSGKKEKK
+>tr|A6H7C4|A6H7C4_BOVIN CBX3 protein OS=Bos taurus OX=9913 GN=CBX3 PE=1 SV=1
+MASNKTTLQKMGKKQNGKSKKVEEAEPEEFVVEKVLDRRVVNGKVEYFLKWKGFTDADNT
+WEPEENLDCPELIEAFLNSQKAGKEKDGTKRKSLSDSESDDSKSKKKRDAADKPRGFARG
+LDPERIIGATDSSGELMFLMKWKDSDEADLVLAKEANMKCPQIVIAFYEERLTWHSCPED
+EAQ
+>tr|Q9XSW5|Q9XSW5_BOVIN Anion exchange protein OS=Bos taurus OX=9913 GN=SLC4A1 PE=2 SV=1
+MGDPEEYEDQLEETLEQKEYEDHDSVSIPMEEAEGDTIQEEEAEARVNQLTDTDYHTTSQ
+HPETHKVCVQLRELVMDEKNQEIQWMETARWVGLEENLGKDGIWGRPHLPYLNFWSLLEL
+QKAFAKGTVLLDLPGKSLAEVANQLLDRFTFEGQIQPDDQDNLLRVLLLKHSHASDMEAL
+GGVKPVVVTHSGDPSEPLLPQHPSLETELFCEQGEGSTRGHAPEILGKSPQDWEATLVLV
+GCARFLKRPVLGFVRLKEPMEPEPKPEGSEEPAVPVRFLIVLLGPEGPNINYTQLGRAAA
+TLMSERVFWNDAYLAQSKETLVQSLEGFLDCSLVLPPLDAPSEKALLSLVPVQKELLRRR
+YLPSPAKPDPSIFKDLDVKKGPGDTPEDPLQRTGKLFGGLVRDIRRRYPRYLSDITDALS
+PQVLSAIIFIYFAALTPAITFGGLLGDKTENMIGVSELLLSTALQGIIFSLLGAQPLLVL
+GFSGPLLVFEEAFYSFCQTNNLEYIVGRVWIGFWLILLVVLVVAFEGSFLVRFISRYTQE
+IFSFLISLIFIYETFYKLVTIFQDHPLQKNYDHDVLTTPKPQAALPNTALLSLVLMAGTF
+FLAMMLRKFKNSSYFPGKLRRIIGDFGVPISILIMVMVDALIQDTYTQKLSVPEGLSVSN
+PTERDWLIHPLGIRVEFPIWMMFASALPALLVFILIFLESQITTLIISKPERKMVKGSGF
+HLDLLLIIGMGGVGAIFGMPWLSATTVRTVTHANALTVMSKDSTPGAVSQIQGVKEQRIS
+GLLVAVLVGVSILMGPVLRHIPLAVLFGIFLYMGVTSLSGIQLFDRVLLLLKPRKYYPEV
+PYARRVKTWRMHLFTITQIVCLVVLWVVRSIKQISLALPFILILTVPLRRFLLPFIFRDM
+ELKLLDADDVKLNLDEQNGQDEYDEVAMPV
+>tr|E1BD15|E1BD15_BOVIN Eyes absent homolog OS=Bos taurus OX=9913 GN=EYA4 PE=3 SV=3
+MEDSQDLNEQSVKKTCTESDVPEAQNSRSMEMQDLASPHPLVGGGDTPGSSKLEKTNLSS
+TSVTTNGTGGDNMTVLNTADWLLSCNTPSSATMSLLAVKTEPLNSSETTATTGDGALDTF
+TGSVITSSGYSPRSAHQYSPQLYPSKPYPHILSTPAAQTMSAYAGQTQYSGMQQPAVYTA
+YSQTGQPYSLPTYDLGVMLPGIKTESGLSQTQSPLQSGCLSYSPGFSTPQPGQTPYSYQM
+PGSSFAPSSTIYANNSVSNSTNFSGSQQDYPSYTAFGQNQYAQYYSASTYGAYMTSNNTA
+DGSSSTTSTYQLQESLPGLTSQPGTDLHPGEFDTVQSPSTPIKDLDERTCRSSGSKSRGR
+GRKNNPSPPPDSDLERVFVWDLDETIIVFHSLLTGSYAQKYGKDPPMAVTLGLRMEEMIF
+NLADTHLFFNDLEECDQVHIDDVSSDDNGQDLSTYSFATDGFHAAASSANLCLPTGVRGG
+VDWMRKLAFRYRRVKELYNTYKNNVGGLLGPAKRDAWLQLRAEIEGLTDSWLTNALKSLS
+IISTRSNCVNVLVTTTQLIPALAKVLLYSLGGAFPIENIYSATKIGKESCFERIMQRFGR
+KVVYVVIGDGVEEEQAAKKHNMPFWRISSHSDLLALHQALELEYL
+>tr|A5PKG9|A5PKG9_BOVIN Tyrosine-protein kinase OS=Bos taurus OX=9913 GN=FGR PE=2 SV=1
+MGCVFCKKLEPGAKEDGGLEGDFRNYGAADRYGPDPTQSRPASSFSHIPNYNSFSPQPAS
+PAFLDAGTIRGISGIGVTMFIALYDYEARTEDDLTFTKGEKFHILNNTEGDWWEARSLSS
+GHTGYIPSNYVAPVDSIQAEEWYFGKIGRKDAERQLLSPGNSRGAFLIRESETTKGAYSL
+SIRDWDQTRGDHVKHYKIRKLDTGGYYITTRAQFDTVQELVQHYLEVNDGLCHLLTAACT
+TMKPQTLGLAKDAWEISRSSITLEHRLGTGCFGDVWLGTWNGSTKVAVKTLKPGTMSPKA
+FLAEAQIMKLLRHDKLVQLYAVVSEEPIYIVTEFMCHGSLLEFLKGPEGHVLKLPHLVDM
+AAQVAEGMAYMERMNYIHRDLRAANILVGERLVCKIADFGLARLIEDDEYNPQQGTKFPI
+KWTAPEAALFCRFTIKSDVWSFGILITELITKGRVPYPGMNNREVLEQVEHGYHMPCPPG
+CPASLYEVMEQTWRLDPEERPTFEYLQSFLEDYFTSTEPQYQPGDDT
+>tr|A6QQZ6|A6QQZ6_BOVIN TSC complex subunit 1 OS=Bos taurus OX=9913 GN=TSC1 PE=2 SV=1
+MAQQANIGELLSMLDSPLLSVRDDVTTVFKENLNSDRGPMLVNTLVDYYLETNSQPVLHI
+LTTLQEPHDKHLLDKMNEYVGKAASRLSALLLLGHVVRLQPSWKHKLSQAPLLPSLLKCL
+KVLSLVVQWLGLHAPSAGGPGPDPGRGTRSHLPQPRVLMPQLKTLHAAAKDLPCCN
+>tr|F1MIM7|F1MIM7_BOVIN UFM1 specific peptidase 1 (inactive) OS=Bos taurus OX=9913 GN=UFSP1 PE=4 SV=2
+MGDKPPGFRGSQSWIGCVEASLCLDHFGGPQGRLCHVPRGAGLHGELERLYSHFAGGGGP
+VMVGGDADAQSKALLGVCLGPGTEAYVLVLDPHCWGAPKNPSELQAAGWVGWQEVSTAFD
+PHSFYNLCMTSCNSEEQNRALD
+>tr|Q58CQ7|Q58CQ7_BOVIN Chromosome 12 open reading frame 2 OS=Bos taurus OX=9913 GN=RASSF8 PE=2 SV=1
+MELKVWVDGVQRIVCGVTEVTTCQEVVIALAQAIGRTGRYTLIEKWRDTERHLAPHENPI
+ISLNKWGQYASDVQLILRRTGPSLSERPTSDSVARVPERTLYRQSLPPLAKLRPQIDKTI
+KRREPKRKSLTFTGGAKGLMDIFGKGKETEFKQKVLNNCKTTADELKKLIRLQTEKLQSI
+EKELESNEIEIRFWEQKYNSNLEEEIVRLEQKIKRNDVEIEEEEFWENELQIEQENEKQL
+KDQLQEIRQKITECESKLKDYLAQIQTMESGLEAEKLQREVQEAQVNEEEVKGKIGKVRG
+EIDIQGQQSLRLENGIKAVERSLGQATKRLQDKEQELEQLTKELRQVNLQQFIQQTGTKV
+TVLPAEPIEVEALHADIEREAPFQSGSLKRPGSSRQLPSNLRILQNPISSGFNPEGIYV
+>tr|Q2HJ35|Q2HJ35_BOVIN Charged multivesicular body protein 4C OS=Bos taurus OX=9913 GN=CHMP4C PE=2 SV=1
+MSKLGKFFKGGGSSKSRAAPSAQEALARLRETEEMLGKKQEYLEGRIQRELSLARKHGTQ
+NKRAALQALKRKKRLEKQLTQIDGTLSTIEFQREALENSYTNTEVLKNMGLAAKAMKAVH
+ENMDLNKIDDLMQEITEQQDIAQEISEAFSQRVGFGDDFDEDELMAELEELEQEELNKKM
+TNIRLPNAPSSSLPAQPDRGSGRMPGAPSARRSRAASSRRTEGEDDDIQHLAAWAT
+>tr|F1MI53|F1MI53_BOVIN C-type lectin domain-containing protein OS=Bos taurus OX=9913 GN=KLRK1 PE=4 SV=1
+MSESHTYASERVKSCASRQWQKRTCTLTTSQRGENPSPFVLARSIAIAMGIRFIGMLIIC
+SAMIITSLFNQEAPISLKENYCGPCPKNWICYRNNCYQFFNESKSWHQSQASCMSQNSSL
+LKIYSKDDQDFLKLVKSYHWMGLVQVSTNGSWQWEDGSILLPNLLTMVEMQNGTCAVYGS
+SFKAYTENCLTPNTYICMQRIV
+>tr|Q56JZ0|Q56JZ0_BOVIN GMNN protein OS=Bos taurus OX=9913 GN=GMNN PE=2 SV=1
+MSHSMKQKQEGLQENVKSSPVPRRTLKMIQPSTAGSLVGRENELVKGLSKQKHWNAQLIS
+KTSSSGVVTDQECSKSKTLGGVSQEAFDLMITENPSPQYWKEVAEKRRKALYEALKENEK
+LHKEIEQKDSEIARLKEENKELAEVAEHVQYMAEMIQRLSHEPLDNLESPYSQEFDPEQA
+TAEDSE
+>tr|Q32KW3|Q32KW3_BOVIN NR4A1 protein OS=Bos taurus OX=9913 GN=NR4A1 PE=2 SV=1
+MPCIQAQYGTPAPSPGPRDHLAGDLLTPELSKPTMDLASPEAAPAVPTALPSFSTFMDGY
+TGEFDTFLYQLSGTAQPCSSASSSASSTSSSSATSPASASFKFEDFQVYGCYPGTLSGPL
+DETLSSSGSDYYGSPCSAPSPSTPSFQPPQLSPWDGSFGPFSPSPSRHVFVR
+>tr|Q05KI3|Q05KI3_BOVIN Bcl2 modifying factor OS=Bos taurus OX=9913 GN=BMF PE=2 SV=1
+MEPPQCVEELEDDVFQPEDGEPGTQPRSLLSADLFAQSQLDCPLSRLQLFPLTHCCGPGL
+RPTSQEDKATQTLSPASPSQGVMLPCGVTEEPQRLFYGHAGYRLPLPASFPAGLPLGEQP
+PEGQWQHRAEIQIARKLQCIADQFHRLHMQQYQQNRNRMWWQILLFLHNVALNGDENRNG
+AGPR
+>tr|Q8SQB9|Q8SQB9_BOVIN Integrin beta OS=Bos taurus OX=9913 GN=ITGB5 PE=2 SV=1
+MPRAPALLFSCLLGLCALVPRLPGLNICTSGSATSCEECLLIHPKCAWCFKEDFGSLRSV
+TSRCDLKANLIRNGCGVEFESPASSTQVLRSLPLSSKGSSPAGSDVIQLTPQEVTVTLRP
+GDKTAFQLQVRQVEDYPVDLYYLMDLSLSMKDDLENIRSLGTKLAEEMRKLTSNFRLGFG
+SFVDKNISPFSYTAPRYQTNPCIGYKLFPNCVPSFGFRHLLPLTDRVDSFNEEVRKQRVS
+RNRDAPEGGFDAVLQAAVCKEKIGWRKDALHLLVFTTDDVPHIALDGKLGGLVQPHDGQC
+HLNEANEYTASNQMDYPSLALLGEKLAENNINLIFAVTKNHYMLYKNFTALIPGTTVEIL
+HGDSKNILQLIINAYNSIRSKVELSVWDQPEDLNLFFTATCQDGVSYPGQRKCEGLKIGD
+TASFEVSVEARSCPSKHVQHTFTLRPVGFRDSLEVGVTYNCRCGCSAGLEPDSARCSSNG
+TYVCGLCECNPGYLGTRCECQEGESQSGYQNLCREAEGKPLCSGRGQCSCNQCSCFESEF
+GKIYGSFCECDNFSCARNKGVLCSGHGECHCGECKCHAGYIGDNCNCSTDISTCQARDGH
+ICSDRGHCVCGQCQCTEPGAFGETCEKCPTCPDACSTKRDCVECLLLHSGSSADNQTCQN
+LCKDEVITRVDTIVKDDQEAVLCFYKTAKDCVMMFTYSELPSGKSNLTVLREPECGTAPS
+AMTILLAVVGSILLTGFALLVIWKLLVTIHDRREFAKFQSERSRARYEMASNPLYRKPIS
+THTVDFTFNKFNKSYNGTVD
+>tr|A5D7L1|A5D7L1_BOVIN C-type lectin domain containing 11A OS=Bos taurus OX=9913 GN=CLEC11A PE=2 SV=1
+MQAAWLLGALVVPQLLGFSHGARGAEREWEGGWGGAQEEEREREALMLKHLQEALGLPAR
+RGDQNPEGNSEGRGAWATEENGQGEEGEEEPTPTPGVSPSPSPTPEDAITYILGRLAGLD
+AGLHQVHVRLHALDTRVAELTRGLRQLREVAGDTRDAVQALQEAQSRAEREHGRLEGCLK
+GARLGHKCFLLSRDFEAQAAAQARCVARGGSLAQPADSRQMETLTRYLRAALAPYNWPVW
+LGVHDRRAEGLYLFENGQRVSFFAWHRAPSPEPGARPSAAPHPLSPNQPNGGVLENCVAQ
+ASDDGSWWDHDCDRRLYYVCEFPY
+>tr|A4FV18|A4FV18_BOVIN GEM interacting protein OS=Bos taurus OX=9913 GN=GMIP PE=2 SV=1
+MDSTEPGLPPAPESRKRYSDIFRSLDNLEISLGNVTLEMLAGDPVISRDPEPDKNPTAAL
+TSETSRWSGPSPEDPAPLTGEELDLRLIRTKGGVDAALEYAKTWSRYVKDLLAWTEKRAS
+YELEFAKNIMKIAEAGKVSIQQQSQMSLQYIYLLFLEHDLSLGNLAMETLAQQKRDYYQP
+LAAKRTEIEKWRKEFKEQWMKEQKRMNEAVQALRRAQLQYTQRSEELRVRSQVSPEDLAL
+QASPGPNKQQERRRRSREEAQAKAQEAEALYQACVREANARQQELEAAKQRIVSHVRKLV
+LQGDEVLRRVTLGLFGLRGAQAEHGPRAFAALAECCAPFEPGQRYQEFVRAIRPHSPPPP
+PPAYSFQEFTHSSPLDTRKKLSGAPPPQLDESAAEPGPWEDTGTGCQGAPGPTVGSDVDS
+VGGSSESRSQDSPTSSPGSGTRRLVKVSSIGTESSDDFEERDPDLGDGLENGPGTPFKKW
+ILSNAAQTHRLRRLRGPAKCRECEAFMVSGTECEECFLACHKRCLETLLILCGHKRLPAR
+TPLFGVSFLQLPRDFPEEVPFVITRCTAEIEQRALHVQGIYRVSGSRVRVERLCQAFENG
+RALVDLSGNSPHDVSSVLKRFLQELTDPVVPFHLYDAFISLAKTLHADPVHDPGTPSPSP
+EVIRSLKTLLVQLPDSNYSTLRHLVAHLFRVAAQFEDNKMSANNLGIVFGPTLLRLPDGP
+GPAGAGPVTCLLDSAHQAQLVEFLIVHYEQIFGPDELLLATEPLPRDPSPPPATLPASLQ
+PPHLQLVLDPLPLSLASDRDPDAMLLGALEKHPEATLPEISTLQSEQEEEITEDIKNEGG
+EVSSQGSEDSPLGTQPRGHFSRQPVKYPRGGVRPVTHQLSGLALVASKLSEETSVTSVPQ
+GSLQRRGPSPAATIREDSPLRRTPLPKHFEITQETARLLSKLHSESVPTATCCPDPQTEE
+AEDRL
+>tr|A0A3Q1LIE9|A0A3Q1LIE9_BOVIN Vang-like protein OS=Bos taurus OX=9913 GN=VANGL1 PE=3 SV=1
+MDTESTYSGYSYYSSHSKKSHRQGERNRERHKSPRNKDGRGSEKSVTIQAPTGEPLLAND
+SARTEEAQDDNWGETTTAITGTSEHSISQEDIARISKDMEDSVGLDCRRYLGLTVAAVLG
+LLVFLTPIAFILLPPILWRDELEPCGTICEGLFISVAFKLLILLIGTWALFFRKQRADMP
+RIFVFRALLLVLIFLFVVSYWLFYGVRILDSRDRNYQGIVQYAVSLVDALLFIHYLAVVL
+LELRQLQPMFTLQVVRSTDGESRFYSLGHLSIQRAALVVLENYYKDFTIYNPNLLTASKF
+RAAKHMAGLKVYNVDGPSNNATGQSRAMIAAAARRRDSSHNELYYEEAEHERRVKKRRAR
+LVVAVEEAFIHIQRLQAEEQQKAPGEVMDPREAAQAIFPSMARALQKYLRTTRQQNYHSM
+ESILQHLAFCITHSMTPKAFLERYLSAGPTLQYDRERWLSTQWRLVSDEAVTNGLRDGLV
+FVLKCLDFSLVVNVKKIPFIMLSEEFIDPKSHKFVLRLQSETSV
+>tr|A0JNC8|A0JNC8_BOVIN BMP binding endothelial regulator OS=Bos taurus OX=9913 GN=BMPER PE=2 SV=1
+MLWFSGVRALAERPCRRSPGITCCVLLLLNCSGVPMSLASSFLTGSVAKCENEGEVLQIP
+FITDNPCIMCVCMNKEVTCKREKCPVLSRDCALAIKQRGACCERCKGCTYDGSTYNSSFK
+WQNPAEPCVLRQCQEGVVTESEVRCVVHCKNPSKHLGTCCPTCPGCVFEGVQYQEGEEFQ
+PEGDKCTKCSCVGGRTQCVREVCPILSCPQHLSHIPPGQCCPKCLGQRKVFDLPFGSCLF
+RSDVYDNGSSFLYDNCTACTCKDSTVVCKKKCSHPGGCDRGEEACCDDCLLRVPQEDIKV
+CKFGNKIFRDGEMWSSVNCTICACVKGKTECRKKQCVPISSCPQGKILNRKGCCPICTEK
+PGVCTVFGDPHYNTFDGRTFNFQGTCQYVLTKDCSSPASPFQVLVKNDARRTRSFSWTKS
+VDLVLGAGTVSLQQHLTVRWNGSRIALPCHAPQFHIDLDGYLLKVTTKAGLEISWDGDSF
+VEVMAAPHLKGKLCGLCGNYNGHKRDDLIGGDGSFKFDVDDFAESWRVESNEFCNRPQRK
+PVPELCQGTVKVKLRAHRECQKLKSWEFQTCHSTVDYTTFYRSCVTDMCECPVHKNCYCE
+SFLAYTRACQREGIRVHWEPQQNCAATQCKHGAVYDTCGPGCAKTCDNWNEIGPCNKPCV
+AGCHCPANLVLHKGRCIKPVLCPQR
+>tr|Q3MHF5|Q3MHF5_BOVIN GLTP protein OS=Bos taurus OX=9913 GN=GLTP PE=2 SV=1
+MALLAEHLLRPLPADKQIETGPFLEAVSHLPPFFDCLGSPVFTPIKADISGNITKIKAVY
+DTNPTKFRTLQNILEVEKEMYGAEWPKVGATLALMWLKRGLRFIQVFLQSICDGERDENH
+PNLIRVNATKAYEMALKKYHGWIVQKIFQRCTRPPISPTSSKRSPRART
+>tr|A7YWL3|A7YWL3_BOVIN KCTD17 protein OS=Bos taurus OX=9913 GN=KCTD17 PE=2 SV=1
+MRMEAGEAAPPAGAGGWGKWVRLNVGGTVFLTTRQTLCREQKSFLSRLCQGEELQSDRDE
+TGAYLIDRDPTYFGPILNFLRHGKLVLDKDMAEEGVLEEAEFYNIGPLIRIIKDRMEEKD
+YTVTQVPPKHVYRVLQCQEEELTQMVSTMSDGWRFEQLVNIGSSYNYGSEDQAEFLCVVS
+KELYSSPHGLSSESSRKTKLLQARGTRM
+>tr|A4IF80|A4IF80_BOVIN Ubiquitin-like modifier-activating enzyme ATG7 OS=Bos taurus OX=9913 GN=ATG7 PE=2 SV=1
+MAAAMGDLGLSRLQFAPFSSALDVGFWHELTQKKLNEYRLDEAPKDIKGYYYNGDSVGLP
+ARLTLEFSAFDMSAPTPARCCPAVGILYNTNTLEAFKAADKKLLLEEAANEIWESIKSGA
+ALDNPVLLNKFLLLTFADLKKYHFYYWFCSPALCLPESIPLIQGPVALDQWFLPKQIQAL
+EHAYDALCQTEGVPALPYFLIKYDETTVLVSSLKHYSDFFQGQRTKITIGVYDPCNLAQY
+PGWPLRNLLVLAAHRWSGSFQSVEVVCFRDRTLQGVRDVTHSIVFEVKLPEMAFSPDCPK
+AVGWEKNQKGGMGPRMVNLSECMDPKRLAESSVDLNLKLMCWRLVPTLDLDKVVSAKCLL
+LGAGTLGCNVARTLMGWGVRHITFVDNAKISYSNPVRQPLYEFEDCLAGGKPKALAAADR
+LQKIFPGVNARGFNMSIPMPGHPVNFSSVTLEQARRDVEQLEQLIDSHDVVFLLMDTRES
+RWLPAVIAASKRKLVINAALGFDTFVVMRHGLKKPRHQGAGDLCPSYPMASADLLSSSLF
+ANIPGYKLGCYFCNDVVAPGDSTRDRTLDQQCTVSRPGLAMIAGALAVELMVSVLQHPEG
+GYAIASSSDDRMNEPPTSLGLVPHQIRGFLSRFDNVLPVSLAFDKCTACSSKVLDQYERE
+GFNFLAKVFNSSHSFLEDLTGLTLLHQETQAAEVYKQEK
+>tr|Q3MHK8|Q3MHK8_BOVIN Methyltransferase like 7A OS=Bos taurus OX=9913 GN=METTL7A PE=2 SV=1
+MALTIFILRLAVCILAFPMYLLDFLGLWNWICKQWFPYFLARFTVMYNKQMESKKQELFS
+NLREFMGPSGKLSLLELGCGTGANFKFYPSGCQVTCVDPNPNFEKFLIKSIAQNRHLQFE
+RFIVAAGENMHQVASGSMDVVVCTLVLCSVKNQEQILQEVCRVLRPGGAFYFMEHVADKP
+STWNYFWQQVLDPVWYLLFDGCNLTRESWKALEKARFSKLKLQHLQAPLSWELVRPHIYG
+YAVK
+>tr|E1BLD8|E1BLD8_BOVIN Lysophosphatidic acid receptor 2 OS=Bos taurus OX=9913 GN=LPAR2 PE=3 SV=1
+MVTMGQCYYNETIGFFYNNSGKELSSHWRPKDLVVVALGLTVSVLVLLTNLLVIAAIASN
+RRFHQPIYYLLGNLAAADLFAGVAYLFLMFHTGPRTARLSLQGWFVRQGLLDTSLTASVA
+TLLAIAVERHRSVMAVQLHSRLPPGRVIMLIVGVWVAALGLGLLPAHSWHCLCALDRCSR
+MAPLLSRSYLAVWALSSLLVFLLMVAVYTRIFFYVRRRVQRMAEHVSCHPRYRETTLNLV
+KTVVIILGAFVVCWTPGQVVLLLDGLGCKSCNVLAVEKYFLLLAEANSLVNAVVYSCRDA
+EMRRTFRRLLCCRCLRPSTHESVRYTASTPTGTGIRIMLPENGHSLMDSTL
+>tr|A7MBB9|A7MBB9_BOVIN CDKN2C protein OS=Bos taurus OX=9913 GN=CDKN2C PE=2 SV=1
+MAEPWGNELASAAARGDLEQLTSLLQNNVNVNAQNGFGRTALQVMKLGNPEIARRLLLRG
+ANPDLKDRTGFAVIHDAARAGFLDTLQTLLEFQADVNIEDNEGNLPLHLAAKEGHLPVVE
+FLVKHTACKVGHRNHQGDTACDLARLYRRNEVVSLMEGNRAEGAANLQ
+>tr|A5D973|A5D973_BOVIN Alpha isoform of regulatory subunit A, protein phosphatase 2 OS=Bos taurus OX=9913 GN=PPP2R1A PE=2 SV=1
+MAAADGDDSLYPIAVLIDELRNEDVQLRLNSIKKLSTIALALGVERTRSELLPFLTDTIY
+DEDEVLLALAEQLGTFTTLVGGPEYVHCLLPPLESLATVEETVVRDKAVESLRAISHEHS
+PSDLEAHFVPLVKRLAGGDWFTSRTSACGLFSVCYPRVSSAVKAELRQYFRNLCSDDTPM
+VRRAAASKLGEFAKVLELDNVKSEIIPMFSNLASDEQDSVRLLAVEACVNIAQLLPQEDL
+EALVMPTLRQAAEDKSWRVRYMVADKFTELQKAVGPEITKTDLVPAFQNLMKDCEAEVRA
+AASHKVKEFCENLSADCRENVIMTQILPCIKELVSDANQHVKSALASVIMGLSPILGKDS
+TIEHLLPLFLAQLKDECPEVRLNIISNLDCVNEVIGIRQLSQSLLPAIVELAEDAKWRVR
+LAIIEYMPLLAGQLGVEFFDEKLNSLCMAWLVDHVYAIREAATSNLKKLVEKFGKEWAHA
+TIIPKVLAMSGDPNYLHRMTTLFCINVLSEVCGQDITTKHMLPTVLRMAGDPVANVRFNV
+AKSLQKIGPILDNSTLQSEVKPVLEKLTQDQDVDVKYFAQEALTVLSLA
+>tr|F1N662|F1N662_BOVIN Phosphatidylinositol transfer protein membrane associated 2 OS=Bos taurus OX=9913 GN=PITPNM2 PE=4 SV=3
+MIIKEYRIPLPMTVEEYRIAQLYMIQKKSRNETHGEGSGVEILENRPYTDGPGGSGQYTH
+KVYHVGMHIPSWFRSILPKAALRVVEESWNAYPYTRTRFTCPFVEKFSIDIETFYKTDAG
+ENPNVFSLSPVEKNQLTIDFIDIVKDPVPPNEYKTEEDPKLFHSTKTQRGPLSENWIEEY
+KQQVFPIMCAYKLCKVEFRYWGMQSKIERFIHDTGLRKVMVRAHRQAWCWQDEWYGLNMD
+NIRELEKEAQLMLSRKMAQFNEEDKGAAELAKNEAAQDQASGEPSQPSSSGGEPLAGRGL
+KKQWSTSSKSSRSSKRGASPSRHSISEWRMQSIARDSDESSDDEFFDAHEDLSDSEEMFP
+KDITKWNSNDLMDKIESPEPEDSQDGLYRQRAPEFRVASSVEQLNIIEDEVSPPLAAPPS
+KIHVLLLLLHGGTILDTGAGDPSSKQGDANTIATVFDTVMRVHYPSALGHLAIRLVPCPP
+ICSDAFALVSDLSPYSHDEGCLSSSQDHIPLAALPLLATSSPQYQEAVATVIQRANLAYG
+DFIKSQEGVTFNGQVCLIGDCVGGILAFDALCCSNQPVSESQSSSRRGSVASVQDADLLS
+PGSTVNTAHGSNLESSRHLSRSNIDIPRSNGNEDPKRQLPRKRSDSSTYELDTIQQHQAF
+LSSLHASVLRNEPSSRRSSSSTMLDGAGAVGKFDFEVADLFLFGCPLGLVLALRKTVIPS
+LDVFQLRPACQQVYNLFHPADPSASRLEPLLERRFHTLPPFSIPRYQRYPLGDGCSTLLA
+EALQAHNTVFQEHAAPSSPGSAPSTRGFRRASEISIASQVSGMAESYTASGIAQIAAKWW
+GQKRIDYALYCPDALTAFPTVALPHLFHASYWESTDVVSFLLRQVMRHDNSSILELDGKE
+VSVFTPSKPREKWQRKRTHVKLRNVTANHRINDAVANEDGPQVLTGRFMYGPLDMVTLTG
+EKVDVHIMMQPPSGEWLYLDTLVTNSSGRVSYTIPETHRLGVGVYPIKMVVRGDHTFADS
+YITVLPKGTEFVVFSIDGSFAASVSIMGSDPKVRAGAVDVVRHWQDLGYLIIYVTGRPDM
+QKQRVVAWLAQHNFPHGVVSFCDGLVHDPLRHKANFLKLLISELHLRVHAAYGSTKDVAV
+YSSISLSPMQIYIVGRPTKKLQQQCQFITDGYAAHLAQLKYNHRARPARNAATRMALRKG
+SFGLPGQGDFLRSRNHLLRTISAQPSGPGPRPHERTQSQADSEQRGQRSMSMAAGCWGRT
+LAGRPEPGPAAGPK
+>tr|A4IFH2|A4IFH2_BOVIN Transporter OS=Bos taurus OX=9913 GN=SLC6A9 PE=2 SV=1
+MVGKGAKGMLNGAVPSEATKKDQNLKRGNWGNQIEFVLTSVGYAVGLGNVWRFPYLCYRN
+GGGAFMFPYFIMLIFCGIPLFFMELSFGQFASQGCLGVWRISPMFKGVGYGMMVVSTYIG
+IYYNVVICIAFYYFFSSMTPVLPWTYCNNPWNTPDCMSVLDNPNITNGSQPPALPGNVSQ
+ALNQTLKRTSPSEEYWRLYVLKLSDDIGNFGEVRLPLLGCLGVSWVVVFLCLIRGVKSSG
+KVVYFTATFPYVVLTILFIRGVTLEGAFTGIMYYLTPQWDKILEAKVWGDAASQIFYSLG
+CAWGGLVTMASYNKFHNNCYRDSVIISITNCATSVYAGFVIFSILGFMANHLGVDVSRVA
+DHGPGLAFVAYPEALTLLPISPLWSLLFFFMLILLGLGTQFCLLETLVTAIVDEVGNEWI
+LQKKTYVTLGVAVAGFLLGIPLTSQAGIYWLLLMDNYAASFSLVIISCIMCVSIMYIYGH
+QNYFQDIQMMLGFPPPLFFQICWRFVSPAIIFFILIFSVIQYQPITYNQYQYPSWAVAIG
+FLMALSSVICIPLYALFQFCRTDGDTLLQRLKNATKPSRDWGPALLEHRTGRYAPTIAPS
+PEDGLEVQPLHPDKAQIPMVGSNGSSRLQDSRI
+>tr|A0A3Q1NE77|A0A3Q1NE77_BOVIN TSSK6-activating co-chaperone protein OS=Bos taurus OX=9913 GN=TSACC PE=4 SV=1
+MGVQMEQLPSHPTNRRAKEEGNAVPLCRAKPSPSFINLQASSPPVTLLKILPTKLPSGIN
+HKPKECLGLLECMYANLQLQTQLAQQQMAILENLQASMTQLAPGKENKNSSLPALSRNLL
+LSHLPQFSK
+>tr|A1A4M9|A1A4M9_BOVIN Keratin associated protein 13-1 OS=Bos taurus OX=9913 GN=KRTAP13-1 PE=2 SV=1
+MSYNCCSGNFSSRSLRDHLRYSGSSCGSSFPSNLVYRTDLCSPSSCQLDSSLYSQETCCE
+PIRTQTVVSSPCQTSCYRPRTCTFFSPCQTTCSGSLGFGSSNFQSIGHVFPSLGFGSGGF
+QSVGHSPNIFSSLSCRSSFYRPTFFSSRSGRSLSFQPTCGSGFY
+>tr|G5E541|G5E541_BOVIN Protein tyrosine phosphatase, non-receptor type 7 OS=Bos taurus OX=9913 GN=PTPN7 PE=4 SV=1
+MTQPPPDQAPAKKHVRLQERRGSNVALMLDVRSLGAVEPICSVRTPQEVTLHFLRTAGRP
+LSRQALQHRPPSPTQLEEEFLRIPSNFVSPEDLDIPGHASKDRYKTILPNPQSRVCLGRA
+QSQEDGDYINANYIRGYDGQDKAYIATQGPMPNTVSDFWEMVWQEEAPLIIMLTQLREGK
+EKCVHYWPTEEETYGPFRIRVQDVRERPEYTVRTLTIQHQEQRRALKHILFSAWPDHQTP
+ESAGPLLRLVAEVEDGPETGARSGPIVVHCSAGIGRTGCFIATRIGCQQLKARGEVDILS
+IVCQLRLDRGGMIQTAEQYQFLHHTLALFAAQLPEEPSP
+>tr|Q17QN7|Q17QN7_BOVIN Chromosome 21 open reading frame 2 ortholog OS=Bos taurus OX=9913 GN=CFAP410 PE=2 SV=1
+MKLTRKMVLSRAKASELHSVRKLNCWGSRLTDISICREMPSLEVITLSVNSISSLEPVSR
+CRQLSELYLRKNCIPSLAELIHLKGLPRLRVLWLAENPCCGTCPHLYRMTVLRTLPQLQK
+LDNQAVTEEEVSRALMEGEEVTAPSREDLGSGPPELSYTLSAVDAATETTQDPLNLGKGE
+ASSDQGQLGLKPTSRDQFPPFSQQEATSSRSSKNNILMAILMLLRELDIEGLEAVHQTVV
+SRLQALHKRELQEDME
+>tr|A5PJY9|A5PJY9_BOVIN CPNE3 protein OS=Bos taurus OX=9913 GN=CPNE3 PE=1 SV=1
+MAAQCVTKVELNISCDNLLDKDIGSKSDPLCVLFLNTSGQQWYEVERTERIKNCLNPKFS
+KTFIIDYYFEVVQKLKFGIYDIDNKTVELSDDDFLGECECTLGQIVSSKKLTRPLVLKNG
+RPAGKGSITISAEEIKDNRIVLFEMEARKLDNKDLFGKSDPYLEFHKQTSDGNWLMVHRT
+EVVKNNLNPVWRPFKISLNSLCYGDMDKTIKVECYDYDNDGSHDLIGTFQTTMTKLKEAS
+RSSPVEFECINEKKRQKKKSYKNSGVISVKHCEITVECTFLDYIMGGCQLNFTVGVDFTG
+SNGDPRSPNSLHYISPNGVNEYLTAIWSVGLVIQDYDADKMFPAFGFGAQVPPQWQVSHE
+FPINFNPSNPYCNGVQGIVEAYRACLPQIKLYGPTNFSPIINHVARFAAAATQQRTASQY
+FVLLIITDGVITDLDETRQAIVNAAKLPMSIIIVGVGGADFGAMEFLDGDGGSLRSPTGE
+EAVRDIVQFVPFRQFQNAPKEALAQSVLAEVPQQVVGYFNTYKLLPPKNPATK
+>tr|A6QQ81|A6QQ81_BOVIN DSN1 homolog, MIS12 kinetochore complex component OS=Bos taurus OX=9913 GN=DSN1 PE=2 SV=1
+MTSRPEIVKVLEEEPVASKTHDRQLESDPNPVEVCNKSSASLKRTERVSKERIHLGRSPP
+KGESGDLSHQEGLESRSFHLSPEQSPCHQARRQSWRRASMKETNRRKSLPPFHQGITELC
+RSISVDLTESKRLSSLLLSSFQFSVQKLEPFLRGTEGFSLESFRAKASSLSEELKHFADK
+LESNGTLQKCFEDSEGRVSDLALETSVAEMKEYITKFSLERQSWDQLLLRYQEEAEKILS
+RGSAETKNTEVEVEPRMYVGSSQSEVLNTKPDYQKILQNQTKLFDCMELVMDELQGSVKQ
+LHAFMDESTQCLQEVSVQLGKRSTQQLDPSPARKLLKLQLQKQPSTYCSKSCQ
+>tr|Q2TEA4|Q2TEA4_BOVIN LIM homeobox 3 OS=Bos taurus OX=9913 GN=LHX3 PE=2 SV=1
+MEARGELVPGRESAGGDLLLALLARREDLRREIPLCAGCDQHILDRFILKALDRHWHSKC
+LKCSDCHAPLAERCFSRGESVYCKDDFFKRFGTKCAACQLGIPPTQVVRRAQDFVYHLHC
+FACVVCKRQLATGDEFYLMEDSRLVCKADYETAKQREAEATAKRPRTTITAKQLETLKSA
+YNTSPKPARHVREQLSSETGLDMRVVQVWFQNRRAKEKRLKKDAGRQRWGQYFRNMKRAR
+GGSKSDKDSVQEEGQDSDAEVSFTDEPAMADMGPASGLYGSLGEPAPTLGRPSGAPGSFP
+LEHGGLAGPEQYRELRPGSPYGVPPSPAALQSLSGPQPLLSSLVYPDAGLGLVPTGAPGG
+PPPMRVLAGNGPSSDLSTGSSGGYPDFPASPASWLDEVDHAQF
+>tr|A0A3Q1MF13|A0A3Q1MF13_BOVIN NAD(P)H-hydrate epimerase OS=Bos taurus OX=9913 GN=NAXE PE=3 SV=1
+MGRDPDLKTGRPDGGGAGVGRHPGVGAHGNTRRSGGVASPRTASGKGECGPGPSGAGLSA
+AHAPGGSEGSLLASWMSGLRALLGLGLLVAGSRLSRVRVQAGSCRAGATWWVPQRLISGG
+RGDSEVMASSAVKYLSQEEAQAVDQELFNEYQFSVDQLMELAGLSCATAIAKAYPPTSLS
+RSPPTVLVICGPGNNGGDGLVCARHLKLFGYQPTIYYPKRPNKPLFTALVTQCQKMDIPF
+LGEMPPEPMLIDELYELVVDAIFGFSFTGEVREPFRSILSVLNGLTVPIASIDIPSGWDV
+EKGSSGGIQPDLLISLTAPKKSATQFTGRYHYLGGRFVPPALEKKYQLNLPPYPDTECVY
+RLQ
+>tr|F1N4D5|F1N4D5_BOVIN Phospholipid-transporting ATPase OS=Bos taurus OX=9913 GN=ATP8B2 PE=3 SV=3
+MALCAKKRPPEEERRARANDREYNEKFQYASNCIKTSKYNILTFLPVNLFEQFQEVANTY
+FLFLLILQLIPQISSLSWFTTIVPLVLVLTITAVKDATDDYFRHKSDNQVNNRQSQVLIN
+GILQQEQWMNVCVGDIIKLENNQFVAADLLLLSSSEPHGLCYIETAELDGETNMKVRQAI
+PVTSELGDISKLAKFDGEVICEPPNNKLDKFSGTLYWKESKFPLSNQNMLLRGCVLRNTE
+WCFGLVIFAGPDTKLMQNSGRTKFKRTSIDRLMNTLVLWIFGFLVCMGVILAIGNAIWEH
+EVGTRFQVYLPWDEAVDSAFFSGFLSFWSYIIILNTVVPISLYVSVEVIRLGHSYFINWD
+KKMFCTKKRTPAEARTTTLNEELGQVEYIFSDKTGTLTQNIMVFNKCSINGRSYGDVFDV
+LGHKAELGERPEPVDFSFNPLADKKFLFWDPTLLEAVKMGDPHTHEFFRLLSLCHTVMSE
+EKSEGELYYKAQSPDEGALVTAARNFGFVFRSRTPKTITVHEMGTAITYQLLAILDFNNI
+RKRMSVIVRNPEGKIRLYCKGADTILLDRLHHSTQELLNTTTDHLNEYAGEGLRTLVLAY
+KDLDEEYYEEWAGRRLQASLAQDSRDDRLASVYEEVESDMMLLGATAIEDKLQQGVPETI
+ALLTLANIKIWVLTGDKQETAVNIGYSCKMLTDDMTEVFIVTGHTVLEVREELRKAREKM
+MDSSRAVGNGFTYQEKLSSSRLTSVLEAVAGEYALVINGHSLAHALEADMELEFLETACA
+CKAVICCRVTPLQKAQVVELVKKYKKAVTLAIGDGANDVSMIKTAHIGVGISGQEGIQAV
+LASDYSFSQFKFLQRLLLVHGRWSYLRMCKFLCYFFYKNFAFTMVHFWFGFFCGFSAQTV
+YDQYFITLYNIVYTSLPVLAMGVFDQDVPEQRSMEYPKLYEPGQLNLLFNKREFFICIAQ
+GIYTSVLMFFIPYGVFAEATRDDGTQLADYQSFAVTVATSLVIVVSVQIGLDTGYWTAIN
+HFFIWGSLAVYFAILFAMHSNGLFDMFPNQFRFVGNAQNTLAQPTVWLTIVLTTVVCIMP
+VVAFRFLRLNLKPDLSDTVRYTQLVRKKQKAQHRCMRRVGRTGSRRSGYAFSHQEGFGEL
+IMSGKNMRLSSLALSSFTTRSSSSWIESLRRKKSDSASSPSGGADKPLKG
+>tr|Q0VCP5|Q0VCP5_BOVIN Tripartite motif-containing 32 OS=Bos taurus OX=9913 GN=TRIM32 PE=2 SV=1
+MAAAAASHLNLDALREVLECPICLESFTEEQLRPKLLHCGHTICCRCLEKLLASSINGVR
+CPFCSKITLITSLAQLTDNLTVLKIIDKTGLSEAVGLLMCRSCGRRLPRQFCRSCGVVVC
+EPCREAEHPPPGHCTLPVKEAAEERRQDFGRKLARLRELTGELQRRKVALEGVSKDLQAR
+YKAVLQEYGHEERRVQEELARSRKFFTGSVAEVEKSNSQLVEEQSYLLNVAEVQAVSRCD
+YFLAKIKQADVALLEEAADEEEPELTASLPRELTLQDVELLKVGHVGPLQIGQAVKKPRT
+VNMEDSWAMEAAASAAPSSVTFREMDVSPEDMGASPRASPAKQRGSDPATNIQQCLFLRK
+MGAKGSTPGMFNLPVSLYVTSQGEVLVADRGNYRIQVFTRKGFLKEIRRSSSAMDSFVLS
+FFGADPPNLTPLSVAMNCHGLIGVTDSYDNSLKVYTLDGHCVACHRSQLSKPWGITALPS
+GQFVVTDVEGGKLWCFTVDRGAGVVKYSCLCSAVRPKFVACDAEGTVYFTQGLGLNLENW
+QNEHHLEGGFSIGSVGPDGQLGRQISHFFSENEDFRCITGMCVDARGDLIVADSSRKEIL
+HFPKGGGYSVLIREGLTCPVGIALTPKGQLLVLDCWDHCIKIYSYHLRRYSTP
+>tr|E1BP64|E1BP64_BOVIN Centrosomal protein 128 OS=Bos taurus OX=9913 GN=CEP128 PE=4 SV=3
+MAESSSDSDHFRSRDRLRRWAASSVHREVRHRPTVDVTEKVNTITSTLQDTSRNLRQVDQ
+MLGRYREYSNGQAGAIEHLKESLEQSIGQLRSQRLSRNSGGRSISVTSLSTSDLDGVTVT
+ESYRFPPTSPLKDYGDQQGVKRNRSKTGVRFVPETDDVGQFHAFHQSLRDLSSEQVRLGD
+DFNRELARRSRSDAATRRALEELTEKLNEAQKQDVVSDRVERRLQEIEKEMRTERELVER
+RQDQLGVISQQLQEALKKQEAKAEENEGEMKNKLRQTESEKSKLQQELELSRRLLNQSEG
+SRETLLHQVEELRTQLMKAEGDPKGLHHQVSQISRQQSSLQDEQGDDWRFRRGVEREDLE
+KQMSDLRVQLNFSAMASELEEVKRCLERKDKEKVHLAAQVENLTRELENREKQQLEMLDE
+LTEIQKHFETCDAKHKRADLQITKLTQHAEEATKQAEQYLLEFQQSEGLRQEAEKRREEL
+KLKAQESIRQWKLKHKKLERTLEKQSESLDQLSEKNNQILKEKDELKSQLYAALQQIENL
+RKELNDVLTKRALQEEELHCKEQKLSDVKSHQADLELEVKDSLDTIHRLEGELKKQNQIQ
+SQLKAEKAHLEEQIAELKESQAKDKAQLLEMQEAIKDLSAIRADLANKLAEEQRARKEVL
+KNLSDLRTQAKSKDEETATIISQLKLERDVHQRELEDLTSSLQSVKTKHEHNIQELMKHF
+KKEKSEAENHIRTLKAESLEDKNMAQVQRCQLEKLKSQYDRLTEELTQNANENKKLKLKY
+QCLKDELEEKEKHITNEEEHRRRMEEARLQLKDQLLCLETEQESILGVIGKEIDAACKTF
+SRDSMDKLKVFSSSPDINYDPHRWLAESKTKLQWLCEELKERENREKSLRHQLMLCRQQL
+KNVTENKEAELQCLFEQIERQEQLLEEIHREKRDLLEETHRKDEEMESLQDRVNALETST
+RVALDHLESVPEKLSLLEDFKGFRGSCSLSDRIDGRYSKYRVHRDSLQQCRDDTKHRVQS
+FRDDRNFAESSHAYGLDQSSCWQDHSRFLSSPRFSHLNSFTQRTVAPDSPSIKEDAPSLL
+MDERSPQPRKEEYESKNSKM
+>tr|G8JKV5|G8JKV5_BOVIN 60S ribosomal protein L14 OS=Bos taurus OX=9913 GN=RPL14 PE=4 SV=1
+MVFRRFVEVGRVAYVSFGPHAGKLVAIVDVIDQNRALVDGPCTQVRRQAMPFKCMQLTDF
+ILKFPHSARQKYVRKAWEKADINAKWAATRWAKKIEAREKKAKMTDFDRYKVMKARKMRN
+RLIKIEVKKLQKAALLKASPKKALAAKGAAAVAAAAAKVPAKKITTEGKKAPAQKAPAQK
+AAGQKAAPPPKTQKGQKAPSQKAPAPKASGKKA
+>tr|A2VE57|A2VE57_BOVIN GRIK1 protein OS=Bos taurus OX=9913 GN=GRIK1 PE=2 SV=1
+MERRTLLAQPRLRTRDAGWTLLYFLGSVLPQTAPQVLRIGGIFETVENEPVNVEELAFKF
+AVTSINRNRTLMPNTTLTYDIQKINLFDSFEASRRACDQLALGVAALFGPSHSSSVSAVQ
+SICNALEVPHIQTRWKHPSVDNRDLFYINLYPDYAAISRAVLDLVLYYNWKTVTVVYEDS
+TGLIRLQELIKAPSRYNIKIKIRQLPSGNKDAKPLLKEMKKGKEFYVIFDCSHETAAEIL
+KQILFMGMMTEYYHYFFTTLDLFALDLELYRYSGVNMTGFRLLNIDNPHVSAIIEKWSME
+RLQAPPRPETGLLDGMMTTEAALMYDAVYMVAIASHRASQLTVSSLQCHRHKPWRLGPRF
+MNLIKEAQWDGLTGRITFNKTDGLRKDFDLDIISLKEEGTEKIGIWNSNSGLNMTDGNKD
+RSNNITDSLANRTLIVTTILEEPYVMYRKSDKPLYGNDRFEGYCLDLLKELSNILGFIYD
+VKLVADGKYGAQNDKGEWNGMVKELIDHKADLAVAPLTITYVREKVIDFSKPFMTLGISI
+LYRKPNGTNPGVFSFLNPLSPDIWMYVLLACLGVSCVLFVIARFTPYEWYNPHPCNPDSD
+VVENNFTLLNSFWFGVGALMQQGSELMPKALSTRIVGGIWWFFTLIIISSYTANLAAFLT
+VERMESPIDSADDLAKQTKIEYGAVRDGSTMTFFKKSKISTYEKMWAFMSSRQQTALVKN
+SDEGIHRVLTTDYALLMESTSIEYVTQRNCNLTQIGGLIDSKGYGVGTPIGSPYRDKITI
+AILQLQEEGKLHMMKEKWWRGNGCPEEDNKEASALGVENIGGIFIVLAAGLVLSVFVAIG
+EFIYKSRKNNNIEQCLSFNAIMEELGISLKNQKKLKKKSRTKGKSSFTSILTCHQRRTQR
+KETMA
+>tr|A5PJR5|A5PJR5_BOVIN CSTF3 protein OS=Bos taurus OX=9913 GN=CSTF3 PE=2 SV=1
+MSGDGATEQAAEYVPEKVKKAEKKLEENPYDLDAWSILIREAQNQPIDKARKTYERLVAQ
+FPSSGRFWKLYIEAEIKAKNYDKVEKLFQRCLMKVLHIDLWKCYLSYVRETKGKLPSYKE
+KMAQAYDFALDKIGMEIMSYQIWVDYINFLKGVEAVGSYAENQRITAVRRVYQRGCVNPM
+INIEQLWRDYNKYEEGINIHLAKKMIEDRSRDYMNARRVAKEYETVMKGLDRNAPSVPPQ
+NTPQEAQQVDMWKKYIQWEKSNPLRTEDQTLITKRVMFAYEQCLLVLGHHPDIWYEAAQY
+LEQSSKLLAEKGDMNNAKLFSDEAANIYERAISTLLKKNMLLYFAYADYEESRMKYEKVH
+SIYNRLLAIEDIDPTLVYIQYMKFARRAEGIKSGRMIFKKAREDTRTRHHVYVTAALMEY
+YCSKDKSVAFKIFELGLKKYGDIPEYVLAYIDYLSHLNEDNNTRVLFERVLTSGSLPPEK
+SGEIWARFLAFESNIGDLASILKVEKRRFTAFKEEYEGKETALLVDRYKFMDLYPCSASE
+LKALGYKDVSRAKLAAIIPDPVVAPSIVPVLKDEVDRKPEYPKPDTQQMIPFQPRHLAPP
+GLHPVPGGVFPVPPAAVVLMKLLPPPICFQGPFVQVDELMEIFRRCKIPNTVEEAVRIIT
+GGAPELAVEGNGPVESNAVLTKAVKRPNEDSDEDEEKGAVVPPVHDIYRARQQKRIR
+>tr|A2VDN8|A2VDN8_BOVIN Coronin OS=Bos taurus OX=9913 GN=CORO1C PE=2 SV=1
+MRRVVRQSKFRHVFGQAVKNDQCYDDIRVSRVTWDSSFCAVNPRFVAIIIEASGGGAFLV
+LPLHKTGRIDKSYPTVCGHTGPVLDIDWCPHNDQVIASGSEDCTVMVWQIPENGLTLSLT
+EPVVILEGHSKRVGIVAWHPTARNVLLSAGCDNAIIIWNVGTGEALINLDDMHSDMIYNV
+SWNRNGSLICTASKDKKVRVIDPRKQEIVAEKEKAHEGARPMRAIFLADGNVFTTGFSRM
+SERQLALWNPKNMEEPIALHEMDTSNGVLLPFYDPDTSIIYLCGKGDSSIRYFEITDESP
+YVHYLNTFSSKEPQRGMGYMPKRGLDVNKCEIARFFKLHERKCEPIIMTVPRKSDLFQDD
+LYPDTAGPEAALEAEEWFEGKNADPLLISLKDGYIPGKNRDLKVVKKNILDSKPTANKKC
+DLISIPKKTADAASGQNEAKLDEILKEIKSIKDTICNQDERISKLEQQMAKIAA
+>tr|A6QQ75|A6QQ75_BOVIN MAGEB16 protein OS=Bos taurus OX=9913 GN=MAGEB16 PE=2 SV=1
+MNQGLTYDGMMHGEAGVPKVGILILILGVIFMKGNCATEEEVWEVLNVTGLYPGKKHFIF
+GEPKQLITEDFVREGYLEFRQVASADPAQSEFLWGPRAHAETTKMKVLKFIAKVHGTDPS
+SFPSQYEEALQDEKEKAQARISAKGLRHSKF
+>tr|E1B8W1|E1B8W1_BOVIN CTD small phosphatase like 2 OS=Bos taurus OX=9913 GN=CTDSPL2 PE=4 SV=2
+MRLRTRKASQQSNQIQTQRTARAKRKYSEVDDSLPSGGEKPPKNETGLLSSIKKFIKGST
+PKEERENPSKRSRIERDIDNNLITSTPRAGEKPNKQISRVRRKSQVNGEAGSYEMTNQHV
+KQNGKLEDNPSSGSPPRTTLLGTIFSPVFNFFSPANKNGTSGSDSPGQAVEAEEIVKQLD
+MEQVDEITTSTTTSANGAAYSNQAVQVRPSINNGLEEAEETVNRDIPPLTAPVTPDSGYS
+SAHAEATYEEDWEVFDPYYFIKHVPPLTEEQLNRKPALPLKTRSTPEFSLVLDLDETLVH
+CSLNELEDAALTFPVLFQDVIYQVYVRLRPFFREFLERMSQMYEIILFTASKKVYADKLL
+NILDPKKQLVRHRLFREHCVCVQGNYIKDLNILGRDLSKTIIIDNSPQAFAYQLSNGIPI
+ESWFMDKNDNELLKLIPFLEKLVELNEDVRPHIRDRFRLHDLLPPD
+>tr|A2VDZ0|A2VDZ0_BOVIN Serine/threonine-protein phosphatase 2A 56 kDa regulatory subunit OS=Bos taurus OX=9913 GN=PPP2R5A PE=2 SV=1
+MSSSSPPPPPAGAASAAISAAEKVDGFTRKSVRKAQRQKRSQGSSQFRSQCSQPELHPLP
+QLKDATSNEQQELFCQKLQQCCILFDFMDSVSDLKSKEIKRATLNELVEYVSTNRGVIVE
+SAYSDIVKMISANIFRTLPPSDNPDFDPEEDEPTLEASWPHIQLVYEFFLRFLESPDFQP
+SIAKRYIDQKFVQQLLELFDSEDPRERDFLKTVLHRIYGKFLGLRAFIRKQINNIFLRFI
+YETEHFNGVAELLEILGSIINGFALPLKAEHKQFLMKVLIPMHTAKGLALFHAQLAYCVV
+QFLEKDTTLTEPVIRGLLKFWPKTCSQKEVMFLGEIEEILDVIEPTQFKKIEEPLFKQIS
+KCVSSSHFQVAERALYFWNNEYILSLIEENIDKILPIMFGSLYKISKEHWNPTIVALVYN
+VLKTLMEMNGKLFDDLTSSYKAERQREKKKELEREELWKKLEELKLKKALEKQNSAYNMH
+SILSNTNDG
+>tr|Q58DR1|Q58DR1_BOVIN Histone acetyltransferase type B catalytic subunit OS=Bos taurus OX=9913 GN=HAT1 PE=2 SV=1
+MEKFLVEYKSAVEKKLAEYKCNTNTAIELKLVRFPEDLENDIRTFFPEYTHQLFGDDETA
+FGYKGLKILLYYIAGSLSTMFRVEYASKVDENFDCVEADDVEGKIRQIIPPGFCTNTNDF
+LSLLEKEADFKPFGTLLHTYSVLSPTGGENFTFQIYKADMTCRGFREYHERLQTFLMWFI
+ETASFIDVDDERWHYFLVFEKYNKDGATLFATVGYMTVYNYYVYPDKTRPRVSQMLILTP
+FQGQGHGAQLLETVHRYYIASPSVLDITAEDPSKSYVKLRDFVLVKFCQDLPCFSREKLM
+QGFSEDMAIEAQQKFKINKQHARRVYEILRLLVTDMSDAEQYRSYRLDIKRRLISPYKKK
+QRDLAKMRKCLRPEELTNQMNQIEISMQHEQLEESFQELVEDYRRVIERLAQE
+>tr|A6QLV7|A6QLV7_BOVIN BARX homeobox 2 OS=Bos taurus OX=9913 GN=BARX2 PE=2 SV=1
+MHCHAELRLSSPGQLKAARRRYKTFMIDEILSKETCDYFEKLSLYSVCPSLVVRPKPLHS
+CTGPTSLRAYPLLSVITRQPTVISHLVPTTPGIAQVLSRHPATEAASAEAPGENHGSSES
+ETEQPTPRQKKPRRSRTIFTELQLMGLEKKFQKQKYLSTPDRLDLAQSLGLTQLQVKTWY
+QNRRMKWKKMVLKGGQEAPTKPKGRPKKNSIPTSEEIEAEEKLNSQVHSQELLEPSQSLE
+GLCDMQKPKARVVPVEVAESPGQAQELPVASPEPPPSS
+>tr|Q1LZC2|Q1LZC2_BOVIN WD repeat and FYVE domain containing 2 OS=Bos taurus OX=9913 GN=WDFY2 PE=2 SV=1
+MAAEIQPKPLTRKPILLQRVEGSQEVVNMAVIVPKEEGVISVSEDRTVRVWLKRDSGQYW
+PSIYHVMPSPCSCMSFNPETRRLSIGLDNGTISEFILSEDYNKMTPVKNYQAHQSRVTMI
+QFVLELEWVLSTGQDKQFAWHCSESGQRLGGYRTSAVASGLQFDVETRHVFIGDQSGQVT
+ILKLEQENCTLVTTFRGHTVTKSRPCPMPSTRGSSSPVVVMVGSWSGTWTWRGRRPLNGW
+TVIPARSAISLFSGTSSKCGTVRKSA
+>tr|Q3ZCD3|Q3ZCD3_BOVIN Tax1-binding protein 3 OS=Bos taurus OX=9913 GN=TAX1BP3 PE=1 SV=1
+MSYVPGQPVTAVVQRVEIHKLRQGENLILGFSIGGGIDQDPSQNPFSEDKTDKGIYVTRV
+SEGGPAEIAGLQIGDKIMQVNGWDMTMVTHDQARKRLTKRSEEVVRLLVTRQSLQKAVQQ
+SMLS
+>tr|Q58DL9|Q58DL9_BOVIN Phospholipid transfer protein OS=Bos taurus OX=9913 GN=PLTP PE=2 SV=1
+MTPAIPTPSPGPLAMALFGALILALLAGAHAELPGCKIRITSKALELVKQEGLRFLEQEL
+ETVTIPDLRGSEGHFFYNISEVKVTELQLTFSELHFQPDQELVLQINNASWGLRFRRQLL
+YWFFYDGGYINASAEGVSIHTALQLSRDTIGRIKVSNVSCQASVSRMHAAFGGTLKKVYE
+FLSTFITSGMRFLLNQQICPVLYHAGTVLLNSLLDTVPVRSAVDELVGIDYSLLKDPVAS
+TSNLDMEFRGAFYPLTEANWSLSNRAVEPQLQEEERMVYVAFSEFFFDSAMESYFRAGAL
+KLSLVGDKVPHDLDMVLRASYFGSIVLMSPAVIDSPLKLELRVMEPPRCTIKPSGTTVSV
+TASVTIALVPPNQPEVQLSSMIMDARLSAKMALRGKALRTQLDLRRFRIYSNQSALESLA
+LIPLQTPLKTLLQIAVMPMLNERTWRGVQIPLPEGIDFVREVVTNHAGFLTIGADLHFAK
+GLREVIEKNRPADTRDPRTSSAPPPSTAAV
+>tr|A4IFN1|A4IFN1_BOVIN LSM10 protein OS=Bos taurus OX=9913 GN=LSM10 PE=2 SV=1
+MEVSHSVKERTISENSLIILLQGLQGQVTTVDLRDESVAHGRIDNVDAFMNIRLAQVTYT
+DRWGHQVELDDLFVTGRNVRYVHIPDNVNITATIEQQLQVIHRVRYFGSKGQGRQEFPSK
+NS
+>tr|A7MBE3|A7MBE3_BOVIN Family with sequence similarity 167 member B OS=Bos taurus OX=9913 GN=FAM167B PE=2 SV=1
+MSLGPLKFQAVGEDEEDDEAESLDSVKALTAKLQLQTRRPSYLEWTARVQSQAWHRAQAR
+PKPGGPGAICGFDSMDSALEWLRRELREMQAQDRQLAGQLLRLRAQLHRLKVDQVCHLHQ
+ELLNEAEMELEMEPGAGLALAPPLRHLGLTRMNISARRFTLC
+>tr|G3MYD5|G3MYD5_BOVIN BTB domain-containing protein OS=Bos taurus OX=9913 GN=IVNS1ABP PE=4 SV=1
+MIPNGYLMFEDENFIESSVAKLNALRKSGQFCDVRLQVCGHEMLAHRAVLACCSPYLFEI
+FNSDSDPHRVSHVKFDDLNPEAVEVLLNYAYTAQLKADKELVKDVYSAAKKLKMDRVKQV
+CGDYLLSRMDVTSCISYRNFASCMGDSRLLNKVDAYIQEHLLQISEEEEFLKLPRLKLEV
+MLEDTVCLPSNGKLYTKVINWVQRSIWENGDSLEELMEEVQTLYYSADHKLLDGNLLDGQ
+AEVFGSDDDHIQFVQKKPPRENGHKQISSSSIGCLSSPNATIQSPKHEWKIVASEKTSNN
+TYLCLAVLDGIFCVIFLHGRNSPQSSPTSTPKLIKSLSFEMHPDELIEKPMSPMQYARSG
+LGTAEMNGKLIAAGGYNREECLRTVECYDPHTDHWSFLAPMRTPRARFQMAVLMGQLYVV
+GGSNGHSDDLSCGEMYDPNMDDWTPVPELRTNRCNAGVCALNGKLYIVGGSDPYGQKGLK
+NCDVFDPVTKSWTSCAPLNIRRHQSAVCELGGYLYIIGGAESWNCLNTVERYNPENNTWT
+LIASMNVARRGAGVAVLDGKLFVGGGFDGSHAISCVEMYDPTRNEWKMMGNMTSPRSNAG
+ITTVGNTIFAVGGFDGNEFLNTVEVYNLESNEWSPYTKIFQF
+>tr|Q3MHI9|Q3MHI9_BOVIN TNF receptor superfamily member 1B OS=Bos taurus OX=9913 GN=TNFRSF1B PE=2 SV=1
+MAPTAFWAALAVGLQFWAAGRAVPAQAVFTPYIPEPGSSCRQQEYYNQKIQMCCSKCPPG
+YRVQSLCNMTLDTICASCESSTYTQLWNLVTACFSCNSRCSSDQVETQACTTKQNRICTC
+KPGWYCTLGRQEGCRLCVALRKCGPGFGVAKPGTATTNVICAPCGPGTFSDTTSYTDTCK
+PHRNCSSVAIPGTASTDAVCTSVLPTRKVARGPATTRSQHMEPTLGPSTAPSTFFLLPKV
+PSPPSSPVEQPNTGNISLPIELIVGVTALGLLLIVVVNCVIMTQKKKKPFCLQGDAKVPH
+LPANKAQGAPGPEQQHLLTTAPSSSSSSLESSTSSTDKRAPTRSQLQSPGVEKASTSGEA
+QTGCSSSEASSGGHGTQVNVTCIVNVCSGPDHSSQCPSQAGSTRDTDASTPNSPKEEQVP
+FSKEERPFQSQPGAPETLLQGLEEKPLPLGVPDAGMKPS
+>tr|Q148K8|Q148K8_BOVIN NADPH:adrenodoxin oxidoreductase, mitochondrial OS=Bos taurus OX=9913 GN=FDXR PE=2 SV=1
+MAPRCWRWWPWSSWTRTRLPPSRSIQNFGQHFSTQEQTPQICVVGSGPAGFYTAQHLLKH
+HSRAHVDIYEKQLVPFGLVRFGVAPDHPEVKNVINTFTQTARSDRCAFYGNVEVGRDVTV
+QELRDAYHAVVLSYGAEDHQALDIPGEELPGVFSARAFVGWYNGLPENRELAPDLSCDTA
+VILGQGNVALDVARILLTPPDHLEKTDITEAALGALRQSRVKTVWIVGRRGPLQVAFTIK
+ELREMIQLPGTRPMLDPADFLGLQDRIKEAARPRKRLMELLLRTATEKPGVEEAARRASA
+SRAWGLRFFRSPQQVLPSPDGRRAAGIRLAVTRLEGIGEATRAVPTGDVEDLPCGLVLSS
+IGYKSRPIDPSVPFDPKLGVVPNMEGRVVDVPGLYCSGWVKRGPTGVITTTMTDSFLTGQ
+ILLQDLKAGHLPSGPRPGSAFIKALLDSRGVWPVSFSDWEKLDAEEVSRGQASGKPREKL
+LDPQEMLRLLGH
+>tr|A6QNW1|A6QNW1_BOVIN MGC152020 protein OS=Bos taurus OX=9913 GN=RIN2 PE=2 SV=1
+MSAQTMGTRGLDKRGSFFKLIDTIASEIGELKQEMVQTDVSLENGLGPTEAHSMVRHKDD
+HYSEETDAKTCPRDSGYDSLSNRLSILDRLLHTHPIWLQLSLSEEEAAGVLQAQPPGIFL
+VRKSTKMQKKVLCLRLPCEFGVPLKEFAIKESTYTFSLEGSGISFADLFRLIAFYCISRD
+VLPFTLKLPYAISTAKTEAQLEELAQLGLNFWSSPADNKPPNPPPPHRPPSSDGGDDGVS
+PASSRQLCLINGVHSIKTRTPSELECSQTNGALCFINPLFFKVHSQDLGGGPRRPCTRTP
+DADGTERPRSPPPRPPPPAIHSQPAGPQLAGPVAPPAGMPETVALHQPGDAARLGTKPTP
+VPPPRLKKQASFLEAEGGAKTLTGGRPRPEPAAGPAGGAGGGSAPAETEAEAVLASGDCA
+RARRAAASASASRAPWRAGRQRLSDMSLSTSSSDSLDLDRSMPLFGYEADTNSSLEDYDG
+ESDQETMAPPIKSKKKRNSSFVLPKLVKSQLRKMSGVFSSFLTPEKRMVRRIAELARDKR
+TYFGCLVQDYVSFLQENKECHVSSTDLLQTIRQFMTQVKNYLSQSSELDPPIESLIPEDQ
+IDVVLEKAMHKCILKPLKGHIEAMLKHFHVADGSWKQLKENLQLVRQRNPQELGVFAPTP
+DFVDVEKIKVKFMTMQKMYSPEKKVMLLLRVCKLIYTVMENNSGRMYGADDFLPVLTYVI
+AQCDMLELDTEVEYMMELLDPSLLHGEGGYYLTSAYGALSLIKNFQEEQAARLLSSEARD
+TLRQWHKRRTTTRTVPSVDDFQNYLRVAFQEVNSGCTGKTLLVRPYVTTEDVCQLCAEKF
+KVAEPQEYSLFLFVDETWQQLAEDTYPQKIKAELHSRPQPHVFHFVYKRIKKDPYGIIFQ
+NGEEDLSTS
+>tr|Q2TBW9|Q2TBW9_BOVIN Inhibitor of growth protein OS=Bos taurus OX=9913 GN=ING5 PE=2 SV=1
+MATAMYLEHYLDSIENLPCELQRNFQLMRELDQRTEDKKAEIDILAAEYISTVKTLSSDQ
+RVEHLQKIQSAYNKCKEYSDDKVQLAMQTYEMVDKHIRRLDADLARFEADLKDKLEGSDF
+ESAGGRGLKKGRGQKEKRGSRGRGRRTSEDDTPKKKKHKGGSEFTDTILSVHPSDVLDMP
+VDPNEPTYCLCHQVSYGEMIGCDNPDCPIEWFHFACVDLTTKPKGKWFCPRCVQERRKKK
+>tr|A6QQA7|A6QQA7_BOVIN RIPK3 protein OS=Bos taurus OX=9913 GN=RIPK3 PE=2 SV=1
+MSGSTLWPSGASAPLVPIEELENPELIGKGGFGSVFRAHHRSWGVEVAVKIVNSRAISRE
+VKAMSSLRNTNVLPLLGVTEKLVWEYVSGPALVTPFMENGSLAVLLQPRCPRPWPLLCQL
+LQELVLGMCYLHSQNPVLLHRDLKPSNVLLDSELHAKVADFGLSTFQGGSQSGAGSGESG
+CTPAYLAPELLANINQKASRASDVYSFGILTWAVLAGREAESKTDSGILHLSAPDIIGAG
+SSV
+>tr|Q3ZBC5|Q3ZBC5_BOVIN ZNF410 protein OS=Bos taurus OX=9913 GN=ZNF410 PE=2 SV=1
+MLSDELESKPELLVQFVQNTSIPLGQGLVESEAKDITCLSLLPVTEASECSRLMLPDDTP
+NHTNSSKEVPSSAVLRSLQVNVGPDGEETRAQTVQKSPEFLSTPESPSLLQDLQPSDSTS
+FILLNLTRAGLGSSAEHLVFVQDEADDSGNDFLSSESTDSSIPWFLRVQELAHDSLIAAT
+RAQLAKNAKTSSNGENVHLGSGDGQPKDSGPLPQMEKKLKCTVEGCDRTFVWPAHFKYHL
+KTHRNDRSFICPAAGCGKSFYVLQRLKVHMRTHNGEKPFVCPESNCGKQFTTAGNLKNHL
+RIHTGEKPFLCEAQGCGRSFAEYSSLRKHLVVHSGEKPHQCQVCGKTFSQSGSRNVHMRK
+HHLQMGAAGSQEQEPAAEPLMGSSLLEEASVTSKNLVSMNSQPSLGGESLNLPNTNSILG
+VDDEVLAEGSPRPLSSVPDVTHHLVTMQSGRQSYEVSVLTAVNPQELLNQGDLTERRT
+>tr|A6QLR8|A6QLR8_BOVIN DYNLT3 protein OS=Bos taurus OX=9913 GN=DYNLT3 PE=2 SV=1
+MEEYHRPCDEVGFNADEAHNIVKECIDGVLGGEDYNQNNINQWTASIVEQSLAHLVKLGK
+AYKYIVTCAVVQRSPYGFHTASSCFWDTTSDGTCTVRWENRTMNCIVNVFAIAIVL
+>tr|A5PKI0|A5PKI0_BOVIN APPL1 protein OS=Bos taurus OX=9913 GN=APPL1 PE=2 SV=1
+MPGIDKLPIEETLEDSPQTRSLLGVFEEDATAISNYMNQLYQAMRRIYDAQNELSAATHL
+TSKLLKEYEKQRFPLGGDDEVMSSTLQQFSKVIDELSSCHAVLSTQLADAMMFPITQFKE
+RDLKEILTLKEVFQIASNDHDAAINRYSRLSKKRENDKVKYEVTEDVYTSRKKQHQTMMH
+YFCALNTLQYKKKIALLEPLLGYMQAQISFFKMGSENLNDQLEEFLTNIGTSVQNVRREM
+DSDVETMQQTIEDLEVASDPLYVPDPDPTKFPVHRNLTRKAGYLNARNKTGLVSSTWDRQ
+FYFTQGGNLMSQARGDVAGGLAMDIDNCSVMAVDCEDRRYCFQITSFDGKKSSILQAESK
+KDHEEWICTINNISKQIYLSENPEEIAARVNQSALEAVTPSPSFQQRHESLRPAGQSRPP
+TARTSSSGSLGSESTNLAALSLDSLVAPDTPIQFDIISPVCEDQSGQAKASGQGGRRTNP
+FGESGGGTKSETEESILHQLFIVRFLGSMEVKSDDNPDVVYETMRQILAARAIHNIFRMT
+ESHLLVTCDCLKLIDPQTQVTRLTFPLPSVVLYATHQENKRLFGFVLRTSGGRSESNLSS
+VCYIFESNNEGEKICDSVGLAKQIALHAELDRRASEKQKEIERVKEKQQKELSKQKQIEK
+DLEEQSRLIAASSRPNQASSEGQFVVLSSSQSEESDLGEEGKKRESEA
+>tr|E1BNH3|E1BNH3_BOVIN Stonin 2 OS=Bos taurus OX=9913 GN=STON2 PE=4 SV=3
+MTTLDHVIATHQSEWVSFNEEPLFPVPSEGGPKEHLPGASSSSDQSESSSGEHHALDGGS
+QDLSHSEHDDSSEKLGLISEAASPPGSPEQRPPELVSAISSWVQFEDDAPWASSSPPPKE
+AGGTATSLAMPCWTCPSFDSLGRCPLPSESSWTTHSEDTSSPSFGPSYTDLQLVSAGEQS
+GLASGADSTDNSSSLQEDEEVEMEAISWQAGSPAMNGHPTTPVTSARFPSWVTFDDNEVS
+GPLSPITSPLKPETLPLASVTPDGPYNLTGSFKKRERPKSSLMNFSKVQKLDVSSVSHPP
+AVPEAPPWRATNPFLNETLQDVQPSPINPFRAFFEEQERRAQSSSVSSTLGKSQRDSLIV
+VYRDAISFDDSSRNQSRSDAVEKLKRLQIEDSDHLGGVTLPDDDPAAWAELDAHPAGSEQ
+SPPRDGWPMMLRIPEKKNIMSSRHWGPIYVKLTDGGYLQLYYEQGLERPFREFKLEMYHE
+ISEPRLQNYDENGRIHSLRIDRVTYKEKKKYQPKPAVAHLAEREQVIKLGTTNYEDFLSF
+IRAVQDRLMELPVLSTELSTVGLNYLEEEMTVDVQDEFSGLVSKGDNQILQHRVLTRIHV
+LSFLSGLAECRLGLNDVLVKGNEVVSRQDIVPTTTTKWIRLHECRFHGCVDEDVFRGSRV
+ILFNPLDACRFELMRFRTVFAEKTLPFTLRTAASIHGAEVEVQSWLRMSAGFSSNWDPLT
+QVPCENVMVRYPVPSEWVKNFRRESVLGEKSLKAKVNRGASFGSASISGSEPVMRVTLGT
+AKYEHAFNAIVWRINRLPDKNSASGHPHCFFCHLELGSDREVPSRFANHVNVEFSMPTTS
+ASKAAVRSISVEDKTDVRKWVNYSAHYSYKVEIEQKKSLKLDLEGDDMENPKECGVQ
+>tr|A4IFT8|A4IFT8_BOVIN ZNF268 protein OS=Bos taurus OX=9913 GN=ZNF268 PE=2 SV=1
+MATRVRTAAIWVPPLQERDSSCNVSRKLQSEKSILDQGTPDQKPLSGGPWQRPRRPGVLE
+WLLISQDQPKAKKSWGPLSFMDVFVDFTWEEWQLLDSAQKHLYRSVMLENFRNLVSLGYQ
+YTKPSIIFQLEQEELWMMHSPSQGHSGE
+>tr|E1BM79|E1BM79_BOVIN Adenylate cyclase 9 OS=Bos taurus OX=9913 GN=ADCY9 PE=1 SV=2
+MASPPHQQLLQHHSTEVSCDSSGDSNSVRVRINPKQPSSNSHPKHCKYSISSSCSSSGDS
+GGVPRRMGAGGRLRRRKKLPQLFERASSRWWDPKFDSVNLEEACMERCFPQTQRRFRYAL
+FYIGFACLLWSIYFGVHMKSKLIVMVAPALCFLVVCVGFFLFTFTKLYARHYVWTSLVLT
+LLVFALTLAAQFQVLTPLSGRVDNFNHTRAARPTDTCLSQVGSFSMCIEVLFLLYTVMHL
+PLYLSLILGVAYSVLFETFGYHFQDEACFASPGAEALHWELLSRALLHLCIHAIGIHLFI
+MSQVRSRSTFLKVGQSIMHGKDLEVEKALKERMIHSVMPRIIADDLMKQGDEESENSVKR
+HATSSPKNRKKKSSIQKAPIAFRPFKMQQIEEVSILFADIVGFTKMSANKSAHALVGLLN
+DLFGRFDRLCEETKCEKISTLGDCYYCVAGCPEPRADHAYCCIEMGLGMIRAIEQFCQEK
+KEMVNMRVGVHTGTVLCGILGMRRFKFDVWSNDVNLANLMEQLGVAGKVHISEATAKYLD
+DRYEMEDGKVTERLGQSVVADQLKGLKTYLIAGQRAKESHCSCSEALLSGFEVLDGSRVS
+SGPRGQGTASPGSVSDLAQTVKTFDNLKTCPSCGITFTPKPEAGAEGGAVQNGCQEEPKN
+SAKASGGPSSKTQNGLLSPPPEEKLTNSQTSLCEILQEKGRWAGVSLDQSALLPLRFKNI
+REKTDAHFVDVIKEDSLMKDYFFKPPINQFSLNFLDPELERAYRTSYQEEVVKSSPVRTF
+ASATFSSLLDVLLSTTVFLILSITCFLRYGAASTPPPPAALAVFGAALLLEILSLVVSVR
+MVFFLEDVMTCTKRLLEWIAGWLPRHFIGAILVSLPALAVYSHVTSEFETNIHSTMFTGS
+AVLTAVVQYCNFCQLSSWMRSSLATVVGAGPLLLLLYVSLCPDSSTVISHLDAVQNFSST
+RKLCNASLPHDGRSPASLIGQEVILVFFLLLLLVWFLNREFEVSYRLHYHGDVEADLHRT
+KIQSMRDQADWLLRNIIPYHVAEQLKVSQTYSKNHDSGGVIFASIVNFSEFYEENYEGGK
+ECYRVLNELIGDFDELLSKPDYSSIEKIKTIGATYMAASGLNATQCRDGSHPQEHLQILF
+EFAKEMMRVVDDFNNNMLWFNFKLRVGFNHGPLTAGVIGTTKLLYDIWGDTVNIASRMDT
+TGVECRIQVSEESYRVLSKMGYEFDYRGTVNVKGKGQMKTYLYPKCTDSGLVPQHQLSIS
+PDIRVQVDGSIGRSPTDEIASLVPSVQNPDQVPPGSENNAQTRDAHPSAKRPWKEPVRAE
+ERCRFGKAIEKSDCEEVGMEEANELTKLNVSERA
+>tr|A3KN34|A3KN34_BOVIN NRG1 protein OS=Bos taurus OX=9913 GN=NRG1 PE=2 SV=1
+MSERREGKGKGKGGKKDRGSGKKPVPAAGGPSPALPPRLKEMKSQESVAGSKLVLRCETS
+SEYSSLKFKWFKNGSELSRKNKPQNIKIQKRPGKSELRISKASLADSGEYMCKVISKLGN
+DSASANITIVESNEITTGMPASTETAYVSSESPIRISVSTEGTNTSSSRKETCKTPVCDW
+RF
+>tr|V9VJQ3|V9VJQ3_BOVIN Sperm flagella 2 protein OS=Bos taurus OX=9913 GN=SPEF2 PE=2 SV=1
+MSEILCQWLNQELKVSQTVSPKSFAKAFSSGYLIGEVLHKFALQDDFADFSESRISSAKL
+NNFSRLEPTLHLLGVQFDQNVAHNIITEKPGAATKLLYQLYIALQRKKKGVQTGVEMPTV
+QPLMSTKVQNMKSEAFRDQRLSRRRQNEIMAKIQAAIIQIPKPTSNRTLKTLDAQKLMKK
+KKEAEDVANEIKKFEALIKKDLQAKESASKTSLDTAGQTTTDLLNTYSDDDYIKKIQKRL
+EEDAFAREQREKRRRRLLMDQLIAHEAQEEAYREEQLINRLMRQSQQERRIAVQLMHVRH
+EKEVLWQNRIFREKQYEERRLKDFQDALDREAALARQAKIDFEEQALRDKEIHEQIAIER
+AQARYEKHYSICAEILDQILDLSTKVADYRLLTNNLIPYKLMHDWKELFFHGKPIYEQAS
+LRHLPTKLSAEHLIELEKRNLLDNNDYEEYKNMVGEWALPEEMVENLPPSNNCILGHVIH
+RLIESSLPPQVESTVPELPSFAIKGCLLGKTFSGKTTALKALEKSFPIQVLSIDTLVQEA
+IQAFHDKESVSGAHGLQKAPEEKALPVRQESDEDNQACTSSPKDVQDGSLAEALPKKEDK
+TRLDTDARKAAKAEEVVASDSFSELTVRAQLGAKSERLLKKGKSISDILLVSIMVNAINQ
+IPMYKGWILDGFPMTLNQAKLLEEALTGYNRNLIELEGKKSHTSTLAVDPTASKEVPLPP
+SAFDFVMLLDISDNSSLNRMNDIMAEAFSSETPLEDINQRVAAKNKDTDEDQNLRDQIQH
+RIVGFLDNWPSLEQWFTEPENILIKINAEVDKQSLCQKVKEMFMTEIMKKENKEPPHGNR
+EPLQEGKGKKSETSLKRKGSPRGKSLGGKIPLKKSPAESTDVSPVPVVPAPSKPGSEEWV
+YVNEPIPEEIPSFLVPYWKLIENSYINSIKTVLRQLREDQHAVLAYLYDIRTGFQQFLRR
+PDHKQDFVSQWQADFNSLPDDLWDDEETKAELHQRVNDFRDRLWDICDARKEEAEQERLD
+IINESWLQDSTGIAMNHFFSLMQAELNRFQDTKRLLQDYYRAMECKIPMDDTKRFTRVPL
+VQLDSKDILESQLRIPLVPRRSISPESALSKPKTKSILKGKIDYMLESVELNFEADEKMV
+MDTWQQSSLAISHMVAAEIHQRLMEEEKENQPAETKEKLPQAAANKKVKKEPPPPPPPPK
+KKKEDKKGKGKSPPMTEAAPVVLPAEETEEVEVKNELKLRIKEEHLAALQFEEIATQFRL
+ELIKVKALAFLEELVIKAVDVYRLMEKWLGERYLNEMASVEKLTGVARYHIETSTKIQNE
+LYLDQEDFFINGDIKVFPDPPPPVRPPPVEKEENGTLTIEQLDNLRDQFLDIAPKGIIGN
+KAFADLLLDLVTLNLGTNNFPSSWMNLTQPELQELASLLVTNSESVDWRKFLLVVALPWP
+IPLEEELLETLQRFKALDAEQLGTITYEQYKQAGLWFTGDEDIKIPENPLEPLPFNRQEH
+LIEFFFRLFADCEKDPPQLDYTQMLLYFACHPDSTEGVYRALSVAIGTHVFQPIEMPHPV
+AEKTSSFTDMSPIEEYPELEDNFMSEERELQEDGEEKEEEIPENANTEMISMQTLLQVFR
+GGNEVLDANRFASHQKLENTYLENFIKVFQDLGSKNLEPIEVAVLLKHPFIQDLISSYPD
+YKIPDVKIILQRSEHVQGSDEERSPSRLTEEKK
+>tr|A4IFT9|A4IFT9_BOVIN THAP domain containing 7 OS=Bos taurus OX=9913 GN=THAP7 PE=2 SV=1
+MPRHCSAAGCCTRDTRETRNRGISFHRLPKKDNPRRGLWLANCQRLDPSGQGLWDPASEY
+IYFCSKHFEENCFELVGISGYHRLKEGAVPTIFESFSKLRRTRTKGHGYPPGPPDVSRLR
+RCRKRCSEGRGPTTPFSPPPPADLTCFPVEEASAPAALSASPAGRLEPGLSSPFSDLLGP
+LGAQADEAGCSAQPSPERQPSPLEPRPVSPSAYMLRLPPPAGAYIQNEHSYQVGSALLWK
+RRAEAALDALDKAQRQLQACKRREQRLRLRLTKLQQERAREKRAQADARQTLKEHVQDFA
+MQLSSSMA
+>tr|Q17QC7|Q17QC7_BOVIN Nectin cell adhesion molecule 2 OS=Bos taurus OX=9913 GN=NECTIN2 PE=2 SV=1
+MARAAALRPLRLSPPTLPLLPLLLLLLRETGAQDVRVQVAAEVRGNLGDTVELPCHLLPS
+APEIRVSQVTWLRLDAPEGKQNVAIFHPQYGRSFPSPKPGKERLSFVNTAPSSGAGQGSE
+LEVRDATLSLRGLTVEDEGNYTCEFATFPKGTSRGVTWLRVIAQPQNHAEKQEVTFNLDP
+VPVARCVSAGGRPPARVSWLSPLDGEAKETQGSGPLPGTVTVTSRYTVTPLSEADGVKVI
+CKVEHESFQEPKLLPVILSVRYPPQVSISGYDDNWYIGRSEATLNCNVRSKPEPTGYDWS
+TTSGVFPASAVAQGPKLIVHSVDRLFNTTFICTVTNAVGTGQAEQVVLVRGDAGDLSTPE
+SGRFPGGGNGSPLQYSSPENPMDRGAWQAAVHAVAESKIWLSD
+>tr|E1BE21|E1BE21_BOVIN Transmembrane and coiled-coil domains 4 OS=Bos taurus OX=9913 GN=TMCO4 PE=4 SV=2
+MAARDGSGPRLPRVAEPGVEGEPRLPTGRELTEANRFTYAALCGISLSQLFPEPEQRSFC
+TDFVAGLVKWLDLSEAVLPTMTAFASGLGGEGADVFAEVLLKDPILKDDPLVITQDLLSF
+SLKDGHYDARARVLICHMASLLRAPLEELDLLEETFLESLKESKEEDSESAEASRKKKEN
+RRKWKRYLLIGLATVGGGTVIGVTGGLAAPLVAAGAATVIGSAGAAALGSAAGIAIMTSL
+FGAAGAGLTGYKMKKRVGAIEEFTFLPLTEGRQLHITIAITGWLASGKYRTFSAPWAALA
+RSREQYCLAWEAKYLMELGNALETILSGLANMVAQEALKYTVLSGLVAALTWPASLLSVA
+NVIDNPWGVCLHRSAEVGKHLAHILLSRQQGRRPVTLIGFSLGARVIYFCLQEMAQEKDC
+QGIIEDVVLLGAPVDGEAKHWEPFRKVVSGRIVNGYCRADWLLSFVYRTSSVRLHVAGLQ
+PVLLQDRRVENVDLSSVVSGHLDYARQMDVILKAVGIRTKPSWDEKGLLLAPGSLPQEES
+RQVAATSSSDQTTNQDGQTQGPTSRDIPKAAIPPDLHQAQVPAGLDQSEGASLPAATRPA
+ESPPLCSHGLGANPLGCLACARETQGPCPGLD
+>tr|A0A3Q1LXT2|A0A3Q1LXT2_BOVIN Cilia- and flagella-associated protein 36 OS=Bos taurus OX=9913 GN=CFAP36 PE=4 SV=1
+MAAEEEDEVEWVVESIAGFLRGPDWSIPILDFVEQKCEVFDDEEESKLTYTEIHQEYKEL
+VEKLLETYLKEIGINEDQFQEACTSPLAKTRTSQAILQPVLAAEDFTIFKAMMVEKNTEM
+QLQAIRIIQERNGVLPDCLTDGSDVVSDLEQEEMKILKEVLRKSKEEYDQEEERKRKKQL
+SEAKTEEHPMQANETAKMSNSQGDGEHFAHPASEVKVHFANQSVQPLTRKLEMLPETSSL
+PQKGLKIPGFEHASMEGPIANLSTLRTEELRQREHYLKQKRDKLMSMRKDMKIKQNQTSE
+QKGKPAGEVEEMTEKPEMTAEEKQTLLKRRLLAEKLKEEVINK
+>tr|A0A3Q1MD59|A0A3Q1MD59_BOVIN Transcription factor EC OS=Bos taurus OX=9913 GN=TFEC PE=4 SV=1
+MTLDHQILNQSFKRSHPPTPSSELLVQHGHPSPESDTGLTGNPLTKLLTLGKEDDNTEWH
+MEDIIEDIIGMDPSFKEEGTDSPLLMQRTVSGSILDVYSGEQEISPVNMGLTSASCPSSL
+PMKREITETDTRALAKERQKKDNHNLIERRRRYNINYRIKELGTLIPKSNDPDMRWNKGT
+ILKASVEYIKWLQKEQQRAQELEHRQKKLEQANRRLLLRIQELEIQARAHGLPTLASLVT
+VDLGAHITKQTHLEQNSGDYCQQLVLSQGTSPELCDQAMAFSDPLSHFTDLSFSAALKEE
+QRLDNMLLDDTVSPFGTDPLLSAISPAVSKESSRRSSFSSEDGDEL
+>tr|A6H732|A6H732_BOVIN TMX4 protein OS=Bos taurus OX=9913 GN=TMX4 PE=2 SV=1
+MAGGRRGPLFAALLAAWVVAVAEAEADLEQAALPPERSLVRPMTASNWTLVMEGEWMLKF
+YAPWCPSCQQTDSEWETFAKNGEILQISVGKVDVIQEPGLSGRFFVTTLPAFFHAKDGIF
+RRYRGPGVYEDLQNYILEKKWQSVEPLTGWKSPASLTMSGMAGLFSISGKIWHLHNYFTV
+TLGVPAWCSYFFFVIATLIFGLLMGLVLVVISECFYLPLPRNLSEHSEQNLRSEEVHLTE
+QLQDVEEEKDDSNEEENKDSLVDDEDEKEDLGYEEEAEEEEEDGPAAGGDEEKSDARDPE
+PPREAREDEGSSEVGDDSLEQPRPAGTEALGDSLRQRRSQHADKGPSA
+>tr|E1BN70|E1BN70_BOVIN Integrator complex subunit 3 OS=Bos taurus OX=9913 GN=INTS3 PE=1 SV=1
+MELQKGKGAAAAAASGAAGGGGGAGAGAPGGGRLLLSTSLDAKDELEERLERCMSIVTSM
+TTGVSEREANDALNAYVCKGPPQHEEICLGLFTLVLTEPAQAQKCYRDLALVSRDGMNIV
+LNKINQILMEKYLKLQDTCRTQLVWLVRELVKSGVLGADGVCMTFMKQIAGGDVTAKNIW
+LAESVLDILMEQREWVLKSSILIAMAVYTYLRLIVDHHGTAQLQALRQKEVDFCISLLRE
+RFMECLMVGRDLVRLLQNVARIPEFELLWKDIIHNPQALSPQFTGILQLLQSRTSRKFLA
+CRLTPDMETKLLFMTSRVRFGQQKRYQDWFQRQYLSTPDSQSLRCDLIRYICGVVHPSNE
+VLSSDILPRWAIIGWLLTTCTSNVAASNAKLALFYDWLFFSPDKDSIMNIEPAILVMHHS
+MKPHPAITATLLDFMCRIIPNFYPPLEGHVRQGVFSSLNHIVEKRVLAHLAPLFDNPKLD
+KELRAMLREKFPEFCSSPSPPVEVKIEEPVSMEMDNHMSDKDESCYDNAEAAFSDDEEDL
+NSKGKKREFRFHPIKETVVEEPVDITPYLDQLDESLRDKVLQLQKGSDTEAQCEVMQEIV
+DQVLEEDFDSEQLSVLASCLQELFKGHFRGEVLPEEITEESLEESVGKPLYLIFRNLCQM
+QEDNSSFSLLLDLLSELYQKQPKIGYHLLYYLRASKAAAGKMNLYESFAQATQLGDLHTC
+LMMDMKACQEDDVRLLCHLTPSIYTEFPDETLRSGELLNMIVAVIDSAQLQELVCHVMMG
+NLVMFRKDSVLNILIQSLDWETFEQYCAWQLFLAHNIPLETIIPILQHLKYKEHPEALSC
+LLLQLRREKPSEEMVKMVLSRPCHPDDQFTTSILRHWCMKHDELLAEHIKSLLIKNNSLP
+RKRQSLRSSSSKLAQLTLEQILEHLDNLRLNLTNTKQNFFSQTPILQALQHVQASCDEAH
+KMKFSDLFSLAEEYEDSSTKPPKSRRKAALSSPRSRKNATQPPNAEEESGSSSASEEEDT
+KPKPTKRKRKGSSAVGSDSD
+>tr|F1N6D4|F1N6D4_BOVIN Sodium-dependent phosphate transport protein 2B OS=Bos taurus OX=9913 GN=SLC34A2 PE=4 SV=1
+MAPWPELENSQPTSEKYTVKADGEQSAKPEKAKETEKDDTGTPITKIELVPSHSTATLIE
+EPTEVEDPWDLPELKDTGLKWSERDTKGKILCVFQGIGKFILLLVFLYFFVCSLDVLSSA
+FQLVGGKVAGKFFNNNSIMSNPVAGMVIGVLVTVLVQSSSTSTSIVVSMVASSLLPVHAA
+IPIIMGANIGTSITNTIVALMQAGDRKEFRRAFAGATVHDFFNWLSVLVLLPLEAATGYL
+ERLTNLVVESFHFKNGEEAPELLKVITDPFTKLIIQLDKSILNQIAMNDESVQNKSMIKI
+WCKTFTNVTERNVTVPSPENCTSPSLCWTDGLYTWTIKNVTYKENIAKCQHIFVNFNLSD
+AIVGTILLITSLLILCTCLILIVKLLGSVLRGQVAAVIKKTINTDFPYPFSWVTGYLAIL
+VGAGMTFIVQSSSVFTSAMTPLIGIGVISIQRAYPLTLGANIGTTTTAILAALASPGSTL
+KSSLQIALCHFFFNISGIILWYPIPFTRLPIRLAKGLGNISSKYRWFAIVYLIVFFFLIP
+LAVFGLSLIGWPVLVGVASPIVLVILLVVVLKILQSFCPGSLPQKLRSWDFLPFWMRSLE
+PWDKLITSLTSCFQMRCCCCCRVCCRLCCGLCGCSKCCRCSKCCEDLEEGKDEPVKSPEA
+FNNLAMDKEAQDGVTKSEVDASGTKIVSSVTAL
+>tr|Q56K11|Q56K11_BOVIN Proteoglycan 1-like OS=Bos taurus OX=9913 GN=SRGN PE=2 SV=1
+MQVLLQCARLVLALAFILFSSSVQGSPVQRAGYQCNPDSPDSNSANCIEEKGTAFDILRG
+ESSRIPPPRTDISPLMNSKNLNEVFPLSEDISGSGSGAESGSGFLNEIEQEYQPVEENDA
+FYYTFRSRKRNVPSYNQDLGQDGPEEDFTI
+>tr|A4FV83|A4FV83_BOVIN ABTB1 protein OS=Bos taurus OX=9913 GN=ABTB1 PE=2 SV=1
+MDTCDLFSSCRKGDVGRVRYLLEQRDVEVNVRDKWDSTPLYYACLCGHEELVLYLLAHGA
+RCEANTFDGERCLYGAQSDAIRRALRDYRQVTASFWRRDLYYSFLLRLLEQGLHSDVVFV
+VHGRAFRAHRGVLGARSTYFAHMLDTKWKGRSAVVLRHPLINPVAFGALLQYLYTGCLDV
+GVEHVSDCERLARQCQLWGLLGGLEAKQASKPGVCMKVLTIEPPQADLQLREDLALLADC
+ALPPELRGDLGELPFPCDGLSSCPDVCFRVGGYDFLCHKAFFCGRSEYFRALLDDHFQES
+EQLEASGGLPAVTLHSVSPEVFTHVLYYVYSDHTELPPELAYDVLSVADMYLLPGLKQLC
+GRSLAQLLDEDSVVGVWRVAKLFGLARLEDQCTKYMARVIEKLVQQEDFVEAVREEAAAV
+AGRQETDSIPLVDDIRFHMGSLVQTCHAMEQAAQRLRVLEELLVSIGLDC
+>tr|A0A3Q1LR47|A0A3Q1LR47_BOVIN LY6/PLAUR domain containing 6B OS=Bos taurus OX=9913 GN=LYPD6B PE=4 SV=1
+MLLLCHALTVAVVQTFIFSENRVFAKNINFYNVRPPLDPTPFPNSFKCFTCENAGDNYNC
+NRWAEDKWCPQNTQYCLTVHHFTSHGRSISITKKCASRSECHFVGCHHSQDSEHTECRSC
+CEGMICNVELPTNHTNAVFAVMHAQRTSGSGAPALYLPVLAWAFVLPLI
+>tr|Q0VCM3|Q0VCM3_BOVIN Family with sequence similarity 19 (Chemokine (C-C motif)-like), member A4 OS=Bos taurus OX=9913 GN=FAM19A4 PE=2 SV=1
+MKSPRMRACARSVLLSHWLFLAYVLMVCCKLMSASSQHLRGHTGHHQIKQGTCEVVAVHR
+CCNKNRIEERSQTVKCSCFPGQVAGTTRAQPSCVEAAWNL
+>tr|A6QLX6|A6QLX6_BOVIN Polypeptide N-acetylgalactosaminyltransferase OS=Bos taurus OX=9913 GN=GALNT12 PE=2 SV=1
+MLGRAARRRCPRGLRRGREALLALLALLALAGLASVLRARRGAGAAEPGLRRTQRSGRRD
+PVLPRPPVPEDALGAHGEAVRLQLQGEELRLQEESVRLHQINIYLSDRISLHRRLPERWN
+PLCKEKKYNYDELPTTSVVIAFYNEAWSTLLRTVYSVLETSPDTLLEEVILVDDYSDREH
+LKERLATELAGLPKVRLIRANKREGLVRARLLGASVAKGDVLTFLDCHCECHEGWLEPLL
+QRIHEKESAVVCPVIDVIDWNTFEYLGNAGEPQIGGFDWRLVFTWHTVPEKERIRMRSPI
+DVIRSPTMAGGLFAVSKKYFEYLGSYDIGMEVWGGENLEFSFRIWQCGGTLEIHPCSHVG
+HVFPRQAPYSRNKALANSVRAAEVWMDEFKELYYHRNPQARLEPFGDVTERRQLRARLGC
+KDFKWFLNTVYPELHVPEDRPGFFGMLQNKGLRDYCFDYNPPNEHEITGHQVILYRCHGM
+GQNQFFEYTSQNEIRYNTHQPEGCVAVVEGTDVLIMHLCENTTPEDQKFILQEDGSLFHV
+PSKKCVQAERKDLSDSFVPLLRDCTNLDHQKWFFREHIV
+>tr|Q0IIL0|Q0IIL0_BOVIN Purinergic receptor P2Y, G-protein coupled, 12 OS=Bos taurus OX=9913 GN=P2RY12 PE=2 SV=1
+MDNLTSVAGNSSQCSRDYKITQVLFPLLYTVLFFVGLITNSLAMRIFFQIRSKSNFIIFL
+KNTVISDLLMILTFPFKILSDTKLGTGPLRAFVCQVTSVVFYFTMYISISFLGLITIDRY
+QKTTRPFKTANPNNLLGAKILSVVIWAFMFLISLPNMILTNRRPSDKTVKKCSFLKSEFG
+LVWHEIVNYVCQVIFWINFLIVIVCYTLITKELYKSYVRTRGAGKVPKKKVNIKVFIIIA
+VFFICFVPFHFARIPYTLSQTRDVFDCTAENTLFYVKESTLWLTSLNACLDPFIYFFLCK
+SFKNSLMSMLRCSNSTSPPSHDNRKKGQDGSDPSEETPM
+>tr|A0A3S5ZPR4|A0A3S5ZPR4_BOVIN Leucine rich repeat containing 17 OS=Bos taurus OX=9913 GN=LRRC17 PE=4 SV=1
+MRVAIIVILLFFCKAAELRKASPGGARNRVNHGRAGGSRKSSNPVKRYAPGLACEVYTYL
+HEKYLDCQERKLVYVLPDWPQDLLHMLLARNKIRILKNSMFSKFKKLKSLDLQQNEISKI
+ESEAFFGLNKLTTLLLQHNQIKVLTEEVFIYTPLLSYLRLYDNPWHCTCEMETLISMLQI
+PRNRNLGNYAKCESPQELKNKKLRQIKSEQLCNEEESEQLEPRPQLSGKPPVIKTEVDST
+LCHNYVFPIQTLDCRRKELKKVPNNIPPDIVKLDLSHNKINQLRPKEFEDVHELKKLNLS
+SNGIQFIDPAAFLGLTHLEELDLSNNSLQNFDYGVLEDLYFLKLLWLRENPWRCDYNIHY
+LYYWLKHHYNVHYNGLECKMPEEYKGWFVGKYVRSYYEECPKDKLPAYPETFDQDMEDDE
+WEKIHKDHTAKKQSVRITIVG
+>tr|Q0V8J5|Q0V8J5_BOVIN PGBD1 protein OS=Bos taurus OX=9913 GN=PGBD1 PE=2 SV=1
+MDEVLQDSAPENGDGLVKVKEEDPTWEQTCSSQQSVPNTRELCRLRFRHFCYQEVPGPRE
+ALAQLRELCHQWLSPETHSKERILELLVLEQFLTILPEELQARVQACSLESGEDAVIALE
+SLERDTGDSGEQVGRECVWWCGRCNGEHLSVNF
+>tr|G5E5V6|G5E5V6_BOVIN Cingulin like 1 OS=Bos taurus OX=9913 GN=CGNL1 PE=4 SV=1
+MELYFGEYQHVQQEYGVHLRLASDETRKSRNSQHAKAGSYGVSIRVQGIDGHPYIVLNNT
+EQCLAGTSFSENGPSFPAPMINNLPLHPSKGSVVEESSAEELQLPENPYAPPGPVRNLKQ
+PSVFEGKNGALERKEEPRKASPMLNFERHPELLQPYDPEKNELSLKNHQPPETSWLKALR
+EGTNNKKAWTCMPKPSLSQPTSPPSEDPARSNVTAIRLCSSVVIDDPKKQTSVCMNVQSC
+SKECLAEEALAPSGRPLPTPSLQAHLEAKKTRPDVLPFRRQDSAGPVLDGARSRRSSSSS
+TTPTSANSLYRFLLDDQECAIHADNVNRHENRRYIPFLPGTGRDIDTGSIPGVDQLIEKF
+DQKPGMQRRGRSGKRNRINPEDRKRSRSVDSAFPFGLQGNSEYLTEFSRNLGKSSEHLLR
+PSQVCPPRAQEHRGRQGGGRAFARLLGAQPRPPLQNKDGKVPENKSAQESPTGRTSSLPA
+QTKKEEEIKTVTATLMLQNPALATSPDSGTKRISVKTFTSTSNTQATPDLLKGQQELTQQ
+TNEETAKQILYNYLKEGSTDNDDATKRKVNLVFEKIQTLKSRAAGSTQGNNQASNSSSEV
+KDLLEQKNKLTTEVAELQTQLQLEVKNQQNIKEERDRMKADLEVLQSQHDSKVEESTVLQ
+RRLEESEGALRRHLEELFQVKMEREQHQTEIRDLQDQLSEMHDELDSAKQSEDREKGALI
+EELLQAKQDLQHLLIAKEEQEDLLRKRERELTALKGALKEEVSSHDREMDKLKEQYDAEL
+LALRESVEEATKNVEVLASRSSSAEQTQVGAEMRVKALQEENEKLRGSVEELERTVARLQ
+RQLADLQDDEAKAKETLKKCEGETRQLEEALLHARKEEKEATSAKRALQTELEEAQRNLS
+RATQEQKQLSERLKDEAEQKEQLRRLKNEMENERWHLDKTIEKLQKEMADIVEVSRTSTL
+ELQNQLDEYKEKNRRELAEMQRQLKEKTLEAEKSHLTAMKLQDEMRLMEEELRDYQRAQE
+EALTKRQLLEQTLKDLEYELEAKSHLKDDRGRLVKQMEDKVSQLEMELEEERNNSDLLSE
+RITRSREQMEQMRNELLQERAMKQDLECDKISLERQNKDLKSRIIHLEGSYRSSKEGLVV
+QMEARIAELEDRLESEERDRASLQLSNRRLERKVKELVMQVDDEHLSLTDQKDQLSLRLK
+AMKRQVEEAEEEIDRLESSKKKLQRELEEQMDVNEQLQGQLNSMKKDLRLKKLPSKVLDD
+VDDDDDLSTDGGSLYEAPLSYTFPKDSTVVSQI
+>tr|A7MB07|A7MB07_BOVIN ADAM metallopeptidase with thrombospondin type 1 motif 1 OS=Bos taurus OX=9913 GN=ADAMTS1 PE=2 SV=1
+MQRAVPAGFRKRTAGGDMGHKAPRARGLQPVPSPLLLLAAAAALLVVPGAHGRPAEEDEE
+LVLPALERDPGLRTAHLRLDAFGRQLSLELHLDRGFLAPGFTLQTVGRRPEPDVQRSDPV
+GDLAHCFYSGTVNGDPSSAAALSLCDGVRGAFYLQGEEYFIQPAPAAATLSLAPAAAAAA
+AAAGEQQQARPQFHLLRRRRRGDGGAKCGVLDDETQLAGGAGPEGEDATVQWAPRDPAPQ
+RPGHRTGTGSLRKKRFVSSPRYVETMLVADQSMAEFHGSDLKHYLLTLFSVAARLYKHPS
+IRNSVSLVVVKILVIYEEKKGPEVTSNAALTLRNFCSWQKQHNPPSDRDAEHYDTAILFT
+RQDLCGTQTCDTLGMADVGTICDPSRSCSVIEDDGLQAAFTTAHELGHVFNMPHDDAKQC
+AGINGLNRDSHMMASMLTNLDHNQPWSPCSASSITTFLDNGHGECLMDEPQLVIQLPSDL
+PGTLYDASRQCQLTFGEESRHCPDAASTCMILWCTGTSGGLPVCQTKHFPWADGTSCGEG
+KWCVNGQCLDQTDKEHFDTPVHGSWGPWGPWGDCSRTCGGGVQYTMRECDNPVPKNGGKY
+CEGKRVRYRSCNIEDCPENNGKTFRMEQCEAHNEFSKASFGSGPAVEWIPKYAGVSPKDR
+CKLICQAKGTGYFFVLQPKVVDGTPCSPDSTSVCVQGQCVKAGCDHIIDSKNKFDKCGIC
+GGNGSTCKKVSGSVTTAKPGYHDIVTIPTGATNIEVKQRNHRGSRNNGSFLAIKAADGTY
+ILNGDFTLSTLEQDITHKGSVLRYSGSSAALERIRSFSPLKEPLTIQVLTVGNAFRPKIK
+YTYFVKKKKESFNAIPTFSEWVIEEWGECSKSCGLGVQRRLVECRDINGQPASECAKEVK
+PASTRPCADLPCPRWQLGDWSPCSKTCGKGYKKRTLQCLSHDGGVLSHESCDPLKKPKHY
+IDFCTVAECS
+>tr|Q2NKV6|Q2NKV6_BOVIN Metallothionein OS=Bos taurus OX=9913 GN=MT1E PE=2 SV=1
+MDPNCSCPTSGSCSCAGSCTCKACRCPSCKKSCCSCCPVGCAKCAQGCICKGASDKCRCH
+A
+>tr|E1BIQ9|E1BIQ9_BOVIN Kruppel like factor 3 OS=Bos taurus OX=9913 GN=KLF3 PE=4 SV=1
+MLMFDPVPVKQEAMDPVSVSYPSNYMESMKPNKYGVIYSTPLSDKFFQTPEGLSHGMQME
+PVDLTVNKRSSPPSAGNSPSSLKFQSSHRRASPGLSLPSSSPPGKKYSPPPPPGVQPFSV
+PLSMPPVMAAALSRHGIRSPGILPVIQPVVVQPVPFMYTSHLQQPLMVSLSEEMENSSSS
+MQVPVIESYEKPILQKKIKIEPGIEPQRTDYYPEEMSPPLMNSVSPPQALLQENHPSVIV
+QPGKRPLPVESPDTQRKRRIHRCDYDGCNKVYTKSSHLKAHRRTHTGEKPYKCTWEGCTW
+KFARSDELTRHFRKHTGIKPFQCPDCDRSFSRSDHLALHRKRHMLV
+>tr|A6QLZ8|A6QLZ8_BOVIN Coronin OS=Bos taurus OX=9913 GN=CORO6 PE=2 SV=1
+MSRRVVRQSKFRHVFGQAAKADQAYEDIRVSKVTWDSAFCAVNPKFLAIIVEAGGGGAFI
+VLPLAKTGRVDKNYPLVTGHTAPVLDIDWCPHNDNVIASASDDTTIMVWQIPDYTPVRSI
+TEPIITLEGHSKRVGILSWHPTARNVLLSAGGDNVIIIWNVGTGEVLLSLDDMHPDVIHS
+VCWNTNGSLLATTCKDKTLRIIDPRKGQVVANNFEEPVALQEMDTSNGVLLPFYDPDSSI
+VYLCGKGDSSIRYFEITEEPPFVHYLNTFSSKEPQRGMGFMPKRGLDVSKCEIARFYKLH
+ERKCEPIVMTVPRKSDLFQDDLYPDTPGPEPALEADEWLSGQDADPVLISLRDGYVPPKH
+RELRVTKRNILDVRPPSGPRRSQSASNAPLSQHTLETLLEEIKALREQVQAQEQRITSLE
+NMLCELVDGTD
+>tr|E1BCJ0|E1BCJ0_BOVIN Low density lipoprotein receptor class A domain containing 4 OS=Bos taurus OX=9913 GN=LDLRAD4 PE=4 SV=3
+MQEAGSQATNAFTECKFTCTSGQCLYLGSLVCNQQNDCGDNSDEENCLLVTEHPPPGIFS
+SELEFAQVVVVVVVVTVMVVVIFCLLNHYRVSTRSFIHRPGQGQRQEDRPQLEGCLWPSD
+GSEPRPTSETMYAPRCRDGVTAPPFLQRDRFSRFQPTYPYVQHEIDLPPTISLSDGEEPP
+PYQGPCTLQLRDPEQQMELNRESVRAPPNRTVFDSDLIGVSVYSGGPCPPSSNSGISAST
+CSSTGRMAGPPPAYSEAMGHYPAATPSHPQHSSAHRGSRLLLQREGPGARSCPTRARTGN
+PGSASDL
+>tr|E1BEZ8|E1BEZ8_BOVIN Protein tyrosine phosphatase, non-receptor type 14 OS=Bos taurus OX=9913 GN=PTPN14 PE=4 SV=3
+MPFGLKLRRTRRYNVLSKNCFVTRIRLLDSSVIECTLSVESTGQECLEAVAQRLELRETH
+YFGLWFLSKSQQARWVELEKPLKKHLDKFANEPLLFFGVMFYVPNVSWLQQEATRYQYYL
+QVKKDVLEGRLRCSLEQVIRLAGLAVQADFGDYNQFDSQDFLREYVLFPMDLALEEAVLE
+ELTQKVAQERKAHSGILPAEAELMYINEVERLDGFGQEIFPVKDNHGNSVHLGIFFMGIF
+VRNRIGRQAVIYRWNDMGNITHNKSTILVELVNKEETALFHTEDIENAKYISRLFATRHK
+FYKQNKICTEQSNSPPPIRRQPTWSRSSLPRQQPYILPPMHVQCGEHYSETHTSQDSIFH
+GNEEALYCNSHNSLDLSYLNGTVTNGGSVCSVHSVNSLSCSQSFIQASPVSSNLSIPGSD
+IMRADYIPSHRHSAIIVPSYRPTPDYETVMRQMKRGVVHTDSQSQSLRNLNIINTHAYNQ
+PEDLVYSQPEMRERHPYTIPYGPQGGYGNKLVSPSDQLNPTNPTVPSKPGGPSAISHTVS
+TPELANMQLQGAHSYNAAHVLKNYLFRPPPPYPRPRPATSTPDLASHRHKYVSGSSPDLV
+TRKVQLSVKTFQEDSSPVVHQSLQEVSEPLTATKHHGAVHKRHSLEAMSSMVRGMEAMTL
+KSLNIPMARRSTLREPGPPEEGPGSHEVPQLPQYHHKKTFSDATMLIHSSESEEEEEEEA
+PDPVPQIPVLREKVEYSTQLQAALARIPNKPPPEYPGPRKSVSNGALRQDQACLPPAVAR
+ARLLRHGPAKAISVSRADQLAVNGASLGPSISEPDLTSVKERVKKEPVKERPVSEMFSLE
+DSIIEREMMIRNLEKQKMAGLEAQKRPLMLATLNGLSVARGSGREESRVDATRVPMDERF
+RTLKKKLEEGMVFTEYEQIPKKKANGIFSTAALPENAERSRIREVVPYEENRVELIPTKE
+NNTGYINASHIKVVVGGAEWHYIATQGPLPHTCHDFWQMVWEQGANVIAMVTAEEEGGRT
+KSHRYWPKLGSKHSSATYGKFKVTTKFRTDSGCYATTGLKVKHLLSGQERTVWHLQYTDW
+PDHGCPEDVQGFLSYLEEIQSVRRHTNSMLEGTRNLRPPIVVHCSAGVGRTGVVILSELM
+IYCLEHNEKVEVPMMLRLLREQRMFMIQTIAQYKFVYQVLIQFLQNSRLI
+>tr|A7Z038|A7Z038_BOVIN ABCD3 protein OS=Bos taurus OX=9913 GN=ABCD3 PE=2 SV=1
+MAAFSKYLTVRNSSLAGATFLLLCLLHKRRRALGLHSKKNGKPPLQNNEKEGKKERAVVD
+RVFFSRLMRILKIMVPKTFCKETGYLILIAVMLVSRTFCDVWMIQNGTLIESGIIGRSRK
+DFKKYLFNFIAAMPLISLVNNFLKFGLNELKLCFRVRLTKYLYEEYLQAFTYYKMGNLDN
+RIANPDQLLTQDVEKFCNSVVDLYSNLSKPFLDIVLYIFRLTSAIGAQGPASMMAYLLVS
+GLFLTRIRRPIGKMTITEQKLEGEYRYVNSRLITNSEEVAFYNGNKREKQTIHSVFQKLV
+EHLHNFILFRFSMGFIDSIIAKYLATVVGYLVVSRPFLDLAHPRHLKSSHSELLEDYYQS
+GRMLLRMSQALGRIVLAGREMTRLAGFTARITELMQVLKDLNQGKYERTMVSQQEKGAQD
+IPLIPGIGEIINTDNIIKFDHVPLATPNGDILIRDLNFEVRSGANVLICGPNGCGKSSLF
+RVLGELWPLFGGRLTKPERGKLFYVPQRPYMTLGTLRDQVIYPDGKEDQKKKGISDLVLK
+EYLDNVQLSHILEREGGWDSVQDWMDVLSGGEKQRMAMARLFYHKPQFAILDECTSAVSV
+DVEDYIYSHCREVGITLFTVSHRKSLWKHHEYYLHMDGRGNYEFKQITEDTVEFGS
+>tr|Q58CW3|Q58CW3_BOVIN LIM homeobox 9 OS=Bos taurus OX=9913 GN=LHX9 PE=2 SV=1
+MLFHGISGGHIQGIMEEMERRSKSEARLAKGAQLNGRDAGMPPLSPEKPALCAGCGGKIA
+DRYYLLAVDKQWHLRCLKCCECKLALESELTCFAKDGSIYCKEDYYRRFSVQRCARCHLG
+ISASEMVMRARDSVYHLSCFTCSTCNKTLTTGDHFGMKDSLVYCRAHFETLLQGEYPPQL
+SYTELAAKSGGLALPYFNGTGTVQKGRPRKRKSPALGVDIVNYNSGCNENEADHLDRDQQ
+PYPPSQKTKRMRTSFKHHQLRTMKSYFAINHNPDAKDLKQLAQKTGLTKRVLQVWFQNAR
+AKFRRNLLRQENGGVDKADGTSLPAPPSADSGALSPPGTATTLTDLTNPSITVVTAVTSN
+MDSHESASPSQTTLTNLF
+>tr|F1MK86|F1MK86_BOVIN RecQ-mediated genome instability protein 2 OS=Bos taurus OX=9913 GN=RMI2 PE=4 SV=1
+MAAPTDSLSVSGPTAVRLPRSPPIKVLAEQLRRDAEGGPGSWRLSRAAVGREPLELRAVW
+MQGTVVEAGGGEARLRDPSGSFSVRGLERVPRGRPCLVPGKYVMVMGVIQACSPEPCLQA
+VKMTDLSDNPLHESLWELEVEDLHRHIYSLDDVGTGD
+>tr|A0A3Q1LX59|A0A3Q1LX59_BOVIN Chromosome 24 C18orf54 homolog OS=Bos taurus OX=9913 GN=C24H18orf54 PE=4 SV=1
+MAKSDTKHRVCSRQSSVSSLLSCNLSGSNSSNSGSFQYKDKLYSSASQALQAYIDDFDLS
+QMCLGASTGKINIDKCSANMPEFSNYICKPNNAFENLDDKRHLSLSYRGQTLNDIDSISL
+TTDDLLKLPADGSFSFTYFGSGHGSSKKNRKHIGRLSSSDREKKQNFQEPAALQGKDNLV
+TPLVYTNIKGRQCGRLKNPKLMNKANKSVSVPSLSFSKKLSFRDSSEHNLEKNYPRWLTS
+QKSDLNISGITSIPDFKYPVWLYNQDLLPDTDSQRIHQMSKEDQCSPRHSYQAQRTSRLM
+NKLDCFEYSFEPSCISHSLSEDKGLLNEYKCGPEPSRCLCENLLLPGSKKPFSGDKIELL
+ILKAKRNLEHCTEELPKTMKKDDSPCSLDKLEAERSWENIPVTFKSPVPVNTDDSPQEIP
+KTKYANEFLEDFLNDDNQSCTLSGGKHHGPVEALKQMLFNLQAVQESFNQNKTAEPKGEI
+KQISEDDLSKVQLKESMAPITRSLQKALHHLSRLRDLVDDTSGKQSPKI
+>tr|Q58DS2|Q58DS2_BOVIN Taspase 1 OS=Bos taurus OX=9913 GN=TASP1 PE=2 SV=1
+MTMEKGMSSGEGLPSRSSQVSAVKTTVKELETKQSHKEKRGGFVLVHAGAGYHSESKAKE
+YKHVCKRACQKAIEKLQAGALATDAVTAALVELEDSPFTNAGMGSNLNLLGEIECDASIM
+DGKSLNFGAVGALSGIKNPVSVANRLLCEGQKGKLSAGRIPPCFLVGEGAYRWAVDHGIP
+SCPPSIMTTRERLGPFGHSGRCGCGPRRECGCRRF
+>tr|B0JYK8|B0JYK8_BOVIN PPARGC1A protein OS=Bos taurus OX=9913 GN=PPARGC1A PE=2 SV=1
+MAWDMCNQDSVWSDIECAALVGEDQPLCPDLPELDLSELDVNDLDTDSFLGGLKWCSDQS
+EIISNQYNNEPSNIFEKIDEENEANLLAVLTETLDSLPVDEDGLPSFDALTDGDVTTENE
+ASPSSMPDGTPPPQEAEEPSLLKKLLLAPANTQLSYNECSGLSTQNHANHNHRIRTNPAV
+VKTENSWSNKAKSICQQQKPQRRPCSELLKYLTTNDDPPHTKPTENRNSSRDKCTSKKKA
+HTQSQTQHLQAKPTTLSLPLTPESPNDPKGSPFENKTIERTLSVELSGTAGLTPPTTPPH
+KANQDNPFRASPKLKPSCKTVVPPPSKKARYSESSCTQGSNSTKKGPEQSELYAQLSKTS
+VLTSGHEERKAKRPSLRLFGDHDYCQSINSKTEILVSTSQELHDSRQLENKDAPSSNGPG
+QIHSSTDSDPCYLRETAEVSRQVSPGSTRKQLQDQEIRAELNKHFGHPSQAVFDDKADKT
+SELRDSDFSNEQFSKLPMFINSGLAMDGLFDDSEDESDKLNSPWDGTQSYSLFDVSPSCS
+SFNSPCRDSVSPPKSLFSQRPQRMRSRSRSFSRHRSCSRSPYSRSRSRSPGSRSSSRSCY
+YYESGHCRHRTHRNSPLCASRSRSPHSRRPRYDSYEEYQHERLKREEYRREYEKRESERA
+KQRERQRQKAIEERRVIYVGKIRPDTTRTELRDRFEVFGEIEECTVNLRDDGDSYGFITY
+RYTCDAFAALENGYTLRRSNETDFELYFCGRKQFFKSNYADLDSNSDDFDPACIKSKYDS
+LDFDSLLKEAQRSLRRNLGGRGCCRHRMLNFPNILKFL
+>tr|F1MBU4|F1MBU4_BOVIN G protein subunit beta 1 like OS=Bos taurus OX=9913 GN=GNB1L PE=4 SV=3
+MAAPVPNPQFVLRGARSAVHALHFCHGAQGHPLLLSGSLRGLVHVWSLQTRRPLAALDGH
+GGQCVTWLHTLPQGPQLLSQGRDLQLCVWDLAEGRNAVVDAVHLESVGFCRASVLAEGPQ
+RWMLAVPGRGSDEVQVLELPSKTSVSCLKPEAGARLGMPMCLQLWQAESSPRPLLLAGYE
+DGSLALWDVSARKVCSRVACHSEPVMALALDPRRARGVSGSAEKVLAVWSLEGQQALQVR
+GTHELINPGIADLKIRPDSKILATAGWDHRVRVFQWRTMKPLAVLAFHSATVHCVAFNTT
+GLLAAGSGDQRISVWSLYPPT
+>tr|A0JNK9|A0JNK9_BOVIN STEAP2 metalloreductase OS=Bos taurus OX=9913 GN=STEAP2 PE=2 SV=1
+MESISMMGSPKSLSETFLPNGINGIKDARKVTVGVIGSGDFAKSLTIRLIRCGYHVVIGS
+RNPKFASEFFPHVVDVTHHEDALIKTNIIFVAIHREHYTSLWDLRHLLVGKILIDVSNNM
+RVNQYPESNAEYLASLFPDSLIVKGFNVISAWALQLGPKDASRQVYICSNNIQARQQVIE
+LARQLNFIPVDLGSLSSAREIENLPLRLFTLWRGPVVVAISLATFFFLYSFVRDVIHPYA
+RNQQSDFYKIPIEIVNKTLPIVAITLLSLVYLAGLLAAAYQLYYGTKYRRFPPWLETWLQ
+CRKQLGLLSFFFASVHVAYSLCLPMRRSERYLFLNMAYQQVHANIENSWNEEEVWRIEMY
+ISFGIMSLGLLSLLAVTSIPSVSNALNWREFSFIQSTLGYVALLISTFHVLIYGWKRAFE
+EEYYRFYTPPNFVLALVLPSIVILGKIVLLLPCISQKLKRIKKGWEKSQFLEEGIGGAVP
+HLSPERVTVM
+>tr|A6QLT3|A6QLT3_BOVIN C2 calcium dependent domain containing 5 OS=Bos taurus OX=9913 GN=C2CD5 PE=2 SV=1
+MPGKLKVKIVAGRHLPVMDRASDLTDAFVEVKFGNTTFKTDVYLKSLNPQWNSEWFKFEV
+DDEDLQDEPLQITVLDHDTYSANDAIGKVYIDIDPLLYSEAATVISGWFPIYDTIHGIRG
+EINVVVKVDLFNDLNRFRQSSCGVKFFCTTSIPKCYRAVIIHGFVEELVVNEDPEYQWID
+RIRTPRASNEARQRLISLMSGELQRKIGLKVLEMRGNAVVGYLQCFDLEGESGLVVRAIG
+TACTLDKLSSPAAFLPACNSPSKEMKEIPFNEDPNPNTHSSGPSTPLKNQTYSFSPSKSY
+SRQSSSSDTDLSLTPKTGMGSGSAGKEGGPFKALLRQQTQSALEQREFPFFTLTAFPPGF
+LVHVGGVVSARSVKLLDRIHNPDEPETRDAWWAEIRQEIKSHAKALGCHAVVGYSESTSI
+CEEVCILSASGTAAVLNPRFLQDGTVEGCLEQRLEENLPAGCGFCHIPYDELNMPFPAHL
+TYCNNCRKQKVPDVLFTTIDLPVDATVIGKGCLIQARLCRLKKKAQAEANATAISNLLPF
+MEYEVHTQLMNKLKLKAMNALFGLRIQITVGENMLMGLASATGVYLAALPTPGGIQIAGK
+TPNDGSYEQHISHMQKKINDTIAKNKELYEINPPEISEEIIGSPIPEPRQRSRLLRSQSE
+SSDEVTELDLSHGKKDAFVLEIDDTDAMEDVHSLLTDVPPPSGFYSCNTEIMPGINNWTS
+EIQMFTSVRVIRLSSLNLTNQALNKNFNDLCENLLKSLYFKLRSMIPCCLCHVNFTVSLP
+EDELIQVTVTAVAITFDKNQALQTTKTHAEKLLQRASTDNEELLQFPLELCSDSLPSHPF
+PPAKEHLESASSNSGIPAAQRATSVDYSSFADRCSSWIELIKLKAQTIRRGSIKTTVTVE
+KASPMGEGNFRNRSAPPCANSTVGVVKMTPLSFIPGAKITKYLGIINMFFIRETTSLREE
+GGVSGFLHAFIAEVFAMVRAHVAALGGNAVVSYIMKQCVFMENPNKNQAQCLINVSGDAV
+VFVRESELEVVSTPQPAASCQPSCTGGEVTT
+>tr|A6QPR4|A6QPR4_BOVIN APOL3 protein OS=Bos taurus OX=9913 GN=APOL3 PE=2 SV=1
+MSSEDRRKSSESENFFEDIIQYLKDSVSREQLELLLTEKEAWETFVAEADLSREEANVLY
+EYLLELKTDLVEEDQDRPQQDQLDRKRFLEEFPRVKQELEETIAKLHALADKVDKVHRDC
+TVTNVVASSAGAVSGVLTILGLALAPMTAGISLGLSATGLGLGAAAAVTSVSTSIVEHVS
+RSSAETEASRLGPIAINEGEVFAEVLCKRTPQIVSSTKNLIQALKGIGKNVRAIKLAKVN
+PRLASHAKRFMTVGQVSARSSKQVQRAFGGTALAMTKSARIVGAATAGAALLVDVAFLVK
+EAKHLHEGAKTESAERMRQMAKELEKKLEELIRTYESL
+>tr|Q7YS55|Q7YS55_BOVIN DNA cytosine-5 methyltransferase 2 isoform gamma OS=Bos taurus OX=9913 GN=TRDMT1 PE=2 SV=1
+MEPLRALELYSGIGGMHQALRESCIPAQVVAAVDVNTVANEVYKYNFPHTQLLAKTIENW
+PAR
+>tr|Q3ZCG9|Q3ZCG9_BOVIN Regenerating family member 4 OS=Bos taurus OX=9913 GN=REG4 PE=2 SV=1
+MALKSMWLVFLMSCVISTEVLDATIVRPSCATGWFYHGPYCYGYFRKLRNWSEAELECQS
+YGNGAHLASVLNLKEASTIAKYIHAYQRNKPVWIGLHDPQKKHHWQWVDGAVYIYRSLSG
+KSMGENKYCAVMNAKTNFLTWTSKECDERQHFLCKYRP
+>tr|A0A3Q1M1E4|A0A3Q1M1E4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC508459 PE=3 SV=1
+MWSFSFLLFWGCCGIYTWGMLTLPTLGSMTRHEQPRWSSSSLDGNGCTDTTMCPAYATCT
+NTSRSYYCSCKRGFLSSNGLKTFKGPGVECKDIDECSQSPTPCGPNSICRNLPGRYRCSC
+MTGFSSPTGNNWISGKQGHFTCRDVDECANPRSCPEHSTCHNSPGSYSCVCNPGFQSRSG
+RKSFQGLKEMCEDVDECASPRSCPEHSTCHNSLGSYSCACNPGYNSRSGKKTFQGPGETC
+QDVDECANPRSCPDHSTCHNSLGNYSCVCNPGFQSRSGRKSFQGPGEMCQDVDECANPRS
+CPEHSTCHNSVGSYSCACNSGYISRSGKKTFQGPGETCQDIDECSQKPPPCGPNSRCRNL
+PGRYECSCLTGFSSPTGNNWISGKPGHFTCTDINECLSHGVCPEHSECTNSLGSYRCSCK
+VGFTSGNSTCEDVDECANPRSCPEHSTCHNSLGSYSCVCNPGFQSRSGKKSFQGPGEMCQ
+DIDECSQTPPTCGPNSSCRNLPGRYECSCLTGFSSPTGNNWIPGKSGHFTCTDVDECANP
+RSCPEHSTCHNSLGSYSCVCNPGFQSRSGKKNFQGPGETCEDVDECSRNSTLCGPSSVCT
+NIPGKYSCSCLPGFFSPGVWSPEKPEAFKCADIDECLQDPSRCGPDSVCTNTLGSYSCGC
+VVGFHPNPEGSWKHGNFSCQRIPFKCKDDVIPSNMQVQLCHAGAAVEPKYVSFCALMNAT
+LSVLDNVCENKTTVVSLKSTAKRLDSVIEKTSKWSNFTKEETSTLATVLLESVESTTLAA
+FLKPSANVSQTIQTKHLDIESKVIDKECTKENETFKLKAKGDEMKIWCSTIKESESTGIN
+GVAFVSFSGMESILDERFLKDLQIPWASSKKKLKMNSRVVGGIITGGKKDGFSKPVIYTL
+ENIKPKQDFESAICVSWKPDVEGGRWTPSGCVLLEASKTHTVCGCNQMVNLAVIMASGEV
+TMEFTLYLISHVGTIISLVCLAMAIITFLLCRTLRNQNTYIHLHLCICLFLAKMLFLTGV
+DKTDNQMGCALIAGFLHYLFLACFFWMLVEAVMLFLMVRNLKVVNYFSSRNIKMLYLCAF
+GYGLPGLVVAVSAGLQPQGYGMYNRCWLNTETGFIWSFLGPVCTIIVVNSILLTWTLCIL
+RQKLSSVNAEVSTLKDTRLLTFKAFAQIFILGCSWVLGIFQIGPMANIMAYLFTIINSLQ
+GAFIFLIHCVLSRQVREEYRRCTTRKTKPSSETQTSGILLSSVPSTSKTG
+>tr|A2VDZ6|A2VDZ6_BOVIN SIGIRR protein OS=Bos taurus OX=9913 GN=SIGIRR PE=2 SV=1
+MAGACDKAPDFLSPSGNQVLGLALGSVVTLNCTALVVSGPHCPLPSVQWLKGGLLLSNGS
+LYDLHEDSWVKTNWSEVLVSSVLGINLTRAEDFGTFTCSIRNISSSSFTLWRAGLAGHAA
+AVLASLLALLALLLVALLYVKCRLNVLLWYQDKYGEVEMNDGKLYDAYISYSDSPEDRKF
+VNFILKPQLERHRGYKLFLDDRDLLPRAEPSADLLVNLSRCRRLVVVLSDAFLGRAWCSH
+SFREGLCRLLELTRRPIFITFEGQRRDPAHPALRLLRQHRHLVTLLLWKPGSVTPSSDFW
+KELRLALPRKVQYRSMEGDPQTRLQDDKDPMLIVRSRLPEGRTLDPELDPDPEGDLGVRG
+PVFGEPPALPHAGAVSLGEGQGSEVDVSDLGSRNYSARTDFYCLVSEDDV
+>tr|A7YWD3|A7YWD3_BOVIN UDP-glucuronosyltransferase OS=Bos taurus OX=9913 GN=UGT1A1 PE=1 SV=1
+MTAGSQGDRPVILLLLLCALGPSVSQGGKLLVVPVDGSHWLSLVGPLQPLQQKGHDIVVL
+APDASIYIKEEAFYTLKRYPVPFRREDLEETFISLGRTVFEDDPFLKRVIKTYQKIKKDS
+ALLLSACSHLLHNKELMASLTASSFDAVLTDPFLPCGPIVAQYLSVPAVFFLNGLPCSLD
+FQGTQSPSPPSYVPRYLSFNSDHMTFLQRVKNMFITLSESLLCDMVYSPYGLLASEILQT
+DMTVRDLMSFGSVWILRSDFVFNFPRPIMPNIVFVGGINCASKKPLSQEFEAYVNASGEH
+GIVVFSLGSMVSEIPEQKAMEIADALGKIPQTVLWRYTGTPPPNLAKNTKLVKWLPQNDL
+LGHPKTRAFITHSGSHGIYEGICNGVPMVMMPLFGDQMDNAKRMETRGAGVTLNVLEMSS
+EDLEKALKAVINEKTYKENIMRLSRLHKDRPIEPLDLAVFWVEFVMRHKGASHLRPAAHD
+LTWYQYHSLDVIGFLLAVTLTVIFITFKACAFAFRKCFGKKERVKKSHKSKTH
+>tr|A1A4P8|A1A4P8_BOVIN LOC784495 protein OS=Bos taurus OX=9913 GN=LOC784495 PE=2 SV=1
+MQSLKWCLFLPLCLSCGYAFMFSSLREKPKETQGKVPCGGHFRIRQNLPEHTQSWLGSKW
+LWLTFIVVLYMILKFRGDGEKNKVQTPPTLRGCTFRPPGRKNASPNKNYAFSTLTQLEMD
+LVEFVSRVRNLKVSMTTSGHFRLQNLGNADPQHKVTIYEVWGEEDSVD
+>tr|A6QNX0|A6QNX0_BOVIN LOC516156 protein OS=Bos taurus OX=9913 GN=ZNF331 PE=2 SV=1
+MAHGRKGLVTFSDVAIDFSQEEWACLDSRQRDLYWDVMLENYSNLVSLDLESPYETKSLP
+SQKNTYGMNFSKRTSNRKSKSRGLDWTCEGELDRSRAPQEGRASQRIISCGDTPAYREST
+PVRPQQRLPNRENSYECKECGKAFSRGYQLTQHQKIHTGEKPYQCKECKKAFRWGNQLTQ
+HQKIHTGEKPYECKDCGKAFRWGSSLVIHRRIHTGEKPYECKDCGKAFRRGDELTQHQRF
+HTGEKDYECKDCGKTFSRVYKLIQHKRIHSGEKPFECKDCGKAFICGSSLVQHKRIHTGE
+KPYECQECGKAFTRVNYLTQHQKIHTGEKPHECKECGKAFRWGSSLVKHERIHTGEKPYK
+CTECGKAFNCGYHLTQHERIHTGETPYRCKECGKAFIYGSSLVKHERIHTGFKPYGCQEC
+GKAFSHSHQLTQHQKTHTGEKPSECKECGKATCNHGIHLRDDERIPTVEKPFVYKGLPST
+FVSSTT
+>tr|F1MUZ8|F1MUZ8_BOVIN Aspartoacylase OS=Bos taurus OX=9913 GN=ASPA PE=3 SV=1
+MTSCHVAEDPIKKVAIFGGTHGNELTGVFLVKHWLENSTEIQRTGLEVKPFITNPRAVKK
+CTRYIDCDLNRVFDPENLGKKKSEDLPYEVRRAQEINHLFGPKDSEDSYDIIFDLHNTTS
+NMGCTLILEDSRNDFLIQMFHYIKTSLAPLPCYVYLIEHPSLKYATTRSIAKYPVGIEVG
+PQPQGVLRADILDQMRKMIQHALDFIHNFNEGKEFPPCAIEVYKIMEKVDYPRNESGEIS
+AIIHPKLQDQDWKPLHPEDPVFLTLDGKTISLGGDQTVYPVFVNEAAYYEKKEAFAKTTK
+LTLNANSIRSSLH
+>tr|A7YWC6|A7YWC6_BOVIN SUB1 homolog, transcriptional regulator OS=Bos taurus OX=9913 GN=SUB1 PE=1 SV=1
+MPKSKELVSSSSSGSDSDSEVDKKLKRKKQVAPEKPVKKQKTGETSRALSSSKQSSSSRD
+DNMFQIGKMRYVSVRDFKGKVLIDIREYWMDAEGEMKPGRKGISLNPEQWSQLKEQISDI
+DDAVRKL
+>tr|A4IFS2|A4IFS2_BOVIN COUP transcription factor 2 OS=Bos taurus OX=9913 GN=NR2F2 PE=2 SV=1
+MQAVWDLEQGKYGFAVQRGRMPPTQPSHGQFALTNGDPLNCHSYLSGYISLLLRAEPYPT
+SRFGSQCMQPNNIMGIENICELAARMLFSAVEWARNIPFFPDLQITDQVALLRLTWSELF
+VLNAAQCSMPLHVAPLLAAAGLHASPMSADRVVAFMDHIRIFQEQVEKLKALHVDSAEYS
+CLKAIVLFTSDACGLSDVAHVESLQEKSQCALEEYVRSQYPNQPTRFGKLLLRLPSLRTV
+SSSVIEQLFFVRLVGKTPIETLIRDMLLSGSSFNWPYMAIQ
+>tr|A7MB06|A7MB06_BOVIN SP7 protein OS=Bos taurus OX=9913 GN=SP7 PE=2 SV=1
+MASSLLEEEAHYGSSPLAMLTAACSKFGSSSPLRDSTTLGKAGTKKPYSVTSDLSASKTM
+GDAYPAPFSSTNGLLSPPGSPPAPTSGYANDYPPFSHSFPGPTGTQDPGLLVPKGHSSSD
+CLPSVYTSLDMAHPYGSWYKAGIHAGISPGPGNAPTPWWDMHPGGNWLGGGQGQGDGLQG
+TLPAGPAQPPLNPQLPTYPSDFAPLNPAPYPAPHLLQPGPQHVLPQDVYKPKAVGNSGQL
+EGSGGAKPPRGAGTGGSAGYGSSGAGRSSCDCPNCQELERLGAAAAGLRKKPIHSCHIPG
+CGKVYGKASHLKAHLRWHTGERPFVCNWLFCGKRFTRSDELERHVRTHTREKKFTCLLCS
+KRFTRSDHLSKHQRTHGEPGPGPPPSGPKELGEGRSTGEEEASQTPRPSASPAPPEKAPE
+GSPEQSNLLEI
+>tr|A6QP86|A6QP86_BOVIN LOC510193 protein OS=Bos taurus OX=9913 GN=LOC510193 PE=2 SV=1
+MSSEVPKDHSESQSFFDDVLEYFQGSVRWEQLQFLLTEDKPWENFVTEANLSREQADVLR
+EYLTKVQTVLAGEDQDRLQKYQQKKERFLKEFPQVKEELKESIKKLHELADNVDKVHRDC
+TISNVVASSTGIASGTLSILGLVLAPFTGGLSLGLSAAGIGLGAASAVTGISTMVVENVN
+LSSAETQAGHINTAEIKCYVNDLYQVKDFGRHIRAIRVARGNPELLPTAQHCITTGQVLV
+QSAQQVSTHFRGTALAATRGARIGGGVLSALSMGLDVYSLVKDAQELQEGAKTASAENMR
+QKAQELEKELEKLTWIYESLQ
+>tr|A6QLA8|A6QLA8_BOVIN TRIM25 protein OS=Bos taurus OX=9913 GN=TRIM25 PE=1 SV=1
+MAELCPLAEELSCSICLEPFKGPVTTPCGHNFCGACLDETWAVQGAPYLCPQCRTGFAAR
+PQLRKNTVLCAVVEQFLQAEQARPALAADDWTPPTRAAAPSAAGQVACDHCLQATAVKTC
+LVCMASFCQEHLRPHLDSPAFQDHPLQPPVRDLMRRKCARHNRLRDFFCPQHSECICHVC
+VVDHKTCSPAPLNEASTDLENKLRQKLTVMYGQINGASRALEDVRARQQEVQDAAHRKLD
+QLRQEYLEMKALIDASEASTTRKIKEEEKRVTSKFDNIYQIILKKRSEIQTLKEEVELAL
+TKGDEFEFLEKATKLQGITTKPVFVPKVELNHELIKEIYQGTSDLKNELKRCLRQPQDKK
+PEELTIPGDAGGPCAPHTQKKPVKKVVKEEKKPRKPGSALLNKPVTFGSSEHLVNPKLTV
+QEGAAKAPPVPPTSASLNAKVLENFLTKSRPELLEYVVKVVLDYNTAHSKVALSENYTVA
+SVADASLNYRPHPQRFTYCSQVLGLHCYKKGIHYWEVELQKNNFCGVGICYGSMERQGPE
+SRLGRNNASWCVEWFNTKISAWHNNVEKTLPSTKATRVGVLLNCDHGFVLFFAAADKVHL
+LYKYKVDFTEAVYPAFWLFSTGATLSICSSK
+>tr|Q148E6|Q148E6_BOVIN Cytochrome P450, family 4, subfamily B, polypeptide 1 OS=Bos taurus OX=9913 GN=CYP4B1 PE=2 SV=1
+MVPVLLSLSLSHLSLWAFALVSVLVFLKLTRLLLRRQMLARAMDRFSGPPTHWLFGHALE
+IQQTGSLDKVVSWTHEFPYAHQLWVGQFLGFLNIYDPDYAKAVYSRGDPKAPDFYDFFLQ
+WIGKGLLVLQGPKWFQHRKLLTPGFHYDVLKPYVALFAESTRVMLDKWEKKAREQKSFDI
+YSDVGHMALDSLMKCTFGKGTSGLNDRDNNYYLSVKELTLLMQQRIDSFQYHNDFIYFLT
+PHGRRFLRACQVAHDHTDQVIRERKAALQDEKERERIQSKRHLDFLDILLGAWDEEGIKL
+SDEDLRAEVDTFMFEGHDTTTSAISWVLYCMSLYPEHQRRCREEIQEILGDRDTLKWDDL
+AEMTYLTMCIKESFRLYPPVPQVYRQLSQPVNFVDGRSLPEGSLISLHIYALHRNSTVWP
+DPEVFDPLRFSPENVAGRHSFAFIPFSAGPRNCIGQQFAMAEVKVVTALCLLRFEFSPDP
+SRLPIKMPQLVLRSKNGIHLHLKPLGPGSEK
+>tr|F1MWV1|F1MWV1_BOVIN WD repeat domain 25 OS=Bos taurus OX=9913 GN=WDR25 PE=4 SV=3
+MASLVAYEDSDSEAETEPAGSFHPVGQMEDTSAMLRPPEQDFGSRVLDATGGWAPSLVCG
+SHDDPTTCRLPLARLWSQDPGSCPSQRLRWPRPEPEATLPASPPARPSLWTSQAPAGHVP
+LAAARSKQVRVCWEPSGSPKPSLDARTGSEGPGKGSSSLQRKRREDCVVPYIPKRLRPLQ
+ALSTETDSGKDTEARGPLAGRVPAPLCVAPEVSEFIQPYLDSQYKETKIPKTVLFHLRGH
+RGPVNSVQWCPVSARSHMLLSTSMDKTFKVWNAVDSGSCLQTYSLHSEAVRAARWSPCGR
+RILSGGFDFALHLTDLETGTQLFSSRSDFRITALRFHPRDHSVFVCGGFSSEMKAWDIRT
+SKVVRSYKATIQQTLDILFLREGSEFLSSTDASSRDSADRTIIAWDFQSSAKISNQIFHE
+RYTCPSLTLHPREPVFLAQTNGNYLALFSAVWPYRMSRRRRYEGHKVEGYSVGCDCSPDG
+DLLLTGSADGRTLVYSFRTASRARTLHGHTQACVGATFHPVLPSMLATCSWEGDVKIWH
+>tr|G3X787|G3X787_BOVIN FA complementation group B OS=Bos taurus OX=9913 GN=FANCB PE=4 SV=2
+MSSTEQERFCCYNGEVLIFHLSEGNFVDEGPKKTPVLHVRRMVFGRGPEGFVQKSTGFFS
+IKEEYSHLKIMCCDCVSDFRTGINLPYVMIQCIKEENIFKYFLLFLHGTNKFEKCLSFRL
+GYELKDSIRVLNGPLVLWRHGQTFFYISSQTGKVVTVPMNFSSVEWAGEIENLGMVLLGP
+KRCYLSAEGCTPKPSKSDYATWNTHFCAYSLERAEIISDTYIIPPAYTSMITFVHVYSTE
+IVNDQLRMSLIALTQKNQLISFLNGTPTSVCQLPFGDPCAVQLVDSGEEELLFIISFKSS
+HACAVWEKSFQVAAKWEKIRSVLIDDFFGTGTEQVLLLFKDSWNSDCRSSFEIMGHDAFN
+CSGETLDCNEDDLFDDKHGNCYLVVLPLERRLKVGFISIWELQQHLLLKEKIISKSYKAL
+MNLFQRKDDSTLSAEEECLVTLCGEEENPVCTFNEKLSDNFQDLEQLVEKIWYRVIEDSL
+VIGVKATSSWKVSLNHVTLLLSVDQGSNSTFPLIKCQNKIFKLTRNSSPVLSSVPYEVGS
+EVKRIKLSVDSKEEKEESVVCEQPLKKEYVQMITAVTALPPLLTFSNFCCIVLLQMRENG
+NSSEAHYVQCGRIVLSVEDLSSGKCVLTFPERKPIEHMEDLFTLLAAWHRACFQITSPMF
+ALTSVRVWLLEHMKCEVLKEFPEIWFCKRPGSFYGTLFNWKQRTPFEGILVVYSRNQTVL
+FQCLHDLSTVLPINSFFKYLELESEDFLIGHLAVALEKELVTLGSPPSALVKVETSLVQN
+CEVSKEKSSDDGAALSDIEKSIHLYRKELQREKEQMLGTNLKVSGALYREMTLKLAEVQL
+NSDLAAKQLTDL
+>tr|A2VDK3|A2VDK3_BOVIN Dishevelled associated activator of morphogenesis 1 OS=Bos taurus OX=9913 GN=DAAM1 PE=2 SV=1
+MAPRKRGGRGISFIFCCFRNNDHPEITYRLRNDSNFALQTMEPALPMPPVEELDVMFSEL
+VDELDLTDKHREAMFALPAEKKWQIYCSKKKDQEENKGATSWPEFYIDQLNSMAARKSLL
+ALEKEEEEERSKTIESLKTALRTKPMRFVTRFIDLDGLSCILNFLKTMDYETSESRIHTS
+LIGCIKALMNNSQGRAHVLAHSESINVIAQSLSTENIKTKVAVLEILGAVCLVPGGHKKV
+LQAMLHYQKYASERTRFQTLINDLDKSTGRYRDEVSLKTAIMSFINAVLSQGAGVESLDF
+RLHLRYEFLMLGIQPVIDKLREHENSTLDRHLDFFEMLRNEDELEFAKRFELVHIDTKSA
+TQMFELTRKRLTHSEAYPHFMSILHHCLQMPYKRSGNTVQYWLLLDRIIQQIVIQNDKGQ
+DPDSTPLENFNIKNVVRMLVNENEVKQWKEQAEKMRKEHNELQQKLEKKERECDAKTQEK
+EEMMQTLNKMKEKLEKETTEHKQVKQQVADLTAQLHELSRRAVCASLPGVSSPGAPGGPF
+PSSVPGSLLPPPPPPPLPGGMGPPPPPPLPPGGPPPPPGPPPLGGIMPPPGAPLGLALKK
+KNIPQPTNALKSFNWSKLPENKLEGTVWTEIDDTKVFKVLDLEDLERTFSAYQRQQKEAD
+AIDDTLGSKLKVRELSVIDGRRAQNCNILLSRLKLSNDEIKRAILTMDEQEDLPKDMLEQ
+LLKFVPEKSDIDLLEEHKHELDRMAKADRFLFEMSRINHYQQRLQSLYFKKKFAERVAEV
+KPKVEAIRSGSEEVFRSGALKQLLEVVLAFGNYMNKGQRGNAYGFKISSLNKIADTKSSI
+DKNITLLHYLITIVENKYPKVLNLNEELRDIPQAAKVNMTELDKEISTLRSGLKAVEMEL
+EYQKSQPSQPGDKFVSVVSQFITVASFSFSDVEDLLAEAKDLFTKAVKHFGEEAGKIQPD
+EFFGIFDQFLQAVSEAKQENENMRKKKEEEERRARMEAQLKEQRERERKMRKAKENSEEG
+GEFDDLVSALRSGEVFDKDLSKLKRNRKRITNQMTDSSRERPDKLHLL
+>tr|Q0VCM7|Q0VCM7_BOVIN Uridine phosphorylase OS=Bos taurus OX=9913 GN=UPP2 PE=2 SV=1
+MASVLPASNTSMRSDRNTYVGKSFVHVKNPYLDSMDEDVLYHLDLGTKTHNLPEMFGDVK
+FVCVGGSPNRMKAFALFMHKELRLTDSEEDIKDICAGTDRYCMYKIGPVLSISHGMGIPS
+ISIMLHELIKLLYHARCSDVIIIRIGTSGGIGIAPGSVVITDEAVDSFFKPRFEQVILDN
+IVTRSTELDKELAEEIFNCSKEISNFPTLIGHTMCTYDFYEGQGRLDGALCSFSREKGLD
+YLKRAYNAGIRNIEMESTVFAAMCRLCGLKAAVVCVTLLNRLECDQINSPHDVLVEYQKR
+PQLLISKFIKQRLGLCDQTS
+>tr|F6QZC9|F6QZC9_BOVIN BCLAF1 and THRAP3 family member 3 OS=Bos taurus OX=9913 GN=BCLAF3 PE=4 SV=1
+MARSRSRSPRWRHRSLSPVPRSSEHYKQRFEHYGYDYRKDPKRPITWRMDDEKYGQSKPR
+ISSHENIQYRSYEHRSPSPNIRGNSSEKFYTYKPPQVYLPERGDDSNRRAQYVPRHSEGM
+FYREHQRSCYPQKAQGRYIPDDRRNRRSEKGGTPPVRSTTDSFRFEGHWHEAEPRYQRIQ
+DEKYSQSLRRGSEDFEKRISFQKRYPEDHDFRKYGHTSKRPHDVERYENREPARSPQWKS
+RHFSAPYQEKKDQRNLETQTYRYAPREFPETSSAAKVSCDSRHKYRKTSDGDKDFYDERA
+QKYPKEEDRKLGSQKGPVNRESSCSHAGRGRETEGGQVKESSKPSKKDCAALTHSDKSDV
+DLRSCKDTRKDKIKKERAGSKESSSSSNQLDNSDSDLKPSSVFLKKKSLTVKVDVQQGQN
+KSRVASSYSTERQMSHDLVAVGRKSEKFHPVFEHLDSTQNTENKPTGEFAQQIITIIHQV
+KANYFPPSEITLHERFSKIQVKKAADANETKLSSDPEIHRRIDMSLAELQSKQTMVYDSK
+QTLVKIIDPSDLRHDIERRRKERLQNEDEHIFHIASAAERSDQHSSFSRSKDTHVDEFQK
+PTSFEKSNFRKFIQKPCSSDTMQRKDVITHRPFVEENNQNTRGFRRPFKTNFRGGRFHFQ
+HKSDLVQKSLYIQAKYQRLRFAGPRGFVTNKFRERLLRGKKEYTNIDPAI
+>tr|A6QLC0|A6QLC0_BOVIN CYP2S1 protein OS=Bos taurus OX=9913 GN=CYP2S1 PE=2 SV=1
+MEAAGTWALLLLLLLLVVTLVLPATWDRGHLPPGPTPLPLLGNLLQLRPGALYLGLLRLS
+KKYGPVFTVYLGPWRRVVVLVGHEAVQEALGGQAEEFSGRGTVATLDGTFDSHGVFFSNG
+ERWRQLRKFTTLALRDLGMGKREGEELIQAEARCLVEALQGTKGRPFDPSLLLAQATCNI
+ICSLVFDLRLPYDNEEFQAVVRAAGGIAVGVSSPWGQTYEMFSRFLQRLPGPHTQLLRHL
+GTVAAFAAQQVWQHKGSLGTSGPVRDLVDAFLLKMAKEKQDPNTEFTAKNLLMTVVYLLF
+AGTVTVSTTIRYTLLLLLKYPQVQERVQEELMRELGAGQRPSLGDRARLPYTDAVLHEAQ
+RLLALVPMGIPRALTKTTRFRGYTLPQGTEVFPLLGSILHDPAVFEEPKEFNPGRFLDAD
+GKFKKHEAFLPFSLGKRVCLGEGLARTELFLLFTAILQAFSLEGPCPLGALSLQPAISGL
+FNIPQAFQLQFRPR
+>tr|A0A3Q1N776|A0A3Q1N776_BOVIN Zinc finger protein 423 OS=Bos taurus OX=9913 GN=ZNF423 PE=4 SV=1
+MIGDGCDLGLGEEEGGTGLPYPCQFCDKSFIRLSYLKRHEQIHSDKLPFKCTYCSRLFKH
+KRSRDRHIKLHTGDKKYHCHECEAAFSRSDHLKIHLKTHSSSKPFKCTVCKRGFSSTSSL
+QSHMQAHKKNKEHLAKSEKEAKKDDFMCDYCEDTFSQTEELEKHVLTRHPQLSEKADLQC
+IHCPEVFVDENALLAHIHQAHANQKHKCPMCPEQFSSVEGVYCHLDSHRQPDSSNHSVSP
+DPVLGSVASMSSATPDSSASVERGSTPDSTLKPLRGQKKMRDDGQGWSKVVYSCPYCSKR
+DFNSLAVLEIHLKTIHADKPQQSHTCQICLDSMPTLYNLNEHVRKLHKNHAYPVMQFGSI
+SAFHCNYCPEMFADINSLQEHIRVSHCGPNANPPDGNNAFFCNQCSMGFLTESSLTEHIQ
+QAHCSVGSAKLESPVVQPTQSFMEVYSCPYCTNSPIFGSILKLTKHIKENHKNIPLAHSK
+KSKAEQSPVSSDVEVSSPKRQRLSASANSISNGEYPCNQCDLKFSNFESFQTHLKLHLEL
+LLRKQACPQCKEDFDSQESLLQHLTVHYMTTSTHYVCESCDKQFSSVDDLQKHLLDMHTF
+VLYHCTLCQEVFDSKVSIQVHLAVKHSNEKKMFRCTACNWDFRKEADLQVHVKHSHLGNP
+ARAHKCIFCGETFSTEVELQCHITTHSKKYNCKFCSKAFHAIILLEKHLREKHCVFDAAA
+ENGTANGVPPAAAKKAEPADLPGVLLKNPEAPNSHEASEDDVDASEPMYGCDICGAAYTM
+EVLLQNHRLRDHNIRPGEDDGSRKKAEFIKGSHKCNVCSRTFFSENGLREHLQTHRGPAK
+HYMCPICGERFPSLLTLTEHKVTHSKSLDTGTCRICKMPLQSEEEFIEHCQMHPDLRNSL
+TGFRCVVCMQTVTSTLELKIHGTFHMQKLAGSSAASSPNGQGLQKLYKCALCLKEFRSKQ
+DLVKLDVNGLPYGLCAGCMARSANGQVGGLAPPEPADRPCAGLRCPECSVKFESAEDLES
+HMQVDHRDLTPETSGPRKGAQTSPVPRKKTYQCIKCQMTFENEREIQIHVANHMIEEGIN
+HECKLCNQMFDSPAKLLCHLIEHSFEGMGGTFKCPVCFTVFVQANKLQQHIFAVHGQEDK
+IYDCSQCPQKFFFQTELQNHTMSQHAQ
+>tr|A6H710|A6H710_BOVIN MGC159964 protein OS=Bos taurus OX=9913 GN=WIPI1 PE=2 SV=1
+MEAEGADGASGGAEAALSCFSFNQDCTSLAIGTKAGYKLFSLSSVEQLDHVHGSNDTPDV
+YIVERLFSSSLVVVVSHTKPRQMNVYHFKKGTEICNYSYSGNILAIRLNRQRLLVCLEES
+IYIHNIKDMKLLKTILDIPANPTGLCALSINHSNSYVAYPGSLTTGEIVLYDGHSLKPVC
+TIAAHEGTLAAIAFNSSGSRLASASEKGTVIRVFSVPDGQKLYEFRRGMKRYVTISSLAF
+SMDSQFLCASSNTETVHIFKLEHLANSRPEEPSTWTGYMGKMFLAASSYLPTQVSDMMNQ
+DRAFATGRLGFSGHRNICTLATIQKLPRLLVVSSSGHLYVYNLDPQDGGDCVLIKTHSLL
+GSGTSEENKENDLRPPLPQSYAATVARPSASAASTVPGYSEDGGALRGEVIPEHEFATGP
+VCLDDENEFPPITSCRGDQQDKATPS
+>tr|Q17QF5|Q17QF5_BOVIN Protein kinase, cAMP-dependent, regulatory, type I, beta OS=Bos taurus OX=9913 GN=PRKAR1B PE=2 SV=1
+MAAPGAQQLDEDDGLRACELYVQRRGIQQVLKDCIVQLCLAKPERPMRFLREHFERLEKE
+ENRQILAQQKSASQSDLQDEDVSPLPPNPVVKARHRRGGVSAEVYTEEDAVSYVRKVIPK
+DYKTMTALAKAISKNVLFSHLDDNERSDIFDAMFPVTHIAGETVIQQGDEGDNFYVIDQG
+EVDVYVNGEWVTSISEGGSFGELALIYGTPRAATVKAKTDLKLWGIDRDSYRRILMGSTL
+RKRRMYEEFLSKVSILASLEKWERLTVADALEPVQFEDGEKIVVQGEPGDDFFIITEGTA
+SVLQRRSPSEEYVEVGRLGPSDYFGEIALLLNRPRAATVVARGPLKCVKLDRPRFERVLG
+PCSEILKRNIQRYNSFISLTV
+>tr|A0A3Q1LNM7|A0A3Q1LNM7_BOVIN Phospholipase A(2) OS=Bos taurus OX=9913 GN=PLA2G2F PE=3 SV=1
+MPDGAPANPKGCRKKGLVRQPPGRRGPSLRTSSRTSRSHLGMKSFITITILASSVLPAAR
+SSLLNLKSMVETVTGRNAILSFVGYGCYCGLGGRGLPMDEVDWCCHAHDCCYQKLFDLGC
+HTYVDHYDYTIENDTDIVCSELNQTECDKQTCECDRSVVLCLQKQTYREEHRNYLNIYCQ
+GPTPNCSIYEPPPVPP
+>tr|Q0VD28|Q0VD28_BOVIN Potassium inwardly-rectifying channel, subfamily J, member 16 OS=Bos taurus OX=9913 GN=KCNJ16 PE=2 SV=1
+MSHYCSSYPIVSVDPKCPGYAPEHLLAEKRRARRRLLHKDGSCNVYFKHIFGEWGSYVVD
+IFTTLVDTKWRHMFVIFSLSYILSWLTFGSVFWLIAFHHGDLQNDGPDATPCVDNVHSFT
+GAFLFSLETQTTIGYGYRCVTEECPVAVLTVILQSILSCVINTFIIGAALAKMATARKRA
+QTIRFSYFALVGMRDGKLCLMWRIGDFRPNHVVEGTVRAQLLRYAEDGEGRMTMAFKDLR
+LLNDQIILVTPVTVVHEIDRDSPLYALDRKAVARDNFEILVTFIYTGDSTGTSHQSRSSY
+IPREILWGHRFHDVLEVKRKYYKVNCLQFEGSVEVYAPFCSAKQLDWKDQQLHSVERAPP
+VRASAPPDAKVRRRSFSAVAIVSSSENPEETTPSAPEECKEAPYQKALLTLNRISVESQM
+>tr|Q17QH2|Q17QH2_BOVIN MAP2K2 protein OS=Bos taurus OX=9913 GN=MAP2K2 PE=2 SV=1
+MLARRKPVLPALTINPAIAEGPSPTSEGASEAHLVDLQKKLEELELDEQQKKRLEAFLTQ
+KAKVGELKDDDFERISELGAGNGGVVTKVQHRPSGLIMARKLIHLEIKPAIRNQIIRELQ
+VLHECNSPYIVGFYGAFYSDGEISICMEHMDGGSLDQVLKEAKRIPEEILGKVSIAVLRG
+LAYLREKHQIMHRDVKPSNILVNSRGEIKLCDFGVSGQLIDSMANSFVGTRSYMSPERLQ
+GTHYSVQSDIWSMGLSLVELSIGRYPIPPPDAKELEAIFGRPMVDGAEGEPPSISPRPRP
+PGRPISGHGMDSRPAMAIFELLDYIVNEPPPKLPNGVFTQDFQEFVNKCLIKNPAERADL
+KMLMNHTFIKRSEVEEVDFAGWLCKTLRLNQPSTPTRTAV
+>tr|A5PJR9|A5PJR9_BOVIN G protein nucleolar 1 (putative) OS=Bos taurus OX=9913 GN=GNL1 PE=2 SV=1
+MPRKKPFSVKQKKKQLQDKRERKRGLQDGLRSSSNSRSGSRERREEQTDTSDGESVTHHI
+RRLNQQPSQGLGPRGYDPNRYRLHFERDSREEVERRKRAAREQVLQPVSAELLELDIREV
+YQPGSVLDFPRRPPWSYEMSKEQLMSQEERSFQEYLGKIHGAYTSEKLSYFEHNLETWRQ
+LWRVLEMSDIVLLITDIRHPVVNFPPALYEYVTGELGLALVLVLNKVDLAPPALVVAWKH
+YFHQHYPQLHIVLFTSFPRDPRTPQDPSSVLKKSRRRGRGWTRALGPEQLLRACEAITAG
+KVDLSSWREKIARDVAGATWGNGSGEEEEEEDGPAVLVEQQTDSAMEPTGPARERYKDGV
+ATIGCVGFPNVGKSSLINGLVGRKVVSVSRTPGHTRYFQTYFLTPSVKLCDCPGLIFPSL
+LPRQLQVLAGIYPIAQIQEPYTAVGYLASRIPVQALLHLRHPEAEDPSAEHPWCAWDICE
+AWAEKRGYKTAKAARNDVYRAANSLLRLALDGRLSLCFHPPGYNEQKGTWESHPETMELV
+VLQGRVGPAGDEEEEEEEELSSSCEEEGEEDRDADEEGEGDEDTPTSAPGSSLAARNPYA
+LLGEDEC
+>tr|A7Z055|A7Z055_BOVIN PLAA protein OS=Bos taurus OX=9913 GN=PLAA PE=2 SV=1
+MASGSCRYRLSCSLSGHELDVRGLVCCLYPPGAFVSVSRDRTTRLWVPNSPNRGFTEMHC
+MSGHSNFVSCVCIIPSSDVYPHGLIATGGNDHNICVFSLESPAPLYVLKGHKNTVCSLSS
+GKFGTLLSGSWDTTAKVWLNDKCMMTLQGHTAAVWAVKILPEQGLMLTGSADKTIKLWKA
+GRCERTFSGHEDCVRGLAILSETEFLSCANDASIRRWQITGECLEVFYGHTNYIYSISVF
+PNCKDFVTTAEDRSLRIWKHGECAQTIRLPAQSIWCCCVLDNGDIVVGASDGIIRVFTES
+EDRTASAEEIKAFERELSQATIDSKTGDLGDINAEQLPGREHLNEPGTREGQTRLIRDGE
+KVEAYQWSINERRWIKIGDVVGSSGASQQTSGKVLYEGKEFDYVFSIDVNEGGPSYKLPY
+NITDDPWLAAYNFLQKNDLNPMFLDQVAKFIIDNTKGQMLGLGNTSFSDPFTGGGRYVPG
+SSSGSSNTLPAADPFTGGGRYVPGSASMGTTMAGVDPFTGNSAYQSAASKTVNIYFPKKE
+AVTFDQANPTQILGKLKELNGTASEEKKLTEDDLVLLEKILSLICNNSSEKPTAQQLQIL
+WKAVNWPEDIVFPALDILRLSIKHPSVNENFCNEKEGTRFSSHLVSLLNPRGKPANQLLA
+LRTFCNCFVGQAGQKLMMSQRESLLSHAIELKSGSNKNIHIALATLTLNYSVCFHKDHNI
+EGKAQCLSVISTVLEVVQDLEATFRLLVALGTLVSDDSNAVQLAKSLGVDSQIKKYASVS
+EPAKVSECCRLILNLL
+>tr|A7MB69|A7MB69_BOVIN DAPK3 protein OS=Bos taurus OX=9913 GN=DAPK3 PE=2 SV=1
+MSTFRQEDVEDHYEMGEELGSGQFAIVRKCRQKGTGKEYAAKFIKKRRLSSSRRGVSREE
+IEREVNILREIRHPNIITLHDIFENRTDVVLILELVSGGELFDFLAEKESLTEDEATQFL
+KQILDGVHYLHSKRIAHFDLKPENIMLLDKNVPNPRIKLIDFGIAHKIEAGNEFKNIFGT
+PEFVAPEIVNYEPLGLEADMWSIGVITYILLSGASPFLGETKQETLTNISAVNYDFDEEY
+FSNTSELAKDFIRRLLVKDPKRRMTIAQSLEHSWIKAIRRRNVRREDSGRKPERRRLKTA
+RLKEYTIKSHSSMPPNNTYINFERFSKVLEEVAAAEEGLRGLEHSRRLFHEDLEALTAIY
+EEKEAWYREENESLGQDLRRLRQELHKTEALQRQAQEEAKGALLGASGLKRRFSRLENRY
+EALAKQVASEMRFVQDLVRAMEQEKLQEGECSLR
+>tr|A2VE06|A2VE06_BOVIN 40S ribosomal protein S4 OS=Bos taurus OX=9913 GN=RPS4Y1 PE=2 SV=1
+MARGPKKHLKRVAAPKHWMLDKLTGVFAPRPSTGPHKLRECLPLIIFLRNRLKYALTGDE
+VKKICMQRFIKIDGKVRTDVTYPAGFMDVISIDKIGENFRLIYDTKGRFAVHRITPEEAK
+YKLCKVRKIFVGTKGIPHLVTHDARTIRYPDPLIKVNDTIQIDLETGKITDFIKFDTGNL
+CMVTGGANLGRIGVITNRERHPGSFDVVHVKDANGNSFATRLSNIFVIGKGNKPWISLPR
+GKGIRLTIAEERDKRLAAKQSSG
+>tr|A7YWT5|A7YWT5_BOVIN CRTAM protein OS=Bos taurus OX=9913 GN=CRTAM PE=2 SV=1
+MWWRVCSLLAWFPLQEAFRTNHMETVPIEEGQTLTLNCTVSQETTSLQWLAPSGFTIFFN
+EQPALKSSKYQLLHHSSDQLSISVLNVTQHDEGVYKCLHYGKSVRTKEVKVIVLATPVTP
+TLQVSVIKTHNGEEHVILKCSTVRSKPPPRITWLLGNGMELYGEIHHEYETDGKKCNSTS
+TLTVHAYGKNSTASCIIRHRGLQGRKLVAPFRFEDLVTDQETTSAALETSSLSSQDPQQP
+NSTVMEDSSTSEIDKEEEEQTTQVSHLATEANRLYGGLTKKNSGVLLLTLVSFLIFILFI
+IVQLFIMKLRKAHVIWKKESEISEHTLESYRSRSNNEETSSQERNGQTSRSRGCINYITQ
+LYSEAKTKTKEKAQPSKLKGELTHIPESIV
+>tr|A5D7D8|A5D7D8_BOVIN GRM3 protein OS=Bos taurus OX=9913 GN=GRM3 PE=2 SV=1
+MKMLTRLQVLTLALFSKGFLLCLGDHNFLRREIKIEGDLVLGGLFPINEKGTGTEECGRI
+NEDRGIQRLEAMLFAIDEINKDDYLLPGVKLGVHILDTCSRDTYALEQSLEFVRASLTKV
+DEAEYMCPDGSYAIQENIPLVIAGVIGGSYSSVSIQVANLLRLFQIPQISYASTSAKLSD
+KSRYDYFARTVPPDFYQAKAMAEILRFFNWTYVSTVASEGDYGETGIEAFEQEARLRNIC
+IATAEKVGRSNIRKSYDSVIRELLQKPNARVVVLFMRSDDSRELIAAASRANASFTWVAS
+DGWGAQESIIKGSEHVAYGAITLELASQPVRQFDRYFQSLNPYNNHRNPWFRDFWEQKFQ
+CSLQNKHNHRRPCDKHLAIDSSNYEQESKIMFVVNAVYAMAHALHKMQRTLCPNTTKLCD
+AMKILDGKKLYKDYLLKINFTAPFIANKGVDSIVKFDTFGDGMGQYNVFNFQYVGGKYSY
+LKVGHWSETLSLDVDSIHWSRNSMPTSQCSDPCAPNEMKNMQPGDVCCWICIPCEPYEYL
+ADEFTCMDCGLGQWPTADLSGCFDLPEDYIRWEDAWAIGPVTIACLGFMCTCMVVTVFIK
+HNNTPLVKASGRELCYILLFGVGLSYCMTFFFISKPSPVICALRRLGLGTSFAVCYSALL
+TKTNCIARIFDGVKNGAQRPKFISPSSQVFICLGLILVQIVVVSVWLILEAPGTRRHTLP
+EKRETVILKCNVKDSSMLISLTYDVVLVILCTVYAFKTRKCPENFNEAKFIGFTMYTTCI
+IWLAFLPIFYVTSSDYRVQTTTMCISVSLSGFVVLGCLFAPKVHIILFQPQKNVVTHRLH
+LNRFSVSGTGTTYSQSSASTYMPTVCNGREVLDSTTSSL
+>tr|E1BBX5|E1BBX5_BOVIN Aldehyde oxidase 3L1 OS=Bos taurus OX=9913 GN=AOX2 PE=2 SV=3
+MPCPSRSDELVFFVNGRKVIERNADPEVTLLSFLRKNLRLTGTKYACGRGGCGACTVMVS
+KRDPTSQEIRHFSVTACLVPICSLYGAAVTTVEGVGSMKTRLHPVQERIAKSHGTQCGFC
+TPGMVMSMYTLLRNHPQPSEEQLLEALGGNLCRCTGYRPILASGKTFCLESNGCQQKGTG
+KCCLDLGENDSSSLGRKSDICTELFVKEEFQPLDPTQELIFPPELLRMTENPEKRTLTFH
+GERVTWISPGTFKDLLELKAKHPEAPLILGNTSLGPAMRSKGCLHPILLSPARISELNVV
+SKTNDGLTIGAGCSLAQVKDILAERVSELPEEKTQTYRALLKHLKSLASQQIRNMASLGG
+HIISRHSYSDLNPILAVGNATLNLTSEGTRRIPLSEHFLAGLASADLKPEEILESVYIPH
+SQKWEFVSAFRQAQCQQNALPDVNAGMRVLFKEGTDIIEDLSITYGGVGAATVSAHKSCQ
+QLLGRQWDELMLDEACRRLLDEVSLPGWAPGGRVEFKRTLVVSFFFKFYLQVLQELKKLI
+KPFPNSRRYPEISDRFLSALEDFPGTVPQGVQRYQSVDSHQPLQDPVGRPVMHLSGLKHA
+TGEAEFCDDIPMVDKELCMALVTSTRAYAKIISIDLSEALEIPGVVDVITAKDIPGTNGT
+EDDKLLAVDEVLCVGQIICAVVAETDVQAKRAIEKIKITYEELEPIIFTIKDAIKHNSFL
+CPEKKLEQGNIEEAFEKVDQIVEGEVHVGGQEHFYMETQRVLVIPKTEDKELDIYVSTQD
+PAHVQKTVSSTLNIPINRITCHVKRVGGGFGGKIGRPAVFGAIAAVGALKTGHPIRLVLD
+REDDMLITGGRHPLFGKYKVGFMNNGRIKALDIECFINGGCTLDDSELVTEFLILKLENA
+YKIRNLRFRGRACLTNLPSNTAFRGFGFPQGTLVTESCITAVAAKCGLPPEKIREKNMYR
+TVDKAIYKQAFNPESLIRCWNECLDVSSFHNRRKQVEEFNKKNYWKKRGIAVIPMKFSVG
+FAATSYHQAAALVHIYTDGSVLVTHGGNELGQGIHTKMLQVASRELKIPMSHLHICETST
+AMVPNTIATAASVGADINGKAVQNACQILLKRLEPIIKKNPEGTWEEWIEAAFEQRISLS
+ATGYFRGYKAFMDWEKGEGDPFPYYVYGAACSEVEIDCLTGAHKKIRTDIVMDACCSLNP
+AIDIGQIEGAFIQGMGLYTTEELKYSPEGVLYSRGPDEYKIPTISDVPEEFNVSLLPSSQ
+TPLTIYSSKGLGESGMFLGSSVFFAITDAVAAARKERDIAEDFTVKSPATPEWVRMACAD
+RFTEMIPRDDPKTFKPWSITVA
+>tr|E1B7C9|E1B7C9_BOVIN Endonuclease EME2-like OS=Bos taurus OX=9913 GN=EME2 PE=4 SV=1
+MARPGPGRAGSARRGLGERRPPTWEISDSDAEGPAGVETPARGRDPAEERRPAAEALRRL
+RPGQAVRRLAVLVDPAVLEDAGADTLMEALHALGCEPRAEPQRPARSLRWSRESPDPCPR
+GVPPEVWAEDEPHVLLLLEPEEFVRGVLQLTQVRGPTCPVPWVTPESPARPHLAVIGLDA
+YLWSQKPSAQETQQPEHPAVTRAEVAVGWPEVEEALVRLQLWANVDVLLVASWQELSQHV
+CAFTKALAQRPFKQARESGAFPFCTSGRWAAGEQVARDGTGLRRAWWRQVRQFNRVSPAV
+ADAIVSAFPSPRLLQQAYMACGTEQERLGLLADLPVKTGKGVPPRRVGPDLSRRVCLFLT
+TTDPDLLLDLGS
+>tr|Q08DZ7|Q08DZ7_BOVIN C5L2 OS=Bos taurus OX=9913 GN=C5AR2 PE=2 SV=1
+MENNSLGNEYGDYSDLPDLPVDCADGSCMSIDLLHATPLLLYAAVFLVGVPGNAMMAWVT
+WKEARQRVSANWFLQLAVADLLCCLSLPILAVSVAHKGHWLYGAVGCRTLPSVILLSMYA
+SVLLLATLSTDLCLLALRPIWWGTAGRACRVRAACGACWGLALLLTVPSAIYRRLHQEHF
+PHRLECVVDYGGSTVAENSVTATRFIFGFLGPLVVVVVCHSALLCRATQRRWPLGLAVVV
+GFFVCWAPYHALGLVLTMAAPHSTLLAQALRAEPLVVGLALAHSCLNPMLFLYFGQAQLR
+QSLPAACRWALKEPQSEDESMVSKKSTSHDLVSEMEV
+>tr|A5PJP0|A5PJP0_BOVIN TBC1 domain family member 22B OS=Bos taurus OX=9913 GN=TBC1D22B PE=2 SV=1
+MAAENSKQFWKRSAKLPGSIQPVYGAQHPPLDPRLTKNFIKERSKVSTVPLKNKKASSFH
+EFARNTSDAWDIGDDEEEDFSSPAFQTLNSKVALATAAQVLENHSKLRVKPERSQSTTSD
+VPASYKVIKSSSDAQLSRNSSDSCLRNPLHKQQSLPLRPVIPLVARISDQNASGAPPMTV
+REKTRLEKFRQLLSSHNTDLDELRKCSWPGVPREVRPVTWRLLSGYLPANTERRKLTLQR
+KREEYFGFIEQYYDSRNEEHHQDTYRQIHIDIPRTNPLIPLFQQPLVQEIFERILFIWAI
+RHPASGYVQGINDLVTPFFVVFLSEYVEEDVENFDVTNLSQDMLRSIEADSFWCMSKLLD
+GIQDNYTFAQPGIQKKVKALEELVSRIDEQVHNHFRRYEVEYLQFAFRWMNNLLMRELPL
+RCTIRLWDTYQSEPEGFSHFHLYVCAAFLIKWRKEILDEEDFQGLLMLLQNLPTIHWGNE
+EIGLLLAEAYRLKYMFADAPNHYRR
+>tr|A6QQP9|A6QQP9_BOVIN ANKRD40 protein OS=Bos taurus OX=9913 GN=ANKRD40 PE=2 SV=1
+MSALLEQKEQQERLREAAALGDIREVQKLVESGVDVNSQNEVNGWTCLHWACKRNHGQVV
+SYLLKSGADKEILTTKGEMPVQLTSRREIRKIMGVEDDDGDSDDHPQLKKESELPFVPNY
+LANPAFPFIYTPASEDSAQLQNGGPSTPPASPPADGSPPLLPPGEPPLLGPFPRDHTSLA
+LVQNGDVSAPSAILRTPESTKPGPVCQPPVSQTRSLFSTVPSKPPMSLEPQNGTYAGPAP
+AFQPFFFTGAFPFNMQELVLKVRIQNPSLRENDFIEIELDRQELTYQELLRVSCCELGVN
+PDQVEKIRKLPNTLVRKDKDVARLQDFQELELVLMISENNFLFRNAASTLAERPCYNRRA
+SKLTY
+>tr|Q17QP9|Q17QP9_BOVIN Proteasome (Prosome, macropain) 26S subunit, non-ATPase, 14 OS=Bos taurus OX=9913 GN=PSMD14 PE=1 SV=1
+MDRLLRLGGGMPGLGQGPPTDAPAVDTAEQVYISSLALLKMLKHGRAGVPMEVMGLMLGE
+FVDDYTVRVIDVFAMPQSGTGVSVEAVDPVFQAKMLDMLKQTGRPEMVVGWYHSHPGFGC
+WLSGVDINTQQSFEALSERAVAVVVDPIQSVKGKVVIDAFRLINANMMVLGHEPRQTTSN
+LGHLNKPSIQALIHGLNRHYYSITINYRKNELEQKMLLNLHKKSWMEGLTLQDYSEHCKH
+NESVVKEMLELAKNYNKAVEEEDKMTPEQLAIKNVGKQDPKRHLEEHVDVLMTSNIVQCL
+AAMLDTVVFK
+>tr|A7E318|A7E318_BOVIN TSKU protein OS=Bos taurus OX=9913 GN=TSKU PE=2 SV=1
+MPWPLLPLLLLLLLAASGAQTTRPCFPGCQCEVETFGLFDSFSLTRVGCSGLGPHIVPVP
+IPLDTAHLDLSSNRLETVNESVLAGPGYTTLSGLDLSYNLLTSLSPTAFSRLRYLESLDL
+SHNGLAALPTGSFTSSPLSDVNLSHNRLREVSVSAFATHSQGRALHVDLSHNLLQRLLPH
+SSRAGQPAPTIQSLNLAWNRLRAVPDLRGLPLRYLSLDGTRWQPSAPAPLRGWRASLICR
+WAACRVSPCWRPTASTSCRACRSWTCPATPGSNGQDLRCSQAWAPCRSWTCRAQAWCPCP
+RSCSSTSPHCRASAWARACSAGAWCGRAPTHGSLASPPRWPCTA
+>tr|Q2YDP4|Q2YDP4_BOVIN Golgi reassembly stacking protein 1 OS=Bos taurus OX=9913 GN=GORASP1 PE=2 SV=1
+MGLGASAEQPAGGAEGFHLHGVQENSPAQQAGLEPYFDFIITIGHSRLNKENDTLKALLK
+ANVEKPVKLEVFNMKTMKVREVEVVPSNMWGGQGLLGASVRFCSFRRASEHVWHVLDVEP
+SSPAALAGLRPYTDYVVGSDQILQESEDFFSLIESHEGKALKLMVYNSESDSCREVTVTP
+NAAWGGEGSLGCGIGYGYLHRIPTQPPSHHKKPPGGPPPSAPPPGAPPPGPGSQDSPAPF
+GLETGSKQGDYVEALLQAPDSSTEEQPPGPESLTQGAQDLGDPPRSMEIPLQPPPPLQRV
+MDPGFLDVSGLSLLDSSNTSVWPGLPSSTELTPPVASASGLEDVCSSSGSHERGGEATWS
+GSEFEVSFPDSPGAQAQPDHLPQLTLPDSLTSAASPEDGLSAELLEAQAEEEPASPESPD
+CGMEAGGAPGQARLSTPDHSGL
+>tr|E1BCA5|E1BCA5_BOVIN Serpin family I member 1 OS=Bos taurus OX=9913 GN=SERPINI1 PE=3 SV=2
+MAFLGLFSLLVLQSLALGTTFTDETIAELSVNMYNHLRATGEDENILFSPLSVTLAMGML
+ELGAQGSTLKEIRHSMGYDSLKNGEEFSFLKDLSHMVTAEESQYVMKIANSLFVQNGFHI
+SEEFLQMIKKYFNAEVNHVDFSQNVAVANYINKWVENNTNSLLKDLVSPRDFDAVTHLAL
+INAVYFKGSWKSQFRPENTRTFSFTKDDESEVQIPMMYQQGEFYYGEFSDGSNEAGGIYQ
+VLEIPYEGDAISMMLVLSRQEVPLATLEPLVKTQLIEEWANSVKKQKVEVYLPRFTVEQE
+IDLKDVLKALGVTEVFIKNANLTALSDNKEIFLSKAIHKCFIEVNEEGSEAAAASGMIAI
+SRMAVLYPQVIVDHPFFFLIRNRRTGTILFMGRVMHPETMNASGHDFEEL
+>tr|Q32KW4|Q32KW4_BOVIN CEP135 protein OS=Bos taurus OX=9913 GN=CEP135 PE=2 SV=1
+MTTAAERKYLNIRKRLDQLGYRQTLTVDCIPLVEKLFSDLVHTTESLRKSKLSAVKAEKE
+SANFDFVLEPYKLENARLSKENNELYLELMKLREQSGQHIKELKTTLKKCARETADLKFL
+NNQYVHKLKLMEKESKAKNEKIQQLQEKNLQAVVQTPVFCR
+>tr|Q0VCW2|Q0VCW2_BOVIN Abl interactor 1 OS=Bos taurus OX=9913 GN=ABI1 PE=1 SV=1
+MAELQMLLEEEIPSGKRALIESYQNLTRVAEYCENNYIQATDKRKALEETKAYTTQSLAS
+VAYQINALANNVLQLLDIQASQLRRMESSINHISQTVDIHKEKVARREIGILTTNKNTSR
+THKIIAPANMERPVRYIRKPIDYTVLDDVGHGVKWLKAKHGNNQPARTGTLSRTNPPTQK
+PPSPPMSGRGTLGRNTPYKTLEPVKPPTVPNDYMTSPARLGSQHSPGRTASLNQRPRTHS
+GSSGGSGSRENSGSSSIGIPIAVPTPSPPTIGPVADSPTPPPPPPPDDIPMFDDSPPPPP
+PPPVDYEDEEAAVVQYNDPYADGDPAWAPKNYIEKGKVQSSLNCCNRKEPAMIVF
+>tr|E1BKP0|E1BKP0_BOVIN ATP binding cassette subfamily A member 5 OS=Bos taurus OX=9913 GN=ABCA5 PE=4 SV=2
+MATAVREDGVWRQTRTLLLKNYLVKCRTKKSSAQEILFPLFFLFWLILISMMHPNKKYEE
+VPDVELSALDASVLTNVVLGFTPATNITRSVMQKVSVDHLLDVVTIEEYADEKELVTSSL
+SKSDTFVGVVFKDFMSYELRFFPDTIPVSSVYMDSRAGCSPSCDAVQFWSSGFTVLQASI
+DAAIIQMKTNVSFWKELESTKAVLMGETAVVEIDTFPRGVILIYLVIAFSPFGYFLAIHI
+VAEKEKKLKEFLKIMGLHDTAFWLSWVLLYTSLIFLMSLLMAVIATASSLFPQSSCFVIF
+LLFFLYGLSSVFFALMLTPLFKKSKHVGIVEFLVTVAFGFVGLLIVLMESFPPSLVWLLS
+PFCQCTFLIGIAQVMHLEDVDEGALVSNLTEGPCPLIIAIMMLILNSIFYALLAVYLDQV
+VPGEFGLRRSSFYFLKPSYWSKSKRNYKELSEGNVNGNVSFSEIVEPVSSEFIGKEAIRI
+SGIQKTHRKKGENVEALRSLSFDIYEGQITALLGHSGTGKSTLMNILCGLCPPSEGFASI
+YGHRVSEIDEMFEARKMIGICPQLDIHFDVLTVEENLSILASIKGIPANDVIQEVQRVLL
+DLDMQAVKDNQAKKLSGGQKRKLSLGIAVLGNPKVLLLDEPTAGMDPCSRHIVWNLLKHR
+KANRVTVFSTHFMDEADILADRKAVISQGMLKCVGSSIFLKSKWGIGYRLSMYIDRYCAT
+ESLSSLVKQHIPAATLLQQNEQQLVYSLPFKDMDKFSGLFSALDSHSDLGVISYGVSMTT
+LEDVFLKLEVEAETDQADYSVFSQQPQEEELDSKSFDEMEQSLLILSESKAALVSAKGLW
+TQQVFTIARFHFLNLRRESKSLRSVLLLLLIFFTVQIFMFLVHHSLKNAVVPIRLVPDLY
+FLKPGDQPDKYRTSLLLQNSTDSDISDLLSFFANQNIMVTMFNDTDYMSAAPHSAALNVV
+RSERDYVFTAVFNSSMVYSLPVLMNIISNYYLYHSNVTESIQVWNTPFFQEITDIVFKIE
+LYFQAALLGVIVTAMPPYFAMENAENHKIKAYTQLKLSGLLPSAYWLGQAIVDIPLFFVV
+LTLMIASLFAFHYGLYFYAVKFLSVVFCLIGYVPSVVLFTYITSFTFKKIANTKEFWSFI
+YSVTALACIAVTEITYFMGNTATIILHYIFCITVPIYPLLGCLIGFIKITWKNLQRNEDT
+YDPWDSLLVAVISPYLHCVLWVFLLQYYEKKYGGRSLRKDPFFRTLSTKSKHRKFSEPPN
+NEDEDEDVKAERLKVKELMSCQCCEEKPAIMVNNLHKEYEDKKDFLPTRKVKKVANKYVS
+FCVKKGEILGLLGPNGAGKSTIINILVGDIEPTSGQVFLGDYSPHPAEDDDSVRCMGYCP
+QINPLWRDITLQEHLQIYGAVKGMRASDVQEVTDRITNALDLKEHLQKTVKKLPAGIRRK
+LCFALSMLGNPPVTLLDEPSTGMDPKAKQHMWRAIRTAFKNRKRAAILTTHYMEEAEAVC
+DRVAIMVSGRLRCIGTVQHLKSKYGKGYFLEIKLKDWIEDLEVDRLQREVQCIFPNASRQ
+ER
+>tr|F1CYZ1|F1CYZ1_BOVIN Myocyte enhancer factor 2D OS=Bos taurus OX=9913 GN=MEF2D PE=2 SV=1
+MGRKKIQIQRITDERNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNHSNKLFQYAST
+DMDKVLLKYTEYNEPHESRTNADIIETLRKKGFNGCDSPEPDGEDSLEQSPLLEDKYRRA
+SEELDGLFRRYGSAVPAPNFAMPVTVPVSSQSSLQFSNPSGSLATPSLVTSSLTDPRLLS
+PQQPALQRSSVSPGLPQRPASAGAMLGGDLSSANGACPSPVGNGYVSARASPGLLPVANG
+NSLNKVIPAKSPPPPAHSAQLGAPSRKPDLRVITSQGGKGLMHHLNNAQRLGVSQSTHSL
+TTPVVSVATPSLLSQGLPFSSMPTAYNTDYQLTSAELSSLPAFSSPGGLSLSNVTAWQQP
+QQPPQPQPPQQPQPQPPQPPQQPQQPPQQQPHLVPVSLSNLIPGSPLPHVGAALTITTHP
+HISIKSEPVSPSRERSPAPPPPAVFPATRPEPGDALSSPAGGSYETGDRDDGRGDFGPTL
+GLLRPAPEPEAEGSAVKRMRLDTWTLK
+>tr|A7Z066|A7Z066_BOVIN Calnexin OS=Bos taurus OX=9913 GN=CANX PE=1 SV=1
+MEGKWLLCMLLVLGTALVQAHDGHDDDVIDIEDDLDDVIEEVEDSKSKPDASSPPSPKVT
+YKAPVPTGEVYFADSFDRGTLSGWILSRAKKDDTDDEIAKYDGKWEVDEMKESKLPGDKG
+LVLMSRAKHHAISTKLNKPFIFDTKPLIVQYEVNFQNGIECGGAYVKLLSKTPELNLDQF
+HDKTPYTIMFGPDKCGEDYKLHFIFRHKNPKTGVYEEKHAKRPDADLKTYFTDKKTHLYT
+LILNPDNSFEILVDQSVVNSGNLLHDMTPPVNPSREIEDPEDRKPEDWDERPKIPDPDAV
+KPDDWDEDAPAKIPDEEATKPEGWLDDEPEYVPDPDAERPEDWDEDMDGEWEAPQIANPK
+CESAPGCGVWQRPMIDNPNYKGRWKPPMIDNPNYQGIWKPRKIPNPDFFEDLEPFKMTPF
+SAIGLELWSMTSDIFFDNFIVCGDRRVVDDWANDGWGLKKAADGASEPGVVGQMIEAAEE
+RPWLWVVYILTVALPVFLVILFCCSGKKQASPVEYKKTDAPQPDVKEDEEEKEEEKEKGD
+EEEDGEEKLEEKQKSDVEEDGDTVSQEEDDRKPKAEEDEILNRSPRNRKPRRE
+>tr|E5KBJ4|E5KBJ4_BOVIN MOGAT2 OS=Bos taurus OX=9913 GN=MOGAT2 PE=2 SV=1
+MVEFAPLFVPLERRLQTFAVLYWIFCFIVLPPLCLVVFIGLLFTRFWLFNILYVIWLYLD
+QNRPRQGGSHNKFLKRWVLWKYMKDYFPITLVKTTELDPSRNYLAAFHPHGLLSVGAFTN
+MCTDSTGFSSLFPGIRPHLTTINIYFRIPLFRDYIMQGGLVSSDKESIAYILSRKGGGNL
+VAITVGGIREALKTRPGANKLVLRNRKGFIRLALMHGAVLVPIFSFGDNELYAKTSPGFW
+WKWFRDQLYKKTRLAIPFFYGRGVFQYSFGFMPYRRPITTVVGKPIEVPKIPHPSQEEVD
+RLHQHYLKELSNLFETHKLKYNIPKDQHLEFC
+>tr|E1BM24|E1BM24_BOVIN BICD family like cargo adaptor 2 OS=Bos taurus OX=9913 GN=BICDL2 PE=4 SV=1
+MNSPEGPSFPAGLLSGGASPSGDEGFFPFVLERRDSFLGGGPGPEEPEDLALQLQQKEKD
+LLLAAELGKMLLERNEELQRQLDTLNAQRAEREEQLQQENHELRRGLAARGAEWEARAVE
+LERDVEALRAQLGEQRSEQQDSGRERARALSELGEQNLRLSQQLAQASQTEQELQRELDS
+LQGQCQAQALAGAELRTRLESLQGENQMLQSRRQDLEAQIRGLREELEKGRGKLQVTHEE
+LLLLRRERREHGLELERARSETEEALSALRRLQRRVSELEEESRLQDADLSGASLQLELA
+HSLDSDQDQNQNTDRSGGALTTLSPETQEASSQQRSPEEERLEPPRKGTSLSPEEILGEE
+EEEVFRLQVEMAMQQAELQSLREELQRQKELRVQDPEEALSSALSDRDEAMNKVLELSLE
+LSRVSLERDSLSRELLRTIRQKVALTQELEAWQDDMQVVIGQQLRSQRQQELSAATSAPR
+PAPTRFSLRLSPGPAGGFFSTLFRRT
+>tr|E1BE08|E1BE08_BOVIN Citrate synthase lysine methyltransferase OS=Bos taurus OX=9913 GN=CSKMT PE=4 SV=1
+MAALRRTLHLASLAAGTRRTVAGSLAGSCLADRSLWDKLHAQPRQGSVRTFDWFFGYEEA
+QGLLLPLLEKSWAACPPRVLDVGCGTSSLCPGLYTKCPHPVDVLGVDFSPVAVAHMNSLL
+EGGQGQTPLCPGHPESSLHFMQADGQNLQPVASSGSFQLVLDKGTWDAVARGGLPGAYQL
+LAECLRVLSPQGTLIQFSDEDPDVRLPCLEKGSQGWTVTVQELGPFRGITYFAYVVQGSD
+>tr|Q0VD56|Q0VD56_BOVIN Myosin binding protein C, cardiac OS=Bos taurus OX=9913 GN=MYBPC3 PE=2 SV=1
+MPEPGKKPVSAFSKKPRSAEVAAGSSAVFEAETERAGLKVRWQRAGSDISASDKYSLAAE
+GTRHTLTVRDVGPADQGSYAVIAGSSKVKFDLKVVDAGKAEPVSAPAPAPTEAPGAPGEA
+PTSAPEVEAGAPSPEESSSAAPEGPSAPGDPIGLFVMRPQDGEVTVGGTITFSARVAGAS
+LLKPPVVKWFKGKWVDLSSKVGQHLQLHDSYDRTSKVYLFELRIMDAQTTFAGGYRCEVS
+TKDKFDSCNFNLTVHEAVGPGDVDLRSTFRRTSLAGGSRRISDSHEDAGTLDFSSLLRKS
+SLRTPRLEAPAEEDVWEILRQAPPSEYERIAFQHGVTDLRGMLKRLKGIKRDEKKSTAFQ
+KKLQPAYQVSKGHKIRLMVELADPDAEVKWLKNGQEIQMSGSKYIFESIGAKRTLTISQC
+SLADDAAYQCVVGGEKCSTELFVKEPPVLITRPLEDQLVMVGQRVEFECEVSEEGAQVKW
+LKDGVELTREETFKYRFKKDGQKHHLIINEATLEDAGHYALRTSGGQALAELIVQEKKLE
+VYQSIADLTVGSKDQAVFKCEVSDENVRGVWLKNGKELVPDSRIKVSHIGRVHKLTIDDV
+TPADEADYSFVPEGFACNLSAKLHFMEVKIDFVPRQEPPKIHLDCPGRVPDTIVVVAGNK
+LRLDVPISGDPAPTVIWQKAITKGNKVPAGPAPDASEESGAGDEWVFDKKLLCETEGRVR
+VETTKDRSIFTVEGAEREDEGVYVVTVKNPVGEDQVSLTVKVIDVPDAPAAPEISKVGED
+SCTVCWEPPAYDGGQPVLGYILERKKKKSYRWMRLNFDLLRELSHEARRMIEGVIYEMRV
+YAVNAVGMSRPSPASQPFMPIGPPSEPTHLAVEDISDTTVSLKWRPPERAGAGGLDGYSV
+EYRREGSGSAWVSALPGLIERTSLLVKDLPTGARVLFRVRAHNLAGAGPPVTTKEPVTVQ
+ELLQRPRLQLPRHLRQTIQKKVGEPVNLLIPFQGKPRPQVTWTKEGQPLAGEEVSIRNSP
+TDTILFIRAAHRAHSGTYQVTLRVEDMEDKAQLVLQVVDKPSPPQDIQVAEAWGFNVALE
+WKPPQDDGNTELWGYTVQKADKKTMEWFTVLEHYRHTHCVVSELIIGNSYYFRVFSHNTV
+GPSDTAATTKEPVLIPRPGITYELPKYKALDFSEAPSFTRPLVNRSVIAGYNTTLCCAVR
+GSPKPKISWFKNGLDLGKDARFRMFSKQGVLTLEIRKPCPFDGGIYACRATNLEGEAQCE
+CRLEVRVPQ
+>tr|F1N241|F1N241_BOVIN Tetratricopeptide repeat protein 23 OS=Bos taurus OX=9913 GN=TTC23 PE=4 SV=1
+MQESQETHISNHLDEIVAAVSITPRKKLQNQLLQRALFQPPREKLRLSEEKAKSYASSHE
+YKKALHELVHCMALTRICYGDSHWKLAEAHVNLAKGYLQLKGMSLQAKQHAEKAKEILTS
+SVAPPYSDNTDVFKCSVELFHTLGQALLSLQKFKEASKNLTKAEILSKEMLQCGKIIKEE
+WMEIQARIKLSFAQVYQGQKKSKEALPHYQEALEYTEISRGEKSLECVPILRELAAAEQA
+LGFHDASINNLIQAHLIVLRGSPSREEAATSAHSVACAAIASGRPEHHDVAEQYFQDSMA
+NLKDAEGKETAKFLSIQDDYCHFLQVTGQDEKATSIFRESLEAKVAVFGDFSPEVAETYR
+LLGVADLAQGNQTGAHKKLKKCLQIQTLLYGPQDKRTLATQQTMDILSKAPEVPARPRPS
+PGAKAAFCAGGRPYSVPGRTRPSAAD
+>tr|A6H7H3|A6H7H3_BOVIN LOC789567 protein OS=Bos taurus OX=9913 GN=HSD17B12 PE=1 SV=1
+MESFLPATGFLYWVGVGTVAYLALRISCFLFTAVRVWGLGNESGVGPRLGEWAVVTGSTD
+GIGKSYAEKLAERGMKIVLISRSQDKLNQVSSEIREKFKVETKTIAVDFTLEDIYDKIKT
+SLAGLEIGVLVNNVGMSYEYPEYFLDIPDLDNTIKKLISVNVLSVCKMTQLVLPGMVERS
+KGVILNISSATGRYPVPLLTIYSATKAFVDFFSQCLHEEYKSKGIFVQSVLPFFVATKLA
+KIRKPTLDKPSSENFVKCAIKTIGVQSRTSGYFIHYLMASVTSLLPSWLYFKIVMNVNKS
+FRARYFKKMKKN
+>tr|Q32LF3|Q32LF3_BOVIN PPP1R2C family member C OS=Bos taurus OX=9913 GN=PPP1R2C PE=2 SV=1
+MSASTSSRRPIKGILKNKGSTASSVAGSAQQSGGPLQEVHRKKSQKWDESNILATYRPEY
+RDYDFMKMNEPSTPQFGPQNLGERSAESEATALDSLTKRLAATHTSDSSYSVRDPELDGA
+HSSKIYLDRQEKRRQFEMKRKLHYSEGKNIKLARQLISRDLLHDYEDDNNEESSHVISHD
+KTTTQEEAEQGATSDEGLQTQTCCYLGDDEDDK
+>tr|Q0II40|Q0II40_BOVIN Dual specificity phosphatase 21 OS=Bos taurus OX=9913 GN=DUSP21 PE=2 SV=1
+MTTPLCPFPIQAVRQPAAHGLSQITKSLYLSDAVAAKDKAMLSTNHITTVINVSMEATDT
+FLEDIQYVKVPLADAPNSRLYDFFDFIADHIHSVEMKQGRTLLHCAAGVSRSATFCLAYL
+MKYHSMSLLDAHTWTKSCRPTIRPNNGFWEQLIYYEFKLFSKNTVHMISSSMGMIPDVYE
+KEICLMTLMGVIPDSS
+>tr|A0A3Q1MBP2|A0A3Q1MBP2_BOVIN SWI/SNF-related matrix-associated actin-dependent regulator of chromatin subfamily A-containing DEAD/H box 1 OS=Bos taurus OX=9913 GN=SMARCAD1 PE=4 SV=1
+MNLFNLDRFRFEKRNKIEEAPEATPQPSQPGPSSPISLSAEEENAEGEVSRAGTPDSDVT
+EKTGLQDITHILKK
+>tr|A0A3Q1NL33|A0A3Q1NL33_BOVIN Cytochrome c oxidase assembly factor 1 homolog OS=Bos taurus OX=9913 GN=COA1 PE=4 SV=1
+MSMPLGKQVFFAGVAASGSCAILYYLVQKTFSRASYYQLALEQLHSHPEALEALGTPLNV
+HYLRLTDKYNFVDIADAKLKIPVSGPKSEGHLYVSSSRDAPFKRYDGKVGGEEEENLLLE
+TILISLFNCSCMIAALRISFSKLQKFHSQDNMHASAFALSSVWTFYPMQTTEFEEL
+>tr|Q0P584|Q0P584_BOVIN Zinc finger matrin-type 2 OS=Bos taurus OX=9913 GN=ZMAT2 PE=2 SV=1
+MASGSGTKNLDFRRKWDKDEYEKLAEKRLTEEREKKDGKPVQPVKRELLRHRDYKVDLES
+KLGKTIVITKTTPQSEMGGYYCNVCDCVVKDSINFLDHINGKKHQRNLGMSMRVERSTLD
+QVKKRFEVNKKKMEEKQKDYDFEERMKELREEEEKAKAYKKEKQKEKKRRAEEDLTFEED
+DEMAAVMGFSGFGSTKKSY
+>tr|A4IFE5|A4IFE5_BOVIN LRRC8D protein OS=Bos taurus OX=9913 GN=LRRC8D PE=2 SV=1
+MFTLAEVASLNDIQPTYRILKPWWDVFMDYLAVVMLMVAIFAGTMQLTKDQVVCLPVLPA
+PINSKAHATPGNADITTNIPKMESATDQDQDGRMTNEISFGASAVTPDIPLRATYPHADS
+TAPNQEAKKEKKDPTGRKTNLDFQQYVFINQMCYHLALPWYSKYFPYLALIHTIILMVSS
+NFWFKYPKTCSKVEHFVSILGKCFESPWTTKALSETACEDSEENKQRITGAQTLPKHVST
+SSDEGSPSASTPMINKTGFKFSAEKPVIEVPSMTILDKKDGEQAKALFEKVRKFRAHVED
+SDLIYKLYVVQTVIKTAKFIFILCYTANFVNAISFEHVCKPKVEHLTGYEVFECTHNMAY
+MLKKLLISYISIICVYGFICLYTLFWLFRIPLKEYSFEKVREESSFSDIPDVKNDFAFLL
+HMVDQYDQLYSKRFGVFLSEVSENKLREISLNHEWTFEKLRQHVSRNAQDKQELHLFMLS
+GVPDAVFDLTDLDVLKLELIPEAKLPAKISQMTNLQELHLCHCPAKVEQTAFSFLRDHLR
+CLHVKFTDVAEIPAWVYLLKNLRELYLIGNLNSENNKMIGLESLRELRHLKILHVKSNLT
+KVPSNITDVAPHLTKLVIHNDGTKLLVLNSLKKMMNVAELELQNCELERIPHAIFSLSNL
+QELDLKSNNIRTIEEIISFQHLKRLTCLKLWHNKIVTIPPSITHVKNLESLYFSNNKLES
+LPVAVFSLQKLRCLDVSYNNISMIPVEIGLLQNLQHLHITGNKVDVLPKQLFKCVKMRTL
+NLGQNCITSLPEKIGQLSQLTQLELKGNCLDRLPAQLGQCRLLKKSGLVVEDHLFDTLPL
+EVKEALNQDINIPFANGI
+>tr|A1A4L7|A1A4L7_BOVIN Glutathione S-transferase Mu 1 OS=Bos taurus OX=9913 GN=GSTM4 PE=2 SV=1
+MAMTLGYWDIRGLAHAIRLLLEYTDSNYEEKKYTMGDAPDYDRSQWLNEKFKLGLDFPNL
+PYLIDGAHRLTQSKAILRYIARKHNMCGETEEEKIRVDVLENQTMDTANELAILCYNPEF
+EKLKSQYLKEIPGKMKLYSEFLGRRPWFAGDKLTFVDFLVYDVLDIHRIFEPKCLDAFPN
+LKDFISHFEGLKRISAYMKSSRFLPHPVYSKMAVWGNK
+>tr|Q3MHF2|Q3MHF2_BOVIN 3 beta-hydroxy-delta 5-C27-steroid oxidoreductase OS=Bos taurus OX=9913 GN=HSD3B7 PE=2 SV=1
+MADSAETQELVYLVTGGCGFLGEHVVRMLLQREPRLRELRIFDLHLGPWLEELKTGPVQV
+TAIQGDVTQAHEVAAAVAGAHVVIHTAGLVDVFGKTSPETIHEVNVQGTQNVIEACVQTG
+TRFLIYTSSMEVVGPNIKGQPFYRGNENTPYEAVHRHPYPCSKALAEQLVLEANGREVLG
+GLPLVTCALRPTGIYGEGHQIMRDFYHQGLRLGGRLFRAIPASVEHGRVYVGNVAWMHVL
+VARELEHRAALMGGQVYFCYDNSPYKSYEDFNMEFLGPCGLRLVGTRPLMPYWLLVLLAA
+LNTLLQWLLRPLMLYAPLLNPYTLAVANTTFTVSTDKARRHFGYEPLFSWEESRTRTIRW
+VQTVEGSA
+>tr|A6QPJ9|A6QPJ9_BOVIN BCL2 like 12 OS=Bos taurus OX=9913 GN=BCL2L12 PE=2 SV=1
+MAGSEELGLREDTLRVLAAFLRRGEAVGSPIPTPPRSPAQEEPTDFLSRLRRCLPCSLRR
+GAVPPESSRPCSLPLRPCYGSEPGPATPDFYALVAQRLEQLVQEQLRSPPSPELQGPAPT
+EKEALLRKLVALLEEEAEVINQKLASDPALQRKLARLSASSFSRLVELFSSRDVSPRPSQ
+ALPCPGPPPPSPEPLARLALAMELSRRVAGLGGTLAGLSVEHVHSFAPWIQAHGGWEGIL
+AVSPVDLNLPLD
+>tr|B3FXL5|B3FXL5_BOVIN Interleukin 1 receptor associated kinase 3 OS=Bos taurus OX=9913 GN=IRAK3 PE=2 SV=1
+MGHHRAIHLIANYGATLNPIEQSHREKEFPNMLQKETANVTVDNVLIPERNEKGILLKSS
+ISFHSIVEGTKNFHKDFLIGEGEIFEVYRVEIQNRTYAIKLFKQGGKMQCKKQWKRFLSE
+LEVLLLFRHPNILELAAYFTESEKFCLVYPYMRNGSLFDRLQCVGDTAPLSWHIRIGVLI
+GTSKAIQYLHNTELCSVICGSISSANILLDDQFQPKLTDFAMAHFRPHLEPQSSAISMTS
+SSSKHVWYMPEEYIRQGKLSIKTDIYSFGIVIMEVLTGCKVVLDEPKHIQLRDLLIELME
+KRGLDSCISFLDKKVPPCPQNFSAKLFSLAGRCAATRAKLRPSMDEVLNILESTQASLYF
+AEDPPTSLKSFRSPSPLFFDNVPSIPVEDDENQNNPSPPHDQSLRKDRMTQKTPFECSQS
+EVTFLGFDKKTGSRGNEDSSSRSGSPCEESWSPKCATPSLDLRAPSVHTDTSAEAPGHSY
+RSRPVETSCSSIFSWNECKLYKKASVSDEDKEESKNC
+>tr|A7YWD0|A7YWD0_BOVIN ZNF346 protein OS=Bos taurus OX=9913 GN=ZNF346 PE=2 SV=1
+MEYPALGTVENADSGGARSYNNSEEREGREPDGLRFDRERARRLWEAVSGSQPVGREEVE
+HMIQKNQCLFTNTQCKVCCALLISESQKLAHYQSKKHANKVKRYLAIHGMETLKGEMKRL
+DSDQKSSRSKDKNQCCPICNMTFSSPVVAQSHYLGKTHAKNLKLKQQSTKVEALSKRLTN
+PFLMASTLALHQNREMIDPDKFCSLCHATFNDPVMAQQHYVGKKHRKQETKLKLMAHYGR
+LADPAVTDSAGKGYPCKTCKIVLNSIEQYQAHVSGFKHKNQSPKTVASPLGQIPTQRQSI
+QKDSTTLEN
+>tr|E1BLF5|E1BLF5_BOVIN Aryl hydrocarbon receptor nuclear translocator like OS=Bos taurus OX=9913 GN=ARNTL PE=4 SV=2
+MADQRMDISSTISDFMSPGATDLLSSPLGTGGVDCNRKRKGSSTDYQESMDTDKDDPHGR
+LEYTEHQGRIKNAREAHSQIEKRRRDKMNSFIDELASLVPTCNAMSRKLDKLTVLRMAVQ
+HMKTLRGATNPYTEANYKPTFLSDDELKHLILRAADGFLFVVGCDRGKILFVSESVFKIL
+NYSQNDLIGQSLFDYLHPKDIAKVKEQLSSSDTAPRERLIDAKTGLPVKTDITPGPSRLC
+SGARRSFFCRMKCNRPSVKVEDKDFPSTCSKKKADRKSFCTIHSTGYLKSWPPTKMGLDE
+DNEPDNEGCNLSCLVAIGRLHSHMVPQPANGEIRVKSMEYVSRHAIDGKFVFVDQRATAI
+LAYLPQELLGTSCYEYFHQDDIGHLAECHRQVLQSREKITTNCYKFKIKDGSFITLRSRW
+FSFMNPWTKEVEYIVSTNTVVLANVLEGGDPSFPQLTASPHSMDSMLPSGEGGPKRTHPT
+VPGIPGGTRAGAGKIGRMIAEEIMEIHRIRGSSPSSCGSSPLNITSTPPPDASSPGGKKI
+LNGGTPDIPSSGLLPGQAQENPGYPYSDSSSILGENPHIGIDMIDNDQGSSSPSNDEAAM
+AVIMSLLEADAGLGGPVDFSDLPWPL
+>tr|Q3T0N7|Q3T0N7_BOVIN GPAA1 protein OS=Bos taurus OX=9913 GN=GPAA1 PE=2 SV=1
+MGLLSDPVRRRALARLVLRLNAPLCVLSYVAGIAWFLALAFPPLTQRTYMSENAMGSTMV
+EEQFAGGDRARSLARDFAAHRRKSGALPVAWLERTMRSVGLEVYTQSFSRKLPFPDETHE
+RYMVSGINVYGILRAPRAASTESLVLTVPCGPDSTNSQAVGLMLALAAHFRGQIYWAKDI
+IFLVTEHDLLGTEAWLEAYHDVNITGMQSSPLQGRAGAIQAAVALELSSDVVTSLDVAVE
+GLNGQLPNLDLLNLFQTFCQKGGLLCTLQGKLQPQDWTSIDGPLQSVQTLLLMVLQQASG
+RPHGAHGLFLRYRVEALTLRGINSFRQYKYDLVAVGKALEGMFRKLNHLLERLHQSFFFY
+LLPALSRFVSIGLYMPAAGFLLLVLGLKALELWMQLHEAGVGPEEAGENPPHPLTQGVGL
+ASLVAPLLVSQAMGLALYILPVLGQHVATQHFPVAEAEAVVLTLLAIYAAGLALPHNTHR
+VVSTQAPDRGWMALKLVALIYLALQLACITLTNFSLGFLLAASMVPAAAITKPSEPRALY
+AALLVLTSPAATLLGSLFLWRELQEAPLSLTEGWQLFLATLAQGVLEHHTYGALLFPLLA
+LGLYPCWLLFWNVLFWK
+>tr|A2VDL2|A2VDL2_BOVIN Solute carrier family 2 (Facilitated glucose transporter), member 3 OS=Bos taurus OX=9913 GN=SLC2A3 PE=2 SV=1
+MGTTKVTAPLIFAISVATIGSFQFGYNTGVINAPEAIIKDFLNYTLEERSEPPPSSVLLT
+SLWSLSVAIFSVGGMIGSFSVGLFVNRFGRRNSMLIVNLLAIAGGCLMGFCKIAESVEML
+ILGRLIIGLFCGLCTGFVPMYIGEISPTALRGAFGTLNQLGIVIGILVAQIFGLKVILGT
+EDLWPLLLGFTILPAIIQCAALPFCPESPRFLLINRKEEEKAKEILQRLWGTEDVAQDIQ
+EMKDESMRMSQEKQVTVLELFRAPNYRQPIIISIMLQLSQQLSGINAVFYYSTGIFKDAG
+VQEPVYATIGAGVVNTIFTVVSVFLVERAGRRTLHLIGLGGMAFCSILMTISLLLKDNYS
+WMSFICIGAILVFVAFFEIGPGPIPWFIVAELFGQGPRPAAMAVAGCSNWTSNFLVGLLF
+PSAAFYLGAYVFIVFTVFLVIFWVFTFFKVPETRGRTFEEITRAFEGQTQTGTRGEKGPI
+MEMNSIQPTKDTNA
+>tr|G3MXR7|G3MXR7_BOVIN Mast cell protease-11-like OS=Bos taurus OX=9913 GN=LOC789799 PE=3 SV=1
+MLWLLVMTLPCLGHSVPVTLGPGSGRELVGIVGGCDVSARSYLWQVSLRFYNRTAGLWIH
+FCGGSLIHPQWVLTAAHCVKPKSQKASTIRVQVGQLRLYDHDELTKVSKIVQHPDYDQIL
+SAEGGADIALLRLEAPVSLSSHVQVVSLPPASLTVPERKMCWVSGWGKVTVHSPLPPPYH
+LQEVEVPIVGNQVCNQHYQKLENSTKPIKDDMLCAGSKGQDSCKGDSGGALVCLWKCSWV
+QVGVVSWGHKCALPDFPGVYTRVTSYMSWIRQYVPLSPGP
+>tr|Q0VCV5|Q0VCV5_BOVIN Ligand of numb-protein X 2 OS=Bos taurus OX=9913 GN=LNX2 PE=2 SV=1
+MGTTSDEMGSVEQTLSSSFNPLCFECGQQHWTRENHLYNYQDEVDDDLVCHICLQPLLQP
+LDTPCGHTFCCKCLRNFLQEKDFCPLDRKKLHFKSCKKSSILVHKLLDKLLISCPFSSVC
+HDVMQRCDLEGHLKNRCPGASHRRAALEKRKTSKTQMEIENENGTTVTDLPAALSPETDC
+SGRTLTSASLPSWTEEPGLDNPAFEESPAGDTTPQPLSLPEGEITTIEIHRSNPFIRLGI
+SIVGGNETPLINIVIQEVYRDGVIAKDGRLLAGDQILQVNNYNISSVSHNYARAVLSQPC
+STLQLTVLRERRFGSRAHGHPEGGSPREEVFPVVLHKRDSAEQLGIKLVRRTDEPGVFIL
+DLLEGGLAAQDGRLSSNDRVLAINGHDLKHGTPELAAQIIQASGERVSLTIARPGKPQPG
+STVREAGTQSSSQHHTQTLPYNRPSSHKDLAQCVTCQEKHITIKKEPHESLGMTVAGGRG
+SKSGELPIFVTSVPPHGCLARDGRIKRGDILLNINGIDLTNLSHSEAVAMLKASATSPTV
+ALKALEVQVVEEAAQGLDEPLSAVSENEYDASWSPSWVMWLGLPSALHSCHDIVLRRSYL
+GSWGFSIVGGYEENHTNQPFFIKTIVLGTPAYYDGRLKCGDMIVAVNGLSTVGMSHSALV
+PMLKEQRNKVTLTVICWPGSLV
+>tr|A4IFC3|A4IFC3_BOVIN Polyadenylate-binding protein OS=Bos taurus OX=9913 GN=PABPC4 PE=2 SV=1
+MNAAASSYPMASLYVGDLHSDVTEAMLYEKFSPAGPVLSIRVCRDMITRRSLGYAYVNFQ
+QPADAERALDTMNFDVIKGKPIRIMWSQRDPSLRKSGVGNVFIKNLDKSIDNKALYDTFS
+AFGNILSCKVVCDENGSKGYAFVHFETQEAADKAIEKMNGMLLNDRKVFVGRFKSRKERE
+AELGAKAKEFTNVYIKNFGEEVDDENLKELFSQFGKTLSVKVMRDPSGKSKGFGFVSYEK
+HEDANKAVEEMNGKEITGKVIFVGRAQKKVERQAELKRKFEQLKQERISRYQGVNLYIKN
+LDDTIDDEKLRKEFSPFGSITSAKVMLEDGRSKGFGFVCFSSPEEATKAVTEMNGRIVGS
+KPLYVALAQRKEERKAHLTNQYMQRVAGMRALPANAILNQFQPAAGGYFVPAVPQAQGRP
+PYYTPNQLAQMRPNPRWQQGGRPQGFQGMPSAIRQSGPRPALRHLAPTGSECPDRLAMDF
+GGAGAAQQGLTDSCQSGGVPTAVQNLAPRAAVAAAAAPRAVAPYKYASSIRSPHPAIQPL
+QAPQPAVHVQGQEPLTASMLAAAPPQEQKQMLGERLFPLIQTMHSNLAGKITGMLLEIDN
+SELLHMLESPESLRSKVDEAVAVLQAHHAKKEAAQKVGAVAAATS
+>tr|Q2T9S2|Q2T9S2_BOVIN Uncharacterized protein LOC780805 OS=Bos taurus OX=9913 GN=LOC780805 PE=2 SV=1
+MVNYYKVLGVPQNASSSDIKKAYHQLALQVHPDKNSENREAAEEKFKQIAEAYAVLSDAK
+KRDNYDNTRRHCIKRENRGDGRDKDYLKEELRFARPRCDFQSIFEDQDFFSGGCFPTDSV
+GGARRFRPSFFDVTPIPDTGFSTFVSVGSGPNSPSSRTFVPFISSGMGNFKLVTTYSQTV
+NGKKVVIKKVLENVS
+>tr|Q0IIH9|Q0IIH9_BOVIN MOG protein OS=Bos taurus OX=9913 GN=MOG PE=2 SV=1
+MASLLSSSLPSCLPSLLFLLLQLTSSSADPFYWINPGVLVLIAVLPVLLLQITVGLVFLC
+LQRRLRGKLWAEIENLHRTFDPHFLMVPCWKITLFVIVPVLGPLVALIICYNWLHRRLAG
+QFLEELSKFSSLS
+>tr|F1N4C1|F1N4C1_BOVIN Homeobox containing 1 OS=Bos taurus OX=9913 GN=HMBOX1 PE=4 SV=2
+MLSSFPVVLLETMSHYTDEPRFTIEQIDLLQRLRRTGMTKHEILHALETLDRLDQEHSDK
+FGRRSSYGGSSYGNSTNNVPASSSTATASTQTQHSGMSPSPSNSYDTSPQPCTTNQNGRE
+NNERLSTSNGKMSPTRYHANSMGQRSYSFEASEEDLDVDDKVEELMRRDSSVIKEEIKAF
+LANRRISQAVVAQVTGISQSRISHWLLQQGSDLSEQKKRAFYRWYQLEKTNPGATLSMRP
+APIPIEDPEWRQTPPPVSATSGTFRLRRGSRFTWRKECLAVMESYFNENQYPDEAKREEI
+ANACNAVIQKPGKKLSDLERVTSLKVYNWFANRRKEIKRRANIEAAILESHGIDVQSPGG
+HSNSDDVDGNDYSEQDDSTSHSDHQDPISLAVEMAAVNHTILALARQGANEIKTEALDDD
+>tr|Q3ZCJ0|Q3ZCJ0_BOVIN 60S ribosomal protein L36a OS=Bos taurus OX=9913 GN=RPL36AL PE=2 SV=1
+MVNVPKTRRTFCKKCGKHQPHKVTQYKKGKDSLYAQGKRRYDRKQSGYGGQTKPIFRKKA
+KTTKKIVLRLECVEPNCRSKRMLAIKRCKHFELG
+>tr|Q08DC3|Q08DC3_BOVIN KIAA1949 OS=Bos taurus OX=9913 GN=PPP1R18 PE=1 SV=1
+MATIPDWKLQLLARRRQEEAAVRGRETAERERLSQMPAWKRGLLERRRAKLGLSPGEPSP
+APGTTEAGPPNPDESAVLLEAIGPVHQNRFIRQERQQQQQRSEELLTDRRPGPLETRGRR
+PSAGETRDPSPKGRESREEWLREKRLGIGGARELSPRPLESRDWRQSPGEAGDRSSRLSE
+VRKWRLSPGETPERSLRLAEPREQSPRRTEVVDSRLSPEESDNQKLGLTESRKWRPDPRE
+SQGQSLAQLEASEWRPSSGEERKDCSEEWGRKDERPIPRMVPEGTTVLSETPTREAPDSR
+SAGPEGAEERPSPVEDGERGLRPLEGWKWTLNSGKVRDWTPRDTEPQPQKPDTPESAEKH
+VGPLGAEAGEGEAEKEEAGTQSRPLGALQDRCSAPSPLSPEDAGTGASRRQEEEAGELRP
+PPAAPLSPPPPAPPAPQSLGDPLMSRLFYGVKAGPGVGAPRRSGHTFTVNPRRSAPPAAP
+ATPATPATADAAVPGAGKKRYPTAEEILVLGGYLRLSRSCLVKGSPERRHKQLKISFSET
+ALETTYQYPSESSVLEELGPEPEAPSAPSPPAAQADDEEEEEELLLLQPELQGGLRTKAL
+IVDESCRR
+>tr|A6QQT0|A6QQT0_BOVIN HMG-box containing 4 OS=Bos taurus OX=9913 GN=HMGXB4 PE=2 SV=1
+MDLLKAITSPLATGAKPSKKIGEKSSSSSSHSESKKEHHRKKVSGSSGELSLEDGSSHKS
+KKMKPLYVNTETLTLREPDGLKMKLILSPKEKGSSSVDEESFQYPSQQGTVKKSSKKSAR
+DEQGALLLGHELQSFLKTARKKHKSSSDPRSSPGPEGCGSEASQFPESHSANLDLSGLEP
+ILVESDSSSGGELEAGELVIDDSYREIKKKKKSKKSKKKKDKEKHKEKRHSKSKRSSGLP
+PAAVAGEVPVPPVPAPSLPYAGAPTPPPPLPSLHTDGHNEKKKKREEKDRERDRGEKPKK
+KNMSAYQVFCKEYRVTIVADHPGIDFGELSKKLAEVWKQLPEKDKLVWKQKAQYLQHKQN
+KAEATTVKRKASSSEGSMKVKASSMGVLSPQKKSPPTAMLLPASPAKAPETEPIDVAAHL
+QLLGESLSLIGHRLQETEGMVAVSGSLSVLLDSIICALGPLACLTTQLPELNGCPKQVLS
+NTLDNIAYIMPGL
+>tr|Q6XFR7|Q6XFR7_BOVIN Glycophorin C OS=Bos taurus OX=9913 GN=GYPC PE=2 SV=1
+MSTQLPLTTTQQGTETAAVMEIPLWSPEPNPGMASSEINIAVIAGVIAAMAFVLVGLLLL
+MLHYMYRHKGTYHTNEAKGTEFAENADVALQDDPSFQDNGGNSKKEYFI
+>tr|G3X6H5|G3X6H5_BOVIN Jade family PHD finger 3 OS=Bos taurus OX=9913 GN=JADE3 PE=4 SV=1
+MKRHRNISSSDSSEDNPCTSSTFGSMYRSKSKMPNERKKPAEVFRKDLISAMKIPDSHHI
+NPDNYYLFTDTWKEEWEKGVQVPANPDTLPQPSVRVMADKKKEVLFVRPRKYIQCSNPET
+TEPGYINIVELAASVCRYDLDDMDIFWLQELNEDLTAMGYGPVDENLMEKTVEVLERHCH
+ENMNHAIETEEGLGIEYDEDVICDVCRSPDSEEGNDMVFCDKCNICVHQACYGILKVPEG
+SWLCRSCVLGIYPQCLLCPKKGGAMKSTKTGTKWAHVSCALWIPEVSIACPERMEPITKI
+SHIPPSRWALVCSLCKLKTGACIQCSIKSCITAFHVTCAFEHSLEMKTILDKGDEVKFKS
+YCLKHSQSRQKLRESEYPLHRASEQSQAKSEKTSLRAQKLRELEEEFYSMVNVEDVATEL
+GLPMLIVDFIYNYWKLKRKSNFNKPLFPPKEEEENVLVQPREESIHTRMRMFMHLRQDLE
+RVRNLCYMISRREKMKLSYNKLQEQIFGLQVKLANEEVAAGLPVTNALENSLFYPPPRIT
+LKLKMPKSATEDGSNSSTEPDHGPLYPDDSSPACNMRSMQVAQDSLEMRMKSYPKHPQES
+QTDCLLTNPSDDRSEAEDPSPACKPPSPKFYHGQSLGKPLVLQAALHGQSSIGNGKNQPN
+PKFAKSNGLEGTWSGDVTQKDSSGEMFSDQEPMLSSHLGSQGSLRKSTVEHSSRSFKETT
+NKWVKITENLQRYVKAAKNINPKEQLWGKQLVRRSAGRAPYQENDGYCPDVELSDSEAES
+DGNDEKVRVKRESSDRENPPHDSKRDCHGKSKTYPVSHSSMQR
+>tr|A6H7A3|A6H7A3_BOVIN Sushi repeat-containing protein SRPX2 OS=Bos taurus OX=9913 GN=SRPX2 PE=2 SV=1
+MAIQLTRRGALSLLLFLTPAVMPTWYAGSGYYPDESYNEVYAEEVPQTPILDYKVPRWCY
+TLNIQDGEATCYSPRGGNYHSSLGTRCELSCDRGFRLIGRRSVQCLPSRRWSGTAYCRQM
+RCHALPFITSGTYTCTNGVLLDSRCDYSCSSGYHLEGDRSRICMEDGRWSGGEPVCVDID
+PPKIRCPHSREKMAEPEKLTARVYWDPPVVKDSADGTITRLTLRGPEPGSHFPEGEHVIR
+YTAYDRAYNRASCKFIVKVQVRRCPTLKPPLHGYLTCTSAGDNYGATCEYHCDGGYERQG
+TSSRVCQSSRQWSGSPPVCVPMKINVNVNSAAGLLDQFYEKQRLLIISAPDPSNRYYKMQ
+ISMLQQSTCGLDLRHVTIIELVGQPPQEVGRIREHQLSANIIEELRQFQHLTRSYFNMVL
+IDKQGIDRERYMEPVTPEEIFTFIDDYLLSNEELIQRREQRDICD
+>tr|A6QNV6|A6QNV6_BOVIN DNA polymerase kappa OS=Bos taurus OX=9913 GN=POLK PE=2 SV=1
+MGSTKEKSDGTKEKNDNYKDDLLLRMGLNDNKAGMEGLDKEKINKIIMEATKGSRFYGNE
+LKKEKQVNQRIENMMQQKAKITSEQLRKAQLQVDRFAVELEQSRDLNSTIVHIDMDAFYA
+AVEMRDNPELKDKPIAVGSMSMLSTSNYHARRFGVRAAMPGFIAKRLCPQLIIVPPNFDK
+YQAVSREVKEILTDYDPNFMAMSLDEAYLNITKHLEERKNWPEDKRKYFITAGNSLENDK
+PGKEVNELSEHEGSISPLLFEDSPPDLQSPGNPSQVNFEEPNNPQIHQNSIVFGTSAEEV
+VKEIRFRIEQKTTLTASAGIAPNTMLAKVCSDKNKPNGQYQILPNRQAVMDFIKDLPIRK
+VSGIGKVTEKMLKALGIITCTELYQQRALLSLLFSETSWHHFLHISLGLGSTHLARDGER
+KSMSVERTFSEISKAEEQYSLCQELCSELAQDLQKKGLKGRTVTIKLKNVNFEVKTRAST
+VSTVVSTAEEIFVIAKELLRTEIDADFPQPLRLRLMGVRLSGFPNEEEKKHQQRSIIGFL
+QPGNQALSATGCILEKTDKDQFLKPAQMSHKKSFFDKKRSERKASPQDTFTCETVNKQSL
+QTSQSFQVLNKKMSENLETEEKSHSCQTFTCPVCFREQGSVSLEAFNKHVDACLDGPSIS
+GNSKMSSCSHASSTEVNEKENVCDSVSLCEKQGYETHQKNTEITSVDPIELVETTGKPSN
+TEDTSALSNKPSEEEECSGLSSKLFNMDPQNSCTVSLENEDVGSLRRQESPQPNLYEVIS
+DQVLVCPVCNLEQKTSDLTLFNVHVDVCLNKGIIQELRKDKINPCNQPKESAKSTDNSGK
+VQKTGNKTKRPGLMTKYSASKKPKPNNPRHTLDVFFK
+>tr|A5PKC6|A5PKC6_BOVIN RNF12 protein OS=Bos taurus OX=9913 GN=RNF12 PE=2 SV=1
+MESSDSDDEEDRVSVRHRGQKDRLAREDDYFRFVSDLSEEDYILMRDNNLLGPLGESTEE
+ELRQRLQLMKENLPQNSDENTDRGDASDNESSENSLLDWLTTFGQTENVTSEQKENQSWR
+EESEISANSDELRFGLESNLECDDENSNPENEYVASAELPRREDKEDSQRQVENPHSESL
+FTRPFTSEHDTMETLMEVPPTRSQRRLRSWSPVSRRTRARTDNWSPPHSLWEIFQRINED
+TPSQTFKQPLISENDNFSRTGHEETLRQQMTGHELQNRGLIETSVTSNAVHGECYSDTTC
+SSESWEVRETNPTTPFNLAVEQVHCPACSQRDSRISRTQLTSDSPNNTTTSESEQEELKP
+LSSDSDEADESAYVNTIRNPVHRILNTSLSDTMSVPTQTLFYQTMTGFSNSSNLMDSDSN
+LEYSISPPSENMERAELPNERHGPSGSDSVPGSAPNASYNFDSNLILVSVSSFYYISTSI
+TTIFSPISNFSSSDEDSEASTLMTFEDSEERSSSTGLSETSQEGEEMTPVTSDDSDSGSS
+LNLDQFLLLNQANQTRGLTKLQIDNLPLRFFEEKDAAKICTICITEYTAGNMLRVLPCSH
+EYHYQCIDQWLEEHSNCPICRGPVVDHFEADNSM
+>tr|A7MAZ8|A7MAZ8_BOVIN TCF7 protein OS=Bos taurus OX=9913 GN=TCF7 PE=2 SV=1
+MPQLDSGGGGAGGGDDLGAPDELLAFQDEGEEQDDKSRDSAAGPERDLAELKSSLVNESE
+GAAGDAGVPGAGAGARGEAEVGAEALGREHTSQRLFPDKLPESLEDGLKAPECTSGMYKD
+TVYSAFNLLMHYPPPSGAGQHPQPQPPLHNKASQPSHGVPQLSPLYDHFSSPHPTPAPAD
+ISQKQGVHRPLQTPDLSGFYSLTSGSMGQLPHTVSWPSPPLYPLSPSCGYRQHFPAPTAA
+PGAPYPRFTHPPLMLGSGVPGHPAAIPHPAIVPSSGKQELQPYDRSLKAQADSKAEKEAK
+KPTIKKPLNAFMLYMKEMRAKVIAECTLKESAAINQILGRRWHALSREEQAKYYELARKE
+RQLHMQLYPGWSARDNYGKKKRRSREKHQESNSGGKRNAFGTYPEKAAAPAPFLPMTVL
+>tr|A8WFF6|A8WFF6_BOVIN IKAROS family zinc finger 2 OS=Bos taurus OX=9913 GN=IKZF2 PE=2 SV=1
+METEAIDGYITCDNELSPEREHSNMAIDLTSSTPNGQHASPSHMTSSKSSFYDFFFPISL
+VIDLNLLGL
+>tr|E1BER4|E1BER4_BOVIN EF-hand domain-containing protein OS=Bos taurus OX=9913 GN=CRACR2A PE=4 SV=3
+MATIDGRMVSRPRGSGRGRQGSGACLGSLDDLPCEGTQELAATQLAMLRRAQEFFQTCDK
+EGKGFIARADMQRLHKELPLSLEDLEDVFDTLDADGNGFLTPEEFTTGFSHFFFSQNNPS
+QEDAGAQPAQLQEEKVYQSRGDEDLGDMDEDEEAQFQTLMDRLGAQKVLEDEADVKQLWL
+QLKKDEPHLLSNFEDFLTRIFSQLLEAHEEKNELECALKKKIAAYDEEIQHLYEEMEQQI
+KTEKEQFLLKDTERFRARSQELERKLLSKEQELEQLVQKQKRLEGQCTALHNDKHETKAE
+NTKLRLTNQELARELERTSRELQDAQQQLESLRQEAHKLHQEKEMEVYRVTESLQREKSG
+LLKQLDFLRERNKHLRDEQDIRFQKDKAARANTAAPTARWKQRSGSVIGKYVDGTGMLRS
+QSEEEEDVFGIQRRRSSLGLSGCPLTEEEPGPGGPLTQPLRRIISIEEDPLPQLLGGGFQ
+QPLSKCLEEKEASGQGVNEQSGPARPLGLTPTSPRGQPVGKEALSEEEGFPSTPDRLFKI
+VFVGNSSVGKTSFLGRFCDGRFSPGSAATVGIDYRVKTVRVDDSRVAVQLWDTAGQERYR
+CITQQFFRKADGVVVMYDLTARQSFLDVRQWLSSVEEAVGDRIPVLLLANKIDNEKEREV
+PRGLGEQLAKEHDLIFYECSAYSGHNTEEPVLHLARILKEQEDTVREDTIQVDRPAKKKA
+CCG
+>tr|Q1LZ85|Q1LZ85_BOVIN Carbohydrate sulfotransferase OS=Bos taurus OX=9913 GN=CHST9 PE=2 SV=1
+MQPSELVMNPKQVFLSVLLFGVAGLLLFMYLQVCIEEQHPGWEPVNYLRSTPRIMTREKI
+QEHITNQNPKSHMLKDPKDKLANLLNSKSPTRVFTETNHSQGEAPALSRNPGSPTIQLIE
+KQQGTKILFRKFSETNWSVDIQPLNKSLVTDSKWKRIDATQEKRRSFLQEFCKKYGGVSR
+PQSHVFHMVSRIYVEDKHKILYCEVPKAGCSNWKRILMVLNGLAPSAYNISHDAVHYGKH
+LKKLDSFDLKGIYSRLNTYTKAVFVRDPMERLVSAFRDKFEHPNSYYHPVFGKAIIKKYR
+PNACEEALNNGSGVKFREFIHYLLDSHRPVGMDIHWEKVSKLCYPCLIHYDFVGKFETLE
+EDANYFLQLIGAPKELKFPNFKDRHSSDKRTNAQVVGQYLKDLTRTERQLIYDFYYLDYL
+MFNYTTPFL
+>tr|Q08DB3|Q08DB3_BOVIN TROAP protein OS=Bos taurus OX=9913 GN=TROAP PE=2 SV=1
+MTTLQAPKDPLLRGVSPTPSKIPVRSQRRPPLPTVKPSALDQENQDPKRLGQKLSIQRPL
+ADSAGPRLKATRQTEQSEKLVGSTQLRNPLEELRPSHGGQNVGPRPPPQTEAPGTIEFVA
+DPAALATILSGEGVKSCRLGRQPSLAQRVLVRGTQGGTIRKGQDARASAYLAPRTPTHRL
+DPVRASCFSRLEGPGPRGRTLCPQRLEALIPPSGSSSHPSALPCFQELRRETSGSSKTSV
+SQASGSLPETSVQPASSLPEGEHEVVTQSDEGGGGPLGLAQRIPLKETRDKTHTRDGCDS
+CLMPSPGQAVPPAITRPSPFGRAQRVPSPGPSAPISYSVLRRLATRPRTQFTPLPSASRV
+QQARGLSGLSPQPCPEEPALPWEQIAVRLFDQESGIRLQEGPGKPPVSTSYGPHPSRTPN
+LQELKMQRISILQQLLRQEVEGLAEGKCAPLNESSSLDMVELQPLLAEISRTLNAPEKIP
+GAFHLSGLLQHPELPKPYFPEECGKPDPCPRAEPEIAPPCPPAEQGPPESCHGRGPEKPE
+LSTQEQPEASGPSPPAEPGPLQACPQGQIGLPEPSTRVDPGVSEACSLELRNPESSPRPR
+TSQWAPATTSLTFSSQRPLCASPPIRSLQSLKPSPGPAGASHPAPRTMALRQRLKACLTA
+IHGFHEACLDDECAFYTSRAPPSGLTRVCTNPVAMLLEWQDALCFIPVGDAAPQDSPS
+>tr|A6QR07|A6QR07_BOVIN AGK protein OS=Bos taurus OX=9913 GN=AGK PE=2 SV=1
+MTVFFKTLRNHWKKTTAGICLLTWGGHWVYGKHCDNLLRRAACQEAQVFGNQLIPPNAQV
+KKATVFLNPAACKGKARTLFEKNAAPILHLSGMDVTIVKTDYEGQAKKLLELMENTDVII
+VAGGDGTLQEVITGVLRREDEATFSKIPIGFIPLGQTSSLSQTLFAESGNKVQRITDATL
+AIVKGETVPLDVLQIKGEKEQPVFALTGLRWGSFRDAGVSVSRYWYLGPLKTKAAHFFST
+LKEWPQTHQASISYTGPTERPPSGAEETPPRPSLYRRILRRLASYWAQPQGALQEVNPEV
+WKEVQLSTLELSITTRNSQLDLASKEDFMNICMEPNTVSKGDFITIGSKKVRDPKLHVEG
+TECLQASRCTLLLPEGTGGAFSIDSEEYEAMPVEVKLLPRKLQFFCDPRKREQLLQSPAQ
+>tr|Q0P5I1|Q0P5I1_BOVIN Cytochrome P450, family 2, subfamily R, polypeptide 1 OS=Bos taurus OX=9913 GN=CYP2R1 PE=2 SV=1
+MWEPHSAEAFVAALGGVFFLLLFALGVRQLLKQRRPSGFPPGPSGLPFIGNIYSLAASAE
+LPHVYMKKQSQVYGEIFSLDLGGISAVVLNGYDVVKECLVHQSEIFADRPCLPLFMKMTK
+MGGQVQKEIDLIIGPSGKPSWDEKCKMPYTEAVLHEVLRFCNIVPLGIFHATSEDAVVRG
+YSIPKGTTVITNLYSVHFDEKYWRDPEIFYPERFLDSSGHFAKKEALIPFSLGRRHCLGE
+QLARMEMFLFFTALLQRFHLHFPHELVPNLKPRLGMTLQPQPYLICAERR
+>tr|Q5DPW9|Q5DPW9_BOVIN Cystatin E/M OS=Bos taurus OX=9913 GN=CST6 PE=3 SV=1
+MARPSLLLPMALALLALCLLALPRDARARPGDRKVGELQELSPNDPQVQKAAQVAVANYN
+MGSNSDYYYRDITILRAHSQLVAGIKYYLTVDMGSTACRKSAVAGDHVDLTTCPLAAEAQ
+QEKLRCDFEILVVPWKNSSQLLKHDCVSL
+>tr|A3KN04|A3KN04_BOVIN Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit 1 OS=Bos taurus OX=9913 GN=RPN1 PE=1 SV=1
+MEAPAARLLPPLLLLLSACAPAPGRASSDAPPLVNEDVKRTVDLSSHLAKVTAEVVLAHA
+GSGSSPRAASFLLALEPELEARLAHLGVQVKGEDEEENNLEVRETSIKGQSGRFFTVKLP
+VALDPGAKISVIVEAVYTHVLQPYPTQITQSEKQFVVFEGNHYFYSPYPTKTQTMRVKLA
+SRNVESYTKLGNPTRSEDLLDYGPFRDIPAYSQDTFKVHSENNSPFLTITSMTRVIEVSH
+WGNIAVEENVDLKHTGAVLKGPFSRYDYQRQPDSGVSSVRSFKTILPAAAQDVYYRDEIG
+NVSTSHLLILDDSVEMEIRPRFPLFGGWKTHYIVGYNLPSYEYLYNLGDQYALKMRLVDH
+VFDEQVIDSLTVKIILPEGAKNIQVDSPYEISRGPDELHYTYLDTFGRPVIVAHKENLVE
+QHIQDIVVHYTFNKVLMLQEPLLVVATFYILFFTVIVYVRLDFSITKDPAAEARMKVACI
+TEQVLTLVNKRLGLYRHFDETVNRYKQSRDVSTLNSGKKSLETEHKALTSGLALLQSRLK
+TEGSDLCDKVSEMQRLDAQVKELVLKAAVEAERLVAGKLKKDTYIENEKLISGKRQELVG
+KIDHILDAL
+>tr|Q0VBZ3|Q0VBZ3_BOVIN Synaptophysin-like 2 OS=Bos taurus OX=9913 GN=SYPL2 PE=2 SV=1
+MSSTESSSRTADKSPRQQVDRLLVGLRWRRLEEPLGFIKVLQWLFAIFAFGSCGSYSGET
+GATVRCNNEAKDVSAIIVSFGYPFRLNRVQYEMPLCDDESTSKTMHLMGDFSAPAEFFVT
+LGIFSFFYTIAALVIYLRFHKLYTENRRFPLVDFCVTVSFTFFWLVAAAAWGKGLTDVKG
+ATRPSSLTAAMSVCHGEEAVCSAGATPSMGLANISVLFGFINFFLWAGNCWFVFKETPWH
+GQGQDQGQGTSPESAAEQGAVEKQ
+>tr|Q32LI7|Q32LI7_BOVIN Testis expressed 33 OS=Bos taurus OX=9913 GN=TEX33 PE=2 SV=1
+MELGHRPGTTTLTRAHPNNNKEGQQDTDPWRAAHSPLDTSKLKYQAPVSVKPSLYRGDSP
+RSSSLGRASLEEIPPPPPSAVSRGSLWRDSDPESLKKGSFRPSSRESRASLREGAQPCQA
+PKEDPNAGAQGQSGSSIPNNIRHKFGSNVVDQLVSEEQARKAIGEALEGQKRTSSWPSRI
+QSPTEITSIFSDYYDLGYNMRSNLFQGAPQETKSLMKASYTPEVIEKSVRDLEHWHGRKT
+DDLGRWHQKNAMNMNLQKALDEKEKSKNKNSK
+>tr|F1MML3|F1MML3_BOVIN BCL6 corepressor like 1 OS=Bos taurus OX=9913 GN=BCORL1 PE=4 SV=2
+MISTAPLYSGVHNWTSSDRIRMCGINEERRAPLSDEESTTGDCQRFGSQEFCVSSFSKVE
+LTTVGSGSNARGADPDGSATEKLGPKSEDRPDDAQPQMDYTGSVAETGGPLVSLSSPGDG
+LKLSAPDGPEAGNDTADCSWTPLSSQMSKQVDCSPAGAKALDSRHGVGEKNTFILATLGT
+GVPVEGTLPLVTTNFSPLPAPICPPAPSSASVPPSVPDPFQVPLSVPAPVPHSGLVPVQV
+AASVPAPSPPLAPVPALAPAPPSVPTLISDSNPLSVSASVLVPVPASAPPSGPVPMSAPA
+PTPLSVPVSAPPLALIQAPVPPSAPTLVLAPVPTPVLAPMPASTPPAAPAPPAVPMPTPT
+PSSGPPSTPTLIPAFAPTPVPAPTPAPIFTPAPTPMPPATPTAIPTSAPIPASFSLSRVC
+FPAAQAPAMQKVPLSFQPGTVLTPSQPLVYIPPPSCGQPLSVATLPTTLGVSSTLTLPVL
+PSYLQDRCLPGVLASPELRSYPYAFSVARPLTSESKLVSLEVNRLPCASPSGSTSTQPAP
+DGVPGPLADTSLSTASAKVLPPPQPLLPAPSGSSAPPHPTKMPGSVEQQTEGTSVTFSPL
+KSPPQLEREMASPPECSEMPLDLSSKSNRQKLPLPNQRKTPPMPVLTPVHTSSKALLSTV
+LSRSQRTTQAAGSSVTSCLGSTSSPFVIFPEIVRNGDPSTWVKNSTALISTIPGTYVGVA
+NPVPASLLLNKDPNLGLTRDPRHLPKQEPISIIDQGEPKGTGAPCGKKSSQAGTEGPPST
+VKRYTPARIAPGLPGCQSKELSLWKPTGPANIYPRCSVNGKPTSTQVLPVGWSPYHQASL
+LSIGISSAGQLTPSQGVPIRPTSVVSEFSGVPSLGPSEAMHGLPEGQPPRPGGPFAPEQD
+TGTKNKTCRIAAKPYEEQVNPVLLTLSPQTGTLALSVQPSSGDLRVTQGPGEPESHLCPD
+STPKLEGPQGACGLKLAGETKPKNQVLATYMSHELVLATPQNLHKMPELPLLPHDSRPKE
+LILDVVPSSARAASTELPQLGSQVDLGRVKMEKVDGDVVFNLATCFRADGLPAATPRGQV
+EVRSKAGQARVKQESIGVFACKNKWQPDSVVSDGASESPPPKKMKCGKEKDGEEQQPQAK
+VLVRSSHGPKCRKPPSDPQEPTKKSPRGAPDSGKEHNGVRVKHKHRKPTKPESQSPGKRA
+DGHEEGSLEKKAKSSFRDFIPVVLSTRTRSQSGSICSSFAGMADSDMGCQEVFPTEEEEE
+VTPTPAKRRKVRKTQRDTQYRSHHAQDKTLLSQGRRHLWRAREMPWRTEAARQMWDTNEE
+EEEDEEEGLVKRKKRRRQKSRKYQTGEYLTEQEEEQRRKGRADLKARKQKTSSQSPEHRL
+RNRNLLLPNKAQGISDSPNGFLPNNLEEPACLENSEKPSGKRKCKTKHMVTVSEDAKSKG
+RWSQQKTRPPKSPTPAKPTEPCTPSKSRSAGPEEASESPTARQIPPEARRLIVNKNAGET
+LLQRAARLGYKDVVLYCLQKDSEDVNHRDNAGYTALHEACSRGWTDILNILLEHGANVNC
+SAQDGTRPVHDAVVNDNLETIWLLLSYGADPTLATYSGQTAMKLASSDTMKRFLSDHLSD
+LQGRAEGDPGVSWDFYSSSVLEEKDGFACDLLHNPPGSSDQEGDDVEEDNFMFELSDKPL
+LPCYNLQVSVSRGPCNWFLFTDVLKRLKLSSRIFQARFPHFEIATLPKAEFYRQVASSQL
+LTPAERPGGVDGTSAPGSSETVELVRYEVELLRLLGSEVEFQPWNS
+>tr|D3JUI8|D3JUI8_BOVIN RNA-binding motif protein, X chromosome OS=Bos taurus OX=9913 GN=RBMX PE=1 SV=1
+MVEADRPGKLFIGGLNTETNEKALEAVFGKYGRIVEVLLMKDRETNKSRGFAFVTFESPA
+DAKDAARDMNGKSLDGKAIKVEQATKPSFESGRRGPPPPPRSRGPPRGLRGGRGGSGGTR
+GPPSHGGHMDDGGYSMNFNMSSSRGPLPVKRGPPPRSGGPPPKRSAPSGPVRSSSGMGGR
+APVSRGRDSYGGPPRREPLPSRRDVYLSPRDDGYSTKDSYSSRDYPSSRDTRDYAPPPRD
+YTYRDYGHSSSRDDYPSRGYSDRDGYGRDRDYSDHPSGGSYRDSYESYGNSRSAPPTRGP
+PPSYGGSSRYDDYSSSRDGYGGSRDSYSSSRSDLYSSGRDRVGRQERGLPPSMERGYPPP
+RDSYSSSSRGAPRGGGRGGSRSDRGGGRSRY
+>tr|Q0P5E9|Q0P5E9_BOVIN G-patch domain and ankyrin repeats 1 OS=Bos taurus OX=9913 GN=GPANK1 PE=2 SV=1
+MSGSSLINFTPATDPSDLWKDGQLQSQPEELEPTLDGAAARAFYEALIEDESSTPETQRA
+QPGPASKRKRKKRRTMRETAAGASGGHGQRRSLEAKDKMTQQILRAAQEGDLAKLKRLLD
+PHEAGGAGGNINARDAFWWTPLMCAARAGQRAAVRYLLGRGAAWVGVCELGGRDAAQLAE
+EAGFPEVARMVRESPGETRNPENRSRSPSPKYCETCDGHFQDSNHCTSTAHLLSLSRDLR
+PPILPLGVPTSSPGFKLLLRGGWEPGMGLGPRGEGRANPIPTVLKRDQEGLGYGSAPQPR
+VTHFPARDTRAVAGRERAPRVTTLNRKEERRQEEKDKAWERDLRTYMNLEF
+>tr|A4IFU0|A4IFU0_BOVIN PRG3 protein OS=Bos taurus OX=9913 GN=PRG3 PE=2 SV=1
+MKGCLLLLLLLLGTVSALYLEKDVPHLGDLETQADLSQDSEGSGGQEGELALSGEVLESG
+REEAVDTHDDEGASDPDDLDEDVQCPKEEETVQLLGSPECKSCRYRLVGTPRRFRKAQRV
+CRKCYRGNLASIHNFNVNLIIHRLSTTTNYGQVWIGGFIRGRLRCRRFFWTDGSYWNFAF
+WAAGQPRFGRGRCVALCTRGGHWRRASCKRRLPFVCSY
+>tr|Q3SZA4|Q3SZA4_BOVIN Solute carrier family 25 (Carnitine/acylcarnitine translocase), member 20 OS=Bos taurus OX=9913 GN=SLC25A20 PE=2 SV=1
+MADEVKPISPLKNLLAGGFGGMCLVFVGHPLDTVKVRLQTQPPSLPGQPPMYSGTFDCFR
+KTLMREGIRGLYRGMAAPIVGVTPMFAVCFFGFGLGKKLQQKHPEDVLSYPQIFAAGMLS
+GVFTTGIMTPGERIKCLLQIQASSGETKYTGPLDCAKKLYKEAGVRGIYKGTVLTLMRDV
+PASGMYFMTYEWLKNIFTPEGKSVNELSVPRILVAGGFAGIFNWAVAIPPDVLKSRFQTA
+PPGKYPNGFKDVLRELIRDEGITSLYKGFNAVMIRAFPANAACFLGFEVAMKFLNWAVPN
+L
+>tr|Q2NKT0|Q2NKT0_BOVIN Hepcidin antimicrobial peptide OS=Bos taurus OX=9913 GN=HAMP PE=2 SV=1
+MALNTQIRATCLLLLVLLSLTSGSVLPPQTRQLTDLQTKDTAGAAAGLTPVLQRRRRDTH
+FPICIFCCGCCRKGTCGMCCRT
+>tr|A0A3Q1N4Q6|A0A3Q1N4Q6_BOVIN TNF receptor superfamily member 19 OS=Bos taurus OX=9913 GN=TNFRSF19 PE=4 SV=1
+MASNVLLRQQKSFTVVVLLACLACGVICETGDCGQQEFRDQSGSCVLCKQCGPGMELSKE
+CGFGYGEDAQCVKCRPHRFKEDWGSQKCKPCLDCALLSRFQKANCSATGDAVCGDCLPGF
+YRKTKLVGFQDMECVPCGDPPPPYEPHCTSKVNLVRIPSTASSPRDTALAAVICSALATV
+LLALLVLCVIYCKRQFVEKKPSWSLRAQDLQYNGSELSCFDRPRLGAPAPRACCQCLRDS
+AQACGPVHLVPSRCCGGACGIGRDTPGCQGHCKATLQDRNTGPAGEAIPTFFGSLSRSLC
+GEFSDAWPLMQNPGGGDDVSSCDSCPELPGEDAHSLDPESTSLASLDSDSSRGLVGGVGP
+VLAHPETFTDTTDLSKLTDTLPELVRARVVLTAGNQLDQGRGDAPHLTTQPPAQGDERTC
+FFLQ
+>tr|Q2KJI4|Q2KJI4_BOVIN Ectonucleoside triphosphate diphosphohydrolase 6 (Putative function) OS=Bos taurus OX=9913 GN=ENTPD6 PE=2 SV=1
+MRKIPNNGNLRMTKVAYLLGLFVCLFIYVAYIKWHWASATQAFLGIPEAATGARRGQQAL
+SPPGPAAHGPEVAYGIMFDAGSTGTRVHVFQFSRQPGETPTLTHETFKALKPGLSAYADD
+VEKSAPGIQELLDVAKQDIPFDFWKATPLVLKATAGLRLLPGEKAQKLLRKVKEVFEASP
+FLVGDDCVSIMNGTDEGVSAWITVNFLTGSLKTPGRGSVGMLDLGGGSTQITFLPRLEGT
+LQTSPPSFLTSLQVFNRTYRLYSYSYLGLGLMSARLAVLGGEEGKPAEDGQELVSPCLSA
+GFRGEWEHAEVTYRIAGQEAAGSLYQLCARRVSEILRNKVHRTEEVKDVEFYAFSYYYDL
+AANVGLIDAEKGGSLVVEDFEIAAKYVCRTAETRPPPSPFLCLDLTYISLLLQELGFPGD
+KVLKLTRKIDNVETSWALGATFHYIDSLSRHKNPAS
+>tr|A0A3Q1M959|A0A3Q1M959_BOVIN PHD finger protein 21A OS=Bos taurus OX=9913 GN=PHF21A PE=4 SV=1
+MELQTLQEALKVEIQVHQKLVAQMKQDPQNADLKKQLHELQAKITALSEKQKRVVEQLRK
+NLIVKQEQPDKFQIQPLPQSENKLQTAQQQPLQQLQQQQHHHHHAQAAASSPGLTAPQKT
+VTTASMITTKTLPLVLKAATATMPASVVGQRPTIAMVTAINSQKAVLSTDVQNTPVNLQT
+SSKVTGPGAEAVQIVAKNTVTLQVQATPPQPIKVPQFIPPPRLTPRPNFLPQVRPKPVAQ
+NNIPIAPAPPPMLAAPQLIQRPVMLTKFTPTTLPTSQNSIHPVRVVNGQTATIAKTFPMA
+QLTSIVIATPGTRLAGPQTVQLSKPSLEKQTVKSHTETDEKQTESRTVTPPAAPKPKREE
+NPQKLAFMVSLGLVTHDHLEEIQSKRQERKRRTTANPVYSGAVFEPERKKSAVTYLNSTM
+HPGTRKRGRPPKYNAVLGFGALTPTSPPSSHPDSPENEKTETTFTFPASVQPVSLPSPTS
+TDGDIHEDFCSVCRKSGQLLMCDTCSRVYHLDCLDPPLKTIPKGMWICPRCQDQMLKKEE
+AIPWPGTLAIVHSYIAYKAAKEEEKQKLLKWSSDLKQEREQLEQKVKQLSSSISKCMEMK
+NTILARQKEMHSSLEKVKQLIRLIHGIDLSKPVDSEATVGALSNGPDCTPPANASTSPAF
+LTGSCPKPRGT
+>tr|A2VE85|A2VE85_BOVIN Paired related homeobox 1 OS=Bos taurus OX=9913 GN=PRRX1 PE=2 SV=1
+MTSSYGHVLERQPALGGRLDSPSNLDTLQAKKNFSVSHLLDLEEAGDMVAAQADESVGEA
+GRSLLESPGLTSGSDTPQQDNDQLNSEEKKKRKQRRNRTTFNSSQLQALERVFERTHYPD
+AFVREDLARRVNLTEARVQVWFQNRRAKFRRNERAMLANKNASLLKSYSGDVTAVEQPIV
+PRPAPRPTDYLSWGTASPYSAMATYSATCANNNPAQGINMANSIANLRLKAKEYSLQRNQ
+VPTVN
+>tr|A0A3Q1MK71|A0A3Q1MK71_BOVIN Homeobox protein cut-like OS=Bos taurus OX=9913 GN=CUX2 PE=3 SV=1
+MVAPVLKSFQAEVVALSKRSQEAEAAFLSVYKQLIEAPDPVPVFEVARSPDDRLQPPSFD
+PSGPLRREFHASWKRHPELVGTKEQREGTSPAGPTLTEASRLPGIPSKALLTETLLQRNE
+AEKQKGLQEVQITLAARLGEAEEKIKVLHSALKATQTELLELRRKYDEEAASKADEVGLI
+MTNLEKANQRAEAAQREVESLREQLASVNSSIRLACCSPQGPSGDKVNFALCSGPRLEAA
+LASKDREILRLLKDVQHLQSSLQELEETSAHQIADLERQLTAKSEAIEKLEEKLQAQSDY
+EEIKTELSILKAMKLASNTCSLPQPCFLSPQGMAKPEDSLLLAKEAFFPAQKFLLEKPGL
+LASPEEDPSEDDSIKDSLGTEQSYPSPPQLPPPPGPEDPLSPSPGQPLLGPSLGPDGPRT
+FSLSPFPSLASAERLTGDTLLSKHMMPPTAFKGEAGGLLVFPPAFYGAKPPTAPATPAPG
+PEPPGGPEPAEGGGGGMATAGAGTEEEQLDTAEIAFQVKEQLLKHNIGQRVFGHYVLGLS
+QGSVSEILARPKPWRKLTVKGKEPFIKMKQFLSDEQNVLALRTIQVRQRGSITPRIRTPE
+TGSDDAIKSILEQAKKEIESQKGGEPKNSAAPLSITNGTAPASTTSEDAIKNILEQARRE
+MQAQQQALLEMEAGARGRSVPPSPPERPSLAAMSQNGAPTLVKQEDSGVGSGGTSSSGTQ
+TSLTVLSPAAFVQSIIRKVKSEIGDAGYFDHHWASDRGLLSRPYASVSPSLSSSSSYSGQ
+PNGRAWPRGDEAPAVPEDEAAGSEDEPPRVGELKAEGGGPEGGGGGRLAYYPAYVPRTLK
+PTVPPLTPEQYELYMYREVDTLELTRQVKEKLAKNGICQRIFGEKVLGLSQGSVSDMLSR
+PKPWSKLTQKGREPFIRMQLWLSDQLGQAVGQQPSASQVSPTEPRSSPSPPPSPTEPLEK
+SSQEPLSLTLESSKENQQPEGRSSSSLSGKIYSGSQTTGGIQEIVAMSPELDTYSITKRV
+KEVLTDNNLGQRLFGESILGLTQGSVSDLLSRPKPWHKLSLKGREPFVRMQLWLNDPHNV
+EKLRDMKKLEKKAYLKRRYGLISTGSDSESPATRSECPSPCLQPQDLSLLQIKKPRVVLA
+PEEKEALRKAYQLEPYPSQQTIELLSFQLNLKTNTVINWFHNYRSRMRREMLVEGTQEEP
+DLDPSGGPGILPPGHSHPDPTPQSPDSEAEDQKPTVKELKLQEAPEESVTHLTSQDKTPV
+RIKQEQTEEAEEQAGSQDSKEPDKGQGSPQEVHPDPLSDDGLPKAAPGLLLPGGTTPACP
+SLHPLPESEGGERLHPDPLSFKSASESSRCSLEVSLNSPSAASSPGLMMSVSPVPSSSAP
+ISPSPPSTLPAKVPSASPTADTTGALHPSTKVNPNLQRRHEKMANLNSIIYRLERAANRE
+EALEWEF
+>tr|E1BG78|E1BG78_BOVIN R3H domain and coiled-coil containing 1 like OS=Bos taurus OX=9913 GN=R3HCC1L PE=4 SV=3
+MQQEAERCRVRARRPDMALYVPKARRGIVLLKSGDEGRSCGPPNSVAKGEQREDSLSQKE
+IFRDKCETPRLSINSDKKEHNRREGKKSSTKFKKDTCLQERKKDRVCTKRATAESKEVLS
+QGHQQRVLNTGVVPSIPLQRHFKPKKVECLEVETRDVVGHDGLLLSQSCSEISKAWVPNK
+PSTNVEISDIKRNELNGEIFEDTNLENRMETDAKIMKILSQFPGDFNSVVKPESVISPVK
+QNSDSGIVQRGMQTLGGMLKHSNGAITTDSVPGSSDGIIGQTHIDLDAENVGDTANRTDS
+VSSQKGMDSIPETVGHLSHQMTMSSKLESANDISDPTTIRECEENDNTADELCVKHESSD
+TAALAHETCTDNESESVCDITSKTCTMDITHTISDQITVGSPCVVEVRVADEACSNTGSF
+SDCLEMNADTALLHAAKSRNDTENFSDLTACSDVYAESISSSFTESTGKLIESLSDCASS
+LPIKKITGSNCNTFLDSEPSMLNGTNVFSDGALGSDLDGTGDITEALHELKTAEEFKTKE
+DGDSENVEFGVSFPDIESVSKETSVEPKATDISHTERSATTEESWESMFNDDGECLDPRL
+LQELSGNMKNRESIQEPRFDYYNHEVPDIDLSDCEFPHVIEIYDFPQEFRTEDLLRIFCT
+YQKKGFDIKWVDDTHALGVFSSPITARDALGSKHTMVKIRPLSQATRAAKAKARAYAEFL
+QPAKERPETSAALARRLVISALGVRSKQSKTEREAELKKLREARERKRLEARQREAIWEG
+RDQSAV
+>tr|A2VDW1|A2VDW1_BOVIN Leucine rich repeat and fibronectin type III domain containing 5 OS=Bos taurus OX=9913 GN=LRFN5 PE=2 SV=1
+MEKFLFYLFFIGIAVKAQICPKRCVCQILSPNLATLCAKKGLLFVPPNIDRRTVELRLAD
+NFVTNIKRKDFANMTSLVDLTLSRNTISFITPHAFADLRNLRALHLNSNRLTKITNDMFS
+GLSNLHHLILNNNQLTLISSTAFDDVFALEELDLSYNNLETIPWDAVEKMVSLHTLSLDH
+NMIDNIPKGTFSHLHKMTRLDVTSNKLQKLPPDPLFQRAQVLATSGIISPSTFALSFGGN
+PLHCNCELLWLRRLSREDDLETCASPALLTGRYFWSIPEEEFLCEPPLITRHTHEMRVLE
+GQRATLRCKARGDPEPAIHWISPEGKLISNATRSLVYENGTLDILITTVKDTGAFTCIAS
+NPAGEATQTVDLHIIKLPHLLNSTNHIHEPDPGSSDISTSTKSGSNASSSNGDTKMSQDK
+IVVAEATSSTALLKFNFQRNIPGIRMFQIQYNGTYDDTLVYRGRS
+>tr|A4IF77|A4IF77_BOVIN Atypical chemokine receptor 3 OS=Bos taurus OX=9913 GN=ACKR3 PE=2 SV=1
+MDLHLLDYSEPGNFSDISWPCNGSDCIAVDTLQCGHIPNKSVLLYTLSFVYIFIFVIGMI
+ANSVVVWVNIQAKTTGYDTHCYILNLAIADLWVVVTIPVWVVSLVQHNQWPMGELTCKVT
+HLIFSINLFGSIFFLTCMSVDRYLSVAYFASTSGRKKRLVRRAVCVLVWLLAFGVSLPDT
+YYLKTVTSASNNETYCRAFYPEHSVKEWLISMELVSVILGFAIPFCIIAVFYFLLARAIA
+SSSDQEKQSSRKIILSYVVVFLVCWLPYHLVVLLDIFSILHYIPFTCQLEAFLFTALHVT
+QCLSLVHCCVNPVLYSFINRNYRYELMKAFIFKYSAKTGLTKLIDASHVSETEYSALEQN
+AK
+>tr|F1MS29|F1MS29_BOVIN Protein tyrosine kinase 2 OS=Bos taurus OX=9913 GN=PTK2 PE=3 SV=3
+MAAAYLDPNLNHTPSSSTKTHLGTGVERSPGAMERVLKVFHYFESNSEPTTWASIIRHGD
+ATDVRGIIQKIVDSHKVKHVACYGFRLSHLRSEEVHWLHLDMGVSNVREKYELAHPPEEW
+KYELRIRYLPKGFLNQFTEDKPTLNFFYQQVKSDYMLEIADQVDQDIALKLGCLEIRRSY
+WEMRGNALEKKSNYEVLEKDVGLKRFFPRSLLDSVKAKTLRKLIQQTFRQFANLNREESI
+LKFFEILSPVYRFDKECFKCALGSSWIISVELAIGPEEGISYLTDKGCNPTHLADFNQVQ
+TIQYSNSEDKDRKGTLQLKIAGAPEPLTVTAPSLTIAENMADLIDGYCRLVHGATQSFII
+RPQKEGERALPSIPKLANSEKQGVRTHAVSVSETDDYAEIIDEEDTYTMPSKSYGIDEAR
+DYEIQRERIELGRCIGEGQFGDVHQGTYTSPENPALAVAIKTCKNCTSDSVREKFLQEAL
+TMRQFDHPHIVKLIGVITENPVWIIMELCTLGELRSFLQVRKYSLDLASLILYAYQLSTA
+LAYLESKRFVHRDIAARNVLVSSNDCVKLGDFGLSRYMEDSTYYKASKGKLPIKWMAPES
+INFRRFTSASDVWMFGVCMWEILMHGVKPFQGVKNNDVIGRIENGERLPMPPNCPPTLYS
+LMTKCWAYDPSRRPRFTELKAQLSTILEEEKVQQEERMRMESRRQVTASWDSGGSDEAPP
+KPSRPGYPSPRSSEGFYPSPQHTVQTNHYQVSGYPGPHGVTAMAGSIYPGQASLLDQTDS
+WNHRPQEISMWQPSVEDSAALDLRGLGQALPTHLMEERLIRQQQEMEEDQRWLEKEERFL
+KPDVRLSRGSIDREDGGPQGLTGNQHIYQPVGKPDPAAPPKKPPRPGAPGHLGSLASLGS
+PGDSYNEGVKLQPQEISPPPTANLDRSNDRVYENVTGLVRAVIEMSSKIQPAPPEEYVPM
+VKEVGLALRTLLATVDETIPVLPASTHREIEMAQKLLNSDLGELINKMKLAQQYVMTSLQ
+QEYKKQMLTAAHALAVDAKNLLDVIDQARLKALGQPRPH
+>tr|F6PXE3|F6PXE3_BOVIN Caveolae associated protein 2 OS=Bos taurus OX=9913 GN=CAVIN2 PE=1 SV=1
+MQPEKAASSSPVPSSTPSPSLHLGSTEEAIRDNSQVNAVTVLTLLDKLVNMLDAVQENQH
+KMEQRQISLEGSVKGIQNDLTKLSKYQASTSNTVSKLLEKSRKVSAHTRAVKERMERQSA
+QVKRLENNHAQLLRRNHFKVLIFQEENEIPASVFAKEPVSSPGEEKEGEHADENKSLEET
+LHTVDLSSDDELPHDEEALEDSAEEKMEESRAERIKRSSLRKVDSLKKAFSRQNIEKKMN
+KLGTKIVSVERREKIKKSLTSNHQKISSGKSSPFKVSPLTFGRKKVREGESPAENETKSE
+DMPSSEMANDYEESSFAEGLSEASLTSALVEGKSMEGDAGTATSRGSDSAMDSNVDLTIV
+EDEEEESVVLEQAQQVRYAGDYVLASHEAERSEEEPVQPAVLQVDQTA
+>tr|A4IFP8|A4IFP8_BOVIN LPGAT1 protein OS=Bos taurus OX=9913 GN=LPGAT1 PE=2 SV=1
+MAVTLEEAPWLGWILVKALVRFAFMVANNLVAIPSYLCYIIVLLPLRLLDSKRYWYLEGM
+MYKWLLGMVASWGWYAGYTVMEWGEDIKTISEDEAVMLVNHQATGDVCTLMMCLQDKGLV
+VAQMMWLMDHIFKYTNFGIVSLVHGDFFIRQGKSHRDQQLLLLKKHLENNYRSRDRKWIV
+LFPEGGFLRKRRETSQSFAKKNNLPFLTHVTLPRVGATKIILRALVARQENGSPAGGDAK
+ELDNKSKGLQWIIDTTIAYPKAEPIDIQTWILGYRKPAVIHVHYRIFPVKDVPLETDDLS
+DWLYQRFIEKEDLLSHFYETGAFPPPKGHKEAVSREMTLSNMWIFLIQSFAFLSGYMWYN
+IFQYFYHCLF
+>tr|A5PKH8|A5PKH8_BOVIN PGM2L1 protein OS=Bos taurus OX=9913 GN=PGM2L1 PE=2 SV=1
+MTENAEGDLNSNLLHAPYHTGDPQLDTAIGQWLRWDKNPKTKEQIENLLRNGMNKELRDR
+LCCRMTFGTAGLRSAMGAGFCYINDLTVIQSTQGMYKYLERCFSDFKQRGFVVGYDTRGQ
+VTSSCSSQRLAKLTAAVLLAKDVPVYLFSRYVPTPFVPYAVQELKAVAGVMITASHNRKE
+DNGYKVYWENGAQITSPHDKEILKCIEECVEPWNGSWNDNLVDTSPLKRDPLQDICRRYM
+DDLKKICFYRELNSKTTLKFVHTSFHGVGHDYVQLAFQVFGFKPPIPVPEQKDPDPDFST
+VKCPNPEEGESVLELSLRLAEKENARIVLATDPDADRLAVAELQENGVWKVFTGNELAAL
+FGWWMFDCWKKSKSRNADVNNIYMLATTVSSKILKAIALKEGFHFEETLPGFKWIGSRIK
+DLLENGKEVLFSFEESIGFLCGTSVLDKDGVSAAVVVAEMASYLETMNITLKQQLINVYE
+KYGYHISKTSYFLCYDPTTIKSIFERLRNFDSPKEYPKFCGAFAILHVRDITTGYDSSQP
+NKKSVLPVSKSSQMITFTFQNGCVATLRTSGTEPKIKYYAEMCASPDQSDTALLEEELKK
+LIDALIENFLEPSKNGLTWRSV
+>tr|A4IFR5|A4IFR5_BOVIN SH3 domain containing ring finger 2 OS=Bos taurus OX=9913 GN=SH3RF2 PE=2 SV=1
+MDDVTLLDLLECPVCLEKLDVTAKVLPCQHTFCKPCLQRIFKAHKELRCPECRTLVFCSI
+EALPANLLLVRLLDGVRAGQSSGRGGSFRRPGVLTPQDGRRSRTHPRGPQCSPFRLVPNV
+RIHMDGVPRAKALCNYRGQNPGDLRFNKGDVILLRRQLDENWYQGEINGVSGVFPASSVE
+VIKQLPQPPPLCRALYNFDLRDKDRSENQDCLTFLKDDIITVISRVDENWAEGKLGDKVG
+IFPILFVEPNLTARHLLEKNKGRPSSRTKNLSLVSSPSRGKATSTPTLRRGPGSRRKGPG
+QFSITTALNTLNRMVHSPSGRHMVEISTPVLISSSNPSVITQHVEKADASPSSVGQVSTY
+HPAPASPGHSTAIVSLPGSQQHLSANMFVALHSYSAHGPDELDLQKGEGVRVLGKYQDGW
+LRGVSLVTGRVGIFPNNYVIPIFRKTSSVPDSRSPGLYTAWTLSTSSVSSQGSISESDPR
+QSQPFKSVFVPTAIVNPVRSPASLGTLGQGSLRKGRGSMRKNGSLQRPVQSGIPTLVVGS
+FRRSPTMVVQPQQFQFYQPQGAPSSASTVVAELGPKPTSTGEPAITCVSRGSDTRIHTAA
+SSLIMEGKEIPIKSEPLPKPPASAPPSILVKPENSRNGSEKQVKTVRFQNYSPPPAKHHT
+SGKPEQPATPKGSQPEAAPLGPEMTILFAHRSGCHSGQQTDLRRKSAFSKTVTPASTASA
+TQTAFPSK
+>tr|E1BBI7|E1BBI7_BOVIN Ring finger protein, LIM domain interacting OS=Bos taurus OX=9913 GN=RLIM PE=4 SV=1
+MESSDCNDKGSGDQSAAQRRSQMDRLDREEAFYQFVNNLSEEDYRLMRDNNLLGTPGEST
+EEELLRRLQQIKEGPPPQNSDENRGGDSSDDVSNGDSIIDWLNSVRQTGNTTRSGQRGNQ
+SWRAVSRTNPNSGDFRFSLEINVNRNNGSQNPENENEPSARRSSGESMDNNSQRQMENPR
+SETTSARPPRSERNSTEALTGEAPPTRGQRRARSRSPDHRRTRARAERSRSPLHPMSEIP
+RRSHHSISSQTFEHPLVNETEGSSRTRHHVTLRQQISGPDLLTRGLFAASGTRNASQGAG
+SSDTTGNGESTGSGQRPPTIVLDLQVRRVRPGEYRQRDSIASRTRSRSQTPNNTVTYESE
+RGGFRRTFSRSERAGVRTYVSTIRIPIRRILNTGLSETTSVAIQTMLRQIMTGFGELSYF
+MYSDSDSEPSGSVSSRNMERSESRNGRGGSGGSSSSGSSSSSSPSSSSNGESSETSSEVF
+EGSNEGSSSSGSSGARREGRHRAPVTFDESGSLPFLSLAQFFLLNEDDDDQPRGLTKEQI
+DNLAMRSFGENDALKTCSVCITEYTEGNKLRKLPCSHEYHVHCIDRWLSENSTCPICRRA
+VLASGNRESIV
+>tr|A0A140T8B9|A0A140T8B9_BOVIN Prostaglandin F2-alpha receptor OS=Bos taurus OX=9913 GN=PTGFR PE=4 SV=1
+MSTNSSIQPVSPESELLSNTTCQLEEDLSISFSIIFMTVGILSNSLAIAILMKAYQRFRQ
+KYKSSFLLLASALVITDFFGHLINGTIAVFVYASDKDWIYFDKSNILCSIFGICMVFSGL
+CPLFLGSLMAIERCIGVTKPIFHSTKITTKHVKMMLSGVCFFAVFVALLPILGHRDYKIQ
+ASRTWCFYKTDEIKDWEDRFYLLLFAFLGLLALGISFVCNAITGISLLKVKFRSQQHRQG
+RSHHFEMVIQLLGIMCVSCICWSPFLVTMASIGMNIQDFKDSCERTLFTLRMATWNQILD
+PWVYILLRKAVLRNLYVCTRRCCGVHVISLHVWELSSIKNSLKVAAISDLPVTEKVTQQT
+ST
+>tr|A1A4N6|A1A4N6_BOVIN Sulfotransferase OS=Bos taurus OX=9913 GN=SULT2B1 PE=2 SV=1
+MGEPAEPRNQAKWDPYEKKISAISQNLSGEYFRYKGILFPVGIYSPESISMVENAEVHDD
+DIFIVTYPKSGTNWMIEILSLILKDGDPSWIHSVPIWKRSPWCEAIMGAFSLPNQPSPRL
+MSSHLPIQLFAKAFFNSKAKVIYMGRNPRDVAVSLYHYSKIARQLKDPGTPDQFLENFLK
+GEVQFGSWFDHIKGWIRMKGKENFLFITYEEMQQDLRSSVQRICQFLSRPLGEEALESVV
+AHSAFKAMKANPMSNFSLLPHSLLDQRHGAFLRKGVCGDWKNHFTLAQSEAFDRAYREQM
+RGLPTFPWDVDPEDASPDSDPGPGPSPNPDQASEAPHP
+>tr|A0A3Q1M6F8|A0A3Q1M6F8_BOVIN UBX domain protein 11 OS=Bos taurus OX=9913 GN=UBXN11 PE=4 SV=1
+MSSPLASLGKTRRVPLQSEPVNPGRRGIKIYGNEDEVDMLNGAHGSEERISVPSCYGGIG
+APVSRQVPVYNDSELVATLTRKLRDLERQVKAQTDEILSKTRKIQALEEMVETLEKHQGK
+VSLQRQEELETVCAKLQRQVGEMERFLGDYGLQWVGEPMDQEDSEDGERDWMTAKKFWKP
+GDSLVPPEVDFDRLLASLKDLSELVEDSDTQVMPVPDRVPHQTLEPIPLRLYRNGIVMFD
+GPFRPFHDPSTQRCLRDILDGFFPSELQRLYPDGVPFKVSDLRSQVYPEHGLDPFPGEGR
+VVGLQRIRKPLDSIEHSGSRMTAEKFLNRLPKFVIRQGEVIDIRGPIRDTLQNCCPLPVR
+IQEIVVETPALAAERVRSRESPESPAPLLSMLRIKSENGEQAFLLMMRPEDRVGDVRALL
+AQARWARGTAGDRSGAQAPRPSLICASLSPGPWSPAPSRSSVRSRPQSTTTTRSHCRPRA
+WCPTPRCCFGFVGPRRPPPAPNKPPARLIPAAPRGCLGGAAPLR
+>tr|A6QLD0|A6QLD0_BOVIN LGI4 protein OS=Bos taurus OX=9913 GN=LGI4 PE=2 SV=1
+MGGAGILLLLLLAGLGVGEAWRPPKGKCPLSCSCSKDSALCEGSVDLPEILSPTLLSLSF
+VRTRITQLKAGSFLRVPSLHLLLFTSNSFSVIEDDAFAGLSHLQYLFIEDNEIGSISKNA
+LRGLRSLTHLSLANNHLETLPRFLFRGLETLTHVDLRGNPFQCDCRVLWLLQWIPTVNAS
+VGTGACAGPAALAHMQLRHVDPKTFKCRAIELSWFQTVGESALGVEAFSYQEEPYIVLAQ
+PFAGRCLILAWDYSLQRFRQEEELSAPSVVSCKPLVLGPRLFMLAARLWGGSQLWARPGP
+DLRLAPLQALAPRRLLRPNDAELLWLDGQPCFVVADASKAGSTTLLCRDGPGFYPRQSLH
+AWHRDTDVEALELDGRPHLLLASASQRPVLFHWFGGRFERRTDIPEAEDVYATRHFQAGG
+DVFLCLTRYIGDSMVMRWDGSMFRLLQKLPSRGAHVFQPLLIAKDQLAILGSDFAFSQVF
+RLEPDKGLLEPLQELGPPAIVAPRAFAHITMAGRRFLFAACFKGPTQIYQHHELDLSA
+>tr|A5D7L0|A5D7L0_BOVIN PLA2G12A protein OS=Bos taurus OX=9913 GN=PLA2G12A PE=2 SV=1
+MALLAVLLVLFLTAGGRCQEQAQTTDWRATLKTIRNGVHKIDMYLNAALDLLGGEDGLCQ
+YKCSDGSKPFPRYGYKPSPPNGCGSPLFGVHLNIGIPSLTKCCNQHDRCYETCGKSKNDC
+DEAFQSCLSKICRDVQKTLGLAQHVQACETTVELLFDSVIHLGCKPYLDSQRAACRCRYE
+EKTDL
+>tr|Q3T0H4|Q3T0H4_BOVIN Putative S1 RNA binding domain protein OS=Bos taurus OX=9913 GN=ZCCHC17 PE=2 SV=1
+MNSGRPETMENLPALYTIFQGEVAMVTDYGAFIKIPGCRKQGLVHRTHMSSCRVDKPSEI
+VDVGDKVWVKLIGREMKNDRIKVSLSMKVVNQGTGKDLDPNNVIIEQEERRRRSFQDYTG
+QKITLEAVLNTTCKKCGCKGHFAKDCFMQPGGTKYSLIPDEEEEKEEAKSAEFEKPDTTR
+NSSRKRKKEKKKKKHRDRKSSDSDSSDSESDTGKRARHTSKDSKAAKKKKKKKKHKKKHK
+E
+>tr|A2VDN2|A2VDN2_BOVIN MBD3 protein OS=Bos taurus OX=9913 GN=MBD3 PE=2 SV=1
+MERKSPSGKKFRSKPQLARYLGGSMDLSTFDFRTGKMLMSKVNKGRQRVRYDSPSQVKGK
+PDLNTALPVRQTASIFKQPVTKITNHPSNKVKSDPQKAVEQPRQLFWEKKLSGLNAFDIA
+EELVKTMDLPKGLQGVGPGCTDETLLSAIASALHTSTTPITGQLSAAVEKNPGVWLNTAQ
+PLCKAFMVTDEDIRKQEELVQQVRKRLEEALMADMLAHVEELARDGEAPLDRVGADDEED
+EDEEEEEPDQDPEMEHV
+>tr|Q58CY3|Q58CY3_BOVIN Chromosome 20 open reading frame 4 OS=Bos taurus OX=9913 GN=AAR2 PE=2 SV=1
+MPKGTEFGIDYNSWEVGPKFRGVKMIPPGIHFLHYSSVDKANPREVGPRMGFFLNLQQRG
+LKVLRWDAAREEVDLSPAPEAEVEAMRANLQELDQFLGPYPYTTLKKWISLTNFISEATV
+EKLQPESRQICAFSEVLPVLSMRHTKDRVGQNLPRCGAECKSYQEGLARLPEMKPRAGTE
+IRFSELPTQMFPAGATPAEITRHSMDLSYALETVLSKQFPCSPQDVLGELQFAFVCFLLG
+NVYEAFEHWKRLLNLLCRSEEAMVKHHSLYVNLISILYHQLGEIPADFFVDIVSQDNFLT
+STLQVFFSSARSVAVDATLRQKAERFQAHLTKKFRWDFEAEPEDCAPVVVELPDGVGTG
+>tr|A5D7L3|A5D7L3_BOVIN PARD6G protein OS=Bos taurus OX=9913 GN=PARD6G PE=2 SV=1
+MNRSFHKSQTLGFYDCSAVEVKSKFGAEFRRFSLDRQKPGRFEDFYKLVVHTHHIANTEV
+TIGYADVHGDLLPINNDDNFCKAVSSANPLLRVFIQKREEAEHCSFGAGSLSRRRRPLAL
+REDGPRRRTHLHIGLPHDFRPVSSIIDVDIIPETHRRVRLYRHGCQKPLGFYIRDGTSVR
+VAPQGLEKVPGVFISRMVPGGLAESTGLLAVDDEVLEVNGIEVAGKTLDQVTDMMIANSH
+NLIVTVKPANQRNNVVRGSRASGSSGRSSDSTASRHSLPAAHVLQNLPPDEMESDEEADV
+VLEGVLEPRLAPRPPPGSLTRVNGAGLAPSLHSPGREGSVQRLLSSLRSDPRHSLALPPG
+GVEEHGPAVTL
+>tr|Q5BIM7|Q5BIM7_BOVIN Src like adaptor 2 OS=Bos taurus OX=9913 GN=SLA2 PE=2 SV=1
+MGSQPSRGKALPSPSFSPCVQGQNPEPMQAERSRAKAVALGSFPVGEQAELSLRLGEPLT
+IISEDGDWWTVLSDVSGREYSIPSIHVARIAHGWLYEGLSREKAEELLLLPGNHGGAFLI
+RESQTRRGCYSLSVRLSRPASWDRIKHYRIQRLDNGWLYISPRLTFPSLLALVDHYSELA
+DDICCLLKEPCALRRAGPLPGKAIPPPVTVQATPLNWKELDSSLLFSEASAAGEESLLSE
+GLREALNSYISLTDDTSLDDAKAESRRRKGNQGCGT
+>tr|Q1RMR9|Q1RMR9_BOVIN Protein kinase C and casein kinase substrate in neurons 2 OS=Bos taurus OX=9913 GN=PACSIN2 PE=2 SV=1
+MSVTYDDSVGVEVSSDSFWEVGNYKRTVRRIDDGHRLCTDLMNCLHERARIEKAYAQQLT
+EWARRWRQLVDKGPQYGTVEKAWVAVMTEAEKVSELHLEVKASLMNEDFEKIKNWQKDAF
+HKQMMGGFKETKEAEDGFRKAQKPWAKKLKEVEAAKKAYHAACKEEKLAISRENNSRADP
+SLNPEQLKKLQDRVEKCKQDVLKSKEKYEKSLKELGQSTPQYMESMEQVFEQCQQFEEKR
+LRFFREVLLEVQKHLDLSNMASYKNVYRDLEQSIKAADAVEDLRWFRANHGPGMSMNWPQ
+FEEWSVDLNRTLSRKEKKKNADGVTLMGINQTGDQASQNKPSSDLSVPGNPERSAQSLSS
+YNPFEDEDDTGSTVSEKEDIKAKNVSSYEKTQSYPADWSDDESNNPFSSTDANGDSNPFD
+EDTPSGTEVRVRALYDYEGQEHDELSFKAGDELTKIEDEDEQGWCKGRLDSGQVGLYPAN
+YVEAIQ
+>tr|G5E5S6|G5E5S6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=MID2 PE=4 SV=2
+METLESELTCPICLELFEDPLLLPCAHSLCFSCAHRILVSSCSSGESIEPITAFQCPTCR
+YVISLNHRGLDGLKRNVTLQNIIDRFQKASVSGPNSPSESRRERTYRPSSAMSSERIACQ
+FCEQDPPRDAVKTCITCEVSYCDRCLRATHPNKKPFTSHRLVEPVPDTHLRGITCLDHEN
+EKVNMYCVSDDQLICALCKLVGRHRDHQVASLNDRFEKLKQTLEMNLTNLVKRNSELENQ
+MAKLIQICQQVEVNTAMHEAKLMEECDELVEIIQQRKQMIAVKIKETKVMKLRKLAQQVA
+NCRQCLERSTVLINQAEHILKENDQARFLQSAKNIAERVAMATASSQVLIPDINFNDAFE
+NFALDFSREKKLLEGLDYLTAPNPPSIREELCTASHDTITVHWISDDEFSISSYELQYTI
+FTGQANFISLYNSVDSWMIVPNIKQNHYTVHGLQSGTRYIFIVKAINQAGSRNSEPTRLK
+TNSQPFKLDPKMTHKKLKISNDGLQMEKEESSLKKSHTPERFSGTGCYGAAGNIFIDSGC
+HYWEVVMGSSTWYAVGVAYKSAPKNEWIGKNASSWVFSRCNSNFVVRHNNKEMLVDVPPQ
+LKRLGVLLDYDNNMLSFYDPANSLHLHTFDVTFILPVCPTFTIWNKSLMILSGLPAPDFI
+DYPERQECNCRPQESPYVSGMKACH
+>tr|A6QNN9|A6QNN9_BOVIN ARHGAP27 protein OS=Bos taurus OX=9913 GN=ARHGAP27 PE=2 SV=1
+MVDITAKLTKRQSRDLRGQVDEPPEPVYANVERQPPATLPRASAAPRGVGDSVWETHTDA
+GTGRPYYYNPDTGVTTWESPFEATEGAASPATSPASVGSHESLETEWGQYWDEESHRVFF
+YNSLTGETAWEDELEDQLEDEQEMQPGLGPSNQRPPTPETDYPDLLTSYPEEDYSPVGSF
+SEPGPASPFVTPPGWSCHVSPDGQTVYTNNITEEQWVRLENQHGKTYFYNPDDASVQWAL
+PQVPVPVPAPRNSHKSNQNSETPAQATPPEEKIKTLDKAGVLHRTKIVDKGKRLRKKHWS
+ASWTVLEGGILTFFKDSKNSAASGLRQPSKLSTPEFTVDLKGASLTWAPKDKSSKKNVLE
+LRSRDGSEYLIQHDSEAIISTWHSAITQGIQEMMQMGC
+>tr|A5D7H8|A5D7H8_BOVIN ZC3H10 protein OS=Bos taurus OX=9913 GN=ZC3H10 PE=2 SV=1
+MPDRDSYANGTGSSSGGPGGGGSEEASGTGAGSGGASSDAICRDFLRNVCKRGKRCRYRH
+PDMSEVSNLGVSKNEFIFCHDFQNKECSRPNCRFIHGSKEDEDGYKKTGELPPRLRQKVA
+AGLGLSPADLPNGKEEVPICRDFLKGDCQRGAKCKFRHLQRDFEFDARGGGGTGGGGSTG
+PIPPGRRHDLYDIYDLPDRGFEDHEPGPKRRRGGCCPQDGPHFESYDYSLAPPRGVECRL
+LEEENAMLRKRVEELKKQVSNLLATNEVLLEQNAQFRNQAKVMTLSSTAPATEQTLAPTV
+GTVATFNHGIAQTHTTLSSQALQPRPVSQQELVAPAGAPAAPPTNAAPPAAPPPPPPHLN
+PEIAPLSAALAQTIAQGMAPPPVSMAPVAVSVAPVAPVAVSMAQPLAGITMSHTTTPMVT
+YPIASQSMRITAMPH
+>tr|F1N086|F1N086_BOVIN Alpha-tocopherol transfer protein OS=Bos taurus OX=9913 GN=TTPA PE=1 SV=2
+MAEARPGPAGLQLSALPDHSPLLQPSLAELRRRAGAAGAPLAGWLLSDAFLLRFLRARDF
+DLDLAWRLLKNYFKWRAECPEISADLHPRSILGLLKSGYVGVLRARDPTGSKVLIYRIAH
+WDPKVFTAYDAFRVSLITSELIVQEVETQRNGIKAVFDLEGWQFAHAFQITPSVAKKIAA
+VLTDSFPLKVRGIHLINEPIIFHAVFSMIKPFLTEKIKERIHMHGDNYKQSLLQYFPDIL
+PLEYGGEEYSIEDICQEWTNFIMKSENYLSSISQTVKGEEVT
+>tr|A6QLS7|A6QLS7_BOVIN DIRC2 protein OS=Bos taurus OX=9913 GN=SLC49A4 PE=2 SV=1
+MGSGWSSEEERQPLLAPGLGPAPGAAWRSREAAAALPAAAPSPGRVYGRRWLVLLLFSLL
+GFAQGLVWNTWGPIQNSARQAYGFSSWDIALLVLWGPIGFLPCFAFMWLLDKRGLRITVL
+LTSFLMVLGTGLRCIPVSDLTLKRRLIHGGQLLNGLAGPTVMNAAPFLSTTWFSADERAT
+ATAIASMLSYLGGACAFLVGPLVVPAPNGTAPLLASENSRTHIKDLIETVLYAEFGGVCL
+LFSATLAYFPPRPPLPPSVAAASQRLSYRRSFCRLLSNLRFLMIALAYAIPLGVFAGWSG
+VLDLILTPVHVSQVDAGWIGFWSIVGGCVVGIAMARFADFIRGMLKLILLLLFSGATLSS
+TWFTLTCLNSITHLPLTTVTLYASCILLGVFLNSSIPIFFELFVETVYPVPEGITCGVVT
+FLSNMFMGILLFFLTFYHTELSWFNWCLPGSCLLSLLLILCFRESYDRLYLDVVVSV
+>tr|F1MDM6|F1MDM6_BOVIN DCN1-like protein OS=Bos taurus OX=9913 GN=DCUN1D1 PE=4 SV=2
+MIFTQSSEKTAVSCLSQNDWKLDVATDNFFQNPELYIRESVKGSLDRKKLEQLYSRYKDP
+QDENKIGIDGIQQFCDDLALDPASISVLIIAWKFRAATQCEFSKQEFMDGMTELGCDSIE
+KLKAQIPKMEQELKEPGRFKDFYQFTFNFAKNPGQKGLDLEMAIAYWNLVLNGRFKFLDL
+WNKFLLEHHKRSIPKDTWNLLLDFSTMIADDMSNYDEEGAWPVLIDDFVEFARPQIAGTK
+STTV
+>tr|E1BCA0|E1BCA0_BOVIN Zinc finger protein 516 OS=Bos taurus OX=9913 GN=ZNF516 PE=4 SV=1
+MERSREAEAELRGGRSPSRASRSPEADGDRALSHSCCICGKTFPFQSSLSQHMRKHTGEK
+PYKCPYCDHRAAQKGNLKIHIRGHRAGTLTQGREPEAAETRVSEGLGGCTSPTKSTSACN
+RILNGATQADTGKILLRSTRKEAEGVAAGQCAFCKSRFERKKDLAQHVQQAHKPFTCRLC
+SYATLREEALLSHVEKDHIAAQGPRGDAFAENGKPELPPGEFPCEVCGQAFSQTWFLKAH
+MKKHRGSFDHGCHICGRRFKEPWFLKNHMKAHGPKAGSKNRPRSELEPVATINDVVQEEV
+IVAGLSLYEVCTKCGNLFTNLDSLNAHNAIHRRVEAGQPRAAVGEGSAPGPADSQQFFLQ
+CLNLRPAAGTPTRGQTGRRVAELDPVNSYQAWQLATRGKVAEPAEYLKYAAWDEALAGDV
+AFDKERREFILVSQEKRKREPEPGAQGPPRKRAGAPGDPAPGPPDPRPAARPSRRAAVPA
+GHGKSSECFECGKIFRTYHQMVLHSRVHRRARRDRERDGPGDRAPRARCGSLSEGDSASQ
+PSSPGSGCAAADSPGSGLADEAADESGEEAPAHPTAGAKPHRCCFPEDVSLTVLSNGDQS
+HTLGNSPSGRAAGEPGAGIAACVSILESSSRDAPRRPEPPRCSVDLKTPACGPQQEGPGP
+RDAADLPPRPAQAAGLQPPSESQAAYPRERPPDLHTKEHPTAGKQAPAPDLVPLDLSERS
+SRDDPGRREPASSLQAALAVHPCPYCGHKTYYPEVLWMHKRVWHRVSGQAVAPQWTQPNG
+YKSIRSNLVFLARSGRTGPPPALGGKECQPLPIARFTRTQVPGGAPGPKGSPSPLGVPTK
+AAGLPRSKEGHPGAPCTLWTAGPDGTRQTRPGPSPEQPPPKPKQEASPRPGATGGSGGFS
+RSATPTPTVITRAGPQAPASGRQGAGLGPPSKHSAPDPPKAKFSPQPQGQPHGKGDGATP
+LPPREPPSKAGSRGPAVPQGPPAPHPVKQEPASEGPEKRLDLLSIFKTYIPKDLASLYQS
+WGASSPVLERRGTLRTQAHQGDFICVECGKSFHQPSHLRAHMRAHTVLFESNGLRGADAH
+TTSADTPKQGRDHSNADAVQTVPLRKGT
+>tr|A5PJG6|A5PJG6_BOVIN IKAROS family zinc finger 1 OS=Bos taurus OX=9913 GN=IKZF1 PE=2 SV=1
+MDADEGQDMSQVSGKESPPVSDTPDDSDEPMPVPEDLSTTSGGQQSSKSERGLEPSVKLR
+APYLQQRVS
+>tr|Q3T0T9|Q3T0T9_BOVIN 20-beta-hydroxysteroid dehydrogenase-like OS=Bos taurus OX=9913 GN=MGC127133 PE=2 SV=1
+MSSSTRVALVTGANKGLGFAIVRDLCRRFPGDVVLTARDEARGRAAVQQLQAEGLSPRFH
+QLDITDLQSIHALRDFLRKEYGGLDVLVNNAAIAFQLSDPTPTPIKAEMTMKTNFFGTRD
+ICTELLPLMKPQGRVVNMSSGWGFKALESCSPELQQKLRSETITEEELVGLMNKFVEDTK
+NGVHRKEGWPDNNIYGVAKIGITALSRIQARKLSEQRGGDKILLNACCPGWVRTDMGGSK
+AFKSLEEGIETPMYLALLPSDAEGPHGQFVHEKKVAKWQFLPEFYP
+>tr|G3X842|G3X842_BOVIN GB1/RHD3-type G domain-containing protein OS=Bos taurus OX=9913 GN=LOC507055 PE=4 SV=2
+MTSRATKMDPICLVENRKNQLSVNPKALKILDEISQPLVVVAIVGLYRTGKSYLMNRLAE
+QNHGFRLGSTVRSETKGIWMWCVPHPSKENHILVLLDTEGLGNVEKEDSKNDLWIFALAV
+LLSSTFIFNSMNSINDQALQQLHYVTELTELIRTKSSPSSDEVEDSARFVSFFPDFIWTV
+RDFMLELELDGSSITEDEYLENALKLIPDKGPQIQNFNLPRECIRKFFPKRKCFVFDRPA
+SNKRQLLHLEEMPDNQLDENFQKQSKDFCSYIYTHAKTKTLKEGITVTGKRLGPLVEAYV
+NAINSGSVPCLENAVTTLAQLENSAAVQKAADHYSEQMAQQLSLPTDTLQELLEVHAACE
+KEAIAVFMECSFKDENQDFQLKLAIMINKKKDDFLLQNEEASVRYCQAELKKLSEPLMTS
+ISEGTFSVPGGHHCYLEARNKFEENYKLIPRKGVKANQVLQSFLQSQAEVEAAILKADQA
+LTDADKAMAEERTKRQAAEMKQDLLTQELNDEKQKMEAQERSHKENIAQLKEKFEVEREN
+LLREQEAVLAHKLKVQEEMLAEGFERKASELHEEILRLQKEIERTKDDRLLENVRLLTQI
+GLILMASRSRFLNRV
+>tr|Q0IIH2|Q0IIH2_BOVIN Periphilin 1 OS=Bos taurus OX=9913 GN=PPHLN1 PE=2 SV=1
+MAYRGNEMCSEGRYDYERLPRERVSPRSHASDESGYRWTRDDHSASRQPEYRDMRDNFRR
+KSFNSYYVRERSPHKRNTPFFRESPVGRKDSPHSRSGSSVSSRSYSPERSKTYSFHQSQH
+RSKERPVQPLKTSRDTSPSSSSAVASSKVLDKPSRLTEKELAEAASKWAAEKLEKADESN
+LPEISEYEAGSTAPLFIDHPVEPESNATDGIELFEDSQLTSRSKAIATKTKEIEQVYRQD
+CETFGMVVKMLIEKDPSLEKSIQFALRQNLHEIGERCVEELKHFIAEYDTSSQDFGEPF
+>tr|Q0P568|Q0P568_BOVIN Ubiquitin fusion degradation 1 like OS=Bos taurus OX=9913 GN=UFD1 PE=2 SV=1
+MFSFNMFDHPIPRVFQNRFSTQYRCFSVSMLAGPNDRSDVEKGGKIIMPPSALDQLSRLN
+ITYPMLFKLTNKNSDRVTHCGVLEFVADEGICYLPHWMMQNLLLEEGGLVQVESVNLQVA
+TYSKFQPQSPDFLDITNPKAVLENALRNFACLTTGDVIAINYNEKIYELRVMETKPDKAV
+SIIECDMNVDFDAPLGYKEPERQAQHEESAEGETDHSGYTGELGFRVSAAP
+>tr|Q2T9S9|Q2T9S9_BOVIN Progestin and adipoQ receptor family member 7 OS=Bos taurus OX=9913 GN=PAQR7 PE=2 SV=1
+MATIVAQKLSHLLPSLRQVHQEPQPSVPSEPVFTVDRAEVPPLFWKPYIYVGYRPLHRTW
+RFYFRTLFQQHNEAVNVWTHLLAALVLLLRLAIFVGTVDFWGDPHALPLFIIVLASFTYL
+SLSALAHLLQAKSEFWHYSFFFLDYVGVAVYQFGSALAHFYYAIEPAWHAQVQTIFLPTA
+AFLAWLSCTGSCYNKYIQKPGLLGRTCQEVPSALAYALDISPVAHRILVSPDPATDDPAL
+LYHKCQVVFFLLAAAFFSAFMPERWFPGCCHVFGQGHQLFHVFLVLCTLAQLEAVALDYE
+ARRSIYEPLHTRWPHNFSGLFLLTVGSSILTAFLLSQLVRRKLDLHRKTQ
+>tr|Q08D87|Q08D87_BOVIN Phenylalanyl-tRNA synthetase 2, mitochondrial OS=Bos taurus OX=9913 GN=FARS2 PE=2 SV=1
+MVCWALRRVGLARAHLARKASGVCRGHEHQPLGPRPAAPGAPGSAVELLGKSYPQDDYSN
+LTRKVLSKVGRNLHNQPQHPLWLLKERVKQHFYAQYPGRAGTPLFSVYDDLSPVVTTWQN
+FDSLLIPADHPSRKKGDNYFLNATHMLRAHTSAHQWDLLRAGLDAFLVVGDVYRRDQIDA
+QHYPVFHQLEAVRLFSRHQLFAGIKDGENLQLFEQSSRSAHKQETHTLEATKLVEFDLKQ
+TLTRLMTHIFGDGLDVRWVDCYFPFTHPSFEMEINFHGEWLEVLGCGVMEQQLVNSAGAQ
+DRIGWAFGLGLERLAMILYDIPDIRLFWSQDERFLKQFRVPDINQKVTFQPLSKYPAVIN
+DISFWLPRENYTENDFYDLVRTIGGDLVEKVDLIDKFEHPKTHRTSHCYRITYRHMERTL
+SQREVGGIHQAVQEAAVRQLGVEGRF
+>tr|A5D7E0|A5D7E0_BOVIN RAB35 protein OS=Bos taurus OX=9913 GN=RAB35 PE=2 SV=1
+MARDYDHLFKLLIIGDSGVGKSSLLLRFADNTFSGSYITTIGVDFKIRTVEINGEKVKLQ
+IWDTAGQERFRTITSTYYRGTHGVIVVYDVTSAESFVNVKRWLHEINQNCDDVCRILVGN
+KNDDPERKVVETEDAYKFAGQMGIQLFETSAKENVNVEEMFNCITELVLRAKKDNLAKQQ
+QQQQNDVVKLTKNSKRKKRCC
+>tr|Q3B7N3|Q3B7N3_BOVIN Importin 5 OS=Bos taurus OX=9913 GN=IPO5 PE=2 SV=1
+MAAAAAEQQQFYLLLGNLLSPDNVVRKQAEETYENIPGQSKITFLLQAIRNTAAAEEARQ
+MAAVLLRRLLSSAFDEVYPTLPTDVQTAIKSELLMIIQMETQSSMRKKICDIAAELARNL
+IDEDGNNQWPEGLKFLFDSVSSQNMGLREAALHIFWNFPGIFGNQQQHYLDVIKRMLVQC
+MQDQEHPSIRTLSARATAAFILANEHNVALFKHFADLLPGFLQAVNDSCYQNDDSVLKSL
+VEIADTVPKYLRPHLEATLQLSLKLCGDTGLNNMQRQLALEVIVTLSETAAAMLRKHTNI
+VAQTIPQMLAMMVDLEEDEDWANADELEDDDFDSNAVAGESALDRMACGLGGKLVLPMIK
+EHIMQMLQNQYIMRNAGLEEAQTGIKIARRNINNLRYADDTTLMAESDEELKSLLMRVKK
+LA
+>tr|Q0PH99|Q0PH99_BOVIN Common salivary protein BSP30 form C OS=Bos taurus OX=9913 GN=BSP30C PE=2 SV=1
+MLPLWRLVLLCGLLTGTSASLLDNNVVRELQSALRKELETDDSASKPVLEKVKADFELLQ
+DFTCLEMMAVKEVLPEKIQDAEIVLDKDKSNNRQLLVRCLRLTIRSISIGNITFQVTPGG
+TSINLSISITAKVTLTLPLLGAVIDLTLNFVLQRSISFKIDEAGTLMVVLGECTYTPAKI
+SLPFVNSSVSSLTGLMSNIRKTVTTLVNLVETYIVKYVLCPRIGTIISSLNENLVNNLND
+ILQKTAQQIVN
+>tr|A8E648|A8E648_BOVIN CHERP protein OS=Bos taurus OX=9913 GN=CHERP PE=2 SV=1
+MEMPLPPDDQELRNVIDKLAQFVARNGPEFEKMTMEKQKDNPKFSFLFGGEFYSYYKCKL
+ALEQQQLICKQQAPELEPAATLPPLPQPPLAPAAPVAPAQGTPSMDELIQQSQWNLQQQE
+QHLLALRQEQVTAAVAHALEQQMQKLLEETQLDMNEFDSLLQPIIDTCTKDAISAGKNWM
+FSNAKSPPHCELMAGHLRNRITADGAHFELRLHLIYLINDVLHHCQRKQARELLAALQKV
+VVPIYCTSFLAVEEDKQQKIARLLQLWEKNGYFDDSIIQQLQSPALGLGQYQATLITEYS
+SVVQPVQLAFQQQIQTLKTQHEEFVNSLTQQQQQQQQQQQQIQMPQMEADVKATPPPPAP
+PPAPTPAPAIPPTTQPDDSKPPIQMPGSSEYDTSGGVQDPAASGPRGPGPHDQIPPNKPP
+WFDQPHPVAPWGQQQPPEQPPYPHHQGGPPHCPPWNNSHEGMWGEQRGDPGWNGQRDAPW
+NSQPDPNWNSQFEGPWNSQHEQPPWGGGQREPPFRMQRPPHFRGPFPPHQQHPQFNQPPH
+PHNFNRFPPRFMQDDFPPRHPFERPPYPHRFDYPQGDFQAEMGPPHHHPGHRMPHPGINE
+HPPWGGPQHPDFGPPPHGFNGQPPHMRRQGPPHINHDDPSLVPNVPYFDLPAGLMAPLVK
+LEDHEYKPLDPKDIRLPPPMPPSERLLAAVEAFYSPPSHDRPRNSEGWEQNGLYEFFRAK
+MRARRRKGQEKRNSGPSRSRSRSKSRGRSSSRSNSRSSKSSGSYSRSRSRSCSRSYSRSR
+SRSRSRSRSSRSRSRSRSRSRSKSYSPGRRHRSRSRSPTPPSSAGLGSNSAPPIPDSRLG
+EENKGHQMLVKMGWSGSGGLGVKEQGIQDPIKGGDVRDKWDQYKGVGVALDDPYENYRRN
+KSYSFIARMKAREECK
+>tr|Q0VCZ8|Q0VCZ8_BOVIN Acyl-CoA synthetase long-chain family member 1 OS=Bos taurus OX=9913 GN=ACSL1 PE=1 SV=1
+MMQAHELFQYFRLPELLDFRQYVRTLPTNTLMGFGAFAALTTFWYATRPRALKPPCDLAM
+QSVEVPGSDGARRSTLLDSDEPLVYFYDDVRTLYEGFQRGLHVSNNGPCLGSRKPDQPYE
+WLSYKQVEDMAECVGSALLHKGFKAAPDQFIGIFAQNRPEWVIIEQGCFTYSMVIVPLYD
+TLGTEAITYIINKAELSLVFVDKPEKANLLLEGVENKLIPCLKTIVLMDSYGSDLLERGK
+KCGVEIISMKAMEDLGRANRQKPKPPVPEDLAVICFTSGTTGNPKGAMITHRNVVSDCSA
+FVKMTENTFIPTSDDTLISFLPLAHMFERVVECVMLCHGAKIGFFQGDIRLLMDDLKALQ
+PTIFPVVPRLLNRMFDRIFGQANTTLKRWLLDFASKRKEAELRSGIIRNNSLWDKLIFHK
+IQSSLGGKVRLMITGAAPVSATVLTFLRAALGCQFYEGYGQTECTAGCCLTVAGDWTAGH
+VGPPMPCSIIKLVDVEEMNYLAAKGEGEVCVKGSNVFQGYLKDPVKTAEALDKDGWLHTG
+DIGKWLPNGTLKIIDRKKHIFKLAQGEYIAPEKIENIYQRSEPIAQVFVHGESLQAFLIA
+IVVPDVETLGTWAQKRGIVGSFEELCRNKDVKKGILEDMVRLGKESGLKPFEQVKGIYLH
+PELFSIDNGLLTPTMKAKRPELRNYFRSQIDELYSTIKV
+>tr|Q08E42|Q08E42_BOVIN Leucine rich repeat containing 8 VRAC subunit A OS=Bos taurus OX=9913 GN=LRRC8A PE=2 SV=1
+MIPVTELRYFADTQPAYRILKPWWDVFTDYISIVMLMIAVFGGTLQVTQDKMICLPCKWV
+TKDSCNDSFRGWAAPGPEPPYPNSTIGPTPDSGPTGIKYDLDRHQYNYVDAVCYENRLHW
+FAKYFPYLVLLHTLIFLACSNFWFKFPRTSSKLEHFVSILLKCFDSPWTTRALSETVVEE
+SDPKPAFSKMNGSMDKKSSTVSEDVEATVPMLQRTKSRIEQGIVDRSETGVLDKKEGEQA
+KALFEKVKKFRTHVEEGDIVYRLYMRQTIIKVIKFILIICYTVYYVHNIKFDVDCTVDIE
+SLTGYRTYRCAHPLATLFKILASFYISLVIFYGLICMYTLWWMLRRSLKKYSFESIREES
+SYSDIPDVKNDFAFMLHLIDQYDPLYSKRFAVFLSEVSENKLRQLNLNNEWTLDKLRQRL
+TKNAQDKLELHLFMLSGIPDTVFDLVELEVLKLELIPDVTIPPSIAQLTGLKELWLYHTA
+AKIEAPALAFLRENLRALHIKFTDIKEIPLWIYSLKTLEELHLTGNLSAENNRFIVIDGL
+RELKRLKVLRLKSNLSKLPQVVTDVGVHLQKLSINNEGTKLIVLNSLKKMANLTELELIR
+CDLERIPHSIFSLHNLQEIDLKDNNLKTIEEIISFQHLHRLTCLKLWYNHIAYIPIQIGN
+LTNLERLYLNRNKIEKIPTQLFYCRKLRYLDLSHNNLTFLPADIGLLQSLQNLAVTANRI
+EALPPELFQCRKLRALHLGNNVLQSLPSRVGELTSLTQIELRGNRLECLPVELGECPLLK
+RSGLVVEEDLFNTLPPEVKERLWRADKEQA
+>tr|Q32PI3|Q32PI3_BOVIN Replication factor C (Activator 1) 5, 36.5kDa OS=Bos taurus OX=9913 GN=RFC5 PE=2 SV=1
+METSAHKQQQPEAAKIRNLPWVEKYRPQTLDDLISHQDILSTIQKFISEDRLPHLLLYGP
+PGTGKTSTILACAKQLYKDKEFGSMVLELNASDDRGIDIVRGPILSFASTRTIFKKGFKL
+VILDEADAMTQDAQNALRRVIEKFTENTRFCLICNYLSKIIPALQSRCTRFRFGPLTPEL
+MVPRLEHVVEEEKVDISEDGMKALITLSSGDMRRALNILQSTNMAFGKVTEETVYTCTGH
+PLKSDIANILDWMLNQDFTTAYRNIMELKTLKGLALHDILTEIHLFVHRGNSCSSPVQKL
+CIKQHSLRLGVIGEGT
+>tr|A4FV90|A4FV90_BOVIN PCCA protein OS=Bos taurus OX=9913 GN=PCCA PE=1 SV=1
+MAGFWTGAAALATAGRRGRRWPKLLMRSVALWTVKHVPHYSRRYLVVSRTLCSAGYDSNE
+KTFDKILIANRGEIACRVIKTCKKMGIKTVAIHSDVDASSVHVKMADEAVCVGPAPTSKS
+YLNMNAIMDAVRSTRAQAVHPGYGFLSENKEFAKRLAAEDVIFIGPDTHAIQAMGDKIES
+KLLAKKAKVNTIPGFDGVVKDADEAVRIAREIGYPVMIKASAGGGGKGMRIAWDDEETRD
+GFRFSSQEAASSFGDDRLLIEKFIDNPRHIEIQVLGDKHGNALWLNERECSIQRRNQKVV
+EEAPSIFLDSETRRAMGEQAVALAKAVNYSSAGTVEFLVDSKKNFYFLEMNTRLQVEHPV
+TECITGLDLVQEMIRVAKGYPLRHKQADIPINGWAVECRVYAEDPYKSFGLPSVGRLSQY
+EEPIHLPGVRVDSGIQPGSDISIYYDPMISKLITYGSDRTEALKRMEDALDSYVIRGVTH
+NIALLREVIINSRFIEGDINTKFLSDVYPDGFKGHPLTETERNQFLAVASSLFVASQLRA
+QHFQDHESSRVPIVKPQVASWELSVKLHDEIHTVVVSSSGPTFSHEPQKLLHSYMVEVDG
+SKLNVTSTWNLASPLLSVNVDGTQRTIQCLSREAGGNMSIQFLGTVYKVRILTKLAAELN
+KFMLEKAAEDTSSILRSPMPGVVVAISVKPGDLVAEGQEICVIEAMKMQNSMTAGKTGKV
+KSVHCKAGDTVGEGDLLVELE
+>tr|A5PJZ3|A5PJZ3_BOVIN LOC100126054 protein OS=Bos taurus OX=9913 GN=LOC100126054 PE=2 SV=1
+MKTPISQEAEGQQTRAVAGKATGSANMMKKKASQKKQRGRPSSQPRRNIVGCRISHGWKE
+GDEPITQWKGTVLDQVPINPSLYLVKYDGIDCVYGLELHRDERVLSLKILSDRVASTQVS
+DANLANTIIGKAVEHMFEDEHGSKDEWRGMVLAQAPIMKAWFYITYEKDPVLYMYQLLDD
+YKEGDLRIMPESNESPLAEREPGGVVDGLIGKHVEYTKEDGSKRIGMVIHQVEAKPSVYF
+IKFDDDFHIYVYDLVKKS
+>tr|Q1RMW3|Q1RMW3_BOVIN Metastasis associated 1 family member 2 OS=Bos taurus OX=9913 GN=MTA2 PE=2 SV=1
+MAANMYRVGDYVYFENSSSNPYLVRRIEELNKTANGNVEAKVVCLFRRRDISSSLNSLAD
+SNAREFEEESKQPGVSEQQRHQLKHRELFLSRQFESLPATHIRGKCSVTLLNETDILSQY
+LEKEDCFFYSLVFDPMQKTLLADQGEIRVGCKYQAEIPDRLAEGESDNRNQQKMEMKVWD
+PDNPLTDRQIDQFLVVARAVGTFARALDCSSSIRQPSLHMSAAAASRDITLFHAMDTLQR
+NGYDLAKAMSTLVPQGGPVLCRDEMEEWSASEAMLFEEALEKYGKDFNDIRQDFLPWKSL
+ASIVQFYYMWKTTDRYIQQKRLKAAEADSKLKQVYIPTYTKPNPNQIISVGSKPGMNGAG
+FQKGLTCESCHTTQSAQWYAWGPPNMQCRLCASCWIYWKKYGGLKTPTQLEGAARGTTEP
+HSRGHLSRPEAQGLSPYTTSANRAKLLAKNRQTFLLQTTKLTRLARRMCRDLLQPRRAAR
+RPYAPINANAIKAECSIRLPKAAKTPLKIHPLVRLPLATIVKDLVAQAPLKPKTPRGTKT
+PINRNQLTQNRGLGGIMVKRAYETMAGVPFSANGRPLASGIRSSSQPAAKRQKLNPADAP
+NPVVFVATKDTRALRKALTHLEMRRAARRPNLPLKVKPPLMAVRPPVPLPPSSHPASTNE
+PIVLED
+>tr|Q3SZH5|Q3SZH5_BOVIN Angiotensinogen OS=Bos taurus OX=9913 GN=AGT PE=1 SV=1
+MAPAGLSLGAAILCLLAWAGLAAGDRVYVHPFHLLVYSKSNCDQLEKPSVETPPDPTFTP
+VPIQTKSSAVDEEALWEQLVRATEKLEAEDRLRASEVGLLLNFMGFHMYKTLSETWSVAS
+GAVFSPVALFSTLTSFYVGALDPTASRLQAFLGVPGEGQGCTSRLDGHKVLSSLQTIQGL
+LVAQGGASSQARLLLSTVVGLFTAPGLHLKQPFVQSLSSFAPITLPRSLDLSTDPNLAAE
+KINRFMQSVTGWNMGRALTAVSPDSTLLFNAYVHFQGKMKGFSLLPGLKEFWVDNTTSVS
+VPMLSGTGIFHFWSDSQNNLSVTRVPLSANTYLLLIQPHHTPDLRKVEALTFQHNFLTRM
+KNLSPRYGSRKLLGPSWAAPPPQRAGICVGSSAQGVGARGWARAPCRLSLGRPAGGGRAG
+GKGE
+>tr|A0A3Q1M274|A0A3Q1M274_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=ZNF287 PE=4 SV=1
+MFSPSRRTTSSSRAQVLLTWKTDKAQSGPRNAEKEILALRLLRDTETCRQNFRNFPYPDL
+AGPRKALNQLRELCLKWLRPEIHSKEQILELLVLEQFLSILPGEVRTWVKSQYPRNSEEV
+VTLVEDLTQILKEEAAPQNFTLSQETPEDDPKGRQAFQAGWFNDLVTKESVTFKDVAVDI
+TQEDWELMRPVQKELYKTVTLQNYWNMVSLGLTVYRPTVIPMLEEPWMVLKEILEGPSPE
+WETKAQECTLVENVSKLKKDGIKLIKLEEPSDYDDRMEGQVAETFRRIPTKRRHFGVKKS
+VLSQEDGPVEDYKYDIYRSDFEKHSNLIMQFGTQSDNKTFMYDEDKTFIPGVVHRKIYPG
+EKPYKCKVCGKKFRKYPSLIAHQNSHAKEKSYECEECGKEFRHVSSLIAHQRMHTGEKPY
+ECHQCGKAFSQRAHLTIHQRIHTGEKPYKCDDCGKDFSQRAHLTIHQRTHTGEKPYKCLE
+CGKTFSHSSSLINHQRVHTGEKPYICNECGKTFSQSTHLLQHQKIHTGKKPYKCNECWKV
+FSQSTYLIRHQRIHSGEKCYKCNECGKAFAHSSTLIQHQTTHTGEKSYICSICGKAFSQS
+ANLTQHHRTHTGEKPYKCSVCGKAFSQSVHLTQHQRIHNGEKPFKCNICGKAYRQGANLT
+QHQRIHTGEKPYKCNECGKAFIYSSSLNQHQRTHTGERPYKCNACNKDFSQRTCLIQHQR
+IHTGEKPYACRICGKSFTQSTNLIQHQRVHTGAKNRN
+>tr|Q2KIC7|Q2KIC7_BOVIN Serine/threonine-protein phosphatase OS=Bos taurus OX=9913 GN=PPP6C PE=2 SV=2
+MAPLDLDKYVEIARLCKYLPENDLKRLCDYVCDLLLEESNVQPVSTPVTVCGDIHGQFYD
+LCELFRTGGQVPDTNYIFMGDFVDRGYYSLETFTYLLALKAKWPDRITLLRGNHESRQIT
+QVYGFYDECQTKYGNANAWRYCTKVFDMLTVAALIDEQILCVHGGLSPDIKTLDQIRTIE
+RNQEIPHKGAFCDLVWSDPEDVDTWAISPRGAGWLFGAKVTNEFVHINNLKLICRAHQLV
+HEGYKFMFDEKLVTVWSAPNYCYRCGNIASIMVFKDVNTREPKLFRAVPDSERVIPPRTT
+TPYFL
+>tr|A0A3Q1M0W3|A0A3Q1M0W3_BOVIN IF rod domain-containing protein OS=Bos taurus OX=9913 GN=LOC787600 PE=3 SV=1
+MTCGSACFSSRSGVRSSSSCVTTQRYAPGRTFSCVSACGPRPSRCCITAAPYRGVSCYRG
+LTGGFSSRSVCGGSRAGSSSRSFGYRSGGPSPPCITSVSVNQSLLKPLNLEIDPNAQRIK
+HQEKEQIKSLNSKFAAFIDKVCFLEQQNKLLETKWKFYQNQRCCESNLEPLFNGYIETLR
+REAERVEADTGRLASELNSLQEVLQGYKKKYEEEVALKTTAENEFVKIKQEVNRAYVLKG
+DLEANAHSLVEEVGFLKTLYEEELRVMQAHISDTSVVVKMDNSRYLNMDSIVAEIKAHYD
+EIASRSRAEAESWYRSKYEEIKATVIRHGETLRRTKEEINELNRLIQRLTAEIENAKSQN
+SKLEAAVTQAEQQGEAALNDARGKLAGLEKTLQKAKQDMACLLKEYQEVMSAKLGLDIEI
+ATYRRLLEGEEQRLCEGISAVNVRVSSSRGGVVCGDLSATRTCGIGSYGVGACGSSCKKC
+>tr|A0A3Q1NAW3|A0A3Q1NAW3_BOVIN Triggering receptor expressed on myeloid cells 2 OS=Bos taurus OX=9913 GN=TREM2 PE=4 SV=1
+MEPVVLLILLAVTELSRAHNTTVFQGMMGRSLRVSCPYNSLKHWGRRKAWCRQLGEEGLC
+QQVVSTHPSWLLSFLKRRNGSTAITDDALGGTLTITLRNLQTHDAGLYQCQSLHGSEADT
+LRKVLVEVLADPRDYQDPGDLWIPEGSESFENAQVEHSISRSLSEEESPFPPTSILFLLA
+CIFLSKLLAASALWAAAWHGQKQRPPQASGPDCGHNPGYQLQTLTGELGEHSGGQGRRGG
+AERR
+>tr|Q2KI70|Q2KI70_BOVIN MAGE family member E2 OS=Bos taurus OX=9913 GN=MAGEE2 PE=2 SV=1
+MSLVSKNACHRSAETTADYSDFHGEMQATDASGLPASMIVPDAPQGPQAPVDPQVASASQ
+AAQDPKDLDVLIDEQSRRLGALRVHDPLEDRSIALVNFMRMKSQIEGSIQQTEMLEFLRE
+YSDQFPEILRRASAHLDRVFGLNLRVLDPQADTYNLISKRGLQTNDWIAESLDMPKAGLL
+ALVLGHILLNGNRARETSIWDLLLKADVLGEPQRINIPFGNTRNLLTTDFVRMRFLEYWP
+VYGTNPLEFEFLWGSRAHKEITKMEALKFVAEAHDEEPWSWPEEYNKALEADKAKERSQA
+AGLEFWSEDTMNDKANDLVQLAINVTEELLPIHQDELLAHTGKEFEDVFPNILSRATLIL
+DLFYGFSLIEVDTSEHIYLLVQQPESEEEQMMLESLGRPTQEYVMPILGLIFLMGNRVKE
+ANVWNLLRRFGVDVGRKHAITCKLMRQRYLECRPLSYSNPVEYELLWGPRAHLETTKMKA
+LEYMARLYRKQPQDWPEQYREAVEDEEARARSEATAMFFFGPM
+>tr|Q2KHT5|Q2KHT5_BOVIN Glutamyl-tRNA amidotransferase subunit B OS=Bos taurus OX=9913 GN=GATB PE=2 SV=1
+MAAPMLRWCCPGRRFAFARVGCGSCHRRGPPTGSTSNGKRGQSSVAQQPHITAQKPRKGE
+PEWAAVVGLEIHAQIASNSKLFSGSQVCFAAPPNSLVSFFDASLPGTLPVLNRRCVEAAV
+MTGLALDCHINRKSLFDRKHYFYADLPAGYQITQQRLPIAVNGSLAYSVYVGRKPSQMVT
+RTVRVKQIQLEQDSGKSLHDDLRSQTLIDLNRAGIGLLELVLEPDMSCGEEAATAVRELQ
+LILQALGTSQANMAEGQLRVDANISVHRPGEPLGIRTEVKNLNSARFLAKAIDYEIQRQI
+NELENGGEILNETRSFDFKLGCTVPMRDKEGKQDYRFMPAQPAPAAALRLRVPPLRRRPA
+AGDQHRPAAGASPRAAPRDPPEARATVRDAARAQLRPAE
+>tr|A5PJU0|A5PJU0_BOVIN Nucleolar protein 6 OS=Bos taurus OX=9913 GN=NOL6 PE=2 SV=1
+MGPAPARAEHRGTAGEPEVMESALEGTGKEGKKESLKKRKMAGSPGEGLLQPVKLSRAEL
+YKEPTNEELSRLRETESLFHSSLLRLQVEELLKEVRLSEKKKERIDAFLREVNQRIMRVP
+STPETELTNQAWLPDGVQVPIHQVPYTVKGRFHFLPPAQVTVVGSYLLGTCIRPDINVDV
+ALTIPREILQDKDGLNQRYFRKRALYLAHVAHHLAKDPLFGSVRFSYTNGCHLKPSLLLR
+PHGKDERLVTVRLHPCPPPDFFRPCRLLPSKSNVRSAWYQGQSPSGDGSPEPPTPHYNTW
+LLQDTALESHVQLLSAVLGSASGLKDGVALLKVWLRQRELDKGLGGFSGFLVSMVVAFLV
+STRKIHTTMSGYQVLRSTLQFLASTDLTVNGISLCFSSDPSLPALADFHQAFPVVFLDSS
+GRLNLCADITTSTYHQVQHEARLSMALLDSKADDGFQLLLMTPKPMIRAFDHIVHLRPLS
+RLQAACHRLKLWPELQDLGGDYVSAALGPLTTLLEQGLGSRVQLLAHSRPPVSEWDISQE
+PPKHRDPGVLTLGLLLRPEGLTSVLELGPEADQPEAADFRQFWGSRSELRRFQDGAIREA
+VVWEAASMAQKRLIPHQVVTHLLALHADIPDTCVHYTGGLLDALIQGLKETSSTGEEALA
+AAVRCYDDLSRLLWGLDGLPLTVSAVQGAHPVLRYTEVFPPTPVRPAHSFYEQLRERASL
+LPRPDKPCPAYVEPMTVVCHLEGSGQWPQDAEAIRRVRAAFQLRLAELLTQQHGLPCRAT
+ATHTDVLKDGFVFRIRVAYQREPQILKETRSPAGMISLRDTPASLRLERDTRQLPLLTSA
+LHGLQQQHPAFSGVARLAKRWVRAQLLGGELTDESLDLVAAALFLHPEPFSPPSSPQVGF
+LRFLFLISTFDWKNNPLIVNLNNELTVEEQVEIRSVFLATRAKLPVMVIVTPQDRKSSVW
+TQDGPSPQILHQLVILAAEALPVLEKQLMDPRGPGDIRTVFRPPLDMYDVLIRLAPRHIP
+RHRQAVDSPAASFCRGLLSEPGPSSLMPVLGYDPPQLYLAQLREAFGHLALFFYDQHGGE
+VIGVLWKPTSFQPQPFKVSNTKGRIVVSQGGEPVMVPNVEAILEDFAILGEGLVQAVEAR
+SERWTV
+>tr|Q2KII9|Q2KII9_BOVIN Dynein cytoplasmic 1 light intermediate chain 1 OS=Bos taurus OX=9913 GN=DYNC1LI1 PE=1 SV=1
+MAAVGRVGSFGSCPPGLTATYTGGPLANELASGNGAAAAGDDEDGQNLWSCILSEVSTRS
+RSKLPAGKNVLLLGEDGAGKTSLIRKIQGIEEYKKGRGLEYLYLNVHDEDRDDQTRCNVW
+ILDGDPYHKGLLKFSLDAISLKDTLVMLVVDMSKPWTALDSLQKWASVVREHIDKLKIPP
+EEMKEMEQKLVRDFQEYVEPGEDFPASPQRRSTASQEDRDDSVLLPLGADTLTQNLGVPV
+LVVCTKCDAISVLEKEHDYRDEHFDFIQSHIRKFCLQYGAALIYASVKENKNIDLVYKYI
+VQKLYGFPYKIPAVVVEKDAVFIPAGWDNDKKIGILHENFQTLKAEDNFEDIITKPPVRK
+FVHEKEIMAEDDQVFLMKLQSLLAKQPPTAAGRPVDASPRVPGGSPRTPNRSVSSNVASV
+SPIPAGSKKIDPNMKAGATSEGVLANFFNSLLSKKTGSPGGPGVGGGSPGGGAAGGGGGL
+PPSAKKSGQKPVLSDVHAELDRIARKPVTVSTTTPTSPAEGEAS
+>tr|F6RP72|F6RP72_BOVIN Tubulin alpha chain OS=Bos taurus OX=9913 GN=LOC100295712 PE=3 SV=2
+MPHSLLCFQRECISIHVGQAGVQIGNACWELYCLEHGIQPDGQMPSDKTIGGGDDSFNTF
+FSETGAGKHVPRAVFVDLEPTVVDEVRTGTYRQLFHPEQLITGKEDAANNYARGHYTIGK
+EIVDLVLDRIRKLADLCTGLQGFLIFHSFGGGTGSGFASLLMERLSVDYGKKSKLEFAIY
+PAPQVSTAVVEPYNSILTTHTTLEHSDCAFMVDNEAIYDICRRNLDIERPTYTNLNRLIG
+QIVSSITASLRFDGALNVDLTEFQTNLVPYPRIHFPLATYAPVISAEKAYHEQLSVAEIT
+NACFEPANQMVKCDPRHGKYMACCMLYRGDVVPKDVNAAIATIKTKRTIQFVDWCPTGFK
+VGINYQPPTVVPGGDLAKVQRAVCMLSNTTAIAEAWARLDHKFDLMYAKRAFVHWYVGEG
+MEEGEFSEAREDLAALEKDYEEVGVDSVEAEAEEGEEY
+>tr|Q2T9U6|Q2T9U6_BOVIN EGF-like-domain, multiple 7 OS=Bos taurus OX=9913 GN=EGFL7 PE=2 SV=1
+MWGSQELLPLWFLVLAAGGIEHVYRPGRRVCVVGAPQGPASESFVQRVYQPFLTTCDGYR
+ACSTYRTLYRTAYRRRPGPDPTRPRYACCPGWKWTGGVPGACGAAICQPPCQNGGSCVLP
+GRCHCPAGWQGNACQTDVDECSAGEGGCPQRCVNTAGSYWCQCWEGHRPSVDRAVCLPER
+GAPRVTPDPTTGADSEVKEEVQRLQSRVDVLEQKLQLVLAPLHSLASRALEHGLPDPGSL
+LAHSLQQLDRIDSLSEQISFLEEQLGSCSCKKEV
+>tr|G3MYP3|G3MYP3_BOVIN Programmed cell death 1 ligand 2 OS=Bos taurus OX=9913 GN=PDCD1LG2 PE=2 SV=2
+MMRLPQSDPVQSMFLLLILSLGLQLQQTVALFTVTIPKEMYMVDYGSNVTLECDFDTGGP
+VELGILKASLQKVENDTVLLSERATLLEEQLPLGKALFLIPRIQLKDAGQYRCLIIYGIA
+WDYKYLTLKVKASYKKINTRHLKVPGTDEVELTCQAEGYPLAEVSWPNISIPTNTSHTKT
+SEGLYQVTSVLRLKPHPGRNFSCVFWNANVKELTSATIVQGPLEDPKIPSSSLLHVFILS
+LIVAPIFIATVVALRKRLCQKLYSGEDSTKRSATMVRREVDRAI
+>tr|E1BAL7|E1BAL7_BOVIN GB1/RHD3-type G domain-containing protein OS=Bos taurus OX=9913 GN=LOC783604 PE=4 SV=3
+MASGSTIMDPICLVRNQNNQLTVSPRALKILEQISQPVVVVAIAGLYRTGKSYLMNRLAG
+RNHGFRLGSTVRSETKGIWMWCVPHPSKEKHTLVLLDTEGLGDVEKGDSSNDSWIFALAV
+LLSSTFVYNSMSTINHQALEQLHYVTELTELIRTKSSPSSDEEEGSAEFVSFFPDFIWTV
+RDFTLELELDGYPITEDEYLENALTLIPGKDPKVQNSNMPRECIRKFFPKRKCFVFDRPT
+NDRKLLLHVMELSDNQLDVNFQKQSEDFCSYISTHAKPKTLREGITVTGGGFGTLVEAYV
+DAINSGGVPCLENAVITLAERENSAAVQKAADHYSEQMTQRLNLPTDTLQELLEVHAACE
+KEAIDIFMERSFKDDKRMFQKKLVDIMEKTKDSFIIQNEEASVKYYEAELKQLSESLMKS
+ISGGTFFVPGGHSLYLEAKNKFEQDYKLVPRKGVKANQVLQSFLQSQARVEEAILQADQA
+LTDADKAMAAECAKKDAAEREQELLREKQNEEKQKMEAQERSFKENLAQLQEKMDREREN
+LLREQQTMLEHKLKMQKELLTEGFKKEAETLNKEIDKLKEDIQTTEKSFNISDVLDVASM
+ILIAVLPGSYKILGMGLKYFTDRK
+>tr|A5PJU2|A5PJU2_BOVIN MMRN1 protein OS=Bos taurus OX=9913 GN=MMRN1 PE=2 SV=1
+MKGARLFILLSSLWSGGFGLNGPMHTWTTTEDENSQKNATSASVPPNKGQSLQVLPTPKI
+TSTEVATAPDSSTSEDSLLKSIPLPSETSASPEGVRKQTLTLTEKTEEGMLKLQTLALQT
+EPTLKFSPKAESVILSNSTLKFLQSFARKTNEQGISPNSVGGVGNRSPRETYLSRGDSPG
+SQRTSKQKPSFETTRGKNWCAYVHTRLSPTVILDNQVTYVPSGRGPCGWTSGPCPQRSQK
+IPNSVYRMQHKIVTSLEWKCCPGFSGPKCQLKAQEQQQLIHSKQAESHAAVGRGTLEQQP
+QDCGDPAVTQKMTDQMNYQAVKLALLQKKIDNISLAVSDVRSTYSSLEEKINEDKAGEFK
+SFLKGLKSKSINDLVKEIVREQFKIFQNDIEETVAQLFKTVSTVSKELENVRQIIQQVNE
+SVISVAVQQKSVLMQENRPTSIDILDLKDHIVNIRQEMTLMCEKPVKELEAKQTRLEDAL
+EQERSSRILYYESLNKTLSKMKEVQEHLLSTEQVSNQKTVPIPVSVSNNFTEYVSTLHQN
+IKKQGLMLLQISDDLHAQDSKINNLTLALEMEKESVREECEDMLSKYRDGFKVQIKDTEE
+NLQVLNQTLIEFLFPMDNKMDKMNEQLNDLTYDMEILQPLLEQGASLRETMTYEQPKEVV
+ATKKKVESLTSAVNSLNVLTKELTRRYNLLRNEVQSRGDALERRIDEHALEMEDGLNKTI
+TIVNDAIDFIQDNYVLKETLNIIKYNPEIHHKCTQNMDTILTFISQFQHLNDSIQILVND
+SQRYNFVLQVAKALAYIPKDEKLRPSNFQKISKMFNETSSQVIKFQQNISHLEEKILSAT
+KISKNVETRLQGIESKVTKMLTPYYVSLKKDSAATNESNQALQLQVLNSRFKALEAKSIH
+LSINLSLINKTLYEVVTTCHDASRSISELNATIPKRIKDSLPNIQLLQTSLAEYVESVIE
+IKTQIALSNLTWYINQSLSSSLANIVKSQKQIKASLKKPNTLKTTVNLTTVQIGRAQRNT
+DNILLPVTEEYSYCSRSPCQNGGTCINARTSFICACRHPFTGDNCTVKVAGENALAPVHI
+>tr|F1N1W3|F1N1W3_BOVIN Thrombospondin-2 OS=Bos taurus OX=9913 GN=THBS2 PE=4 SV=2
+MLWPLLLLALWAWPSAQAGDQDEDTAFDLFSISNINRKTIGAKQFRGPDPSVPAYRFVRF
+DYIPPVSAEHLGRITEAMRRKEGFFLTASMKQDRRSRGTLLALEGPGATHRQFEIVSNGP
+ADTLDLTYWVDGTQHVISLEDVGLADSQWKNVTVQVTGETYSLYVGCDLMDSFALDEPFY
+EHLQTERSRMYVAKGAARESHFRGLLQNVYLVFENSVEDLLSKKGCQQSQGAETNAISEN
+TETLHLSPMVTMEHVGPSAEKSPEVCEHSCEELGSMIRELSGLHVIVNQLHENLRKVSND
+NQFLWELIGGPPKTRNVSACWQDGRFFAENETWVVDSCTKCTCKKFKTVCHQISCPPATC
+ADPWFVEGECCPSCVHDGEEGWSPWAEWTECSATCGSGTQQRGRSCDVTSNTCLGPSIQT
+RACSLGRCDHRIRQDGGWSHWSPWSSCSVTCGVGNVTRIRLCNSPVPQMGGRSCKGSGRE
+TKACQGPPCPVDGRWSPWSPWSACTVTCAGGIRERTRVCNSPEPQHGGKDCVGGAKEQQM
+CNRKSCPIDGCLSNPCFPGAECSSFPDGSWSCGSCPGGFLGNGTHCEDLDECAVVTDVCF
+ATSKAHRCVNTNPGYHCLPCPPRYKGNQPFGVGLEAARTEKQVCEPENPCKDKTHSCHRH
+AECIYLGHFSDPMYKCECQTGYAGDGLICGEDSDLDGWPNKNLVCATNATYHCVKDNCPL
+LPNSGQEDFDKDGIGDACDDDDDNDGVTDEKDNCQLLFNPRQFDYDKDEVGDRCDNCPYV
+HNPAQIDTDNNGEGDACSVDIDGDDVFNERDNCPYVYNTDQRDTDGDGVGDHCDNCPLVH
+NPDQTDVDNDLVGDQCDNNEDIDEDGHQNNQDNCPYIPNANQADHDRDGQGDACDSDDDN
+DGIPDDRDNCRLVANPDQEDSDGDGRGDACKDDFDNDSIPDIDDVCPENNAISETDFRNF
+QMVHLDPKGTTQIDPNWVIRHQGKELVQTANSDPGIAVGFDEFGSVDFSGTFYVNTDRDD
+DYAGFVFGYQSSSRFYVVMWKQVTQTYWEDQPTRAYGYSGVSLKVVNSTTGTGEHLRNAL
+WHTGNTEGQVRTLWHDPKNIGWKDYTAYRWHLTHRPKTGYIRVLVHEGKQVMADSGPIYD
+QTYAGGRLGLFVFSQEMVYFSDLKYECRDV
+>tr|A5D7J8|A5D7J8_BOVIN EFNA2 protein OS=Bos taurus OX=9913 GN=EFNA2 PE=2 SV=1
+MAPAQRPLLPLLLLLLPLPPPPFAHGEDAARANSDRYAVYWNRSNPRFHAGAADDGGGYT
+VEVSINDYLDIYCPHYGAPLPPAERMEHYVLYMVNGEGHASCDHRQRGFKRWECNRPAAP
+GGPLKFSEKFQLFTPFSLGFEFRPGHEYYYISATPPNAVDRPCLRLKVYVRPTNETLYEA
+PEPIFTSNNSCSGLGSCQLFLSTVPVLWTLLGS
+>tr|F1MM96|F1MM96_BOVIN Dermatan-sulfate epimerase OS=Bos taurus OX=9913 GN=DSE PE=4 SV=2
+MRTHTRGAPSVFFICLFCFVSACVTDENPEVMIPFTNANYDSHPMLYFSRAEVAELQLRA
+ASSHEHIAARLTEAVNTMLSSPLEYLPPWDPKEYSARWNEIYGNNLGALAMFCVLYPENM
+EARDMAKDYMERMAAQPSWLVKDAPWDEVPLAHSLVGFATAYDFLYNYLSKTQQEKFLEV
+IANASGYMYETSYRRGWGFQYLHNHQPTNCMALLTGSLVLMNQGYLQEAYLWTKQVLTIM
+EKSLVLLREVTDGSLYEGVAYGSYTTRSLFQYMFLVQRHFDINHFGHPWLKQHFAFMYRT
+ILPGFQRTVAIADSNYNWFYGPESQLVFLDKFVMRNGSGNWLADQIRRNRVVEGPGTPSK
+GQRWCTLHTEFLWYDASLKSVPPPDFGTPTLHYFEDWGVVTYGSALPAEINRSFLSFKSG
+KLGGRAIYDIVHRNKYKDWIKGWRNFNAGHEHPDQNSFTFAPNGVPFITEALYGPKYTFF
+NNVLMFSPAASKSCFSPWEGQVTEDCSSKWSKYKHDPAASCQGRVVAAVEKNGVVFIRGE
+GVGAYNPQLHLRNVQRNLILLHPQLLLLVDQIHLGEDSPLERAASFFHNVDFPFEETVVD
+GVHGALIRQRDGLYKMYWMDDTGYSEKGTFASVTYPRGYPYNGTNYVNVTTHLRSPVTRA
+AYLFIGPSIDVQSFSIHGDAQQLDVFVATSEHAYATYLWTGETAGQSAFAQVIADRQKIL
+FDRSSAIRSSVVPEVKDYAALVEQNLQHFKPVFQLLEKQILSRVRNTASFRKTAERLLRF
+SDKRQTEEAIDRIFAISQQQQQQQSKSKKNRRGGKRYKFVDAVPDIFAQIEVNERKVRQK
+AQILAQKELPVDEDEEMKDLLDFADITYEKHKNGDVMNGRFGQARMVTTTHSRAPALSAS
+YTRLFLILNIAIFFVMLAMQLTYFQRAQSLHGQRCLYAVLLIDSCILLWLYSSCSQSQC
+>tr|A6QNT7|A6QNT7_BOVIN TTC14 protein OS=Bos taurus OX=9913 GN=TTC14 PE=2 SV=1
+MDRDLLRQSLNFHGPSLLSLLRSEQQDNPHFRSLLGSVADPARGPPGQQQLPGRKERRVD
+NIEIQKFISKKADLLFALSWKSDAPTTAEVSEDNDDYYAVMPPLEQFMDIPTMDRRELFF
+RDIERGDIVIGRISSIREFGFFMVLICLGSGIMRDISHLEITALCPLRDVPSISNHGDPL
+SYYQTGDIIRAGIKDIDRYHEKLAVSLYSSSLPPHLSGIKLGVISSEELPLYYRKSVELN
+SNSLESYENIMQSSLGFVNPGVVEFLLGKLGIDESNPPSLMRGLQSKNFSEEDFASALRK
+KQSASWALKCVKIGVDYFKVGRHVDAMNEYNKALEIDKQNVEALVARGALYATKGSLNKA
+IEDFELALENCPTHRNARKYLCQTLVERGGQLEEEEKFLNAESYYKKALALDETFKDAED
+ALQKLHVYMQVILYFLLEM
+>tr|Q3MHE1|Q3MHE1_BOVIN tRNA-splicing endonuclease subunit Sen34 OS=Bos taurus OX=9913 GN=TSEN34 PE=2 SV=1
+MLVVEVANGRSLVWGAEAVQALRERLGVGGRTVGALPRGPRQNSRLGLPLLLMPEEARLL
+AEIGAVTLVSAPRPDPRQHSLALASFKRQQEQGFQEQSTLAAEARETRRQELLEKITEGQ
+AAKKLKLEQESGTSGSQEAGGKQAAEENEASAGQAAGEHEEAHEGSSPQPGPSNGVAPLP
+KSALLIQLATARPRPIKARPLDWRVQSKDWPHAGRPAHELRYSIYRDLWERGFFLSAAGK
+FGGDFLVYPGDPLRFHAHYIAQCWAPEDPIPLQDLVSAGRLGTSVRKTLLLCSPQPDGKV
+VYTSLQWASLQ
+>tr|A0A3Q1M6W1|A0A3Q1M6W1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC112446888 PE=4 SV=1
+MCCNYYGNSCGYGCGKTYSCGFSPYYGCGYGTRYGCGYGSGYGCGYGTGYGCGFGPYYGC
+GYGTRYGCGYGSGYGSYWPVCYRRCYSSCF
+>tr|E1B8Q4|E1B8Q4_BOVIN CTF18, chromosome transmission fidelity factor 18 homolog OS=Bos taurus OX=9913 GN=CHTF18 PE=4 SV=1
+MEDYELELYGVEDDFDSQFAAELEVLAELEGSAALSPSRDPRPTVGRPRQTFEEAIVGGD
+AAIHCSPGGPPRNSKGSVRKRHLAPDIQGDRSLPPAPHIKRSRLQAVRRLNFRSEEMEEM
+TPPDSPTLDITPPPSPEIPDELLGEGPLDSGADAGLTQASLATRNPVLRRPPVLEDYVNV
+TSTGGDRAFLVLRADPVGTGVQSPFHDTGWRGRGQLDLLGMPFTSLKEKVDSERRRRLLE
+EAQRLSDMLCSLRSQEVEEETQPSGAPEEEPADSQDASQHCLWVDEFAPQRYTELLSDDF
+TNRCLLKWLKLWDLVVFGREKPVQKPRPSAEPARGGKEATASSKWKSHEQVLEEMLEAEL
+DPSGRPRQKVALLCGPPGLGKTTLAHVIARHAGYCVVEMNASDDRSPEAFRTRIEAATQM
+ESVLGAGGRPNCLVIDEIDGAPTAAINVLLSVLDRKGPQQAGPGGPSVPTGGGRRRRAEA
+GLLMRPIICICNDPFAPSLRQLKQQALLLHFPPTLPSRLMQRLQEISLRRGMRADPGALA
+ALCEKTDNDIRACINALQFLHRRGQRELSVQAVQSTRIGLKDQRKGLFSVWQEVFQLPRA
+QRRRVRQDSTLRTHMLLLGDQLSGSGPLAAEAPLTTAAQRFYHILHVAMSAGEHEKVVQG
+LFDNFLRMRLRDSSLGAVCTALDWLAFDDLLGRAALHSQSFQLMCYLPFLLPAFHLLFAS
+SHVPRITFPSSQQEAQNRMNQTQNLIQTLVSGITPATRSWAAPQALILDTLCLLLDILTP
+KLRPVSTQLYSAREKQQLASLVGTMLAYSLTYRQDRTPDGQYIYRLEPNVEEVCRFPELP
+ARKPLTYQAKQLIAREVEVEKMRRVEASARARDGPQVDGGPPGGSGEKELQPPAPCNHKQ
+RLECILKRAALEEQPERDFFGRVVVKRAAAPSTGHEAPETDTAERRVGTAVGRSDVWFRF
+KEGVSNAVRRSLYIRDLL
+>tr|A6H733|A6H733_BOVIN DLX1 protein OS=Bos taurus OX=9913 GN=DLX1 PE=2 SV=1
+MTMTTMPESLNSPVSGKAVFMEFGPPNQQMSPSPMSHGHYSMHCLHSAGHSQPDGAYSSA
+SSFSRPLGYPYVNSVSSHASSPYISSVQSYPGSASLTQSRLEDPGADSEKSTVVEGGEVR
+FNGKGKKIRKPRTIYSSLQLQALNRRFQQTQYLALPERAELAASLGLTQTQVKIWFQNKR
+SKFKKLMKQGGAALEGSALANGRALSAGSPPVPPGWNPNSSSGKGSGGSAGSYIPSYTSW
+YPSAHQEALQQPQLM
+>tr|Q0P5D5|Q0P5D5_BOVIN LHFPL tetraspan subfamily member 6 OS=Bos taurus OX=9913 GN=LHFPL6 PE=2 SV=1
+MASSLTCTGVIWALLSFLCAATSCVGFFMPYWLWGSQLGKPVSFGTFRRCSYPVHDESRQ
+MMVMVEECGRYASFQGIPSAEWRICTIVTGLGCGLLLLVALTALMGCCVSELISRTVGRV
+AGGIQFLGGLLIGAGCALYPLGWDSEEVRQTCGYISGQFDLGKFSS
+>tr|Q1RMX7|Q1RMX7_BOVIN N-acetylneuraminate synthase OS=Bos taurus OX=9913 GN=NANS PE=1 SV=1
+MPLELELCPGRWVGGHHPCFIIAEIGQNHQGDLDVAKRMIRMAKECGADCAKFQKSELEY
+KFNRKALERPYTSKHSWGKTYGEHKRHLEFSHAQYKELQKYAEEVGIFFTASGMDEMAVE
+FLHELNVPFFKVGSGDTNNFPYLEKTAKKGRPMVISSGMQSMDTMKQVYQIVKALNPNFC
+FLQCTSAYPLQPEDVNLRVISEYQKLFPDIPIGYSGHETGIAISVAAVALGAKVLERHIT
+LDKTWKGSDHSASLEPGELAELVRSVRLVERALGSPTKQLLPCEMACNEKLGKSVVAKVR
+IPEGSILTLDMLTVKVGEPKGYPPEDIFSLVGKKVLVTVEEDDTIMEELVENHGKKIRS
+>tr|Q32PE6|Q32PE6_BOVIN Uncharacterized protein MGC133647 OS=Bos taurus OX=9913 GN=MGC133647 PE=2 SV=1
+MEDFQRSSCTTFLCTQKGMLLFAEIILCLVILTLYGVSTSGYISLSLIELILAIIFFIIY
+TCDLHTKIQFIHWPWTDFFRSLIAAIIYLITSIIVLVERGYRSRIVAGVLGLIATALFGY
+DASITCPMRQ
+>tr|Q58D09|Q58D09_BOVIN Uncharacterized protein FLJ20584 OS=Bos taurus OX=9913 GN=FLJ20584 PE=2 SV=1
+MGSPHSCPLALARASGMDRCPLQAQLGQVTTTKSVTQGQQPECCVDAGNINATCPGTSLC
+GPGCYGRPAEDGSVSCVQCRNGTHNSSECRGLAGRGAQFPVNKSAGMPGWQSVGGPQVAA
+SLFLGTFLISSGLILSVAAFFYLKRASKLPKVFYGRNRAPALQPGEAAVMIPPPQSSVRK
+PRYVRRERPLDRDVGPTTVSSVEARVSNV
+>tr|A6QPV0|A6QPV0_BOVIN EBI3 protein OS=Bos taurus OX=9913 GN=EBI3 PE=2 SV=1
+MALRLVLAFAVSLWLGCSFCREREGHPVASSQPRVRCQASRYPVAVDCSWTLPPTPPNST
+RPTSFIATYRLGVAAHGESWPCLQQTPEATSCVIPDVQMFSMVPYVLNITAVHPGGVSSS
+FVPFVPEHIIKPDPPEGVCLSPLPGQRLWVQWEPPRTWPFPEIFSLKYRIRYKRHGAARF
+RQVGPIEATSFTLKAVRPQAKYCIQVAAQDLTDYGEWSAWSLPAAASMTLGK
+>tr|Q17QT5|Q17QT5_BOVIN ACAP2 protein OS=Bos taurus OX=9913 GN=ACAP2 PE=2 SV=1
+MKMTVDFEECLKDSPRFRAALEEVEGDVAELELKLDKLVKLCIAMIDTGKAFCVANKQFM
+NGIRDLAQYSSNDAVVETSLTKFSDSLQEMINFHTILFDQTQRSIKAQLQNFVKEDLRKF
+KDAKKQFEKVSEEKENALVKNAQVQRNKQHEVEEATNILTATRKCFRHIALDYVLQINVL
+QSKRRSEILKSVRYLLK
+>tr|E1BJE4|E1BJE4_BOVIN Exonuclease domain-containing protein OS=Bos taurus OX=9913 GN=ERI2 PE=4 SV=2
+MATKRLARQLGLIRRKSIPPANGNLGRSKSKQLFDYLIIIDFESTCWNDGKRHRSQEIIE
+FPAVLLNTSTGEIESEFHAYVQPQEHPILSEFCVELTGIKQAQVDEGVPLKICLSQFCKW
+IQKIQQQKKIIFATTVSDISTSEVKLCAFVTWSDWDLGVCLEYECKRKQLLKPVFLNSWI
+DLRVTYKIFYRRKPKGLSGALQEVGMQFLGREHFGLDDSRNTALLAWKMIRDGCLMKITR
+SLNKVSTKRIPNIFTRNLNMDQVEETSTCTNNTHDPSIYGGEPKNSIKPYKKIQMKSVGV
+NSPIKVQQDQLQQKDSIKAGLCNVRSCLSLSNATKSWTSLGQLQSSSRNTPMQKQINQHL
+AFNTNSKSLAVGSELVPVSTTISSFNNVSDIEVSSALDCLPMLADWEDVALLPASQPEQN
+ISCIPLVSDSNVDTSLNSGERVMVLEESEMLSHENFGGTEETPQKSVTSKSIVYKSPHTT
+IYNVKEAKDPGSDTFGFKLPERKSSNFNSVNSNVSHPLVLGKHRLCLDDSKRNPSSPPLF
+PPAKKQTFTIHEEKPASSNDSPGAISSWKILPSVLTSTVNLQEPWKSRKITPPLCKCGRR
+SKRLTVSNNGPNHGKVFYCCPVGKYQEKRKCCGYFKWEQTLQEERANSIVLSDSPGGLTF
+SSLETNLICDRNVNFSTKNSLRLRPSMRN
+>tr|A0JNA9|A0JNA9_BOVIN IKAROS family zinc finger 2 OS=Bos taurus OX=9913 GN=IKZF2 PE=2 SV=1
+METEAIDGYITCDNELSPEREHSNMAIDLTSSTPNGQHASPSHMTSTNSVKLEMQSDEEC
+DRKPLSREDEIRGHDEGSSLEEPLIESSEVADNRKVQELQGEGGIRLPNGERPFHCNQCG
+ASFTQKGNLLRHIKLHSGEKPFKCPFCSYACRRRDALTGHLRTHSAHQAPLSMGFSRQEY
+WSGVPFPTPGDLTNSHQPGIKPVSLASSTLTDGFFTTSAT
+>tr|Q08DM0|Q08DM0_BOVIN Serpin peptidase inhibitor, clade A (Alpha-1 antiproteinase, antitrypsin), member 12 OS=Bos taurus OX=9913 GN=SERPINA12 PE=2 SV=1
+MNPFLGLGLLLAGLLTVEGLLKSNFSPENHEAVSQGQVEKGKGTAQELAKRNADFGLKLF
+KKLSFSSPDNNILFSPWSISMAFSMLSLGAQDSTLAEIKEGFNFRNIPEKDLHEAFHYLI
+HRLNQRNQDQRLGLGNALFIDQKVKPKQKFLTEVRNMYKADTIPTNFRNSENAQKQINNY
+VSQKTQGKINNLVKNIDPGTVMLLINYIFFRARWQHEFDPKETKEEDFILDRNKTVKVPM
+MFHVGMYKVGHDDQLSCTILEIPYQSNFTAIFVLPEEGRMKQVEQALGPDTFARWKKLLV
+RRVADVFVPRLTITSSYDLKKMLSHLGISKIFEEHGDLTRISPHRNLKVDEAVHKATLKM
+DEKGTEGAAGSGAQTLPMETPIRVKINHRFLLMIWETKMNNLLFFGKIVNPSGR
+>tr|Q58DN8|Q58DN8_BOVIN Tetratricopeptide repeat domain 4 OS=Bos taurus OX=9913 GN=TTC4 PE=2 SV=1
+MKNSPSEIDPLENPDLACLQSIIFDEERSPEDQARTYKDEGNDYFKEKDYKKAVISYTEG
+LKKKCADPDLNAVLYTNRAAAQYYLGNFRSSLNDVTAARKLKPCHLKAIIRGASCHLELK
+NYVEAVNWCDEGLQIDATEKKLLDLRAKADKLKRTEQRDVRKAKLKEKKQQDQNEALLQA
+IKARNIRLVAEAAGEDEDSASEGLSELVLHGLGSENPCGARLGVDDQGRLSWPVLFLYPE
+HAQSDLVSAFHEDSRFIDHLMVMFGETPSWDLDQKYCPDNLEVYFEDEDRAELYCVPPSS
+TLLQVLQHPRYFVKALTPTFLVCVGSSGFCRNYLRGKKVHQVK
+>tr|A6QQ46|A6QQ46_BOVIN LTBR protein OS=Bos taurus OX=9913 GN=LTBR PE=2 SV=1
+MRLLWATSHRGLAWGLLILGVWGLLAASQPQLEREEPVQGLPYHTENQSCGDQEKEYYES
+KLRLCCSRCPPGTHISTKCSRRQNTVCATCPEKSYNEHWNHLSFCQLCRPCDKMLGFVEL
+TPCTSNRKAQCHCQPGMYCVFWNSECEHCEPLSDCPPGTEAELKDEVIEANSNCVPCKAG
+HFQNTSSPTARCRPHTRCEDQGLVEEAPGTPQSDTSCRNPQEPPDMPGTMLVLAILLPLV
+SFLLLTTVFVYTWKSHPSLCRKLGSLLKRHPEGEESNPADGNWEPPRFNTHVPDLVKPLL
+PAPGDLALASGGVPANPGLEEEVLQQQSPLSQARDLDAEPPEQGQVAPGTNGIHVTGGSV
+TVTGNIYIYNGPVLGGARGPGDPPAPPEPPYPIPEEGAPRPPGLSMPYQEDGKAWHLAET
+ETLGCYAL
+>tr|Q32L61|Q32L61_BOVIN Calcium binding tyrosine phosphorylation regulated OS=Bos taurus OX=9913 GN=CABYR PE=2 SV=1
+MISSKPRLVVPYGLKTLLEGVSRAILKTNPPNITQFAAVYFRELILFREGRPCLDIKDLV
+KQFHQIKVEKWSEGAAQEKKAECVREPERTSTISLEPKRMEKSTDTEEDNIAAPLFSSKT
+TQFPSVQADLSPEPEDAGEALRGPSKPTTPKNATPPSSPLPAAVTSEFAYVPADPAQFAA
+QMLGNVPSVHSDQSEILMVDVATSMPGISEETLSSKAAEENVVAPPSVCSGKLVAEQVVS
+EKSVHVRVGSKAESPTASSSPLQGEQEPPACDHASEVPLQADIEVTSTMHIASIYNDEPV
+IEGVTYVEQLPEQIVIPFSDHVARLKGKEQSPPHSPILVVGKTASRGSEKSVGSAKFVQL
+ESTKYDSSVHVEADGSTKAVSSEKSMHLEVEIFALAPGSAGQESGENPASQETEVKPARS
+GSVRSSSGPQPPVPEGLYEPEMEPERDAAPSNEV
+>tr|F1N281|F1N281_BOVIN Zinc finger protein 438 OS=Bos taurus OX=9913 GN=ZNF438 PE=4 SV=2
+MTAVIQVNDIIMQSSFSVPPKDQGDVSLLPSPVEKLLTQKMLESPGKTTCTGEPNIPPGA
+IHSGKGLQNKSQFRTIAPKIAPQVLAPRVLPGPVPSLSDQANPGPSLGSKPLGMPPQNYA
+LMQVAGQEGTFSLIALPHVASAQPIQKPRMPLPENLKLPIPRYQPPRPGKGVRKKPELER
+GCSKSAQTQAAPPPPEHPEPPHKPNPPKVAPDQAPAALTNRSGHQDPGPPGTSNHGGWTP
+PATPALATPEEPSAEQGLQKSSGRAKVPSKKTPRKPSAVASEKPQEPVDATKAILSSPGV
+IGNAVQVPSSVPRGKLPILPYSRVKTTQVYRSGATVNTAHVPFPGLRTARDQTPSIPEGF
+SAAPQVGDRGPAPPAPGQSPGNSAFCQATKPDLNHKTKLNGETAKRRGRKRKGPDELLTF
+QGKRWKCVLSCKDSKARVKSEPQESRDQKPEAVKKYRSIMPKPVPVLPALALPPATLQPP
+PPGSLGHMSFDHSLAPNHLDWKEDGQPPKPDSAFRNGFSGLRKTWHKCHVCDHPFPCKQH
+LREHTSASPDSRPYSCRLCRKVYKRRGSLSAHVRLHHGDSRPRKLVCCEFCAKVFGHVRV
+YFGHLKEVHGVAISTEPSPCEPQPGDLPRTREQTPCEVEGLVDRETKSSLEEDLLMNQND
+EAGFQIRCGRCQITAQSLAEIKFHLLSVHGEEIQGQLPEESSPSSGSRPAHGELTKQAAP
+FWKRPERRKLLRHHPSAGELCAVPRLKRQLYLHHQNNVETLTKQERAQPGPSEPRGDPQG
+PKCPGPQAALPLPQSGFNCVLCVQILGSKEELLLHWERQHKCEDPPKLWTIFSTLSSQGV
+VQLSSKTGK
+>tr|G3X7M7|G3X7M7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=ZNF471 PE=4 SV=2
+MVLENEMRSPFPDWESIHETQELALKQCVCDDTLMERIASYEQECPIFGENWKCEDLFER
+QLLSQETFIRQERSALKENLTVEIDHRYNKPEKFVPLHSLEENIYDNHKSDEKNFSRNSM
+VLKHKKVYAGKKLFKCNECEKTFTQSSSLTVHQRIHTGEKPYECKDCGKAFNQSQHLVQH
+HRIHTGEKLFECKECRKAFSQNVHLIQHQRIHTGEKPYKCKECRKAFSQPAHLAQHQRIH
+TGEKPYKCKECGKAFSDGSSFARHQRCHTGKRPYECIECGKAFRQNTSLIRHWRYYHTGE
+KPFDCIDCGKAFSDHIGLIQHRRIHTGEKPYKCNVCGKTFSYGSSLTVHQRIHTGEKPYE
+CDICGKAFSHHASLTQHQRVHSGEKPYQCGECGKAFRQSIHLASHLRIHTGEKPYECKEC
+GKAFSISSQLATHQRIHTGEKPYECKECGKAFNQRAHLAQHHKIHTGEKPYDCTECGKAF
+SQATRLIQHQRVHTGEKPYECTECGKAFSDSSSRAQHLRLHTGQKPYACVECEKAFRTKS
+SLTCHQRCHTGEKPYKCSACGKAFSHRQSLTVHQRIHSGEKPYQCKECRKTFSQIGHLNL
+HRRIHTGERSYECKECGEVFRQSAHLAHHHKIHATESSQALSSSPPPASSSPRDMSAEYF
+WNSSTSFHPHCPNPKRSHLIWTIPIV
+>tr|Q2KI73|Q2KI73_BOVIN TM2 domain containing 1 OS=Bos taurus OX=9913 GN=TM2D1 PE=2 SV=1
+MAAACPCRPIAPDTAAARLLGALWFVSVTTGPWGAAAGGGEETLKCEDLKVGQYICKDPK
+INDATQEPVNCTNYTAYVQCFPAPNITCKDFGGNETHFTGNEVGFLKPISCRNVNGYSYK
+VAVALSLFLGWLGADRFYLGYPALGLLKFCTVGFCGIGSLIDFILISMQIVGPSDGSSYI
+IDYYGTRLTRLSITNETFRKTQLYP
+>tr|E1BEB4|E1BEB4_BOVIN Fibulin 2 OS=Bos taurus OX=9913 GN=FBLN2 PE=4 SV=3
+MARLGEPMGAWLALALALALSPGGAAAPGQDCTGVECPPLENCIEDALEPGACCATCVQR
+GCACEGYQYYDCLQGGFVRGRVPAGQSYFVDFGSTECSCPPGGGKISCQFMPCPELPPNC
+IEAVVAADSCPQCGQVGCVHSGRKYAAGHTVRLAPCRACHCPDAGGELICYPLPDCHGDA
+APGNTSDAEDGDPERHYEDPYSYDQEVAEAEALAGELQAGAGGPAALGGGGQPPSALQAT
+LWPAALPRPTAAAALGPPAPVQAKARRVTDDGQEDREVPAVQEQLAAGGPRGLDGRPTAG
+PMPAHPVREEGAEARAGVEENLIPDVQATHRSAGPPPTFSMPNILLTETTQGPPEAPTEP
+SAHAALTTLQQETARAPPTQEVPQQPAGPRSRLEEEEDPNSVHSVPRAGLEVSTKDLIET
+CCAAGQQWAIDSDECLDIPESGAEGDACRTAQKQCCVSYLKEKSCMAGVLGAKEGEACGD
+EDSDTCGVSLYKQCCDCCGLGLRVRAEGQSCESNPNLGYPCNHVMLSCCEGEDPLIVPEV
+RRPPEPEAVPRRVSEAELASREALSLGTETELPNSLPGDDQDECLLLPGELCQHLCINTV
+GSYRCACFPGFSLQDDGRTCRPEGAPPKPEAAEESAQRPESAQVAPNTIALPVPQPNTCQ
+DNGPCKQVCRVVGDTAMCSCFPGYAIMADGVSCEDQDECLLGTHDCNRRQFCVNTLGSFY
+CVNHTVLCAEGFILNMHRKCVDINECVTDLHTCSRREHCVNTVGSFHCYKALTCEPGYRL
+EDGECTDVDECELGTHNCQAGAVCHNTKGSFYCQARQRCLEGFLQDPEGNCVDINECTSL
+SEPCRPGFSCINTVGSYTCQRNPVICGRGYHASQDGTKCVDVNECETGVHRCGEGQVCHN
+LPGSYRCDCKPGFQRDAFGRTCIDVNECWTSPTRLCQHTCENTLGSYRCSCASGFLLAAD
+GKHCEDVNECEAQRCSQECANIYGSYQCYCRQGYQLAEDGHTCTDIDECAQGASILCTFR
+CINVPGSYQCTCPERGYTMTANGRSCKDLDECALGTHNCSEAETCHNIQGGFRCLRFDCP
+PNYVRVSETKCERALCHDFLECQNAPARITHYRLNFQTGLLVPAHIFRISPVPAFAGDTI
+ALTITKGNEEGYFGTRRLNAYTGVVFLQRTVREPRDFALDVEMKLWRQGSVTTFLAKMHI
+FFTAFAL
+>tr|A0A3Q1LKK5|A0A3Q1LKK5_BOVIN Methyl-CpG binding domain protein 5 OS=Bos taurus OX=9913 GN=MBD5 PE=4 SV=1
+MNGGKECDGGDKDGGLPAIQVPVGWQRRVDQNGVLYISPSGSLLSCLEQVKTYLLTDGTC
+KCGLECPLILPKVFNFDPGAAVKQRTAEDVKADEDVTKLCIHKRKIIAVATLHKSMETPH
+PSLVLTSPGGGTNATPVVPSRAATPRSVRNKSHEGITNSVMPECKNPFKLMIGSSNTMGR
+LYVQELPGSQQQEPHPVYPRQRLGSNEHGQKSPFRGSHGGLPSPASSGSQIYGDGSISPR
+TDPLGSPDVFTRNNPGFHGAPNSSPIHLNRTPLSPPSVMLHGSPVQSSCAMAGRTNIPLS
+PTLTTKSPVMKKPMCNFSTNMEIPRAMFHHKPPQGPPPPPPSCALQKKPLTSEKDPLGIL
+DPIPSKPVNQNPVIINPTSFHSNVHSQVPVMNVSMPPAVVPLPSNLPLPTVKPGHMNHGS
+HVQRVQHSASTSLSPSPVTSPVHMMGTGIGRIEASPQRSRSSSTSSDHGNFMMPPLGPQA
+NCSGIKVPPRSPRSTIGSPRPSMPSSPSTKSDGHHQYKDIPNPLIAGMSNVLNPPSSAAF
+PTASAGSGSVKSQPGLLGMPLNQILNQHNAASFPASSLLSAAAKAQLANQNKLAGNNSSS
+SSNSGAVAGSGNTEGHSTLNTMFPPTANMLLPSGEGQSGRAALRDKLMSQQKDSLRKRKQ
+PPTTVLSLLRQSQMDSSAVPKPGPDLLRKQGQGSFPISSMSQLLQSMSCQSSHLSSNSTP
+GCGGSNTALPCSANQLHFTDPSMNSSVLQNSLTQSIPLRGEAMHCHNANTNFVHSNSPVP
+NHHLTGLINQIQASGNCGMLSQSGMALGNSLHPNPPQSRISTSSTPVIPNSIVSSYNQTS
+SEAGGSGPSSSIAIAGINHPAITKTTSVLQDGVIVTTAAGNPLQSQLPIGSDFPFVGQEH
+ALHFPSNSTSNNHLPHPLNPSLLSSLPISLPVNQQHLLNQNLLNIFQPSAGEGKSEINLH
+PLGFLNPNVNAALAFLSGDMDGQVLQPVHFQLLAALLQNQAHAAAMLPLPSFNLAISDIL
+QQQNTPLPSLTQMTAPPDHLPSNQSENSRAETLLTNPLGNPLPSFAGSDTTFNPLFLPAV
+TGASGLMALNPQLLGGVLNSASANTANHPEVSIATSSQATTTTTTTSSAVAALTVSTLGG
+TAVVSMAETLLNISNNAGNTPGPAKLNSNSVVPQLLNPLLGTGLLGDMPSINSTLNNHQL
+THLQSLLNNNQMFPPNQQQQQLLQGYQNLQAFQGQSTIPCPANNNPMACLFQNFQVRMQE
+DAALLNKRISTQPGLTALPENPNTTLPPFQDTSCELQSRIDPSLGQQVKDGLIMGGQGDA
+SVDAIYKAVVDAASKGMQVVITTAVNSTTQISPIPALSAMSAFTASIGDPLSLPSAVSAV
+IHGRNMGSVDHDGRLRSARGARLPSSLDHGKNSSEGDGFEYFKSAGCHTSKKQWDGEQSP
+GGERNRWKCEEFLDHPGHIHNSPCHERPNNVSTLPFLPGEQHPILLPPRNCQGDKILEEN
+FRYNNYKRTMMSFKERLENTVERCAHINGNRSRQSRGFGELLSTTKQDFVLEEQSPSSSN
+SLESSLVKDYIHYNGDFNAKNINGCVPSPSDAKSISSEDDLRNPDSPSSNELIPYRPRTF
+NVGDLVWGQIKGLTSWPGKLVREDDVHSSCQQSPEEGKVEPEKLKTLTEGLEAYSRARKR
+NRKSGKLNNHLEAAIHEAMSELDKMSGTVHQIPQGDRQMRPPKPKRRKISR
+>tr|Q58CR0|Q58CR0_BOVIN Heat shock transcription factor 2 binding protein OS=Bos taurus OX=9913 GN=HSF2BP PE=2 SV=1
+MNEAGGAEETCRHMGTKEEFVKVRKKDLERLTTEVMQIRDFLPRILNGEVLETFQKLKIV
+EKNLERKEQELEQLRMDCEHFRARLESVQADSVREKKEKLALRQQLNEAKQQLLQQAEYC
+TELGAAACTILWGVSSSEEVVKAILGGDKALKFFNITGQTMESFVKSLDGDVKELDSDEN
+QFVFALAGIVTNVAAIASGREFLVNSSRVLLDTILQLLGDLKPGQCTRLKVLMLMSLYNV
+SINLKGLKYISESPGFIPLLWWLLSDPDAEVCLHVLRLVQSVVLEPEVFSRSASEFRSSL
+PLQRILAMAKSRNPHLQTVAQELLEDLRALERDV
+>tr|E1BNY3|E1BNY3_BOVIN Semaphorin 6D OS=Bos taurus OX=9913 GN=SEMA6D PE=3 SV=2
+MRFFLLCAYMLLLLISQLRAVSFPEDDEPLNTVDYHYSRQYPVFRGRPSGNESQHRLDFQ
+LMLKIRDTLYIAGRDQVYTVNLNEIPKTEVIPNKKLTWRSRQQDRENCAMKGKHKDECHN
+FIKVFVPRNDEMVFVCGTNAFNPMCRYYKLNTLEYDGEEISGLARCPFDARQTNVALFAD
+GKLYSATVADFLASDAVIYRSMGDGSALRTIKYDSKWIKEPHFLHAIEYGNYVYFFFREI
+AVEHNNLGKAVYSRVARICKNDMGGSQRVLEKHWTSFLKARLNCSVPGDSFFYFDVLQSI
+TDIIQINGIPTVVGVFTTQLNSIPGSAVCAFSMDDIEKVFKGRFKEQKTPDSVWTAVPED
+KVPKPRPGCCAKHGLAEAYKTSIDFPDETLSFIKSHPLMDSAVPPIADEPWFTKTRIRYR
+LTAIAVDHSAGPHQNYTVIFVGSEAGVVLKVLAKTSPFSLNDSVLLEEIEAYNHAKCSAE
+NEEDRKVISLQLDKDHHALYVAFSSCVIRIPLSRCERYGSCKKSCIASRDPYCGWLSQGA
+CGRVSPAMLAGGFEQDTEYGNTAHLGDCHEILPTSTTPDYKIFGGPTSDMEVSSSSVTTM
+ASIPEITPKVIDTWRPKLTSSRKFVVQDDPNTSDFTDPLSGIPKGVRWEVQSGEANQMVH
+MNVLITCVFAAFVLGAFIAGVAVYCYRDMFVRKNRKIHKDAESAQSCTDSSGSFAKLNGL
+FDSPVKEYQQNIDSPKLYSNLLTSRKELPPNGDTKSMVMDHRGQPPELAALPTPESTPVL
+HQKTLQAMKSHSEKAHGHGASRKETPQFFPSSPPPHSPLSHGHIPSAIVLPNATHDYNTS
+FSNSNAHKAEKKLQNIDHPLTKSSSKRDHRRSVDSRNTLNDLLKHLNDPNSNPKAIMGDI
+QMAHQTLMLDPVGPMSEVPPKVPNREASLYSPPSTLPRNSPTKRVDVPTTPGVPMTSLER
+QRGYHKNSSQRHSISAMPKNLSSPNGVLLSRQPSMNRGGYVPTPAGPKVDYIQGAPVSAH
+LQPSLSRQSSYTSNGTLPRTGLKRTPSLKPDVPPKPSFVPQTTSVRPLNKYTY
+>tr|Q2HJ26|Q2HJ26_BOVIN Phosphoribosylaminoimidazole carboxylase, phosphoribosylaminoimidazole succinocarboxamide synthetase OS=Bos taurus OX=9913 GN=PAICS PE=2 SV=1
+MAAVEVLKIGKKLYEGKTKEVYELLDSPGKVLLQSKDQITAGNAARKNHLEGKAAISNKI
+TSCIFQLLQEAGIKTAFTKKCGETAFIAPKCEMIPIEWVCRRIATGSFLKRNPGVKEGYK
+FYPPKVEMFFKDDANNDPQWSEEQLIAANFCFAGLVIGQTEVDIMSHATQAIFEILEKSW
+LPQNCTLVDMKIEFGVDVITREIVLADVIDNDSWRLWPSGDRSQQKDKQSYRDLKEVTPE
+GLQMVKKNFEWVAERVELLLKPESQCRVIVLMGSTSDLNHCEKIKKACGNFGIPCELRVT
+SAHKGPDETLRIKAEYEGDGIPTVFVAVAGRSNGLGPVLSGNTAYPVISCPPLTPDWGAQ
+DVWSSLRLPSGLGCSTILSPEGSAQFAAQIFGLNNHLIWARLRASVLNTWISLKQADKKI
+REASL
+>tr|Q5E9E9|Q5E9E9_BOVIN Exosomal core protein CSL4 OS=Bos taurus OX=9913 GN=EXOSC1 PE=2 SV=1
+MAPPVRYCIPGERLCNLEEGSPGSGTYTRHGYIFSSLAGCLTKTSENGALPVISVMRETE
+SQLLPDVGAIVTCKVSSINSRFAKVHILYVGSTPLKNSFRGTIRKEDVRATEKDKVEIYK
+SFRPGDIVLAKVISLGDAQSNYLLTTAENELGVVVAHSESGVQMVPISWCEMQCPKTHTK
+EFRKVARVQPEFLQT
+>tr|Q0IIE4|Q0IIE4_BOVIN Zinc finger protein 354A OS=Bos taurus OX=9913 GN=ZNF354A PE=2 SV=1
+MAAEQREARSQVSVTFEDVAVLFTRDEWRKLAPSQRNLYQDVMLENYSNLVSLGLPFSKP
+EVISLLQQGEDPWKVEKESPGDSSLVLKPQRQLKLKTHHFRG
+>tr|B6VAE4|B6VAE4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC100196900 PE=2 SV=1
+MPRPDTGDLYIWWLFGESEQLPHITGLCEVPLTCVRVPERTCDLCSLRQGSMQSWTVAAS
+ETVSLLQVERTQGYTPQQNFASFLQPVGLYTLVLSHSLCSKLHESKSSLYPSP
+>tr|G5E600|G5E600_BOVIN WASH complex subunit 4 OS=Bos taurus OX=9913 GN=WASHC4 PE=4 SV=2
+MAVETLSPDWEFDRVDDGSQKIHAEVQLKNYGKFLEEYTSQLRRIEDALDDSIGDVWDFN
+LDPIALKLLPYEQSSLLELIKTENKVLNKVITVYAALCCEIKKLKYEAETKFYNGLLFYG
+EGATDSSMVEGDCQIQMGRFISFLQELSCFVTRCYEVVMNVVHQLAALYISNKIAPKIIE
+TTGVHFQTMYEHLGELLTVLLTLDEIIDNHITLKDHWTMYKRLLKSVHHNPSKFGIQEEK
+LKPFEKFLLKLEGQLLDGMIFQACIEQQFDSLNGGVSVSKNSTFAEEFAHSIRSIFANVE
+ARLGEPSEIDQRDKYVGVCGLFVLHFQIFRTVDKKFYKSLLDICKKVPAITLTANIIWFP
+DNFLIQKIPAAAKLLDKKSLQAIKIHRDTFLQQKAQSLTKDVQSYYVFVSSWMMKMESIL
+SKEQRMDKFAEDLTSRCNVFIQGFLYAYSISTIIKTTMNLYMSMQKPMTKTSVKALCRLV
+ELLKAIEHMFYRRSMVVADSVSHITQHLQHQALNSISVAKKRVISDKKYSEQRLDVLSAL
+VLAENTLNGPSTKQRRLIVSLALSVGTQMKTFKDEELFPLQVVMKKLDLISELRERVQTQ
+CDCCFLYWHRAVFPIYLDDVYENAVDAARLHYMFSALRDCVPAMMHARHLESYEVLLDCY
+DKEIMEILNEHLLDKLCKEIEKDLRLSVHTHLKLDDRNPFKVGMKDLALFFSLNPIRFFN
+RFIDIRAYVTHYLDKTFYNLTTVALHDWATYSEMRNLATQRYGLVMTEAHLPSQTLEQGL
+DVLEIMRNIHIFVSRYLYNLNNQIFIERTSNNKHLNTINIRHIANSIRTHGTGIMNTTVN
+FTYQFLKKKFYIFSQFMYDEHIKSRLIKDIRFFREIKDQNDHKYPFERAEKFNRGIRKLG
+ITPEGQSYLDQFRQLISQIGNAMGYVRMIRSGGLHCSSNAIRFVPDLEDIVNFEELVKEE
+GLAEETLKAARHLDSVLSDHTRNSAEGTEYFKMLVDVFAPEFRRPKNIHLRNFYIIVPPL
+TLNFVEHSISCKEKLNKKNKIGAAFTDDGFAMGVAYILKLLDQYQEFDSLHWFQSVREKY
+LKEMRAVAKQQNVQSTSQDEKLLQTMNLTQKRLDVYLQEFELLYFSLSSARIFFRADKTA
+AEENQEKKEKEEETKTSNGDLSDSTVSADPVVK
+>tr|Q3SZW0|Q3SZW0_BOVIN Flavin-containing monooxygenase OS=Bos taurus OX=9913 GN=FMO3 PE=1 SV=1
+MVKKVAIIGAGISGLASIRNCLEEGLEPTCFEKGEDIGGLWKFSDHVEEGRASIYRSVFT
+NSSKEMTCFPDFPFPDDFPNFMHNSKLQEYITMFAKEKNLLKYIQFKTIVSSVNKRPDFQ
+TTGQWDVITEKDGKKESAVFDAVMICSGHHVYPNIPKESFPGIKLFKGKCFHSRDYKEPG
+IFKGKRVLVIGLGNSGCDIASELSHIAEKVIISSRSGSWVMSRVWDEGYPWDMLFITRFE
+TFLKNTLPTVISNWWYMKQMNARFKHENYGLMPLNSTLRKEPVFNDELPACILCGIVTIK
+PNVKEFTEDSAIFEDGTVFKAIDYVIFATGYSYAYPFLDDSIIKSRDNEVTLFKGIFPPP
+LEKPTLAVIGLVQSLGAAIPTTDLQSRWAVQVIKGTCPLPSVKDMMNDIDEKMGKKLKLQ
+FLLFPGQDK
+>tr|A0A3Q1LZ20|A0A3Q1LZ20_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC112441480 PE=3 SV=1
+MTYRCLLPMVLLLCFSTTALSRSYSLLRFQQRRSAEVCQKLLGQLHSTPQHCLEARMDFQ
+VPEEMNQAQQFRKEDAILVIYEMLQQIFNILTRDFSSTGWSETIIEDLLVELYGQMNRLQ
+PIQKEIMQEQNFTMGDTTVLHLKKYYFNLVQYLESKEYNRCAWTVVRVQILTNFSFLMRL
+TASLRD
+>tr|A7Z071|A7Z071_BOVIN C2CD2 like OS=Bos taurus OX=9913 GN=C2CD2L PE=2 SV=1
+MDPGWGQRDVGWAALLILFAASLLTVLGWLLQYARGLWLARARGGRGQGPAFAAEPAVSL
+RELGVWRSLLRLRATRAGAPEEPGVRGLLASLFAFKSFRENWQRAWVRALNEQACRDGSS
+IQIAFEEVPQLPPKASISHVTCIDQSERTMVLHCQLSAEEVMFPVSVTQQSPAAVSMETY
+HVTLTLPPTQLEVNLEEILGEGLLVSWAFTDRPDLSLTVLPKLQARERGEEQVELSTIEE
+LIEDAIVSTQPAMMVNLRACSALGGLVPSEKPPMAPQAQPSIPRPIRLFLRQLRASHLGG
+ELEGTGEVCCVAELDNPMQQKWTKPTRAGPEVEWSEDLTLDLGPQSRELTLKVLRSSSCG
+DTELLGQATLSVASPSRPLSRRQVCPLTPGPGKALGQAATMAIELQYEEGSPQNLGTSTP
+STPRPSITPTKKIELDRTIMPDGTIVTTVTTVQSRPRVDGKLDSPSRSPSKVEVTEKTTT
+VLSESGGPSSTSHSSSPGESHLSNGLDPVAETAIRQLTEPSGRAAKKTPTKRSTLIISGV
+SKVPIAQDELALSLGYAASLDASIQDDAGPSGGPSSPPSDPPAMSPGPVDALSSPTSVQE
+ADETTRSDISERPSVDDVESETGSTGALETRSLKDHKVSFLRSGTKLIFRRRPRQKEAGL
+SQSHDDLSNTATTPSVRKKAGSFSRRLIKRFSFKSKPKANGNPSPQL
+>tr|F1MI37|F1MI37_BOVIN Myelin protein zero-like protein 3 OS=Bos taurus OX=9913 GN=MPZL3 PE=4 SV=1
+MQQSGVPGSRGCALCPLLGVLFFQGVYVIFSLEIKADAHVRGYVGENIKLRCTFKSSSSI
+TDKLTIDWTYRPPSSSRTESIFHYQSFQYPTTAGTFRDRISWVGDVYKGDASISINNPTM
+KDNGTFSCAVKNPPDVHHNIPATELTVTERGFGTMLSSVALLSILVFIPSTVVVILLLVR
+MGRKSAGLKKRSKSGYKKSSIEVSDDTDQEGDDCMAKLCVRCAECVDSDYEETY
+>tr|B3Y9F9|B3Y9F9_BOVIN Transcription factor 7 like 2 OS=Bos taurus OX=9913 GN=TCF7L2 PE=2 SV=1
+MPQLNGGGGDDLGANDELISFKDEGEQEEKSSENSSAERDLADVKSSLVNESETNQNSSS
+DSEAERRPPPRSESFRDKSRESLEEAAKRQDGGLFKGPPYPGYPFIMIPDLTSPYLPNGS
+LSPTARTLHFQSGSTHYSAYKTIEHQIAIQKCAFSPGIPWPPSPSPCQSLATFCPDLPVY
+LQMKWPLLDVQAGTLQSRQALKDARSPSPAHIVSNKVPVVQHPHHVHPLTPLITYSNEHF
+TPGNPPPHLPADVDPKTGIPRPPHPPDISPYYPLSPGTVGQIPHPLGWQGQPVYPITTGG
+FRHPYPTALTVNASMSRFPPHMVPPHHTLHTTGIPHPAIVTPTVKQESSQSEVGSLHSAK
+HQDSKKEEEKKKPHIKKPLNAFMLYMKEMRAKVVAECTLKESAAINQILGRRWHALSREE
+QAKYYELARKERQLHMQLYPGWSARDNYGKKKKRKRDKQPGETNGEKKSAFATYKVKAAA
+SVHPLQMEAY
+>tr|Q0II84|Q0II84_BOVIN LOC511108 protein OS=Bos taurus OX=9913 GN=LOC511108 PE=2 SV=1
+MTTEVILHYRPYESDPTQLPKIAEKAIQDFPTRPLLRFIPWFPRDESRLPLKPKRSPPGI
+SEEAAEDVRQYLAISECSIKSQSYDCTVDLLEFQPNLKRKKHLIQSHTLNEQANSESLEK
+HAEKEKRHKKRSWSVSSLPSSNCTENVSPLSKKLQDNLKALNLHSFYRARWTIEHTICNN
+QTLEDIWAKLNRIIRNNELPSCNATIQRHLGQIWVFCDIMYCEYVGNLLKGRLALTGKIN
+LFVHKNGVIFSM
+>tr|F1N2I8|F1N2I8_BOVIN Ras and Rab interactor like OS=Bos taurus OX=9913 GN=RINL PE=4 SV=3
+MTAQPEDKASAGPTDQERLIPSQANGAGETPLRVLGTPESLLRLQRTWGVWQIPELDAHS
+AKALLDLWPPGSFLVIGHHPRQVLVLKTGSSPGEVNTYQIQKLPGGVCLESSKLCMPDLP
+HLLAFLSASRDILPRTLLLPPPTLGPEDQNTDPLQIGSIQLSTSRRVLFLVNNLYLETHR
+GWGMEQTLPETTPETAERHGPAPRNPAPHRVSWVEGPLSPEEHHLRPALASLVEEKEDEE
+EEDDKDDEEGPEDMLTQHVRALARARSSYVAKQFRSFRARLTSGAGGPHRPGDPATELLQ
+DVRHLLTDLQDHLAKDPDVRAVFASRGPGAPQKEEELGPAVEAALYRAVLAPLKPALWMR
+LRTLRAPELRQLRRRQVALRAEAGPPGAQGAGREKRSPAPALRSRIHERLAHLHAACAPR
+RKVSLLLAVCSDVYEGLARGENQEPLGADAFLPALTEELIWSPDIGETQLDVEFLMELLD
+PDELRGEAGYYLTTWFGALYHIAHYEPDTARAHKGLSSEVRASLRQWHRRRTLHRQSPSG
+DQAKLPFEEPWAMEIMQETKDD
+>tr|O46370|O46370_BOVIN Delta like non-canonical Notch ligand 1 OS=Bos taurus OX=9913 GN=DLK1 PE=2 SV=1
+MAATAALLPALLLLLAFGRSAHGAECFPACHPENGFCDDDSVCRCQPGWQGPLCDQCVTF
+PGCVNGLCVEPWQCICKDGWDGHLCDLDIRACTSTPCANNGTCLNLDDGQYECSCAPGFS
+GKDCQEMDGPCVVNGSPCQHGGSCVDDEGRAPHAVCLCPPGFSGNFCEIVTNSCIPNPCE
+NQGICTDIGGDFRCRCPAGFMDKTCSRPVNTCTSEPCLNGGTCLQHSQAICFTILGVLTS
+LVVLGTMGIVFLNKCEAWVSNLRYNHMLRKKKNLLLHYNSGEELAVNIVFPEKIDMTTFT
+KEAGEEEI
+>tr|A5PJW4|A5PJW4_BOVIN Pregnancy-associated glycoprotein 6 OS=Bos taurus OX=9913 GN=PAG6 PE=2 SV=1
+MKWLVLLGLVAFSECIVKIPLRRVKTMRNAISGKNTLNNILKEHAYRLPQISFRGSNLTH
+PLRNIRDLFYVGNITIGTPPQEFQVIFDTGSSDLWVASIFCNSSSCAAHVRFRHHQSSTF
+RPTNKTFRITYGSGRMKGVVVHDTVRIGDLVSTDQPFGLCLKDSGFKGIPFDGILGLSYP
+NKTFSGAFPIFDKLKNEGAISEPVFAFYLSKDKQEGSVVMFGGVDHRYYKGELNWVPLIQ
+VGDWFVHMDRITMKRKVIACSDGCKALVDTGTSDIVGPSTLVNNIWKLIRARPLGPQYFV
+SCSAVNTLPSIIFTINGINYRLPARAYIHKDSRGRCYTAFKEHRFSSPIETWLLGDVFLR
+RYFSVFDRGNDRIGLARAV
+>tr|A8QIC0|A8QIC0_BOVIN LOC100126544 protein OS=Bos taurus OX=9913 GN=LOC100126544 PE=2 SV=1
+MSNTTVPNAPQANSDSMVGYVLGPFFLITLVGVVVAVVMYIQKRKRVDRLRHHLLPMYSY
+DPAEELHEAEQELLSDVGDPKVVHGWQSGYQHKRMPLLDVKT
+>tr|A0A3Q1M0T1|A0A3Q1M0T1_BOVIN Ammonium transporter Rh type C OS=Bos taurus OX=9913 GN=RHCG PE=3 SV=1
+MSRNAFVFISVGGKGSSFPFSAHQYKTGKAVAASHLWDPQRLGALIPPCLLPSRFLRPLP
+SLPPPTPMRGPLATGRPRGRGSPLRLGERAGGTRINCSTTPDSAAPPRPCPCPGSYKAVL
+PSNLRTARCPPRPGAPCSMIWNTNLRWRLPVACLLLEVALIALFGVFVRYDMDADPHWVQ
+EKVIKNLSTDLENEFYYRYPSFQDVHVMIFVGFGFLMTFLQRYGYSSVGFNFLLAAFGIQ
+WALLMQGWLQSFDGRYILVDLENLINADFCVGSVCVAFGAVLGKVSPVQLLIMTLFQVTL
+FSINEYILLNLLEVKDSGGSMTIHAFGAYFGLTVAWILYRPNLHLSKERQSSTYHSDLFA
+MIGTLFLWMYWPSFNSAISNHGDAQHRAAINTYCSLAACVLTSVALSSALHRKGKLDMVH
+IQNATLAGGVGLGTVAELMVLPFGSLIIGFVCGIVSTLGFVYLTPFLESRLHIQDTCGVH
+NLHGIPGIIGGIAGAVTASIANIDLYGEEGLAYAFGIERSKLNWSPNMQGRFQAAGLFVS
+LAMALVGGVIVGVILRLPFWGQAPDENCFEDAVYWEIPKEPKSTALRSEDSSIKPPEP
+>tr|C0LUL3|C0LUL3_BOVIN TATA-box binding protein N-terminal domain splice variant OS=Bos taurus OX=9913 PE=2 SV=1
+MDQNNSLPPYAQGLASPQGAMTPGIPIFSPMMPYGTGLTPQPIQNTNSLSILEEQQRQQQ
+QQQQQQQQQQQQQQQQAAVAAVQQSTSQQATQGPSGQTPQLFHSQTLTTAPLPGTTPLYP
+SPMTPMTPITPATPASESSGIVPQLQ
+>tr|A5PKA7|A5PKA7_BOVIN ORAI calcium release-activated calcium modulator 1 OS=Bos taurus OX=9913 GN=ORAI1 PE=2 SV=1
+MHPEPAPPPSSNSPELPLSGGNSTSGSRRSRRRSGDGEPPGSPPPPPPPAVTYPDWIGQS
+YSEVMSLNEHSMQALSWRKLYLSRAKLKASSRTSALLSGFAMVAMVEVQLDADHDYPPGL
+LIAFSACTTVLVAVHLFALMISTCILPNIEAVSNVHNLNSVKESPHERMHRHIELAWAFS
+TVIGTLLFLAEVVLLCWVKFLPLKKQPGQLRPTSKPPAGGAAANVSSTGGITPGQAAAIA
+STTIMVPFGLIFIVFAVHFYRSLVSHKTDRQFQELNELAEFARLQDQLDHRGDHPLTPGS
+HYA
+>tr|M5FMW3|M5FMW3_BOVIN E4F transcription factor 1 OS=Bos taurus OX=9913 GN=E4F1 PE=4 SV=1
+MEGAMAVRVTAAHTAEARAEAGREAGEGGVAAAAAALAPGGFLGLPAPFSEEDEDDVHRC
+GRCQAEFTALEDFVQHKLQKACQRAPQEALPATPAAALLGREVAPGAASSEEPITVAHIV
+VEAAALAGDINHSPDIVGGGHIKEVIVASEAEPGDSEMAEGPGSSSERGPRLAAEGEQAQ
+VKLLVNKDGRYVCMLCHKTFKTGSILKAHMVTHSSRKDHECKLCGASFRTKGSLIRHHRR
+HTDERPYKCAKCGKSFRESGALTRHLKSLTPCTEKIRFSMSKDVVVGKEDVPAGSGASTV
+GPVTPSSAGEPMETSPVIHLVTDAKGTVIHEVHVQMQELPLGMKALAPEPTSPQELPCSS
+EGGRENLLHQAMQNSGIVLERAPGEEGALGPATPTASSPQSPGDAAPELPLLEVEQAETQ
+VAGEASSVPRTHPCPQCSETFPTAATLEAHKRGHAGPRPFTCPQCGKAFPKAYLLKKHQE
+VHVHERRFRCGDCGKLYKTIAHVRGHRRVHSDERPYPCPECGKCYKTKNAQQVHFRTHLE
+EKPHVCPFCSRGFREKGSLVRHVRHHTGEKPFKCYKCGRGFAEHGTLNRHLRTKGGCLLE
+VEELLVSEESPTAAAAVLTEDPHTVLVEFSSVVADTQEYIIEATADDAETSEATEIIEGT
+QTEVDSHIMKVVQQIVHQASAGHQIIVQNVTMDQEARLGPEAAAADTITIATPESLTEQV
+AMTLASAISEGTVLTARSGTGGAEQATVTMVSSEDIEILEHAGELVIASPEGQLEVQTVI
+V
+>tr|E1BF06|E1BF06_BOVIN TNF superfamily member 15 OS=Bos taurus OX=9913 GN=TNFSF15 PE=2 SV=1
+MAESLTLGFRETASVEMLPEDGGCRPKARAGLASRRSSAHWPLTCCLLSIPILAALTTYL
+LVGQLRAQGEACELRTPKEQEIGPSHQRAYAPPGADREKPRAHLTVVRQTPMQPLKNQFP
+ALHWEHELGLAFTKNRMNYTNKFLVIPESGDYFVYSQVTFRGTTSECGEISQGRRPNKPD
+SIIVVITKVTDSYPEPTQLLMGTKSVCEIGSNWFQPIYLGAMFSLNEGDKLMVNVSDISL
+VDYTKEDKTFFGAFLL
+>tr|F1N2T0|F1N2T0_BOVIN Heterogeneous nuclear ribonucleoprotein D like OS=Bos taurus OX=9913 GN=HNRNPDL PE=1 SV=1
+MEVPPRLSHVPPPLFPSAPATLASRSLSHWRPRPPRQLAPLLPSLAPSSARQGARRAQRH
+VTAQQPSRLAGGAAIKGGRRRRPDLFRRHFKSSSIQRSAAAAAATRSARQHLPADHSAAM
+EDMNEYSNIEEFAEGSKINASKNQQDDGKMFIGGLSWDTSKKDLTEYLSRFGEVVDCTIK
+TDPVTGRSRGFGFVLFKDAASVDKVLELKEHKLDGKLIDPKRAKALKGKEPPKKVFVGGL
+SPDTSEEQIKEYFGAFGEIENIELPMDTKTNERRGFCFITYTDEEPVKKLLESRYHQIGS
+GKCEIKVAQPKEVYRQQQQQQKGGRGAAAGGRGGTRGRGRGQGQNWNQGFNNYYDQGYGN
+YNSAYGGDQNYSGYGGYDYTGYNYGNYGYGQGYADYSGQQSTYGKASRGGGNHQNNYQPY
+>tr|Q0II31|Q0II31_BOVIN Eukaryotic translation initiation factor 4E family member 2 OS=Bos taurus OX=9913 GN=EIF4E2 PE=2 SV=1
+MNNKFDALKDDDSGDHDQNEENSTQKDGEKEKTERDKSQSSSKRKAVVPGPAEHPLQYNY
+TFWYSRRTPGRPTSSQSYEQNIKQIGTFASVEQFWRFYSHMVRPGDLTGHSDFHLFKEGI
+KPMWEDDANKNGGKWIIRLRKGLASRCWENLILAMLGEQFMVGEEICGAVVSVRFQEDII
+SIWNKTASDQATTARIRDTLRRVLNLPPNTIMEYKTHTDSIKAWEEFHGLVNSSGR
+>tr|Q3ZBP7|Q3ZBP7_BOVIN TAF11 RNA polymerase II, TATA box binding protein (TBP)-associated factor, 28kDa OS=Bos taurus OX=9913 GN=TAF11 PE=2 SV=1
+MDNACESPKEKGGETGESDETTAAPGGPRVTDTDGIPEETDGDGDGELKEAAAEEGELKS
+QDIADLTAVEREDSLLTPAAKKLKIDTKEKKEKKQKVDEDEIQKMQILVSSFSEEQLNRY
+EMYRRSAFPKAAIKRLIQSITGTSVSQNVVIAMSGISKVFVGEVVEEALDVCEKWGEMPP
+LQPKHMREAVRRLKSKGQIPNSKHKKIIFF
+>tr|A0A3Q1MVL5|A0A3Q1MVL5_BOVIN 2-oxoglutarate dehydrogenase, mitochondrial OS=Bos taurus OX=9913 GN=OGDH PE=1 SV=1
+MFHLRTCAAKLRPLTASQTVKTFSQNRPAAARTFGQIRCYTAPVAAEPFLSGTSSNYVEE
+MYYAWLENPKSVHKSWDIFFRNTNAGAPPGTAYQSPLPLSPGSLSAVARAGPLVEAQPNV
+DKLVEDHLAVQSLIRAYQVRGHHIAKLDPLGISCVNFDDAPVTVSSNVDLAVFKERLRML
+TVGGFYGLDESDLDKVFHLPTTTFIGGQESALPLREIIRRLEMAYCQHIGVEFMFINDLE
+QCQWIRQKFETPGVMQFTNEEKRTLLARLVRSTRFEEFLQRKWSSEKRFGLEGCEVLIPA
+LKTIIDKSSENGVDYVIMGMPHRGRLNVLANVIRKELEQIFCQFDSKLEAADEGSGDVKY
+HLGMYHRRINRVTDRNITLSLVANPSHLEAADPVVMGKTKAEQFYCGDTEGKKVMSILLH
+GDAAFAGQGIVYETFHLSDLPSYTTHGTVHVVVNNQIGFTTDPRMARSSPYPTDVARVVN
+APIFHVNSDDPEAVMYVCKVAAEWRSTFHKDVVVDLVCYRRNGHNEMDEPMFTQPLMYKQ
+IRKQKPVLQKYAELLVSQGVVNQPEYEEEISKYDKICEEAFARSKDEKILHIKHWLDSPW
+PGFFTLDGQPRSMTCPSTGLTEDILTHIGNVASSVPVEDFTIHGGLSRILKTRGELVKNR
+TVDWALAEYMAFGSLLKEGIHIRLSGQDVERGTFSHRHHVLHDQNVDKRTCIPMNHLWPN
+QAPYTVCNSSLSEYGVLGFELGFAMASPNALVLWEAQFGDFHNTAQCIIDQFICPGQAKW
+VRQNGIVLLLPHGMEGMGPEHSSARPERFLQMCNDDPDVLPDLKEANFDINQLYDCNWVV
+VNCSTPGNFFHVLRRQILLPFRKPLIIFTPKSLLRHPEARSNFDEMLPGTHFQRVIPEDG
+PAAQNPGNVKRLLFCTGKVYYDLTRERKARDMVEQVAITRIEQLSPFPFDLLLQEVQKYP
+SAELAWCQEEHKNQGYYDYVKPRLRTTISRAKPVWYAGRDPAAAPATGNKKTHLTELQRL
+LDTAFDLDAFKNFS
+>tr|A6QP97|A6QP97_BOVIN E3 ubiquitin-protein ligase RNF220 OS=Bos taurus OX=9913 GN=RNF220 PE=2 SV=1
+MKRRKQDEGQREGSCMAEDDAADIEHENSNRFEEYEWCGQKRIRATTLLEGGFRGSGFVM
+CSGKENPDSDADLDVDGDDTLEYGKPQYTEADVIPCTGEEPGEAKEREALRGAVLNGGPP
+STRITPEFSKWASDEMPSTSNGESSKQEAMQKTCKNSDIEKITEDSAVTTFEALKARVRE
+LERQLSRGDRYKCLICMDSYSMPLTSIQCWHVHCEECWLRTLGAKKLCPQCNTITAPGDL
+RRIYL
+>tr|Q2WG99|Q2WG99_BOVIN Prolactin-related protein IX OS=Bos taurus OX=9913 GN=PRP9 PE=2 SV=1
+MAPAPNFHGHQWTYNPVRGSCLLLLLVVSNLLLCQGNSCPSCGPNVFVSLRKSLTDLYID
+AAWLSHEFHNLSAIMFSEFKEKYAQGKLYHINATNSCHTNSFHAPEERDKAHQMNNEDLS
+KWTLVLLYSWNNPLYHLVPRLRNMKNLSEAVVSSAMEIENMSDKLQAFIESQFRKVIVSV
+LKTIHEARSSWSGLPSLTSSDEDRRLSEFYNLFHCLHRDSGKVDTYIKILTCRIRKKC
+>tr|A6H7B7|A6H7B7_BOVIN SFRS5 protein OS=Bos taurus OX=9913 GN=SRSF5 PE=1 SV=1
+MSGCRVFIGRLNPAAREKDVERFFKGYGRIRDIDLKRGFGFVEFEDPRDADDAVYELDGK
+ELCSERVTIEHARARSRGGRGRGRYSDRFSSRRPRNDRRNAPPVRTENRLIVENLSSRVS
+WQDLKDFMRQAGEVTFADAHRPKLNEGVVEFASYGDLKNAIEKLSGKEINGRKIKLIEGS
+KRHSRSRSRSRSRTRSSSRSRSRSRSRSRKSYSRSRSRSRSRSKSRSVSRSPVPEKSQKR
+GSSSRSKSPASVDRQRSRSRSRSRSRSVDSGN
+>tr|E1BKG7|E1BKG7_BOVIN RNA polymerase III subunit E OS=Bos taurus OX=9913 GN=POLR3E PE=4 SV=3
+MANEEDDPVVQEIDVYLAKSLAEKLYLFQYPVRPASMTYDDIPHLSAKIKPKQQKVELEM
+AIDTLNPNYCRSKGEQIALNVDGACADETSTYSSKLMDKQTFCSSQTTSNTSRYAAALYR
+QGELHLTPLHGILQLRPSFSYLDKADAKHREREAANEAGDSSQDEAEEDVKQITVRFSRP
+ESEQARQRRVQSYEFLQKKHAEEPWVHLHYHGLRDSRSEHERQYLLCQGSSGVENTELVK
+SPSEYLMMLMPPSQEEEKDKPVAPSNVLSMAQLRTLPLADQIKILMKNVKVMPFANLMSL
+LGPSVDSVAVLRGIQKVAMLVQGNWVVKSDILYPKDSSSPHSGVPAEVLCRGRDFVMWKF
+TQSRWVVRKEVAAVTKLCTEDVKDFLEHMAVVRINKGWEFILPYDGEFIKKHPDVVQRQH
+MLWTGIQAKLEKVYNLVKEAMPKKPDGQSGPVGLVSGDQRVQVAKRQAQQNHALLERELQ
+RRKEQLQASAVLPGVRIKEEPMSEEGEDEEEREAEDEEEPMDTSPGGGLHGGLANGLPAG
+RAAGGDSFNGHPPSGSASTPVARELRAFVQATFQKQFVLTLSELKRLFNLHLASLPPGHM
+LFSGISDRMLQDTVLAAGCKQILVPFPPQTAASPDEQKVFALWESGDISDQHRQVLLEIF
+SKNYRVRRNMIQARLTQECGEDLSKQEVDKVLKDCCVSYGGMWYLKGTVQS
+>tr|A0A3Q1LNF9|A0A3Q1LNF9_BOVIN Kinesin-like protein OS=Bos taurus OX=9913 GN=KIF18A PE=3 SV=1
+MSVTEEDLCHHMKVVVRVRPENTKEKASGFHKVVHVVDKHILVFDPKQEEISFFHGKKTM
+NRDITKRQNKDLKFVFDAVFDETSTQLEVFEHTTKPILRSFLNGYNCTVLAYGATGAGKT
+HTMLGSAAEPGVMYLTMLDLYKSMDEIKEEKVCSTAVSYLEVYNEQIRDLLVNSGPLAVR
+EDAQKGVVVQGLTLHQPKSSEEILQLLDNGNRNRTQHPTDMNATSSRSHAVFQIYLRQQD
+KTASINQNVRIAKMTLIDLAGSERASATSAKGTRFIEGTNINRSLLALGNVINALADTKK
+KNQHIPYRNSKLTRLLKDSLGGNCQTIMIAAVSPSSVFYDDTYNTLKYANRAKDIKSSLK
+SNVLNLDNHITQYVKICNEQKKEILMLKEKLKAYEEQKAFTDESSKVMISNPQKKEIERF
+QEILNCLFQNREEIRQEYLKLEMLLKENELKSFYQQQCHKQIEMMCSEDKVEKATCKRDH
+RLAMLKTRRCYLEKKREEELKQFDENTNWLHRVQTEMGLLGPNGHIPKELSKELHCHHLH
+LQNKDLKAQIKHIMDLASLQEQQHRQTEAVLNALLPALRKQYCALKESGLLNATFEADIK
+EIEHLVERKKVVVWADQTSEPAKQNNLPEVSILMTFPQLGPVHSSPCCTSPGESNLLKIP
+SQKRTRRKLMPSPLNIKHTQTSVESENTQLNDSLSKELQPIVYTPENCRKTYQNPSTGTS
+LKPSHTTSFQTISSNINSDNSLKMLCEVDIPLSMRKEHGQEDLKSTFLICEDTRSLESEL
+PEQESLPNNNILQRLAPSSVSTKQPLPIPSTVPSYMAMTAAAKRKRKLISSAANTSLTAE
+LNSGSAKRVHQDNSSDKHLQENKPTMGMSQLLFWYLIND
+>tr|Q3T010|Q3T010_BOVIN Phosphatidylethanolamine-binding protein 4 OS=Bos taurus OX=9913 GN=PEBP4 PE=2 SV=1
+MGWTMRLVTAALLLGLAVAVTGEEEDADLCVYEALPDNDAVLCKGLKVFYPELGNIGCMI
+VPECNNYRQKITTWPEPIVKFPQALDDAAYILVMVDPDAPSRSSPKARFWRHWLVSDIKG
+SDMKIGKIQGQELSPYHPPSPPAHSGLHRYQFFVYLQEGRTISLSPKENKTRGSWKMDKF
+LSHFHLTEPEASTQFMTQYYLDGLSRQNPGVVSNETTDKPEPK
+>tr|E1BHF2|E1BHF2_BOVIN Katanin p60 ATPase-containing subunit A1 OS=Bos taurus OX=9913 GN=KATNAL1 PE=2 SV=3
+MPGGGARGPVGRAHPISKSEKPSTSRDKDCRARGRDDKGRKNMQDGTSDGEIPKFDGAAY
+DKDLVEALERDIVSRNPSVHWDDIADLEEAKKLLREAVVLPMWMPDFFKGIRRPWKGVLM
+VGPPGTGKTMLAKAVATECGTTFFNVSSSTLTSKYRGESEKLVRLLFEMARFYAPTTIFI
+DEIDSICSRRGTSDEHEASRRVKSELLIQMDGVGGALENDDPSKMVMVLAATNFPWDIDE
+ALRRRLEKRIYIPLPTAKGRTELLKINLREVELDPDIQLEDIAEKIEGYSGADITNVCRD
+ASLMAMRRRINGLSPEEIRALSKEELQMPVTRGDFELALKKIAKSVSAADLEKYEKWMVE
+FGSA
+>tr|A6H7G3|A6H7G3_BOVIN Methylsterol monooxygenase 1 OS=Bos taurus OX=9913 GN=MSMO1 PE=2 SV=1
+MATNESISVFSSASLAVEYVDSLLPDNPLQEPFKNAWNYMLNNYTKFQIATWGSLIVHEV
+LYFLFCLPGFLFQFIPYMKKYKIQKDKPETWENQWKCFKVLLFNHFCIQLPLICGTYYFT
+EYFSIPYDWETMPRWYMLLARCFGCAVIEDTWHYFLHRLLHHKRIYKYIHKVHHEFQAPF
+GMEAEYAHPLETIILGTGFFIGIMLLCDHVILLWAWVTVRLIETIDVHSGYDIPLNPLNL
+IPFYAGSRHHDFHHMNFIGNYASTFTWWDRIFGTDSQFNAYNEKMKKVEKKTE
+>tr|A6H6W7|A6H6W7_BOVIN BCL2 family apoptosis regulator BOK OS=Bos taurus OX=9913 GN=BOK PE=2 SV=1
+MEVLRRSSVFAAEIMDAFDRSPTDKELVAQAKALGREFVHARLLRAGLSWSAPERAAPVP
+GGRLAEVCAVLLRLADELELIRPSVYRNVARQLNLSLQSETVVTDAFLAVATQIFSAGIT
+WGKVVSLYSVAAGLAVDCVRQAQPALVHALVDCLGEFVRKTLATWLRRRGGWTDVLKCVV
+STDPGLRSHWLVAALCSFGRFLKAAFFMLLPER
+>tr|A5PK05|A5PK05_BOVIN LRRN2 protein OS=Bos taurus OX=9913 GN=LRRN2 PE=2 SV=1
+MRLLVAPLLLAWVAGATATVPVVPWHVPCPPGCACQIRPWYTPRSSYREATTVDCNDLFL
+TAVPPALPAGTQTLLLQSNSIVRVDQSELGYLANLTELDLSQNSFSDPRDCDLRALPQLL
+SLHLEENQLTRLEDHSFAGLASLQELYLNHNQLYRIAPRAFAGLSNLLRLHLNSNLLRAV
+DNRWFEMLPSLEILMIGGNKVDAILDMNFRPLANLRSLVLAGMNLREISDYALEGLQSLE
+SLSFYDNQLARVPRRALEQVPGLKFLDLNKNPLQRVGPGDFANMLHLKELGLNNMEELVS
+IDKFALVNLPELTKLDITNNPRLSFIHPRAFRHLPQMETLMLNNNALSALHQQTVESLPN
+LQEVGLHGNPIRCDCVIRWANATSTRVRFIEPQSTLCAEPPDLQRLPVREVAFREMTDHC
+LPLISPRSFPPRLQVASGDSLLLHCRALAEPEPEIYWVTPAGVRLTAAWAGRKYRVYPEG
+TLELRRVTAQEAGLYTCVAQNLVGADTKTVSVVVGRAPLQRGRDEAWGLELRVQETHPYH
+ILLSWVSPPNTVSTNLTWASASTLRGHRATALARLPRGTHSYNITRLLQATEYWACLQVA
+FADAHTQLACVWARTTEAAPCRRGLGDRPGLIAILALAVLLLAAGLAAQLGAGQPRQSVG
+GRPLLPVWAFWGWSAPSARVVSAPLVLHWNPGRKWPRSSEGETRSPPPSQNS
+>tr|Q24JZ7|Q24JZ7_BOVIN Succinyl-CoA:3-ketoacid-coenzyme A transferase OS=Bos taurus OX=9913 GN=OXCT1 PE=1 SV=1
+MAALARLSSRLRFCASALSSAGVWSKGCICYFSTSTRHHTKFYTDPVEAVKDIPDGATIL
+VGGFGLCGIPENLIGALLKTGVKGLTAVSNNAGVDNFGLGLLLRSKQIKRMVSSYVGENA
+EFERQYLSGELEVELTPQGTLAERIRAGGAGVPAFYTSTGYGTLVQEGGSPIKYNKDGSI
+AIASKPREVREFKGQHFILEEAITGDFALVKAWKADRAGNVIFRKSARNFNLPMCKAAET
+TVVEVEEIVDIGSFAPEDIHIPKIYVHRLIKGEKYEKRIERLSIRKEEDIKTTSGKPGDN
+VRERIIKRAALEFEDGMYANLGIGIPLLASNYISPDMTVHLQSENGVLGLGPYPLKNEVD
+ADLINAGKETVTVLPGASYFSSDESFAMIRGGHVNLTMLGAMQVSKYGDLANWMIPGKMV
+KGMGGAMDLVSSARTKVVVTMEHSAKGNAHKIMEKCTLPLTGKQCVNRIITEKAVFDVDS
+KKGLTLIELWEGLTVDDIKKSTGCDFTVSPKLIPMRQIST
+>tr|Q0VBX9|Q0VBX9_BOVIN Myogenic factor OS=Bos taurus OX=9913 GN=MYOD1 PE=2 SV=1
+MELLSPPLRDVDLTGPDGSLCNFATADDFYDDPCFDSPDLRFFEDLDPRLVHVGALLKPE
+EHSHFPAAAHPAPGAREDEHVRAPSGHHQAGRCLLWACKACKRKTTNADRRKAATMRERR
+RLSKVNEAFETLKRCTSSNPNQRLPKVEILRNAIRYIEGLQALLRDQDAAPPGAAAAFYA
+PGPLPPGRSGEHYSGDSDASSPRSNCSDGMMDYSGPPSGARRRNCYDRTYYSEAPNEPRP
+GKSAAVSSLDCLSSIVERISTESPAAPALLLADAPPESSPGPQEAAGSEVERGTPAPSPD
+TAPQGLAGANPNPIYQVL
+>tr|Q17QJ6|Q17QJ6_BOVIN B-cell CLL/lymphoma 10 OS=Bos taurus OX=9913 GN=BCL10 PE=2 SV=1
+MEPTAPSLTEEDLTEVKKDALENLRVYLCEKIIAERHFDHLRAKKILSREDTEEISCRTS
+SRKRAGKLLDYLQENPKGLDTLVESIRREKTQNFLIQKITDEVLKLRNIKLEHLKGLKCS
+SCEPFPDGATNNLSRSNSDESNFSEKLRASTIMYHPEGESSTAPFFSTDSSLNLPVLEVG
+RTENPTFSSTTLPRPGDPGAPPLPPELQLEEEGNSSEMFLPLRSRAVLRQ
+>tr|Q3SYR8|Q3SYR8_BOVIN Immunoglobulin J chain OS=Bos taurus OX=9913 GN=JCHAIN PE=1 SV=1
+MKNCLLFWGVLAIFVMAVLVTAQDENERIVVDNKCKCARITSRIIPSAEDPSQDIVERNV
+RIIVPLNSRENISDPTSPMRTKFVYHLSDLCKKCDTTEVELEDQVVTASQSNICDSDAET
+CYTYDRNKCYTNRVKLSYRGQTKMVETALTPDSCYPD
+>tr|Q32KZ6|Q32KZ6_BOVIN Placenta-specific 1 OS=Bos taurus OX=9913 GN=PLAC1 PE=2 SV=1
+MKVFELIRELIILTSVFSACSGQNPVTVLCSTDWFMVTVHPFMLNNEVYVHFHELYLGLG
+CPANHVQPHAYQFTYRVTECGIRAKAVSQDMVLYSSEIYYISKHTSSKYVIPVSCTAPLC
+SPWLTTSCSRNLAPNGGVTTRNGETCYEVFTLSQSSQRPNCYCLSCVYNERGQSRAPRH
+>tr|Q0V7L9|Q0V7L9_BOVIN Zinc finger protein 180 OS=Bos taurus OX=9913 GN=ZNF180 PE=2 SV=1
+MEEQDEKPQGSLKVCVQDSLLPQEIIIKVEGEDARSLAVPSQEGVNFKIVTVDFTQEEEG
+TLSSAQRTLDRDVILENHGDSWDLVHKSFWPLPLNHEF
+>tr|Q08E48|Q08E48_BOVIN Interferon-induced protein with tetratricopeptide repeats 3 OS=Bos taurus OX=9913 GN=IFIT3 PE=2 SV=1
+MSEDKNSLEQILPQLRCHFTWNLFKKGSVSHDLEDRVCNQTEFLNSEFKATKYNLLAYIK
+HLKGQNEAALECLRQAEEWIQREHTDQAEVRSLVTWGNYAWVYYHLGRFADAQLYADKVK
+RVCQKFANPYSIECPELDCEEGWTLVKCGGKKIERAKVYFQKALEEKPNNPEFSSGLAIA
+MYYLDDRPEQQSSLEILKQAVELSPDNQYIKVLLALTLQKTNEQSEGEQLVVEALEKAPC
+QTDVLLSAAKFYRGKGDLDKAIGLLLRALESIPNNPYLYHQIACCYRAKVKQIQHTGESE
+AIGKGEKIEELREHARNYVSKAIEKGLNPLHACSDEFLENEECYQTAFSKELPSTKGQEL
+HQHDCNPQEDREISEHTAVQCSLDGLSISTESTEKEKMKFQLQNIANNQLPQNVPYSWSL
+QGLIHKMNGDLLQAAECYEKALDHLLKNSPSGIGSIFLPATEREEGSEEMEQDADSSILR
+ELPDP
+>tr|Q2KJA9|Q2KJA9_BOVIN Endothelial cell adhesion molecule OS=Bos taurus OX=9913 GN=ESAM PE=2 SV=1
+MISLPGSPATSLLRVLFLGLTTLASPSRAQMEVHVSASLTKLRAVEGAEVVLPAWYSLQG
+ELSPTKPKPWEVPTVMWFFVEGKDKNMSQVLAHIGQVTTGMPRASLVHPMPSRNVSLRLR
+DLQEKDSGSYLCFVNLQDNQGTVKGQSSKRLELDVLVPPAPPSCHLRGVAHVGANMTLSC
+QSPRSKPTAQYQWVRSPPSSQVFFAPVLDAIHGSLSLKNLSTSMSGVYICRAHNEVGSAQ
+CNVTLTVSTGPRAMVVAGAVVGTMVGLGLLAGLVLLYQRRGKALEEPANDIKEDAIAPRT
+LLWPKGSDTISKNGTLSSVTSARALRPPQGPSRLGALTPTPSLSSQVLPSPRLPRTDGAH
+PQPISPGPGGVSSSALSRMGAVPVMVPAQSQAGSLV
+>tr|Q08E21|Q08E21_BOVIN Megalencephalic leukoencephalopathy with subcortical cysts 1 OS=Bos taurus OX=9913 GN=MLC1 PE=2 SV=1
+MAREEQCREGLAYDRMPPLERGRPDAAGYALDTKPGDLQLSSRLPPCFSRKTWVFSVLMG
+GCLLVTSGFSLYLGNVFPLEMDYLRCAAGSCIPSAIVSFAVSRRNVSAIPHFQILFVSTF
+AVTTSCLIWFGCKLVLNPSAVDINFNLILLLLLELFMAATVIISARSSEAPCKQKGSISE
+SSSVLYEVTFPARVLKSYSVIEVVAGVSAILGGVIALNVDDTVSGPHFSVTFFWVLVACF
+PSAIASHVTAECPSRSLVEVLIAVCSLAAPLLFTASGYLSFSIMRIMDVFKDYPPAFKQS
+YDALLLLLMLELLLQAGLNTATIIQCVRFKAGASWDAAGALPSPQERPAAGELQVPRSSL
+KEFDKEKAWRAVVVQMAQ
+>tr|A8WFQ0|A8WFQ0_BOVIN NADH dehydrogenase [ubiquinone] iron-sulfur protein 7, mitochondrial OS=Bos taurus OX=9913 GN=NDUFS7 PE=2 SV=1
+MPSTQPAVSQARAVVPKPAALPSSRGEYVVAKLDDLINWARRSSLWPMTFGLACCAVEMM
+HMAAPRYDMDRFGVVFRASPRQSDVMIVAGTLTNKMAPALRKVYDQMPEPRYVVSMGSCA
+NGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGILQLQKKIKREKRLRIWYRR
+>tr|Q08DM9|Q08DM9_BOVIN Polypeptide N-acetylgalactosaminyltransferase OS=Bos taurus OX=9913 GN=GALNT13 PE=2 SV=1
+MRRFVYCKVVLATSLMWVLVDVFLLLYFSECNKCDDKKERSLLPALRAVISRNQEGPGEM
+GKAVLIPKDDQEKMKELFKINQFNLMASDLIALNRSLPDVRLEGCKTRVYPDELPNTSVV
+IVFHNEAWSTLLRTVYSVINRSPHYLLSEVILVDDASERDFLKLTLENYVKNLEVPVKII
+RMEERSGLIRARLRGAAASKGQVITFLDAHCECTLGWLEPLLARIKEDRKTVVCPIIDVI
+SDDTFEYMAGSDMTYGGFNWKLNFRWYPVPQREMDRRKGDRTLPVRTPTMAGGLFSIDRN
+YFEEIGTYDAGMDIWGGENLEMSFRIWQCGGSLEIVTCSHVGHVFRKATPYTFPGGTGHV
+INKNNRRLAEVWMDEFKDFFYIISPGVVKVDYGDVSVRKTLRENLKCKPFSWYLENIYPD
+SQIPRRYYSLGEIRNVETNQCLDNMGRKENEKVGIFNCHGMGGNQVFSYTADKEIRTDDL
+CLDVSRLNGPVIMLKCHHMRGNQLWEYDAERLTLRHVNSNQCLDEPSEEDKMVPTMQDCS
+GTRSQQWLLRNMTLGA
+>tr|A6QPF0|A6QPF0_BOVIN FCRL1 protein OS=Bos taurus OX=9913 GN=FCRL1 PE=2 SV=1
+MTLTCKTQPPPQKLDAKLQFLFYKDGRALGPALDSLPEFRIPVVRKEDSGSYWCQAKITS
+IKAKLSRRVQIEVHRVPIGNVSLEIQPPGGHLIEGEKLVLVCLVTEGTGDITFFWFRGAR
+GLSLKMKTQHSLMATFEIPAVRENDSDQYYCAADNGYGPSFSELVSITVRIPVSRPVLTL
+RAPAAQLVVGHIVELHCEAQRGSPPILYQFYHEDGALGNSSAPFGGGVSFNLSLTAEDSG
+NYYCEANNGQVAQRSEVVPLNITVPMEDRNEVLTSGVIELVLGILAPTTLALLFCCWLKR
+KIGRRTAKDAVSEYCKWG
+>tr|A6QQD5|A6QQD5_BOVIN SLC27A6 protein OS=Bos taurus OX=9913 GN=SLC27A6 PE=2 SV=1
+MAEPGSGLPSGLAVPTFLPWLTGLGAGMVFLSFLQKLLYPYFWSDLWYLLKMVRCGLWTT
+GKHGQKGELVTVLDKFLIQAKKRPQKPFIIYEGDIYTYEDVDRRSNKVAHVLLNHSPLKR
+GDTVALLMSNEPDFVHVWFGLAKLGCVVAFLNSNVRSVSLLHCIRSCEPRVLVVGAGFLE
+TIEDVLPRLPEGISIWAMKDSVPQGIISLKEKLSTASDCHVPRSHHAISNTKTPYLYIFT
+SGTTGLPKAAMICHLAAMKSSTGLWAFGCTPDDIIYITLPLYHSAASLVGIGGCIELGAT
+CVLRKKFSASQFWNDCRKYNVTVFQYIGELCHYLCKQPKREGEKDHRVRLAIGNGARSDV
+WREFLDRFGNIKMCEFYGATEGNINFMNHTGKIGSVGRATFFHKLFFTFHLIKYDFQKDE
+PIRDEQGWCSDVKKGEPGLLISQVNEKNPFFGYAGNKKQTEKKLLCDVFKKGDVYFNTGD
+LMVQDQEDFLYFWDRIGDTFRWKGENVATTEVGAIIGMLDFIQEANVYGVVVPGYEGKAG
+MASITLKPNMSLDLEKVYEQVVTFLPAYARPQFLRMQEKMEATGTFKLQKFQLVEEGFSP
+LKISDPLYFMDNLKKSYIPLTKEIYDQIILGKIKL
+>tr|A5PK71|A5PK71_BOVIN PACRG protein OS=Bos taurus OX=9913 GN=PACRG PE=2 SV=1
+MVAEKETLSLNKCPAKLPTRTKLLAQQPLPVHQPHSLVSEGFTVKAMMKNSVVRGPPSAG
+AFKERPTKPTAFRKFYERGDFPIALEHDSKGNKIAWKVEIEKLDYHHYLPLFFDGLCEMT
+FPYEFFARQGIHDMLEHGGNKILPVIPQLIIPIKNALNLRNRQVICVTLKVLQHLVVSAE
+MVGEALVPYYRQILPILNIFKNKNVNSGDGIDYSQQKRENIGDLIQETLEAFERYGGEDA
+FINIKYMVPTYESCLLN
+>tr|A5D7Q0|A5D7Q0_BOVIN LOC407171 protein OS=Bos taurus OX=9913 GN=LOC407171 PE=2 SV=1
+MAPTLPALLCLGLSVGLRTQVQAGTFPKPIIWAEPSSVVPLGSSVTILCQGPPNTKSFSL
+NKEGDSTPWNIHPSLEPWDKANFFISNVREQQAGRYHCSHFIGVNWSEPSEPLDLLVAGE
+EPAGRLRDRPSLSVRPSPSVALGENVTLLCQSGNRTDTFLLSKEGAAHRPLRLRSQDQDG
+WYQAEFSLSPVTSAHGGTYRCYRSLSTNPYLLSQPSEPLALLVADYTMQNLIRMGLAASV
+LLLLGILLCQARHDHGGAREAARS
+>tr|F6Q8B6|F6Q8B6_BOVIN Calcitonin receptor OS=Bos taurus OX=9913 GN=CALCR PE=3 SV=2
+MESNSEKIIQVLKDRFQRPKMKFTLTRWCLTLFIFLNRPLPVLPTSSDSTYSPTLEPEPF
+LFLVGKQKLLQAQHKCFDRMQKLPPYQGEGLYCNRTWDGWACWDDTPAGTLAEQHCPGYF
+PDFDVEEKVTKYCEEDGVWYTHPESNISWSNYTMCNAFTPEKMQSAYILYYLAIVGHSMS
+MVTLVISLVIFMRVRSLSCQRVTLHKNMFLTYVLNSIIIIIHLVEVVPNGELVKRDPPMC
+KVLHFFHQYMMSCNYFWMLCEGLYLHTLIVVSVFAEGQRMWWYYLLGWGLPLLPSTAHAI
+ARALLFNDNCWLSVDTNLLYIIHGPVMGALVLNFFFLLNIIRVLVKKIKESQEDSYIYLK
+AVRATLILVPLLGVQFVILPWRPSHPVLGKIYDFVMHSLIHFQGFFVAIIYCFCNHEVQA
+ALKRQWNQYQARRWNGRRRAHRAANAAAATAAAAAALAETPPIYICHQEPQNEPADNLGE
+EAAEVIPMEGGEVISMKGAEVIAVEGAAEVIAVEGAAEVIAVEGAAEVITVEGAEVVAME
+IIEQESSA
+>tr|A5PJJ8|A5PJJ8_BOVIN Fanconi anemia group C protein homolog OS=Bos taurus OX=9913 GN=FANCC PE=2 SV=1
+MAEDSAGLPSNYQFWMQKLSVWTQASTLETQRDICLHLPQFQEFLRRMYETLKEMDSNAI
+IERFPTICQLLAKSCWSPFILAYDESPKILIWCLCCLIKKDPQNSRESKLNSWTRRLLSH
+IVSTSRFDIKEVGLFNQVLGYAPTDYYPGLLKNMVLSLVSELRENHLNGFSSQRRMSPER
+VRSLSRICVPLLTLPDFEPLVEALLTYHGHEPQEVLCPEFFDAVNEAFLLKKISLPTSAI
+LCLWLRHLPSLENTMLHLLEKLISSERNSLRRIKCFMKDSLLPEAAACHPAIFRVVDEIF
+RSALLETDGAPEVLAGLQVFTRCFVEALEKENKQLKFALKTYFPYASPALVMVLLQHPKD
+IPQGLWHQSLKHISEMLKEIVEDHGSYGGPFESWFLFVHFGGWADITAEQLLMSEAEAEP
+PEALLWLLAFSCSPGAGHQQRARTMVEVKTVLGCLTKLFRSPALSARDLQAAAGENLGGD
+PRPPACQQLVRRLLLHFLLWAPGGHTIAREVITLMAQTDAIMNEIIGFLDYTLYRWDHLC
+VEAHRSRKLARELLTELREQALPGQVNQ
+>tr|A6QR47|A6QR47_BOVIN MARCH7 protein OS=Bos taurus OX=9913 GN=MARCH7 PE=2 SV=1
+MESKPSRIPRRISVQPASSLSARLMSGSRGSGLNDTYHSRDSSFRLDSEYQSASAAASPF
+QPTWYSESEITQGARSRSQNQQRDHDSKRPKLSCTNCTSTSTGRSVGHGLNAVSDSTWRH
+SQVPRSSSVVLGSFGTDLMRERRTDSSISNLADYSHRSGDFTTSSCVQDRVPSSYSQGAR
+PKENSLSTLQLSASSTNHQMPSEHQTIPCSRDSGRNSLRSNFSPRELESPQSSMQPGFSY
+TSNRGETSTIGSSDRINSSHRSFQESSDNEGRRTTRRLLSRIASSMSSTFFSRRSNQDSL
+NTRSLSSENSYVSPRILTGSQSRGNAASASDIPDNRASEASQGFRFLRRRWGLSSLSQNH
+NSEPDSQNFSQESEGRNTGPWLSSSLRNRCTPLFSRRRREGRDESSRISTSDIPSRSHHL
+FRRESNEVVHLEAQSEPLGAGANRPQASAAPSSVGTGDSPSDSTRSGRSTGITGILPGSL
+FRFAVPPALGNNLTDNVMITVDIIPSGWSSSDGKNDKTKNVPSRDPERLQKIKESLLLED
+SEEEEGDLCRICQMAAASSSNLLIEPCKCTGSLQYVHQECMKKWLQAKINSGSSLEAVTT
+CELCKEKLQLNLEDFDIHELHRARANEQAEYEFISSGLYLVVLLHLCEQSFSDMMGNTNE
+PSTRVRFINLARTLQAHMEDLETSEDDSEEDGDHNRTFDIA
+>tr|Q3SYY6|Q3SYY6_BOVIN AES protein OS=Bos taurus OX=9913 GN=AES PE=2 SV=2
+MMFPQSRHSGSSHLPQQLKFTTSDSCDRIKDEFQLLQAQYHSLKLECDKLASEKSEMQRH
+YVMYYEMSYGLNIEMHKQAEIVKRLNGICAQVLPYLSQEHQQQVLGAIERAKQVTAPELN
+SIIRQQLQAHQLSQLQALALPLTPLPVGLQPPSLPAVSAGTGLLSLSALGSQAHLSKEDK
+NGHDGDTHQEDDGEKSD
+>tr|A4FUF2|A4FUF2_BOVIN Elongation of very long chain fatty acids protein 2 OS=Bos taurus OX=9913 GN=ELOVL2 PE=2 SV=1
+MEHLKAFDDEVNAFLDNMFGPRDSRVRGWFMLDSYLPTFSLTVLYLLLIWLGNRCMRNRP
+ALSLRGILTLYNLGITLLSAYMLAELILSSWEGGYNLQCQDLTSAGEADIRVARVLWWYY
+FSKLIEFLDTIFFVLRKKTSQVTFLHVYHHASMFNIWWCVLNWIPCGQSFFGPTLNSFIH
+ILMYSYYGLSVFPSMHKYLWWKKYLTQAQLVQFLLTITHTMSAVVRPCGFPLGCLIFQSS
+YMMTLVILFLNFYIQTYRKKPMKKAMEEAGKEVKNGFSKAYFSTANGVISKKAQ
+>tr|A0A3Q1LZG7|A0A3Q1LZG7_BOVIN Brain enriched guanylate kinase associated OS=Bos taurus OX=9913 GN=BEGAIN PE=4 SV=1
+MEKLSSLQEQKGELRKRLSYTTHRLEKLENEFDSTRHFLEIELRRAQEELEKVTEKLRRI
+QSNYMALQRINQELEDKLFRMGQHYEEEKRALSHEIVALNSHLLEAKVTIDKLSEDNELY
+RKDCSLAAQLLQCSQTYGRGRKMAELPAEFQERMNLHAEKPGCSLPAPLCRPAYADSVPP
+CVLAKVLEKPDPGSLSSHLSEASAQDLGFPEGLEKPGSRPPYKGDIYCSDTALYCPEERH
+RDRRPSVEGPGSDVGFLQAQNSTDSTAEEEEEEEDTEAGAAAYPASYRHEAFGGYAASLP
+TSSSYSSFSAASEEKEHAQASTLTASQQAIYLNSRDELFGRKPPAAYGSSPRYAAAAAAV
+AAPLEAAVAPGFPRTVSPFPAEPFRFPLSPGPQPALMPPNLWNLRAKPGSARLVAGAGRG
+QWRPLSVDDIGAYPFPAAAAAPAPSLASPGGFNDRYYGARGSPGENAEGRASPLYASYKA
+DSFSEGDDLSQGHLVEPRYLRAAGDLSLSPGRSADPLPSYAASEGDRERLGVQLLGASGS
+PEPELSLRSSRDSLEPSSMEASPEMHPGARLSPQPAFPRAGSSGLSRKDSLTKAQLYGTL
+LN
+>tr|A6QNZ3|A6QNZ3_BOVIN NCOA7 protein OS=Bos taurus OX=9913 GN=NCOA7 PE=2 SV=1
+MRVRRLPPDIQIFYCARPDQEPFVKIITVEEAKRRKSTCSYYEDEDEAALPTLQPHSALL
+ENMHIEQLARRLPARVQGYPWRLAYSTLEHGTSLKTLYRKSAALDSPVLLVIKDMDNQIF
+GAYATHPFKFSDHYYGTGETFLYTFSPHFKVFKWSGENSYFINGDISSLELGGGGGRFGL
+WLDADLYHGRSNSCSTFNNDILSKKEDFIVQDLEVWTFE
+>tr|F1N2Z9|F1N2Z9_BOVIN Beta-carotene dioxygenase 2 OS=Bos taurus OX=9913 GN=BCO2 PE=3 SV=2
+MFSQIFLRSVSDFSTIAVDFLSMILRRIPVLKKYMEKTHQKTVIFGQEKTLPCIAPLLTT
+VEETPQVISAGVQGHFPEWLSGYLLRVGPGKFEFGKDKYNHWFDGMALLHQFKVEKGTVT
+YRSKFLQSDTYKANSDRDRIVISEFGTLALPDPCKNVFERFMSKFEKPAITDNTNVNYVL
+YKGDYYLSTETNFMNKVDIETLEKTEKVNWTKFIAVNGATAHPHYDPDGTTYNMGNSYGK
+HGSCYNVIRVPPEKSDPGETIHGAQVICSIASEEGMRPSYYHSFGMTRNYIIFIEQPLKI
+NLWRIISSKIRGKAFSDGISWEPQYNTRFHVVDKHTGQLLPGMYFSKPFVTFHQINAFED
+QGCVVIDLCCQDDGGILEVYQLQNLRKTGKELDQVYNLIARNSPRRFVLPLLGNLNAPEG
+ENLSPLTYSSASAVKQADGKIWCSYENLYPEDLKEEGSIEFPQINYGQFNGKKYQFFYGC
+GFRHLVGDSLIKVDVVNKTRRVWREDGFYPSEPVFVPVPGASKEDDGVILSVVITPNQNK
+KNFLLVLDAKNFEELGRAEVPVQMPYGFHGTFVTI
+>tr|Q5RZ69|Q5RZ69_BOVIN Sperm mitochondria-associated cysteine-rich protein OS=Bos taurus OX=9913 GN=SMCP PE=2 SV=1
+MCDQPKCNSCCPPKCSPSCPPKCSPSCPTKCNPCCPPKCNPCCPSKCNPCCPSKPCCCLE
+PKPECTCLNKEPDPAASETQNNSQTQQQSQSPKQGPKSPRGQK
+>tr|Q08DH4|Q08DH4_BOVIN Kinesin light chain 2 OS=Bos taurus OX=9913 GN=KLC2 PE=2 SV=1
+MATMVLPREEKLSQDEIVLGTKAVIQGLETLRGEHRALLAPLVAHEASEAEPGSQERCVL
+LRRSLEAIELGLGEAQVILALSSHLGAVESEKQKLRAQVRRLVQENQWLREELAGTQQKL
+QRSEQAVAQLEEEKQHLLFMSQIRKLDEDTPPHEDKGDVPKDSLDDLFPSEEEQNPAPSP
+GGGDVAAQHGGYEIPARLRTLHNLVIQYASQGRYEVAVPLCKQALEDLEKTSGHDHPDVA
+TMLNILALVYRDQNKYKEAAHLLNDALAIREKTLGKDHPAVAATLNNLAVLYGKRGKYKE
+AEPLCKRALEIREKVLGKFHPDVAKQLSNLALLCQNQGKAEEVEYYYRRALEIYATRLGP
+DDPNVAKTKNNLASCYLKQGKYQDAEALYKEILTRAHEKEFGSVSGDNKPIWMHAEEREE
+SKDKRRDSTPYGEYGSWYKACKVDSPTVNTTLRSLGALYRRQGKLEAAHTLEDCASRSRK
+QGLDPASQTKVVELLKDGGSGRGDRRGSREVPGGVGPRSEADLEEAGPAAEWSGDGSGSL
+RRSGSFGKLRDALRRSSEMLVKKLQGGGPQEPPNPRMKRASSLNFLNKSVEEPVQSGGTG
+LSDSRTLSSSSMDLSRRNSLVG
+>tr|Q0VCZ2|Q0VCZ2_BOVIN Tripartite motif-containing 52 OS=Bos taurus OX=9913 GN=TRIM52 PE=2 SV=1
+MAGSATTPNPLQTLQEEAVCAICLDYFKDPVSIGCGHNFCRGCVTQLWGKEDNEQDREEE
+EDEWEEDEDDEEAVGAIGGWGNSIREVLYQGNADEELFQDQEDDEPWVGDGGIRDSMDYV
+WDQEEDTNYYLGGLRHDLRINVYLQEEEILEEYDEDDEELYPDTHLAPPPAPPRQFTCPQ
+CRKSFKRRSFRPNLQLANMVQIIRQMCPTPNRESRVNDQDICSKHQEALKLYCEVDKEAI
+CVICRESRSHKQHSVVPLDEAVHEYKEKKMEKLVKPCIPSAAITLRGN
+>tr|Q17QL4|Q17QL4_BOVIN MAGE family member D2 OS=Bos taurus OX=9913 GN=MAGED2 PE=2 SV=1
+MSDTSESGTGPTRFQAEASEEDPGLKMQTVLTVTQNLEASETPKGSKTPEVSKATKISNA
+AGVSKATEAQEVSATQASPTTKLTDTQFLAAKKKSLAADTNMQHTDPQAVTVPATETKKV
+SSVADTKVNTKTLETESTASQALADEPEPEGAAGQAQENQDTRPKIKAKKARKVKHLNGE
+EDGSSDQSQASGTTSGRRISKALMASMARRASRGPIAFWARRASRTRLAAWARRALLSLR
+SPKARRGKARRRAAKLQSSQEPEAPPPRDVALLQGRANDLVKYLLVKDQTKIPIKRSDML
+KDIIKEYTDVYPEIIERAGYSLEKVFGIQLKEIDKNDHLYILLSTLEPTDAGILGTTKDS
+PKLGLLMVLLSIIFMNGNRSSEAVIWEVLRKLGLRPGIHHSLFGDVKKLITDEFVKQKYL
+DYVRVPNSNPPEYEFFWGLRSYYETSKMKVLKFACKVQKKDPKEWAAQYREAMEADMKAA
+AEAAAEAKARAEIRAQMGIGLGSENAAGPCNWDEADIGPWAKARIQAGAEAKAKAQESGG
+ASAGASAGGNFGASTSLTATLTFGLFAGLGGAGASASGSSGACGFSYK
+>tr|Q32LC0|Q32LC0_BOVIN TSSK4 protein OS=Bos taurus OX=9913 GN=TSSK4 PE=2 SV=1
+MGKGDMEAPPTSAYRSVMEEYGYEVGKVIGNGSYGTVYEAYYTKQKVMVAVKIISKKKAS
+EDYLNKFLPREIQNNFGFTAN
+>tr|A6QLS3|A6QLS3_BOVIN CDKN1B protein OS=Bos taurus OX=9913 GN=CDKN1B PE=2 SV=1
+MSNVRVSNGSPSLERMDARQAEYPKPSACRNLFGPVNHEELTRDLEKHCRDMEEASQRKW
+NFDFKNHKPLEGKYEWQEVEKGSLPEFYHRPPRPPKGACKVPAQEGQDASGARPAVPLLG
+SQANPEDTHLVDQKTDAPDSQTGLAEQCPGIRKRPAADDSSPQNKRANRTEENVSDGSPN
+AGSVEQTPKKPGLRRRQT
+>tr|A7YY29|A7YY29_BOVIN NUDT6 protein OS=Bos taurus OX=9913 GN=NUDT6 PE=2 SV=1
+MDNTYQVPRRVSATYLPLSKPAVQKWRSEGRVAVWLHIPILQSRFIAPAASLGFCFHHTE
+SDSSMLSLWLGDGPSRLPGYATHQVGVAGAVFDENTRKILVVQDRNKLKNMWKFPGGLSE
+PGEDIGDTAVREVFEETGIKSEFRSLLSIRQQHTHPGAFGKSDMYIICRLKPYSFTINFC
+QRECLKCEWMNLSDLVKTKNTTPITSRVARLLLYGYKEGFDKIDLTMEELPAVYTGLFYK
+LYHKKLPDNYKTMTGMD
+>tr|F1MDR1|F1MDR1_BOVIN Zinc finger protein 831 OS=Bos taurus OX=9913 GN=ZNF831 PE=4 SV=2
+MDVPELACPTSPARDQPAPASGPPGAPGGQASPHLTLGPVILSREQGLAPTVFLKALPIP
+LYHTVPPGGLQPRAPLVTGSLDGGSLPFLLGPLLQPEGLGPTRAGKPVAPGLTVNIVGAL
+PILSPGLGPALGSPGKVRNAGRYLCPHCGRDCLKPSVLEKHIRSHTGERPFPCATCGIAF
+KTQSNLYKHRRTQTHLNNSRLSSESDGGGSSLLEEGEKAAEPTGADRALSQRPLSPGTHA
+AGHCPVPTVHVSLVAKNLDRKLDAVPCRGSTFDIKEAPVDSAPGLPLASPQSRWKLPEPR
+SPTASRPSSALQQQQVEKPGDSKPSEGRLRKCESTDSGYLSRSDSAEQPPAAGSPLHSLS
+EHSAESEGEGGPGPGRAERVAGLELEKRRLEERIARLISHNQAVVDDPQLAHVRPRKTVL
+SKQGSIDLPMPYTYKDSFHFDLRPPGPGRLPAALRAARSTCTPPDRARPLFFHSVPTQLS
+TGTDCVPVTRSNSLPFVEGTGTWPEPPEPRDSWPQKQKPLSPRPTPARLADAPGGHPRAL
+VRQAAVEDLPCPLTADALAPVEDPEGKRPAAEEGSASKGQAAAKKRGQRKLKMFSQEKWQ
+VYGKDTFKSIYQRAKASHRGGRKATEVTVGGGAALERPLQQEASGGGDTVVGAKPGPWAS
+PPVLAGLLVSEPHKQRETVAGTGGPDQLGSNRAVSPPTLSSGEALCLDSKSPLLPPHEGP
+ELECQQFPASGPLKGGDLEAPRPDSKLEGGTCGGEGTKETCQQAHVVPRGPGGSSGEPKA
+LEDKLPSERKKLKVEELSCQELLGAGGEIPGGPMQTASPPPQNQDTDPGEKPGGLPGSGN
+STESVISGNLRWEGPQDTEPPPRPPGCPSQLASHPPAPHTLTDSVDMVFPPQYLLRFPQR
+DTRPLSPLPQKLDQGQDSICKRRGPEVQTSFAESGQGALLPPCPISGQAPAGEDSCWKYP
+SWSRSCDQRKGLQREERGGLNAGIPIARALKGSASFLPASMCESWRSGTHDTQEISRSNT
+VARAGPSGGVLNSWEPTGELGAPSESATQAPSSGSLACCSHQAGSSLSASMAPHWPELAL
+RTNAEPARSCGVQGSFPSLRAEPRLTWCCLSRSLPLPMEQKEKDASVYLGLHLPGGGLQG
+EGPDAWLVSKTVSGAWTRIRPGDGGQMQMSKLSDPTARGMLSRDRVSEPEWKKRRSRRRA
+KMPRGSSRWKHLSTHSKRYRGNFLQSRVQLRVTRLRKPHWVLRKDGHPPRAKGPDPCRTQ
+GQASSEMAGVNPSGEPSCVTSESYVCIENREQEEEESGHVSRSFCPNTSLRTIGDTDRPI
+AKEISSAGEHGAGCPLITAVGSGLSLPSDSLGANDSLPAHSKGLDVGSLETHLLPSQQHI
+STDPTPCVFSDAQEPSSFVSKGTSLGHDLATSAAAFCPSLGARAGHTTLGIHSVEPQDHS
+QGEEETLAQSSPDRKTIEEGVSPNLLPGKPSSGQRISGSVTPGSTGKIHLEIPALGPASA
+SSHQEEGKHKSCFPSGGQCGCREGLVPCPLVGPDSVKCQGTGFMALKDDAVPSNPGLPME
+VPAASLKTLRKRSLEGMRKQTRVESSDTSSDDEDRLVIEI
+>tr|A6QPC2|A6QPC2_BOVIN SCRG1 protein OS=Bos taurus OX=9913 GN=SCRG1 PE=2 SV=1
+MKFTVLALAVGLTLLLGVQAMPANRLSCYRKILKDRNCHSLPEGVADLTKIDVNVQDHFW
+DGKGCEMVCYCNFSELLCCPKDVFFGPKISFVIPCNNH
+>tr|A4FUD5|A4FUD5_BOVIN ABI family member 3 OS=Bos taurus OX=9913 GN=ABI3 PE=2 SV=1
+MAELQQLQEFEIPTGREALRGNHSSLLRVADYCEDNYVQATDKRKALEETMAFTTQALAS
+VAYQVGNLAGHTLRMLDLQAAALRQVEARVSTLGQMVNMHMEKVARREIGTLATVQRLPP
+GQKIIAPESLPHLTPYYRRPLNFSCLDDIGHGIKDLSTQLSRTGTLSRKSIKAPATPASA
+TLGRAPRIPEPVQAPVVPDGRLSAASSASSLASAGSAEGVGGVSMTKGQAAPHPPPPPPA
+APDFFLLPTSLEKLSLPPPAPELPMPLDLPPPPPLDVDDLELPPPPPPGFGSEEPSWVPD
+AYLEKVVTLYPYTRQKDNELSFSEGTVICITRRYSDGWCEGVCSEGSGFFPGNYVEPIS
+>tr|A6QR12|A6QR12_BOVIN YME1 like 1 ATPase OS=Bos taurus OX=9913 GN=YME1L1 PE=2 SV=1
+MFSLSSTVQPQVTVPLSHLINAFHSPKNTPVSVSASISQNQHRDVVSEHEAPSNEPVLNL
+RDLGLSELKIGQIDQLVDSLLPGFYKDKNVSSHWHTSHVSAQSFFENKYGCLDIFSTLRS
+SCLYRQHSRTLKRICSDLQYWPGFIQSRGFKTLKSRTRRLQSTSERLAETQHTAPSFVKG
+FLLRDRGSDVESLDKLMKTKNIPEAHQDAFKTGFAEGFLKAQALTQKTNDSLRRTRLIVF
+ILLVVGIYGLLKNPFLSVRFRTTTGLDSAVDPVQMKNVTFEHVKGVEEAKQELQEVVEFL
+KNPQKFTVLGGKLPKGILLVGPPGTGKTLLARAVAGEADVPFYYASGSEFDEMFVGVGAS
+RIRNLFREAKANAPCVIFIDELDSVGGKRIESPMHPYSRQTINQLLAEMDGFKPNEGVII
+IGATNFPEALDNALIRPGRFDMQVTVPRPDVKGRTEILKWYLNKIKFDQSVDPEIIARGT
+VGFSGAELENLVNQAALKAAVDGKEMVTMKELEFSKDKILMGPERRSVEIDNKNKTITAY
+HESGHAIIAYYTKDAMPINKATIMPRGPTLGHVSLLPENDRWNETRAQLLAQMDVSMGGR
+VAEELIFGSDHITTGYCASSDFDNATKIAKRMVTKFGMSEKLGVMTYSDTGKLSPETQSA
+IEQEIRILLRDSYERAKHILKTHAKEHKNLAEALLTYETLDAKEIQIVLEGKKLEVR
+>tr|A8E654|A8E654_BOVIN COL18A1 protein OS=Bos taurus OX=9913 GN=COL18A1 PE=2 SV=1
+MAPRWPWLQPRRRRLLDLLAHLVLLLGVSAASAEPDEEVVEVGLQQLLGEPPPWQVAMVH
+DPDVGSAYEFGEDGSGGGQAARRLLPGTFFQDFSLLVRVRPASARAGVLFAVTDPAQAVV
+SVGVKLTAARGGRQHMQLLYTEPGATHTRTAASFELPALDGRWTRLALSVDGAYARLFVD
+CKEVQGQPLAHSLHDLQLEPDARLFVAQAGGADPEKFQGLISELRLRRDPQVSPRQCQDE
+DEDDDDDGASGDLGSGLVETQEHLREELGAPLGPRLPEAPPVTSPPLAGVGNEEDLRTEE
+VEESTTASSLGAQTLPSLGTVGTWDESVWSPGSSVKERGLKGQKGEPGAQGPPGPIGPQG
+PAGPAIDSHEAQPVSGPQGPPGPPGPPGKDGTPGRDGEPGDPGEDGKPGDTGPQGFPGTP
+GDVGPKGEKGDPGVGPRGPPGPQGPPGPPGPSFKPDRLTFIDMEGSGFGGDLESLRGPRG
+FPGPPGPPGVPGLPGEPGRFGMNSSDVPGPAGLPGVPGRDGPPGRPGPPGPPGTPGKDGQ
+PGLTGQKGSLGEAGAPGPKGSKGDLGPVGAPGEHGLAGAPGPAGPPGPPGPPGPPGPGFA
+AGFDDMEGSGSPLWSTARGAEGLQGPPGTPGVKGDPGAVGPPGSKGEVGADGAPGLPGLP
+GREGAAGPQGPKGEKGTQGEKGDPGKDGVGQPGLPGPPGPPGPVVYVSEQDRVLASVPGP
+EGRAGFAGFPGPAGPKGDLGAKGQQGIPGPKGEKGEPGTFFGPDGRALTPAQKGAKGEPG
+FRGPPGPYGRPGHKGEIGFPGRPGRPGMNGLKGEKGEPGDASVGFGMRGPAGPPGPPGPP
+GPPGTPVYDSNAFVDSGRPGPPGLPGHQGPSGLKGDKGDVGPPGPPGQFPFDLLQLGAEM
+KGEKGDRGAAGQKGERGEPGGGGFFGSGVPGPPGPPGYPGIPGPKGESIRGQPGPPGPQG
+PPGIGYEGPQGPPGPPGPPGPPGPPSFPGPYRQTISVPGPPGPPGPPGPPGSMGTSSGVR
+VWATYQMLVDQVPQVPEGWLIYVADREELYVRVRNGFRKVLLEAHVPLPHGTDNEVAVLQ
+PPLVQLHEGNPYPRREVPHPTARSWRADDILASPPRLPHPQPYPGAPHHGAYAHLRPVPP
+TASPSHTHHDFQPVLHLVALNSPQSGGLRGIRGADFQCFQQARAAGLAGTFRAFLSSRLQ
+DLYSIVRRADRATLPVVNLRDEVLFPSWEALFSGSEGQLKPGARIFSFDGRDVLQHPTWP
+QKSVWHGSDPSGRRLTESYCETWRTDSRAATGQASSLLAGRLLEQKAAGCHNAFIVLCIE
+NSFMTSSSK
+>tr|Q3T018|Q3T018_BOVIN Chromosome 14 open reading frame 1 ortholog OS=Bos taurus OX=9913 GN=ERG28 PE=2 SV=1
+MSRFLNMLRSWLVMVSIVAAGNSLQSFRDHTFLYEKLYTGKPDLVNGLQARTYGIWTLLS
+SVVRCLCAIDIHNKTLYYITLWTFFLALGHFLCELFVFGTAAPTIGVMAPLMVASISILG
+MLVGLRHLEAEPGSRQKKRN
+>tr|Q2T9Y3|Q2T9Y3_BOVIN Pyruvate dehydrogenase E1 component subunit alpha OS=Bos taurus OX=9913 GN=PDHA2 PE=2 SV=1
+MKKMLTAAVSRVLSGVTQKPASRVLVASCKYSNNATFEIKKCDLYRLEEGPPVTTVLTRE
+DGLKYYKMMQTIRRMELKADQLYKQKFIRGFCHLCDGQEACCVGLEAGINPTDHVITSYR
+AHGLSYTRGLTVRSILAELTGRRAGCAKGKGGSMHMYAKNFYGGNGIVGAQGPLGAGVAL
+ACKYKGNNEVCLTLYGDGAANQGQISEAYNMAALWNLPCIFICENNRYGMGTSVDRAAAS
+TDYYKRGNFIPGLRVDGMDILCVREATKFAADYCRSGKGPILMELLTYRYHGHSMSDPGI
+SYRTREEIQSVRSKSDPIMLLKDKMVNNQLASVEELKEIDVEVRKEIDDAAQFAMTDPEP
+PLEELGHHIYSSNPPFDIRGANQWIKFQSVS
+>tr|E1B8P8|E1B8P8_BOVIN NTPase KAP family P-loop domain containing 1 OS=Bos taurus OX=9913 GN=NKPD1 PE=4 SV=3
+MHQHYTVHFAKGALPPRIATERYFLDPELGHRKGRCHQRCHDPAAPGAHRSCQPTPQACR
+QPAYHDHQGGRGGCRRGPQPPTQQQQQQRRQPQPPPPQPLQQRLCSVHGVQKGPPATTTA
+PAQPATAPQPPPNSLTATSTLATGGPALPYAAGTLLEPSRPTDARPLPAPAACGCFTSYS
+SDILTEDDVYCSCLAKTLCHVPVPVTVGFYAPFGCRLHMMLDKITALMQQEAAQREAEEL
+EHVQWRPRPVQGWAFPKLLWYLVFLRPIITEVHLRRKNVKFLFIRFSAWQYAGTDKLWAG
+LVTTLCEGIRHQYGALPFSVYSVLGTKAATTQGFRQREWQCRHRVCLALLALLAVLSLGV
+GLLYLSVGARSLSHRATNSSLLRVFGGAATTLSGSGLLMAVYSVGKHLFVSQRKKIERLV
+SREKFGSQLGFMCEVKKEVELLTDFLCFLEIYQRRRLRVVLEVTGLDTCYPERVVGVLNA
+INTLLSDSHAPFIFILVVDPSILAACLESAGSMKGTADNGYLFLNRTVTLPFSVPIMGRR
+TKLQFLHDAVQSRDDLLYREMTRKLRPLGGAGARGGEGAQLLEVQTQAGGEREQSRIDAE
+AARRIREALFCLHDERDCLYEYVPDNVVSMRRIVNTVPITVRLLQQQQGDFGGPSPRQAV
+AWVVLANQWPCRLSWALQCLEDRQQAGGAPEARARLWDVFSDHSRELHTMTKPLQNVLDL
+DGDPELFERFLGADFPFTVAEAQSLLRCTVNLDHSIRRRMGLIRAVSALKPPSPPKSPAR
+DSPHAANGANHAPGPARAGHPAGHSPVHASEAHHPRDWAQGGKPRPMA
+>tr|A8WFM0|A8WFM0_BOVIN MGC159550 protein OS=Bos taurus OX=9913 GN=VCPKMT PE=2 SV=1
+MAASLESSGEDPLRNFVRVLEKRDGTVLRLQQYGSGGVGCVVWDAAIVLSKYLETPGFSG
+DGAHALSRRSVLELGSGTGAVGLMAATLGADVIVTDLEELQDLLKMNINMNKHLVTGSVQ
+AKGGRIRRLSFSARLYTDG
+>tr|A6QLT9|A6QLT9_BOVIN AARS protein OS=Bos taurus OX=9913 GN=AARS PE=1 SV=1
+MDSTLTAGEIRQRFIDFFKRNEHTYVHSSATIPLDDPTLLFANAGMNQFKPIFLNTIDPS
+HPMAKLSRAANTQKCIRAGGKHNDLDDVGKDVYHHTFFEMLGSWSFGDYFKELACKMALE
+LLTQEFGIPVERLYVTYFGGDEAAGLEPDLECKQIWQNLGLDDSRILPGNMKDNFWEMGD
+TGPCGPCSEIHYDRIGGRDAAHLVNQDDPNVLEIWNLVFIQYNRETDGILKPLPKKSIDT
+GMGLERLVSVLQNKMSNYDTDLFVPYFEAIQKGTGARPYTGRVGAEDADGIDMAYRVLAD
+HARTITVALADGGRPDNTGRGYVLRRILRRAVRYSHEKLNASRGFFATLVDVVVQSLGDA
+FPELKKDPDMVKDIINEEEVQFLKTLSRGRRILDRKIQSLGDSKTIPGDTAWLLYDTYGF
+PVDLTALIAEEKGLVVDMDGFDEERKLAQLKSQGKGAGGEDLIMLDIYAIEELREKGLEA
+TDDSPKYSYHSDSSGTYVFESAVATVMALRRDRMFVEEASTGQECGVVLDKTCFYAEQGG
+QTYDEGYLVKVDDSSEDKTEFTVKNTQVRGGYVLHIGTLYGSLKVGDQVRLFIDEPRRRP
+VMSNHTATHILNFALRSVLGEADQRGSLVAPDRLRFDFTAKGAMSTQQIKKAEEIANEMI
+EAAKPVYTEDCSLAAAKAIQGLRAVFDETYPDPVRVVSIGVPVSELLDDPSGPAGSLTSV
+EFCGGTHLQNSSHAGAFVIVSEEAIAKGIRRIVAVTGAEAQKALRKAESLKKSLCVVEAK
+VKAQAAPNKDVQREIADLGEALATAIIPQWQKDEFRENLKSLKKVMDDLDRASKADVQKR
+VLEKTKQLIDSSPNQPLVILEVESGASAKALNEALKLFKMHSPQTSAMLFTVDNEAGRIT
+CLCQVPQNAANRGLKASEWVQQVSGLMDGKGGGKDVSAQATGKNVGCLQEALQLATSFAQ
+LRLGDVKN
+>tr|A6QLQ7|A6QLQ7_BOVIN EDN3 protein OS=Bos taurus OX=9913 GN=EDN3 PE=2 SV=1
+MELGLCFLFGLAVTSAAGWVPHPQSGDAGRSSMPRAPSAATSEGDTKETVATMAARGPSP
+RSPWREQGPSQFGKQGAEGVPVHHRARRCTCFTYKDRECVYYCHLDIIWINTPERTAPYG
+LSSYRGSGSRGRRSAGQFPQSPQPVKGTLRCACTESDDDACLQFCTWSLAARGRTAPYGL
+SSYRGSGSRGRRSAGQFPQSPQPVKGTLRCACTESDDDACLQFCTWSLAARGNSRSAETS
+DKEVGKQTGGALGGVRPRSCALVATLRLLSS
+>tr|A7Z039|A7Z039_BOVIN Tyrosine-protein kinase OS=Bos taurus OX=9913 GN=ITK PE=2 SV=1
+MNNFILLEEQLIKKSQQKRRTSPSNFKVRFFVLTKTSLAYFEDRHGKKRTLKGSIELSRI
+KCVEIVKSDIIIPCHYKYPFQVVHDNYLLYVFAPDRESRQRWVLALKEETRNNNSLVPKY
+HPNFWLDGRWRCCAQMEKLAVGCAQYDPTKNASKKPLPPTPEDNRRSLRELEETVVIALY
+DYQTNDPQELMLQRNEEYYLLDSSEIHWWRVQDRNGHEGYVPSSYLVEKSPNNLETYEWY
+NKNISRDKAEKLLLDTGKEGAFMVRDSRTPGTYTVSVFTKAIVSENNPCIKHYHIKETND
+NPKRYYVAEKYVFDSIPLLINYHQHNGGGLVTRLRYPVCSWRQKAPVTAGLRYGKWVIDP
+SELTFVQEIGSGQFGLVHLGYWLNKDKVAIKTIQEGAMSEEDFIEEAEVMMKLSHPKLVQ
+LYGVCLEQAPICLVFEFMEHGCLSDYLRSQRGLFAAETLLGMCLDVCEGMAYLEEACVIH
+RDLAARNCLVGENQVIKVSDFGMTRFVLDDQYTSSTGTKFPVKWASPEVFSFSRYSSKSD
+VWSFGVLMWEVFSEGKIPYENRSNSEVVEDITTGFRLYKPRLASQHIYQIMNHCWKEKPE
+DRPPFSRLLSQLAEIAELGL
+>tr|Q29RZ8|Q29RZ8_BOVIN WD repeat domain 93 OS=Bos taurus OX=9913 GN=WDR93 PE=2 SV=1
+MASPKGSRSQKMKLPIFTRKGTLEVPSPTEKDWSKDDEDDWVFKDPDQEQDSLPQPYRMI
+NKMVNSLFDRSWEMIEARDTSKKAELRRTLPTIYHPLRESKLNTMPNCMDVSQDYVFIGG
+SKGFSIYNLHNAKQLYVWEKLKVDVISIWATDLGSEILIAPVDEMGIVRLFYFYKDGLYL
+IKAINELEDASKQTTCIKMEISKGGNFAALLLQGAGDIWLDVYKLPKESWIKEVQYPQLA
+VNTKKKIRQQLQLNTPDSVTADSLDTVRNFKEYLQRDLT
+>tr|A6QP04|A6QP04_BOVIN LY6/PLAUR domain containing 5 OS=Bos taurus OX=9913 GN=LYPD5 PE=2 SV=1
+MAVGVARVILLGLFGPVLCLTDVQTPESSGSQAQQCYSFQHIYFGPFDLSNVNFSNVSCP
+QGCSEAVLSLNTGYRSSVTLVQKGCWRGPLTGQMLSDDKSLPPDYSVVRRCATDLCNANL
+QTHDSLPNLSPAPNPPTLSGTECYACVGIHPEDCTPEKSRRVQCHQDQSVCFQGNGRMTL
+GNFSAPVYIRTCQRPSCTIKGTTSPWTNIDLQGSCCEGDLCNRDSLTQPFTSASDTAAPR
+VPHVTVLLLVVSLLGGALGGPLGLSS
+>tr|Q2KIE7|Q2KIE7_BOVIN Interleukin 1 receptor type 2 OS=Bos taurus OX=9913 GN=IL1R2 PE=2 SV=1
+MFLLSVLIMGVSTFAVQSEEHSVAAGSCRFHGRHPKTRFKVEGEPLVLTCPQVLHWESTS
+AHVNVTWRRNDSAVAVPGEEESRVWVRDGALWIVPASQADSGTYICTVRNASYCDKMSVE
+LRVFEKTDASVPLIFYPQIIPLSASGLLVCPDLSEFIGNRTDVEIQWYKDSVPLDQDNQK
+FLNVRGTRLLVNNVSMEDAGYYTCAMTYAHKGRQYNITRNIKLRVKKRDEETIPVIISPH
+QTISASLGSRLTIPCKVFLGAGIHTTTLLWWTANNTFIEDAYHGGRVTEGERQAYSENNE
+NYIEVPLIFDPVRREDLHTDFKCVVRNTMSSQTLRTTVKEAATFSWEIMLAPLSLIVLVL
+GGIWMHRRYKRRTGKAYGLMELKTGHPDSQSYPSKIKEIK
+>tr|F1MIH7|F1MIH7_BOVIN Rho related BTB domain containing 1 OS=Bos taurus OX=9913 GN=RHOBTB1 PE=4 SV=1
+MDADMDYERPNVETIKCVVVGDNAVGKTRLICARACNTTLTQYQLLATHVPTVWAIDQYR
+VCQEVLERSRDVVDEVSVSLRLWDTFGDHHKDRRFAYGRSDVVVLCFSIANPNSLNHVKT
+MWYQEIKHFCPRTPVVLVGCQLDLRYADLEAVNRARRPLARPIKRGDILPPEKGREVAKE
+LGIPYYETSVFDQFGIKDVFDNAIRAALISRRHLQFWKSHLKKVQKPLLQAPFLPPKAPP
+PVIKVPECPSTGTNEAACLLDNPLCADVLFILQDQEQIFAHRIYLATSSSKFYDLFLMEC
+EETPHWSEGACEREKQSRDSQEWAQSLDPDEEREEGTPRTPQADQWTPSSQNLSQQETLG
+LDAEDLVAETQTLLGWSKGFIGMHKEMQVNPVSKRLGPVTVVRMDASVQPGPFRTLLQFL
+YTGQLDEKEKDLVGLAQIAEVLEMFDLRMMVENIMNKEAFMNQEITKAFHVRKANRIKEC
+LSKGTFSDVTFKLDDGAISAHKPLLICSCKWMAAMFGGSFVESANSEVYLPNINKMSMQA
+VLDYLYTKHLPPNLDLDPLELIALANRFCLPHLVALAEQHAVQELTKAAMSGVGIDGEVL
+SYLELAQFHNAHQLAAWCLHHICTNYNSVCSKFRKEIKSKSADNQEYFERHRWPPVWYLK
+EEDHYQRVKREREKEDIALNKHHSRRKWCFWNSSPAVA
+>tr|G3MYJ4|G3MYJ4_BOVIN Tryptase alpha/beta 1-like OS=Bos taurus OX=9913 GN=LOC617663 PE=3 SV=2
+MLWLLFLTLPGPGGFVPMSLDPNLGREQHGTVGVHDAPPGRWPWQASLRRHSKEREQWEH
+VCGGFLVHLQWVLTAAHCTGRESRQASAFRVQVGQLRLYDPDRLMKVTEIIPHPDYNHLL
+SAKGGADIALLRLEAPVTLSPHVQVVSLPPASLRVPEKKMCWVTGWGDVRLGGPLRPPHH
+LQEAEVPVVGNEVCNRHYQNSSADAARQIFKDNMLCAGSEGRDSCQGDSGGPLVCSWNDT
+WVQVGIVSWGDICGHRDLPGVYTRVTSYVSWIHQYVLSPGH
+>tr|Q0G846|Q0G846_BOVIN LOC615521 OS=Bos taurus OX=9913 GN=LOC615521 PE=3 SV=1
+MAPFCILHVVITRISAYVKAQRTESETFYSLKLKAVKLEKEVQDLTVRYQTAVGDSENIR
+RRTQRCVEDAKIFGIQSFCKDLVEVADILEKTTECISEETEPADQKLTLEKIFRGLSLLE
+AKLKSVFAKHVLEKMTPIGDKHDPHEHELICHVPAGVGVQPGTVAFVRQDGYKLHGRTIR
+LAQVEVAVESQRRL
+>tr|Q0IIJ7|Q0IIJ7_BOVIN Chromosome 29 C11orf24 homolog OS=Bos taurus OX=9913 GN=C29H11orf24 PE=2 SV=1
+MWTALVLVWVSSWSLSESLHTSKHSLLNQTWDHLEKNSSVETATEVLNTTAERMTPATPS
+PVTLTRGTWGGDHTSLAVTAGATHRTDVGTSATAGGTRAGAASRPPVPPTPASAWRTPSV
+PGTRAPGNGTSSRPTTAMPPTTRTPATSAQPAAGTPTNVSGPAGSHGLPGPTASLTPSSP
+QALSESTQGRTIQAPTPTPRTVDDTAQRPTPTLLNTTPEPASPTVASMSTTMGITTKAPE
+PTVSTAAASTAHTSPAPTVEATTPTTRPSPATSTPGAAGPGTTQTPEQTEPAATPGTTSP
+GPTPGESKVPPTDSCQPSTQGQYLVVSSEPLALSSVNRSFLLAVLLLGVTLFITVLILFA
+LQAYESYRKKDYTQVDYLINGMYADSEM
+>tr|Q3SZ56|Q3SZ56_BOVIN Nucleoporin 88 OS=Bos taurus OX=9913 GN=NUP88 PE=2 SV=1
+MAGAEGGPGDGELWQTWLPNHAVFLRLREGLKNQSSTETEKPASSLPSSPPLPPQLLTRN
+LVLGLGGELFLWDPEESSFLVVRLRGPSGAGEEPSLSQYQRLLCINPPLFEIYQVLLSPT
+QHHVALIGIKGLMILELPKRWGKNSEFEGGKSTVNCSTTPVAERFFTSSTSLTLKHAAWY
+PSEMLEPHIVLLTSDNVIRIYSLREPQTPTKVIVLSEAEEESLILNKGRAYTASLGETAV
+AFDFGPLSAVPKHIFGQKGKEEVAAYPLYILYENGETFLTYISLLHSPGSVGKLLGPLPM
+HPAAEDNYGYDACAVLCLPCVPNILVIATESGMLYHCVVLEGEEEDDQTSEKSWDSRADL
+IPSLYVFECVELELALKLASGEDEPFDSDFSCPIKLHRDPKCPSRYHCTHEAGVHSVGLT
+WIHKLHKFLGSDEEDKDSLQELATEQKCFVEHILCTKPLPCRQPAPIRGFWIVPDILGPT
+MICITSTYECLIRPLLSTVHPASPPLLCTREDVEVAESPLRILAETPDSFEKHIRSILQR
+SVANPAFLKSSEKDMAPPPEECLQLISRATQVFREQYILKQDLAKEEIQRRVKLLCDQKK
+KQLEDLNYCREERKSLREMAERLADKYEEAKEKQEDIMNRMKKVLHSFHSQLPVLSDSER
+DMKKELQLIPDQLRHLGNAIKQVTMKKDYQQRKMEKVLSPQKPTITLSAYQRKCIQSILK
+EEGEHIREMVKQINDIRNHVNF
+>tr|A5D9A8|A5D9A8_BOVIN Squalene epoxidase OS=Bos taurus OX=9913 GN=SQLE PE=2 SV=1
+MWTFLGIATFTYFYKKCGDFVSLANKELLLCVLVFLSLGLVLSYRYRSGALLGRRQSGSQ
+LAGFSYILSALPFIGFFWAKSPAGSENKEKLGSRRCKKGTSISETTLIGTAASTLTSSQN
+DPEVIIVGSGVLGSALAAVLSRDGRKVTVIERDLKEPDRILGEFLQPGGYYVLKDLGLED
+TVEGIDAQVVNGYIIHDQESKTEVQIPFPLSENNHVQSGRAFHHGRFIMRLRKAAMAEPN
+AKFIEGTVLQLLEEDDAVMGVQYKDKETGDIKELHAPLTVVADGLFSKFRKNLISNKVSI
+SSHFVGFLMENAPQFKANHAELILANPSPVLIYQISPNETRVLVDVRGDMPRNLREYMTE
+NIYPQLPDHLKGPFLEASQNSRLRSMPASFLPSSPVNKRGVLLLGDAYNMRHPLTGGGMT
+VVFNDIKLWRKLLKGIPDLYDDAAVFQAKKSFYWARKKSHSFVVNVLAQALYELFSATDD
+SLHQLRKACFFYFKLGGKCVAGPVGLLSVLSPNPLVLIGHFFGVAVYATYFCFKSEPWIT
+KPRAIFSSGAVLYRACSVIFPLIYSEMKYLVH
+>tr|A0A3Q1LWN8|A0A3Q1LWN8_BOVIN SRY-box 6 OS=Bos taurus OX=9913 GN=SOX6 PE=4 SV=1
+MSSKQATSPFACTADGEEAMTQDLTSREKEEGSDQHVASHLPLHPIMHNKPHSEELPTLV
+NTIQQDADWDSVLSSQQRMESENNKLCSLYSFRNTSTSPHKPDEGSRDREIMTSVTFGTP
+ERRKGSLADVVDTLKQKKLEEMTRTEQEDSSCMEKLLSKDWKEKMERLNTSELLGEIKGT
+PESLAEKERQLSTMITQLISLREQLLAAHDEQKKLAASQIEKQRQQMDLARQQQEQIARQ
+QQQLLQQQHKINLLQQQIQVQGHMPPLMIPIFPHDQRTLAAAAAAQQGFLFPPGITYKPG
+DNYPVQFIPSTMAAAAASGLSPLQLQKGHVSHPQINPRLKGLSDRLGRSLDTFEHGGGHS
+YNHKQIEQLYAAQLASMQVSPGAKMPSTPQPPNAAGAVSPTGIKNEKRGTSPVTQVKDEA
+AAQPLNLSSRPKTVEPVKSPTSPTQSLFSASKTSPVNLPNKSSIPSPIGGSLGRGSSLDI
+LSSLNSPALFGDQDTVMKAIQEARKMREQIQREQQQQQPHGVDGKLSTLNNMGLNNCRNE
+KERTRFENLGPQLTGKSSEDGKLGPGVIDLTRPEDAEGSKAMNGSAAKLQQYYCWPTGGA
+TVAEARVYRDARGRASSEPHIKRPMNAFMVWAKDERRKILQAFPDMHNSNISKILGSRWK
+SMSNQEKQPYYEEQARLSKIHLEKYPNYKYKPRPKRTCIVDGKKLRIGEYKQLMRSRRQE
+MRQFFTVGQQPQIPITTGTGVVYPGAITMATTTPSPQMTSDCSSTSASPEPSLPVIQSSY
+GMKTDGGSLAGNEMINGEDEMEMYDDYEDDPKSDYSSENEAPEAVSAN
+>tr|A4IFE0|A4IFE0_BOVIN Coiled-coil domain containing 93 OS=Bos taurus OX=9913 GN=CCDC93 PE=2 SV=1
+MGLSKGPEGQGLPEVETREDEEQNVKLTEILELLVAAGYFRARIKGLSPFDKVVGGMTWC
+ITTCNFDVDVDLLFQENSTIGQKIALSEKIVSVLPRMKCPHQLEPHQIQGMDFIHIFPVV
+QWLVKRAIETKEEMGDYIRSYSISQFQKTYSLPEDDDFMKRKEKAIRTVVDLSDAYKPRR
+KYKRQQGAEELLDEESRIHATLLEYGRRYGFSRQSKTEKAEDKKTALPTGLLTAEKADAH
+EEDELQAAEEQRIQSLMTKMTAMAHEESRPTASSVGQIVGLCSAEIKQIVSEYAEKQSEL
+SAEESPEKLGISQLHRRKVISLNKQILQKTKQLEELQASHTDLQAKYEGKKKTLTELKGH
+SEKLDKEQTALEKIEAKADPSILQNLRALVAMNENLKSQEQEFKAHCREEMARLQQEIES
+LKAERAPGRDEKTLSGGESHGALTSAMTHNEDLDRRYNMEKEKLYKIRLLQARRNREIAI
+LHRKIDEVPSRAELIQYQKRFIELYRQISAVHKETKQFFTLYNTLDDKKVYLEKEISLLN
+SIHENFSQAMASPASRDQFLRQMEQIVEGIKQSRMKMEKKKQENKMRRDQLNDQYLELLE
+KQRLYFKTVKEFKEEGRKNEMLLSKVKAKAS
+>tr|A6QR49|A6QR49_BOVIN Protein-serine/threonine kinase OS=Bos taurus OX=9913 GN=PDK4 PE=2 SV=1
+MKAARFVMRSAGTLIPREVEHFSRYSPSPLSMKQLLDFGSENACERTSFAFLRQELPVRL
+ANILKEIYILPDRLVNTSSVQLVKSWYMQSLMELVEFHEKSPEDQKALSDFVDTLIKVRN
+RHHDVIPTMAQGILEYKDACTVDPVTNQNLQYFLDRFYMNRISTRMLMNQHILIFGDLQT
+GNPSLIGSIDPNCDVAAVVQDAFECSKMLCDQYYLTSPELNLTQVNVKFPGQPIHIVYVP
+SHLHHMLFELFKNAMRATVEHQQNWPSLTPIQVIVVLGKEDLTIKISDRGGGVPLRVIDR
+LFSYTYSTAPTPVMDNSRNAPLAGFGYGLPISRLYAKYFQGDLNLYSLPGYGTDAIIYLK
+ALSSESIEKLPVFNKSAFKHYQTSSEAGDWCIPSKEPKNLAKEKVAA
+>tr|A7Z069|A7Z069_BOVIN KRIT1 protein OS=Bos taurus OX=9913 GN=KRIT1 PE=2 SV=1
+MGNPENIEDAYVAVIRPKNAASLNSREYRAKSYEILLHEVPIEGQKKKRKKVLLETKLQG
+NSEITQGILDYVVETTKPISPANQGIRGKRVVLMKTFPLDGEKVGREAALFIVPSVVKDN
+TKYTYTPGCPIFYCLQDIMRVCSESSTHFATLTARMLIALDKWLDERHTQSHFIPALFRP
+SPLERIKTNVINPAYATESGQAENSLHMGYSALEIKSKMLALEKADTCIYNPLFGSDLQY
+TNRVDKVVINPYFGLGAPDYSKIQIPKQEQWQRSRSSVTEDKERQWVDDFPLHRSACEGD
+SELLNRLLNERFSVNQLDSDHWAPIHYACWYGKVEATRILLEKGKCNPNLLNGQLSSPLH
+FAAGGGHAEIVQILLNHPEIDRHITDQQGRSPLNICEENKQNNWEEAAKLLKEAINKPYE
+KVRIYRMDGSYRSVELKHGNNTTVQQIMEGMRLSQETQQYFTIWICSENLSLQLKPYHKP
+LQHVRDWPEILAELTNLDPQRETPQLFLRRDVRLPLEVEKKIEDPLAILILFDEARYNLL
+KGFYTAPDAKLITLASLLLQIVYGNYESKKHKQGFLNEENLKSIVPITKLKSKAPHWTNR
+ILHEYKNLSTSEGVSKEMHHLQRMFLQNCWEIPTYGAAFFTGQIFTKASPSNHKVIPVYV
+GVNIKGLHLLNMETKALLISLKYGCFMWQLGDADSCFQIHSMENKMSFIVHTKQAGLVVK
+LLMKLNGQLMPMERNS
+>tr|Q2ABC1|Q2ABC1_BOVIN Taste receptor type 2 OS=Bos taurus OX=9913 GN=BOTA-T2R10B PE=3 SV=1
+MLNIVEGLLIYVAVSESVLGVLGNGFIGVVSCIDCVKSKNISTVSLILTGLASSRFCLIW
+MIITDAYIRMFFPDIYLFGNISQYIVYLRIIMNQSSTWFATSLSIFYFLKIANYSHCIFL
+WLKCHINRVLLLFMGSLLISWLFAFPSIAKPSTNNIMKNRSTTWLITMHKSEYLTNQILL
+NIGVILVFVLCLITCFLLITSLWRHNRKTRLSATGFRDPSTEAHIKAMKILVSFIILFIL
+YFVGTAIQISGSSTMPENKLLFIIGITTRLLYPWGHSLILMLGNRKLKQDSLRVLKPLKC
+WEKEKLLRIP
+>tr|E1BDI0|E1BDI0_BOVIN LARGE xylosyl- and glucuronyltransferase 1 OS=Bos taurus OX=9913 GN=LARGE1 PE=4 SV=2
+MLGVCRGRRKFLAASLTLLCIPAITWLYLFAGSFEDGKPVSLSPLEAQPHSPRYTASSQR
+ERESLEVRVREVEEENRALRRQLSLAQGRSPSHRRGNHSKTYSMEEGTGDSENLHAGIVA
+GNSSECGQQPVVEKCETIHVAIVCAGYNASRDVVTLVKSVLFHRRNPLHFHLIADSIAEQ
+ILATLFQTWMVPAVRVDFYNADELKSEVSWIPNKHYSGIYGLMKLVLTKTLPANLERVIV
+LDTDITFATDIAELWAVFHKFKGQQVLGLVENQSDWYLGNLWKNHRPWPALGRGYNTGVI
+LLLLDKLRKMKWEQMWRLTAERELMGMLSTSLADQDIFNAVIKQNPFLVYQLPCFWNVQL
+SDHTRSEQCYRDVSDLKVIHWNSPKKLRVKNKHVEFFRNLYLTFLEYDGNLLRRELFGCP
+SEADVNSENLQKQLSELDEDDLCYEFRRERFTVHRTHLYFLHYEYEPASDNTDVTLVAQL
+SMDRLQMLEAICKHWEGPVSLALYLSDAEAQQFLRYAQGSEVLMGRHNVAYHIVYKEGQF
+YPVNLLRNVAMKHVGTPYMFLSDIDFLPMYGLYEYLRKSVIQLDLANTKKAMIVPAFETL
+RYRLSFPKSKAELLSMLDMGTLFTFRYHVWTKGHAPTNFAKWRTATTPYRVEWEADFEPY
+VVVRRDCPEYDRRFVGFGWNKVAHIMELDAQEYEFIVLPNAYMIHMPHAPSFDITKFRSN
+KQYRICLKTLKEEFQQDMSRRYGFAALKYLTAENNS
+>tr|Q0VCC2|Q0VCC2_BOVIN KLHDC8B protein OS=Bos taurus OX=9913 GN=KLHDC8B PE=2 SV=1
+MATGGGRAFAWQVFPPMPTCRVYGTVAFQDGHLLVLGGCGRAGLPLDTAETLDMASHTWV
+ALAPLPTARAGAAAVVLGKQVLVVGGVDEGQSPVAAVEAFLADEGRWERRATLPQAAMGV
+ATVERDGMVYALGGMGPDTAPQAQVRVYEPRRDCWLSLPSMPTPCYGASTFLHGNKIYVL
+GSWTKLPRSLRMRDKRADFVVGSLGDHVVAIGGLGNQPCPLGSVEGFSLARRRWEALPAM
+PTARCSCSSLQAGPRLFAIGGVAQGPSQAVEALCLRDGV
+>tr|A5PK60|A5PK60_BOVIN C2H2orf33 protein OS=Bos taurus OX=9913 GN=C2H2orf33 PE=2 SV=1
+MSKRTSNDTSLGRVSGAAFPSPTAAEMAEISRIQYEMEYTEGISQRMRVPEKLKVAPPNA
+DLEQGFQEGVSNASVIMQVPERIVVAGNNEDIPFSRPADLDLIQSTPFKPLALKTPPRVL
+TLSERPLDFLDLERPAPTPQNEEIRAVGRLKRERSMSENAVRQNGQLVKTDSIPVLRGGS
+AAATSNPHHDNVRYGISNIDAMIEGTSEDMTVVDAASLRRQIIKLNRRLQLLEEENKERA
+KREMVMYSITVAFWLLNSWLWFRR
+>tr|A0JNB8|A0JNB8_BOVIN Putative tRNA (cytidine(32)/guanosine(34)-2'-O)-methyltransferase OS=Bos taurus OX=9913 GN=FTSJ1 PE=2 SV=1
+MGRTSKDKRDVYYRLAKENGWRARSAFKLLQLDEEFQLFQGVTRAVDLCAAPGSWSQVLS
+QKIGGQGSGHVVAVDLQAMAPLPGVLQIQGDITQLSTAKEIIQHFEGCPADLVVCDGAPD
+VTGLHDVDEYMQAQLLLAALNIATHVLKPGGCFVAKIFRGRDVTLIYSQLRVFFSSVLCA
+KPRSSRNSSIEAFAVCKGYDPPEGFLPDLTKPLLDHSYDPDFNQLDGPTRIIVPFVTCGD
+LSAYDSDRSYPLDEACALKKKGQLAKEICPQDCPMSTVDLLPQSLATPQRYTLQTSEVED
+SGVTCSS
+>tr|A0A3Q1MLX2|A0A3Q1MLX2_BOVIN Regucalcin OS=Bos taurus OX=9913 GN=RGN PE=4 SV=1
+MTMSSIKIECVLRENCHCGESPVWEEASNSLLFVDIPAKKVCRWDSLSKQVQRVTVDAPV
+SSVALRQSGGYVATVGTKFCALNWEDQSAVVLATVDKEKKNNRFNDGKVDPAGRYFAGTM
+AEETAPAVLERRQGSLYSLFPDHHVEKYFDQVDISNGLDWSMDHKIFYYIDSLSYSVDAF
+DYDLQTGKISNRRSVYKLEKEEQIPDGMCIDVEGKLWVACYNGGRVIRLDPETGKRLQTV
+KLPVDKTTSCCFGGKDYSEMYVTCARDGLDSKGLLQQPEAGGIFKITGLGVKGIPPYPYT
+G
+>tr|A8QW09|A8QW09_BOVIN TRUB2 protein OS=Bos taurus OX=9913 GN=TRUB2 PE=2 SV=1
+MGSAGLARLQGLFAVYKPPGLKWKHLRDTVELQLLKGLNAGKPPAPKQRVRFLLGPVEGS
+EEKELTLTATCVPTLIDHPLVRGLAFTSLKVGVGHRLDSQASGVLVLGVGHGRRLLTDMY
+NAHLTKDYTVRGLLGKATDDFCEDGRLVEKTTYGSVAFACLTRF
+>tr|F1MM82|F1MM82_BOVIN Solute carrier family 39 member 10 OS=Bos taurus OX=9913 GN=SLC39A10 PE=4 SV=2
+MKVHMHTKFCLICLLTFIFHHCNHCHEEHDHGSEEHHRHHRGMTESESSEFSVLDAENEK
+KYYIEKLFDRYGENGRLSFFGLEKLLTNLGLGEIKVVEINHEDLGHDHVSHLDILAVQEG
+KHFHSHNHQHSHNHLNSENQTVTSVSAKRNRKCDPEKETIEVSVKSDDKHMHDHSHRLCH
+HHCLRHHLDHNTTRHFPNDSITHSEHGEPSHEPSTETNKTQEQSESKLPKGKRKRKEKKS
+NENSGVITPGFPSNHDQGEQYEHNRVHKPDRVHNPGHSHVRLPEHNGHDPGHGHQDLDPD
+IEGELRHTRKREAPHVKKSAIYSAASHKDHNEDDRQHECLNVTQLLKYHGHRANSPISSD
+LFTYLCPALLYQIDSRLCIEHFDKLLVEDLNKDKNQVPEDKANIGASAWICGIISITVIS
+LLSLLGVILVPIINQGCFKFLLTFLVALAVGTMSGDALLHLLPHSQGGHDHSHQHAHGHG
+HSHGHESKKFLEEYDAVLKGLVALGGIYLLFIIEHCIRMFKHYKQQRGKEKWFMKQNTEE
+SAIGRKLSDHKLNNTPDADWLQLKPLAGTDDSVVSEDRLNETELTDLEGQQESPPKNYLR
+IEEEKIMDHSHSDGMHAIHEHDLHAAAHNHHDESKTVLRKHNHQWHHKHSHHSHGPCHSG
+SDLKETGIANIAWMVIMGDGIHNFSDGLAIGAAFSAGLTGGISTSIAVFCHELPHELGDF
+AVLLKAGMTVKQAIVYNLLSAMMAYIGMLIGTAVGQYANNITLWIFAITAGMFLYVALVD
+MLPEMLHGDGDNEEHGFCPVGQFILQNLGLLFGFAIMLVIALYEDKIVFDLQF
+>tr|A6QQQ0|A6QQQ0_BOVIN Phosphodiesterase OS=Bos taurus OX=9913 GN=PDE4A PE=2 SV=1
+MPLVDFFCETCSKPWLVGWWDQFKRMLNRELTHLSEMSRSGNQVSEYISTTFLDKRNEVE
+IPSPTIKDREKQQAPRQRPCQQPPAPGPQLQPMSQITGVKKLMHSSSLNDSSMPRFGVKT
+DQEERLAQELENLNKWGLNIFRVSDYAGGRSLSCIMYTIFQERDLLKKFRIPVDTMVTYM
+LTLEDHYHPDVAYHNSLHAADVLQSTHVLLATPALDAVFTDLEILAALFAAAIHDVDHPG
+VSNQFLINTNSELALMYNDESVLENHHLAVGFKLLQEDNCDIFQNLGKRQRQSLRKMVID
+MVLATDMSKHMTLLADLKTMVETKKVTSSGVLLLDNYSDRIQVLRNMVHCADLSNPTKPL
+ELYRQWTDRIMAEFFQQGDRERERGMEISPMCDKHTASVEKSQVGFIDYIVHPLWETWAD
+LVHPDAQEILDTLEDNRDWYYSAIRQSPSPPPEEEPGGPGHPPPPDKFQFELTLDEEEEE
+EVCTAPGAVAIQELYMAQDASPPEDPLEVDGQDPSAEVEIEEMYLTRQVDSTGSVAAGQD
+VSVPQGASVDVCVGCRNIPALSPNSLAPLALRTPPPPEDDPGLLGLPSMAAEVGAQKEHQ
+AAKRACCACTGTAGEDPTPGALPAPGAWGSAGGPT
+>tr|Q1LZF9|Q1LZF9_BOVIN Myosin regulatory light polypeptide 9 OS=Bos taurus OX=9913 GN=MYL9 PE=1 SV=1
+MSSKRAKAKTTKKRPQRATSNVFAMFDQSQIQEFKEAFNMIDQNRDGFIDKEDLHDMLAS
+MGKNPTDEYLEGMMSEAPGPINFTMFLTMFGEKLNGTDPEDVIRNAFACFDEEASGFIHE
+DHLRELLTTMGDRFTDEEVDEMYREAPIDKKGNFNYVEFTRILKHGAKDKDD
+>tr|Q5GN72|Q5GN72_BOVIN Alpha-1-acid glycoprotein OS=Bos taurus OX=9913 GN=agp PE=2 SV=2
+MALLWALAVLSLLPLLDAQSPECANLMTVAPITNATMDLLSGKWFYIGSAFRNPEYNKSA
+RAIQAAFFYLEPRHAEDKLITREYQTIEDKCVYNCSFIKIYRQNGTLSKVESDREHFVDL
+LLSKHFRTFMLAASWNGTKNVGVSFYADKPEVTQEQKKEFLDVIKCIGIQESEIIYTDEK
+KDACGPLEKQHEEERKKETEAS
+>tr|Q0VCC8|Q0VCC8_BOVIN Abhydrolase domain containing 5 OS=Bos taurus OX=9913 GN=ABHD5 PE=2 SV=1
+MAAEEDGVNSADASERSGWLTGWLPTWCPTSTSHLKEAEEKILKCVPCTYKKEPVRISNG
+NKIWTLKLSHISNKTPLVLLHGFGGGLGLWALNFGDLCTNRPVYAFDLLGFGRSSRPRFD
+SDAEEVENQFVESIEEWRCALGLDKMILLGHNLGGFLAAGYSLKYPSRVSHLILVEPWGF
+SERPDLADQERPIPVWIRALGAALTPFNPLAGLRIAGPFGLSLVQRLRPDFKRKYSSMFD
+DDTVTEYIYHCNVQTPSGETAFKNMTIPYGWAKRPMLQRIGKMHPDIPVSVIFGARSCMD
+GDSGTSIQSLRPQSYVKTIAILGAGHYVYADQPEDFNQKVKEICDTVD
+>tr|Q0VCI8|Q0VCI8_BOVIN Protein phosphatase 1 regulatory inhibitor subunit 14C OS=Bos taurus OX=9913 GN=PPP1R14C PE=2 SV=1
+MSVATGSSEAAGGAAGSGARVFFQSPRGGASGSPGSSSGSGSSREDSAPVATAAPAGHVQ
+QQQQQQQRRHQQGKVTVKYDRKELRKRLVLEEWIVEQLGQLYGCEEEEMPDVEIDIDDLL
+DADSEEERALKLREALVDCYKPTEEFIKELLSRIRGMRKLSPPQKKAV
+>tr|C6KGD7|C6KGD7_BOVIN Histatherin OS=Bos taurus OX=9913 GN=HSTN PE=2 SV=1
+MKIFIFVFIMALILAMIRADSSEEKRHRKRKKHHRGYFQQYQPYQRYPLNYPPAYPFP
+>tr|A2VE20|A2VE20_BOVIN UBE2G1 protein OS=Bos taurus OX=9913 GN=UBE2G1 PE=2 SV=1
+MTELQSALLLRRQLAELNKNPVEGFSAGLIDDNDLYRWEVLIIGPPDTLYEGGVFKAHLT
+FPKDYPLRPPKMKFITEIWHPNVDKNGDVCISILHEPGEDKYGYEKPEERWLPIHTVETI
+MISVISMLADPNGDSPANVDAAKEWREDRNGEFKRKVARCVRKSQETAFE
+>tr|Q1JPG7|Q1JPG7_BOVIN Pyruvate kinase OS=Bos taurus OX=9913 GN=PKLR PE=2 SV=1
+MEQIPGDRQRQQLPATMADTFLEHLCLLDIDSEPVVARSTSIIATIGPASRSVERLKEMI
+EAGMNIARLNFSHGSHEYHAESIANIREAVESFANSPLSYRPVAIALDTKGPEIRTGILQ
+GDPASEVEIVKGSRVLVTVDPEFQTRGDANTVWVDYPNIVRVMPVGGRIYIDDGLISLVV
+KKIGPEGLETEVENGGVLGSRKGVNLPGTQVDLPGLSEQDVQDLRFGVEHGVDIVFVSFV
+RKASDVAAVRDALGPEGQGIKIVSKIENHEGVKKFNEILEVSDGIMVARGDLGIEIPAEK
+VFLAQKMMIGRCNLAGKPVVCATQMLESMITKPRPTRAETSDVANAVLDGADCIMLSGET
+AKGNFPVEAVKMQHAIAREAEAAVYHRQLFEELRRAAPLSRDPTEVTAIGAVEAAFKCCA
+GAIIVLTTTGRSAQLLSRYRPRATVIAVTRSAQAARQAHLCRGVFPVLYREPPEDIWADD
+VDRRVQFGIDNGKLCGFLSSGDLVIVVTGWQPGSGHTNIMRVLSVT
+>tr|Q2KIH9|Q2KIH9_BOVIN Lipoyl synthase, mitochondrial OS=Bos taurus OX=9913 GN=LIAS PE=2 SV=1
+MSLRCGGAVRTVGPRVFGRYVFSPVREVSFLPDEKKEFLQSGPDLQEFISGNLADKSTWD
+EYKGNLKRQKGERLRLPPWLKTEIPMGKNYNKLKNTLRNLNLHTVCEEARCPNIGECWGG
+GEYATATATIMLMGDTCTRGCRFCSVKTARNPPPLDANEPYNTAKAIAEWGLDYVVLTSV
+DRDDMPDGGAEHFAKTVSYLKERNPKILVECLTPDFRGDLKAIEKVALSGLDVYAHNVET
+VPELQRKVRDPRANFDQSLRVLKHAKEVRPDVISKTSIMLGLGENDEQVYATMKGKEI
+>tr|B6VAE3|B6VAE3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC100196899 PE=2 SV=1
+MSTAQPAWTPASGPKPVNDVFVVPPCGPSRQPGTACLPLGCPQWEEAIPGRDSDSCAFQG
+HGLHPQHRRVRAKEGSTNRHPSPRLQERLCRSGLRALTLPVGKRRPGLGRTERLGVSF
+>tr|A7E2Z0|A7E2Z0_BOVIN NRSN2 protein OS=Bos taurus OX=9913 GN=NRSN2 PE=2 SV=1
+MPSCDRPCGCSRGPNVEDGKWYGVRSYLHLFYEDCAGTTLSDDPEGPPVLCPHQSWPTLC
+WKISLSSGALLLLLGMAALITGYAVPPKLEGIGEGEFLVLDQQAADYNQALVTCRLAGTV
+LCGAAGTLLAICLIWAMTGWLGQDTKAEPLDTEADGHVEVFGDELEQQLSPIFRDASGQS
+WFPPPTSHFGQSSVQTIQPKRDF
+>tr|Q1LZF0|Q1LZF0_BOVIN NFYA protein OS=Bos taurus OX=9913 GN=NFYA PE=2 SV=1
+MEQYAANSNSSAEQIVVQAGQIQQQVQGQPLMVQVSGGQLITSTGQPIMVQAVPGGQGQT
+IMQVPVSGTQGLQQIQLVPPGQIQIQGGQAVQVQGQQGQTQQIIIQQPQTAVTAGQTQTQ
+QQIAVQGQQVAQTAEGQTIVYQPVNADGTILQQGMITIPAASLAGAQIVQTGANTNTTSS
+GQGTVTVTLPVAGNVVNSGGMVMMVPGAGSVPAIQRIPLPGAEMLEEEPLYVNAKQYHRI
+LKRRQARAKLEAEGKIPKERRKYLHESRHRHAMARKRGEGGRFFSPKEKDSPHMQDPNQA
+DEEAMTQIIRVS
+>tr|A0A3Q1MRS6|A0A3Q1MRS6_BOVIN PDZK1-interacting protein 1 OS=Bos taurus OX=9913 GN=PDZK1IP1 PE=4 SV=1
+MSVLSLVVLSLLMAVPPASCQQGRGNLQPWMQGLIAVAVFLVLVAIAFAVNHFWCQEKPA
+PINMVMTIGNKADGILVGTDGKYSSMAASFRSSEHENAYENIPEEEGKVCSTPM
+>tr|A0A3Q1LZW7|A0A3Q1LZW7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=WBP11 PE=4 SV=1
+MMVRAAVLKMKDPKQIIRDMEKLDEMEFNPVQQPQLNEKVLKDKRKKLRETFERILRLYE
+KENPDIYKELRKLEVEYEQKRAQLSQYFDAVKNAQHVEVESIPLPDMPHAPSNILIQDIP
+LPGAQPPSILKKTSAYGPPTRAVSILPLLGHGVPRLPPGRKPPGPPPGPPPPQVLQMYGR
+KVGFALDLPTRRRDEDMLYSPELAQRGHDDDVSSTSEDDGYPEDMDQDKHDDSTDDSDSD
+RSDGESEGDEFVHRDDTERENNEEKKSGLSVRFADMPGKSRKKKKKNMKELTPLQAMMLR
+MAGQEIPEEGREVEEFSEEDDEDSDDSEAEKQSQKQHKEESLSDGTSAASQQQAPPQSVP
+PSQIQAPPMPGPPPLGPPPAPPLRPPGPPTGLPPGPPPGAPPFLRPPGMPGLRGPLPRLL
+PPGPPPGRPPGPPPGPPPGLPPGPPPRGPPPRLPPPAPPGIPPPRPGMMRPPLVPPLGPA
+PPGLFPPAPLPNPGVLSAPPNLIQRPKADDTSAATIEKKATATISAKPQITNPKAEITRF
+VPTALRVRRENKGAAAAPQRKSEDDSAVPLAKTAPKSGPSVPVSVQTKDDVYEAFMKEME
+GLL
+>tr|A0A3Q1LZC3|A0A3Q1LZC3_BOVIN Mastermind like domain containing 1 OS=Bos taurus OX=9913 GN=MAMLD1 PE=4 SV=1
+MDDWKSRLVVKSMLPHFAMVGNRQEPRKLQESAQGTTKRRQEGEDFQLSGMGDEGYPNQI
+KRPCLEDVTLSMGPGAHPSTACAQLQVPALPMNPSSTAMAAPGHPLLLDNSPRNGSVMGP
+PFAGPPTAEMGVKGPSIPYYDKTNSAPAVDQELQDLLEELTEIQESSQSELDLEKILGTK
+PEEPLVLQHHPPATLGATAKPTVQMPRLESLSSSKDFASSCSLDTGVSLQIPPSSAGFSY
+AITPASKQMASPSSSTGQAKNQAQVTLSAALPPLPVSQWHHAHQLKALAASKQGSSKKQL
+DPTTSWSGLPSPGLSPPYQPVPVVSPQPLPPFSPQGLMVSCMSSSSLPGTTLQGSPNTLL
+SSLAPSSSTVLGPARPYAPEKFPSPTLQQPPPFSTQTSILANLMSSTVKHPQGHLMSALP
+TSNTGPLTPYRPETLSSPSLPQQSFTAQCPLIQGLTTSAHPLCQPQPPASAIYKPKTLSM
+IMQQDLSSPSPGASEPFPFGHTKPLSHFMSEPGPQKMPSVPTTSRQPALLHYLQQPTPPP
+ASSATASSTATLQLQQQPDISSFLLQHVTQQPQRFQRSLALGDSMPSLPRQACCQLFSWT
+SVAGSMEHQLNHHWDPYPIRQHPQPGAVSPSKITHVDKACKLGEARPPQVSLGRQPPSCQ
+ALGSESFLPSSSFAHELAQVTSSYSTSEAAPWGGWDPKAWRQVPAPLLPSCDTVGKSLPP
+ALLSSSVSWTQSPAQPAHLLHSVVGGTEEVTAQPVKLCAPRRDVQSFLCCIPVSLWQRDH
+PSQKRETKWASPWGQLFPPCCWPSNTPVPSLPVCPCDQTVNWR
+>tr|A7MBF3|A7MBF3_BOVIN STYXL1 protein OS=Bos taurus OX=9913 GN=STYXL1 PE=2 SV=1
+MTGLVLCEPTELYNILNQVTKLSRLTEPNYLCLLDVRSKREYDESHVITALLVKKRAGKY
+LIPESVDLECVEYCVVYDNNTISLELMLKDDSTDDGKHRIVLGAAVECGRALTHLTRHPV
+LILRGGYELFSAMYHFFRTQKIIWMPQELDAFQPYPIEIMPGRIYLGNFKQACDPKIQKD
+LKISAHVNISMETGPFFVGDADKLLHIQIEDSLEANITPFLRHLCHFIDIHLELNSVILV
+FSTLGISRSCAAILAYLMHWNGQTLKKSWAYLKKCKNNMRPNRALVAQLSEWEKVVLGDI
+VTDIQNPPY
+>tr|Q2ABC0|Q2ABC0_BOVIN Taste receptor type 2 OS=Bos taurus OX=9913 GN=T2R10C PE=3 SV=1
+MLSVLEGLLIFVALSESILGVLGDGFIGLAYFIECVKNKKFSTISFILMGLATSRICLIG
+LITTDGFVKIFSPEMYSSGYLIDCITYSWVILNPTSVFFATSLSIFYFLKIANFSHHIFL
+WLRSDVKRVLLLLIGYLLISWLVTFPLTMKIISDSRAKNRSVVFSVEVHKGEFFRNQILL
+NLGTLTIFILCLITCILLLISLRRHNQRMLLNATGFRDPSTEAHIKAMKVLISFIILFIL
+YFIGITIEISCTTMSESKLLFIFGLTITALYPWGHSFILILGNNKLKQVFLRVLKQLKCW
+KKEKLLRTP
+>tr|A0A3Q1MDU1|A0A3Q1MDU1_BOVIN Myocyte-specific enhancer factor 2A OS=Bos taurus OX=9913 GN=MEF2A PE=4 SV=1
+MGRKKIQITRIMDERNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNSSNKLFQYAST
+DMDKVLLKYTEYNEPHESRTNSDIVETLRKKGLNGCESPDADDYFEHSPLSEDRFSKLNE
+DSDFIFKRGPPGLPPQNFSMSVTVPVTSPSALSYTNPGSSLVSPSLAASSALADTSMLSP
+PQATLHRNVSPGAPQRPPSTGSAGGMLSTSDLTVPNGAGSSPVGNGFVNSRASPNLIGTT
+GANSLGKVMPTKSPPPPGGGSLGMNSRKPDLRVVIPPSSKGMMPPLNTQRISSSQATQPL
+ATPVVSVTTPSLPPQGLVYSAMPTAYNTDYSLTSADLSALQGFNSPGMLSLGQVSAWQQH
+HLGQAALNSLVAGGQLSQGSNLSINTNQNINIKSEPISPPRDRMTPSGFQQQQQPQPPPP
+PPQAPQPQPRQEVGRSPVDSLSSSSSSYDGSDREDPRGDFHSPVVLGRPPNSEDRESPSV
+KRMRMDAWVT
+>tr|Q0IIB5|Q0IIB5_BOVIN TSC22 domain family, member 4 OS=Bos taurus OX=9913 GN=TSC22D4 PE=2 SV=1
+MAQPGVSVKSLVSSYETRVVGMAPAPPRKRGCVSSPCSPRGASPIRGASGPPPHRGLGGP
+GQRPSPRRGMDKTLLSLILYCHSGSGSLVGIDNKIEQAMDLVKSHLMFAVREEVEVLKEQ
+IRDLAERNAALEQENGLLRALASPEQLAQLPSSGVPRLGPPAPNGPSV
+>tr|Q0VCD0|Q0VCD0_BOVIN DHDDS protein OS=Bos taurus OX=9913 GN=DHDDS PE=2 SV=1
+MSWVKEGELSFWERFCANIIKAGPMPKHIAFIMDGNRRYAKKCQVERQEGHSQGFNKLAE
+VFPERLFCLHIPS
+>tr|Q1RMV5|Q1RMV5_BOVIN Chromosome 20 C5orf22 homolog OS=Bos taurus OX=9913 GN=C20H5orf22 PE=2 SV=1
+MSESGGQRARLRRYSELPVWVVEDHQEVLPFIYRAIGSKHLPASNISFVHFDSHPDLLIP
+VDMPADTVFDKETLFGELSIENWIMPAVYAGHFSHVVWLHPTWAQQIREGRHHFLVGKDT
+STTTIRVTSTDHYFLSDGLYVTEDLLENPKPLQLDVIMVKPYKLCHSQGENDAVSSAKKP
+KLALEDSENTASANGDSCSEGLGKDTVTQTRDHTCLQPSCSCSSESHECQTAVSTGEILE
+ILEKRDAFVLDIDLDFFSVKNPFKEMFTQEEYKILQELYQFKKPGSNLTEVSFVSFGLV
+>tr|A4IFL7|A4IFL7_BOVIN ACAP3 protein OS=Bos taurus OX=9913 GN=ACAP3 PE=2 SV=1
+MTVEFEECIKDSPRFRATIDEVETDVVEIEAKLDKLVKLCSSMIEAGKAYVTTNRLFVSG
+IRDLSQQCQGDTVISECLQRFGDSLQEMVTYHMILFDQAQRSVRQQLHNFVKEDVRKFKE
+TKKQFDKVREDMELSLVRNAQAPRHRPHEVEEATGALILARKCFRHLALDYVLQINVLQA
+KKKFEILDSMLSFMHAQYSFFQQGYSLLHQLDPYMKKLAAELDQLVIDSAVEKREMERKH
+AAIQQRTLLQDFSYDEPKVEFDVDAPSGVVMEGYLFKRASNAFKTWNRRWFSIQNSQLVY
+QKKLKDVLTVVVDDLRLCSVKPCEDVERRFCFEVVSPTKSCMLQADSEKLRQAWVQAVQA
+SIASAYRESPDSCYSERLDRTASPSTSSIDSATDSRERSVKGESVLQRVQNVAGNSQCGD
+CGQPDPRWASINLGVLLCIECSGIHRSLGVHCSKVRSLTLDSWEPELLKLMCELGNSTVN
+QIYEAQCEGPGSRKPTASSPRQDKEAWIKDKYVEKKFVRRPPSAPAREAPQRWRVQKCQR
+HHSSPRAPAPRRSKVRMEPVLPSVAALCSGSMEPRFRRDSLFCPDELDSLFSYFDAGAAA
+AGPRSLSSDSGLGGSSDGSSDVLAFGVGSVVDRVAEEEGAESEESSGEADGEAETWGLAD
+VRELHPGLLAHRAARTRDLPALAAALAHGAEVNWADAEDEGKTPLVQAVLGGSLIVCEFL
+LQNGADVNQRDSHGRAPLHHATLLGRTGQVCLFLKRGADQHALDHAQQDPLSIAVQEANA
+DIVTLLRLARMAEEMREAEAPSGQPGSLTGSSPTELQYRRCIQEFISLHLDES
+>tr|F1N4U1|F1N4U1_BOVIN Phosphate transporter OS=Bos taurus OX=9913 GN=SLC20A2 PE=3 SV=1
+MAMDEYLWMVILGFIIAFILAFSVGANDVANSFGTAVGSGVVTLRQACILASIFETTGSV
+LLGAKVGETIRKGIIDVNLYNNTVETLMAGEVSAMVGSAVWQLIASFLRLPISGTHCIVG
+ATIGFSLVAIGTQGVQWMELVKIVASWFISPLLSGFMSGVLFVLIRMFILKKEDPVPNGL
+RALPVFYAATIAINVFSIMYTGAPVMGLVLPMWAIALISFGVALLFALFVWLFVCPWMRR
+KITGKLQKECALSRASDESLNKIQEVESPVFKELPGAKAHDDSTVPLTGSAADPSGTSES
+MSGGHHPRAPYGRALSMTHGTTKSPVSNGTFGFDGTARADGHVYHTVHKDSGLYKDLLHR
+IRDERPADGAPRQLRRNNSYTCYTAAICGLPGLATRRGDTPAPEDSEKLVADAVAYSRRR
+LRYDSYSSYCNAVAEAEIEAEEGGVEVRLAPPLAEPEPPRDDPADEEKEEKDSPEVHLLF
+HFLQVLTACFGSFAHGGNDVSNAIGPLVALWLIYEQGAVLQEAATPVWLLFYGGVGICTG
+LWVWGRRVIQTMGKDLTPITPSSGFTIELASAFTVVIASNIGLPVSTTHCKVGSVVAVGW
+IRSRKAVDWRLFRNIFVAWFVTVPVAGLFSAAIMALLIHGILPFV
+>tr|G3X6T7|G3X6T7_BOVIN ATPase copper transporting alpha OS=Bos taurus OX=9913 GN=ATP7A PE=3 SV=1
+MDLSVSVNSVTISVEGMTCSSCVWTIEQQIGKLNGVHHIKVSLEEKNATVIYDPKLQTPK
+TLQEAIDDMGFDAILHNPKPLPVLTETVFLTVTASLVPPWDHIQSTLLKTKGVTDIKISP
+QQRTAVVTIIPSIVNANQIVELVPDLSLDTGTLEKKSGTCEDYSMAQPGEVMLKMKVEGM
+TCHSCTSTIEGKIGKLQGVQRIKVSLDNQEATVVYQPHLITAEEIKKQIEVVGFTAFIKK
+QPKYLKLGAIDIERLKNTPVKSSEGSQQKSPSYTSNSTVIFTIDGMHCKSCVSNIESALS
+TLQHISSVVVSLENKSAIVKYNASLVTPETLRKAIEAISQGQYRVSSASEIESTSNSPSS
+SSLQKSPLNIVSQPLTQETVINIDGMTCNSCVQSIEGVISKKAGVKSIQVSLANGKGTVE
+YDPLLTSPETLREAIENMGFDASLSDTNEPLVVIAQPSSEMPLLTSTNEFHTKMMTPIHD
+KEEPKTSSKCYIQVTGMTCASCVANIERNLRREEGIYSILVALMAGKAEVRYNPALIQPP
+VIAELIRELGFGSTVIENADEGDGVLELVVRGMTCASCVHKIESTLTKHRGIFYCSVALA
+TNKAHIKYDPEIIGPRDIIHTIESLGFEASLVKKDRSASHLDHKREIRQWRRAFLVSLFF
+CIPVMGLMIYMMVMDHHLASLQHNQNMSQEEMINIHSSMFLERQILPGLSIMNLLSFLLC
+VPVQFFGGWHFYIQAYKALKHKTANMDVLIVLATTIAFAYSLVILLVAMYERAKVNPVTF
+FDTPPMLFVFIALGRWLEHVAKGKTSEALAKLISLQATEATIVTLDSDNILLSEEQVDVE
+LVQRGDIIKVVPGGKFPVDGRVIEGHSMVDESLITGEAMPVAKKSGSTVIAGSINQNGSL
+LIRATHVGADTTLSQIVKLVEEAQTSKAPIQQFADKLSGYFVPFIVIVSIATLLVWIIIG
+FLNFEIVETYFPGYNRSISRTETIIRFAFQASITVLCIACPCSLGLATPTAVMVGTGVGA
+QNGILIKGGEPLEMAHKVKVVVFDKTGTITHGTPVVNQVKVLVESNRISRNKILAIVGTA
+ESNSEHPLGAAITKYCKQELDTETLGTCIDFQVVPGCGINCKVTNIEALLHKNNWKIEEN
+NIKNASLVQIDESNEQSSTSSSMIIDAQFSNALNAQQYKVLIGNREWMIRNGLAINNSVN
+DSMTEHERRGRTAVLVAVDDELCGLIAIADTVKPEAELAVHILKSMGLEVVLMTGDNSKT
+ARSIASQVGITKVFAEVLPSHKVAKVKQLQEEGKRVAMVGDGINDSPALAMANVGIAIGT
+GTDVAIEAADVVLIRNDLLDVVASIDLSRKTVKRIRINFVFALIYNLIGIPIAAGVFMPI
+GLVLQPWMGSAAMAASSVSVVLSSLFLKLYRKPTYENYELHARNQMGQKSPSEISVHVGI
+DDTSRNSPKLGLLDRIVNYSRASINSLLSDKRSLNSVGTSEPDKHSLLVGDCREDDDSAL
+>tr|Q3SX33|Q3SX33_BOVIN Thy-1 cell surface antigen OS=Bos taurus OX=9913 GN=THY1 PE=1 SV=1
+MNPTIGIALLLTVLQVARGQKVTSLTACLVNQSLRLDCHHENTTTTPIQYEFSLTRDTKK
+WVLSGSNGVTRPEYSSRTKFFSKYNLKVLYLSNFTTKDEGIYTCELRLSGPNPSVSNKDV
+SVLRDKLVRCGGISLLIQNTSWLLLLLLSLPLLQAMDFISL
+>tr|A2VDU4|A2VDU4_BOVIN NR1I2 protein OS=Bos taurus OX=9913 GN=NR1I2 PE=2 SV=1
+MHRGEADSASTNPIIGADEEEECPQICRVCGDKATGYHFNVMTCEGCKGFFRRAMKRNAQ
+PRCPFRKGTCEITQKTRRQCQACRLRKCLESGMRKEMIMSDAAVEQRRALIRRKKRERIE
+TRPLGAKGLTEEQQMMIRELTTAQMNTFDSTFVHFKNFRLPDVLSSGRQIPEPLQIQSRE
+EAAKWRKIREDLCSMKLSLQLRGEDGSVWNYKPPADNGGKEIFSLLPHIADVSTYMFKGI
+INFAKVISYFRDLPIEDQISLLKGAAFELCQLRFNTVFNAETRTWECGRLSYCVEDPAGG
+FQQLLLEPVLKFHYMLKKLQLHKEEYVLMQAISLFSPDRPGVVQRLVVDQLQERFAMTLK
+AYIEFNRPQPAHRFLFLKIMAILTELRSLSAEHTQQLLRIHDVHPFATPLMQELFSTTNG
+>tr|A0JN63|A0JN63_BOVIN Cleavage stimulation factor subunit 1 OS=Bos taurus OX=9913 GN=CSTF1 PE=2 SV=1
+MYRTKVGLKDRQQLYKLIISQLLYDGYISIANGLINEIKPQSVCAPSEQLLHLIKLGMEN
+DDSAVQYAIGRSDTVAPGTGIDLEFDADVQTMSPEASEYETCYVTSHKGPCRVATYSRDG
+QLIATGSADASIKILDTERMLAKSAMPIEVMMNETAQQNMENHPVIRTLYDHVDEVTCLA
+FHPTEQILASGSRDYTLKLFDYSKPSAKRAFKYIQEAEMLRSISFHPSGDFILVGTQHPT
+LRLYDINTFQCFVSCNPQDQHTDAICSVNYNPSANMYVTGSKDGCIKLWDGVSNRCITTF
+EKAHDGAEVCSAIFSKNSKYILSSGKDSVAKLWEISTGRTLVRYTGAGLSGRQVHRTQAV
+FNHTEDYVLLPDERTISLCCWDSRTAERRNLLSLGHNNIVRCIVHSPTNPGFMTCSDDFR
+ARFWYRRSTTD
+>tr|B2Z4B2|B2Z4B2_BOVIN Angiopoietin-4 OS=Bos taurus OX=9913 GN=ANGPT4 PE=2 SV=1
+MPSPPAMLLGGLLLIVASTTVAQRRGQEAAGRRRAHRVQHGQCSYTFVLPEPEPCPPEPE
+AFGGSNSLQRDSPAATLNLGDWPSQRMRQLEKMLENNTQWLQKLERYIQMNLRLELAQAQ
+QHMVQNQTATMLELGTSLLTQTTAQTRKLTDVEAQVLNQTSRMEIQLLETSLSTNKLEKQ
+LLLQGHELHRLQGHNSALETRVQALETQQQAELASLRGEKERLRRLLGRQSGALAGLERT
+LRAASSNSSLLQRQQHQLLESVQRLVRVMAQGPASMRAADQLFQDCAEIQRFGANASGIY
+TIHVANVTEPRKVFCDMEASGGGWTLIQRRENGSVNFQRNWKDYKQGFGNPAGEHWLGNE
+VVHQLTSRATYSLRVELQDWEGNEAYAQYEHFQLGSEAQLYRLSLSGYSGSAGRQSSLVL
+QGTNFSTRDADNDNCLCKCAQMLSGGWWFDACGLSNLNGIYYPARHHVRKLNGIRWHYFQ
+GPSYSLRTTRMMVRPSGI
+>tr|E1B893|E1B893_BOVIN Sterile alpha motif domain containing 4B OS=Bos taurus OX=9913 GN=SAMD4B PE=4 SV=3
+MMFRDQVGILAGWFKGWNECEQTVALLSLLKRVTRTQARFLQLCLEHSLADCNDIHLLES
+EANSAAIVSQWQQESKEKVVSLLLSHLPLLQPGNTEAKSEYMRLLQKVLAYSIESNAFIE
+ESRQLLSYALIHPATTLEDRNALALWLSHLEDRLASGFRTRPEPTYHSRQGSDEWGGPAE
+LGPGDAGPAWQDKPPRENGHVPFHPPSSVPPAINSIGSNANAGLPCQIHPSPLKRSMSLI
+PTSPQTPGEWPSPEELGARAVFTTPDHAPLSPQSSVASSGSEQTEEQGSSRNTFQEDGSG
+MKDVPSWLKSLRLHKYAALFSQMSYEEMMTLTEQHLESQNVTKGARHKIALSIQKLRERQ
+SVLKSLEKDVLEGGNLRNALQELQQIIITPIKAYSVLQATVAATAATTSTAKDGGRGEPP
+LPGAEPPLALPGTDKGSETNDPPAAESYPPPPAPAPTDGSEPAPAPVADGDIPSQFTRVM
+GKVCTQLLVSRPDEENITSYLQLIEKCLSHEAFTETQKKRLLSWKQQVLKLLRTFPRKAA
+LEMQNYRQQKGWAFGSNSLPIAGSVGMGVARRTQRQFPMPPRALPPGRMGLLSPSGIGGI
+SPRHALTSPSLGGQGRQNLWFANPGGSNSMPSQSRSSVQRTHSLPVHSSPQAILMFPPDC
+PVPGPDLEINPTLESLCLSMTEHALGDGTDKTSTI
+>tr|Q2TBP3|Q2TBP3_BOVIN TM2 domain containing 3 OS=Bos taurus OX=9913 GN=TM2D3 PE=2 SV=1
+MAAAVVLLRPLCRLCRVVLFLSQFYILSGGESTDIPPYVMKCPSNGLCSRLPADCIDCKT
+NFSCVYGKPVTFDCTVKPSVTCVDPDFKSQKSFVLNMTCRFCWQLPEADYECFSSSDCRA
+VSCPRQRYPTNCTVRDHVHCLGNRTFPKMLYCNWTGGYKWSTALALRDLIMMYPGMSLFQ
+LILFTVCLTS
+>tr|A2VDS4|A2VDS4_BOVIN Potassium inwardly-rectifying channel, subfamily J, member 11 OS=Bos taurus OX=9913 GN=KCNJ11 PE=2 SV=1
+MLSRKGIIPEEYVLTRLAEDPAEPRYRARERKARFVSKNGNCNVAHKNIREQGRFLQDVF
+TTLVDLKWPYTLLIFTMSFLCSWLLFAMVWWLIAFAHGDLAPGEGAAVPCVTSIHSFSSA
+FLFSIEVQVTIGFGGRMVTEECPLAILILIVQNIVGLMINAIMLGCIFMKTAQAHRRAET
+LIFSKHAVIALRHGRLCFMLRVGDLRKSMIISATIHMQVVRKTTSPEGEVVPLHQVDIPM
+ENGVGGNSIFLVAPLIIYHVIDANSPLYDLAPCDLHHHQDLEIIVILEGVVETTGITTQA
+RTSYLADEILWGQRFVPIVAEEDGRYSVDYSKFGNTIKVPTPLCTARQLEEDPSLLDVLT
+LVRGPLRKRTVAVAKAKPKFSISPDSLS
+>tr|E1BDV4|E1BDV4_BOVIN DDB1 and CUL4 associated factor 1 OS=Bos taurus OX=9913 GN=DCAF1 PE=4 SV=3
+MTTVAVHVDSKAELTTLLEQWEKEHGSGQDMVPILTRMSELIEKETEEYRKGDPDPFDDR
+HPGRADPECMLGHLLRILFKNDDFMNALVNAYVMTSREPPLNTAACRLLLDIMPGLETAV
+VFQEKEGIVENLFKWAREADQPLRTYSTGLLGGAMENQDIAANYRDENSQLVAIVLRRLR
+ELQLQEVGSRQESKRPSPRKLSSEPLLPLDEEAVDMDYGDMAVDVVDGEQEEASGDMEIS
+FHLESGHKTSSRVNSATKLEEGALRKNKSTKQADRESFRKAKQKLGFSSSDPDRMFVELS
+NSSWSEMSPWVIGTNYTLYPMTPAIEQRLILQYLTPLGEYQELLPIFMQLGSRELMMFYI
+DLKQTNDVLLTFEALKHLASLLLHNKFATEFVAHGGVQKLLEIPRPSMAATGVSMCLYYL
+SYNQDAMERVCMHPHNVLSDVVNYTLWLMECSHASGCCHATMFFSICFSFRAVLELFDRY
+DGLRRLVNLISTLEILNLEDQGALLSDDEIFASRQTGKHTCMALRKYFEAHLAIKLEQVK
+QSLQRTEGGILVHPQPPYKACSYTHEQIVEMMEFLIEYGPAQLYWEPAEVFLKLSCVQLL
+LQLISIACNWKTYYARNDTVRFALDVLAILTVVPKIQLQLAESVDVLDEAGSTVSTVGIS
+IILGVAEGEFFIHDAEIQKSALQIIINCVCGPDNRISSIGKFISGTPRRKLPQTPKSSEH
+TLAKMWNVVQSNNGIKVLLSLLSVKMPITDADQIRALACKALVGLSRSSTVRQIISKLPL
+FSSCQIQQLMKEPVLQDKRSDHVKFCKYAAELIERVSGKPLLIGTDVSLARLQKADVVAQ
+SRISFPEKELLLLIRNHLISKGLGETATVLTKEADLPMTAASHSSAFTPVTAAASPVSLP
+RTPRIANGIATRLGSHAAVGTSAPSAPAAHPQPRVPQGSLALPGPSHAGNSPVIGRISFI
+RERPSPCNGRKIRVLRQKSDHGAYSQSPAIKKQLDRHLPSPPTLDSIITEYLREQHARCK
+NPVATCPPFSLFTPHQCPEPKQRRQAPINFTSRLNRRASFPKYGGVDGGCFDRHLIFSRF
+RPISVFREANEDESGLTCCAFSARERFLMLGTCTGQLKLYNVFSGQEEASYNCHNSAITH
+LEPSRDGSLLLTSATWSQPLSALWGMKSVFDMKHSFTEDHYVEFSKHSQDRVIGTKGDIA
+HIYDIQTGNKLLTLFNPDLANNYKRNCATFNPTDDLVLNDGVLWDVRSAQAIHKFDKFNM
+NISGVFHPNGLEVIINTEIWDLRTFHLLHTVPALDQCRVVFNHTGTVMYGAMLQADDEDD
+LLEERMKSPFGSSFRTFNATDYKPIATIDVKRNIFDLCTDTKDCYLAVIENQGSMDALNM
+DTVCRLYEVGRQRLAEDEDEEEDQEEEEQEEEDDDEDDDDTDDLDELDTDQLLEAELEED
+DNNENAGEDGDNDFSPSDEELANLLEEGEDAEDEDSDADEEVELILGDSKSGAHCEPGYP
+GEGPFPVPTQPRGQPHWGSMPGTSRRPGP
+>tr|A7Z062|A7Z062_BOVIN ZC3H7A protein OS=Bos taurus OX=9913 GN=ZC3H7A PE=2 SV=1
+MSSVSEERRKRQQNIKEGLQFIQSPLSYPGTQEQYAVYLHALVRNLFNEGNDVYRERDWN
+HSISQYSEALSIADYAKSEEILIPKEIIEKLYINRIACYSNMGFHDKVLEDCDTVLSLNA
+SNYKALYRKSKALSDLGRFREAYDAVAKCSLAVPQDEHVIKLTQELAQKLGFKIRKAYVR
+AELSLKSVPGDGATKALNCSVEDIEPDLLTPRQEALSVVSIPASSFSHQVGNELASISIM
+PLTSVLPLQVEESSLPSTVLANGGKMPFGTPEVFLDDGDMVLGDEIDDLLDSAPEANETV
+MPSALVRGPLPTASIGPSVPFSAASLLGALPIGARYAPAPSFSELYPPLTSSLEDFCSSL
+NSFSMSESKRDLSTSTSREGTALNNSNSSLLLMNGPGSLFASESFLGISSHPRNDFGNFF
+GSAVTKPTSSVTPRHPLEGTHELRQACQICFVKSGPKLMDFTYHANLDHKCKKDILIGRI
+KNVEDKSWKKIRPRPTKTNYEGPYYICKDVAAEEECRYSGHCTFAYCQEEIDVWTLERKG
+AFSREAFFGGNGKISFTVFRLFQEHLGEFIFLCEKCFDHKPRMISKRNKDNSTSCSHPVT
+KHEFEDNKCLVHILRETTVKYSKIRSFHGQCQLDLCRHEVRYGCLREDECFYAHSLVELK
+VWILQNETGISHDDIAQESKRYWQNLEANVPGAQVLGNQIMPGSLNMKIKFVCAQCLRNG
+QVIEPDKNRKYCSAKARHSWTKDRRAMRVMSIERKKWMNIRPLPTKKQMPLQFDLCNHIA
+SGKKCQYIGNCSFAHSPEEREVWTYMKENGIQDMEQFYELWLKSQKSEKSDDAASQSSKE
+NGKQIHMPTDYAEVTVDFHCWMCGKNCNSEKQWQGHISSEKHKEKVFHTEDDQYCWQHRF
+PTGYFSICDRYMNGTCTEGSSCKFAHGNAELHEWEERRDALKMKLNKARKDHLIAPNDND
+FGKYSFLFKDLN
+>tr|F1N673|F1N673_BOVIN Abhydrolase domain containing 18 OS=Bos taurus OX=9913 GN=ABHD18 PE=4 SV=1
+MGVSKLDILYRRLLLTKLFIRGWGRPEDLKRLFEFRKIIGNRERCQNLVSSDYPVYIDKI
+EEQSDCKILDGHFVSPMAHYVPDIMPVESVIARFQFIVPKEWNSKYRPVCIHLAGTGDHH
+YWRRRTLMARPMIKEARMASLLLENPYYGCRKPKDQIRSSLKNVSDLFVMGGALVLESAA
+LLHWLEREGYGPLGMTGISMGGHMASLAVSNWPKPMPLIPCLSWSTASGVFTTGVLSKSI
+NWRELEKQYYTQTVYEEEIIHMLEYCGTDSFKMGQEFVKRFPSSADKLTNLNLVSRTLNL
+DMTDQVVSQKPVECHKSSKTSISATSEGLLLQDTSKMDCFNHTLSTNKSSYTSCSPQSCH
+LLSKEQRRNNLQKESLIFMKGVMDECTHVANFSVPVDPSLIIVVQAKEDAYIPRTGVRSL
+QEIWPGCEIRYLEGGHISAYLFKQGLFRRAIYDAFERFLHKYAN
+>tr|E1BE92|E1BE92_BOVIN Mothers against decapentaplegic homolog OS=Bos taurus OX=9913 GN=SMAD2 PE=3 SV=2
+MSSILPFTPPVVKRLLGWKKSAGGSGGAGGGEQNGQEEKWCEKAVKSLVKKLKKTGRLDE
+LEKAITTQNCNTKCVTIPSTCSEIWGLSTPNTIDQWDTTGLYSFSEQTRSLDGRLQVSHR
+KGLPHVIYCRLWRWPDLHSHHELKAIENCEYAFNLKKDEVCVNPYHYQRVETPVLPPVLV
+PRHTEILTELPPLDDYTHSIPENTNFPAGIEPQSNYIPETPPPGYISEDGETSDQQLNQS
+MDTGSPAELSPTTLSPVNHSLDLQPVTYSEPAFWCSIAYYELNQRVGETFHASQPSLTVD
+GFTDPSNSERFCLGLLSNVNRNATVEMTRRHIGRGVRLYYIGGEVFAECLSDSAIFVQSP
+NCNQRYGWHPATVCKIPPGCNLKIFNNQEFAALLAQSVNQGFEAVYQLTRMCTIRMSFVK
+GWGAEYRRQTVTSTPCWIELHLNGPLQWLDKVLTQMGSPSVRCSSMS
+>tr|F1MTN6|F1MTN6_BOVIN ArfGAP with RhoGAP domain, ankyrin repeat and PH domain 2 OS=Bos taurus OX=9913 GN=ARAP2 PE=4 SV=3
+MSSVSEVNVDIRDFLMSINLEQYLLNFREFGFNNVRDCAEINDSVLLKVGISPTGHRRRI
+LKQLQIILSKMQDIPIYANIHKTKKNDETSKDHQAPSSAQNTSLEFSDSHGVQIPSRIQL
+ETVTKNVGENEVCVENSQSLKSDDKLTLPTHNFPIPEDEPHSNSGSFQDSLLRNEITDIE
+SLITEKTVGCTAEEEHTGRDDLISENVGKLPNADLEFLSSHDSSVSEANSGNGTNVLLES
+SPPSPFFQFQGEMVVNDLYVPSSPVLTPMRSRSKLVSRPSRSFLLRHRPVPEIPGSTKGI
+SGSYFRERRNVATSTGKSVTQQNTNEENSSSILPYGETFLLQRLENSKKRSIKNEFWTQE
+ETLKGETTTERNSFFVKSSIYDNRTENISENKVEDIWIPREDKSNLPIDSASESEYSTVE
+ECFQSLRRKNSKPSKSRTQKAANLDPVNRHSYPLSSTSGNADSPVISSNVISPYACFYGS
+STRKVKSGWLDKLCPQGKRMFQKRWVKFDGLSISYYNNDKEKYSKGIIPLSAISTVRVQG
+DNKFEVVTTQRTFVFRVEKEEERNDWISLLLNALKLQALSSQSQAAIAPEKCGYLELRGY
+KAKIFTVLSGNSVWLCKNEQDFKSGLGITIIPMNVANVKQVDRTVKQSFEIITPYRSFSF
+TAESEKEKQEWIEAVQQSIAETLSDYEVAEKIWYNESNRSCADCKAPDPDWASINLCVVI
+CKKCAGQHRSLGPKDSKVRSLKMDASIWSNELIELFIVIGNKRANDFWAGNLQKDEELHM
+DCSVEKRKNFITQKYKEGRFRKTLLASLTKEELNKALCAAVVKPDVLETMALLFSGADVM
+CATGDPEHSTPYLLAKKAGQSLQMEFLYHNKFSDFPQHDIYSEGRLNQESSQSTFLCDFL
+YQAPAGASKLSSEKKLLEETNKKWCVLEGGFLSYYENDKSTTPNGTININEVICLAVHKE
+DFCLNTGPIFTFEIYLPSERAFLFGAETSQVQRKWTEAIAKHFVPFVAENLTEADYDLIG
+QLYYKDCHALDQWRKGWFAMDKSNLRFCLPMQEGQEDRMHLRRLQELTISTVVQNGEKLD
+VLLLVEKGRTLYIHGHTKLDFTVWHTAIEKAAGTDGNALQDQQLGRNDVPIIVNSCIAFV
+TQYGLGYKNIYQKNGDPLHVSELLESFKKDARSFKLRAGKHQLEDVTGVLKSFLSDIDDA
+LLTKELYPYWISALDTQDDKERIKKYGAFIRTLPGVNRATLAAIIEHLYRVQKCSEINHM
+SAHNLALVFSSCFFQTKGQTSEEVNVIEDLINNYVEIFEVKEDQVKQMDIENSFITKWKD
+TQVSQAGDLLIEVYVERKEPDCSIIIRISPVMEAEELTNDILAIKNIIPTKDDIWATFEV
+IENEELERPLHYTENVLEQVLRWSSLAEPGSAYLVVKRFLTIDTIKHYNERKALENIKEG
+VLKVKEEPSKILSGNKFQDRYCVLRDGYLLLYKDLKSSKPDKMFSLSSVKFYLGVKKKMK
+PPTSWGLTAYSEKHHWHLCCESSQTQTEWMANIFIAQHENDIWPPAGKERKRSITKNPKI
+GGLPLIPIQHDRNATQARRETESAKAELERLRLGAKRDQGGDGTLKEKASIVAQCLEHKD
+EKLRNRTRKHRSFNCLEDTEAEVFPGRQKGHKGLKTLRKTEDRNGKDTLDCNNKLPPKVI
+EELSVVLQRSRTLPKELQDEQVVQKEIK
+>tr|Q17QK5|Q17QK5_BOVIN SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily d, member 3 OS=Bos taurus OX=9913 GN=SMARCD3 PE=2 SV=1
+MTAGLQHPPAVVQRPGMPSGARMPHQGAPMGPPGSPYMGSPAVRPGLAPAGMEPARKRAA
+PPPGQSQAQSQGQPVPTAPARSRSAKRRKMADKILPQRIRELVPESQAYMDLLAFERKLD
+QTIMRKRVDIQEALKRPMKQKRKLRLYISNTFNPAKPDAEDSDGSIASWELRVEGKLLDD
+PSKQKRKFSSFFKSLVIELDKDLYGPDNHLVEWHRTPTTQETDGFQVKRPGDLSVRCTLL
+LMLDYQPPQFKLDPRLARLLGLHTQSRSAIVQALWQYVKTNRLQDSHDKEYINGDKYFQQ
+IFDCPRLKFSEIPQRLTALLLPPDPIVINHVISVDPSDQKKTACYDIDVEVEEPLKGQMS
+SFLLSTANQQEISALDSKIHETIESINQLKIQRDFMLSFSRDPKGYIQDLLRSQSRDLKV
+MTDVAGNPEEERRAEFYHQPWSQEAVSRYFYCKIQQRRQELEQSLVVRNT
+>tr|F1N4W5|F1N4W5_BOVIN Membrane cofactor protein OS=Bos taurus OX=9913 GN=CD46 PE=4 SV=3
+MRASCTPLKAPLRRPERLASSGRFAWVLLLAPLLLLPTSSDACDDPPRFVSMKPQGTLKP
+SYSPGEQIVYECRLGFQPVTPGQVLALVCQDNNTWSSLQEGCKKRRCPTLADPTNGQVIL
+VNGSTEFGSEVHYVCNNGYYLLGTNISYCEVSSGTGVNWSDNPPTCEKILCQPPPEIQNG
+KYTNNHKDVFEYNEVVTYSCDPSNGPDEYSLVGESKLTCIGNGEWSSQPPQCKVVKCVYP
+AIEHGTIVSGFGPKYYYKATVVLKCNEGFNLYGNSVVVCGENSTWEPELPKCIKGHPPRP
+TDASPPNGAEGLGAGYIVLVIVALLALDYCSACTAVFADRGRKG
+>tr|Q0IIM0|Q0IIM0_BOVIN Chromosome 10 open reading frame 4 ortholog OS=Bos taurus OX=9913 GN=FRA10AC1 PE=2 SV=1
+MHGHGGYDSDFSDDEHCGESSKRKKRTVEDDLLLKKPFQKEKHGKVVHKQVAAELLDREE
+AKNRRFHLIAMDAYQRHTKFVNDYILYYGGKKEDFRRLGENDKTDMDVIRENHRFLWNEE
+DEMDMNWEKRLAKKYYDKLFKEYCIADLSRYKENKFGFRWRIEKEVISGKGQFCCGNKSC
+DKKEGLKSWEVNFGYTEHGEKRNALVKLRLCQECSFKLNFHHRRKEIKSKKRKDKAEKDS
+EESTHKKSRLSSVEETSKTKDKAHSSSVKSENSVNRNSDEEESASESELWKGPLPETDEK
+SQEEEFDEYFQDLFL
+>tr|F1N427|F1N427_BOVIN Septin-12 OS=Bos taurus OX=9913 GN=SEPTIN12 PE=3 SV=2
+MDPLRQSPSPSSSRASSPRTLSCERLGYVGIEAVLDQLKIKAMKMGFEFNIMVVGQSGLG
+KSTMVNTLFKSKIWKSTMPGLRVPMPQTLQLHSVTHVIEENGVKLKLTVTDTPGFGDQIN
+NDKCWDPILGYINEQYERYLQEEILITRQRHIPDTRVHCCIYFVPPTGHCLRPLDLEFLQ
+RLCRAVNVVPVIARADSLTIEEREAFRHRIQDDLKTHSIEVYPQKSFDEDVNDKILNSKI
+RERIPFAVVGADREHMVNGRCVLGRKTKWGIIEVENMAHCEFPLLRDLLIRSHLQDLKDI
+THNVHYENYRIIRLKESHALPQGPGWVNLAPAPPPAPTGTRASPGPAKMCRWAEDNSDED
+F
+>tr|Q29RH6|Q29RH6_BOVIN Centrosomal protein 57 like 1 OS=Bos taurus OX=9913 GN=CEP57L1 PE=2 SV=1
+MDSELMHSIVGSYLKPPERVCVPSITRSDESSQTHYSVNLELTSSQMLRSPNSQALILAL
+KTLQEKIHRLELERTQAEDNLNILSREAAQYKKALENETSERNLAHEELIKQKKDISMQL
+SSAQSRCILLEKQLEYTKRMVLNVEREKNMILEQQAQLQREKEQDHMKLHAKLAKLDVLE
+KECFKLTTTQKTAEDKIKHLEEKLKEEEHQRKLFQDKASQLQTGLEISRILMSSVTNPRH
+FKEKKKSSKKIKCLKRRPPQQICSKFETLPIMAEKSASASHSANASTHSLQTVQHSGPHI
+LQKPAEVTELRCLYKPSRTTSQCKAVPSDSEKSISICHNLSELLMAMQDELDQMTMGYQD
+LLNQMKETESQSVCEDIECELERLVKKMEIKGEQISKLMQHQESVRKLQQKVQNSKMSET
+SAIQQEDSNCKGTKNIKNSSRKCLLTNSLQKNNNCRPIRVHNLQMKLRRDDIMWEQ
+>tr|A6QR35|A6QR35_BOVIN Secretory carrier-associated membrane protein OS=Bos taurus OX=9913 GN=SCAMP2 PE=1 SV=1
+MSSFDTNPFADPVDVNPFQDPSVTQLTNAPQGGLAEFNPFSETNAATTVPVSQMPGASQP
+AVLQPSVEPTQPTPQAVASAAQASLLRQQEELDRKAAELERKERELQNTVANLHVRENNW
+PPLPVWCPVKPCFYQDFSTEIPADYQRICKMLYYLWMLHSVTLFLNLLACLAWFLVDTSR
+GVDFGLSILWFVIFTPCAFLCWYRPIYKAFRSDNSFSFFVFFFVFFCQIGIYIIQLIGIP
+SLGDSGWIAALSTMKHNLAVSVIMMVVAGFFTLCAVLSLFLLKRVHSLYRRTGASFQQAQ
+EEFSQGIFSSRTFRSAASSAAQGAFQGN
+>tr|A7Z080|A7Z080_BOVIN SLC38A10 protein OS=Bos taurus OX=9913 GN=SLC38A10 PE=2 SV=1
+MTAAAASNWGLITNIVNSIVGVSVLTMPFCFKQCGIVLGALLLAFCSWMTHQSCMFLVKA
+ASLSKRRTYAGLALHAYGKAGKMLVETSMIGLMLGTCIAFYVVIGDLGSNFFARLFGFQV
+TGTFRMLLLFAVSLCIVLPLSLQRNMMASIQSFSAMALIFYTVFMFVIVLSSFKHGLFGG
+QWLQRVSYIRWEGVFRCIPIFGMSFACQSQVLPTYDSLDEPSVKTMSSIFASSLNVVTTF
+YVMVGFFGYVSFTEATEGNVLMHFPSNLVTEMIRVGFMMSVAVGFPMMILPCRQALNTLL
+FEQQQKDGTFAAGGYMPPLRFKALTLSVVFGTMVGGMMIPNVETILGLTGATMGSLICFV
+CPTLIYKKIHKNSLSSQARIQLWTWLRTAEISRSCQMRKMRWSRPRLRAP
+>tr|A4FV99|A4FV99_BOVIN FCNB protein OS=Bos taurus OX=9913 GN=FCNB PE=2 SV=1
+MELGGAAGALGPSGPLLVCLCFGTLAAQAADTCPEVKLVGLEGSDKLSILRGCPGLPGAP
+GLKGETGAAGLKGERGLPGVPGKAGPAGPKGSTGAQGEKGARGEKVLRVTKSRTRVSTHK
+TPSHALTTSTKCAGWGQAYPKRPQDFPRPEALMVESDGPGGADPQCREPQSPGEAAGESG
+QLHSCATGPRTCTELLTRGHFLSGWHTIYLPDCRPLTVLCDMDTDGGGWTVFQRRKDGSV
+DFFRTWTAYKQGFGSQLGEFWLGNDNIHALTAQGTSELRVDLMDFEGNHRFAKYQSFRMA
+DEAEKYKLVLGAFVEGNAGDSLTDHGNHFFSTKDRDNDESPSNCAAQFQGAWWYHSCHSS
+NLNGRYLRGPHTSYANGINWKSWGRYNYSYKVSEMKLRLT
+>tr|A2VDK5|A2VDK5_BOVIN ELAV-like protein OS=Bos taurus OX=9913 GN=ELAVL4 PE=2 SV=1
+MVMIISTMEPQVSNGPTSNTSNGPSSNNRNCPSPMQTGAATDDSKTNLIVNYLPQNMTQE
+EFRSLFGSIGEIESCKLVRDKITGQSLGYGFVNYIDPKDAEKAINTLNGLRLQTKTIKVS
+YARPSSASIRDANLYVSGLPKTMTQKELEQLFSQYGRIITSRILVDQVTGVSRGVGFIRF
+DKRIEAEEAIKGLNGQKPSGATEPITVKFANNPSQKSSQALLSQLYQSPNRRYPGPLHHQ
+AQRFRLDNLLNMAYGVKRFSPITIDGMTSLVGMNIPGHTGTGWCIFVYNLSPDSDESVLW
+QLFGPFGAVNNVKVIRDFNTNKCKGFGFVTMTNYDEAAMAIASLNGYRLGDRVLQVSFKT
+NKAHKS
+>tr|D7GLD0|D7GLD0_BOVIN Mitofusin 2 OS=Bos taurus OX=9913 GN=MFN2 PE=3 SV=1
+MSLLFSRCNSIVTVKKDKRHMAEVNASPLKHFVTAKKKINGIFEQLGAYIQESATFLEET
+YRNAELDPVTTEEQVLDVKGYLSKVRGISEVLARRHMKVAFFGRTSNGKSTVINAMLWDK
+VLPSGIGHTTNCFLRVEGTDGHEAFLLTEGSEEKRSVKTVNQLAHALHQDEQLHAGSLVS
+VMWPNSKCPLLKDDLVLMDSPGIDVTTELDSWIDKFCLDADVFVLVANSESTLMQTEKQF
+FHKVSERLSRPNIFILNNRWDASASEPEYMEEVRRQHMERCTSFLVDELGVVDRGQAGDR
+IFFVSAKEVLNARIQKAQGMPEGGGALAEGFQVRMFEFQNFERRFEECISQSAVKTKFEQ
+HTVRAKQIAEAVRLIMDSLHIAAQEQRVYCLETREERQERLRFIDKQLELLAQDYKLRIK
+QITEEVERQVSTAMAEEIRRLSVLVDEYQMDFHPSPVVLKVYKNELHRHIEEGLGRNMSD
+RCSTAITSSLQTMQQEMIDGLKPLLPVSVRSQMDMLVPRQCFSLSYDLNCDKLCADFQED
+IEFHFSLGWTMLVNRFLGPKNSRRALMGYSDQVQRPMPLTPANPSMPPLPQGSLTQEELM
+VSMVTGLASLTSRTSMGILVVGGVVWKAVGWRLIALSLGLYGLLYVYERLTWTTKAKERA
+FKRQFVEYASEKLQLIISYTGSNCSHQVQQELSGTFAHLCQQVDVTRENLEQEIAAMNKK
+IEVLDSLQSKAKLLRNKAGWLDSELNMFTHQYLQPSR
+>tr|F7VJQ6|F7VJQ6_BOVIN Zinc finger protein 496 OS=Bos taurus OX=9913 GN=znf496 PE=2 SV=1
+MPTALCPRVLAPKESEEPRKMRSPPGENPSSQGELPNPESSRRLFRRFCYQEAAGPREAL
+HRLWDLCRGWLRPERHTKEQILELLVLEQFLAILPREVQGWVRAQEPESGDQAVSAVEAL
+EREPGRPWQWLKHCEDPVVIDDGDSPPDQEQEQLPAEPHSDLAKSQDAQPIALAQSPGLP
+SRLSGQLSGDPVLQDTSILQEASLRDAQQVTTFQLPPNRVSPFKDMIFCFSEEDWSLLDP
+AQTGFYGEFIIGEDCGVSLPPNDAAAQLDLSQGEENEPRVPELQDFQGKDVSQVSYLDFP
+SLQPFQVEERRKRDELQVPEFQTSQQTVLPQSTCPVGGDAPFPENSLDEEVTIEIVLSSS
+GDEDSQLGPYCTDEPRSPTEKQHSLPISHRSGIEAAGEVQTSSKKSYVCPNCGKIFRWRV
+NFIRHLRSRREQEKPHECSVCGELFSDSEDLDGHLETHEVQKPFRCGACGKSFRLNSHLL
+SHRRIHLQPDGLELVKKKEQEASGTTGGDSDDLLTKGKAKLRCQCCDCGKVFQRPEHLAR
+HRSNIHMDKSQPFQCRYCVKSFSQNSDLLRHQRLHMKRRSKQALNSY
+>tr|A6QQP5|A6QQP5_BOVIN SPN protein OS=Bos taurus OX=9913 GN=SPN PE=2 SV=1
+MGAENVTEVSSRSSPLWTSKTTIASLSSVSETLTFNSVTTSLTTNEVSKMSDNPEHQTLP
+PSSIPYIADVVSSPETSPTASRGSPVSESTISKEDSSKKSIKLMKTPDATSTPGVSVMKP
+TGFPTMTSKTMATSPLETSSATSKVLLTMATSSLEISGGTSRPPVSMAMSSLDTSSGTSE
+VLLTMATSSLDISGGTSRPPVSMATSSLDTSSGTSEVLLTMATSSLDISGGTSRPPVTMA
+TSSLETSSGTREPLVTTATSSVKTISMTSGSPVIMKTSSPKTSKGSGLLVTTPATSLKTP
+MATTGSTGHEVTTFSPNTSTNVSRRDKLIPPQGTKGTLLVAVLVALLVVVVLVALILLWL
+RRQKRKTGVLTLGGGGKRNGVVDAWAGVARVPDEEAMTATEGASRGNNDSDGPHREGSGQ
+RPTLTTFFGRRKSRQGSMALEELKAGPASSLTGEEEPLVCNEDEGAEAPTSNGPEAREVK
+TP
+>tr|Q2HJ62|Q2HJ62_BOVIN Spondin 2 OS=Bos taurus OX=9913 GN=SPON2 PE=2 SV=1
+MGAPHPVFPRGAALWAFLLASLGSVAGQPLGAEPMCTAQPLARYSITFTGKWSQASFPKQ
+YPLFRPPAQWSSLLGAAHSSDYSLWRKDQYVSNGLREFAERGEAWALMREMEAAGERLQS
+VHGVFSAPAVPSGTGQTSSELEAHSRHSLVSFVVRIVPSPDWFVGVDSLDLCDGGSWREQ
+VAVDLHPYDAGTDSGFTFSSPNFATVPQDTVTEITASSPSHPANSFYYPRLKALPPIAKV
+TLVRLRQSPRAFVPPALDLGVGGNEIVDSLPDPETPLDCEVSLWSSWGLCAGACGRPGAK
+SRTRYVRVRPANHGAPCPPLEEEAPCDPDNCV
+>tr|A0A3Q1LZM4|A0A3Q1LZM4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=NKIRAS1 PE=4 SV=1
+MGKGCKVVVCGLLSVGKTAILEQLLYGNHTIGLGDCETLEDVYMASVETDRGVKEQLHLY
+DTRGLQEGVELPKHYFSFADGFVLVYSVNNLESFQRVELLKKEIDKFKDKKEVAIVVLGN
+KIDLSEQRQVDAEVAQQWARSEKVRLWEVTVTDRKTLIEPFTLLASKLSQPQSKSSFPLP
+GRKNKGNSSSEN
+>tr|A4FV24|A4FV24_BOVIN KH RNA binding domain containing, signal transduction associated 3 OS=Bos taurus OX=9913 GN=KHDRBS3 PE=2 SV=1
+MEEKYLPELMAEKDSLDPSFTHALRLVNQEIEKFQKGEGKDEEKYIDVVINKNMKLGQKV
+LIPVKQFPKFNFVGKLLGPRGNSLKRLQEETLTKMSILGKGSMRDKAKEEELRKSGEAKY
+FHLNDDLHVLIEVFAPPAEAYARMGHALEEIKKFLIPDYNDEIRQAQLQELTYLNGGSEN
+ADVPVVRGKPTLRTRGVPTPAITRGRGGVSARPVGVGVPRGTPASRGVLSTRGPVSRGRG
+LLTPRARGVPPTGYRPPPPPPTQETYGDYDYDDGYGTAYDEQSYDSYDNSYSAPTQSGAD
+YYDYGHGLSEETYDSYGQEEWTNSRHKAPSARTAKGVYRDQPYGRY
+>tr|A0JNA1|A0JNA1_BOVIN Sphingosine-1-phosphate phosphatase 1 OS=Bos taurus OX=9913 GN=SGPP1 PE=2 SV=1
+MSVGQWLAQLMSSLQDPQKVARFQQLCGVEALASCTAAAAADPREDEKAEAHLTGESRRR
+ERQPGPSGGPPPPGSDRNQCPAKLGDGGAPNGVRNGRATEVGPASPRCAGLLRRNSLTDE
+EGQLAHVSNWPLHYLFCFGTELGNELFYILFFPFWIWNLDALVGRRLVVIWVLVMYLGQC
+TKDIIRWPRPASPPVVKLEVFYNSEYSMPSTHAMSGTAIPISMILLTYGRWQYPLTYGLI
+LIPCWCSLVCISRIYMGMHSILDVIAGFLYTILILVIFYPFVDVIDNFNQTHRYAPLIII
+GLHLALGIFSFTLDTWSTSRGDTAEILGSGAGIACGSHFTYKMGLLLDPPLDILPLARHP
+ISMTLFGKAILRIFIGMLFVLVVRDIMKRITVPLACKIFSIPCDDIRKARQHMEVELPYR
+YITYGMVGFSITFLIPYIFFFIGIS
+>tr|Q3T008|Q3T008_BOVIN Septin 4 OS=Bos taurus OX=9913 GN=SEPTIN4 PE=2 SV=1
+MDRSLGRQGGSVPEERAEAGDDKEYVGFATLPNQVHRKSVKKGFDFTLMVAGESGLGKST
+LVNSLFLTDLYRDRKLLSAEERIMQTVEITKHAVDIEEKGVKLRLTIVDTPGFGDAVNNT
+ECWKPVAEYIDQQFEQYFRDESGLNRKNIQDNRVHCCLYFISPFGHGLRPLDVEFMKALH
+QRVNIVPILAKADTLTPPEVERKKRKIREEIEHFGIKVYQFPDCDSDEDEDFKSQDLALK
+ESIPFAVIGSNTVVEARGRRVRGRLYPWGIVEVENPGHCDFVKLRTMLVRTHMQDLKDVT
+RETHYENYRAQCIQSMTRLVVKERNRNKLTLESGTDFPLPAVPPGTDPETERLIREKDEE
+LRRMQEMLHKIQRQMKETH
+>tr|Q2KJH7|Q2KJH7_BOVIN Delta-1-pyrroline-5-carboxylate synthase OS=Bos taurus OX=9913 GN=ALDH18A1 PE=2 SV=1
+MLSQVCRSGSQSFTQRLLPWVQPTILSRSRRVQPSAIRHVRSWSNIPFITVPLSRTHGKS
+FAHRSELKHAKRIVVKLGSAVVTRGDECGLALGRLASIVEQVSVLQNQGREMMLVTSGAV
+AFGKQRLRHEILLSQSVRQALHSGQNHLKEMAIPVLEARACAAAGQSGLMALYEAMFTQY
+SICAAQILVTNLDFHDEQKRRNLNGTLHELLRMNIVPIVNTNDAVVPPAEPNSDLQGVNV
+ISVKDNDSLAARLAVEMKTDLLIVLSDVEGLFDSPPGSDDAKLIDIFYPGDQQSVTFGTK
+SRVGMGGMEAKVKAALWALQGGTSVVIANGTHPKVSGHVITDIVEGKKVGTFFSEVKPAG
+PTVEQQGEMARSGGRMLATLEPEQRAEIIHHLADLLTDQRDEILLANKKDLEEAEGRLAT
+PLLKRLSLSTSKLNSLAIGLRQIAASSQDSVGRVLRRTRIAKDLELEQVTVPIGVLLVIF
+ESRPDCLPQVAALAIASGNGLLLKGGKEASHSNRILHLLTQEALSIHGVKEAVQLVNTRE
+EVEDLCRLDKMIDLIIPRGSSQLVRDIQKAAKGIPVMGHSEGICHMYVDSEASVDKVTRL
+VRDSKCEYPAACNALETLLIHRDLLRTPLFDQIIDMLRVEQVKIHAGPKFASYLTFSPSE
+VKSLRTEYGDLELCIEVVDSVQDAIDHIHKYGSSHTDVIVTENEKTAEFFLQHVDSACVF
+WNASTRFSDGYRFGLGAEVGISTSRIHARGPVGLEGLLTTKWLLRGQDHVVSDFSEHGSL
+KYLHENLPIPQRNTN
+>tr|Q08DG9|Q08DG9_BOVIN Anthrax toxin receptor OS=Bos taurus OX=9913 GN=ANTXR2 PE=2 SV=1
+MVAGLSPARCPGRWLVPGLWLLALSGPGGLLSAQEQPSCHGAFDLYFVLDKSGSVANNWI
+EIYNFVQQLTERFVSPQMRLSFIVFSSQATIILPLTGDRGKISEGLDNLKHVSPVGETYI
+HEGLKLANEQIEKARDLKTSSIIIALTDGKLDGLVPSYAEKEAKISRSLGARVYCVGVLD
+FEQAQLERIADSKEQVFPVKGGFQALRGIINSILAQSCTEILELRPSSVCVGQEFQVVLN
+GRGFNLGSRKGSVLCTYTVNETYTINEKPVSVEQNSMLCPAPTLHEVGETLHVSVSFNEG
+KSVISSSLIVTATECSTGIAALIAILVLLLLLGIGLMWWFWPLCCKVVIKDPPPPPPSAP
+KEEEEEPLPTKKWPTVDASYYGGRGVGGIKRMEVRWGDKGSTEEGARLEKAKNAVVTLPE
+EPEEPVRPRPPPSKPTHQPPQTKWYTPIKGRLDALWALLRRQYDRVSLMRPQEGDEGRCI
+NFSRVPSQ
+>tr|A6QP28|A6QP28_BOVIN SUPV3L1 protein OS=Bos taurus OX=9913 GN=SUPV3L1 PE=2 SV=1
+MSLPRCALLWARLPAGRQAGHRAAVCSALRAHTGPFPGALGRVPAIACASSSASGGSKAP
+NTSLFVPLTVKPQGPSADGDVGAELTRPLDKNEVKKVLDKFYKRKEIQKLSADYGLDARL
+FHQAFISFRNYIMQSHSLDVDIHIILNDICFSAAHVDDLFPFFLRHAKQIFPVLECKDDL
+RKISDLRIPPNWYPEARAIHRKIIFHSGPTNSGKTYHAIQKYLSAKSGVYCGPLKLLAHE
+IFEKSNAAGVPCDLVTGEERVSVDPDGKQAAHVACTVEMCSVTTPYEVAVIDEIQMIKDP
+ARGWAWTRALLGLCAEEIHLCGESAAIDMVTELMYTTGEDVEVRTYKRLTPISVLDHALE
+SLDNLRPGDCIVCFSKNDIYSVSRQIEIRGLESAVIYGSLPPGTKLAQAKKFNDPDDPCK
+ILVATDAIGMGLNLSIRRIIFYSLMKPSINEKGEKEIEPITTSQALQIAGRAGRFSSKFK
+EGEVTTMNREDLSLLKEILNRPVDPIKAAGLHPTAEQIEMFAYHLPDTTLSNLIS
+>tr|Q0VBY9|Q0VBY9_BOVIN Abhydrolase domain containing 7 OS=Bos taurus OX=9913 GN=EPHX4 PE=2 SV=1
+MAKLRDCLPRLMVTIRSLLFWCLIYFYCGLCSSIYLLKLLWSICKGPSQTFRRVAREHPP
+ACLNDPSLGTHCYVRIKDSGLRFHYVAAGERGKPLMLLLHGFPEFWYSWRHQLREFKSEY
+RVVALDLRGYGESDAPVHRENYKLDCLITDIKDILESLGYSKCVLIGHDWGGMIAWLIAI
+CYPEMVMKLIVINFPHPNVFTGFETSVY
+>tr|A6QPK3|A6QPK3_BOVIN LOC539067 protein OS=Bos taurus OX=9913 GN=LRIF1 PE=2 SV=1
+MASTLEKVTQERNDKNSSQRRSNKVSYLKSDAELKKIFGITKDLRVCLTRIAQQLGSGEG
+FDSISPLVKSETYKEAEFIVKEEGRKQSYSKLQQGIDKKRKAKTTKKMDHPKKRRTSSVN
+NTTINGGTNVTSSQLISSILPTSDVSNHNILTSCNKTREKNRTEVEHRTHGNQEKDILNS
+STAFEQSHSFNKNYTEDIFPMTPPELEETIRDEKIRRLKQVLREKEAALEEMRKKMHQK
+>tr|E1BFJ1|E1BFJ1_BOVIN Tumor protein p63 regulated 1 OS=Bos taurus OX=9913 GN=TPRG1 PE=4 SV=1
+MSRIGSSEGFQPVSLKREEDDQPSETDQLSMEEREPETEVPVPKQISRLPSVVESALYPN
+PYHKPYLSRKYFVTRPGVIETAMEDLKGHITKTSGETIQGFWLLTEIDHWNNEKERILLI
+TDKTLLICKYDFIMLCCVQFQWIPLSAIYRICLGKFSFPAMSLDKRQGEGLRIYWGSPEQ
+QSLLVRWNPWSTEMPFATFTEHPMKYTSEKFLEICKLSGFTSKLVPAIQNTHKNSTESGR
+GKGLTVLTEPILIETYTGLMSFIGNRNKLGYSLARGSIGF
+>tr|E1B820|E1B820_BOVIN Inositol polyphosphate-4-phosphatase type I A OS=Bos taurus OX=9913 GN=INPP4A PE=4 SV=3
+MTAREHSPRHGARARAMQRASTIDVAGDMLGLSLAGNVQDPDEPILEFSLACSELHTPSL
+DRKPNSFVAVSVTTPPQAFWTKHAQTEIIEGTNDPIFLSSIAFFQDSLINQMTQIKLSVY
+DVKDRSQGTMYLLGSGTFVVKDLLQDRHHRLYLTLRSAESDRVGNITVMGWQMEEKSDQR
+PPVTRSLDTVNGRMVLPVDESLTEALGIRSKYASLRKDTLLKSVFGGAICRMYRFPTTEG
+NHLRILEQMAESVLSLHVPRQFVKLLLEEDAARVCELEELGELSPCWESLRRQIATQYQT
+IILTYQENLTDLHQYKGPSFKASSLKADKKLEFVPTNLHIQRMRVQDDGGADQNYDIVTI
+GAPAAHCQGFKSGGLRKKLHKFEETKKHTSSSCQSIIYIPQDIIRAKEIIAQINTLKTQV
+SYYAERLSRAAKDRSATGLERTLAILADKTRQLVTVCDCKLLATSIHGLNAARPDYIASK
+ASPTSTEEEQVMLRNDQDTLMARWSGRNSRSSLQVDWHEEEWEKVWLNVDKSLECIIQRV
+DKLLQRERLQGEGCEDGLLGAGSGSSRKGNQGSQAYWIRPEDVLCDAPSSPCPSAACCPH
+PTTPTHCSPPPEESSPGEWSEALYPLLTTLTDCVAMMSDKAKKAMVFLLMQDSAPTIASF
+LSLQYRRDVVFCQTLTALICGFIIKLRNCLHDDGFLRQLYTIGLLAQFESLLSTYGEELA
+MLEDMSLGIMDLRNVTFKVTQATSNASTDMLPVITGNRDGFNVRIPLPGPLFDALPREIQ
+SGMLLRVQPVLFNVGINEQQTLAERFGDTSLQEVINVESLVRLNSYFEQFKEVLPEDCLP
+RSRSQTCLPELLRFLGQNVHARKNKNVDILWQAAEVCRRLNGVRFTSCKSAKDRTAMSVT
+LEQCLILQHEHGMAPQVFTQALECMRSEGCRRENTMKNVGSRKYAFNSLQLKAFPKHYRP
+PEGTYGKVET
+>tr|Q08DY5|Q08DY5_BOVIN Nuclear receptor binding protein 1 OS=Bos taurus OX=9913 GN=NRBP1 PE=2 SV=1
+MSEGEPQTVLSSGSDPKVESSSSTPGLTSVSPPVTSTTSAASPEEEEESEDESEILEESP
+CGRWQKRREEVNQRNVPGIDSAYLAMDTEEGVEVVWNEVQFSERKNYKLQEEKVRAVFDN
+LIQLEHLNIVKFHKYWADIKENKARVIFITEYMSSGSLKQFLKKTKKNHKTMNEKAWKRW
+CTQILSALSYLHSCDPPIIHGNLTCDTIFIQHNGLIKIGSVAPDTINNHVKTCREEQKNL
+HFFAPEYGEVTNVTTAVDIYSFGMCALEMAVLEIQGNGESSYVPQEAISSAIQLLEDPLQ
+REFIQKCLQSEPARRPTARELLFHPALFEVPSLKLLAAHCIVGHQHMIPENALEEITKNM
+DTSAVLAEIPAGPGREPVQTLYSQSPALELDKFLEDVRNGIYPLTAFGLPRPQQPQQEEV
+TSPVVPPSVKTPTPEPAEVETRKVVLMQCNIESVEEGVKHHLTLLLKLEDKLNRHLSCDL
+MPNENIPELAAELVQLGFISEADQSRLTSLLEETLNKFNFARNSTLNSAAVTVSS
+>tr|A4IFV6|A4IFV6_BOVIN ZFAND2A protein OS=Bos taurus OX=9913 GN=ZFAND2A PE=2 SV=1
+MEFPDLGKHCSEKTCKQLDFLPLKCDACKQDFCKDHFTYAAHKCPLGFTKDVRVPVCPLC
+NSPVPVKRGEIPDVVVGAHMDGDCRRPPGQETAKVFTFRCSREGCRRREMLRVACEECRG
+SFCLQHRHPLDHGCTRRGPPGSLAGCLEPRPSGASREGLGSWLARHFRFKVK
+>tr|B0LKN7|B0LKN7_BOVIN B-cell activating factor OS=Bos taurus OX=9913 GN=TNLG7A PE=2 SV=1
+MDDSTGEQSRLSCPQTREEMKLKEGGSVLPQEESPSGRCSKDGKLLAVTLLLAASSSCLT
+VLAFCWVASLQAELGSLRAELRGRPGAPQAGAAAPREAPGVTPALKQRIFAPPAPGESNS
+SQSGRSKRALQEAEETVTQDCLQLIADSDTPTIRKGAYTFVPWLLSFKRGRALEEKENKI
+LVKETGYFFIYGQVLYTDNTFAMGHLIQRKKVHVFGDELSLVTLFRCIQNMPETLPNNSC
+YSAGIAKLEEGDELQLAIPREDAKISRDGDGTFFGALKLL
+>tr|Q0VCX3|Q0VCX3_BOVIN Transmembrane 4 L six family member 1 OS=Bos taurus OX=9913 GN=TM4SF1 PE=2 SV=1
+MCYGRCARCIGHSLVWLATLCIVANILLYFPNGETKYASDNHLSRFVWFFSGILGGGLLM
+FLPAFIFIGLDQEDCCGCCGHENCGKRCAMLSSILAALIGIGGSGYCVTVAALALEEGPR
+CMDASGQWNYTFANTEGDYLLDSSTWSQCVEPKHIVEWNVSLFSILLALGGIEFILCLIQ
+VINGMLGGICGHCSSRQQQYDC
+>tr|Q32KP4|Q32KP4_BOVIN Abhydrolase domain containing 16B OS=Bos taurus OX=9913 GN=ABHD16B PE=2 SV=1
+MCVVCFVKALVHVFKIYLTANYTYNFRGWPVDFRWDDVRAAAGSGSSHRALTCAAAAAGV
+WLLRGAALGEDSPVRLPRAARSQAQCLLQQIRELPSQLASYALAHSLGRWLVYPGSMFLM
+TRALLPLLQQGQERLVERYRGRRAKLVACDGNEIDTMFMDRRQDPGSHGRGLRLVICCEG
+NAGFYEMGCLSAPLEAGYSVLGWNHPGFGGSTGAPFPQHDANAMDVVVKYALHRLHFPPA
+HVVVYGWSIGGFTATWATMTYPELGALVLDATFDDLVPLALKVMPHSWKGLVVRTVREHF
+NLNVAEQLCRYPGPVLLLRRTQDDVVSTAGHLRPLPSGVVEGNRGNELLLRLLQHRYPAV
+MAREGLAIVTRWLRAGSLAQEAAFYARYRVDDEWCLSLLRSYLTHCEDQQEDEETWGSHG
+LAFPWLVGQGLSPRRRRQLALFLARKHLKNVEATHCSPLEPEDFQLPWRL
+>tr|Q3MHV5|Q3MHV5_BOVIN PRMT2 protein OS=Bos taurus OX=9913 GN=PRMT2 PE=2 SV=1
+MATPGDCPRNELQEEEDPTTQAEEEHLQGAVHPEEFVAIADYSATDETQLSFLRGEKILI
+LRQTTADWWWGERAGCCGYIPANHLGKQLEDWDPEDSWQDEEYFGSYGTLKLHLEMLADQ
+PRTTKYHSVILQNKESLKDKVILDVGCGTGIISLFCAHYAQPRAVFAVEASEMAQHTGQL
+VVQNGFADIITVFQQKVEDVVLPEKVDVLVSEWMGTCLLFEFMIESILYARDAWLKEDGI
+IWPTTAALHLVPCSADKDYRSKVLFWDNAYEFDLSPLKSLAIKEFFSKPKYNHILKPDDC
+LSEPCTILQLDMRTVQVADLEMMKGELHFDIQKAGMLHGFTAWFSVQFQNLEEDEPQLVL
+STGPLHPTTHWKQVLFMMDEPVPVLVGDMVTGAVVLQRNPVWRRHMSVTLSWSITSAQDP
+TLQKVGEKVFPIWR
+>tr|Q148K7|Q148K7_BOVIN LDL receptor related protein associated protein 1 OS=Bos taurus OX=9913 GN=LRPAP1 PE=1 SV=1
+MASRRVLSGLRAVRQPPPPLLLLLLLLGPWAAAGHAGKYSREKNEPAPPSKREPAAEFRM
+EKLNQLWEKAQRLNLPPLKLSDLHTDLKIQERDEFKWKKLKAEGLDEDGEEEAKLVRSLS
+VILAKYGLDGRKDSRVVSSNFVDHGPDDESLEDPRLEKLWHKAKTSGKFSSEELDKLWRE
+LQHHKEKVQEYHALLETLGGAEESHENAIGPVDLRGVQAEALASRHAELKDRLRSIGQGF
+DRLRRVSHQGYGAETEFTEPRVLDLWDMAKSANFTEKELESFREELKHFEVKIEKHNHYQ
+KQLEISHQKLKHVESFGDQEHVTRNRERYATLEERTKELGYKVKKHMQDLSSRISRARHN
+EL
+>tr|E1BAR3|E1BAR3_BOVIN LYL1, basic helix-loop-helix family member OS=Bos taurus OX=9913 GN=LYL1 PE=4 SV=3
+MCPPEAQAEVGPTMTEKAKMVCAPSPVPALPPKPASPGPPKVEEVGHGGSVSPPRLPPGV
+PVISLGHTRPPGAAMATTELSTLRPPLLQLSALGTAPPPLALHYHPHPFLNSLYIGPAGP
+FSIFPSSRLKRRPSHCELELAEGHQPQKVARRVFTNSRERWRQQNVNGAFAELRKLLPTH
+PPDRKLSKNEVLRLAMKYIGFLVRLLRDQAAALAAGSAPPGPRKRPAHRGLDDGGARRGP
+CRRAEVVARPQPAPSGGPDSSRGGTGRPIKTEQAAVSPEVR
+>tr|A2VE76|A2VE76_BOVIN TSNARE1 protein OS=Bos taurus OX=9913 GN=TSNARE1 PE=2 SV=1
+MSYGSIAGSGGLGSRGPFGGPFRQGYQPLECAKCWTKYGIRHFPCPSPESSLQDPCVGED
+GDGDLGPAGTPRGPRARKRGPGVTPDGSGTPEPTSLPAGPQKELAARARGHVASATRAKK
+RKPNFCPQETEVLVAKVSKHYQLLFGSRLPRAEPARRYRVWSRIVQAVNALGYCRRDLAD
+IKHKWRDLRAVVRRKLGELPRAPQALALTPLEQAVAKTFSCQAPPSEGLGPELHRATQID
+PSDLQELFQETSANIFQINSNVTSLEQSLQSLGMPGDTQELRDSLHTVQQETNRTIAASA
+GALKQTAELLRGCPQQERLQLDRLKTQLSDAVQRYGVVQKKIAEKSRALLPTAQRGGKQQ
+SPQAAFAELADDEKIFNGGDGVWPGHEQALLPEITEEDLEAIRLREEAILQIESDLLDVN
+QIIKDLASMVSEQGEAIDSIEAGLEAASSHTEAASELLAGASRHQLRRRKVKCFLLAAGV
+TILLVIVLIVATSVRK
+>tr|F1MQD5|F1MQD5_BOVIN SH3 domain binding protein 2 OS=Bos taurus OX=9913 GN=SH3BP2 PE=4 SV=3
+MAAEETHWPVPMKAIGAQNLLTMPGGVAKAGYLHKKGGTQLQLLKWPLRFVVIHKRCIYY
+FKSSTSASPQGAFSLSGYNRVMRAAEETTSNNVFPFKIIHVSKKHRTWFFSASSEDERKS
+WMALLRKEIGHFQEKKELPLDASDSSSDTDSFYGAIERPVDISLSPHPTDSEDYEHDDED
+DSYLEPDSPEPGRPEDPLIHPPAYPPPPVPTPRKPVFSEVPRAHSFTSKGPGPLLPPPPP
+KRGLPDTGPAPEDSRREPPPLRWVEPGSRVPAVSRRMSDPPSNILPPGPGLRKAPCFPEN
+SSPSLEPRIPAHGVSPSSTATAASRNCDKLKSFHLSPRGTPAPEPPPVPANKPKFLKMVE
+ESPPGEAARPGSRVPPVAPRPPPLKLPMPEAADRPPVLPRPEKPALPHLQRSPPDGQSFR
+SFSFEKPRKPVQTDTSQADAGGEGSDDDYEKVPLPSSVFINTTESCEVERLFKATSPRGV
+PQDGLYCIRNSSTKSGKVLVVWDETSSKVRNYRIFEKDSKFYLEGEVLFVGVGSLVEHYH
+THVLPGHQSLLLQHPYGYARPR
+>tr|G0T3G6|G0T3G6_BOVIN Glutamate ionotropic receptor AMPA type subunit 1 OS=Bos taurus OX=9913 GN=GRIA1 PE=2 SV=1
+MQHIFAFFCTGFLGAVVGANFPNNIQIGGLFPNQQSQEHAAFRFALSQLTEPPKLLPQID
+IVNISDSFEMTYRFCSQFSKGVYAIFGFYERRTVNMLTSFCGALHVCFITPSFPVDTSNQ
+FVLQLRPELQDALISIIDHYKWQKFVYIYDADRGLSVLQKVLDTAAEKNWQVTAVNILTT
+TEEGYRMLFQDLEKKKERLVVVDCESERLNAILGQIVKLEKNGIGYHYILANLGFMDIDL
+NKFKESGANVTGFQLVNYTDTIPAKIMQQWKNSDARDHTRVDWKRPKYTSALTYDGVKVM
+AEAFQSLRRQRIDISRRGNAGDCLANPAVPWGQGIDIQRALQQVRFEGLTGNVQFNEKGR
+RTNYTLHVIEMKHDGIRKIGYWNEDDKFVPAATDAQAGGDNSSVQNRTYIVTTILEDPYV
+MLKKNANQFEGNDRYEGYCVELAAEIAKHVGYSYRLEIVSDGKYGARDPDTKAWNGMVGE
+LVYGRADVAVAPLTITLVREEVIDFSKPFMSLGISIMIKKPQKSKPGVFSFLDPLAYEIW
+MCIVFAYIGVSVVLFLVSRFSPYEWHSEEFEEGRDQTTSDQSNEFGIFNSLWFSLGAFMQ
+QGCDISPRSLSGRIVGGVWWFFTLIIISSYTANLAAFLTVERMVSPIESAEDLAKQTEIA
+YGTLEAGSTKEFFRRSKIAVFEKMWTYMKSAEPSVFVRTTEEGMIRVRKSKGKYAYLLES
+TMNEYIEQRKPCDTMKVGGNLDSKGYGIATPKGSALRGPVNLAVLKLSEQGVLDKLKSKW
+WYDKGECGSKDSGSKDKTSALSLSNVAGVFYILIGGLGLAMLVALIEFCYKSRSESKRMK
+GFCLIPQQSINEAIRTSTLPRNSGAGASGAGSGENGRVVSHDFPKSMQSIPCMSHSSGMP
+LGATGL
+>tr|A0A3Q1N3U0|A0A3Q1N3U0_BOVIN ANK_REP_REGION domain-containing protein OS=Bos taurus OX=9913 GN=IQANK1 PE=4 SV=1
+MGRATLERPEAPAGPTAEDRAATIIQCAFRKLLARKELARRQQEHQDYQELMEKLQREAF
+VAQVRREQEAARRRQEEAAAAERRRQEERLRGARLLEAAFDGNLGEIQAVLREVDELLTR
+EGVGCDAAGTARRLQRRLALVDFEDSGGNTPLSEAAAGGQSLAIQLLAEQGASPNSKGAF
+GRTPLYRAAFGGHLEAVEVLLKLGADPRVYADDGSTPEQVASLDTVVSVLQSWDLSLTDA
+MLRNMEAEQERRAQEAARHKEAEAQRCGGWEAGGWPGAALTTPLHRSMNLKVQQLAKEQQ
+QCHQQLQQAYCELNRRIAEHDKCERRGVGLAELTLQAIKDAEEQVDRLQQEAQKAEEVLA
+LARLELREQTEEAEEEVPGLKCQVSELHDVLMKDVGDRIRADGRWPLVIDPSGQAATFLR
+YQDTNYVDAVNPDHLRPERIRLALLGALRFGKPLVFDLREVDLFPAVQQQLEAVQPGLVQ
+ELLGRGLLEQERYLSLLRPTDGPEYSPNQFQEAHLQHFRLFFVTKVRWPPAEQLQVLLPV
+RVQLPGGGL
+>tr|C0IP18|C0IP18_BOVIN DC-SIGN-like protein OS=Bos taurus OX=9913 GN=CD209 PE=2 SV=1
+MAEMYEHKEPDDSEEETFGGQRLAERHPRPLHSLRSLSECLTWGPLLLLLLLFVSLGFFT
+LQLTTLVQVSRIQCLQRDSGDRENNSLDKWLDTRFRSLTEVAEKQMQSNLEKILQRLTRM
+NATLAGLCHPCPQNWEFFDGSCYFFSWTQSDWRSAVSACLLIGAHLVIIESTEEEKFLNF
+WYPRNNKPTWIGLSDHHSEGSWRWVDDSPVQLSFWKKGEPNNHGDEDCVELHNDGWNDGR
+CVTENPWICEKPSVPCPVL
+>tr|Q17QE9|Q17QE9_BOVIN Solute carrier family 17 (Sodium phosphate), member 3 OS=Bos taurus OX=9913 GN=SLC17A3 PE=2 SV=1
+MTELSPTAGKNSQDIQGDEKLSPRKAPSICSTRYGIAFIVHLCNFITMAQNIIINITMVA
+MVNSTNHQPSFNDSTEGLPVDSFGDPNNSPKSLPARAPVYDWNPQIQGIIFSAINYGMIL
+TLAPSGYLAGRVGTKRVVGAALLGSSLLVLFIPLAADFGLVFLIATRILQGMSLGLGYGG
+QFAIWERWSPPHERSRLCGVSISGILLGTCIAILLGGIISQTLGWPFVFYIFGGFGCICF
+LLWCVLVYDDPVTHPWINITEKEYIISSLAQQVSSTKQPLPIKAMVRSLPIWSMCVCCFS
+HQWLINIIIIYAPTYISSVFNIDIRNSGFLSALPFIIAWVICLLGSYLADFLLTKNFRLV
+TVRKIATVLGNLPSSTFLLVLPYVASNYIIAVSLLTLSCGLGLLCQPGVYINALDIAPRH
+SSFLMGASRAFAQISAVLAPTVSGFLLSQDPEFGWRNVFSLSFVINTLGLIFYLIFGKAD
+VQDWAKERKLTHL
+>tr|Q0P5N4|Q0P5N4_BOVIN WW domain-containing oxidoreductase OS=Bos taurus OX=9913 GN=WWOX PE=2 SV=1
+MAALRYAGLDDTDSEDELPPGWEQRTTKDGWVYYANHTEEKTQWEHPKTGKRKRIAGDLP
+YGWEQETDENGQVFFVDHINKRTTYLDPRLAFTVDDNPTKPTTRQRYDGSTTAMEILQGR
+DLSGKVVVVTGANSGIGFETAKSFALHGAHVILACRNMTRANEAVSRILGEWHKAKVEAM
+TLDLALLRSVQHFAQAFKAKNVSLHVLVCNAAVFGLPWTLTKDGLETTFQVNHLGHFYLV
+QLLQDVLCRSAPARVVVVSSESHRFTDINDSSGKLDFSRLSPSKNDYWAMLAYNRSKLCN
+ILFSNELHRRLSPRGVTSNAVHPGNMMYSALHRGWWVYTLLFTLARPFTKSMQQGAATTV
+YCAVAPELEGLGGMYFNSCCRCLPSAEAQSEDSARALWALSERLLQGVPGGPPS
+>tr|M5FMW2|M5FMW2_BOVIN Mitogen-activated protein kinase 8 interacting protein 3 OS=Bos taurus OX=9913 GN=MAPK8IP3 PE=4 SV=1
+MMEIQMDEGGGVVVYQDDYCSGSVMSERVSGLAGSIYREFERLIHCYDEEVVKELMPLVV
+NVLENLDSVLSENQEHEVELELLREDNEQLLTQYEREKSLRKQAEEKFIEFEDALEQEKK
+ELQIQVEHYEFQTRQLELKAKNYADQISRLEERESEMKKEYNALHQRHTEMIQTYVEHIE
+RSKMQQVGGNSQTEGSLPGRRKERPTSLSVFPLADGSVRAQMGGKPVPAGDHWHLSDLGQ
+LQLSSSYQCPQDEMSESGQSSAAATPSTTGTKSNTPTSSVPSAAVTPLSEGLQPLGDYGG
+SKNGKRAREKRNSRNMEVQVTQEMRNVSIGMGSSDEWSDVQDIIDSTPELDMGREPRLDR
+TGSSPTQGIVNKAFGINTDSLYHELSTAGSEVIGDVDEGADLLGEFSVRDDFFGMGKEVG
+NLLLENSQLLETKNALNVVKNDLIAKVDQLSGEQEALKGDLEAARQAKLRLENRIKDLEE
+ELRRVKSEAITAHREPKEEVEDVSSCLCTELDKIPMAQRRRFTRVEMARVLMERNQYKER
+LMELQEAVRWTEMIRASREHPSVQEKKKSTIWQFFSRLFSSSSSPPPAKRSYPSVNIHYK
+SPTTAGFSQRRNHGMCQSSAGSRPLEFFPDDDCTSSARREQKREQYRQVREHVRNDDGRL
+QACGWSLPAKYKQLSPNGGQEDTRMKNVPVPVYCRPLVEKDPTMKLWCAAGVNLSGWKLS
+EDGPGNGVKPAPGRDPLTCDREVEGEAKSNHASPEKKKAKELPEADATSSRVWILTSTLT
+TSKVVVIDANQPGTVVDQFTVCNAHVLCISSIPAASDGDYPPGEIFLDSDVNPEDSGADG
+VLAGITLVGCATRCNVPRSNCSSRGDTPVLDKGQGEVSAVANGKVNPAPSTEEATEATEV
+PDAGPSEAEAAAVRPGPLTEHVFTDPAPTPPPSTQPDSENGPEADVSGVQPEPEPSGDPA
+GSTSAAPTMWLGAQNGWLYVHSAVANWKKCLHSIKLKDSVLSLVHVKGRVLVALADGTLA
+IFHRGEDGQWDLSNYHLMDLGHPHHSIRCMAVVHDRVWCGYKNKVHVIQPKTMQIEKSFD
+AHPRRESQVRQLAWIGDGVWVSIRLDSTLRLYHAHTHQHLQDVDIEPYVSKMLGTGKLGF
+SFVRITALLIAGNRLWVGTGNGVVISIPLTETVVLHRGQLLGLRANKTSPTSGEGARPGG
+VIHVYGDDSSDRAASSFIPYCSMAQAQLCFHGHRDAVKFFVSVPGNVLATLNGSVLDSPS
+EGPGPTAPAADAEAQKLKNVLVLSGGEGYIDFRIGDGEDDEPEEGAGDVSQVKPVLSKAE
+RSHIIVWQVSYTPE
+>tr|A0A3Q1MR92|A0A3Q1MR92_BOVIN Stathmin OS=Bos taurus OX=9913 GN=STMN1 PE=1 SV=1
+MASSDIQVKELEKRASGQAFELILSPRSKESVPEFPLSPPKKKDLSLEEIQKKLEAAEER
+RKSHEAEVLKQLAEKREHEKEVLQKAIEENNNFSKMAEEKLTHKMEANKENREAQMAAKL
+ERLREKVGAPAREAREPGCGAPLRRRELCLDHACLVSLSFILWLTS
+>tr|Q17QZ9|Q17QZ9_BOVIN Interferon-induced protein with tetratricopeptide repeats 5 OS=Bos taurus OX=9913 GN=IFIT5 PE=2 SV=1
+MSEIPKDCLKTILLELECHFTWNLLKEDIDLFDVEDTIGQQLEFLTTKSRLTLYNLLAYV
+KHLKGQNEDALKCLKQAEEIIRREHSDKEGVRSLVTWGNYAWVYYDMGQLKEAQKYIGKI
+GSVCKTLSSPSDYKLERPEIDCEKGWALLKFGGKYYQKAKAAFEKALEAEPDNPEFNIGY
+AITVYRLDDSDREGSIKSFSLGPLRKAVTLNPDNSYIKVFLALKLQDVHAEAEGEKYIEE
+ILDQISAQPYVLRYAAKFYRRKNSWDKALELLKKALEVTPTSSFLHHQMGLCYRAQMIQI
+KKATRNRPKGKDKLKVDELITSAISHFKAAVERDSMFAFAYTDLANMYAEGGQYSNAEDI
+FQKALRLENITDDHKHQIHYHYGRFQEFHRKSENTAIHHYLEALKVKDRSSLRPKLTSAL
+KKLATKRLGYNASDVQSLSALGFVYKLEGEKRQAAEYYERAQKIDPENAEFLTALCELRL
+SI
+>tr|Q0P5E8|Q0P5E8_BOVIN Chromatin accessibility complex 1 OS=Bos taurus OX=9913 GN=CHRAC1 PE=2 SV=1
+MADMVVGKDKCGEQRLVSLPLSRIRVIMKSSPEVSSINQEALVLTAKATELFVQYLATYS
+YRHGSGKEKKALTYSDLSNTAEESETFQFLADILPKKILASKYLKMLKEKREEEEEENNN
+DETDDDEAES
+>tr|A8E4Q5|A8E4Q5_BOVIN GAS2 protein OS=Bos taurus OX=9913 GN=GAS2 PE=2 SV=1
+MCTALSPKVRSGPGLSDMHQYSQWLASRHEANLLPMKEDLALWLTNLLGKEITAETFMEK
+LDNGALLCQLAETVQEKFKESMDTTKPTKNLPLKKIPCKASAPSGSFFARDNTANFLSWC
+RDLGVDETCLFESEGLVLHKQPREVCLCLLELGRIAARYGVEPPGLIKLEKEIEQEETLS
+APSPSPSPSSKSSGKKSTGNLLDDAVKRISEDPPCKCPSKFCVERLSQGRYRVGEKILFI
+RMLHNKHVMVRVGGGWETFAGYLLKHDPCRMLQISRVDGKTSPVQSKSPTLKDMNPDNYL
+VVSASYKAKKEIK
+>tr|G3X7X6|G3X7X6_BOVIN WAP domain-containing protein OS=Bos taurus OX=9913 GN=LOC100850808 PE=4 SV=2
+MPPDNMKTTSFLVQVVLLLVLGTLVAQAAVVTGSPKGQGNVVFNGKGPVNGQSADKEQDP
+VKGQDPVKGQDVVVAQDRARLPFKRGFCPRVRIHCNLWNPPNQCLSDFHCPGAKKCCKGF
+CGKTCMNPW
+>tr|A7YWQ8|A7YWQ8_BOVIN DDX28 protein OS=Bos taurus OX=9913 GN=DDX28 PE=2 SV=1
+MALARQLRLLSLATRLLLAPQRDLTSRGPDEPLPVVRIPRGLQRRQEQRQSGQRSPLRPV
+LVRPGPLLISARRPELNQPARQTLGRWEAAPLVSRGWKHRRARQDYFSIERAQHEAPALR
+NLSSKGSFADLGLEPRVLSALQEAAPEVVRPTTVQSSTIPPLLRGRHILCAAETGSGKTL
+GYMLPLLQRLLGQPSLYTSRIPAPRGLVLVPSRELAEQVRAVAQPLGSSLGLRVQELGGG
+HGMSRVRLQLSKHPPAEVLVATPGALWKALKGQLISLAQLSFLVLDEVDTLLDESFLELV
+DYILEKSHIAEGPADLKDPFNPKAQLVLVGATFPEGVGQLLSKVASLDSLTTITSSKLHC
+IMPHVKQTFMRLKGAEKVTELVQILKQHDRAHRTGSTGTVLVFCNSSSTVNWLGYILDDH
+KIQHLRLQGQMPASMRAGIFQSFQKGSRDILLCTDIASRGLDSTQVELVINYDFPLTLQD
+YIHRAGRVGRVGSEVPGTVISFVTHPWDVSLVQKIELAARRRRSLPGLGSSVSEPLHQET
+LLQQA
+>tr|Q08D94|Q08D94_BOVIN Potassium calcium-activated channel subfamily N member 4 OS=Bos taurus OX=9913 GN=KCNN4 PE=2 SV=1
+MGGELVSGLGALRRRKRLLEQEKSLAGWTLALAGTGIGLMVLHAEMLWFGGCPWVLYLFL
+VKCMISISTFLLLSLIVAFHAKEVQLFMTDNGLRDWRVALTGRQVAQIVLELVVCGLHPP
+PVPGPPCVLSSGFPKTAATQSWPSFLDQGEALLSLAMLLRLYLVPRALLLRSGVLLNASY
+RSIGALNQVRFRHWFVAKLYMNTHPGRLLLCLTLGLWLTTAWVLSVAERGSAAQPCWWPW
+WPGSWSLIRQRSMCTTS
+>tr|Q1RMN5|Q1RMN5_BOVIN Spermatogenesis associated 3 OS=Bos taurus OX=9913 GN=SPATA3 PE=2 SV=1
+MKKGKRKKSETRRRGSTSRHASSESTPQQQSSESSSQQPVSGSSPRPPSPKPTSQLPSSG
+SAPLTPNPAPAPPPPSPGPTPQQPSSGCTSQPSSNSTPSQPARRALPAPEVSHSHSAQDV
+VSPDPNSKASSRSRKAAGPLIRVGPRAFCSCSICPGSSACWRRLGLCHSRIFDVLLPRAW
+PTMSGRGFPNLLTFYRRPSRKHSTHRNSRAPSPRNCCCGSGSPRSCLLHP
+>tr|A6QQ48|A6QQ48_BOVIN SCML4 protein OS=Bos taurus OX=9913 GN=SCML4 PE=2 SV=1
+MNRYGVDTSSPTFSHRGSLPTSSSLYCKRQNSGDGHLGGGSATTVSGPRASPLSPGGPSA
+PGLRPPGSSPKRNGTALEGNRCASNPSPDRQDARRPRSRNPSSWSVEDVVWFLKDADPQA
+LGPHVELFRKHEIDGNALLLLKSDMIMKYLGLKLGPALKLCYHIDKLKQDKF
+>tr|M5FKI3|M5FKI3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=UNKL PE=4 SV=1
+MPSVSKAAAAALSGSPPQTEKPTHYRYLKEFRTEQCSLFVQHKCTQHRPFTCFHWHFLNQ
+RRRRPLRRRDGTFNYSPDVYCSKYDEASGVCPDGDECPYLHRTTGDTERKYHLRYYKTGT
+CIHETDARGHCVKNGLHCAFAHGPLDLRPPVCDVRELQAQEALQNGQLGAGDGIPDLQPG
+VLASQALMEKILGEDPRWQDTNFVLGSYKTEQCPKPPRLCRQGYACPHFHNSRDRRRDPR
+RFQYRSTPCPSVKHGDEWGEPSRCASGDSCALCHSRTEQQFHPEIYKSTKCNDMRQTGHC
+PRGPFCAFAHVDKSLGMASDWSCRDLALTNVPTTPSGQPGHAKRRESPADGSQKASEQDG
+KQSHLAVLAVGHPLAPSVSSSLASSLASSTGSGGSSPTALPALSARTHPLDPSGSAGEGV
+PGSTLDLHLGDISLVPPDKDLEEHDGRDLGPTGQRSLGGSAPVAIPGCLPRSPSLHSSSS
+LSASPLGSFSQSLPGPLISSAMTPPQQPPPLKSEPRALGPSVSSYNSFGLNGVPGSIWDF
+VSGSFSPSPSPILNAGPAASSGVSPSSAELARVRRQLDEAKRKIRQWEESWQQVKQACDA
+WQREAKEAKERALAADSARQLALQKKEEVEAQFRRLQEELEGRGLASALPGLSGCGDIGA
+IPLPKLHSLQSQLRLDLEAVDGVIFQLRAKQCVVCRERAGAVLRPCQHRVLCEPCAASAP
+ECAYCAGQPLPW
+>tr|B0JYP8|B0JYP8_BOVIN CTH protein OS=Bos taurus OX=9913 GN=CTH PE=2 SV=1
+MQEKEASPHGFLPRFQHFATQAIHVGQEPEQWTSQAVVPPISLSTTFKQGAPGQHSGFEY
+SRSGNPTRNCLEKAVAALDGAKYSLAFASGLAATVTITHLLKAGDQIICMDDVYGGTNRY
+FRQVATEFGLKISFVDCSKPKLLEAAITPETKLVWIETPTNPSLKMIDIEACAHTVHKHG
+DIILVVDNTFMSAYFQRPLSLGADICMYSATKYMNGHSDVVMGLVSLNSESLHDRLRFLQ
+NSLGAVPSPIDCYLCNRGLKTLQVRMEKHFENGMAVAQFLESNPQVEKVIYPGLPSHPQH
+ELAKRQCTGCPGMVTFYIKGSLQHAETFLQNLKLFTLAESLGGYESLAELPAIMTHASVP
+KSDREVLGISDTLIRLSVGLEDKQDLLDDLDQALKAAVSFVLVCVCVCVCVCRRVCA
+>tr|Q32LI6|Q32LI6_BOVIN Coiled-coil-helix-coiled-coil-helix domain containing 5 OS=Bos taurus OX=9913 GN=CHCHD5 PE=2 SV=1
+MQAALEITARYCSRELEQYGQCVAAKPESWQRDCHHLKMSIAQCTSAHPIIRQIRQACSE
+PFKAFEECLRQNEAAMGNCAEHVRRFLQCAEQVQPTHRPSTLEAHPLPAS
+>tr|A5PK70|A5PK70_BOVIN Nucleoside diphosphate kinase OS=Bos taurus OX=9913 GN=NME3 PE=2 SV=1
+MICLVLTIFANLFPAAYTGVHERTFLAVKPDGVQRRLVGEIVRRFERKGFKLVALKLVQA
+SEELLREHYAELRERPFFGRLVKYMGSGPVVAMVWQGLDVVRASRALIGATNPADATPGT
+IRGDFCIEVGKNVIHGSDSVESARREIALWFRTDELLCWEDSSGHWLYE
+>tr|A8NH28|A8NH28_BOVIN B9 domain containing 1 OS=Bos taurus OX=9913 GN=B9D1 PE=2 SV=1
+MAAAAPSVFLLMVNGQVESAQFPEYDDLYCKYCFVYGQDWAPTAGLEEGISQITSKSQDA
+RRALVWNFPIDVTFKSTNPYGWPQIVLSVYGPDVFGNDVVRGYGAVHVPLSPGRARMAAA
+FVRGSAGLRTTCQTHAQKDHPHVCPRIYI
+>tr|E1BJ04|E1BJ04_BOVIN Calponin-homology (CH) domain-containing protein OS=Bos taurus OX=9913 GN=SPECC1L PE=4 SV=1
+MKKASRSVGSAPKVSGTSRVQAADKARPESSSSASAGSRPVKPGAAAALSKTKSSDDLLA
+GMAGGVTVTNGVKGKKNACSSAAPAAPAPAVSATENRPRTGTGTSSSTKRSTCTGNKEPS
+STRERLRERTRLNQSKKLPSAGQGANDVASAKRSRSRTAAECDVRMSKSKSDNQISDKAA
+LEARVKDLLTLAKTKDVEILHLRSELRDMRAQLGISEDPLTEGAETSEEKEASTVHQPTD
+VESTLLQLQEQNAAIREELNQLKNENRMLKDRLNALGFSLEQRLDHSEKLFGYQSLSPEI
+TPGNQSDGGGTLTSSVEGSAPGSVEDLLSQDENTLMDHQHSNSLDNLDSECSEVYQPLTS
+SDDALDAPSSSESEGLPSVERSRKGSSGNASEVSVACLTERIHQMEENQHSTSEELQATL
+QELADLQQITQELNSENERLGEEKVILMESLCQQSDKLEHFSRQIEYFRSLLDEHHISYV
+IDEDVKSGRYMELEQRYMDLAENSRFEREQLLGVQQHLSNTLKMAEQDNKEAQELIGALK
+ERSHHMERAVESEQKGKAALAATLEELRATLASDQIEMNRLKAQLENEKQKVAELYSIHN
+SGDKSDIQDLLESVRLDKEKAETLASSLQEDLAHTRNDANRLQDAIAKVEDEYRAFQGEA
+KKQIEDLNVAVEKLRSELEERDTERSDMKETIFELEDEVEQHRAVKLHDNLIISDLENTV
+KKLQDQKHDMEREIKTLHRRLREESAEWRQFQADLQTAVVIANDIKSEAQEEIGDLKRRL
+HEAQEKNEKLTKELEEIKSRKQEEERGRVYNYMNAVERDLAALRQGMGLSRRSSTSSEPT
+PTVKTLIKSFDSASQVPSPAAAAMPRTPLSPSPMKTPPAAAVSPMQRHSISGPISTSKPL
+TALSDKRPNYGEIPVQEHLLRTSSTSRPASLPRVPAMESAKTLSVSRRSSEEMKRDVSAP
+EGTSPAALMAMGATSPQLSLSSSPTASVTPTARSRIREERKDPLSALAREYGGSKRNALL
+KWCQKKTEGYQNIDITNFSSSWNDGLAFCALLHTYLPAHIPYQELNSQDKRRNFTLAFQA
+AESVGIKSTLDINEMVRTERPDWQNVMLYVTAIYKYFET
+>tr|Q0VC31|Q0VC31_BOVIN Keratin associated protein-like OS=Bos taurus OX=9913 GN=LOC777598 PE=2 SV=1
+MTVSCCGPTFSSSSCGGGCLQPCCYRDPCCCRPVSCQTTVSRPVTCVPRCTRPICEPCRR
+PVCCDPCSLQEGCCRPITCCPTSCQAVVCRPCCWATTCCQPISVQSPCCRPTCCRPAPCR
+TTCRTFRTSPCC
+>tr|Q3ZC83|Q3ZC83_BOVIN Solute carrier family 29 (Nucleoside transporters), member 1 OS=Bos taurus OX=9913 GN=SLC29A1 PE=1 SV=1
+MTTSHQPQDRYKAVWLIFFILGLGTLLPWNFFMTATKYFTNRLDMSQNMSLGPAEVSKDI
+QASASPLAPSPERTHLSTIFNNVMTLCAMVPLLIFTCLNSFLHQRIPQSVRILGGLVAIL
+LVFLITAILVKVPLHALSFFVITMLKIMLINSFGAILQGSLFGLAGLLPASYTAPIMSGQ
+GLAGFFASVAMICAIASGSELSESAFGYFITACGVIILTIICYLGLPRLEFYRYYRQLKL
+EGPGEQETKLDLISKGEESKAGQEETGFSAPSSQPAKESHSVRAILKSILVPAFSVCFVF
+TITIGIFPAVTAEVESTIAGTSAWKAYFIPVSCFLTFNVFDWLGRSLTAITMWPGKDSYW
+LPSLVLARLAFVPLLLLCNVQPRRNLPVVFEHDSWFIIFMAAFAFSNGYLASLCMCFGPK
+KVKPAEAETAGAIMAFFLSLGLALGAVFSFLFRAIV
+>tr|F1ML13|F1ML13_BOVIN Semaphorin 5A OS=Bos taurus OX=9913 GN=SEMA5A PE=4 SV=3
+MKGPCVIAWLFSSLGWWRLAQLETDASLCQRAEHPVISYKEIGPWLREFRAKNAVDFSQL
+TFDPGQKELVVGARNYLFRLQLEDLSLIQAAEWECDEATKKACYSKGKSQEECQNYIRVL
+LVGGDRLFTCGTNAFTPVCTNRTLSNLTEIHDQISGMARCPYSPQHNSTALLTAGGELYA
+ATAMDFPGRDPAIYRSLGILPPLRTAQYNSKWLNEPNFVSSYDIGNFTYFFFRENAVEHD
+CGKTVFSRAARVCKNDIGGRFLLEDTWTTFMKARLNCSRPGEVPFYYHELQGTFFLPELD
+LIYGIFTTNVNSIAASAVCIFNLSAISQAFNGPFKYQENSRSAWLPYPNPNPNFQCGTVD
+QGVYMNLTERNLQDAQKFILMHEVVQPVSPVPAFMEDSSRFSHVAVDVVQARDALVHVIY
+LATDYGTIKKVRAPLTQTSGGCVLEEMELFPERRPEPVRSLRILHSQSVLFAGLREHVAK
+IPLKRCQFYRSRSACIGAQDPYCGWDLVMKKCTSLEESLSMTQWEQSIATCPVRNLTVDG
+HFGAWSPWTPCTHMDGSAVGSCLCRTRSCDSPAPQCGGWQCQGPRMEIANCSRNGGWTPW
+TSWSPCSTTCGIGFQVRQRSCSNPTPRHGGRVCVGQNREERYCNEHLLCPPHVFWTGWGP
+WERCTAQCGGGIQARRRTCENGPDCAGCNVEYQPCNTNPCPELKKTTPWTPWTPVNISDN
+GGHYEQRFRYTCKARLPDPNLLEVGRQRIEMRYCSSDGTSGCSTDGLSGDFLRAGRYSAH
+TVNGAWSAWTSWSQCSRDCSRGIRNRKRVCNNPEPKFGGMPCLGPSLEYQECNILPCPVD
+GMWSCWSSWSTCSATCGGGHYMRTRSCTNPAPAYGGDICLGLHTEEALCNTQPCPESWSE
+WSEWSECDVAGVQVRVRQCVLLFPVGSQCSGNTTESRPCIFDSNFIPEVSVARSSSIEEK
+RCGEFNLFHMIAVGLSSSILGCLLTLLVYTYCQRYQQQSHDATVIHPVAPAPLNTSITNH
+INKLDKYDSVEAIKAFNKNNLILEERNKYFNPHLTGKTYSNAYFTDLNNYDEY
+>tr|Q27961|Q27961_BOVIN ORF1 protein OS=Bos taurus OX=9913 GN=ORF1 PE=2 SV=1
+MTWGLFAPEHKQLLSGDLDEEIRVSPRFCPQQTLGSFIHSRVFQVSLKRGLIRKVIK
+>tr|A5PJQ3|A5PJQ3_BOVIN RNF130 protein OS=Bos taurus OX=9913 GN=RNF130 PE=2 SV=1
+MSGAARAGPARLAAFALLTCSLWPARADNASQEYYTALINVTVQEPGRGAPLTFRIDRGR
+YGLDSPKAEVRGQVLAPLPIHGVADHLGCDPQTRFFVPPNIKQWIALLQRGNCTFKEKIS
+RAAFHNAVAVVIYNNKSKEEPVTMTHPGTGDIIAVMITELRGKDILSYLEKNISVQMTIA
+VGTRMPPKNFSRGSLVFVSISFIVLMIISSAWLIFYFIQKIRYTNARDRNQRRLGDAAKK
+AISKLTTRTVKKGDKETDPDFDHCAVCIESYKQNDVVRILPCKHVFHKSCVDPWLSEHCT
+CPMCKLNILKALGIVPNLPCTDNVAFDMERLTRTQAVNRRSALGELASDNSLGLEPLRTS
+GISPLPQDGELTPRTGEINIAVTKEWFIIASFGLLSALTLCYMIIRATASLNANEVEWF
+>tr|Q0II88|Q0II88_BOVIN Progestin and adipoQ receptor family member 9 OS=Bos taurus OX=9913 GN=PAQR9 PE=2 SV=1
+MPRRLQLRSAGTKGPPGTIAAASEAASRPHALASGDSPASAKPLLRWDEVPDDFVECFIL
+SGYRRLPCTAQECLASVLKPTNETLNFWTHFIPLLLFLSKFCRLFFLSGRDVPFHHPWLL
+PLWCYASGVLLTFAMSCTAHVFSCLSLRLRAAFFYLDYASISYYGFGSTVAYYYYLLPGL
+SLLDARVMTPYVQQRLGWHVDCTGLIAAYRALVLPVAFVLAVACTVACCKSRTDWCSYPF
+ALRTFVFIMPLSMACPIMLESWLFDLRGENPTLFVHFYRRYFWLVVAAFFNVSKIPERIQ
+PGLFDIIGHSHQLFHIFTFLSIYDQVYYVEEGLRQFLKEPPDAPTFLGTVGYMLLLVVCL
+GLVIKKFLSNAEFCSKK
+>tr|A6QPU3|A6QPU3_BOVIN Elongin A OS=Bos taurus OX=9913 GN=ELOA PE=2 SV=1
+MAAESALQVVEKLQARLATNADPKKLLKYLKKLSALPITVDILAETGVGKTVNSLRKHEH
+VGNFARDLVAQWKKLVPVERTTEPEEQNFEKSSSRKRPRDALREEAEIEGDYPESWKASS
+SQSCSPDNRQKKHRKLPELERPHKVSHSHGRRDERKRYHRASPVYSSDHESSDYGHVQSP
+PPSTSPHHMSWTITDPWRRTMSPLFPTRSLAKAIVTPFRTDWGSVKSDTWGKPRGEKARV
+RARSTDLPIKKNVRQMPEETRSLL
+>tr|Q2ABC2|Q2ABC2_BOVIN Taste receptor type 2 OS=Bos taurus OX=9913 GN=TAS2R10 PE=3 SV=1
+MLSIVEGLLLFVAVNESVLGVLGNGFIGLVNCINCVKNKKISTLSLILTGLASSRFCLIW
+IITTDAYVRVFSPDMYLSGNLSQYIAYLWIIMNQSSVWFTTSLSIFYFLKIANFSHCIFL
+WLKGHITEILLLLMGCLPISWLFTFPNITMPFINNIMKNRSTTGLVTMQKSEYFINQILF
+NLGTFLVFVLCLITCFLIITSLWRHNRRMQLNATGFRDPSTEAHIKAMKILVSFIILFIL
+YFVGTAIQILSVTVPENKLLFIFGMTTTILYPCGHSFILILGNSKLNQASLRVLKLLKC
+>tr|A1L5C4|A1L5C4_BOVIN Claudin OS=Bos taurus OX=9913 GN=CLDN15 PE=2 SV=1
+MLVAVEIFGFFLTAVGLLMLGVTLAHSSWRVSTVHGNVITTNTIFENLWYSCATDSMGVH
+NCWEFPSMLALSGYIQACRALMITAILLGFLGLFLGMVGLRCTNIGGLELSRKTKLAATA
+GALHILAGICGMVAVSWYAFNITRDFFDPLYAGTKYELGPALYLGWSACLLAILGGICLF
+SNCCCSRDRDPATGVQLPYKAPVIPAASLAARLPAAASDEEGDSSFGKYGKNAYV
+>tr|A0A3Q1NJZ2|A0A3Q1NJZ2_BOVIN SH3 and multiple ankyrin repeat domains 2 OS=Bos taurus OX=9913 GN=SHANK2 PE=4 SV=1
+MPRSPTSSEDEMAQSYSDSSEESDSDSSKEETIYDTIRATAQKPGGARAEEGQAHTLVLR
+IVIEDLQQTKCIRFNPDATVWVAKQRILCSLNQSLKDVLNYGLFQPASNGRDGKFLDEER
+LLREYPQPVGKGIPSLEFRYKKRVYRQTNLDEKQLAKLHTKTNLKKCMDHIQHRSVEKIV
+KMLDRGLDPNFHDLETGETPLTLAAQLDDPEEIIKALRNGGAHLDFRAKDGMTALHKAAR
+TRNLLALKTLLELGASPDYKDSYGLTPLYHTAVVGGDPCCCELLLHEHAAVSCKDENGWH
+EIHQACRYGHVQHLEHLLFYGADMGAQNASGNTALHICALYNQDSCARVLLFRGGDKELK
+NYNSQTPFQVAIIAGNFELAEYIKNHKETDVVPFREAPAYSNRRRRPPSTLAAPRLLLRS
+NSDNNLHAGAPDWAVCAATSRLSLSPQLLQQTPGKADGAAKTLGSYTPGPRSRSPSLNRL
+GGAGEDAKRPQQPWHVGPAFPPGANKDVLSAFEYPGPRRKLYSAVPGRLFVVVKPYQPQV
+DGEIPLHRGDRVKVLSIGEGGFWEGSARGHIGWFPAECVEEVHCKPRDSQAETRADRSKK
+LFRHYTVGSYDSFDASSDCVIEEKTVVLQKKDNEGFGFVLRGAKADTPIEEFTPTPAFPA
+LQYLESVDEGGVAWQAGLRTGDFLIEVNNENVVKVGHRQVVTMIRQGGNHLVLKVVTVTR
+SLDPDDTARKKAPPPPKRAPTTALTLRSKSMTSELEELASVRKKKDKPEEIIPASKPSRA
+AESVALESRVATIRQRPTSRCFPSVADMNSVYERQGIAVMTPTVPGSPKGPFLGLPRGTM
+RRQKSIDSRIFLSGITEEERQFLAPPMLKFTRSLSMPDTSEDIPPPPQSVPPSPPPPSPT
+AYNCPKSPTPRVYGTIKPAFNQNPATKVSPAARSDTVATIVREKGLYHRREVDRYSLDSE
+DLYSRGTGPQANFRGKRGQMPENPYSEVGKIASKAVYVPAKPARRKGMLVKQSNVEDSPE
+KTCSIPIPTIIVKEPSTSSSGKSSQGSSVETDPQASEQPGQLRPDDSLTVSSPFAAAIAG
+AVRDREKRLEARRNSPAFLSTDLGDEDVGLGPPAPRARPSKFPEEGGFGEEDGAEPLSSP
+APQEPENHFVGAGEAGAQGEAGRLPNAPGQAKVPEGSPAATPRSINIASPENYVHPLTGR
+LLDPSSPLALALSARDRALKESQQGPKGEAPKADLNKPLYIDTKMRPSGEAGFPPVGRQS
+GRGPLRRQETENKYETDPGKERRDDKKGVLVSIVDASQQKSAGLLMVHTVDAARPEDFLQ
+EEDEKADPETQPDHLPSEAPEGAPETEGAFPTPAGPEPTAAAATAPGRTIVAAGSVEEAV
+ILPFRIPPPPLASVDLDEDFIFTEPLPPPLEFANSFDIPDDRVASVPALSDLVKQKKNDT
+SQSPSLNSSQPTNSADSKKPAGLSNCLPASFLPPPESFDAVTDSGIEEVDSRGSSDHHLE
+TTSTISTVSSISTLSSEGGENADTCTVYADGQAFMVDKPPVPPKPKMKPIIHKGNTLYHD
+ALLEEDVDSFVVPPPAPPPPPGGAQPGPTKVIQPRTSRLWGDVSEVKSPILSGPKANVIS
+ELNSILQQMNREKSAKPGEGLDPTPGTKPAGLAPRGPEVISTVSGTRSTTVTFTVRPGTS
+QPITLQSRPPDYESRNSGPRRAPSPVVSPTELNKEVLPAPLPAATAAPSPTLSDVFSLPS
+QPPPGDLFGLNPVGRSRSPSPSILQQPISNKPFTTKPVHLWTKPDVADWLESLNLGEHKE
+AFMDNEIDGSHLPNLQKEDLIDLGVTRVGHRMNIERALKQLLDR
+>tr|A7MBD9|A7MBD9_BOVIN CPM protein OS=Bos taurus OX=9913 GN=CPM PE=2 SV=1
+MDCARLWLGLLMPAVAALDFSYHHQPEMEAFLKNVAQNYSSITHLHSIGKSVQGRNLWVL
+VVGRSPKEHRIGIPEFKYVANMHGDEAVGRELLLHLIEYLVTRDGRDPEITNLINSTRIH
+IMPSMNPDGFETVVKPDCFYNDGRDNSNLYDLNRNFPDAFELNEVPRQPETVAVMKWLTT
+ETFVLSANLHGGALVASYPFDNGVPATGTLYSRSLTPDDDVFQYLANTYASRNPDMKRNS
+CRIKTGFSNGIINGYSWYPLKGGMQDYNYIWAQCFEITLELSCCKYPRKEKLPGFWKDNK
+DSLIEYIKQVHIGVKGQVFDQNQTPLSNVTVEVQDRKHICPYRTNKFGEYYLLLLPGSYV
+IEVTVPGHDPHLTKVTIPPKSQNFSALKKDILLPFRGQLNHISESNPLCPRIPLYSELQG
+HSAATKPSLFLFLVTLFHILFK
+>tr|Q05B46|Q05B46_BOVIN Keratin associated protein 11-1 OS=Bos taurus OX=9913 GN=KRTAP11-1 PE=2 SV=1
+MSYNCSTRTCSSRRIGGEYTVPVATVSTPDADCLSGIYLPSSFQTGSWLLDHCQETCCEP
+TLCQSTCYQPAPCVSNPVQVTSRQTTCVSSPYSTTCSRPVTFVSSGCQPLSGISTVCKPV
+RSISTVCQPVGGVSTICQPACGVSRTYQQSCVSSCRRIC
+>tr|A6QNL3|A6QNL3_BOVIN CAMTA1 protein OS=Bos taurus OX=9913 GN=CAMTA1 PE=2 SV=1
+MSILERLEQMERRMAEMTGSQQHKQGSGGGSSGGGTGSGNGGSQAQCASGPGTLGSCFES
+RVVVVCEKMMSRACWAKSKHLIHSKTFRGMTLLHLAAAQGYATLIQTLIKWRTKHADSID
+LELEVDPLNVDHFSCTPLMWACALGHLEAAVVLYKWDRRAISIPDSLGRLPLGIARSRGH
+VKLAECLEHLQRDEQAQLGQNPRIHCPAGDEPSTESWVSQWHSEAINSPEIPKGVTVIAS
+TNPELRRPRSEPSNYYSSESHKDYPAPKKHKLNPEYFQARQEKLLSTALSLEQPNIRKQS
+PSSKQPVPETISPSEGLRDYSREISPPTPETAGFLHASASQPVVKWNPKDLYIGVSTVQV
+TGNPKGTSVGKDVTPSQVRPREPMSVLMMANREVVNSELGSYRDGTESEECSQPMDDIQV
+NMMTLAEHIIEATPERIKQENFVPLESPALERTDVATISSTMSWLAGYLADVDHLPNAAQ
+IRSAYNEPLTPSSNTSLSPVGSPVSEITFEKPSLPSAADWSEFLSASTSEKVENEFAQLT
+LSDHEQRELYEAARLVQTAFRKYKGRPLREQQEVAAAVIQRCYRKYKQLTWIALKYALYK
+KMTQAAILIQSKFRSYYEQKKFQQSRRAAVLIQKFYRSYKKCGKRRQARRTAVIVQQKLR
+SSLLTKKQDQAARKIMRFLRRCRHRVKELKKAKELEDIQQHPLAM
+>tr|A0A3Q1MBU5|A0A3Q1MBU5_BOVIN Prokineticin receptor 1 OS=Bos taurus OX=9913 GN=PROKR1 PE=3 SV=1
+MKEKLSFSRKGRPQPSNITPEEFPRVWLKASTSQMEITMGVMDENATNTSTNYFPLLDPL
+GAQAASFPFNFSYGDYDMPLDEDEDVTNSRTFFAAKIVIGMALVGIMLVCGIGNFIFIAA
+LARYKKLRNLTNLLIANLAISDFLVAIVCCPFEMDYYVVRQLSWEHGHVLCASVNYLRTV
+SLYVSTNALLAIAIDRYLAIVHPLRPRMKYQTATGLIALVWVVSILVAIPSAYFTTETVL
+VIVKSQEKIFCGQIWPVDQQIYYKSYFLFIFGIEFVGPVVTMTLCYARISRELWFKAVPG
+FQTEQIRKRLRCRRKTVLVLMCILTAYVLCWAPFYGFAIVRDFFPTVFVKEKHYLTAFYV
+VECIAMSNSMINTVCFVTVKNNTIKYFKKIMLLHWKASYNGSKSSGDLDLKTTGVPATEE
+VDCIGLK
+>tr|A6QP99|A6QP99_BOVIN LMOD3 protein OS=Bos taurus OX=9913 GN=LMOD3 PE=2 SV=1
+MSEHSRSSDQEEIDGEIDEEEILATLSPEELKELQSEMEVMAPDPRLPVGMIQKDQTDKP
+PTGNFDHKSLVDYMYWQKASRRMLEDERVPLTFVPTKEKTQEQHEAIGKSNKNMSQYLKE
+KLNSEIIAHKRESQGSDNVQETNNDDNEDEGEDEEDDAEDEEEDEGKEDSEESDQTKRGE
+EGEIKEQIKNGENTGQQVTSKVTEEQKGRPEAQDKIEKKISKLDPKKLALDTSFLKVSAR
+PSGNQTDLDGSLRRVRQNDPDMKELNLNNIENIPKEMLLDFVNAMKKNKHVRTFSLANVG
+ADENVAFALANMLRENRSISTLNIESNFITGKGIVAIMRCLQFNETLTELRFHNQRHMLG
+HHAEMEIARLLKANNTLLKMGYHFELPGPRMVVTNLLTRNQDRQRQKRQEEQKQQQLKEQ
+RKLIAMLENGLGLPPGMWEMLGGPMPDSRTQEFLQTPPPRPPNPQAAPFSRQNEVTKKPS
+QPPKYRTDPDSFHVVKLKRIQRKSRMPEAREPPEKTNLKDVIKTLKPVPRNRPPPLVEIT
+PRDQLLNDIRHSNIAYLKPVQLPKELA
+>tr|G3X7I7|G3X7I7_BOVIN Zinc finger protein 711 OS=Bos taurus OX=9913 GN=ZNF711 PE=4 SV=1
+MDPGGGSLGLHTSDSRMAHTMIMQDFVAGMTGTAHIDGDHIVVSVPEAVLVSDVVTDGGI
+TLDHGLAAEVVHGPDIITETDVVTEGVIVPEAVLEADVAIEEDLEEDDGDHILTSELITE
+TVRVPEQVFVADLVTGPDGHLEHVVQDCVSGVDSPTVVSEEVLVTNSDTETVIQAAGGVP
+GSTVTIKTEDDDDDDVKSTSEDYLMISLDDVGEKLEHMGNTPLKISSDGSQEDVKEDGFG
+SEVIKVYIFKAEAEDDVEIGGTEIVTESEYTNGHSVAGVLDQSRMQREKMVYMAVKDSSQ
+EEDDIRDERRVSRRYEDCQSSGNTLDSTLENRSSTAAQYLQICDSINTNKVLKQKTKKRR
+RGETRQWQTAVIIGPDGQPLTVYPCHICTKKFKSRGFLKRHMKNHPDHLMRKKYQCTDCD
+FTTNKKVSFHNHLESHKLINKVDKTHEFTEYTRRYREASPLSSNKLILRDKEPKMHKCKY
+CDYETAEQGLLNRHLLAVHSKNFPHVCVECGKGFRHPSELKKHMRTHTGEKPYQCQYCVF
+RCADQSNLKTHIKSKHGNNLPYKCEHCPQAFGDERELQRHLDLFQGHKTHQCPHCDHKST
+NSSDLKRHIISVHTKDFPHKCEVCDKGFHRPSELKKHSDIHKGRKIHQCRHCDFKTSDPF
+ILSGHILSVHTKDQSLKCKRCKRGFRQQNELKKHMKTHSGRKIYQCEYCEYSTTDASGFK
+RHVISIHTKDYPHRCEFCKKGFRRPSEKNQHIMRHHKEALI
+>tr|Q3SZZ9|Q3SZZ9_BOVIN FGG protein OS=Bos taurus OX=9913 GN=FGG PE=2 SV=1
+MSWSSHPPSVIFYILSLLSSACLAYVATRDNCCILDERFGSYCPTTCGIADFLNNYQTSV
+DKDLRTLEGILYQVENKTSEARELVKAIQISYNPDQPSKPNNIESATKNSKSMMEEIMKY
+ETLISTHESTIRFLQEIYNSNSQKIVNLRDKVVQLEANCQEPCQDTVKIHDVTGRDCQDV
+ANKGAKESGLYFIRPLKAKQQFLVYCEIDGSGNGWTVFQKRLDGSLDFKKNWIQYKEGFG
+HLSPTGNTEFWLGNEKIHLISTQSTIPYVLRIQLEDWNGRTSTADYASFKVTGENDKYRL
+TYAYFIGGDAGDAFDGYDFGDDSSDKFFTSHNGMQFSTWDSDNDKYDGNCAEQDGSGWWM
+NKCHAGHLNGVYYQGGTYSKTSTPNGYDNGIIWATWKSRWYSMKKTTMKIIPLNRLAIGE
+GQQHQLGGAKQAGDV
+>tr|A0A0M6L0Q6|A0A0M6L0Q6_BOVIN Growth hormone E4 OS=Bos taurus OX=9913 GN=GHE4 PE=2 SV=1
+MAPTPSFRGHQWTYNSVRGSCLLLLLLMSNLLLCQGNSCPSCSPDVFVSLRKSLTDLFIN
+AASLSHDFHNLSTIMFHKFDEKYAQGKQYYINATKSCHTNSFHTPEERDKAQQTNNEDLS
+KWTLVLLYSWNNPLYHLVTELQSMKEVSEAFLSSAIKIENMSDKLQSFIESQFSKIIVPV
+LKMIHEAPSSWSGLPSLTSSDEDRRLSEFYNLFYCLHRDSRKVDTYIKILTCRTRKTC
+>tr|F1N518|F1N518_BOVIN Zinc finger and BTB domain containing 37 OS=Bos taurus OX=9913 GN=ZBTB37 PE=4 SV=2
+MEKSGNIQLEIPDFSNSVLSHLNQLRMQGRLCDIVVNVQGQAFRAHKVVLAASSPYFRDH
+MSLNEMSTVSISVIKNPTVFEQLLSFCYTGRICLQLADIISYLTAASFLQMQHIIDKCTQ
+ILEGIHFKINVAEVEAELSQTRTKHAEGPPESHRVTPNLNRSLSPRHNTLKGNRRGQVSA
+VLDIRELSPPEESTSPQIIEQSSDVESREPILRINRAGQWYVETGVADRGARSDDEVRVL
+GAVHIKTENLEEWLGPENQPSGEDGSSAEEVTAMVIDTTGHGSVGQENYALGSSGAKVAR
+PTSSEIDRFSPSGSVVPLTERHRARSESPGRMDEPKQPTSQVEESAMMGVSGYVEYLREQ
+EVSERWFRYNPRLTCIYCAKSFNQKGSLDRHMRLHMGITPFVCRMCGKKYTRKDQLEYHI
+RKHTGNKPFHCHVCGKSFPFQAILNQHFRKNHPGCIPLEGPHSISPETTVTSRGQAEEES
+PSQEETVASGETAQGSVSTTGPD
+>tr|C1JGV6|C1JGV6_BOVIN Boule OS=Bos taurus OX=9913 GN=BOLL PE=2 SV=1
+METESGAQTSNQTQTDSLSPSPNPVSPVPLNNPTSAPRYGTVIPNRIFVGGIDFKTNEND
+LRKFFSQYGSVKEVKIVNDRAGVSKGYGFVTFETQEDAQKILQEAEKLNYKDKKLNIGPA
+IRKQQVGIPRSSIMPAAGTMYLTTSTGYPYTYHNGVAYFHTPEVTSVPPPWPSRSISSSP
+VMVAQPVYQQPAYHYQAPAQCLPGQWQWNVPQSPASSAPFLYLQPSEVIYQPVEIAQDGG
+CVPPPLSLMEASVPEPYSDHGVQATYHQVYASSAIAMPAPVMQPEPIKTVWSIHY
+>tr|Q2KJ60|Q2KJ60_BOVIN WD repeat domain, phosphoinositide interacting 2 OS=Bos taurus OX=9913 GN=WIPI2 PE=2 SV=1
+MNLASQSGEAGASQLLFANFNQDVTSLAVGSKSGYKFFSLSSVDKLEQIYECTDTEDVCI
+VERLFSSSLVAIVSLKAPRKLKVCHFKKGTEICNYSYSNTILAVKLNRQRLIVCLEESLY
+IHNIRDMKVLHTIRETPPNPTGLCALSINNDNCYLAYPGSATIGEVQVFDTINLRAANMI
+PAHDSPLAALAFDASGTKLATASEKGTVIRVFSIPEGQKLFEFRRGVKRCVSICSLAFSM
+DGMFLSASSNTETVHIFKLETVKEKPQEEPTTWTGYFGKVLMASTSYLPSQVTEMFNQGR
+AFATVRLPFCGHKNICALATIQKIPRLLVGASDGYLYMYNLDPQEGGECTLMKQHQLDGS
+METTNEILDSTSHDCPLVTQAYSVAVAKGPHVPSSPTALVYTDELGAAGGSGLEEEASAL
+RLEDDSEHPPMILRTD
+>tr|Q3ZBQ6|Q3ZBQ6_BOVIN DIRAS family GTPase 3 OS=Bos taurus OX=9913 GN=DIRAS3 PE=2 SV=1
+MGNSCFGLKERLMKRLRPLPTVIVIRTCLPQRRSRDFRVVVLGSAGVGKSALVQRWVRGN
+FREAYLPTIEDTYRQALGCSHKAGALHITDTTGGRRYRGLQRLAIARGHAFILVYSITRK
+QTLEELKPLYELIRQLKGNNPQKCPVILVGNKCDESRREVSEKEGAAYACEWNCAFLETS
+AKMNINVQELFQLLINFEKKPAAAAAPAGAQPPQKKSQIPKTAEKLLGKCIVM
+>tr|E1B9K3|E1B9K3_BOVIN Adenylate cyclase OS=Bos taurus OX=9913 GN=ADCY6 PE=3 SV=1
+MSWFSGLLVPKVDERKTAWGERNGQQRPRRGTRTSGFCTPRYMSCLQGAQPPSPTPATAP
+RCPWQDEAFIRRGGPGKGPELGLRAVALGFEDPEVAGAVGAAEVAPAVATRSRQACWRQL
+VQVFQSKQFRSAKLERLYQRYFFQMNQSSLTLLMAALVLLTAVLLAFHAAPARPQPAYVA
+LLACAATLFVALMVVCNRHSFRQDSMWVVSYVVLGILAAVQVGGALAADPRSPSAGLWCP
+VFFVYITYTLLPIRMRAAVFSGLGLSTLHLVLAWQLNRGDAFLWKQLGANMLLFLCTNVI
+GICTHYPAEVSQRQAFQETRGYIQARLHLQHENRQQERLLLSVLPQHVAMEMKEDINTKK
+EDMMFHKIYIQKHDNVSILFADIEGFTSLASQCTAQELVMTLNELFARFDKLAAENHCLR
+IKILGDCYYCVSGLPEARADHAHCCVEMGVDMIEAISLVREVTGVNVNMRVGIHSGRVHC
+GVLGLRKWQFDVWSNDVTLANHMEAGGRAGRIHITRATLQYLNGDYEVEPGRGGERNAYL
+KEQHIETFLILGASQKRKEEKAMLAKLQRARANSMEGLMPRWVPDRAFSRTKDSKAFRQM
+GIDDSSKDNRGAQDALNPEDEVDEFLGRAIDARSIDQLRKDHVRRFLLTFQREDLEKKYS
+RKVDPRFGAYVACALLVFCFICFIQLVVFPRSALMLGIHASIFLLLLVTVLTCAVYSCGS
+LFPEALQRVSRSIVRSRAHSTAVGIFSVLLVFTSAVASMFTCNHTPLRTCAARMLNLTPA
+DITACHLQQLNYSLGLDAPLCEGTAPTCSFPEYFIGNVLLSLLASSVFLHISSIGKLAMI
+FVLGLVYLVLLLLGPPATIFDNYDLLLGVHGLASSNETFDGLDCPAAGRVALKYMTPVIL
+LVFALALYLHAQQVESTARLDFLWKLQATGEKEEMEELQAYNRRLLHNILPKDVAAHFLA
+RERRNDELYYQSCECVAVMFASIANFSEFYVELEANNEGVECLRLLNEIIADFDEIISEE
+RFRQLEKIKTIGSTYMAASGLNASTYDQVGRSHITALADYAMRLMEQMKHINEHSFNNFQ
+MKIGLNMGPVVAGVIGARKPQYDIWGNTVNVSSRMDSTGVPDRIQVTTDLYQVLAAKGYQ
+LECRGVVKVKGKGEMTTYFLNGGPRS
+>tr|A6QLV4|A6QLV4_BOVIN TFAP4 protein OS=Bos taurus OX=9913 GN=TFAP4 PE=2 SV=1
+MEYFMMPTQKVPSLQHFRKTEKEVIGGLCSLANIPLTPETQRDQERRIRREIANSNERRR
+MQSINAGFQSLKTLIPHTDGEKLSKAAILQQTAEYIFSLEQEKTRLLQQNTQLKRFIQEL
+SGSSPKRRRAEDKDEGIGSPDIWEDEKAEDLRREMIELRQQLDKERSVRMMLEEQVRSLE
+AHMYPEKLKVIAQQVQLQQQQEQVRLLHQEKLEREQQHLRTQLLPTPAPTHHPTVIVPAP
+APPPSHHINVVTMGPSSVINSVSTSRQNLDTIVQAIQHIEGTQGQEEEQRRAVIVKPVRG
+CPEAHASDTASDSEASDSDAMDQGREELAGNGGLP
+>tr|A6H792|A6H792_BOVIN FRS3 protein OS=Bos taurus OX=9913 GN=FRS3 PE=2 SV=1
+MGSCCSCLNRDSVSDNHPTKFKVTNVDDEGVELGSGVMELTQSELVLHLHRREAVRWPYL
+CLRRYGYDSNLFSFESGRRCQTGQGIFAFKCSRAEEIFNLLQDLMQCNSINVMEEPVIIT
+RNSHPAELDLPRAPQPPNALGYTVSSFSNGFPGCPGEGPRFSAPRRPSTSSLRHPSLGEE
+STHALIAPDEQSHTYVNTPAGEEEQRRSRHCLQPLPEGRAPFTPQARGPDQRDPQVFLQP
+GQVKFVLGPTPARRHMTKCQGLCPSLQDAPHHNNNNEGPSECPAQPKCTYENVSGGLRPG
+AGWRLSPEEPGWNGLAQRRAALLHYENLPSLPPVWESQAPQPGEEAGDDGDSRDGLTPSS
+NGFPEGEEDETPLQKPTSTRAALRSHGSFPVPLTRRRGSPRVFNFDFRRPGPEPPRQLNY
+IQVELKGWGGDRPKGPPNPSAPRAPVPTTHPTRSSDSYAVIDLKKTVAMSNLQRALPRDD
+GTARKTRHNSTDLPL
+>tr|A0JN93|A0JN93_BOVIN Ribonuclease P/MRP 14kDa subunit OS=Bos taurus OX=9913 GN=RPP14 PE=2 SV=1
+MPAPATTYERIVYKNPSEYHYMKVRLEFQDGGVGLTAAQFKQLLISALKDLFGEVDAALP
+LDVLTYEEKTLSAILRICSSGLVKLWSSLTLLGSYKGKNCAFRVIQVSPFLLALSGNSRE
+LVLN
+>tr|A5D7J7|A5D7J7_BOVIN ARSE protein OS=Bos taurus OX=9913 GN=ARSE PE=2 SV=1
+MLRLEHSWSWLALALAVLLGAKPSACGPPPGPRPNILLLMADDLGIGDVGCYGNTTIRTP
+NIDRLAADGVRLTQHLAAAPLCTPSRAAFLTGRYPLRSGMVSSQGLRVLQWTAVSGGLPP
+SEITFAKILKAKGYTTGLIGKWHLGLSCASPDDHCHHPLNHGFDHFYGMPFSMMADCERW
+ELSEKRAVLESRLDVCFQLVALATLTLTIGKLTHLIPGASWTLVIWSAVVCLLLFATSCL
+VGALIMHADCFLMRNHSIAEQPMRSQRTTPLMLQEVSSFVKRHKQGPFLLFVSFLHVHTP
+LVTTENFRGRSPHGLYGDNTEEMDWMVGQILETLDTEGLTNSTLVYFTSDHGGSLEARFG
+NNQYGGWNGIYKGGKGMAGWEGGIRVPGIFRWPGVLPAGRVIHEPTSLMDIFPTVVHLAG
+GQVPQDRVVDGRDLLALLQGTARHSDHEFLMHYCESFLHAARWHQRDLWKVHFTTPIFQP
+DGAGACYGQIVCPCSGNRVTHHAPPLLFDLSRDPSEAHALTPDTEPSFHQVVETVARAVA
+AHRQTLIPVPLQLDAADNTWKPWLQPCCGRFPFCWCDRDADPR
+>tr|Q0P5G7|Q0P5G7_BOVIN Immediate early response 3 OS=Bos taurus OX=9913 GN=IER3 PE=2 SV=1
+MCHSRSSLPTMTVLRAPTPVSSASPGPRRGSGPEIFTFDPLPEPAVAPAARPSATRGHRK
+RSRRVLYPRVVRRQLPVEEPNPAKRLLFVLLTIIFCQILMAEDSASAPLAPEDISSAPSP
+ASTAATPVFEPLNLTSEPSDYALDLSTFLQQHPAAF
+>tr|A6QNQ8|A6QNQ8_BOVIN SOBP protein OS=Bos taurus OX=9913 GN=SOBP PE=2 SV=1
+MQQLRPPFIRGPPHHASNPNSPLSNPMLPGIGPPPGGPRNLGPTSSPMHRPLLSPHIHPP
+STPTMPGNPPGLLPPPPPGAPLPSLPFPPVSMMPNGPMPVPQMMNFGLPSLAPLVPPPTL
+LVPYPVIVPLPVPIPIPIPVPHVSDSKPPNGFSSNGENFIPSAPGDSSAAGGKPGGHSLS
+PRDSKQGSSKSADSPPGCSGQALSLAPAPAEHGRSEVVDLTRRAGSPPGAGGQLGFPGGV
+LQGPQDGVIDLTVGHRARLHNVIHRALHAHVKAEREPGAAERRTCGGCRDGHCSPPAAGD
+PGPGAPAGPEAAAACNVIVNGTRGAAEGAKGAEPPPEQPPPPPPPPPAPPKKLLSPEEPA
+VSELESVKENNCASNCHLDGEAAKKLMGEEALAGGDKSDPNLNNPADEDHAYALRMLPKT
+GCVIQPVPKPAEKAAMAPCIISSPMLSAGPEDLEPPLKRRCLRIRNQNK
+>tr|A0A3Q1LQT9|A0A3Q1LQT9_BOVIN POC1 centriolar protein homolog A OS=Bos taurus OX=9913 GN=POC1A PE=4 SV=1
+MDSCLMVWHMKPQTRAYRFAGHKDAVTCVNFSPSGHLLASGSRDKTVRIWVPNVKGESTV
+FRAHTATVRSVHFCSDGQSFVTASDDKTVKVWSTHRQKFLFSLSQHINWVRCAKFSPDGR
+LIVSASDDKTVKLWDKTSRECVHSYCEHGGFVTYVDFHPSGTCIAAAGMDNTVKVWDVRT
+HRLLQHYQLHSAAVNALSFHPSGNYLVTASSDSTLKILDLMEGRLLYTLHGHQGPATTVA
+FSRTGEYFASGGSDEQVMVWKSNFDIVDYGEVLRVQRPPATRASSSGTLPEVDPLVPPGR
+GRSQESMQSHSQEPVSVPQSLTSTLEHIVGQLDVLTQTVSILEQRLTLTEDKLKQCLENQ
+QLIMQRTTP
+>tr|A6QLC8|A6QLC8_BOVIN TTC15 protein OS=Bos taurus OX=9913 GN=TRAPPC12 PE=2 SV=1
+MENAEGASQHVPEQSAPAQGPAQSIAPQGPQGDQGQLLYHEETIDLGGDEFESEDSNNFA
+DKLDEPLMESVLISDSPNNSEDDAGDLGCLHDVGDPAEGSGDHRLGPSAEEGALGSLSDG
+GESDGGDTPQDVSDMTPDGRASLKEDPERSDVEDLPAFEHGGGEDPGPGAARVPMAGPPS
+GTPPRQPAPKDEPVPMCTIFSQPPPSAQPPALRDGFESQMVKSPSFSSASEAAPRTPPQV
+VQPSPSLSKFFGDPTGSSSLASDFFDSFTTSTFISVSNPNASPSIPESLSSLAASPVGGS
+SPGSEETASSPGVQRSGSGVSTVPLEISQSPKPFSQIQAVFAGSEDPFATALSMSEMDRR
+SDAWIPGDATRNVLTSVATQQYGTVFVDKEDLTMPGLKFDNIQGDAVKDLMLRFLGEKAA
+AKRQVLTASSVEQSFVGLKQLISCKNWRAAVDLCGRLLTAHGQGYGKSGLPTSHTADSLQ
+LWFVRLALLVKLGLFQNAEMEFEPFGNLDQPDLYYEYYPHVYPGRRGSMVPFSMRILHAE
+LQQYLGNPQESLDRLHRVKAVCSKILANLEQGLAEDGTTSSIAQENRQASVQLWRSRLGR
+VTCSMANCLLLMKDYVLAVDAYRAVVQFHPEQEPQVLSCIGRIFLQIGDIKAAEKYFQDV
+EKVTQKLDGLQGKTMVLMNRAFLHLGQNNFAEAHRFFTEILRVDPSNAVANNNAAVCLLY
+LGRLKDSLRQLEAMAQRDPRQHLHESVLFNLTTMYELESSRSLQKKQALLEAVASTEGDC
+FNTHCLKLA
+>tr|Q32PC4|Q32PC4_BOVIN Cyclin-dependent kinase inhibitor 3 OS=Bos taurus OX=9913 GN=CDKN3 PE=2 SV=1
+MKPPSSMQTSEFDSSDEEPIEDEQTPIQISWLPLSRVNCSQFLGLCALPGCKFKDVRRNI
+QKDTDGGTPDITSCCEIMEELEICLKNNRKTLIHCYGGLGRSCLVAACLLLYLSDTVSPQ
+QAIDSLRDLRGSGAIQTIKQYNYLHEFRDKLAAHLSSRNSLSRSVSR
+>tr|Q58D07|Q58D07_BOVIN GPI7 protein OS=Bos taurus OX=9913 GN=PIGG PE=2 SV=1
+MRLGSGTFAAGCVVIEVLGVALFLRGFFPAPVFSGAERQAESPAPEPSAGASSNWTELPP
+PLFSKVVILLIDALRDDFVFGSKGVKFMPYTTYLVEKGSSLSFVAEAKPPTVTMPRIKAL
+LTGSLPGFIDVVRNLNSPTLMEDNVITRAKAAGKRIIFYGDETWVKLFPKHFVEYDGTTS
+FFVSDYTEVDNNVTRHLDKVLKRQDWDMLILHYLGLDHIGHISGPSSPLVGHKLSEMDSI
+LMKIHTALLAEERDPLLPSLLVLCGDHGMSEAGGHGASSMEEVNTALVLVSSAFERKPGD
+VRHPTRVQQTDLAATLSIGLGLPIPKSNTGGLMFPVVEGRPMREQLRFLHLNTVQLSKLL
+QENVPSYNKEPGFEQFKVSERSHRNWIRLYLEENNSEVVFNLGAKVRRQYLDALRTLKLS
+LSRRAAQYDVYSMAVGTVLVLEALVLLLLSVPQALSSRAELDVPLLSPVCSLLFYLLLLA
+LAALHVVVCTAADSSCYLCSLPWLAAGGVMTLIAALLCAGMSALTRVFAGGKCLSQVELA
+FQNPPQSTSRWSELDLLSFLGTVGHVLSLGASSFIEEEHQTWYFLVNTLCLALCHQIYRK
+CLLGDDCAPQHCPHTGEEFDGVAVALQGKRAGPEGWELSRAPADPSSLEALRGSERWMVL
+ASPWLVLACCRLLRSLNQTGVQWAHRPDLGHWLTR
+>tr|A2VDV3|A2VDV3_BOVIN Chromosome 1 open reading frame 21 ortholog OS=Bos taurus OX=9913 GN=C16H1ORF21 PE=2 SV=1
+MGCASAKHVATVQNEEEAQKGKNYQNGDVFGDEYRIKPVEEVKYMKNGAEEEQKIAARNQ
+ENLEKSASSNVRLKTNKEIPGLVHQPRANMHISESQQEFFRMLDEKIEKGRDYCSEEEDI
+T
+>tr|Q08DI2|Q08DI2_BOVIN Calcium/calmodulin-dependent protein kinase IG OS=Bos taurus OX=9913 GN=CAMK1G PE=2 SV=1
+MGRKEEDDCSSWKKQTTNIRKTFIFMEVLGSGAFSEVFLVKQRVTGKLFALKCIKKSPAF
+RDSSLENEIAVLKKIKHENIVTLEDIYESTTHYYLVMQLVSGGELFDRILERGVYTEKDA
+SLVIQQVLSAVKYLHENGIVHRDLKPENLLYLTPEENSKIMITDFGLSKMEQNGVMSTAC
+GTPGYVAPEVLAQKPYSKAVDCWSIGVITYILLCGYPPFYEETESKLFEKIKEGYYEFES
+PFWDDISESAKDFICHLLEKDPNERYTCEKALRHPWINGNTALHRDIYPSVSLQIQKNFA
+KSKWRQAFNAAAVVHHMRKLHMNLQSPGVRPEAENRPPIPAASRPTSPEITITETATAPG
+PSAAAPTLTRLPCRHGPQPTAPGGRSLNCLVNGSLRISSSLVPMQQGPLAIGPCGCCSSC
+TNVGTKANASYCSEPTLLKKANKKQNFKSEVMVPVKAGGSSHCRVGQTGVCLIM
+>tr|Q0VD10|Q0VD10_BOVIN CD3e molecule associated protein OS=Bos taurus OX=9913 GN=CD3EAP PE=2 SV=1
+MAGTPSGSAARFSCPPNFTATPPASEHSRFSLEALTGPDTELWLIQAPVDFAPDCLNGRL
+VPLSGSQIVKGKLAGKRHRYRVLSSSGPRAGGEATLLAPSAEAGGGLTCALAPQGSLRIF
+ESPQESLTGTLLQSIPASPPPQIPPGLRPRFCAFGGSPPVTGPGSVLALKSLASGKRKKK
+RHLPEASVPQEAVNEHGALEVDTALGSLELDVGKKEKKHQLKELEATEPLATEPVAEMLE
+PPGTLSPSTTRKRKKKPKESVEMVKPETGMPESKEKTVEELEFRVKREPLEETVLSPRKK
+RKKQKEPGEMEPVEGTTVESQLQVKTEPQEEAIPLPSSKKKKKEKRYKGMREPGMEVMEP
+EVKPLELTGEVMEPDLPPDVQAQSEAALGSPKKRRKKEKQQNVMMQPGTEVVEPQAEGME
+PELPGAAEPQAALASTKKKKKERGHLATEPGTEMTNPQGEMMEPELPDEGQPEARADPAS
+TKKRKKQGQKSQVPETAPQEEMPEPPLNPESGQVAPKGQERKRKKKPQQDPM
+>tr|F6PZJ9|F6PZJ9_BOVIN CD58 molecule OS=Bos taurus OX=9913 GN=CD58 PE=4 SV=1
+MAAGSAPGWALGVLGVVYLFLKLDFISCSQEIYGAMNGNVTFYVSKFHPSTEIIWKKEKN
+KVVEWDIQSGLEAFQSFKDRVYLDIGSGNLTITRLTKSDEGLYELESPGVRNKSEFHLKV
+IAPPPSPSTFCSLSDDGNITLTCEIMEAGYDIDGNLLQYSWECPPTVQCHRGLSPSEAYV
+LKKSDLSQNVQCIVSNPLFRTSASISLSTCVPEDNTRHRYVLFAILPAVICGLLFLKCFL
+GRHSQ
+>tr|Q4F894|Q4F894_BOVIN Chloride channel protein OS=Bos taurus OX=9913 GN=CLCN4 PE=2 SV=1
+MVNTGVISGSGNLMDFLDEPFPDVGTYEDFHTIDWLREKSRDTDRHRKITSKSKESIWEF
+IKSLLDAWSGWAVMLLIGLLAGTLAGVIDLAVDWMTDLKEGICLSAFWYSHEQCCWTSNE
+TTFEDRDKCPLWQKWSELLVNQSEGASAYILNYLMYILWALLFAFLAVSLVRVFAPYACG
+SGIPEIKTILSGFIIRGYLGKWTLLIKTVTLVLVVSSGLSLGKEGPLVHVACCCGNFFSS
+LFSKYSKNEGKRREVLSAAAAAGVSVAFGAPIGGVLFSLEEVSYYFPLKTLWRSFFAALV
+AAFTLRSINPFGNSRLVLFYVEYHTPWYMAELFPFILLGVFGGLWGTLFIRCNIAWCRRR
+KTTKLGKYPVLEVIAVTAITAIVAYPNPYTRRSTSELISELFNDCGALESSQLCDYINDP
+NMTRPVDDIPDRPAGVGVYTAIWQLALALIFKIIITIFTFGMKIPSGLFIPSMAVGAMAG
+RMVGIGVEQLAYHHHDWIIFRNWCRPGADCVTPGLYAMVGAAACLGGVTRMTVSLVVIMF
+ELTGGLEYIVPLMAAAVTSKWVADAFGKEGIYEAHIHLNGYPFLDVKDEFTHRTLATDVM
+RPRRGEPPLSVLTQDSMTVEDVETLIKETDYNGFPVVVSRDSERLIGFAQRRELILAIKN
+ARQRQEGIVSDSVMYFTEEPPELPANSPQPLKLRRVLNLSPFTVTDHTPMETVVDIFRKL
+GLRQCLVTRSGRLLGIITKKDVLRHMAQMANQDPESIMFN
+>tr|F1MUK2|F1MUK2_BOVIN Mediator complex subunit 24 OS=Bos taurus OX=9913 GN=MED24 PE=4 SV=3
+MKVVNLKQAILQAWKERWSDYQWAVNMKKFFPKGATWDILNLAEALLEQAMIGPSPNPLI
+LSYLKYAISSQMVSYSSVLTAISKFDDFSRDLCVQALLDIMDMFCDRLSCHGKAEECIGL
+CRALLSALHWLLRCTAASAERLREGLEAGTPAAGEKQLAMCLQRLEKTLSSTKNRALLHI
+AKLEEASSWTAIEHCLLKLGEILANLSNHQLRSQAEQCGTLIRSIPTMLSVHSEQLHKTG
+FPTVHAVVLLEGTMNLTGETQPLVEQLMMVKRMQHIPTPLFILEIWKACFVGLIESPEGT
+GELKWTAFTFLKIPQVLVKLKKYSHGDKDFTEDVNTAFEFLLKLTPLLDKADQRCNCDCT
+NFLLQECSKQGLLSEASMNNLMAKRKADREHAPQLKSDENANIQPNPGLILRAEPTVTNI
+LKTMDADHSKSPEGLLGVLGHMLSGKSLDLLLAAAAATGKLKSFARKFINLNEFTTHRSE
+ESTKAASVRALLFDISFLMLCHVAQTYGSEVILSESNTGGEVFFFETWMQTCMPEEGKIL
+NPDHPCFRPDSTKVESLVALLNNSSEIKLVQMKWHEACLNISAAILEILNAWENGVLAFE
+SIQKITDNIKGKVCSLAVCAVAWLVAHVRMLGLDEREKSLQMIRQLAGPLYSENTLQFYN
+ERVVIMSSILEHMCADVLQQTATQIKFPSTGMDTMPYWNLLPPKRPIKEVLTDIFAKVLE
+KGWVDSRSIHIFDTLLHMGGVYWFCNNLIKELLKETRKEHTLRAVELLYSVFCLDMQQAT
+LVLLGHILPGLLTDSSKWHSLMDPPGTALAKLAVWCALSSYSSHKGQASSRQKKRHREDI
+EDYISLFPLDDMQPSKLMRLLSSNEEDANILSSPTDRSMSSSLSASQLHTVNMRDPLNRV
+LANLFLLISSILGSRTAGPHTQFVQWFMEECVDCLEQGSRGSILQFMPFTTVSELVKVSA
+MSSPKVVLAITDLSLPLGRQVAAKAIAAL
+>tr|Q24K01|Q24K01_BOVIN NudC domain containing 3 OS=Bos taurus OX=9913 GN=NUDCD3 PE=2 SV=1
+MEPGAAELYDQALLGILQHVGNVQDFLRVLFGFLYRKTDFYRLLRHPSDRMGFPPGAAQA
+LVLQVFKTFDHMARQDDEKRRKELEEKIRRKEEEEATAVAAATAEQEPVPAPVQEVEVDS
+TTDSGGPQEPPGPRGTLQEVAVSSGEAEAPGADAGAAEAPREPLALPKRQEQFQRNPDSY
+NGAVRENYTWSQDYTDLELKVPVPKHVVKGKQVSVTLSSSSIRVAVLEGNGERVLMEGKF
+THKINTESSLWSLEPGKCVLVSLNKVGEYWWSAILEGEEQIDIDKINKERSMATVDEEEH
+AVLDRLTFDYHQKLQGKPQSHELKVHEMLKKGWDAEGSPFRGQRFDPAMFNISPGAVQF
+>tr|Q0VD17|Q0VD17_BOVIN TASP1 protein OS=Bos taurus OX=9913 GN=TASP1 PE=2 SV=1
+MTMEKGMSSGEGLPSRSSQVSAVKTTVKELETKQSHKEKRGGFVLVHAGAGYHSESKAKE
+YKHVCKRACQKAIEKLQAGALATDAVTAALVELEDSPFTNAGMGSNLNLLGEIECDASIM
+DGKSLNFGAVGALSGIKNPVSVANRLLCEGQKGKLSAGRIPPCFLVGEGAYRWAVDHGIP
+SCPPSIMTTRFSLAAFKRNKRKLELAERVETDFIQLKKRRQSSEKENDSGPLDTVGAVVV
+DHEGNVAAAVSSGGLALKHPGRVGQAALYGCGCWAENTGAHNPYSTAVSTSGCGEHLVRT
+ILARECSHALQAEDAHQALLETMQNKFISSPFLASEDGVLGGVIVLRSCWCSAEPNSSQN
+KQTLLVEFLWSHTTESMCVGYMSAQDGKAKTHISRLPPGAVAGQSVAIEGGVCRLESPVS
+>tr|A5D9G4|A5D9G4_BOVIN Lysoplasmalogenase-like protein TMEM86A OS=Bos taurus OX=9913 GN=TMEM86A PE=2 SV=1
+MVSPVTVVKSEGPKLVPFFKATCVYFVLWLPSSSPSWVSALIKCLPIFCLWLFLLAHGLG
+FLLTHPSATRIFVGLVFSAIGDAFLIWQDQGYFVHGMLMFAVTHMLYASAFGMRPLGLRT
+GLLMVILSGLCYAFLYPNLTGAFTYIVGVYVAIIGFMGWRAMAGLQLVGAAWRWTELAAG
+TGALLFIVSDLTIALDKFCFPVPYSRALIMSTYYAAQMLIALSAVESREPVEDYRLSKAK
+>tr|A2VE75|A2VE75_BOVIN ATCAY protein OS=Bos taurus OX=9913 GN=ATCAY PE=2 SV=1
+MGTTEATLRMENMDVKEEWHDEALPRPLPEETGMDLLGSPTEDTSSPPNTLNFNGAHRKR
+KTLVAPEINISLDQSEGSLLSDDFLDTPDDLDINVDDIETPDETDSLEFLGNGNELEWED
+DTPVAAAKNMPGDSADLFGDGSAEDGSAANGRLWRTVIIGEQEHRIDLHMIRPYMRVVTH
+GGYYGEGLNAIIVFAACFLPDSSSPDYHYIMENLFLYVISSLELLVAEDYMIVYLNGATP
+RRRMPGIGWLKKCYQMIDRRLRKNLKSLIIVHPSWFIRTVLAISRPFISVKFINKIQYVH
+SLEDLEQLIPMEHVQIPDCVLQYEEERLKARRESARPQPEYVLPRSEEKAEAGLAEDRSA
+LATEDQETRG
+>tr|Q29RN1|Q29RN1_BOVIN Sorbin and SH3 domain containing 3 OS=Bos taurus OX=9913 GN=SORBS3 PE=1 SV=1
+MQAPPYSLPAGLSLDDFIPGHLLAHVGSSTRGTRFHDPAPRTVCNGYFQPRRDASRHPDP
+AWYQTWPGPGSRPPGNQKTPASQHSQKWSATWTKDSKRRDKRWVKYEGIGPVDESGMPIA
+PRSSVDSPRDWYRRMFKQIHRKMPDLQLDWTFEEAPKVDSSCATSADSRHPGPQQRPPAR
+PNQMSPLSGRSWDVSEESLRSTFSCSPGAPSSLHQTSKQVLRRQEKADNVWTEESWNQFL
+QELETGQKPKKPLVDDPVEKPSQPIEVLLERELAKLSAELDKDLRAIETGQPSPKSSQAP
+RRSREPRPPARPASAWSSSSPNALYPSSSLSPHRMADGGSPFLGRRDFVYPSSARDPSAS
+DPGGSPARKEEKKRKAARLKFDFQAQSPKELTLKKGDIVYIHKEVDKNWLEGEHHGRLGI
+FPANYVEVLPADEIPKPIKPPTYQVLEYGEAVAQYNFKGDLEVELSFRKGERICLIRKVN
+EHWYEGRISGTGRQGIFPASYVQVTREPRVRLCDDGPQLPASPRLPTARLVCRPSSPLTP
+RSPADPTDWGVQTSPRRTGFSLPPQESRPQTQSLSTPRSALSHPGGSSHPPDLGTSSSTT
+SEIHWTPYRAMYQYRPQNEDELELREGDRVDVMQQCDDGWFVGVSRRTQKFGTFPGNYVA
+PV
+>tr|A6H7A7|A6H7A7_BOVIN DUSP19 protein OS=Bos taurus OX=9913 GN=DUSP19 PE=2 SV=1
+MHSLNQEIKAFSRNNLRKQCTRVTTLTGKKIIETWKDARIHVVEEVEPSGGGGCGYVQDL
+SLDLKVGVIKPWLLLGSQDAAHDLDTLKRLKVTHILNVAYGVENAFLNDFIYKNISILDL
+PETNILSYFPECFEFIEEAKMKDGVVLVHCNAGVSRAAAIIIGFLMNSEEISFTSAFSLV
+KNARPSICPNAGFLEQLRTYQGGKESNKCDKLQELEEATVPELHSSQ
+>tr|A5D7M0|A5D7M0_BOVIN RB transcriptional corepressor like 2 OS=Bos taurus OX=9913 GN=RBL2 PE=2 SV=1
+MPSGGDQSPPPPPPPPAAAASDEEEEDDGEAEDAAQPSRSPAPQTQQRFDELCSRLNMDE
+AARAEAWESYRSMSESYTLEGNDLHWLACALYVACRKSVPTVSKGTVEGNYVSLTRILRC
+SEQSLIEFFNKMKKWEDMANLPPHFRERTERLERNFTVSAVIFKKYEPIFQDIFKYPQEE
+QPRQQRGRKQRRQPCTVSEVFHFCWVLFIYAKGNFPMISDDLVNSYHLLLCALDLVYGNA
+LQCSNRKELVNPNFKGLSEDFHAKDSKPSSDPPCVIEKLCSLHDGLVLEAKGIKEHFWKP
+YIRKLYEKKLLKGKEENLTGFLEPGNFGESFKAINKAYEEYVLSVGNLDERIFLGEDAEE
+EIGTLSRCLNTGSGAETAERVQMKNILQQHFDKSKALRVSTPLTGVRYIKDSSPCVTPVS
+TATHSLSRLHTMLTGLRNAPSERLEQTLRSCSRDPTQAIANRLKEMYEIYSQHFQSEEDG
+SNCAKDIASKHFRFAEMLYYKVLESVIEQEQKRLGDMDLSGILEQDAFHRSLLACCLEVV
+TFSYKPPGNFPFITEIFDVPLYHFYKVIEVFIRAEDGLCREVVKHLNQIEEQILDHLAWK
+PESPLWDRIRDNENRVPTCEEVMPPQNLERADEICIAGSPLTPRRVSEVRADSGGLGRSI
+SSPTTLYDRYSSPTASSTRRRLFVENDSPTDGGTPGRTPPQPLVNAVPVQNVAGEAVSVT
+PVPGQTLVTMATATVTANNGQTVTIPVQGIANENGGITFFPVQVNVGGQAQAVTGSIQPL
+SAQALAGSLSSQQVTGTTLQVPGQVAIQQISPGGPQQKQGLPLTSSSIRPRKTSSLSLFF
+RKVYHLAGVRLRDLCAKLDISDELRKKIWTCFEFSIIQCPELMMDRHLDQLLMCAIYVMA
+KVTKEDKSFQNIMRCYRTQPQARSQVYRSVLIKGKRRRRNSGSSDSRSHQNSPTELNKDR
+TSRDSSPVMRSSSTLPVPQPSSAPPTPTRLTGANSDVEEEERGDLIQFYNNIYIRQMKTF
+AMKYSQANAMDAPPLSPYPFVRTGSPRRIQLSQNHPVYISPHKNEAMLSPREKIFYYFSN
+SPSKRLREINSMIRTGETPTKKRGILLEDGSESPAKRICPENHSALLRRLQDVANDRGSH
+>tr|E1BLQ3|E1BLQ3_BOVIN Activating transcription factor 5 OS=Bos taurus OX=9913 GN=ATF5 PE=4 SV=2
+MSLLATLGLELDRTLLPASGLGWLVDYGKLPLAPAPLGPYEVLGGALEGGLPGGGEPLAG
+DGFSDWMTERVDFTALLPLEPPLPSGALPPPSPPPPDLEAMASLLKKELEQMEDYFLDAP
+LLPPSSPPPPPPQPPAPAPSLPLPLPLPLPTFDLPQPPSLDTLDLLAIYCRGEAGQGDSG
+LAPLPPPPQAPPPPRPAPYPSPAASRGDRKQKKRDQNKSAALRYRQRKRAEGEALEGECQ
+GLEARNRELRERAESVEREIQYVKDLLIEVYKARSQRTRNS
+>tr|Q1JP62|Q1JP62_BOVIN Solute carrier family 7 (Cationic amino acid transporter, y+ system), member 6 OS=Bos taurus OX=9913 GN=SLC7A6 PE=2 SV=1
+MEARELESPTPTYHLIPEASQPREEEGDCMLPQRATETMQLKKEISLLNGVSLVVGNMIG
+SGIFVSPKGVLVYTASYGLSLVIWAVGGLFSVVGALCYAELGTTITKSGASYAYILEAFG
+GFIAFIRLWASLLIVEPTGQAIIAITFANYIIQPSFPTCEPPYLACRLLAAACMCKSEGL
+VGECVWGVIFIILMVIYI
+>tr|A1Z2Z3|A1Z2Z3_BOVIN Pro-melanin-concentrating hormone OS=Bos taurus OX=9913 GN=PMCH PE=2 SV=1
+MAKMSFSSYILILTFSLLSQGISLSASKSIRNLDDDMVFKTLRLGKAFQKEDTAEKSIVV
+PSLEQYKNDESSFMNDEENKNSKNAGSKHNFLNHGLPLNLAIKPYLALKGSVAFPAENEV
+QNTESTQEKREIGDEENSAKFPIGRRDFDMLRCMLGRVYRPCWQV
+>tr|Q3SZB0|Q3SZB0_BOVIN Galactosylgalactosylxylosylprotein 3-beta-glucuronosyltransferase OS=Bos taurus OX=9913 GN=B3GAT3 PE=2 SV=1
+MKLKLKNVFLAYFLVSIAGLLYALVQLGQPCDCLPPLRAAAEQLRQKDLRISQLQADLRR
+PPPAPAQPPEPEALPTIYVVTPTYARLVQKAELVRLSQTLSLVPRLHWLLVEDAEGPTPL
+VSGLLAASGLLFTHLAVLTPKAQRLREGEPGWVRPRGVEQRNRALDWLRSGGGAVGGEKD
+PPPPGTQGVVYFADDDNTYSRELFEEMRWTRGVSVWPVGLVGGLRFEGPRVQDGRVVGFH
+TAWEPNRPFPVDMAGFAIALSLLLAKPNARFDATAPRGHLESSLLSHLVDPKDLEPRAAN
+CTRVLVWHTRTEKPKMKQEEQLQRQGHGSDPAVEV
+>tr|G3N1W9|G3N1W9_BOVIN PR/SET domain 11 OS=Bos taurus OX=9913 GN=PRDM11 PE=4 SV=2
+MTENMKECLAQTKAAVGEMVTVVKTEVCSPLRDQECGQPCSRRSDPSVMEVEPRKLKGKR
+DLIMPKSFQQVDFWFCESCQEYFVDECPNHGPPVFVSDTPVPVGIPDRAALTIPQGMEVV
+KEASGENDVRCINEVIPKGRIFGPYEGQISTQDKSAGFFSWLIVDKNNRYKSIDGSDETK
+ANWMRNVAPLAERKRKPKFSKEELDILVTEVTHHEAVLFGRETMRLSHADRDKIWEGIAR
+KITSVSQVPRSVKDIKHRWDDMKRRTKDKLAFMQQSLSGPGAGGRAPAIVLTAHERAIES
+ALLTARSGHGFPRVELDGTDSPSTSYDEDEETPGPSRQPLRVPLRPSPEEEACLARPTLL
+RSSSSSDQSETVGPKPEALPRPSPQAACRTPRPHPGPPSAGLDWQLLHAHAQQTEVFRQF
+CQELVAAHRDLASSMQAIGQAMAELSGRVSQACQTLMEIRDGVQASQRGPAGAAPMGPSP
+PAEARPVEPPEAPPVPAPARTTRSRKRKHNF
+>tr|Q08DA6|Q08DA6_BOVIN Neuronal guanine nucleotide exchange factor OS=Bos taurus OX=9913 GN=NGEF PE=2 SV=1
+MELLAAAFNAACAVDHDSSTSESDARDSAAGHLPGSESSSTPGNGATPEEFPALADSPTT
+LTEALQMIHPIPADSWRNLIEQIGLLYQEYRDKSTLQEIETRRQQDAEIQGAADGCPSSE
+EAPEEDEGEEEEPASPPERKALPQICLLSNPHSRFNLWQDLPEIQSSGVLDILQPEEIRL
+QEAMFELITSEASYYKSLNLLVSHFMENERLKKILHPSEAHILFSNVLDVMAVSERFLLE
+LERRMEENIVISDVCDIVYRYAADHFSVYITYVSNQTYQERTYKQLLQEKAAFRELIAQL
+ELDPKCRGLPLSSFLILPFQRITRLKLLVQNILKRVEERSEREGTALDAHKELELVVKAC
+NEGVRKMSRTEQMISIQKKMEFKIKSVPIISHSRWLLKQGELQQMSGPKTSRTLRTKKLF
+REIYLFLFNDLLVICRQIPGDKYQVFDSAPRGLLRAEELEDQGQTLANVFILRLLENSDD
+REATYMLKASSQSEMKRWMTSLAPNRRTKFVSFTSRLLDCPQVQCVHPYVAQQPDELTLE
+LADILNILDKTEDGWIFGERLHDQERGWFPSNMTEEILNPKIRSQNLKECFRVHKMDDPQ
+RSQNKDRRKLGSRNRQ
+>tr|A6H6Z6|A6H6Z6_BOVIN Fas ligand OS=Bos taurus OX=9913 GN=FASLG PE=2 SV=1
+MQQPLNYPYPPIFWVDSSASSPWASPGSVFPCPSSVPGRPGQRRPPPPPPPTLPPPPPLP
+PLPPPPLKKRRDHNTGLCLLVMFFMVLVALVGLGLGIFQLFHLQKELAELRESTSQRHTA
+SSLEKQIGHPSPPSEKKELKKAAHLTGKLNSRSIPLEWEDTYGIALVSGVKYKKGSLVIN
+ETGLYFVYSKVYFRGQSCNNQPLSHKVYSRNFRYPQDMVLMEGKMMNYCTSGKMWARSSY
+LGAVFNLTSADHLYVNVSELSLVSFEESKTFFGLYKL
+>tr|A7YY64|A7YY64_BOVIN Hexosyltransferase OS=Bos taurus OX=9913 GN=B3GNT2 PE=2 SV=1
+MSVGRRRIKLLGILMMVNVFIYLIVEVSKSSSQEKNGKGEVIIPKERFWKISDLPQAYWN
+KEQEKLNRRFNPILNTLANQTGEASGFSNISHLNYCEPDLRVMSVVSGFDSLPDRFKDFL
+LYLRCRNYSLLIDQPDKCAKKPFLLLAIKSLTSHFDRRQAIRESWGKETHVGNQTVVRVF
+LLGQTPAEDNHPDLSDMLKFESEKHQDILLWNYRDTFFNLSLKEVLFLRWVSTSCPNAEF
+VFKGDDDVFVNTHHLLNYLNSLSGNKAKDLFIGDVIHNAGPHRDKKLKYYIPEVVYTGVY
+PPYAGGGGFLYSGHLALRLYNVTDRVLLYPIDDVYTGMCLQKLGLAPERHKGFRTFDIEE
+KSRSNICSYVDLMLVHSRKPQEMIDIWSRLQSAHLKC
+>tr|A4FUC8|A4FUC8_BOVIN CARS protein OS=Bos taurus OX=9913 GN=CARS PE=1 SV=1
+MAGSTTEHAPDYTAILGISDEAARLRALDAYLSTRSYLQGFALSQVDVDAFRQLSGPPAD
+PQLFHVARWFRHVTAILGSPPAARPPCGLQATGGGRRAQPTWSPPAGSEPCQLRLYNSLT
+RRKDVFVPQDGRRVTWYCCGPTVYDASHMGHARSYISFDILRRVLRDYFKFDVFYCMNIT
+DIDDKIIKRARQNFLLERYRETQPQAAQLLEDVRAALQPFSAKLQETVDPDKKQMLERLQ
+HAVAQAAEPLEGALRAGQAGQELDGRVQALLEEAKDVLSDWLDSMHGSEVTDNSIFSELP
+KFWEAEFHKDMEALNVLPPDVLTRVSEYVPEIVDFVQKIVDNGYGYASNGSVYFDTVKFA
+NTEGHSYGKLVPEAVGDQKALHEGEGDLSVSAERLSEKRSPNDFALWKASKPGEPSWPCP
+WGKGRPGWHIECSAMAGTLLGASMDIHGGGFDLRFPHHDNELAQSEAYFENDCWVRYFLH
+TGHLTIAGCKMSKSLKNFITIKDALKKHSARQLRLAFLMHSWKDTLDYSANTMESALQYE
+RLLNEFFLNVKDLLRAPVEVAAQFEKWEDEETELNKSFYKKKAAVHQALCDNIDTRTVLE
+EMRALVGQCNLYMAARKAARRRPHRVLLESIAHYLTHMLKIFGAIEEESSLGFPIGGAGT
+SLNLESTVMPYLQVLSEFREGVRRIAREHRVPEVLQLSDALRDNVLPELGVRLEDHEGLP
+TVVKLVDRDALLREREDKKRAEEEKRKKKEEATRKRQEQEAAKLAKMKIPPSEMFLSESD
+KYSKFDENGLPTHDTEGKELSKGQAKKLRKLFEAQEKLHLEYLQLVQNGGPP
+>tr|Q1RMT3|Q1RMT3_BOVIN 5-formyltetrahydrofolate cyclo-ligase OS=Bos taurus OX=9913 GN=MTHFS PE=2 SV=1
+MAAAVAVSGAKRSLRAELKQRLRALSAEERLRQSHLLAQKVFTHNEYQKSKRVSIFLSMP
+DEIETEEIIKDIFRQGKTCFIPRYQLQSNHMDMVKLASPEEIALLPRTSWNIQQPGEDEV
+LEEALSTGGLDLIFVPGLGFDKQSNRLGRGKGYYDAYLKRCLQSQDVKPYTLALAFKEQI
+CLQVPVNENDVKVDEVLYEDS
+>tr|Q3ZC60|Q3ZC60_BOVIN CD200 molecule OS=Bos taurus OX=9913 GN=CD200 PE=2 SV=1
+MQCLVFRRLVCHLSTFQLIWFLAPLILCRAQVVTQDVRGLLNTPASLRCSLKNPQEVLIV
+TWQKIKAVSPENMITYSENHGVVVQPAYKDKINITQLGLMNSTITFWNTTLEDEACYKCL
+FNTFGSGKISGIACLTLFVQPTVFLHYKLSEDHLNITCSANARPAPMISWKVFGSGIENS
+TEIVSHPNGTTSVTSILQIKDPKTQVGKDVICQVLHLGTVTDYRETVNKGFWFSVPLLLS
+IVSLVILLVLISILLYWKRHRNQDREP
+>tr|Q2KJB8|Q2KJB8_BOVIN Schwannomin interacting protein 1 OS=Bos taurus OX=9913 GN=SCHIP1 PE=2 SV=1
+MNLDSDGMDDIISQESLLDMEGNYKKAQKNERESIRQKLALGSFFDDGPGIYTSCSKSGK
+PSLSSRLQSGMNLQICFVNDSGSDKDSDADDSKTETSLDTPLSPMSKQSSSYSDRDTTEE
+ESESLDDMDFLTRQKKLQAEAKMALAMAKPMAKMQVEVEKQNRKKSPVADLLPHLPHISE
+CLMKRSLKPTDLRDMTLGQLQVIVNDLHSQIESLNEELVQLLLIRDELHTEQDAMLVDIE
+DLTRHAESQQKHLAEKMPAK
+>tr|E1BEL8|E1BEL8_BOVIN Globin B1 OS=Bos taurus OX=9913 GN=HBE1 PE=3 SV=1
+MVHFTAEEKAAITGLWGKVNVEEAGGEALGRLLVVYPWTQRFFDSFGNLSSASAIMGNPK
+VKAHGKKVLTSFGEAIKNLDNLKGAFAKLSELHCDKLHVDPENFRLLGNVIVIILATHFG
+REFTPDVQAAWQKLVSGVATALAHKYH
+>tr|A4IFP9|A4IFP9_BOVIN EID1 protein OS=Bos taurus OX=9913 GN=EID1 PE=2 SV=1
+MSEMNELSELYEESTDLQMDVIPGESDLPHMEVGGGSRELSPNPSRAGAPPQLEEEGPME
+EEAAQPMAEPQGPRGLASRPSPGEQPGQIAGPDFESEDEGEEFDDWEDDYDYPEEEPLSG
+AGYRVSAALEEANKMFLRTSRAREAALDGGFQMHYEKTPFDQLAFIEELFSLMVVNRLTE
+ELGCDEIIDRE
+>tr|A7MB90|A7MB90_BOVIN CSNK1G2 protein OS=Bos taurus OX=9913 GN=CSNK1G2 PE=2 SV=1
+MDFDKKGGKGESEEGRRMSKAAGRASHSVRSSGTSSGVLMVGPNFRVGKKIGCGNFGELR
+LGKNLYTNEYVAIKLEPIKSRAPQLHLEYRFYKQLSAAEGVPQVYYFGPCGKYNAMVLEL
+LGPSLEDLFDLCDRTFTLKTVLMIAIQLITRMEYVHTKSLIYRDVKPENFLVGRPGTKRQ
+HAIHIIDFGLAKEYIDPETKKHIPYREHKSLTGTARYMSINTHLGKEQSRRDDLEALGHM
+FMYFLRGSLPWQGLKADTLKERYQKIGDTKRATPIEVLCESFPEEMATYLRYVRRLDFFE
+KPDYDYLRKLFTDLFDRSGFVFDYEYDWAGKPLPTPIGTAHSDLPAQPQVREKALLYSKN
+QALNSTNGELNADDPTAGHSNAPITAPAEVEVADDTKCCCFFKRRKRKSLQRHK
+>tr|Q2KJ66|Q2KJ66_BOVIN ATPase, H+ transporting, lysosomal 34kDa, V1 subunit D OS=Bos taurus OX=9913 GN=ATP6V1D PE=2 SV=1
+MSGKDRIEIFPSRMAQTIMKARLKGAQTGRNLLKKKSDALTLRFRQILKKIIETKMLMGE
+VMREAAFSLAEAKFTAGDFSTTVIQNVNKAQVKIRAKKDNVAGVTLPVFEHYHEGTDSYE
+LTGLARGGEQLAKLKRNYAKAVELLVELASLQTSFVTLDEAIKITNRRVNAIEHGEFKLP
+FCPRLHPCLRPARTQALAAC
+>tr|A7YW22|A7YW22_BOVIN JAM3 protein OS=Bos taurus OX=9913 GN=JAM3 PE=2 SV=1
+MALRRRPSLVLLLLLVRGCLIGAVNLKSSNRTPVVQEFESVELSCIITDSQTNDPRIEWK
+KIQDEQTTYVFFDNKIQGDLTDRAELLGKTSLKIWNVTRKDSALYRCEVVARNDRKEIDE
+IVIELTVQVKPVAPVCRVPRAVPVGKAATLSCQEGEGFPRPHYSWYRNDVPLPTDSRANP
+RFRNSSFVLNPETGTLVFSAVHKEDSGQYYCIASNDAGSARCEEQDMEVYDLNIGGIIGG
+VLVVLTVLALITGGICCAYRRGYFISHDRSGESYKNPGKPDGVNYIRTDEEGDFRHKSSF
+VI
+>tr|Q17QB4|Q17QB4_BOVIN Phospholipase A2, group XVI OS=Bos taurus OX=9913 GN=PLA2G16 PE=2 SV=1
+MRAPFPEPQPGDLIEIFRPFYRHWAVYIGNGYVVHLAPPSEIPGAGAASLMSALTDKALV
+KKERLCDVVGRDRYHVNNKHDGRYSPLPPSKIIQRAEELVGQEVLYKLTSENCEHFVNEL
+RYGVPRSDQVRDAIMAVGIAGVGLAAMGLIGVMFSRNKK
+>tr|D8KXU9|D8KXU9_BOVIN Fibroblast growth factor OS=Bos taurus OX=9913 GN=FGF9 PE=2 SV=1
+MAPLGEVGNYFGVQDAVPFGNGPVLPVDSPVLLSDHLGQSEAGGLPRGPAVTDLDHLKGI
+LRRRQLYCRTGFHLEIFPNGTIQGTRKDHSRFGILEFISIAVGLVSIRGVDSGLYLGMNE
+KGELYGSEKLTQECVFREQFEENWYNTYSSNLYKHVDTGRRFYVALNKDGTPREGTRTKR
+HQKFTHFLPRPVDPDKVPELYKDILSQS
+>tr|Q0V8F4|Q0V8F4_BOVIN G protein signaling modulator 1 OS=Bos taurus OX=9913 GN=GPSM1 PE=2 SV=1
+MEASCLELALEGERLCKAGDFKAGVAFFEAAVQVGTEDLKTLSAIYSQLGNAYFYLKEYA
+RALEYHKHDLLLARTIGDRMGEAKASGNLGNTLKVLGRFDEAVVCCPPPPPTPRGVSEAS
+SLGPPVTGISVLWKLSLVC
+>tr|F1MZ12|F1MZ12_BOVIN Galectin OS=Bos taurus OX=9913 GN=LGALS9 PE=2 SV=1
+MAFGGAQASYINPVVPFTGMIQGGLQDGHKITIIGAVLPSGGNRFAVNLQTGYNDSDIAF
+HFNPRFEEGGYVVCNTKQRGSWGTEERKMHMPFQRGCSFELCFQVQSSEFRVMVNGNLFT
+QYAHRVPFHRIDAISITGVVQLSSISFQNIRAAPKQPACSKVQFSQAVCLPPRPRGRKSN
+PPGIWPANSAPIAQTFVHTIHSAPGQMFPNPVIPPAVYPNPVYQLPFFTSILGGLYPSKS
+ILVSGTILPSAQRFYINLRSGSDIAFHLNPRFNENAVVRNTQINGSWGSEERSLPRGMPF
+FRGQSFSVWIMCEGHCFKVAVDSQHLFEYHHRLKNLPAINNLEVGGDIQLTHVQT
+>tr|A0A3Q1M8P1|A0A3Q1M8P1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MSAPTPPRLLDLAGRHLLRAEDLDVSTLESVPTELFPPLFLEAFDGYRTETLKAMVQTWP
+FVRLPLGALIDLPHVGPLQAVLEALDVLLAQKVRSRRCKLRVLDLRKTGQNFWSMWSGAS
+SYGCSGSRMAAVAEPRSMTRQPSTPLKVFLDLCLKKRTLDNFLTYFLRWVEQRKSSIHLC
+CKKLKIVSMPMDKIVKVLSAVQLDCIQEVQVSCTWSLSTLATLAPFLGEMSNLQRLHLSH
+VHVSAFKKQEHDHVVQITSQFRRLGHLRDLHLESPSFLEGCLDQMLRCLRSPLDSLSITK
+CRLTESDLTHVSQSPDISQLKSLDLSGVPMTDFRPELLQVLLEKVAATLQEVDLDACGIT
+DSQLEAFLPALSRCSQLRAVSLCGNLLSAAVLEKLLRHTAVLPCLRQERYPAPQESYRPR
+GVLLEARLARLRAQLLEILRDLGLSLFCVEHLLCVCVCVCVCARVCVCTCVCVCVWEGGG
+MH
+>tr|F6RJN8|F6RJN8_BOVIN Decaprenyl diphosphate synthase subunit 1 OS=Bos taurus OX=9913 GN=PDSS1 PE=2 SV=2
+MASCWWPWRWRRGCSWRPAARSPGPNSAGRAALYAPRAAAVAAAATVAVARAQMSYCNLM
+KSLTSAFRNMYGVSRFHHTTPVACCFSETLSGEKYTDPFKIGWRDLKGLYEDIRKELFIS
+TAELREMSEYHFDGKGKAFRPIIVVLMARACNIHHNNARNVQASQRAIALIAEMIHTASL
+VHDDIIDDASSRRGKHTVNKIWGEKKAVLAGDLILSAASIALARIGNTTVISILTQVIED
+LVRGEFLQLGSKENENERFAHYLEKTFKKTASLIANSCKAVSVLGCPDPAVHEIAYQYGK
+NVGIAFQLIDDVLDFTSCSEQMGKPTSADLKLGLATGPVLFACQQFPEMNAMIMRRFGSP
+GDVDRALQYVLQSDGVQQTTYLAQRYCHKAVSEISKLRPSPERDALIQLSEMVLTRDK
+>tr|G3MWG5|G3MWG5_BOVIN RING-type domain-containing protein OS=Bos taurus OX=9913 GN=XIAP PE=4 SV=1
+MTFNSFEGSKTCVPADIDKDEEFVEEFNRLKTFANFPSSSPVSASTLARAGFLYTGEGDT
+VRCFSCHAAVDRWQYGDSAIGRHRRVSPNCRFINGFYFENNAAQPTYSGVQNGQYKAENY
+LGNRNHFVLERPSETHAHYLLRTGQVVDLSDTVYPRNPVMCSEEARLKSFHNWPDYAHLT
+PRELARAGLYYTGIDDQVQCFCCGGKLKNWEPCDRAWSEHRRHFPNCFFVLGRNINMQSE
+SSVVSSDRNFPNSTNAPINPAMADYEARIITFGTWMYSVNKEQLARAGFYALGEGDKVQC
+FHCGGGLNDWKPSEDPWEQHAKWFPGCKYLLEEKGEEYVNNIHLTHSIEESLGRTVERAP
+LLTEIIDDTIFQNPMVQEAIRMGFSFKDIKKTMEGKIQTSGSNYKSLEVLVADLVNAQKD
+NTQDESSQTSLQKDISTEEQLRLLQEEKLCKICMDRNIAVVFIPCGHLVTCKQCAEAVDK
+CPMCYTAITLRQKIFMS
+>tr|A0A3Q1MD67|A0A3Q1MD67_BOVIN TBC1 domain family member 24 OS=Bos taurus OX=9913 GN=TBC1D24 PE=4 SV=1
+MHPPAYNCFVDRDKMDSAIPDLGPKELSCTELQELKQLARQGYWARSYALRGQVYQRLIR
+DIPCRTVTPDASVYRDIVGKIVGKHSSASLPLPEFVDNTQVPSYCLNSKGEGAVRKILLC
+ISNQFPDVSFCPALPAVVALLLHYSADEAECFEKACRILACNDSSRKLVDQSFLAFESSC
+MTFGDLVNKYCQAAHKLMVAVSEDVLQVYADWQRWLFGELPLSYFARVFDVFLVEGYKVL
+YRVALAILKFFHKVRAGQPLESDNVKQDIRAFVRDIAKTVSPEKLLEKAFAIRLFSRKEI
+QLLQMANEKALKQKGITVKQKSVSLSKRQFVHLAVHADNFHSEIVGVKEMRDIWSWVPER
+FALCQPLLLFSSLQHGYSLTRFYFQCEGREPTVLLIKTTQKEVCGAYLSTDWSERNKFGG
+KLGFFGTGECFVFRLQPEVQRYEWVVIKHPELTKPAPLEPTTVPPSPSHSVSSEPADRLS
+PFLATRHFNLPSKTESLFMAGGSDCLIIGGCRPPRLAGSCLSTVT
+>tr|Q0IIF4|Q0IIF4_BOVIN Similar to C04F5.8 OS=Bos taurus OX=9913 GN=MGC142695 PE=2 SV=1
+MEFRAARLAVLQLLRTVAPADLPALLQWMRTTRDFDEFTQDNNDIMLKNIAEDLRNCLPL
+ETMLSSEHLALQKIQQQPEPTVHVDAFLYDEDFIDSLCEEGKMSRNYCVVCGSHQTAPLG
+FISHSFSLMELKFIYHHVLPDLSGKVLVDVGSRLGTVLYGGYLYSSASQLYGVELNGDFC
+QLQEMVIKKYQFTDRIKVLHADICTQGSLLQNADVIIMNNVFEYFLNEAEQARAWEYICH
+NVRKQGSLLVTVPGLEDSLSGLQVNIQLSPWVEEIPLNYDVFPEKDIDREALEQIHLYKI
+L
+>tr|A0A3Q1M6Y9|A0A3Q1M6Y9_BOVIN Rho GTPase activating protein 12 OS=Bos taurus OX=9913 GN=ARHGAP12 PE=4 SV=1
+MADRGGKALPGPVYIEVEYDYEYEAKDRKIVIKQGERYLLVKKTNDDWWQVKPDESSKAF
+YVPAQYVKEVGRRALMPPVKPAAGLPNHSVKTAQSLHLQRSSENVNRLPELSSFGKTSSS
+SVQGTGLVRDANQNFGPTYIPGQTINLSLDLTHNNGKLNSDSHSPKVPGQNRTRLFGHFP
+GPEFLEVEKPGFPQEQSCDSVGEGSERIQQDSESGDELSSSSTEQIRATTPPNQGRPDSP
+VYANLQELKISQSALPPLPGSPAIQINGEWETHKDSSGRCYYYNRGTQERTWKPPRWTRD
+TNTSKGDSQSPGDQELFSSEENYHSICHSQSDSQCGSPPRGWSEELDERGHTLYTSDYTN
+EKWLKHVDDQGRQYYYSADGSRSEWELPKYNASSQQQREIIKSRSLDRRLQEPIVLSKWR
+HSTIVLDANDKESPSASKPCYPEHESSPSSPKHQDTGQEKYGLLNVTKITENGKKVRKNW
+LSSWAVLQGSSLLFTKTQGSSTSWFGSNQSKPEFTVDLKGATIEMASKDKSSKKNVFELK
+TRQGTELLIQSDNDTVINDWFKVLSSTISNQTVEPDEAIEEEIPDSPGIEKHDKEKDQKE
+PKKLRSAKVSSIDSSEQKKTKKNLKKFLTRRPTLQAVREKGYIKDQVFGANLSNLCQREN
+STVPKFVKLCIEHVEQYGLDVDGIYRVSGNLAVIQKLRFAVNHDEKLDLKDSKWEDIHVI
+TGALKMFFRELPEPLFTFNHFNDFVNAIKQEPRQRVSAVKDLIKQLPKPNQDTMQILFRH
+LKRVIENGEKNRMTYQSIAIVFGPTLLKPEKETGNIAVHTVYQNQIVELILLEINSIFGR
+>tr|Q0P5K9|Q0P5K9_BOVIN Chromosome 3 open reading frame 19 ortholog OS=Bos taurus OX=9913 GN=CCDC174 PE=2 SV=1
+MDRRKKPLDVTASSLVDLKAELFRKQEEFKQEKLLKDAGVLGKPKPTNKKPSIWSKQNAG
+VSNRAEKDAEQKIEEQKTLDKAREKLEEKAKLYEKMTKGDFIDEEVEDMYLVDFTQKMID
+KQKEMEAIGASRGYRKTEERDDDEGALSEKDIPPPQDPSEEWVDYVDSLGRSRRCMRKDL
+PDLLEMDKNLQGRLFVSPANEKTLLSEDMRKELQRQQWEEEEREALRRPMGPVHYEDIRE
+NEARQLGVGYFAFARDKELRNKQMKTLEMLREQTTDQRTKRENIKEKRKAILEARLAKLR
+QKKMKKSKEDGAEEENRDGDVIGPLPPEPEVTPAPRTAAQSSKVEVIVQERKDTRPGVPH
+VREWDRGKDFSFGYWSKRQSDLRAERDPEFAPPSYYFVDQKRTESLSSQAWSRPAPAQRD
+PGQHSDQSHDPCASQTSSAPAPSSPPQAPTVTFETLDDMISYYKQVT
+>tr|A5PJS3|A5PJS3_BOVIN DBR1 protein OS=Bos taurus OX=9913 GN=DBR1 PE=2 SV=1
+MRVAVAGCCHGELDKIYETLALAERRGPGRIDLLLCCGDFQAVRNEADLRCMAVPPKYRH
+MQTFYRYYSGEKKAPVLTIFIGGNHEASNHLQELPYGGWVAPNIYYLGLAGVVKYRGVRI
+GGISGIFKSHDYRKGHFECPPYNAATVRSIYHVRNIEVYKLKQLKQPMDIFLSHDWPRSI
+YHYGNKKQLLKTKSFFRQEVENNTLGSPAASELLEHLKPTYWFSAHLHVKFAALMQHQTM
+DKGQSTKATKFLALDKCLPHRDFLQVIEVEHDPSAPDYLEYDAEWLTVLRATDDLINVTE
+RLWNMPENNGLHTRWDYSATKEAINEVLEKLNHDLKVPNNFSITAACYDPSKPQTQMQLV
+HRISPQTTEFCAQLGITDINVRLQKAKEDQHLCGDYEGQDDTEGNDSGEDPSEYNTDTSA
+LSSINPDEIMLDEEEEYDDSIVSAHSDTNTPSVEPSCDQASDFSASFSDIRILPSSMTVS
+SDDTSGSPVCKEGNSGDPVDLGDGKDLTTVPLKRLSDEHEPEQRKKIKRRNQAIYAAVDD
+NDTA
+>tr|Q1RMM6|Q1RMM6_BOVIN Coenzyme Q10 homolog A (S. cerevisiae) OS=Bos taurus OX=9913 GN=COQ10A PE=2 SV=1
+MASAGARRLPAGTRAAARGCCGLSLRPAPPPGPPRPLGPMRFPISCSFFLPRAPQVLATE
+SGLPPSRSFMGFAAPFTNKRKAYSERRIMGYSMQEMYEVVANVQEYREFVPWCKKSLVVS
+SRKGHLKAQLEVGFPPVVERYTSAVSMVKPHMVKAVCTDGKLFNHLETIWRFSPGIPAYP
+RTCTVDFSISFEFRSLLHSQLATIFFDEVVKKNVAAFERRAATKFGPETAVPRELMFHEV
+HQA
+>tr|A6QLV5|A6QLV5_BOVIN ZFAND3 protein OS=Bos taurus OX=9913 GN=ZFAND3 PE=2 SV=1
+MGDAGSERSKAPSLPPRCPCGFWGSSKTMNLCSKCFADFQKKQPDDDSTPSTSNSQSDLF
+SEETTSDNKNTSLTTPTLSPSQQPLPTELNVTAPSKEECGPCTDTAHVSLITPTKRSCGT
+DSQSENEASPVKRPRLLENTERSEEASRSKQKSRRRCFQCQTKLELVQQELGSCRCGYVF
+CMLHRLPEQHDCTFDHMGRGREEAIMKMVKLDRKVGRSCQRIGEGCS
+>tr|A7Z051|A7Z051_BOVIN Protein phosphatase 6 regulatory subunit 3 OS=Bos taurus OX=9913 GN=PPP6R3 PE=1 SV=1
+MFWKFDLHSSSHIDTLLEREDVTLKELMDEEDVLQECKAQNRKLIEFLLKAECLEDLVSF
+IIEEPPQDMDEKIRYKYPNISCELLTSDVSQMNDRLGEDESLLMKLYSFLLNDSPLNPLL
+ASFFSKVLSILISRKPEQIVDFLKKKRDFVDLIIKHIGTSAIMDLLLRLLTCIEPPQPRQ
+EVLNWLNEEKIIQRLVEIVHPSQEEDRHSNAAQSLCEIVRLSRDQMLQVQSSAEPDPLLA
+TLEKQEIIEQLLSNIFHTEKNESAIVSAIQILLTLLETRRPTFEGHIEICPPGMSHSACS
+VNKSVLEAIRGRLGSFHELLLEPPKKSVMKTTWGVLDPPVGNTRLSVVRLVSSLLQTNTS
+SINGDLMELNSIGVILDMFFKYTWNNFLHTQVEICIALILASPFENTENSTITDQDSAGD
+NLLLKHLFQKCQLIERILDAWEMNEKKQAEGGRRHGYMGHLTRIANCIVHSAEKGPSSAL
+VQQLVRDLPDEVRERWETFCTSSLGETNKRNTVDLVTTCHIHSSSDDEIDFKETGFSQDS
+SLQQAFSDYQMQQMTSNFIDQFGFNDEKFADQDDIGNVSFDRVSDINFTLNTNESGNIAL
+FEACCKERIQQFDDGGSDEEDIWEEKHIAFTPESQRRSSSGSTDSEESTDSEEEDGAKQD
+LFESSTANTEDKMEVDLSEPPNWSANFDVPMETTHGAPLDSVGSDVWSTEEPMPTKETGW
+ASFSEFTSSLSTKDALRSNSPVEMETSSEPMDPLTPSVAALAVQPEAPGSVAMEASSDGE
+EDAESTDKVTETVMNGGMKETLSLTVDAKTETAVFKSEEGKLSTSQDAACKDVEESPETA
+EGKSVAPRPPSSSPEQRTEQLSVPGDVAVNGPV
+>tr|F1MZT5|F1MZT5_BOVIN HEN methyltransferase 1 OS=Bos taurus OX=9913 GN=HENMT1 PE=4 SV=2
+MECNSVVDGNVEEVPSKKVIKFNPPLYKQRYQFVKNLVEQHQPKKVADLGCGDVCLLAIL
+KYQKCVEELVGVDINEGRLKWNGSRLSPCVGDHLDPRELDLAITLYHGSVLEKDCRLLGF
+DLAACIELIEHFDSEDLAKFPEVVFGYMCPAMIVISTPNSEFNSLFPCAVFRDSDHKFEW
+SRMQFQTWALDVASRYNYSVEFTGVGEPPTGAEDVGYCTQIGVFRRKAEAAELAVLEHHG
+EHVYEVVYTTSYPSLQQINYRRRVVIYLVYREVSRLKQKYQVSLRQHELEPEFIAPGNQT
+GKFTSDLPVPVLTEDDKAMEIAPQPFCIEDKFYVPLQRIIAYPRLGHLCGNVEKLREFLG
+DVIELNCDGSAVKVDLNDCSDF
+>tr|A7MB72|A7MB72_BOVIN MGC166429 protein OS=Bos taurus OX=9913 GN=MGC166429 PE=2 SV=1
+MVSTAVQEFYKKYTEEVLSAADPSQPPPPPLQHFLEQPVERVQQYQALLKELIRNKARNG
+QNCALLEQAYAVVSALPQRAENQLHVSLMENYPGTLEALGEPIRQGHFIVWEGAPGARMP
+WKGHHRHVFLFRNHLVVCKPRRDSRTDTFSYVFRNMMKLSSIDLNDQVEGDDRAFEIWHE
+REDSVRKYLLQARTVITKNSWVKEICGIQQRLALPIWRPPDFEEELADCTAELGETVKLA
+CRVTGTPKPIVSWYKDGKPVEVDPHHILIEDPDGSCALILDNLTGADSGQYMCFAASAAG
+NASTLGKILVQVPPRFVNKVRAMPFVDGEDAQITCTIEGAPYPQIRWYKDGALLTPGGKY
+QTLSEPRSGLLVLEIRAAGTEDLGIYECELVNRLGSKRSRAELLMQSPVLQAREQHRVER
+LAAVEVTEQETKVPKKTVIIEETITTVVKSPRGRRQSPSKSPSRYGHPTSLPRPGLLAPE
+LPPSPEASRPSRPEAEPGRRPAVPTLFVTEPETHTAGPPGGTRPPPKWVEVEETIEVRVK
+KTGSKGASLARETPGSSEQLHFTLPGRVSGRDPNTNNSNNKLLTQEPPAAVTVGEPLIVC
+VETGPESPEPSPPWGAEEGALLEEGERDAYEVEEPLGADGLQGRDPKILTHHGRALTLAD
+LEDYVPREGETFGCSGPRPSAADDPPCEISVLQREISEPTVGQPVLLNVGRPPDSRAPPG
+CFGRMPRSREAFPSGPQGRGPTGVSFCFREAQAGGAATQKPSFCTQVQRSADSGQSSFKT
+EVSTQTVSFGTVGETVTLHIRPDRDMDPSPSQG
+>tr|A2VDT8|A2VDT8_BOVIN Hermansky-Pudlak syndrome 6 protein homolog OS=Bos taurus OX=9913 GN=HPS6 PE=2 SV=1
+MKRAGTLRLLSDLSTFSGAARLRELLAGDPAVRVRCSPDGRHLLLLRPPGSPAPQLLVAV
+RGPGEELESAWPPGQPSPLDAFLLPWRARSALVLVWESGLLEVWGSGVGPGWRLLQSTEL
+CPGGGARVVAAAAPRGRLVWCEERPAGTEGQLGPPAVAFSHCVCVRTLEPSGEAGTNLGS
+THILLHHCPAFGLLTSRKDLFLVPTATTWPGLGHILLIWSPSKGKVVVAAPCLGLSHSKS
+LNPGRGDTWDFRTLLRGLPGLLSPRQPLTVHTWAPTPQGLMWLDFRGTVSLVQPHGGSRT
+VGTLQEAPASLAGSAALGTFHGTLACVLGSTLELLDMGSGQLLERKILSTDRVHLLEPPA
+PGMEDQEELETRGGLRLLSAVGLFQVGWKTPQSLELPSAEDMVFEEACKYYQRRSLRGAQ
+LTPEELRHNSIFRAPQALASILQGHVSPSALLTTLRAELRDYRGLEQLKAQLVAGDEEEA
+GWTELAEHEVARLLRTDLMGDQLAQLNTIFQALPTAAWGAILRVLQLQPDGNGHLRSQAP
+PDVWKKVLGVTAAGKEPPNGILPPFELLCQCLCRLEPQWLPPFVELAQQQGGPGWGAGGP
+GLPLYRRALAVLGEEGTRPEALELDLLLGSGRPKAVLQAVGQLVQKEQWERALEAGLALS
+PSSPLLRSEIFKLLLAEFARHRRLDAHLPLLCRLCPPDLAPAELLLLLRTYLPDELEPPA
+PFPEPGAEPPLTVGLLRALLKQTGTQGRASGPVLSLYEDILWDPDTPPPTPPRVSALQAS
+DHPGLEAWAPSGQGLYVTDTG
+>tr|A0A3Q1LV73|A0A3Q1LV73_BOVIN PDZ domain-containing protein OS=Bos taurus OX=9913 GN=AHNAK2 PE=1 SV=1
+MSRLESTQDGTEVTLKTDVEAGASGYSVTGGGDQGIFVKQVLKDSSAAKLFSLREGDQLL
+STTIFFDNIKYEDALKILQYSEPYKVQFKIRRKLPAGVAEPGPKVGEEQDQDVADGCTET
+PTKTLQGDGDQQRLLPTPREGRGRRAQRERLSWPKFQALKGKRGPRRSHSSSEAYERGQG
+PDLSPTSTDTEARLPAEEQAQEEEPGSQRRRRFPSLRLRVGSGKGPSGRGDQGGAGPAEL
+LEEAGPSEAEQEAIGVAEEAEGPKEPALPGQGPTGEGAGPAPRLRRKKTQARGPQETLAE
+EQTEAGTAPGETREGAAEDTQGPQLGTARLSLKGSADQGTYQNGQPEFRIRITSLKTPKF
+KVAREGVPEEAEGTAPVRWGSWWTRVSTDDSRAAGDDEGDTESQTMTRPQETQRTKREGG
+GEEDAEQKQGDDSREGEQKTEETEGRTRILKFKLPSFGWSPAKEGKGEKTTQIQETDKQK
+QTSVTGGTIDVEEKGKDGHGRDSRFKFKMPSFGVSAPSKAVEAAVDVSLPKAQAEATLPS
+VQADVKPSEVRVELPAAELEVKAAEVGVKLPEGHLPEAELKEGAAGVGIKAHLPKVQMPS
+VKLPKVDIKAPQVDIKGPKLDLKGAKAEVAAPDVEVSLPSVEVDTLAPGAKLEGDLSLGD
+KEVAARDSKFKMPKFKMPSFGVSAPSKAVEAAVDVSLPKAQAEATLPSVQADVKPSEVRV
+ELPAAELEVKAAEVGVKLPEGHLPEAELKEGAAGVGIKAHLPKVQMPSVKLPKVDIKAPQ
+VDIKGPKLDLKGAKAEVAAPDVEVSLPSVEVDTLAPGAKLEGDLSLGDKEVAARDSKFKM
+PKFKMPSFGVSAPSKAVEAAVDVSLPKAQAEATLPSVQADVKPSEVRVELPAAQLEVKAA
+EVGVKLPEGHLPEAELKEGAAGVGIKAHLPKVQMPSVKLPKVDIKAPQVDIKGPKLDLKG
+AKAEVAAPDVEVSLPSVEVDTQAPGAKLEGDLSLGDKEVAARDSKFKMPKFKMPSFGVSA
+PSKAVEAAVDVSLPKAQAEATLPSVQADVKPSEVRVELPAAELEVKAAEVGVKLPEGHLP
+EAELKEGAAGVGIKAHLPKVQMPSVKLPKVDIKAPQVDIKGPKLDLKGAKAEVAAPDVEV
+SLPSVEVDTQAPGAKLEGDLSLGDKEVAARDSKFKMPKFKMPSFGVSAPSKAVEAAVDVS
+LPKAQAEATLPSVQADVKPSEVRVELPAAQLEVKAAEVGVKLPEGHLPEAELKEGAAGVG
+IKAHLPKVQMPSVKLPKVDIKAPQVDIKGPKLDLKGAKAEVAAPDVEVSLPSVEVDTQAP
+GAKLEGDLSLGDKEVAARDSKFKMPKFKMPSFGVSAPSKAVEAAVDVSLPKAQAEATLPS
+VQADVKPSEVRVELPAAELEVKAAEVGVKLPEGHLPEAELKEGAAGVGIKAHLPKVQMPS
+VKLPKVDIKAPQVDIKGPKLDLKGAKAEVAAPDVEVSLPSVEVDTQAPGAKLEGDLSLGD
+KEVAARDSKFKMPKFKMPSFGVSAPSKAVEAAVDVSLPKAQAEATLPSVQADVKPSEVRV
+ELPAAQLEVKAAEVGVKLPEGHLPEAELKEGAAGVGIKAHLPKVQMPSVKLPKVDIKAPQ
+VDIKGPKLDLKGAKAEVAAPDVEVSLPSVEVDTLAPGAKLEGDLSLGDKEVAARDSKFKM
+PKFKMPSFGVSAPSKAVEAAVDVSLPKAQAEATLPSVQADVKPSEVRVELPAAQLEVKAA
+EVGVKLPEGHLPEAELKEGAAGVRIKAHLPKVQMPSVKLPKVDIKAPQVDIKGPKLDLKG
+AKAEVAAPDVEVSLPSVEVDTQAPGAKLEGDLSLGDKEVAARDSKFKMPKFKMPSFGVSA
+PSKAVEAAVDVSLPKAQAEATLPSVQADVKPSEVRVELPAAELEVKAAEVGVKLPEGHLP
+EAELKEGAAGVGIKAHLPKVQMPSVKLPKVDIKAPQVDIKGPKLDLKGAKAEVAAPDVEV
+SLPSVEVDTQAPGAKLEGDLSLGDKEVAARDSKFKMPKFKMPSFGVSAPSKAVEAAVDVS
+LPKAQAEATLPSVQADVKPSEVRVELPAAQLEVKAAEVGVKLPEGHLPEAELKEGAAGVG
+IKAHLPKVQMPSVKLPKVDIKAPQVDIKGPKLDLKGAKAEVAAPDVEVSLPSVEVDTQAP
+GAKLEGDLSLGDKEVAARDSKFKMPKFKMPSFGVSAPSKAVEAAVDVSLPKAQAEATLPS
+VQADVKPSEVRVELPAAELEVKAAEVGVKLPEGHLPEAELKEGAAGVGIKAHLPKVQMPS
+VKLPKVDIKAPQVDIKGPKLDLKGAKAEVAAPDVEVSLPSVEVDTQAPGAKLEGDLSLGD
+KEVAARDSKFKMPKFKMPSFGVSAPSKAVEAAVDVSLPKAQAEATLPSVQADVKPSEVRV
+ELPAAQLEVKAAEVGVKLPEGHLPEAELKEGAAGVGIKAHLPKVQMPSVKLPKVDIKAPQ
+VDIKGPKLDLKGAKAEVAAPDVEVSLPSVEVDTQAPGAKLEGDLSLGDKEVAARDSKFKM
+PKFKMPSFGVSAPSKAVEAAVDVSLPKAQAEATLPSVQADVKPSEVRVELPAAQLEVKAA
+EVGVKLPEGHLPEAELKEGAAGVGIKAHLPKVQMPSVKLPKVDIKAPQVDIKGPKLDLKG
+AKAEVAAPDVEVSLPSVEVDTQAPGAKLEGDLSLGDKEVAARDSKFKMPKFKMPSFGVSA
+PSKAVEAAVDVSLPKAQAEATLPSVQADVKPSEVRVELPAAQLEVKAAEVGVKLPEGHLP
+EAELKEGAAGVGIKAHLPKVQMPSVKLPKVDIKAPQVDIKGPKLDLKGAKAEVAAPDVEV
+SLPSVEVDTQAPGAKLEGDLSLGDKEVAARDSKFKMPKFKMPSFGVSAPSKAVEAAVDVS
+LPKAQAEATLPSVQADVKPSEVRVELPAAELEVKAAEVGVKLPEGHLPEAELKEGAAGVG
+IKAHLPKVQMPSVKLPKVDIKAPQVDIKGPKLDLKGAKAEVAAPDVEVSLPSVEVDTQAP
+GAKLEGDLSLGDKEVAARDSKFKMPKFKMPSFGVSAPSKAVEAAVDVSLPKAQAEATLPS
+VQADVKPSEVRVELPAAELEVKAAEVGVKLPEGHLPEAELKEGAAGVGIKAHLPKVQMPS
+VKLPKVDIKAPQVDIKGPKLDLKGAKAEVAAPDVEVSLPSVEVDTQAPGAKLEGDLSLGD
+KEVAARDSKFKMPKFKMPSFGVSAPSKAVEAAVDVSLPKAQAEATLPSVQADVKPSEVRV
+ELPAAELEVKAAEVGVKLPEGHLPEAELKEGAAGVGIKAHLPKVQMPSVKLPKVDIKAPQ
+VDIKGPKLDLKGAKAEVAAPDVEVSLPSVEVDTQAPGAKLEGDLSLGDKEVAARDSKFKM
+PKFKMPSFGVSAPSKAVEAAVDVSLPKAQAEATLPSVQADVKPSEVRVELPAAELEVKAA
+EVGVKLPEGHLPEAELKEGAAGVGIKAHLPKVQMPSVKLPKVDIKAPQVDIKGPKLDLKG
+AKAEVAAPDVEVSLPSVEVDTQAPGAKLEGDLSLGDKEVAARDSKFKMPKFKMPSFGVSA
+PSKAVEAAVDVSLPKAQAEATLPSVQADVKPSEVRVELPAAELEVKAAEVGVKLPEGHLP
+EAELKEGAAGVGIKAHLPKVQMPSVKLPKVDIKAPQVDIKGPKLDLKGAKAEVAAPDVEV
+SLPSVEVDTQAPGAKLEGDLSLGDKEVAARDSKFKMPKFKMPSFGVSAPSKAVEAAVDVS
+LPKTQAEATLPSVQADVKPSEVRVELPAAELEVKAAEVGVKLPEGHLPEAELKEGAAGVG
+IKAHLPKVQMPSVKLPKVDIKAPQVDIKGPKLDLKGAKAEVAAPDVEVSLPSVEVDTLAP
+GAKLEGDLSLGDKEVAARDSKFKMPKFKMPSFGVSAPSKAVEAAVDVSLPKAQAEATLPS
+VQANVKPSEVRVELPAAQLEVKAAEVGVKLPEGHLPEAELKEGAAGVGIKAHLPKVQMPS
+VKLPKVDIKAPQVDIKGPKLDLKGAKAEVAAPDVEVSLPSVEVDTQAPGAKLEGDLSLGD
+KEVAARDSKFKMPKFKMPSFGVSAPSKAVEAAVDVSLPKAQAEATLPSVQADVKPSEVRV
+ELPAAELEVKAAEVGVKLPEGHLPEAELKEGAAGVGIKAHLPKVQMPSVKLPKVDIKAPQ
+VDIKGPKLDLKGAKAEVAAPDVEVSLPSVEVDTQAPGAKLEGDLSLGDKEVAARDSKFKM
+PKFKMPSFGVSAPSKAVEAAVDVSLPKAQAEATLPSVQADVKPSEVRVELPAAQLEVKAA
+EVGVKLPEGHLPEAELKEGAAGVGIKAHLPKVQMPSVKLPKVDIKAPQVDIKGPKLDLKG
+AKAEVAAPDVEVSLPSVEVDTQAPGAKLEGDLSLGDKEVAARDSKFKMPKFKMPSFGVSA
+PSKAVEAAVDVSLPKAQAEATLPSVQADVKPSEVRVELPAAELEVKAAEVGVKLPEGHLP
+EAELKEGAAGVGIKAHLPKVQMPSVKLPKVDIKAPQVDIKGPKLDLKGAKAEVAAPDVEV
+SLLSVEVDTQAPGAKLEGDLSLGDKEVAARDSKFKMPKFKMPSFSVSAPSKAVEAAVDVS
+LPKAQAEATLPSVQADVKPSEVRVELPAAELEVKAAEVGVKLPEGHLPEAELKEGAAGVG
+IKAHLPKVQMPSVKLPKVDIKAPQVDIKGPKLDLKGAKAEVAAPDVEVSLPSVEVDTQAP
+GAKLEGDLSLGDKEVAARDSKFKMPKFKMPSFGVSAPSKAVEAAVDVSLPKTQAEATLPS
+VQADVKPSEVRVELPAAELEVKAAEVGVKLPEGHLPEAELKEGAAGVGIKAHLPKVQMPS
+VKLPKVDIKAPQADIKGPKLDLKGAKAEVAAPDVEVSLPSVEVDTQAPGAKLEGDLSLGD
+KEVAARGRTFKTEDDVKIHVRKPHFKFPALFSSPGKSLRSSTLVEGDPQLSLPSSVSDVD
+PALPDVSSHKLSLPCPRVGWPGTSSGSLDVSSSQAESSAVPPEAVTLIKYQMPVPDAPHF
+PPEIPSCSQDDRESPATLPPDESFPRPPGSPAGPVDPLFLASYGRVTFPKFHRPKFGFSS
+PEAADSAVDVQAAERPPALCLPSDAQGLDSARGLPLADRSQGHGSGDVSAGLPHGEGTAQ
+SPGDPLQPSCRASAVDAPTERSTAAPGGWFRMPALHLPIIRRPAREKGMPGAPSPTPAAH
+ALGEEGPALIKAQGPLGSEVEAPEPLEPAEKSTSHAGVLKINLDGRGSTPHLPPPGAPPA
+GLSTSEVRVRPGEGSLPLQMPSGALLETEAPPAGPAGLDLARGEGRTERWSSQPEGPVKL
+KVSSTDGPSQVSVVSVGQPWEGSVVTVKLPRLSMPRFAFPDPGSEADVFIPAVREVQCTG
+SSLDDALRTESVGAWGASILKAGPGTPGEQPVAFDLSPEASRVRVHIHGARGEGTRVGIH
+GGVMAEPADPSGPEADSTQIVRESEIPASEIQTASYGFSLLKVKIPEPPTQGRVQDSRLT
+GGLQEASEDAGPGTDPVSGDLQPDTGEPFEVISSGVSIPRGPALTTNLYSGHPGTESCSD
+EEPAEILEFPPEEDSEEAAAGLAEGDQAPKEKPEGKRSSGLFRFWLPSIGFSSSSEETGA
+DSKDEAHGPAPAQTPPEAEPPKKPEKAGWFRFPKLGFSSSPTKKSKSSEEEAAPAEQKLQ
+EEAATFFDARESFSPEDREETVPGAGAMVTSRARTELILLEPDPGALVEPAPGPAAQ
+>tr|Q2HJ65|Q2HJ65_BOVIN Core histone macro-H2A OS=Bos taurus OX=9913 GN=H2AFY PE=1 SV=1
+MSSRGGKKKSTKTSRSAKAGVIFPVGRMLRYIKKGHPKYRIGVGAPVYMAAVLEYLTAEI
+LELAGNAARDNKKGRVTPRHILLAVANDEELNQLLKGVTIASGGVLPNIHPELLAKKRGS
+KGKLEAIITPPPAKKAKSPSQKKPVSKKTGGKKGARKSKKQGEVSKAASADSTTEGTPAD
+GFTVLSTKSLFLGQKLNLIHSEISNLAGFEVEAIINPTNADIDLKDDLGNTLEKKGGKEF
+VEAVLELRKKNGPLEVAGAAVSAGHGLPAKFVIHCNSPIWGADKCEELLEKTVKNCLALA
+DDKKLKSIAFPSIGSGRNGFPKQTAAQLILKAISSYFVSTMSSSIKTVYFVLFDSESIGI
+YVQEMAKLDAN
+>tr|A8E4R1|A8E4R1_BOVIN TTC38 protein OS=Bos taurus OX=9913 GN=TTC38 PE=2 SV=1
+MAATVPLRDCQAWKDARLPLSTTSNEACRLFDATLTQYVKWTNDQGLGGIEGCLSKLKAA
+DPTFAMGHAIANGLVLIGTGSSVRLDKELDAAVKTMVEISKTQPLTHREQLHVSAVETFA
+KGNFPKACELWEQILQDHPTDMLALKFSHDAYFYLGYQEQMRDSVARVYPFWTPDISLSS
+YVKGIYSFGLMETNLYDQAKKLAKEATKHTQSG
+>tr|A6QLA7|A6QLA7_BOVIN G-patch domain containing 2 OS=Bos taurus OX=9913 GN=GPATCH2 PE=2 SV=1
+MFGAAGRQPIGAPAAGNSWHFSRTMEELVHDLVSALEESSEQARGGFAETGDHSRSISCP
+LKRQARKRRGRKRRSYNVHHPWETGHCLSEGSDSSLEEPSKDYRENHNNNKKDHSDSDDQ
+MLVAKRRPSSNLNNNVRGKRPLWHESDFAMDSLGNRTLRRRRKVKRMAVDLPPENPNKRT
+MTQPLEGCRDQDMDNDNRAYQYQEFSKNKVKKRKLKIVRQGPKIQDEGVVLESEEISQTN
+KDKMEYEEQKVSDELMSESDSSSLSSTDAGLFTNDEGRQGDDEQSDWFYEKESGGACGIT
+GVIPWWEKEDPTDLDKNLPDPVFESILTGSFPLMSHPGRRGFQARLSRLHGMPSKNIKKS
+GGTPPSMATNWTSEIPL
+>tr|F1MGK4|F1MGK4_BOVIN Eyes absent homolog OS=Bos taurus OX=9913 GN=EYA3 PE=3 SV=2
+MEEEQDLPEQPVKKAKMQESGEQTLSQVSNPEVSDQKPETSSLASNLTMSEEIMTCTDYI
+PRSSNDYTSQMYSAKPYAHILSVPVSETAYPGQTQYQTLQQSQPYAVYPQATQTYGLPPF
+GALWPGMKPESGLIQTPSPSQHSVLTCTTGLTTSQPSPAHYSYPIQASSTNASLIPTSSA
+IANIPTAAVSSISNQDYPTYTILGQSQYQACYPSSSFGVTGQTNSDAENTTLAAATYQTE
+KPSVMVPAAAAPRLSSGDPSPSPSLTQTTPSKDADDQSRKNMTGKNRGKRKADASSSQDS
+ELERVFLWDLDETIIIFHSLLTGSYAQKYGKDPTVVIGSGLTMEEMIFEVADTHLFFNDL
+EECDQVHVEDVASDDNGQDLSNYSFSTDGFSGSGGSGSHGSSVGVQGGVDWMRKLAFRYR
+KVREIYDKHKSNVGGLLSPQRKEALQRLRAEIEVLTDSWLGTALKSLLLIQSRKNCVNVL
+ITTTQLVPALAKVLLYGLGEIFPIENIYSATKIGKESCFERIVSRFGKKVTYVVIGDGRD
+EEIAAKQHNMPFWRITNHGDLVSLHQALELDFL
+>tr|B0JYL4|B0JYL4_BOVIN IFFO1 protein OS=Bos taurus OX=9913 GN=IFFO1 PE=2 SV=1
+MNPLFGPNLFLLQQEQQGLTGPLGDPLGGDHLAGGGDVPPAPLAPAGPAPYSPPGPGPAP
+PAAMALRNDLGSNINVLKTLNLRFRCFLAKVHELERRNRLLEKQLQQALEEGKQGRRGLP
+RRDQAVQTGFVSPVRPLGLPLGSRPAAVCTPSARVLGSPARSPAGPLAPSAACQPALSTS
+ASTAYSSSARFMPGTIWSFSHARRLGPGLEPTLVQGPGLSWVHPDGVGVQIDTITPEIRA
+LYNVLAKVKRERDEYKRRWEEEYTVRLQLQERVNELQEEAQEADACQEELAMKVEQLKAE
+LVVFKGLMSNNLTELDTKIQEKAMKVDMDICRRIDITAKLCDLAQQRNCEDMIKMFQKKL
+VPSMGGRKRERKAVEEDTSLAESDGPRRPDGDEEESTALSINEEMQRMLNQLREYDFEDD
+CDSLTWEETEETLLLWEDFSGYALAATEAPGEPEDSLEKVIKDTESLFKTREKEYQETID
+QIELELATAKNDMNRHLHEYMEMCSMKRGLDVQMETCRRLITQSGDRKSPAFTAVPLSDP
+PPPPPSEAEDSDRDVSSDGAMR
+>tr|A0A3Q1N116|A0A3Q1N116_BOVIN High mobility group AT-hook 1 OS=Bos taurus OX=9913 GN=HMGA1 PE=4 SV=1
+MSESSSKSSQPLASKQEKDGAEKRGRGRPRKQPPKEPSEVPTPKRPRGRPKGSKNKGAAK
+TRKTTTTPGRKPRGRPKKLVGDCGRGSLVLLGRLLKTVMLLPVCPQRETALLTCRASHGG
+TSHFHPGLGRGKDLSRAPGLLALSPGLLKSCVHEKCRVPGTNQARLPALPYWGCSYLFLP
+LLLVQSQNF
+>tr|F1N371|F1N371_BOVIN DAB2, clathrin adaptor protein OS=Bos taurus OX=9913 GN=DAB2 PE=4 SV=1
+MSNEVETSATNGQPDQQAAPKAPSKKEKKKGTEKTDEYLLARFKGDGVKYKAKLIGIDDV
+PDARGDKMSQDSMMKLKGMAAAARSQGQHKQRIWVNISLSGIKIIDEKTGVIEHEHPVNK
+ISFIARDVTDNRAFGYVCGGEGQHQFFAIKTGQQAEPLVIDLKDLFQVIYNTKKKEEEKK
+KMEEANKTVENGSDALMNLDDPPCKLKLGVDQMDLFGDMSTPPDLSSPTESKDILLVDLN
+SEIDTNQNSLQENLFLTNGITSCSLPRPKPQASFLPENAFSANLNFFPTPNPDPFRDDPF
+AQPDQSTPSSSDSLKSPDQKKENLSSSSTPLSNGPLNGDVDYFGQQFDQISNRTGKQEAQ
+AGPWPLSSTQTQPAVRTHNEVSEREQNGFHIKSSPNPFVGSPPKGLPVPNGVKQDLESSV
+QSSPHDSIAIIPPPQSTKPGRGRRTAKSPAKDLLAADIFAPPISEPPGQSPGQPATLQTN
+PLDLFKTSAPAPLGPLPGLGGVPVLSAQAAPWSPAPLVFSQSPSMVPGAMMAGQPSGFSQ
+PIVFTTSPSVPGWNQPSSFAVSVSSPPPVAWGTSASITPNTWSANSPLGNPFQSNVFPAP
+AVSTPPPSMLSSLLATPPQPPPRTGPPKDVPSDAFIALDPLGDREVKEVKEMFKDFQLRQ
+PPTVPARKGEQNSSGTSSAFSNYFNSKVGIPQENADHDDLDANQLLRKINDLPKPAPRHS
+APPVTKSADNAFENPFSKDSFHSSPASMASLQPTSSDIYRDPFGNPFA
+>tr|A0A3Q1LWI4|A0A3Q1LWI4_BOVIN KN motif and ankyrin repeat domains 1 OS=Bos taurus OX=9913 GN=KANK1 PE=4 SV=1
+MAHTTKVNGCASGKANDILNGEQDREQKDPYFVETPYGYQLDLDFLKYVDDIQKGNTIKK
+VNIQKRRKPSGPCPDSRAAPGQHGVWTSTESLSSSNSDDNRQCPRFLLGRSQVTSTPISK
+PPAPLETSPTFLTIPESRQLPPPSPQLPKHNLHVTKTLMETRRRLEQERVTMQVAPGEFR
+RPRLASFGGMGSTSSLSSFLGSGNHNPTMPQLQNGYQGNGDYGGYAPAAATTSSMGSSIR
+HSPLSSGISTPVTNVSPMHLQHIREQMAIALKRLKELEEQVRTIPVLQVKISVLQEEKRQ
+LASQLKNQRAASQNDVCGVRKRSYSAGNASQLEQLSRTRRSGGELYIDYEEEEMESVEQS
+TQRIKEFRQLTADMQALEQKIQDSSYEASSELRGNGECQPQEFRSVAVGADEDMNDIVVY
+CRGARSCKDAAVGMVTETRNSGVSVTEAMLGVTTEADKEIELQQQTIEALKDKIYRLEVQ
+LKETTHDREMTKLKQELQAAGSRKKVDKAMMAQPLVFSKMVEAVVPTRDQMAGSHVDVVD
+TCVGTCVQTSSVGISCQPDHENKEVGPELPMNWWIVKERVEMHDRCIGRSVEMCDKSVGM
+DISVCETGSNTEESVNDLALLRTNLNLKEVRSIGCGDCSVDVTVCSPKECTSRSVNTEAV
+SQVEAAVMAVPRTVSQHTSTVVEQVSQFTNTEVATLTESFTNTSLSTVDKQTSTHTVEMR
+TVAVGEGRVKDVSSTKMRSIGVGTVLSGNAGFDRPSAVKTKESGVGQISINDNYLVGLKM
+RTIACGPPQLTVGPTGSRRSVGVGDEPVGEFVESPQPQAPSGMETGLDHYIERVQKLLAE
+QQALLAENYSELAEAFGEPQSQIGSLNSQLISTLSSINSVMKSASLEELRHPDFLKTSLG
+KVTGSNLEYTCKCGALQSGGPLNSQTSLQEAGTTEGKPIGSQDTFPTQESMLSPVNLTDD
+QIAAGLYVCTNNESTLKSIMKKKDANKDSNGAKKNLQFVGINGGYETTSSDDSSSDESSS
+SESDDECDVPEYPPEEEEEEEEDEDTRGMAEGHHAVNVEGFTSTRVEDEMQVPECEPEKV
+EIRERYELSEKMLSACNLLKNNINDPKALTSKDMRFCLNTLQHEWFRVSSQKSAIPAMVG
+DYIAAFEAISPEVLRHVINMADGNGNTALHYSVSHSNFEIVKMLLDADVCNVDHQNKAGY
+TPIMLAALAAVEAEKDMQVVEELFACGDVNAKASQAGQTALMLAVSHGRIDMVKGLLACG
+ADVNIQDDEGSTALMCASEHGHVEIVKLLLAQPGCNGHLEDNDGSTALSIALEAGHKDIA
+VLLYAHVNFAKAPSPGTPRLGRKTSPGPTHRGSFD
+>tr|Q08DW5|Q08DW5_BOVIN Centromere protein Q OS=Bos taurus OX=9913 GN=CENPQ PE=2 SV=1
+MSSKANNSKKKSQQLKRNPKRKTDDEEAELPGKKVRNTVKKNKNHPKHLSSEVTRQTKHT
+TLKQVKIASNKRQTWQPLSKSSREHLQTMMESVVISILSNSIRENKQIQYHLNFLKKRLL
+QLCETLKVPPKKLQDLSRVSSLLKMERAQHRANEEGLASLQEEIDKMVATIESMTGDIHS
+LKNKVHVLTSEVEEEEKKIKQMFQIDNGVLSLPELSQKSLRAPILQGEILTLIPNQNSLL
+KDLEVLHNSSQMKHMFTFIEEVYKRLDAS
+>tr|Q3SZ33|Q3SZ33_BOVIN Synaptogyrin 1 OS=Bos taurus OX=9913 GN=SYNGR1 PE=2 SV=1
+MEGGAYGAGKAGGAFDPYTLVRQPHTILRVVSWVFSIVVFGSIVNEGYLNSPSESEEFCI
+YNRNPNACGYGVTVGVLAFLTCLLYLALDVYFPQISSVKDRKKAVLSDIGVSAFWAFLWF
+VGFCFLANQWQVSEPKDNPLNEGTDAARAAIAFSFFSIFTWSLTAALAVRRFKDLTFQEE
+YNTLFPASAQP
+>tr|Q148H2|Q148H2_BOVIN Myosin light chain 6B OS=Bos taurus OX=9913 GN=MYL6B PE=2 SV=1
+MPPKKDVPVKKPVGPPAAPKPAAKPAVGPPPSRVELPSLIPVILEKPAKIQEPPIDLSKV
+VIEFNKDQLEEFKEAFELYDRVGDGKIQFSQCGDVMRALGQNPTNAEVLRVLGYPKSDEL
+KSRRVDFETFLPMLQAVAKLPDRGSYQDYLEGLRVFDKEQNGKVMGAELRHVLTTLGERM
+TEEEVESVLAGHEDSSGCINYEAFLKHILSV
+>tr|Q32LF9|Q32LF9_BOVIN Calcium signal-modulating cyclophilin ligand OS=Bos taurus OX=9913 GN=CAMLG PE=2 SV=1
+MEPVTDSGDRPGAPAASGLSASQRRAELRRRKLLMNSEQRINRIMGFHRPGSGAEEESQT
+KSKQQDIDKLNSLTIPSVSKRVVLGDSVSTGPSDQTGVAEVKGTQLGDKLDSFIKPSECS
+NDISLELRQRNRGDLTADSVQRGSRHGLEQYLSRFEEAMKLRKQLISEKPSQEDGNTTEE
+FDSFRIFRLVGCALLAFGVRAFVCKYLSIFAPFLTLQLAYMGLYKYFPKSEKKIKTTVLT
+AALLLSGIPAEVINRSMDTYSKMGEVFTDLCVYFFTFIFCHELLDYWGSEVP
+>tr|A5PK24|A5PK24_BOVIN ANKRD13C protein OS=Bos taurus OX=9913 GN=ANKRD13C PE=2 SV=1
+MTGEKIRSLRRDHKPSKEEGDLLEPGDEEAAAALGGTFTGGRLGTGGSGKSGRACHKIFS
+NHHHRLQLKTAPASSNPPGPPALPLHNSSATPISQSPALLAGTSPIAVVAGGGGCPAHYP
+VHECVFKGDVRRLSSLIRTHNIGQKDNHGNTPLHLAVMLGNKECAHLLLAHNAPVKVKNA
+QGWSPLAEAISYGDRQMITALLRKLKQQSRESVEEKRPRLLKALKELGDFYLELHWDFQS
+WVPLLSRILPSDACKIYKQGINIRLDTTLIDFTDMKCQRGDLSFIFNGDAAPSESFVVLD
+NEQKVYQRIHHEESEMETEEEVDILMSSDIYSATLSTKSISFTRAQTGWLFREDKTERVG
+NFLADFYLVNGLVLESRKRREHLSEEDILRNKAIMESLSKGGNIMEQNFEPIRRQSLTPP
+PQNTITWEEYISAENGKAPHLGRELVCKESKKTFKATIAMSQEFPLGIESLLNVLEVIAP
+FKHFNKLREFVQMKLPPGFPVKLDIPVFPTITATVTFQEFRYDEFDGSIFTIPEDYKEDP
+SRFPDL
+>tr|Q32LK8|Q32LK8_BOVIN HDGF like 1 OS=Bos taurus OX=9913 GN=HDGFL1 PE=2 SV=1
+MSRFYRRKYKCGDLVFAKLKGYAHWPARIEQTAEANRYQVFFFGTHETAFLGPRHLFPYE
+ESKEKFGKPNKRRGFSEGLWEIENNPTVQASDYQCALEKSCPEEPEPEVAEGGEDPKSHT
+NGGDDDDQGKLGVDLPAEEENEKETLKRTAEDPPEDIPKRPKEADPEEGEERKEAAAVAE
+EAEDARPLLVEVENDPAASVLGLAWGLPVMEQEPEEESAEREAQAPGVGGGHESQ
+>tr|A0A3Q1M7I8|A0A3Q1M7I8_BOVIN Apoptotic protease-activating factor 1 OS=Bos taurus OX=9913 GN=APAF1 PE=4 SV=1
+MDAKARNCLLQHREALERDIKTSYIMDHMISNGVLTVSEEEKVKNEPTQRQRAAMLIKMI
+LKKDNHSYISFYNALLHEGYKDLAALLHGGIPVISSSDGKDSVSGITSYVRTVLCEGGVP
+QRPVVFVTRKKLVNAIQQKLSKLNGEPGWVTIYGMAGCGKSVLAAEAVRDHSFLEDCFPG
+GVHWVSVGKQDKSGLLMKLQNLCARLDQDESFSQRLPLNIEEAKDRLRILMLRKHPRSLL
+ILDDIWDPWVLKAFDNQCQILLTTRDKSVTDSVMGPKYVVPVESGLGKEKGLEILSLFVN
+MKKADLPEQAHSIIQECKGSPLVVSLIGALLRDFPNRWEYYLRQLQNKQFKRIRKSSSYD
+YEALDEAMSISVEMLREDIKDYYTDLSILQKDVKVPTKVLCILWDLETEEVEDILQEFVN
+KSLLFCDRNGKSFLYYLHDLQVDFLAEKNRSQLQDLHKKMVTQFQRHHQLYSLSPDQEDC
+MYWYSFLAYHMASANMHKELCALMFSLDWIKAKTELVGPAHLIHEFVEYRHILDEKDGID
+CENFQEFLSLNGHLLGRQPFPNIVQLGLCELETSEVYRQAKLQAKQEVDNGMLYLEWVNK
+KNMKNLSRLVVRPHTDAVYHACFSEDGQRIASCGADKTLQVFKAETGEKLLEIKAHEDEV
+LCCAFSADDKFIATCSVDKKVKIWNSMTGELVRIYDEHSEQVNCCHFTNNSNHLLLATGS
+NDYFLKLWDLNQEECRNTMFGHTNSVSHCRFSPDDQVLASCSADGTLKLWDVKSANERKS
+INVKQFFLNSEEPQEDLEVIVKCCSWSADGAKIMVAAKNKVFLFDIHSSGLLAEMHMGHH
+SAVQYCDFSPHNHLAVVALSQYCVELWSMDSGLKVADCRGHLSWVHCVMFSPDGSSFLTS
+SDDQTIRLWETKKVCKNSATVLKQEIDVVFQENEVTVLAVDNIRRLQLINGNTGQIDYLT
+EAQVSCCCLSPHLQYLAFGGEDGAIQILELLNNRIFQSRNGHKTTVRHIQFTADGKTLIS
+SSDDSAVQIWNWQSEDPFFLQAHQETVKDFRLLKNSRLLSWSFDGTVKVWNIITRRIEKD
+FVCHQDTVLSCDISSDATKFSSTSADKTAKIWSFELLSPLHELRGHKGCVRCSSFSVNNT
+LLATGDDNGEIRIWNVSNGELLHLCAPISVEEGAATHGGWVTDLCFSPDTKMLVSAGGYL
+KWWNVVTGESSQTFYTNGTNLKKIHVSPDFKTYVTVDNLGILYILKILE
+>tr|E4NKF7|E4NKF7_BOVIN Ankyrin repeat and SOCS box containing 9 OS=Bos taurus OX=9913 GN=ASB9 PE=2 SV=1
+MDGERPGRNGSKPPDMRLFSNPLMGDFVSDWSPVHEAAIHGRLLSLRSLINQGWPVNLIT
+ADRVSPLHEACLGGHPSCVKILLRHGANVNSVTVDWHTPLFNACVSGSHECVNLLLQYGA
+SPHPENDLASPIHEAAKRGHMQCIESLVAHGGDIDQNIHHLGTPLYMACENLQVACAKKL
+LESGPSSLMQLCRLRIRKCFGIKQHHKITELNLPEELKRFLLHI
+>tr|Q0II51|Q0II51_BOVIN SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily d, member 3 OS=Bos taurus OX=9913 GN=SMARCD3 PE=2 SV=1
+MAADEVAGGARKATKSKLFEFLVHGVRPGMPSGARMPHQGAPMGPPGSPYMGSPAVRPGL
+APAGMEPARKRAAPPPGQSQAQSQGQPVPTAPARSRSAKRRKMADKILPQRIRELVPESQ
+AYMDLLAFERKLDQTIMRKRVDIQEALKRPMKQKRKLRLYISNTFNPAKPDAEDSDGSIA
+SWELRVEGKLLDDPSKQKRKFSSFFKSLVIELDKDLYGPDNHLVEWHRTPTTQETDGFQV
+KRPGDLSVRCTLLLMLDYQPPQFKLDPRLARLLGLHTQSRSAIVQALWQYVKTNRLQDSH
+DKEYINGDKYFQQIFDCPRLKFSEIPQRLTALLLPPDPIVINHVISVDPSDQKKTACYDI
+DVEVEEPLKGQMSSFLLSTANQQEISALDSKIHETIESINQLKIQRDFMLSFSRDPKGYI
+QDLLRSQSRDLKVMTDVAGNPEEERRAEFYHQPWSQEAVSRYFYCKIQQRRQELEQSLVV
+RNT
+>tr|A4IFI7|A4IFI7_BOVIN TMEM25 protein OS=Bos taurus OX=9913 GN=TMEM25 PE=2 SV=1
+MALPAWPATLPHTLLLLPALLSSGWGELAPQIDGQTWAERALRENERHAFTCRVAGGLGT
+PRLAWYLDGQLQEASTSRLLSVGREAFSGGTSTFTVTAQRAQHELNCSLQDPGSGQSANA
+SVILNVQFKPEIAQVGAKYQEAQGPGLLVILFALVRANPPANVTWIDQDGPVTVNTSDFL
+VLDAQNYPWLTNHTVQLQLRSLPHNLSVVATNDVGVTSSSLPAPGLLATRVEVPLLGIVV
+AGGLALGTLVGFSTLVACLVCRKEKKTKGPSRRPSLISSDSNNLKVSNVRLPRENMSLPS
+NLQLNDLAPGCRGKPADRQMAQDNSRPDLLDPEPGGLLTSRGFIRLPMLGYIYRVSSVSS
+DEIWL
+>tr|A5H631|A5H631_BOVIN Toll-like receptor 9 OS=Bos taurus OX=9913 GN=TLR9 PE=4 SV=1
+MGPYCAPHPLSLLVQAAALAAALAEGTLPAFLPCELQPHGQVDCNWLFLKSVPHFSAGAP
+RANVTSLSLISNRIHHLHDSDFVHLSNLRVLNLKWNCPPAGLSPMHFPCRMTIEPNTFLA
+VPTLEELNLSYNGITTVPALPSSLVSLSLSHTSILVLGPTHFTGLHALRFLYMDGNCYYM
+NPCPRALEVAPGALLGLGNLTHLSLKYNNLTEVPRRLPPSLDTLLLSYNHIVTLAPEDLA
+NLTALRVLDVGGNCRRCDHARNPCRECPKNFPKLHPDTFSHLSRLEGLVLKDSSLYKLEK
+DWFRGLGRLQVLDLSENFLYDYITKTTIFNDLTQLRRLNLSFNYHKKVSFAHLHLASSFG
+SLVSLEKLDMHGIFFRSLTNITLQPLTRLPKLQSLRLQLNFINQAQLSIFGAFPSLLFVD
+LSDNRISGAATPAAALGEVDSRVEVWRLPRGLAPGPLDAVSSKDFMPSCNLNFTLDLSRN
+NLVTIQQEMFTRLSRLQCLRLSHNSISQAVNGSQFVPLTSLRVLDLSHNKLDLYHGRSFT
+ELPQLEALDLSYNSQPFSMQGVGHNLSFVAQLPSLRYLSLAHNGIHSRVSQKLSSASLRA
+LDFSGNSLSQMWAEGDLYLCFFKGLRNLVQLDLSENHLHTLLPRHLDNLPKSLRQLRLRD
+NNLAFFNWSSLTVLPRLEALDLAGNQLKALSNGSLPPGIRLQKLDVSSNSIGFVIPGFFV
+RATRLIELNLSANALKTVDPSWFGSLAGTLKILDVSANPLHCACGAAFVDFLLERQEAVP
+GLSRRVTCGSPGQLQGRSIFTQDLRLCLDETLSLDCFGLSLLMVALGLAVPMLHHLCGWD
+LWYCFHLCLAHLPRRRRQRGEDTLLYDAFVVFDKVQSAVADWVYNELRVQLEERRGRRAL
+RLCLEERDWLPGKTLFENLWASVYSSRKTMFVLDHTDRVSGLLRASFLLAQQRLLEDRKD
+VVVLVILRPAAYRSRYVRLRQRLCRQSVLLWPHQPSGQGSFWANLGIALTRDNRHFYNRN
+FCRGPTTAE
+>tr|A7MBD4|A7MBD4_BOVIN FARSA protein OS=Bos taurus OX=9913 GN=FARSA PE=2 SV=1
+MADGPVAEVLLRRLEAADGGLDSAELATELGVEHQTVVGAVKSLQALGQIIETELRSTKR
+WELTAEGEEIAQEGSHEARVFRSIPPEGLPQSELMRLPSGKVGFSKAMSNKWIRVDKSAA
+DGPRVFRVVDSVEDEVQRRLQLVRGGQAEKLGEKERSELRKRKLLTEATLKTYWVSKGSA
+FSTSISKQETELSPEMISSGSWRDRPFKPYNFSAHGVLPDSGHLHPLLKVRSQFRQIFLE
+MGFTEMPTDNYIESSFWNFDALFQPQQHPARDQHDTFFLRDPAQAQQLPMDYVHRVKRTH
+SQGGYGSQGYKYTWKLDEARKNLLRTHTTAASARALYRLAQKKPFTPAKYFSIDRVFRNE
+TLDATHLAEFHQIEGVVADHGLTLGHLMGVLREFFTKLGITQLRFKPAYNPYTEPSMEIF
+SYHQGLKKWVEVGNSGIFRPEMLLPMGLPENVSVIAWGLSLERPTMIKYGINNIRELVGH
+KVNLQMVYDSPLCRLDAERGPPSTQEAA
+>tr|A6QLE0|A6QLE0_BOVIN RNF111 protein OS=Bos taurus OX=9913 GN=RNF111 PE=2 SV=1
+MSQWTPEYNKLYTLKVDMKSEIPSDAPKTQESLKGILLHPEPIGAAKSFPAGVEMINSKV
+GNEFSHLCDDSQKQEKDMNGNQQEQEKSVVVRKKRKSQQAGPSYMQNCAKENQGILGLRQ
+HLETPSDEDNDSSFSDCLSSPSSSLHFGDSDTVTSDEDKEVSVRHSQAILSAKSRTHSSR
+SHKWPRTETESVSGLLMKRPCFHSSSLRRLPCRKRFVKNNSSQRTQKQKERILMQRKKRE
+VLARRKYALLPSSSSSSENDLSSESSSSSSTEGEEDLFVSTSENHQNNPTVASGNIDEDV
+VVIEASSTPQITANEEINVTSTDSEVEIVTVGESYRSRSTLGHNRSHWSQGSSSHTSRPQ
+EPRNRNRMSTVIQPLRQNAAEVVDLTVDEDEPTVVPTTSARMESQTTSASINNSNPSTSE
+QASDTAAAVTSSQPSTVSETSTTLTSNSMTGTSTGDDSRRTASNAVTEPGPPAMPRLPSC
+CPQHSPCGGSSQNHHALGHPHTSCFQQHGHHFQHHHHHHHTPHPAVPVSPSFSDPTCPVE
+RPPQVQPPCGANSTSSTSYHDQQALPVDLSSSGIRSHGSAGFHGASAFDPCCPVSSSRAA
+IFGHQAAAAAAPSQPLSIDGYGSSMVAQPQPQPPPQASLSSCRHYMPPPYASLTRPLHHQ
+TSACPHSHGNPPPQTQPPPQVDYVIPHPVHAFHSQISSHATSHPVAPPPPTHLASTAAPI
+PQHLPPTHQPISHHIPATAPPAQRLHPHEMMQRMEVQRRRMMQHPTRAHERPPPHPHRMH
+PNYGHGHHIHVPQTMSSHPRQAPERSAWELGIEAGVTAATYTPGALHPHLAHYHAPPRLH
+HLQLGALPLMVPDMAGYPHIRYISSGLDGTSFRGPFRGNFEELIHLEERLGNVNRGASQG
+TIERCTYPHKYKKVTTDWFSQRKLHCKQDGEEGTEEDTEEKCTICLSILEEGEDVRRLPC
+MHLFHQVCVDQWLITNKKCPICRVDIEAQLPSES
+>tr|A0JNF4|A0JNF4_BOVIN DNA primase large subunit OS=Bos taurus OX=9913 GN=PRIM2 PE=2 SV=1
+MEFSGGKRKKLRLADDQRNACYPHSLQFYLQPPSGNVSLTEFETLAIDRVKLLKSVENLG
+LSYMKGTEQYQSKLEAELRKLKFSYRESLEDEYEPRRKDHISHFILRLAYCQSEDLRRWF
+IQQEMDLLRFRFSILPKDKIQSFLKDSHLQFEAISDEEKTVREQDIIASSSTFGGAQLDP
+ESVYKIPFAEALDLFRGRKVYLENGFAYVPHKDIVAIILNEFRTKLSKALALTARSLPAV
+QSDERLQPLLNHLSHSYTGQDYSTQGNAGKISLDQIDSLSTKSFPPCMRQLHKALRENHH
+LRHGGRMQYGLFLKGIGLTLEQALQFWKQEFIRGNMDPDKFDKGYSYNIRHSYGKEGKRT
+DYTPYSCMKIILTNTPGQGDYHGCPFRHSDPELLRQKLQAYKIPPAGISQILDLVKGTHY
+QVACQKFFEMTHNVDDCGFSLSHPNQFFFESQRILSGGKDIKKDSVQPETPQPRPSVQRS
+KDSSSTLDSLSSALDMDLEELEELLQ
+>tr|Q0VCB4|Q0VCB4_BOVIN RAB9B, member RAS oncogene family OS=Bos taurus OX=9913 GN=RAB9B PE=2 SV=1
+MSGKSLLLKVILLGDGGVGKSSLMNRYVTNKFDSQAFHTIGVEFLNRDLEVDGRFVTLQI
+WDTAGQERFKSLRTPFYRGADCCLLTFSVDDRQSFENLGNWQKEFIYYADVKDPEHFPFV
+VLGNKVDKEDRQVTTEEAQSWCMENGDYPYLETSAKDDTNVTVAFEEAVRQVLAVEEQLE
+HCMLGHTIDLNSGSKAGSSCC
+>tr|A5D7C8|A5D7C8_BOVIN SEMA4D protein OS=Bos taurus OX=9913 GN=SEMA4D PE=2 SV=1
+MRMCGPVGGLLTALAVVFGTAVAFAPVPRITWEHREVQLVQFHEPGIFNYSALLLSENED
+TLFVGAREAVFALNAHNISKKQHEAYWKVSEDKKARCAEKGKSKQTECLNYIRVLQPLST
+NALYVCGTNAFQPACDHLNLTSFKFLGKNEDGKGRCPFDPAQSYTSVMVDGELYSGTSYN
+FLGSEPIISRNSTHSPLRTEYAIPWLNEPSFVFADVVRESPEGEDLGDDRVYFFFTEVSV
+EYEFVFKLMIPRIARVCKGDQGGLRTLQKKWTSFLKARLICSRPDSNLVFNVLRDVFVLR
+SADLKEPVFYGVFTPQLNNVGLSAVCAYNLSTAEAVFSRGKYMQSATVEQSHTKWVRYNG
+AVPTPRPGACINREARAANFSSSLNLPDKTLQFVKDHPLMDDSVTPIDSRPRLIKGDVNY
+TQIVVDRARALDGTIHDVMFVSTDRGALHKAISLENDIHIIEETQLFQDFEPIQSLLLSS
+KKGRKFVYAGSNSGVVQAPVAFCGKHGTCEDCVLARDPYCAWSPAVAACVALHQTDSPSR
+GLIQEMNGDASTCPASSPLPFPPPGSSSLSCLGPDSSSSPRSPWPASGPGPDRRLQVTLL
+PPFLSDQAQHVHALGTFHLFCQATGPADVHFLWEKNGRELEVCVPTQTHALPDGRALVLS
+WLRDALRESAEYRCSALSRAGNKTSKARVTVTRLEATQQERWTRELASWRAVVGEHDRMM
+QSWRKAWESCNQDAF
+>tr|F1MQC1|F1MQC1_BOVIN Phosphoprotein membrane anchor with glycosphingolipid microdomains 1 OS=Bos taurus OX=9913 GN=PAG1 PE=4 SV=2
+MGPEGSLLIEGQTQIILLGSLASVATFFLITFLILLCSSCNREKKPRPHSGDHENLMNVP
+SDKEMFSRSVTSLATDAPPSSEQNGALTNGDILSEDSTVTCMQHYEEVQTSASDLLDSQD
+STGKPKCHQSRELPRIPPESSVDTMHNGRSADGDQALGMEGPYEVLKDSSSQENMVEDCL
+YETVNEIKEVTAAAQPSRGHSCRSKSASGVKELPGPQANSRTDFAEYASVDRNKKCRQSV
+NAEILLGNSCDPEEEAPPPVPVKLLDENENLQKEESQAGEEGAAEGTSETNKRLSSLSYK
+SREEDPTLTEEEISAMYSSVHKKGQPGNKSGQSLRVPEASYTSMPGAAPRSPSSCNDLYA
+TVKDFEKMPHSVSTLPAAGKPSEEPEPDYEAIQTLNREEEKSAPETNSHHGLCPKENDYE
+SIGDLQQCRDVTRL
+>tr|A5D788|A5D788_BOVIN SGPL1 protein OS=Bos taurus OX=9913 GN=SGPL1 PE=2 SV=1
+MPSADLMSLKAFEPYFEILEVYSTKAKNYVNGHCTKYEPWQLIVWSVVWTLLIVWVYEFV
+FQPESLWSRFKKRCFKLIRKMPIIGRKIQDKLNKTKEDISKSMTFLKVDQDYVKALPSQG
+LSPAAVLEKLKEYSSKDVLWQEGKASGAVYSGEKELTDLLVKAYGDFAWSNPLHPDIFPG
+LRKIEAEIVRMACSLFNGGPDSCGCVTSGGTESILMACKAYRELAFENGIKTPEIVAPQS
+AHAAFDKAASYFGMKIIRVPLNKMMEVDVRAMRRAISRNTAMLVCSAPQFPHGVIDPIPE
+VAKLAVKYKIPLHVDACLGGFLIVFMEKAGYPLEQPFDFRVKGVTSISADTHKYGYAPKG
+SSVLLYSDKKYRSYQFFVATDWQGGIYASPTIAGSRPGGISAACWASLMYFGESGYVEAT
+KQIIKTTRFLKSELENIKGIFVFGNPQLSVIALGSRDFDIYRLFNLMNAKGWNLNQLQFP
+PSLHFCITLVHTRKRVAIQFLKDIRESVTQIMKNPKAKTTGMGAIYGMAQATIDRNLVAE
+LSSVFLDSLFSTDTTTQGSQMNGSPKPR
+>tr|A7E2Z4|A7E2Z4_BOVIN Cadherin related family member 5 OS=Bos taurus OX=9913 GN=CDHR5 PE=2 SV=1
+MGTWVLLLPLLFAAEAQAQAQVCSVDKTSLTVKENTNPGEPLLDIYVPQGQQVTLGPSST
+LFAFRIQGNQLFLNVTPDYEANTMLLAHLECRSGDSVVTQLRVFVSVLDVNDNPPRFPYE
+TKLWEVPEDTRVNTTVISETELEAQDQDKDDVLFYTLQEVTLGASSFFSLVGANRPALRL
+DQSLDLERWPNMTFRLLARDTQEETTEPSHTATATLVLEVQPVDLRPPWFLPCSYSDGFV
+CIHAEYHGAVPTGHRLPDPLILHPGPVYAMDGDQGINQPIKYSIISGNEDSTFSISVDSG
+NLTMTKSIPNAKTFLLVVKGEQADNARYSVTQVTVEARNASGSMPHFTQSLYRGTVGLGS
+GAGVVVKDAADPSQPLRIRAQDPEFPDLNSAITYRITNNSDFRMDGEAVLTATSLEHAGV
+FYAEVEANNTVTSGTATTVVEIQIQPSPTGPPGPPTSPETAGTTRPSSGTTLEVPRPPEP
+SQGSSTTSSGGDTGLQPSPGTTLTSPASSMPSGPPSVGTSPSPPAASPSGGSATRPSSSP
+TSEAPQPPEPSQGSSTTSSGGDTGPHPSSGTTLRPPASSTPAPAGPPSVGTSPSPTTASP
+SGASAQTSTPTSPASSRNTWTPGTPETDGTEGRPVGGQAGDRRFSEAEMAVLGGVLGALL
+LLALIALAVLVYKNYGHRLTCGSGRALEPQPQGFDNQAFLNDSDDANWAPAPSPSPSHAL
+PAPREPEPPEPAPPSPETPRRLPEAPAKAADGGSPAAVRSILTKERRPEGGYKAVWFGED
+IGAEADVVVLNTPASEAGGAGDSGSEGSGDEAADAQDAPGTYSIHF
+>tr|E1BNZ9|E1BNZ9_BOVIN Metallophosphoesterase 1 OS=Bos taurus OX=9913 GN=MPPE1 PE=4 SV=1
+MASSQLGARGQCLRLLKTRGFLLLKLSAAILAVLLFCEFLIYYLVIFRCSWPELKTPEGA
+GTLGAPKAPEPVLRAMFLADTHLLGAVRGHWLDKLRREWQMERAFQTAVWLLQPEVVFIL
+GDIFDEGKWSSPEAWADDVGRFWKVFRHPPHVQLRAVAGNHDIGFHYQMDTYRIKRFEKV
+FNPERLFSWKGINFVMVNSVALEGDGCDICSRAEAELLEISHWLNCSREEHSPRGCGDRQ
+RLPASAPILLQHFPLYRRNDANCSGEDAAPPDEKYTPFKERYDVLSWEVSRKLLWWLRPR
+LILSGHTHSACEVQHRAGVLEVSVPSFSWRNRNNPSFIMGSITPMDYALAKCYLPREDMV
+LTTYCVAAGCLMLITLIPTGLVSAPFHFGQRVLRKFKTM
+>tr|A0A3Q1NJY0|A0A3Q1NJY0_BOVIN DNA polymerase delta subunit 2 OS=Bos taurus OX=9913 GN=POLD2 PE=4 SV=1
+MGQVPGSWMGADEESPHVGRVELEALIVIQVDVWNGQWTLEPGFESSRWERHSLTCSISL
+FGCRFLGETWVANTVSSRCCSGRLLCMWAGVAGLHLCFHRDHLLLGLDTSSRAMFSEQAA
+QRAHTLLSPPSASNATFARVPVVTYTNSSQPFRLGERSFSRQYAHIYATRLIQMRPFLVS
+RAQQRWGSGVLVKKLCELQPGEKCCVVGTLFKAMPLQPSILREVSEEHNLLPQPPRSKYI
+HPDDELILEDELQRIKLEGTIDVSKLVTGTVLAVLGSAGDDGKFLVEDHCFAGLAPQKPA
+CPLDTDRFVLLVSGLGLGGGGGESLLGTQLLVDVVTGQLGDEGEQCSAAHVSRVILAGNL
+LSHNTQSRDSINKAKYLTKKTQAASVEAVKMLDEILLQLSASVPVDVMPGEFDPTNYTLP
+QQPLHPCMFPLATAYSTLQLVTNPYQATIDGVRFLGTSGQNVSDIFRYSSMEDHLEILEW
+TLQVRHISPTAPDTLGCYPFYKTDPFIFPECPHVYFCGNTPSFGSKIIQGKFCLLRAPGL
+GCHEGHALPRLTEKACVGCPGPRGVDRPGSARAEIVLPELILGSVALALDLYSVLGPFHF
+WPQGASVVSAPWC
+>tr|Q1RMQ1|Q1RMQ1_BOVIN FSHD region gene 1 OS=Bos taurus OX=9913 GN=FRG1 PE=2 SV=1
+MAEYSYVKSTKLVLKGTKAKSKKKKSKEKKRKREEDEETQLDIVGIWWTVTNFGEISGTI
+AIEMDKGTYIHALDNGLFTLGAPHKEVDEGPSPPEQFTAVKLSDSRIALKSGYGKYLGIN
+SDGLVVGRSDAIGPREQWEPVFQDGKMALLASNSCFIRCNEAGDIEAKSKTAGEEEMIKI
+RSCAERETKKKDDIPEEDKGNVKQCEINYVKKFQSFQDHKLKISKEDSKILKKARKDGFL
+HETLLDRRAKLKADRYCK
+>tr|Q0VD36|Q0VD36_BOVIN KDEL (Lys-Asp-Glu-Leu) containing 1 OS=Bos taurus OX=9913 GN=POGLUT2 PE=2 SV=1
+MFSILLLYCFFLATVPALAESGGERRLSPEKSEVWGPGLKAAVVLPARYFYIQAVDTSGK
+KFTSSPGEKVFQIKISAPDEQFTRVGVQVLDRKDGSYIVRYRMYASYKNLKIEVKFQGQH
+VAKSPYILKGPVYHENCDCPLEDSAAWLREMNCPETFAQIHRDLVHFPTVDPEKIATEIP
+KRFGQRQSLCHYSLKDNKVYIKTHGEHVGFRIFMDAILLSLTRKVKMPDVEFFVNLGDWP
+LEKKKSSPHIHPIFSWCGSTDSRDIVMPTYDLTDSVLETMGRVSLDMMSVQANTGPPWES
+KNSTAVWRGRDSRRERLELVKLSRKHPELIDAAFTNFFFFKHDESLYGPIVKHISFFDFF
+KHKYQINVDGTVAAYRLPYLLVGDSVVLKQDSIYYEHFYNELQPWKHYIPVKSNLSDLLE
+KLQWAKDHDEEAKKIAKTGQEFARNNLMGDDIFCYYFKLFQEYASLQVSEPQIREGMMRV
+EPETEDDLFPCTCHRKKTKDEL
+>tr|A2VDM6|A2VDM6_BOVIN Protein TEX261 OS=Bos taurus OX=9913 GN=TEX261 PE=2 SV=1
+MWFMYVLSWLSLFIQVAFITLAVAAGLYYLAELIEEYTVATSRIIKYMIWFSTAVLIGLY
+VFERFPTYMIGVGLFTNLVYFGLLQTFPFIMLTSPNFILSCGLVVVNHYLAFQFFAEEYY
+PFSEVLAYFTFCLWIIPFAFFVSLSAGENVLPSTMQPGDDVVSNYFTKGKRGKRLGILVV
+FSFIKEAILPSRQKIY
+>tr|A8VGY7|A8VGY7_BOVIN CHD2 protein OS=Bos taurus OX=9913 GN=CHD2 PE=2 SV=1
+MMRNKDKSQEEDSSLHSNASRVVWNALSSEAYKCYCLFKS
+>tr|F1MLS8|F1MLS8_BOVIN KIAA1217 OS=Bos taurus OX=9913 GN=KIAA1217 PE=4 SV=3
+MQTSEMDRKREAFLEHLKQKYPHHATAIMGHQERLRDQTRSPKLSHSPQPPNLGDPVEHL
+SEASADSLEAMSEGETPSPFSRGSRTRASLPVVRSTNQTKERSLGVLYLQYGDETKQLRM
+PNEITSADTIRALFVSAFPQQLTMKMLESPSVAIYIKDESRNVYYELNDVRNIQDRSLLK
+VYNKDPAHAFNHTPKTVNGEMRMQREIVYARGDGPGASRPGPTTLPPHAIPNSPPSTPVP
+HSMPPSPSRIPYGGTRPMVVPGNATIPRDRLSSLPVSRSISPSPSAILERRDVKPDEDMS
+GKNLTMYRNEGFYADPYLYHEGRMSIASSHGGHPLDVPDHIIAYHRTAIRSASAYCNPSL
+QAEMHMEQSLYRQKPRKYPESHLPTLGSKTPPASPHRVGDLRMVEMHGHHNAHGPPHTLQ
+PDRASPSRQGFKKEPGTLVYIEKPRTTPGLSGIVDLGPPLVEKQVFAYSTATIPKDRETR
+ERMQAMEKQIASLTGLVQSALFKGPLTSNSKDASSEKMMKTTASKNQTDGAGTPQVSGGK
+TLGAVDSSGPPGQPAPASASAVHASLLDMRRSVAELRLQLRQMRQLQLQNQELLKAMMRK
+AEQEISSKVIETMKRLEDPVQRQRVLVEQDRQKYLHEEEKIVRKLCDLEDFVDDLKKDST
+SASRVVTLKDVEDGAFLLRQVGEAVASLKGEFPTLQNKMRAILRIEVEAVRFLKEEPHRL
+DSLLQRVRGLTDTLTMLRRHVTDGLLKGSDAAQAAQYVAMEKATAAEVLKAQEEAPHSSG
+QPLPGTGVPGDVKAEVVPLAVHHAQSSPVVIQPSQLSSALLNPAQHAPGGPGPHPASAPA
+ATQEAPSALPSPHMPGDSSSVQSLFIEEIHSVSARSRAVSIEKAERKWEEKRQNLDHYNG
+KEFEKLLEEAQANIMKSIPNLEMPPASGPQPKGDAPVDKFELSEDSPNSELDLDKLGGRS
+PPPPPPPPRRSYLPGSGLTTTRSGDVVYTGRKESATAKASSGDAGPSPQARAAKCPAEEP
+AAAWAPSPPPVTASAKEEEEEEEGDKIMAELQAFQKCSFMDVNSNSHAEQCRADSHVKDT
+RPGAIAPSKEKKGIFGSWRTKQPKNLEFFHEDVRKSDVEYENGPPVESRKVSPGALTPSD
+HPKWEREMENSISDAARTAEYKTDISMKESSLSTKSLLRDSKNHSQKNVSKVNSSFSGVS
+SFECEINKGPKKSGLQYPASDAENQKMNYGKTKGVNQQGQEDSEKGHPPAPTRSTELTTR
+DVKTPDQEVPMTEFGQVVLRPKGAKHANTIPSEDGESTPSSPSEEKATTDNIAFMITKTA
+VQALSSGEVRDIVSRQGEDVQTVNIDAKKEPLSQQEGTESEEPVVCLDKKPVIIIFDEPM
+DIRAAYKRLSTIFEECDEELERMMREEKIEEEEEEETGDPVVQNDTPQKFPKEGALGSPR
+AGQEAKSKLQPHFLSVETGVSGGQEVNKTEQIKFNPTNSPSSESQGDVTDDQFESPKKKF
+KFKFPKKQLAALTQAIRTGTKTGKKTLQVVVYEEEEEDGTLKQHKEAKRFEISRSPSEDT
+PKSLFRRLEQPSPESSAPVSRTDEIRKNTYRTLDSLEQTIKQLENTISEMSPKALVEAAC
+SSHRDSVASSSQTAQEAGPPSLLVLDEASTALEPPSSIPSASRKGSTGTPQTSRMPVPMS
+SKNRPGSLDKPGKQSKLQDPRQYRQANGSAKKAGGDCKPTFPSLPASKIPALSPSSGKSG
+SLPSSSGDGPNFPNPPATKPSVPSNPLSPQTGRPAPSASLIPSVSNGSLKFQSPTHTGKG
+HHLSFSLQTQNGRAAPPSFSSSPPSPASPTSLNQGAKSIRSSHTPGLTSYKAQNGSSSKA
+TPSTAKETS
+>tr|Q3ZBT8|Q3ZBT8_BOVIN EBP, cholestenol delta-isomerase OS=Bos taurus OX=9913 GN=EBP PE=2 SV=1
+MTTNTSPMHPYWPRHLRLDNFVPNDYPTWHILAGLFSVSGVLVVATWLLSGRAAVVPLGT
+WRRLSLCWFAVCGFIHLVIEGWFSLYHADLLGDQAILSQLWKEYAKGDSRYILNDNFMIC
+METVTAYLWGPLSLWVVIAFLRHQPLRFVLQLVVSMGQVYGDVLYFLTEYRDGFQHGELG
+HPLYFWFYFVFLNSLWLVVPGLLILDSIKQLAHAQSILDAKAPKAKSKQN
+>tr|A6QP83|A6QP83_BOVIN FUBP3 protein OS=Bos taurus OX=9913 GN=FUBP3 PE=2 SV=1
+MAELVQGQSAPVGMKAEGFVDALHRVRQIAAKIDSIPHLNNSTPLVDPSVYGYGVQKRPL
+DDGVGNQLGALVHQRAVITEEFKVPDKMVGFIIGRGGEQISRIQAESGCKIQIASESSGI
+PERPCVLTGTPESIEQAKRLLGQIVDRCRNGPGFHNDVDGNSTVQEIPIPASKVGLVIGK
+GGETIKQLQERTGVKMVMIQDGPLPTGADKPLRITGDPFKVQQAREMVLEIIREKDQADF
+RGVRGDFSSRMGGGSIEVSVPRFAVGIVIGRNGEMIKKIQNDAGVRIQFKPDDGISPERA
+AQVMGPPDRCQHAAHVISELILTAQERDGFGGLAVARGRGRGRGDWSVGTPGGIQEVTYT
+VPADKCGLVIGKGGENIKSINQQSGAHVELQRNPPPSTDPNLRIFTIRGLPPQIEVARHL
+IDEKVGGTSIGAPGPFGQSPFSQPPAAPHQNTFPPRSSGCFPNIAAKVNGNPHSAPVSGP
+PAFLTQGWGSTYQAWQQPTQQVPSQQSQPQSSQPDYSKAWEDYYKKQSHAASAAPQASTP
+PDYTMAWAEYYRQQVAFYGQTLGQAQAPSQEQ
+>tr|A5PJL2|A5PJL2_BOVIN KCNJ15 protein OS=Bos taurus OX=9913 GN=KCNJ15 PE=2 SV=1
+MDPIHISMASAPLVKHTAGAGLKASRPRVMSKSGHSNVRIDKVDGIYLLYLQDLWTTVID
+MKWRYKLTLFAATFVMTWFLFGVIYYAIAFIHGDLEPSEPPSNHTPCIMRVDSLTGAFLF
+SLESQTTIGYGVRSITEECPHAIFLLVAQLVITTLIEIFITGTFLAKIARPKKRAETIKF
+SHCAVITKQNGKLCLVIQVANMRKSLLIQCQLSGKLLQTHVTKEGEQILLNQATVKFHVD
+SSSESPFLILPMTFYHVLDETSPLRDLTPQNLKEKEFELVVLLNATVESTSAVCQSRTSY
+IPEEIYWGFEFVPVVSLSKTGKYVADFSQFEQIRKSPDCTFYCADSEKQKLEEKYRQEDQ
+RERELRTLLLQQSNV
+>tr|A5D7K3|A5D7K3_BOVIN ZFP2 protein OS=Bos taurus OX=9913 GN=ZFP2 PE=2 SV=1
+MEREGLWHSSLGETWEPDNWLEGQQENQDRHLGQVHITHKETLTERRTCGGNELERCSSQ
+GSIIDIQQTIPVGKSPHNWNSHGKDTKQNAELIKTQRIFAGEKVYECNECGKTFSQSSSL
+LKHQRIHTGEKPYKCNVCEKHFIERSSLTVHQRIHTGEKPYKCNECGKTFSQSMNLTVHQ
+RTHTGEKPYQCKECGKAFRKNSSLIQHERIHTGEKPYKCNECGKAFTQSMNLTVHQRTHT
+GEKPYECNECGKAFSQSMHLTVHQRSHTGEKPYECSECGKAFSKSSALTLHQRNHTGEKP
+YKCNKCGKSFSQSTYLIEHQRLHSGVKPFECNQCGKAFSKNSSLTQHRRIHTGEKPYECM
+VCGKHFTGRSSLTVHQVIHTGEKPYECSECGKAFSQSAYLIEHQRIHTGEKPYECDQCGK
+AFIKNSSLIVHQRTHTGEKPYQCNECGKAFSRSTNLTRHQRTHT
+>tr|A1A4N4|A1A4N4_BOVIN Psoriasis susceptibility 1 candidate 2 OS=Bos taurus OX=9913 GN=PSORS1C2 PE=2 SV=1
+MLNWKLLGILVLCLFAGGISGSGDQPSPSSREISEEEGPPPLPQGPPIPGDPWPGAPPLF
+EDPPPPGPNRPWRDLPDSGVWPPEPPRTDPPQPPRPDDPWPAGPQPPENPWPPAPEVDHG
+SHEEPDLDPPREEYR
+>tr|A7Z083|A7Z083_BOVIN ZNF341 protein OS=Bos taurus OX=9913 GN=ZNF341 PE=2 SV=1
+MAQTIFEALEGMDNQTVLAVQSLLDGQGAVPDPTGQSVSAPPAIQPLDDEDVFLCGKCKK
+QFNSLPAFMTHKREQCQGSALPLATVSLATNSIYTPSAAPSAIQQAPPPPNRQISTYITV
+PPSPLIQTLVQGNILVSDDVLMSAMSAFTSLDQPMPQGPQPVQEQQLREAPFIEY
+>tr|Q29RP3|Q29RP3_BOVIN GTP-binding protein OS=Bos taurus OX=9913 GN=REM1 PE=2 SV=1
+MTLNTQQEAKTPLRRRASTPLPLSARGHQPGLLGTAPSTQTQHPRLGQSVSLNAPTRQPS
+PAPNGWSSESSDSESSWEALYRVVLLGDPGVGKTSLASLFAGKQERDLHEQLGEDVYERT
+LTVDGEDTTLLVMDTWEAEKLDESWSQESCLQVGSAYVIVYSIADRGSFESASELRIQLR
+RTHQADHVPIILVGNKADLARCREVSVEEGRACAVVFDCKFIETSATLQHNVAELFEGVV
+RQLRLRRRDCAVGEPPAPRRRASLGQRARRFLARLTARSARRRALKARSKSCHNLAVL
+>tr|A4FV12|A4FV12_BOVIN SUMO-activating enzyme subunit 2 OS=Bos taurus OX=9913 GN=UBA2 PE=1 SV=1
+MALSRGLPRELAEAVAGGRVLVVGAGGIGCELLKNLVLTGFSHIDLIDLDTIDVSNLNRQ
+FLFQKKHVGRSKAQVAKESVLQFYPKANIVAYHDSIMNPDYNVEFFRQFILVMNALDNRA
+ARNHVNRMCLAADVPLIESGTAGYLGQVTTIKKGVTECYECHPKPTQRTFPGCTIRNTPS
+EPIHCIVWAKYLFNQLFGEEDADQEVSPDRADPEASWEPMEAEARARASNEDGDIKRVST
+KEWAKSTGYDPVKLFTKLFKDDIRYLLTMDKLWRKRKPPVPLDWAEVQSQGEETSASDQQ
+NEPQLGLKDQQVLDVKSYACLFSKSIETLRVHLAEKGDGAELIWDKDDPSAMDFVTSAAN
+LRMHIFSMNMKSRFDIKSMAGNIIPAIATTNAVIAGLIVLEGLKILSGKIDQCRTIFLNK
+QPNPRKKLLVPCALDAPNPNCYVCASKPEVTVRLNVHKVTVLTLQDKIVKEKFAMVAPDV
+QIEDGKGTILISSEEGETEANNHKKLSEFGIRNGSRLQADDFLQDYTLLINILHSEDLGK
+DVEFEVVGDAPEKVGPKQAEDAAKSITNGSDDGAQPSTSTAQEQDDVLIVDSDEEGPSNN
+ADISEEERSRKRKLDEKESVSAKRSRIEQAEELDEVIALD
+>tr|E1BMD6|E1BMD6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LPP PE=1 SV=1
+MSHPSWLPPKSTAEPLGHVSARMETTHSFGTPSISVSTQQPPKKFAPVVAPKPKYNPYKQ
+PGGEGDFLPPPPPPLDDSSALPSGSGNFPPPPPLDDNAFRGQGNPGGKTLEERRSSLDAE
+IDSLTSILADLECSSPYKPRPPQGTTSSTASPPVSTPVTGHKRMVIPNQPPLTATKKSTM
+KPQPAPQAGPIPVAPIGTLKPQPQPVPASYTTASTPSRPTFNVQVKSAQPSSHYMAAPSP
+GQFYGSGPGAQGYNTQPVPMSGQGPPPSTRGGMDYAYVPPPGLQPEPGYGYAPNQGRYYE
+PYCAVGPGYGGKNDSDPSYGQQGHPNTWKREQGYTPSGPGNQNPAGMYPVAGPKKTYITD
+PVPAPCVPPLQPKGGPAGSMGPPAAPSSFRPEDELEHLTKKMLYDMENPPTDEYFGRCAR
+CGENVVGEGTGCTAMDQVFHVDCFTCIICNNKLRGQPFYAVEKKAYCEPCYINTLEQCSV
+CSKPIMERILRATGKAYHPHCFTCVMCHRSLDGIPFTVDAGGLIHCIEDFHKKFAPRCSV
+CKEPIMPAPGQEETVRIVALDRDFHVHCYRCEDCGGLLSEGDNQGCYPLDGHILCKTCNS
+ARIRVLTAKASTDL
+>tr|G3N0M5|G3N0M5_BOVIN PAS domain-containing protein OS=Bos taurus OX=9913 GN=PER2 PE=4 SV=2
+MDGCADHTPSPSAPSKEPAEPWASQAALQEDVDMSGGSSGNETSENGSTGRDSQGSDCGD
+SGRELGLLVGPPGSLQGPETFGLMMAKSEHHLSTSGCSSEQSTKADAHKELIKTLKELKV
+HLPADRKAKGKASTLATLKYALRSVKQVKANEEYYQLLMSSENHPCRTHVPSYTVHEIEG
+ITSEFIMKNVDMFVAAVSLVTGKTLYISDQVASIFHCKRDAFYNAKFVEFLAPHDVSVFH
+SSTTPYKLPPWSDRRQADSFTQECMEEKSFFCRVSVGKSHESEIHYHPFRMTPYLAQVCE
+RQGAESQLCCVLLAERVHSGYEAPRIPPEKRIFTTTHSPNCLFQDVDQRAVPLLGYLPQD
+LIETPVLVQLHPSDRPLMLAIHKKIVQSGGQPFDYSPLRFRARNGEYITLDTSWSSFINP
+WSRKISFIIGRHRVRLGPLNEDVFSAPPGLEEKAPQPGVQELTEQIHRLLLQPVPHSGSS
+GYGSLGSNGSHEHLMSQTSSSDSTGQEDPRRRRAETCKNGNKAKSKSHYPDESGEQKSNP
+ASEMQSGSPTPMKAAAAVEKDSSGASLPEATPPEELACRDPPAGSYQQISCLDSVIRYLE
+SCSEAATLKRKCEFPASLPTPQASDKRQALAGPGPHATEAASPSPVKSRTDASARLLSPP
+LPGRAQSVASLGSQCSYSSTIVHVGDKKLPPELEPLGDAAASRPESLECPAGPALPCGLS
+QDQEAFRKLGLTKEVLAAHTQKEEQSFLLRFREIRKLHVFQSRCHHYLQERSKGHLSNRT
+APGLRNTPGIDSSWKKNGKNRKLKSKRVKPRDSSGSTGSGGPAPQRPPLVGLNTTAWSLS
+DTSQSSCPAMPFPGPVPAYSLPLFPAPGIVTAPGTVATGSGAAHTDLAVPVDAQQVLRVH
+PPPFASPLAPVMALVLPSCSFSPVTPALPPAFFPGQLNFVSEMPPASQPEFFPDRTSTPK
+QPCPCAPAERGPPALRMATPGTPPLAAGPPDRTSPALFQSRGSSPLQLNLMQLEEAPEGT
+AAATGAVGTVGAGADCKPGSSWDWQPKSPPTCKEPADAPNSDALSSSSGLLRLLLHGDLC
+SATGSALSRSQASATSCSLGSGSLGCDASGSRPGSSHTSHTSRYFGSSDSSENNPGAAVR
+AAVGGPERLLRCVLQDPVWLLAADTDDSVRMTYQMPARDLDTVLQEDREKLKALQRLQPR
+FTDAQKQELQDVHPWMRLGGMPTAIDVAGCVYCENEGEDNLCVSYEEDIPTPGLSEVTET
+KEEESRPPQGPETNGRCNPLPQGSSAASEVR
+>tr|Q1LZ70|Q1LZ70_BOVIN HIG1 domain family, member 1D OS=Bos taurus OX=9913 GN=HIGD1D PE=2 SV=1
+MSSDTDISLSSYDEDQGSKLIRKAREAPFVPIGMAGFAAIVAYGLYRLKSRGHTKMSVHL
+IHMRVAAQGFVVGAMTLGMGYSLYQEFWGKPKP
+>tr|Q2TBI9|Q2TBI9_BOVIN M-phase phosphoprotein 6 OS=Bos taurus OX=9913 GN=MPHOSPH6 PE=2 SV=1
+MAAERKTKLSKNLLRMKFMQRGLDSETKKQLEEEEKKIISEEHWYLDLPELKEKESFIIE
+EQSFLLCEDLLYGRMSFRGFNPEVEKLMLQMNTKNKAEEVEEQTVELDVSDEEMARRYET
+LVGTIGKKFAKKRDRAIYEEDENGDIKPVKAKKMFLKPQD
+>tr|Q08E18|Q08E18_BOVIN HDGF protein OS=Bos taurus OX=9913 GN=HDGF PE=1 SV=1
+MSRSNRQKEYKCGDLVFAKMKGYPHWPARIDEMPEAAVKSTANKYQVFFFGTHETAFLGP
+KDLFPYEESKEKFGKPNKRKGFSEGLWEIENNPTVKASGYQSSQKKSCVEEPEPEPEATE
+GDGDKKGNAEGSSDEEGKLVIDEPTKEKNEKGALKRRAGDLLEDSPKRPKEAEDLEGEEK
+EGATLEGERPLPVEAEKNSTPSEPGSGRGPPQEEEEEEEEEEAAKEDAEAPGLRDHER
+>tr|A2VDU0|A2VDU0_BOVIN Thyroid hormone receptor interactor 10 OS=Bos taurus OX=9913 GN=TRIP10 PE=2 SV=1
+MDWGTELWDQFEVLERHTQWGLDLLDRYVKFVKERTEVEQAYAKQLRSLVKKYMPKRPAK
+DDPESKFSQQQSFVQILQEVNDFAGQRELVAENLSVRVCLELAKYSQEMKQERKMHFQEG
+RRAQQQLESGFKQLENSKRKFERDCREAEKAAQTAERLDQDINATKADVEKAKQQAHLRS
+HMAEESKNEYAAQLQRFNRDQSHFYFSQMPQIFDKLQDMDERRATHLGAGYGLLSETELE
+VVPIIAKCLEGMKVAADAVNAKNDSQVLIELHKSGFARPGDVEFEDFSQPMNRVPSDSSL
+GTPSDGRPELRGTSRSRAKRWPFGKKNKPCPPPLSPLGGPLPSALPNGPPSPRSGLDPLA
+ILSEISKSVKPRLASFRSLRGSRGTVVTEDFSHLPPEQQRKRLQQQLEERNRELQKEMDQ
+REALKKMKDVYEKTPQMGDPASLEPRITETLNNIERLKLEVQKYEAWLAEAESRVLSNRG
+DTLGRHTRPPDPPASAPPDSSSNSNSGSQENKESSEEPPSEEGQDAPIYTEFDEEFEEEP
+ASPIGHCVAIYHFEGSSEGTISMAEGEDLSLMEEDKGDGWTRVRRKQGGEGYVPTSYLRV
+MLN
+>tr|A6QNP7|A6QNP7_BOVIN SLC38A9 protein OS=Bos taurus OX=9913 GN=SLC38A9 PE=2 SV=1
+MANMDSDSRHLLIPEGDHEINPGPMNIQFDSSDIRSKRPFYIEPTNIVSVNDVIQRVSDH
+ASAMNKRIHYYSRLTAPADRALIAPDHVVPAPEECYVYSPLGSAYKLQCYTEGYGKNTSL
+VTIFMIWNTMLGTSILSIPWGIKQAGFTTGMCVIMLMGLLTLYCCYRVVKSRSMISSVDT
+TTWEYPDVCRYYFGSFGQWSSLLFSLVSLIGAMIVYWVLMSNFLFNTGKFIFSTVSVLYL
+IFLVTWKAIRLGFHLEFHWFMPTEFFVPEIRFQFPQLTGVLTLAFFIHNCIITLLKNNKN
+QENNVRDLSIAYMLVTLTYLYIGVLVFASFPSPPLSKDCIEQNFLDNFPSSDILSFIARI
+FLLFQMMTVYPLLGYLARVQLLGHVFGDVYPSIFHVLIFNLFIVGAGVIMACFYPNIGGI
+IRYSGAACGLAFVFIYPSLIYIISLHQEDRLTWPKLYGKSSSDSSEDGI
+>tr|F1MJS7|F1MJS7_BOVIN WD repeat domain 24 OS=Bos taurus OX=9913 GN=WDR24 PE=4 SV=1
+MEKMSRVTTALGGSVLTGRTMHCHLDAPANAISVCRDAAQVVVAGRSIFKIYAIEEEQFV
+EKLNLRVGRKPSLNLSCADVVWHQMDENLLATAATNGVVVTWNLGRPSRNKQDQLFTEHK
+RTVNKVCFHPTEAHVLLSGSQDGFMKCFDLRRKDSVSTFSGQSESVRDVQFSIRDYFTFA
+STFENGNVQLWDIRRPDRCERMFTAHNGPVFCCDWHPEDRGWLATGGRDKMVKVWDMATH
+RAKEVHCVQTIASVARVKWRPECRHHLATCSMMVDHNVYVWDVRRPFVPAAMFEEHRDVT
+TGIAWRHPHDPSFLLSGSKDSTLCQHLFRDASQPVERANPEGLCYGLFGDLAFAAKESLV
+ATESGRKPYAGDRRHPIFFKRKLDPAEPFAGLASSALNVFEIEPGSGSMSWFVDTAERYA
+LAGRPLAELCDHNAKVARELGRNQVAQTWTMLRIIYCSPGLVPTTNLNHSMGKGSSCGLP
+LMNSFNLKDMAPGLGSETRLDRSKGDTRSDTVLLDSSATLITNEDNEETEGSDVPTDYLL
+GDVEGEDDELYLLDPEHAHSEEPEYVLPQEAFPLRHEIVDTPPGPEHLQDKADSPHVSGS
+EADAASLVPVDSSFSLISVSHALCDSRLPPDFFSALVCDMLRFYAEQGDVQMAVSVLIVL
+GERVRKDIDEQTQEHWYTSYIDLLQRFCLWNVSNQVVKLSTSRAISCLNQASTTLHVNCS
+HCKRPMSSRGWVCDRCRRCASMCAVCHHVVKGLFVWCQGCSHGGHLQHIMKWLEGSSHCP
+AGCGHLCEYS
+>tr|A6QP25|A6QP25_BOVIN ANKS1B protein OS=Bos taurus OX=9913 GN=ANKS1B PE=2 SV=1
+MMWQCHLSAQDYRYYPVDGYSLLKRFPLHPLTGPRCPVQTVGQWLESIGLPQYENHLMAN
+GFDNVQFMGSNVMEDQDLLEIGILNSGHRQRILQAIQLLPKMRPIGHDGYHPTSVAEWLD
+SIELGDYTKAFLINGYTSMDLLKKIWEVELINVLKINLIGHRKRILASLGDRLHDDPPQK
+PPRSITLREPSGNHTPPQLSPSLSQSTYTTGGSLDVPHIIMQGDARRRRNENYFDDIPRS
+KLERQMAQSSVCEIWTNQNAGFPFSAIHQVHNTGDWGEPSITLRPPNEATASTPVQYWQH
+HPEKLIFQSCDYKAFYLGSMLIKELRGTESTQDACAKMRANCQKSTEQMKKVPTIILSVS
+YKGVKFIDATNKNIIAEHEIRNISCAAQDPEDLSTFAYITKDLKSNHHYCHVFTAFDVNL
+AYEIILTLGQAFEVAYQLALQARKGGHSSTLPESFENKPSKPIPKPRVSIRKSVIDPSEQ
+KTLANLPWIVEPGQEAKRGINTKYETTIF
+>tr|G3MZM9|G3MZM9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC107131211 PE=4 SV=2
+MNLFIYVLLLSIWTSSCLDRNESNGSATAVTTRAEFKQTKLQELRRRLLIIVIGTLITGY
+MVTCTCLLHYRCDSKEAHKAAKDKKEDITIKASRSSKISFTDSKSPTAGLGDPERQSVVS
+RIDKSSGPSSPRKVPSSAEKLVRPSSQKKPSKPSAPKKVLGSPPQEKLHRTRSPKKAHRQ
+AHAHKLVSQVSPSYPEKAIKPTWPPSLQCRVKPTKTPLPYPKNQSFPEHSSADKLTKRQR
+YLKLKCPASAGRAEILSRPQPVKFCRCYKEKCLVCRAVSEPFITHVSEANKKHVPVPLFS
+RELKHFYKSYKKKQPKYNTLYGNMSDSDITTYNSDGESDREVIIMCNIKCKEDIYKNSPN
+N
+>tr|C4T7Z1|C4T7Z1_BOVIN Lymphocyte antigen 96 OS=Bos taurus OX=9913 GN=LY96 PE=2 SV=1
+MFPFVLFSTLFSSIFTEPRENRWVCNSSDATVWYDYCDNLKFPVSIRSEPCITLKGSRGI
+LYLYYIPRRDIRSLYFNIYLSTKSMNFPLRKEFICRGYDDDFSFCRALKGETVNTTIQFS
+FRGIRFSKGQYNCITEAIEGNTEEKLFCLNFTIIHYPDFN
+>tr|A2VDW0|A2VDW0_BOVIN Lymphocyte antigen 6 complex locus G6E OS=Bos taurus OX=9913 GN=LY6G6E PE=2 SV=1
+MGTSSIFLCILFLGGPLGLAASLAQRQLRCYTCNFVKPCYPVPTKCQEDEVCGISIGTSE
+KSEVIERKGCLPRAECSLQGHTTYWSRSYTLRHHCCEQDLCNLATTPRQLPSLLLITLLV
+LLASFTWGGHQPPVQDSSTVTAALATPTQRSLEICPRT
+>tr|E1BPZ7|E1BPZ7_BOVIN F-box protein 38 OS=Bos taurus OX=9913 GN=FBXO38 PE=4 SV=2
+MGPRKKSVKTCLVNNEIPEEAVSDETKDYMNQLSHEVLCHIFRYLPLQDIMCMECLSRKL
+KEAVTLYLRVVRVVDLCAGRWWEYMPSGFTDSSFLTLLKKMPDVEQLYGLHPRYLERRRV
+RGHEAFSIPGVLEALQACPNLVGVETSHLELVESIWTYMPHVHILGKFRNRNGAFPIPPE
+NKLKIPIGAKIQTLHLVGVNVPEIPCIPMLRHLYMKWVRLTKPQPFKDFLCISLRTFVMR
+NCAGPTNSLKYVPLVTGLASARNLEHLEMVRVPFLGGLIQHVVEDSWRSGGFRNLHTIVL
+GACKNALEVDLGYLIITAARRLHEVRIQPSLTKDGVFSALKMAELEFPQFETLHLGYVDE
+FLLQSRMANADLVKYGLADVVENPGIITDIGMKAVNEVFSCIKYLAIYNCPHLHNPYNWI
+SDHSRWTRLVDINLVRCHALKLDSFGQFIELLPSLEFISLDQMFREPPKGCARVGLSAGT
+GIGVSSALVSNQNSNNDNDNNAQNNANIHDNNHHHPDDSDEENDFRQDLQPGEQQFAADA
+LNEMEDMVQEDGEVMAESGHETPAHSQAVVPVDVDEEQAGPSGLQRVVKPTPITVHDSES
+DDEEDSLELQEVWIPKNGARRFSEREEKTGESVQSRELSVSGKGKTPLRKRYNSHQMGQS
+KQFPLEESSCEKGCQVTSEQIKADMKAARDIPEKKKNKDVYPSCSSTTASTVGNSSSHST
+ASQSPDFVRTVNSSGSSEPSPTEVDVSRQCVCSPGGSEDSEAMEEGDAESSVCPRCCCHR
+PQESQRRTSRCSDEERPSTSRACVVNGPDGTRSAFSFRTLPQGGSSGPAHDERTNGSGSG
+ATGEDRRGSSQPERCDVQSNEDYPRRPLTRARSRLSHVPLVSESEVAKTKPRHAMKRKRT
+ADKSTSTSDPVIEDDHVQVLVLKSKNLVGVTMTNCGITDLVLKDCPKMMFIHATRCRVLK
+HLKVENAPIVNRFDYAQCKKLNMDQVLDQILRMPPERNRIIYLRPMQQVDTLTLEQKLFS
+GPYPYHICIIHEFSNPPNVRNKVRIRSWMDTIANINQELIKYEFFPEATRSEDDLKKYPK
+YPWGREIYTLEGVVDGAPYSMISDFPWLRSLRAAEPNSFARYDFEDDEESTIYAPRRKGQ
+LSADICMETIGEEISEMRQMKKGVFQRVVAIFIHYCDVNGEPVEDDYI
+>tr|E1BNR7|E1BNR7_BOVIN Vang-like protein OS=Bos taurus OX=9913 GN=VANGL1 PE=3 SV=3
+MDTESTYSGYSYYSSHSKKSHRQGERNRERHKSPRNKDGRGSEKSVTIQAPTGEPLLAND
+SARTEEAQDDNWGETTTAITGTSEHSISQEDIARISKDMEDSVGLDCRRYLGLTVAAVLG
+LLVFLTPIAFILLPPILWRDELEPCGTICEGLFISVAFKLLILLIGTWALFFRKQRADMP
+RIFVFRALLLVLIFLFVVSYWLFYGVRILDSRDRNYQGIVQYAVSLVDALLFIHYLAVVL
+LELRQLQPMFTLQVVRSTDGESRFYSLGHLSIQRAALVVLENYYKDFTIYNPNLLTASKF
+RAAKHMAGLKVYNVDAALMAKLELPVTDGPSNNATGQSRAMIAAAARRRDSSHNELYYEE
+AEHERRVKKRRARLVVAVEEAFIHIQRLQAEEQQKAPGEVMDPREAAQAIFPSMARALQK
+YLRTTRQQNYHSMESILQHLAFCITHSMTPKAFLERYLSAGPTLQYDRERWLSTQWRLVS
+DEAVTNGLRDGLVFVLKCLDFSLVVNVKKIPFIMLSEEFIDPKSHKFVLRLQSETSV
+>tr|Q05B43|Q05B43_BOVIN Metallothionein OS=Bos taurus OX=9913 GN=MT4 PE=2 SV=1
+MDSGECTCMSGGTCACGDNCKCTTCSCKTCRKSCCPCCPPGCAKCARGCICKGASDKCSC
+CP
+>tr|E1BLS9|E1BLS9_BOVIN Nuclear GTPase, germinal center associated OS=Bos taurus OX=9913 GN=NUGGC PE=4 SV=3
+MAETEDFLVRELHPEDDDIFKEPMRKRRRSDRDQRFRAFPSVEQSALKEYEKLESRTRRV
+LSNTYQKLIQSVFLDDNIPNSIKYLINRLLALIEKPSLDPIYIGLFGSTGAGKSSLINAI
+IRQAMFLPVSGESICTSCIVQVSSGCCEQYEAKIHLLSDQEWKEELKNLTTLLHRTEGPG
+GEEEDAWDRGDAAEEAVWKLQMFYGNGAEGKSYEELLRAKPRGKIPTSRVISLKAEEAGE
+LSVKLDPYIRTQRRDWDGGSAETHIWPLIKLVEVTLPKSELIPEGVVLVDIPGTGDFNSK
+RDEMWKKTIDKCSVIWVISDIERISGGRAHEDLLNESMKACQRGFCRDLALVVTKSDKLH
+LPEYLRERKVGNEAIQSQREAVLERNEMIKLQRNKILKDKLKRKLPADSRVLEASELVYT
+VSAQEYWQQAVLTEEETEIPKLREYIRRRLLDKKKRTVTKYVTEAFGLLLLTDSFSCIDH
+MQNEYLHMSGLRRFVEEKIQLLEKAIDQCFAHIARPLQEGIWNARSSYRRILGACLVRSR
+GNQGFHQTLKAVCLKNGIYASRTLARIDLNEAITQPIYEQIDPIFGRIFSRSGKPTDGSA
+LIPHIDAFKLSLQEKMTEIGIRNGWKYDSYKKTFLIQEISAILGGLEEHILRKKRKIYES
+LTTSVQNDLKPCYEEAAQITGKKACERMKDVLRRGVDRQVAEGMFERAQERMQHQFQQLK
+NGITEKVKGSIATMLTLASSPGDGLYKELADVKSEYKEMEKLHRSLREVAENAVLRRGMQ
+NFLLRMSPSKAGPPKT
+>tr|Q32KZ3|Q32KZ3_BOVIN Leucine rich repeat containing 18 OS=Bos taurus OX=9913 GN=LRRC18 PE=2 SV=1
+MAKGAKGPKGKKITLAVAKNCIKITFDGKKRLDLSKMGITTFPKCILRLTDVDELDLSRN
+LIKKIPDAISKFQNLRWLDLHSNYIDKLPETIGQMTSLLYLNVSNNRLTTNGLPVELNQL
+KNIRTVNLGLNHLDSVPTTLGALKELHEVGLHDNLLSNIPNSISKLPKLKKLNTKRNPFP
+KAESSDMFMDSIRRLDNLHLVEEKDLCGTCLKKCQQARDKLNKIKSMATTIPRKAIFSTL
+VSPNSMAKESQEDWR
+>tr|A2VDT0|A2VDT0_BOVIN Potassium voltage-gated channel subfamily A regulatory beta subunit 3 OS=Bos taurus OX=9913 GN=KCNAB3 PE=2 SV=1
+MQVSIACTEQNLRSRSSEDRLCGPRPGPGGGNGGLVDGGHGNPPGGGGSGPKARAAVVPR
+PPAPAGALRESTGRGTGMKYRNLGKSGLRVSCLGLGTWVTFGSQISDETAEDVLTVAYEH
+GVNLFDTAEVYAAGKAERTLGNILKSKGWRRSSYVITTKIFWGGQAETERGLSRKHIIEG
+LRGSLERLQLGYVDIVFANRSDPNSPMEEIVRAMTYVINQGLALYWGTSRWGAAEIMEAY
+SMARQFNLIPPVCEQAEHHLFQREKVEMQLPELYHKIGVGSVTWSPLACGLITSKYDGRV
+SDTCRVTIKGYQWHKDKVQSEDGKKQQAKVMDLLPIAHQLGCTVAQLAIAWCLRSEGVSS
+VLLGVSSAEQLVEHLGALQVLSQLTPQTVIEIDGLLGNKPHPKK
+>tr|A5PJW8|A5PJW8_BOVIN DNA-directed RNA polymerase subunit beta OS=Bos taurus OX=9913 GN=POLR2B PE=1 SV=1
+MYDADEDMQYDEDDDEITPDLWQEACWIVISSYFDEKGLVRQQLDSFDEFIQMSVQRIVE
+DAPPIDLQAEAQHASGEVEEPPRYLLKFEQIYLSKPTHWERDGAPSPMMPNEARLRNLTY
+SAPLYVDITKTVIKEGEEQLQTQHQKTFIGKIPIMLRSTYCLLNGLTDRDLCELNECPLD
+PGGYFIINGSEKVLIAQEKMATNTVYVFAKKDSKYAYTGECRSCLENSSRPTSTIWVSML
+ARGGQGAKKSAIGQRIVATLPYIKQEVPIIIVFRALGFVSDRDILEHIIYDFEDPEMMEM
+VKPSLDEAFVIQEQNVALNFIGSRGAKPGVTKEKRIKYAKEVLQKEMLPHVGVSDFCETK
+KAYFLGYMVHRLLLAALGRRELDDRDHYGNKRLDLAGPLLAFLFRGMFKNLLKEVRIYAQ
+KFIDRGKDFNLELAIKTRIISDGLKYSLATGNWGDQKKAHQARAGVSQVLNRLTFASTLS
+HLRRLNSPIGRDGKLAKPRQLHNTLWGMVCPAETPEGHAVGLVKNLALMAYISVGSQPSP
+ILEFLEEWSMENLEEISPAAIADATKIFVNGCWVGIHKDPEQLMNTLRKLRRQMDIIVSE
+VSMIRDIREREIRIYTDAGRICRPLLIVEKQKLLLKKRHIDQLKEREYNNYSWQDLVASG
+VVEYIDTLEEETVMLAMTPDDLQEKEVAYCSTYTHCEIHPSMILGVCASIIPFPDHNQSP
+RNTYQSAMGKQAMGVYITNFHVRMDTLAHVLYYPQKPLVTTRSMEYLRFRELPAGINSIV
+AIASYTGYNQEDSVIMNRSAVDRGFFRSVFYRSYKEQESKKGFDQEEVFEKPTRETCQGM
+RHAIYDKLDDDGLIAPGVRVSGDDVIIGKTVTLPENEDELEGTNRRYTKRDCSTFLRTSE
+TGIVDQVMVTLNQEGYKFCKIRVRSVRIPQIGDKFASRHGQKGTCGIQYRQEDMPFTCEG
+ITPDIIINPHAIPSRMTIGHLIECLQGKVSANKGEIGDATPFNDAVNVQKISNLLSDYGY
+HLRGNEVLYNGFTGRKITSQIFIGPTYYQRLKHMVDDKIHSRARGPIQILNRQPMEGRSR
+DGGLRFGEMERDCQIAHGAAQFLRERLFEASDPYQVHVCNLCGIMAIANTRTHTYECRGC
+RNKTQISLVRMPYACKLLFQELMSMSIAPRMMSV
+>tr|A6QPL5|A6QPL5_BOVIN Diacylglycerol kinase OS=Bos taurus OX=9913 GN=DGKD PE=2 SV=1
+MAAAAGAPQPGPPQPPPPPPPEESSDSEPEAEPGSPQKLIRKVSTSGQIRQKTVIKEGML
+TKQNNSFQRSKRRYFKLRGRTLYYAKTAKSIIFDEVDLTDASVAESSTKNVNNSFTVITP
+CRKLILCADNRKEMEDWIAALKTVQSREHFEPTQYSMDHFSGTHNWYACSHARPTYCNVC
+REALSGVTSHGLSCEVCKFKAHKRCAVRATSNCKWTTLASIGKDIIEDEDGIAMPHQWLE
+GNLPVSAKCTVCDKTCGSVLRLQDWRCLWCKAMVHTACKDSLVTKCPLGLCKVSVIPPTA
+LNSIDSDGFWKATCPPSCTSPLLVFVNSKSGDNQGVKFLRRFKQLLNPAQVFDLMNGGPH
+LGLRLFQKFDTFRILVCGGDGSVGWVLSEIDSLNLHKQCQLGVLPLGTGNDLARVLGWGS
+ACDDDTQLPQILEKLERASTKMLDRWSVMAYETKLPRPVSSSTVTEDLSEGSEVQQILFY
+EDSVAAHLSKILTSDQHSVVISSAKVLCETVKDFVARVGKAYEKTTESSEESEVMAKKCS
+VLKEKLDSLLKTLDDESQASSSLPTPPPTIAEEAEDGDGAGGGCGSGSTGAHAVGSACPT
+RPQIFRPREQLMLRANSLKKAIRQIIEHTEKAVDEQNAQTQEQEGFILSLSESEKKDLKS
+DDRAGHGEGHSASKGRSLRRVSKSPCEKLISKGSLSPGGSASLPPQSGSRDGLPALNTKI
+LFPNVRAGMSGTLPGGSVISRLLINADPFNSEPENLEYYTEKCVMNNYFGIGLDAKISLD
+FNNKRDEHPEKCRSRTKNMMWYGVLGTRELLHRTYKNLEQKVLLECDGRPIPLPSLQGIA
+VLNIPSYAGGTNFWGGTKEDDTFTAPSFDDKILEVVAVFGSMQMAVSRVIKLQHHRIAQC
+RTVKISILGDEGVPVQVDGEAWVQPPGYIRIVHKNRAQTLTRDRAFENTLKSWEDKQKCE
+LPRAPSFSLHPEILSEEEATQMDQFGQAAGALIHSIRELAQSHQDVEQELAHAVNASSKS
+MDRVYGKPRATEGLSCSLVLEMVNNVRALRSETELLLAGRLALQLDPPQKERLTAALAEM
+DQQLRKLADTPWLCQPMEPGDEENVMLDLSKRSRSGKFRLVTKFKKEKNNKNRAARCSLG
+APVHLWGTEEVAAWLEHLSLCEYKDIFTRHDIRGSELLHLERRDLKDLGVTKVGHMKRIL
+CGIKELSRGAAAAEA
+>tr|G3MYD8|G3MYD8_BOVIN Manganese-dependent ADP-ribose/CDP-alcohol diphosphatase OS=Bos taurus OX=9913 GN=ADPRM PE=4 SV=2
+MDYKPEPELLSESSERLFSFGVIADIQYADLEDGYNFQGNRRRYYRHSLLHLQGAIEHWN
+QERSPPRCVLQLGDIIDGYNAQYKASEKSLERVMNTFQMLRVPVHHTWGNHEFYNFSRDY
+LTNSKLNTKFLEDQIAHHPETVPSEDYYAYHFVPFPKFRFILLDAYDMSVLGVDQSSPKY
+QQCLKILREHNPNTELNSPQGLREPQFVQFNGGFSPEQLNWLNAVLTFSDRNQEKVVIVS
+HLPIYPEASDSVCLAWNYRDALAVIWSHKCVVCFFAGHTHDGGYSEDPYGVHHVNIEGVI
+ETAPDSQAFGTVHVYPDKMMLEGRGRVPHRIMNYRKE
+>tr|A0JNI7|A0JNI7_BOVIN G-rich RNA sequence binding factor 1 OS=Bos taurus OX=9913 GN=GRSF1 PE=2 SV=1
+MAGTRWVLGALLRGCGCNCSSCRRTGAACLPFYSTAGSFPSGVSGRRRLLLLLGAAAAAA
+SQTRGFQSGPVTAGRLAGPPTAAASAAAAAAAASYPALRAPLLPQSLAAAAAGPARGYSQ
+ESKTTYLEDLPPLPEYELPPSKLGEEVDDVYLIRAQGLPWSCTVEDVLSFFSDCRIRNGE
+NGIHFLLNRDGKRRGDALIEMESEQDVQKALEKHRMYMGQRYVEVYEINNEDVDALMKSL
+HVKSAPMVNDGVVRLRGLPYSCNEKDIVDFFAGLNIVDITFVMDYRGRRKTGEAYVQFEE
+PEMASQALLKHREEIGNRYIEIFPSRRNEVRTHVGSHKGKKIASSPTAKYITEPEMVFEE
+HEVNEDIRPMTAFESEKEIELPKEMSEKIPEAVDFGTTSSLHFVHMRGLPFQANAQDIIN
+FFAPLKPVRITMEYSSSGKATGEADVHFDTHEDAVAAMLKDRSHVHHRYIELFLNSCPKG
+K
+>tr|F1MLB6|F1MLB6_BOVIN Amyloid beta precursor protein binding family A member 2 OS=Bos taurus OX=9913 GN=APBA2 PE=4 SV=2
+MSPTMAHRKRQSVASSLLDHRARPGPEPESEDAELALEEYVPTDLELAALRPESPVPAEQ
+GGPDQSPDGDSSSDYVNNTSEEEDYDEGLPEEEEGITYYIRYCPEDDSYLQGTDCDGQGY
+LAHDTRHLETDECQEAVEEWTEPTGPHAHGHSDPGSPGYRDGHLSVPEDEASVLDSRDQE
+EDVHYCPSEEAYQDYYPAEANGNAGSTSPYRPRRRDGDPEDQEEDIDQIVAEIKMSLSMS
+SITSGGEASPEHAPRGPEPGPGDSPEACPLAEASRGPNRHEGRPKSLNLPPEAKHPADPQ
+RSFKTKTRTPEERPKWPQEEVCNGLEQPRKQQRSDLNGPVDNNNIPETKKVASFPSFVAV
+PGPCEPEDLIDGIIFAANYLGSTQLLSERNPSKNIRMMQAQEAVSRVKRMQKAAKIKKKA
+NSEGDAQTLTEVDLFISTQRIKVLNADTQETMMDHALRTISYIADIGNIVVLMARRRMPR
+SASQDCIETTPGAQEGKKQYKMICHVFESEDAQLIAQSIGQAFSVAYQEFLRANGINPED
+LSQKEYSDIINTQEMYNDDLIHFSNSENCKELQLEKHKGEILGVVVVESGWGSILPTVIL
+ANMMNGGPAARSGKLSIGDQIMSINGTSLVGLPLATCQGIIKGLKNQTQVKLNIVSCPPV
+TTVLIKRPDLKYQLGFSVQNGIICSLMRGGIAERGGVRVGHRIIEINGQSVVATAHEKIV
+QALSNSVGEIHMKTMPAAMFRLLTGQETPLYI
+>tr|G8BLB4|G8BLB4_BOVIN Leptin OS=Bos taurus OX=9913 GN=LEP PE=3 SV=1
+MRCGPLYRFLWLWPYLSYVEAVPICKVQDDTKTLIKTIVTRINDISHTQSVSSKQRVTGL
+DFIPGLHPLLSLSKMDQTLAIYQQILTSLPSRNVVQISNDLENLRDLLHLLAASKSCPLP
+QVRALESLESLGVVLEASLYSTEVVALSRLQGSLQDMLRQLDLSPGC
+>tr|E1BKA4|E1BKA4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=HAUS4 PE=4 SV=2
+MASGDFCSPGEGMEMLQQVCSKQLPPCNLSEEDLLQNPHFSQLLLSLSQHVDESGLSLTL
+AKEQAQAWKDVRLHKTTWLRSEILQRVIQELLVDYYVKTQDADLTSEDRKFHETLEQRLL
+VTELTRLLGPSQEREVPPLLGLEKADLLELMPPSEDFVYMRARLPLEVEEQLKKKCFTVL
+CYHNPSSDLDSETLKAAKVWNLAEVLAGEKQQCQAAKSQQEEQMVLLEKKSATYSQVLLR
+CLALLQRLLQEHRLKTQSELDRINAQYLEIKCSAMILKLRMEELKILSDTYTAEKVEVHR
+LIRDRLEGAIRLQEQDMEKSRQVLNTYEVLGEEFDKLVKEYTQLKQATENKRWTLQEFNK
+ACR
+>tr|A4FUW9|A4FUW9_BOVIN MGC139239 protein OS=Bos taurus OX=9913 GN=ZNF385B PE=2 SV=1
+MNMATFLRGFEEKGIKNDRPEDQLSKEKKKILFSFCEVCNIQLNSAAQAQVHYNGKSHRK
+RVKQLSDGQPSSPAQGSGPPPPASPSTHTSTGSTCHTTTLPALVRTPTLMMQPSLDIKPF
+MSFPVDSNSAVGLFPNFNTMDPVQKAVINHTFGVSIPPKKKQVISCNVCQLRFNSDSQAE
+AHYKGSKHAKKVKALEATKNKPKMVSSKDSAKANPSCSITPITGNNSDKSEEKGKLKGSI
+SNQVSSSEGGLFLPKPGTAALPPAAATSPSKSTNGAPGTVSESEEEKAKKLLYCSLCKVA
+VNSLSQLEAHNTGSKHKTMVEARNGAGPIKSYPRPGSRLKMQNGSKGSGLQNKTFHCEIC
+DVHVNSEIQLKQHISSRRHKDRVAGKPLKPKYSPYNKLQRSPSILAAKLAFQKDMMKPLA
+PAFLSSPLAAAAAVSSALSLPPRPSASLFQAAAIPPALLRPGHGPIRATPASILFAPY
+>tr|A6QLS0|A6QLS0_BOVIN DNA-directed RNA polymerase subunit beta OS=Bos taurus OX=9913 GN=POLR1B PE=2 SV=1
+MDPGGRWRNLPSGPSLKHLTDPSYGIPREQQKPALQELTRAHVESFNYAVREGLSHAVQA
+VPPFEFAFKDERICLTIVDAVISPPAVPKGSICKELNVYPAECRGRRSTYRGKLTADISW
+SVNGISKGVIKQFLGYVPIMVKSKLCNLYNLPPKALIEHHEEAEEMGGYFIINGIEKVIR
+MLIMPRRNFPIAMVRPKWKSRGPGYTQYGVSMHCVREEHSAVNMNLHYLENGTVMLNFIY
+RKELFFLPLGFALKALVSFSDYQIFQELIKGKEDDSFFRNSVSQMLRIVMEEGCSTQKQV
+LNYLGERFRVKLSLPDWYPNEQAAEFLFNQCICIHLKSNTEKFYMLCLMTRKLFALAKGE
+CMEENPDSLMNQEVLTPGQLFLMFLKEKLEAWLVSIKIAIDKKSQKTNVSLNSENLMKIF
+SLGIDLTKPFEYLLATGNLRSKTGLGFLQDSGLCVVADKLNFIRYLSHFRCVHRGADFAK
+MRTTTVRRLLPESWGFLCPVHTPDGEPCGLMNHLTAICEVVTQSVYTASIPALLCNLGVT
+PVDGTPHRPYSECYPVLLDGVMIGWADKEIAPGIADSLRHFKVLREKRIPPWMEVALIPM
+TGKPSLYPGLYLFTTPCRLVRPVQNLELGKEELIGTMEQIFMNVAIFEDEVLAGVTTHQE
+LFPHSLLSVIANFIPFSDHNQSPRNMYQCQMGKQTMGFPLLTFQDRSDNKLYRLQTPQSP
+LVRPYMYDYYDMDNYPIGTNAIVAVISYTGYDMEDAMIVNKASWERGFAHGSVYKSEFID
+LSERIKQGDDSLVFGVKPGDPRVLQKLDNDGLPFIGAQLKYGDPYYSYLNLNTGEGFVMY
+YKSKENCIVDNIKVCSNDTGTGKFKCVCITMRVPRNPTIGDKFASRHGQKGILSRLWPVE
+DMPFTESGMVPDILFNPHGFPSRMTIGMLIESMAGKSAALHGLCHDATPFIFSEENSALE
+YFGEMLKAAGYNYYGTERLYSGISGLELEADIFIGVVYYQRLRHMVSDKFQVRTTGARDK
+VTNQPIGGRNVQGGIRFGEMERDALLAHGTSFLLHDRLFNCSDRSVAHVCVKCGSLLSPL
+LEKPPPSWSALRNRKYICALCNRSDTIDTISLPYVFRYFVAELAAMNIKVKLDIV
+>tr|G3MYS0|G3MYS0_BOVIN MAGE domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=2
+QEGPDPLTRHESKAQGLECVQAPMAQKEEATSSCFSPTATSATPLSQTDENSRSQLEEEP
+SISQTPPDPESSLSGELNNKVAELMQFLCVKYVTKEPITKAEMLRSVIREHKENFPEIFS
+KVCECMEVVFGIEVKEVDPTGHSYELVKTLNLTYDGMLSNDGGMPKTGFLILILGMIFME
+GNCAPEEKIWKALNTMGVYAGQEDFIYGEPRKLITKDLVEEQYLQYRQVPHSDPPRYEFL
+WGPRAYAETSKMKVLEFFAKISGTDPTSFLFWYEEALRDERAQARTMVILA
+>tr|F1MBK3|F1MBK3_BOVIN Tyrosinase OS=Bos taurus OX=9913 GN=TYR PE=2 SV=1
+MLLAALYCLLWSFRTSAGHFPRACASSKSLTEKECCPPWAGDGSPCGRLSGRGSCQDVIL
+STAPLGPQFPFTGVDDRESWPSIFYNRTCQCFSNFMGFNCGSCKFGFRGPRCTERRLLVR
+RNIFDLSVPEKNKFLAYLTLAKHTTSPDYVIPTGTYGQMNHGTTPLFNDVSVYDLFVWMH
+YYVSRDTLLGDSEVWRDIDFAHEAPGFLPWHRLFLLLWEQEIQKLTGDENFTIPYWDWRD
+AENCDVCTDEYMGGRNPANPNLLSPASFFSSWQIVCSRLEEYNSRQALCNGTSEGPLLRN
+PGNHDKARTPRLPSSADVEFCLSLTQYESGSMDKAANFSFRNTLEGFADPVTGIADASQS
+SMHNALHIYMNGTMSQVPGSANDPIFLLHHAFVDSIFEQWLRKYHPLQDVYPEANAPIGH
+NRESYMVPFIPLYRNGDFFISSKDLGYDYSYLQDSEPDIFQDYIKPYLEQAQRIWPWLIG
+AAVVGSVLTAVLGGLTSLLCRRKRNQLPEEKQPLLMEKEDYHNLMYQSHL
+>tr|I2E4T6|I2E4T6_BOVIN Mannan binding lectin serine peptidase 2 OS=Bos taurus OX=9913 GN=MASP2 PE=2 SV=1
+MRLLVFLGLLWGLVAASSGPQWPKPVFGRLASPGFPDKYANNQERRWALTAPPGYRLRLY
+FTHFQLEPSYLCEYDFVKVPAGQAGGWDTWEQGLTLEGGRAVRPGVAPLLPVTPEPPS
+>tr|Q2WFM8|Q2WFM8_BOVIN Growth hormone E1 OS=Bos taurus OX=9913 GN=PRP5 PE=2 SV=1
+MAPAPSFHGHQWTYNLVRGSCLLLLLVMSNLLLCQGILCPSLCPDGDDVCRASLIDLFVH
+ASVLSTGMYNHSVKMFTDFDEQYAQGKEYFINVSDKCHTNSLHLPEDMQHIRRMNSKGLI
+MWILMLLYSWQRPLYQLVTDLRSMKEVSNTILSSARENVKKLKELQALIERPFSQVIFTA
+RRKMYIARIYWFGLRSLLSSNEDRRHSAFYSLFFCLRRDTRKLDIYTKFVACRLIYKKC
+>tr|A0A140T880|A0A140T880_BOVIN Solute carrier family 43 member 3 OS=Bos taurus OX=9913 GN=SLC43A3 PE=4 SV=1
+MQGRSLPFRVATLVTGLLECLGFAGVLFGWTSLVFVFKKQHYFEELCELDAGSLGNATGL
+DECRARDERFSLIFTLASFTINFMTFPTGYIFDRFKTTVARLIAIFLYTSATLTIAFTSA
+DSAVLLFLAMPMLAVGGILFLITNLQIGNLFGKHRSTIITMYNGAFDSSSAVFLIIKLLY
+EQGVTIKASFLFISVCSAWHVGRTLLLMPRGHIPYPLPANYRYGLCSRDSPPEEDKKEAG
+SEKLELQSKEFPSPKKETPGQQQAPGSFWSHTFSPRFAWHVVWLSVIQLWHYLFIGTLNS
+LLNNLASSDSAIVSTYTNAFAVTQFFGVLCAPWNGLLMDRLKHKYQEEAKRTGAVAKAAA
+LRSVVPSLTLTSLLSLGFAVCASIPVLPLQYATFILQVVSRSFLYGCNAAFLTLAFPSEH
+FGKLFGLVMALSAVVSLLQFPLFTLIKGPLQNDPLYVNLMLVLLTLLTFIHPFLVNRECQ
+RKESPREVA
+>tr|A6QQA1|A6QQA1_BOVIN MFSD2 protein OS=Bos taurus OX=9913 GN=MFSD2A PE=2 SV=1
+MAKGEGAESGSAAGLLPTGILQAGERPVQVKKEPKKKKQLSICNKLCYAVGGAPYQVTGC
+ALGFFLQIYLLDVAQVDPFSASIILFVGRAWDAITDPLVGFCISKSPWTRLGRLMPWITF
+STPLAIIAYFLIWFVPDFHQGQTLWYLLFYCLFETLVTCFHVPYSALTMFISTEQSERDS
+ATAYRMTVEVLGTVLGTAIQGQIVGQADSPCIPDANASTVNRTQSSTSIKETQNAYLLAA
+GVIASIYVICAVILILGVREQRESYETQQTKQMPFFRGLRLVMSHGPYIKLIAGFLFTSL
+AFMLVEGNFALFCTYTLGFRNEFQNLLLAIMFSATVTIPIWQWFLTRFGKKTAVYIGISS
+AVPFLILVALMESNLIVTYVVAVAAGISVAAAFLLPWSMLPDVIDDFHLKQPHIHGTEPI
+FFSFYVFFTKFASGVSLGISTLSLEPAALQAVPH
+>tr|A3KMY2|A3KMY2_BOVIN DKK2 protein OS=Bos taurus OX=9913 GN=DKK2 PE=2 SV=1
+MAALMRGKDSSRCLLLLAAVLMVESSQFGSSRAKLNSIKSSLGGETPAQAANRSAGTYQG
+LAFGGSKKGKNLGQAYPCSSDKECEVGRYCHSPHQGSSACMVCRRKKKRCHRDGMCCPGT
+RCNNGICIPVTESILTPHIPALDSTRHRDRNHGHHSNHDLGWQNLGRPHTKLSHIKGHEG
+DPCLRSLDCTEGFCCARHFWTKICKPVLHQGEVCTKQRKKGSHGLEIFQRCDCAKGLSCK
+VWKDATYSSKARLHVCQKI
+>tr|Q56JW2|Q56JW2_BOVIN Placenta-specific 8 OS=Bos taurus OX=9913 GN=PLAC8 PE=2 SV=1
+MNPVVSQPGYSSAVSASSDWQTGIFDCFDDIGICLCGALFPLCLSCQIASDMNECCLWGS
+SVAMRTMYRTRYGIPGSICKDCLCLTFLPLCALCQLKRDIEKRKAMNAL
+>tr|A2VE81|A2VE81_BOVIN Occludin OS=Bos taurus OX=9913 GN=OCLN PE=2 SV=1
+MSSRPFESPPPYRPDEFKPNHYTPSNDIYSGEMHVRPMLSQPAYSFYPEDEILHFYKWTS
+PPGVIRILSMLVIVMCIAIFACVASTLAWDRGYGTLMGAGVNYPYAGSAFGSYGSGYGYG
+YGYGYGYGTGYTDPRAAKGFLLAMAAFCFIAALVIFVTSVVRSGISRTRRYYLTVIIVTA
+VLGIMMFIATIVYIMGVNPTAQASGSLYSSQIYALCNQFYTPAATGLYVDQYLYHYCVVD
+PQEAIAIVLGFMVIVAFALMIFFAVKTRRKMNCYDKSNILWDKERIYDEQPPNVEEWVKN
+VSAGTQDMPPPLSDYVERVDSPVAYSSNGKVNEKRLYPESSYKSTPVPEVAQELPLTSPV
+EDFRQPHYSSSGNLETLSKRAPAKGRAGKSRRAEQDHYETDYTTGGESCDELEDDWIREY
+PPITSDQQRQLYKRSFDTGLQEYKSLQAELDEVNKELSRLDKELDDYREESEEYMAAADE
+YNRLKQVKGSADYKSKRNYCKQLKSKLSHIKKMVGDYDRRKT
+>tr|F1N2F3|F1N2F3_BOVIN C-type lectin domain family 7 member A OS=Bos taurus OX=9913 GN=CLEC7A PE=4 SV=1
+MEYQSSVENLDEDGYTQLDFSSRNITRRSVVSEKGLCAASSHWRLIAVTLGILCSVMLVI
+TVVLSTSGIWRSSSGNNLLKSDSFPSRNKDNQSQPTQSSLEDSVIPTKALTTTGVFSSSC
+PPNWITHEDSCYLFSTLLDSWDGSKRQCFQLGSHLLKIDSSKELEFISRQVSSQPDHSFW
+IGLSRRQTEEPWLWEDSSTLLSNLFQIRSTVTEKDSSHNCAWIHVSDIYDQLCSVHSYSI
+CEKKLSV
+>tr|A6H7G6|A6H7G6_BOVIN ACTR6 protein OS=Bos taurus OX=9913 GN=ACTR6 PE=2 SV=1
+MTTLVLDNGAYNAKIGYSHETVSVIPNCQFRSKTARLKTFTANQIDEIKDPSGLFYILPF
+QKGYLVNWDVQRQVWDYLFGKEMYQVDFLDTNIIITEPYFNFTSIQESMNEILFEEYQFQ
+AVLRVNAGALSAHRYFRDNPSELCCIIVDSGYSFTHIVPYCRSKKKKEAIIRINVGGKLL
+TNHLKEIISYRQLHVMDETHVINQVKEDVCYVSQDFYRDMDIAKLKGEENTVMVDYVLPD
+FSTIKKGFCKPREEMVLSGKYKSGEQILRLANERFAVPEILFNPSDIGIQEMGIPEAIVY
+SIQNLPEEMQPHFFKNIVLTGGNSLFPGFRDRVYSEVRCLTPTDYDVSVVLPENPITYAW
+EGGKLISENDDFEDMVVTREDYEENGHSICEEKFDI
+>tr|Q32LE1|Q32LE1_BOVIN YEATS domain containing 4 OS=Bos taurus OX=9913 GN=YEATS4 PE=2 SV=1
+MFKRMAEFGPDSGGRVKGVTIVKPIVYGNVARYFGKKREEDGHTHQWTVYVKPYRNEDMS
+AYVKKIQFKLHESYGNPLRVVTKPPYEITETGWGEFEIIIKIFFIDPNERPVTLYHLLKL
+FQSDTNAMLGKKTVVSEFYDEMIFQDPTAMMQQLLTTSRQLTLGAYKHETEFAELEVKTR
+EKLEAAKKKTSFEIAELKERLKASRETINCLKNEIRKLEEDDQTKEI
+>tr|Q6QTH0|Q6QTH0_BOVIN NADH-ubiquinone oxidoreductase chain 2 OS=Bos taurus OX=9913 GN=ND2 PE=3 SV=1
+MNPIIFIIILLTIMLGTIIVMISSHWLLVWIGFEMNMLAIIPIMMKNHNPRATEASTKYF
+LTQSTASMLLMMAVIINVMFSGQWTVMKLFSPMASMLMTMALAMKLGMAPFHFWVPEVTQ
+GIPLSSGLILLTWQKLAPMSVLYQIFPSINLNLILTLSVLSILIGGWGGLNQTQLRKIMA
+YSSIAHMGWMTAVLPYNPTMTLLNLIIYIIMTSTMFTMFMANSTTTTLSLSHTWNKTPIM
+TVLILATLLSMGGLPPLSGFMPKWMIIQEMTKNNSIILPTFMAITALLNLYFYMRLTYST
+TLTMFPSTNNMKMKWQFPLMKKMTFLPTMVVLSTMMLPLTPMLSVLE
+>tr|A0A3Q1M927|A0A3Q1M927_BOVIN Small ubiquitin-related modifier OS=Bos taurus OX=9913 PE=3 SV=1
+MADEKPKEGVKTENNDHINLKVAGQDGSVVQFKIKRHTPLSKLMKAYCERQGLSMRHIRF
+RFDGQPINETDTPAQLEMEDEDTIDVFQQQTGGVY
+>tr|Q58DE9|Q58DE9_BOVIN Neurexin 3 isoform beta OS=Bos taurus OX=9913 GN=NRXN3 PE=2 SV=1
+MHLRIHARRNPPRRPAWTLGIWSLFWGCIVSSVWSSSNVASSSSSPGSHSQHEHHFHGSK
+HHSVPISIYRSPVSLRGGHAGATYIFGKSGGLILYTWPANDRPSTRSDRLAVGFSTTVKD
+GILVRIDSAPGLGDFLQLHIEQGKIGVVFNIGTVDISIKEERTPVNDGKYHVVRFTRNGG
+NATLQVDNWPVNEHYPTGNTDNERFQMVKQKIPFKYNRPVEEWLQEKGRQLTIFNTQAQI
+AIGGKDKGRLFQGQLSGLYYDGLKVLNMAAENNPNIKINGSVRLVGEVPSILGTTQTTSM
+PPEMSTTVMETTTTMATTTTRKNRSTASIQPTSDDLVSSAECSSDDEDFVECEPSTGRLA
+NPTEPGVRRVPGASEVIRESSSTTGMVVGIVAAAALCILILLYAMYKYRNRDEGSYQVDE
+TRNYISNSAQSNGTLLKEKPPSSKAATRNRKTRTRSTTCRRHAAAPGARS
+>tr|E1BFH4|E1BFH4_BOVIN Sphingomyelin phosphodiesterase 3 OS=Bos taurus OX=9913 GN=SMPD3 PE=4 SV=1
+MVLYTTPFPNSCLSALHAVSWALIFPCYWLADRLLASFIPTTYEKRQRADDPCYLQLLCT
+VLFTPVYLALLVASLPFAFLGFLLWSPLQSARRPYVYSRLEDKGPTGGAALLSEWKGTGP
+GKSFCFATANLCLLPDSLARLNNVFNTQARAKEIGQRIRNGASRPQIKIYIDSPTNTSIS
+AASFSSLVSPQGSDGVPRAVPGSIKRTASVEYKGDGGRHPSDEAANGLASGDPADGGNLE
+DACIVRISGDEGGRPPEAGDPANGGQARNGAGGGPRGQTPNHSQQDGDSGSLGSPSASRE
+SLVKGRSGADGGSGEPGANSKLPYKASVVKKAAMRRRRHPDEAFDHEVSAFFPANLDFLC
+LQEVFDKRAAAKLKDQLHSYFEYILYDVGVYGCHGCCSFKCLNSGLFFASRYPIMDVAYH
+CYPNGRFSDSLASKGALYLKVQVGSTPQDQRIVGYISCTHLHALSEDSDIRCEQLNMLQD
+WLADFRKSTSSSSAANPEELVAFDIICGDFNFDNCSSDDKLEQQHSLFTRYKDPCRLGPG
+EEKPWAIGTLLDQDGLYDEEVCTPDNLQKVLESEEGRREYLAFPTSKSPGGGQKGRKELL
+KGNGRRIDYMLHGEEGLYPDWKAEVEEFSFITQLSGLTDHLPVAMRLMVSAGDDEA
+>tr|A1A4J3|A1A4J3_BOVIN Zinc finger CCHC-type containing 3 OS=Bos taurus OX=9913 GN=ZCCHC3 PE=2 SV=1
+MATGGGAEEERKRGRPQLLGPARPSTRAEEAEGGREKMGWAQVVKNLAEKKGEFRESRPP
+RREEESGSGAGGGLGAPAGLATPSLGDFPPAGRGDPKGRRRDPAGEAADSRKKKGAAEAG
+RRKKAEAAAMTTPARPDAAEDAADRPPQDEQATAAGSAAGPGKGRFLVRICFQGDEGACP
+TRDFVVGALILRSIGMDPSDIYAVIQIPGSREFDVSFRSAEKLALFLRVYEEKREQEDCW
+ENFVVLGRSKSSLKTLFILFRNETVDVEDIVTWLKRHCDVLAVPVKVTDRFGIWTGEYKC
+EIELRQGEGGVRHLPGAFFLGAERGYSWYKGQPKTCFKCGSRTHMSGSCTQDRCFRCGEE
+GHLSPYCRKGIVCNLCGKRGHAFAQCPKAVHNSVGAQLTGVAGH
+>tr|A7YY71|A7YY71_BOVIN LOC618567 protein OS=Bos taurus OX=9913 GN=TMEM52B PE=2 SV=1
+MASALVYFTQLPRARCEENCVSPEHCLTTDWVHLWYIWLLVVIGALLLLCGLTSVCFRCC
+LDRQQNGGEEGQPPYEVTVIAFDHDSTLQSTVTSLQSVFGPAARRILAVAHSPSSLGQLP
+SSLDTLPGYEEALRMTRFTVSRCGQKAPDLPSVPEEKQLPPMEKESPGVQHSSD
+>tr|A0A140T852|A0A140T852_BOVIN 39S ribosomal protein L30, mitochondrial OS=Bos taurus OX=9913 GN=MRPL30 PE=4 SV=1
+MAGILRSIVQRPPGRLQTATKGVEPLVCTDWIRHKFTRSRIPDEVFRPSPEDHEKYGGDP
+QQPHKLHIVTRIKSTKRRPYWEKDIIKMLGLQKAHTPQVHKNIPSVNAKLKIVKHLIRIK
+PLKLPQGLPTEEDMSNTCLKSTGELVTRWLLNPADQEAKKC
+>tr|A8E4Q0|A8E4Q0_BOVIN KLRC1 protein OS=Bos taurus OX=9913 GN=KLRC1 PE=2 SV=1
+MNNQGATYAELKGVKNSKRQKRKPKVSKSSISMTEQELTYVELNLQNAPQNLHGNKKNQR
+SKGSPSPSEKFIAGILGIICLVLMSTVVTMIIVTPLSTFLKNSTVIQEQNYASLTTRLQK
+ECHCGHCPKDWLTYSNNCYYTSLEKKSWKESLISCATKNSTLLYIDNEEETKFLMSLSII
+SWIQVSREGRGRPWKWLNGSTCKLQITDKLPGEHNCAVQSLWDIRAEDCQFPNAYHCKNK
+LGIKT
+>tr|E1BD70|E1BD70_BOVIN Glycoprotein hormone alpha 2 OS=Bos taurus OX=9913 GN=GPHA2 PE=3 SV=1
+MPMASPQTLLLCLLLLAVTEGQSQQAAIPGCYLHPFNVTVRSDRQGTCQGSHVAQACVGH
+CESSAFPSRYSVLVASGYRHNITSVSQCCTISSLRKVKVQLHCGGNRKEELEIFTARACQ
+CDMCRLSRY
+>tr|A6QNW9|A6QNW9_BOVIN APOLD1 protein OS=Bos taurus OX=9913 GN=APOLD1 PE=2 SV=1
+MERPAARELQGADALRRFQGLLLDRRGRLHGQLLRLREVARRLERLRRRSLAANVAGSSL
+SAAGAVAAIVGLSLSPVTLGVSLLASAVGLGVATAGGAVTITSDLSLIFCNSRELRRVQE
+IAATCQDQMREMLSCLDFFCRGQGGGDRQLLQCGRNASIALYNSVYFIVFFGSRGFLVPR
+RAEGATRVSQAVLKAKIQKLAESLESCTRALDELSEQLEFRVQLYTKSSRGHDLKISADR
+PTGQFF
+>tr|A7E3T1|A7E3T1_BOVIN Tetraspanin OS=Bos taurus OX=9913 GN=CD151 PE=2 SV=1
+MARAAVQPSREGSWFPQTLPESSPRQAVGLAPTWAGHVSPAPLPAGVWLSSWAARPPPTG
+PRMGEFGEKSTTCGTVCLKYLLFTFNCCFWLAGLAVMAVGIWTLALKSDYISLLASGTYL
+ATAYILVVAGIVVMVTGALGCCATFKERRNLLRLYFGLLLIIFLLEIIAGALAYIYYQQL
+NAELKENLKDTMTRRYHQPGHEGVTSAVDKLQQEFHCCGSNNSRDWQDSEWIHSGEAGGR
+VVPDSCCKTVVPGCGRRDHASNIYKVEGGCITKLETFIQEHLRIIGAVGLGIACVQVFGM
+LFTCCLYKSLKLEHY
+>tr|Q3ZC86|Q3ZC86_BOVIN CD24 molecule OS=Bos taurus OX=9913 GN=CD24 PE=2 SV=1
+MGRAIVARLGLGLLLLALLLPTQTYSNQTTVVTPSNSSQTTSPAPHPANATTKASDGTLQ
+STASLFVISVSLLHLYC
+>tr|Q0VC64|Q0VC64_BOVIN MANSC domain containing 1 OS=Bos taurus OX=9913 GN=MANSC1 PE=2 SV=1
+MFFWGGWSLTYTFVMICFLTLRLSTSQNCLTESLEDVVIDIQLSLSKGIRGNEPIHTLTP
+QDCINSCCSTKNISGDKACNLMIFDTQKKFKLPNCYLFFCPSEEACPLKPAKGLMSYRII
+RGFPSLARTDLPNPGVAQENSIFPGGSSHAITPTHQPPTHDSEPTVLWRDSFSQTSGSSD
+HLEKLLKIDLESPPSSDYKEEGHSQTSQSSSGPAIANLLPENVTAFPSTMAVSSLHTVLA
+TPKSLSASLPATNMAVMPSLTWQPQRATSAPTLATITSTTTTAASTSLSRASTDSRRPPA
+AVPFRDIANLTWSAEDAGASVTFPLSNVDSPATNKTASQENGKASPGDPSLSNAPGSQQG
+FAVEQWLLIGTVLFGVLFLAIGLALLGRMLLESLRRKRYSRLDYLINGIYVDI
+>tr|A6QQ72|A6QQ72_BOVIN CCDC27 protein OS=Bos taurus OX=9913 GN=CCDC27 PE=2 SV=1
+MLPIRASGPKKKSLVPNLIEKGLIVLRKVASRDEQPPEWKLRQQKRSLSKSAQAICRYYK
+TLRDFNQNASPQDSGFMSEMEELRRKFLTRPGCPQFSTRSTSMTHYGSATTLSLPEDTDI
+GSETWKEAENPLSSQQGLDVKVDGCLLPLSKSACEFNYLRKKSESQVLSPTLSSPDLGQS
+YPRKRVPWYISVIHEKVRFLSVFFPMESSLGWPGCCPLLHSFAPCVKTLSIHRLLTQSFH
+LGDCIP
+>tr|Q0VCK7|Q0VCK7_BOVIN Oligodendrocytic myelin paranodal and inner loop protein OS=Bos taurus OX=9913 GN=OPALIN PE=2 SV=1
+MSFSLNFTLPANTVSTAAPAYQTSSPVVTDGKGTDCGPSLGLAASIPSLVATALLVALLF
+TLIHRRRSSSESTEESERPCEITEIYDSPQIAENPRRLPTQEKNMMGGGEAHIYVKTVSG
+SEESMRDTYRPPVEVERRRGLWWLIPRLSLE
+>tr|A8E4P9|A8E4P9_BOVIN HAPLN1 protein OS=Bos taurus OX=9913 GN=HAPLN1 PE=2 SV=1
+MKSLLLLVLISFCWADHHSDNYTVDHDRVIHIQAENGPRLLVEAEQAKVFSRRGGNVTLP
+CKFYRDPTAFGSGTHKIRIKWTKLTSDYLKEVDVFVSMGYHKKTYGGYHGRVFLKGGSDN
+DASLVITDLTLEDYGRYKCEVIEGLEDDTAVVALDLQGKYGVKLGMIINHCFFFMTSNVQ
+>tr|Q0VC76|Q0VC76_BOVIN cAMP-dependent protein kinase inhibitor OS=Bos taurus OX=9913 GN=PKIG PE=2 SV=1
+MMEVESSYSDFISCDRTGRRNAVPDIQGDSEAVSVRKLAGDMGELALEGAEGQAEVGTSD
+KEASSQPESSDGTTSS
+>tr|Q148K3|Q148K3_BOVIN Lymphocyte antigen 9 OS=Bos taurus OX=9913 GN=LY9 PE=2 SV=1
+MASPRRHTDDWAPQPFSNKPPKSQPHVFSPYLWTLLLFLLLGQGASEKDSASTVVKAIVG
+GSVILSLNISVDAEIEQITWSGPKDALVLAFPSGEILFLDKSYKGRINIPKNNSLSINKL
+TLKDAGSYKARINQKGSEDFNERFILYIYEQLQEPQVTIQSVNVSENASCTITLVCSVEG
+AGGDIQYKWTSRDPHASESWGHPTLTISWLPCDADLPYTCTAKNPVSQSSSHPVHVSQFC
+TDLGASRGGPMGKTVLGVLGESITLSLALLDSQHIDNVVWIFNTSIISKEWREEATANQL
+IEFKDPDQNTVWVSSQDYSLKIVQLKMEHAGLYQAYLCSNARVASVKHINLRIYRPERST
+EFWIGLSLVVLFILLSLGISGWCFWKQQRQWSAPAFSSSQAETSVDTPGYEKLDTFPKTA
+RHASDSSSDSNGTTEEDEERTGMHQPVNGRDQVCDSVTREDAGLDSDSEGQPEYDQVTPD
+NMAPALAVEGETVYTQVFLHLPGKTPVPQKKENSATVYCSIQKPQKVVPPSQQNDLKSPE
+IPTYENVP
+>tr|A6H7E3|A6H7E3_BOVIN PDZ and LIM domain 1 OS=Bos taurus OX=9913 GN=PDLIM1 PE=1 SV=1
+MTTLQIVLQGPGPWGFRLVGGKDFEQPLAISRVTPGSKAAIGNLCVGDVITAIDGENTSN
+MTHLEAQNKIKGCTDNMTLTVARSEQKIWSPLVTEEGKRHPYKMNLASEPQEALHIGSAH
+NRSAVPFTASPASSSAPRVITNQYNNPAGLYSSENISSFNNALESKTAASGQENGRALDH
+SQLPSGLVIDKESEVYKMLQEKQELNEPPKQSTSFLVLQEILESEEKGDPNKPSGFRSVK
+APVTKVAASIGNAQKLPMCDKCGTGIVGVFVKLRERHRHPECYVCTDCGTNLKQKGHFFV
+EDQIYCEKHARERVTPPEGYDVITVFPK
+>tr|Q2HJA3|Q2HJA3_BOVIN Hexosyltransferase OS=Bos taurus OX=9913 GN=B3GALT4 PE=2 SV=1
+MRPGLSRRLLLAALLLLLVWTLFGPSGLGEELLSLSLASLLPGPASPGPPLALPRLLIPN
+EAACGAPGPPPFLLILVCTAPDNLNQRNAIRASWGRLREVRGLRVQTVFLLGEPGWGSRG
+SDLVWESAAHGDIMQAAFQDSYRNLTLKTLSGLSWADRHCPTARYILKTDDDVFVNVPEL
+VSELVRRGGRWEQWETGVGPPRKAKAGDEKWDGSPTLGSQPVPLLYLGRVHWRVQPSRSP
+GGKHQVSEEQWPPSWGPFPPYASGTGYVLSASAVQLILKVASRAPLLPLEDVFVGLSARR
+GGLAPTHCVKLAGATHYPLDRCCYGKFLLTSHKLDPWEMQEAWKLVGGSDAERTVPFCSW
+LQGLLGILRCRLIAWLHS
+>tr|Q3SZN4|Q3SZN4_BOVIN Cold inducible RNA binding protein OS=Bos taurus OX=9913 GN=CIRBP PE=2 SV=1
+MASDEGKLFVGGLSFDTNEQSLEQVFSKYGQISEVVVVKDRETQRSRGFGFVTFENIDDA
+KDAMMAMNGKSVDGRQIRVDQAGKSSDNRSRGYRGGSAGGRGFFRGGRGRGRGFSRGGGD
+RGYGGSRFESRSGGYGGSRDYYSSRSQGGGYDRSSGGSYRDSYDSYGKWRSKGWWWEFSA
+PQCTLPASIRAGYSADPVRDKIVLSCLGASSHF
+>tr|A6QPK7|A6QPK7_BOVIN PTI protein OS=Bos taurus OX=9913 GN=PTI PE=2 SV=1
+MKMSRLCLSVALLVLLGTLAASTPGCDTSNQAKAQRPDFCLEPPYTGPCKARMIRYFYNA
+KAGLCQPFVYGGCRAKRNNFKSSEDCMRTCGGAIGPWENL
+>tr|Q0VC55|Q0VC55_BOVIN Microtubule associated protein RP/EB family member 3 OS=Bos taurus OX=9913 GN=MAPRE3 PE=2 SV=1
+MAVNVYSTSVTSENLSRHDMLAWVNDSLHLNYTKIEQLCSGAAYCQFMDMLFPGCVHLRK
+VKFQAKLEHEYIHNFKVLQAAFKKMGVDKIIPVEKLVKGKFQDNFEFIQWFKKFFDANYD
+GKDYNPLLARQGQDVAPPPNPVPQRTSPTGPKNMQTSGRLSNVAPPCILRKNPPSARNGG
+HETDAQILELNQQLLDLKLTVDGLEKERDFYFSKLRDIELICQEHESENSPVISGIIGIL
+YATEEGFAPPEDDEIEEHQQEDQDEY
+>tr|Q32KY5|Q32KY5_BOVIN Calcium and integrin binding family member 4 OS=Bos taurus OX=9913 GN=CIB4 PE=2 SV=1
+MASVFSEQACPSLKIEYAFRIYDFNENGFIDEEDLQRIILRLLNSDDMSEDLLTDLTSHV
+LNESDLDNDNMLSFSEFEHAMAKSPDFMNSFRIHFWGC
+>tr|A6QLD9|A6QLD9_BOVIN Polypeptide N-acetylgalactosaminyltransferase OS=Bos taurus OX=9913 GN=GALNT16 PE=2 SV=1
+MRKIRANAIAILTVAWILGTFYYLWQDNRAHAASSGGRGAKRAGGRPDQLREDRTIPLIV
+TGTPSRGFDEKAYLAAKQLKPGEDPYRQHAFNQLESDKLSPDRPIRDTRHYSCPSVSYSS
+DLPATSVIITFHNEARSTLLRTVKSVLNRTPASLIQEIILVDDFSSDPEDCLLLTRIPKV
+KCLRNDRREGLIRSRVRGADVAAAAVLTFLDSHCEVNTEWLQPMLQRVKEDHTRVVSPII
+DVISLDNFAYLAASADLRGGFDWSLHFKWEQIPLEQKIARTDPTKPIRTPVIAGGIFVID
+KSWFNHLGKYDAQMDIWGGENFELSFRVWMCGGSLEIVPCSRVGHVFRKRHPYNFPEGNA
+LTYIRNTKRTAEVWMDEFKQYYYEARPSAIGKAFGSVATRIEQRKKMNCKSFRWYLDNVY
+PELTVPVKEVLPGIIKQGTNCLESQGQDTAGNFQLGMGICRGSAKNPPAAQAWLFTDHLI
+QQQGKCLAATSTSVSPGSLVVLQACNPREGRQRWRRKASFIQHSVSGLCLEAKPAQLVTS
+KCQADIPAQQWQLLPHT
+>tr|A2VDP7|A2VDP7_BOVIN HECT and RLD domain containing E3 ubiquitin protein ligase 3 OS=Bos taurus OX=9913 GN=HERC3 PE=2 SV=1
+MLCWGYWSLGQPGISPNLQGIVAEPQVCGFISDRSVKEVACGGNHSVFLLEDGEVYTCGV
+NTKGQLGHEREGNKPEQIGALADQHIVHVACGESHSLALSDQGQLFSWGAGSDGQLGLMT
+IEDSVAVPRLIQKLNQQTILQVSCGNWHCLALAADGQFFTWGRNSHGQLGLGKEFPSQAS
+PQRVRSLEGIPLAQVVAGGAHSFALSLSGAVFGWGMNNAGQLGLSDEKDRESPCHVKLLR
+TQKVVYISCGEEHTAVLTKSGGVFTFGAGSCGQLGHDSMNDEVNPRRVLELMGSEVTQIA
+CGRQHTLAFVPSSGLIYAFGCGARGQLGTGHTCNVKCPSPVKGYWAAHSGQLSARADRFK
+YHIVKQIFSGGDQTFVLCSKYENSSPAVDFRMMNQAHYTSLINDETIAVWRQKLSEHNNA
+NTINGVVQILSSAACWNGSFLEKKIDEHFKTSPKIPGIDLNSTRVLFEKLMNSQHSMILE
+QILNSFESCLIPQLSSSPPDVEAMRIYLILPEFPLLQDSKYYITLTIPLAMAILRLDTNP
+SKVLDNWWSQVCPKYFTKLVNLYKGAVVYLLRGRKTFLIPVLFNNYITAALKLLEKLYKV
+NLKVKHVEYDTFYIPEISSLVDIQEDYLMWFLHQAGMKARPSIMQDAVTLCSYPFIFDAQ
+AKTKMLQTDAELQMQVAVNGANLQNVFMLLTLEPLLARSPFLVLHVRRSNLVGDALRELS
+IHSDIDLKKPLKVIFDGEEAVDAGGVTKEFFLLLLKELLNPIYGMFTYYQDSNLLWFSDT
+CFVEHNWFHLIGITCGLAIYNSTVVDLHFPLALYKKLLNVKPGLEDLKELSPTEGRSLQE
+LLDYPGEDIEETFCLNFTICRESYGVIEQKKLIPGGDKVTVCKENRQEFVDAYVNYVFQI
+SVHEWYTAFSSGFLKVCGGKVLELFQPSELRAMMVGNSSYNWEELEETAIYKGDYSATHP
+TVKLFWETFHELPLEKKKKFLLFLTGSDRIPIYGMASLQIVIQSTASGEEYLPVAHTCYN
+LLDLPKYSSKEVLRARLTQALDNYEGFSLA
+>tr|A5D7T6|A5D7T6_BOVIN YY1 protein OS=Bos taurus OX=9913 GN=YY1 PE=2 SV=1
+MASGDTLYIATDGSEMPAEIVELHEIEVETIPVETIETTVVGEEEEEEDDDEDGGGGDHG
+GGGGHGHAGHHHHHHHHHHHHPPMIALQPLVTDDPTQVHHHQEVILVQTREEVVGGDDSD
+GLRAEDGFEDQILIPVPAPAGGDDDYIEQTLVTVAAAGKSGGGGGGSSSSGGGRVKKGGG
+KKSGKKGYLGGGAGAAGGADAGNKKWEQKQVQIKTLEGEFSVTMWSSDEKKDIDHETVVE
+EQIIGENSPPDYSEYMTGKKLPPGGIPGIDLSDPKQLAEFARMKPRKIKEDDAPRTIACP
+HKGCTKMFRDNSAMRKHLHTHGPRVHVCAECGKAFVESSKLKRHQLVHTGEKPFQCTFEG
+CGKRFSLDFNLRTHVRIHTGDRPYVCPFDGCNKKFAQSTNLKSHILTHAKAKNNQ
+>tr|Q2TA22|Q2TA22_BOVIN Acyl-CoA synthetase long-chain family member 6 OS=Bos taurus OX=9913 GN=ACSL6 PE=2 SV=1
+MQTQEILRMLRLPELADLGQFFRSLSATTLVSMGALAAILAYWFTHRPKALKPPCNLLMQ
+SEEVEDSGGARRSVIGDSPELFTHYYDDARTMYEVFHRGLRISGNGPCLGFRNPKQPYQW
+LSYQEVADRAEFLGSGLLQHNCKPCTDQFIGVFAQNRPEWIIAELACYTYSMVVVPLYDT
+LGPGAVRYIINTADISTVIVDKPQKAVVLLEHVERKETPGLKLIILMEPFEEALKDRGQE
+CGVVIKSMQDVEDCGQQNHCDPVPPKPSDLSIVCFTSGTTGNPKGAMLTHGNVVADFSGF
+LKVTEKVIFPRQDDVLISFLPLAHMFERVIQSVVYCHGGRVGFFQGDIRLLSDDMKALHP
+TIFPVVPRLLNRMYDKIFSQADTPLKRWLLEFAAKRKQAEVRSGIIRNDSIWDELFFHKI
+QASLGGCVRMIVTGAAPASPTVLGFLRAALGCQVYEGYGQTECTAGCTFTTPGDWTSGHV
+GAPLPCNHIKLVDVEELNYWTSKGEGEICVRGPNVFKGYLKDPERTKEALDDDGWLHTGD
+IGKWLPTGTLKIIDRKKHIFKLAQGEYVAPEMIENIYIRSEPVAQVYVHGDSLKAFLVGI
+VVPDAEVMPSWAQKRGIEGTYAELCTNKELKKAILEDMVRLGQESGLHSFEQVKAIHIHS
+DMFSVQNGLLTPTLKAKRPELREYFKKQIEELYSTSM
+>tr|A6H7D4|A6H7D4_BOVIN 1-acylglycerol-3-phosphate O-acyltransferase 6 (Lysophosphatidic acid acyltransferase, zeta) OS=Bos taurus OX=9913 GN=AGPAT6 PE=2 SV=1
+MFLLLPFDSLIVSLLGISLTVLFTLLLVFIIVPAVFGVSFGIRKLYMKTLLKIFAWATLR
+MERGAKEKNHQLYKPYTNGIIAKDPTSLEEEIKEIRRSGSSKALDNTPEFELSDIFYFCR
+KGMETIMDDEVTKRFSAEELESWNLLSRTNYNFQYISLRLTVLWGLGVLIRYCLLLPLRI
+ALAFTGISLLVVGTTMVGYLPNGRFKEFLSKHVHLMCYRICVRALTAIITYHDRKNRPRN
+GGICVANHTSPIDVIILASDGYYAMVGQVHGGLMGVIQRAMVKACPHVWFERSEVKDRHL
+VARRLTEHVQDKSKLPILIFPEGTCINNTSVMMFKKGSFEIGATVYPVAIKYDPQFGDAF
+WNSSKYGMVTYLLRMMTSWAIVCSVWYLPPMTRQAEEDAVQFANRVKSAIARQGGLVDLL
+WDGGLKREKVKDTFKEEQQKLYSKMIVGNHEDRSRS
+>tr|A0A3Q1MBE3|A0A3Q1MBE3_BOVIN Resistin OS=Bos taurus OX=9913 GN=RETN PE=4 SV=1
+FLTWSEHQASPNPNQISTPNPTQRQSPLVSTSNYSSKPSSLKNAHSGQNPWRWGVPHLLG
+LPQGRFLDPLPISKPPGPRQHEKGVGAAWSHSYFASPLPAVPGAVRIIGLDCRSVTSRGS
+LVTCPSGFAVTGCTCGSACGSWDVRAETTCHCQCAGMDWTGARCCRLHIQ
+>tr|E1BBH2|E1BBH2_BOVIN TNF receptor-associated factor OS=Bos taurus OX=9913 GN=TRAF3 PE=3 SV=1
+MEPSKKLDAAGALPANPPLKLHPERGAGPAVLVPEQGGYKERFVKAVEDKYKCEKCRLVL
+CSPKQTECGHRFCETCMGALLSSSSPKCTACQEVIIKDKVFKDNCCKREILALQIFCRNE
+GRGCTEQLALGHLLVHLKNDCQFEELPCVRADCKEKVLRKDLRDHVEKACKYREATCSHC
+KSQVPMVTLQKHEDTECPCVAVSCPHKCSVQTLLRSELSAHLSECVNAPSTCSFKRYGCV
+FQGTNQQIKAHEASSAVQHVNLLKEWSNSLEKKVSLLQNESVEKNKSIQSLHNQICSFEI
+EIERQKEMLRNNESKILHLQRVIDSQAEKLKELDKEIRPFRQNWEEADSMKSSVESLQNR
+VTELESVDKSAGQAARNTGLLESQLSRHDQMLSVHDIRLADMDLRFQVLETASYNGVLIW
+KIRDYKRRKQEAVMGKTLSLYSQPFYTGYFGYKMCARVYLNGDGMGKGTHLSLFFVIMRG
+EYDALLPWPFKQKVTLMLMDQGSSRRHLGDAFKPDPNSSSFKKPTGEMNIASGCPVFVAQ
+TVLENGTYIKDDTIFIKVIVDTSDLPDP
+>tr|Q5EA35|Q5EA35_BOVIN Ketohexokinase OS=Bos taurus OX=9913 GN=KHK PE=2 SV=1
+MEEKQILCVGLVVLDIINVMDKYPEEDTDSRCLSQRWQRGGNASNSCTVLSLLGAPCAFM
+GSLARGHVADFVLDDLRRYSVDLRYMVFQTTGSVPISTVIISEASGSRTILHAYRNLPDV
+SAKDFEKVELTRFKWIHIEGRNASEQVKMLQRIEQHNARQPPESKIQVSVEVEKPREELY
+QLFGYGDVVFVSKDVAKHFGFRSAKEALRGLYSRVRKGATLVCAWAEEGADALGPDGRLL
+HSDAFPPPRVVDTLGAGDTFNAAVIFSLSQGKSMQEALRFGCQVAGKKCGLQGFDGIV
+>tr|A4IFG8|A4IFG8_BOVIN RSPRY1 protein OS=Bos taurus OX=9913 GN=RSPRY1 PE=2 SV=1
+MIVFGWAVFLASRSLGQGLLLTLEEHIARFLGTRSATATMGNSCICRDDSGAEDSVDTQQ
+QQADNSAVPTADMRSQPRDPVRPPRRGRGPHEPRRKKQNVDGLVLDTLAVIRTLVDNDQE
+PPYSMITLHEMAETDEGWLDVVQSLIRVIPLEDPLGPAVITLLLDECPLPTKDALQKLTE
+ILNLNGEVACQDSGHPAKHRNTSAVLGCLAEKLAGPASIGLLSPGILEYLLQCLKLQSHP
+TVMLFALIALEKFAQTSENKLTISESSISDRLVTLESWTDDPDYLKRQVGFCAQWSLDNL
+FLKEGRQLTYEKVDLNSIKAMLNSNDVSEYLKISPHGLEARCDASSFESVRCTFCVDAGV
+WYYEVTVVTSGVMQIGWATRDSKFLNHEGYGIGDDEYSCAYDGCRQLIWYNARSKPHLHP
+CWKEGDTVGFLLDLNEKQMIFFLNGNQLPPEKQVFSSTVSGFFAAASFMSYQQCEFNFGA
+KPFKYPPSMKFSTFNDYAFLTAEEKIILPRHRRLALLKQVSIRENCCSLCCDEVADTQLK
+PCGHSDLCMDCALQLETCPLCRKEIVTRVRQISHIS
+>tr|A6QLC1|A6QLC1_BOVIN PADI1 protein OS=Bos taurus OX=9913 GN=PADI1 PE=2 SV=1
+MAQRSAVRLSPKKPSYAVCAVGVETHLDVHSDVPEGAENFGVSGSSGVKIFMVYDPARVT
+EPTGRAYWPLDASVEVVISVDAASKALNDLKVKISYFGQPARGALGHSVLYLTGADISLD
+ADTGRTGNVKRSQADKERWRWGPEGYGAVLLVNCDRDSLRSVGTDLTDTQLASRDDLQDM
+SPVVLTCDAPDALFESYKLVLNVPPSDSKRMRVFCARGGNSLLDYEQVLGPQHLSYAVAR
+QPGERKIGLYVEGLSFPDANFLGLVSLSVSLVDTKTLPEVPLFTDTVAFRVAPWIMTPNT
+QPPLELYVCSVLDSHGPNAQFLSDMSDLALKASCKLMICPRVENRNDRWIQDEMEFGYTE
+APHKAFPVVFDSPRNRGLKDFPYKRILGPDFGYVTRESQSTAVSGLDSFGNLDVSPPVVV
+EGKEYPLGRILIGSCLPRSGGRRMAKVVRDFLGAQRVQAPLEVYSDWLSVGHVDEFLTFV
+PTSDQKGFRLLLASPSACLKLFQKKKEEGYGEAAQFDGLEHQVKRSINEMLADRRLRNDN
+LYAQRCIDWNREVLKRELGLTEHDIVDIPQLFSLKDAYAEAFFPDMVNMVVLGRHLGIPK
+PFGPLINGRCCLEEEVRSLLEPLGLHCTFIDDYYAYHKLLGEIHCGSNVRRKPFPFKWWH
+VVP
+>tr|A5PK80|A5PK80_BOVIN TP53 regulating kinase OS=Bos taurus OX=9913 GN=TP53RK PE=2 SV=1
+MAAADAAASKPNEDLAPAAEALAAARERNSRFLSGLELVKQGAEARVFRGRFQGRAAVVK
+HRFPKGYRHPTLEARLSRRRTVQEARALLRCRRAGICAPVVFFVDYASNCLFMEEIEGSV
+TVRDYIESTLETEKSPQSLLDLARTIGQVLARMHDEDLIHGDLTTSNMLLKPPLEQLNIV
+LIDFGLSFVSALPEDKGVDLYVLEKAFLSTHPNTETVFEAFLKSYSAASRKSKPVLKKLD
+EVRLRGRKRSMVG
+>tr|A6QPQ9|A6QPQ9_BOVIN COUP transcription factor 1 OS=Bos taurus OX=9913 GN=NR2F1 PE=2 SV=1
+MFGYSVQRGRMPPTQPNPGQYALTNGDPLNGHCYLSGYISLLLRAEPYPTSRYGSQCMQP
+NNIMGIENICELAARLLFSAVEWARNIPFFPDLQITDQVSLLRLTWSELFVLNAAQCSMP
+LHVAPLLAAAGLHASPMSADRVVAFMDHIRIFQEQVEKLKALHVDSAEYSCLKAIVLFTS
+DACGLSDAAHIESLQEKSQCALEEYVRSQYPNQPSRFGKLLLRLPSLRTVSSSVIEQLFF
+VRLVGKTPIETLIRDMLLSGSSFNWPYMSIQCS
+>tr|A0A3Q1MQW2|A0A3Q1MQW2_BOVIN Transporter OS=Bos taurus OX=9913 GN=SLC6A2 PE=3 SV=1
+MLLARMNPQVQPENGGAGPGSEQPPRKRKEVLVVKERNGVQCLLASRDGDEQPRETWGKK
+IDFLLSVVGFAVDLANVWRFPYLCYKNGGGAFLIPYTLFLIIAGMPLFYMELALGQYNRE
+GAATVWKICPFFKGVGYAVILIALYVGFYYNVIIAWSLYYLFSSFTPTLPWTDCGHAWNS
+PNCTDPKLLNSSVLGNHTKYSKYKFTPAAEFYERGVLHLHESSGIHDIGLPQWQLLLCLI
+IVVIVLFFSLWKGVKTSGKVVWITATLPYLVLFVLLVHGITLPGASNGINAYLHIDFYRL
+KEATVWIDAATQIFFSLGAGFGVLIAFASYNKFDNNCYRDALLTSTINCVTSFISGFAIF
+SILGYMAHEHKVNIEDVATEGAGLVFILYPEAISTLSGSTFWAIVFFIMLLALGIDSSMG
+GMEAVITGLADDFQVLKRHRKLFTFAVSFGTFLLALFCITKGGIYVLTLLDTFAAGTSIL
+FAVLMEAIGVSWFYGVDRFSNDIQQMMGFKPGLYWRLCWKFVSPAFLLFVVIVSIINFKP
+LTYDDYIFPLWANWVGWGIAGSSMVLVPAYIVYKFFSTRGSIREEGTREYKEEITLSSGI
+LSLMKKTDGVLIRKRVLSTDCMLF
+>tr|Q0P5E1|Q0P5E1_BOVIN TP53 regulated inhibitor of apoptosis 1 OS=Bos taurus OX=9913 GN=TRIAP1 PE=2 SV=1
+MNSVGEACTDMKREYDQCFNRWFAEKFLKGDGSGDPCTDLFKRYQQCVQKAIKEKEIPIE
+GLEFMGHGKEKPESSS
+>tr|A5PJP4|A5PJP4_BOVIN CBLN2 protein OS=Bos taurus OX=9913 GN=CBLN2 PE=2 SV=1
+MLAPGRGPRGPPLTMPGRRGALREPAGCGSGLGAALALLLLLLPAGCPVRAQNDTEPIVL
+EGKCLVVCDSSPSADGAVTSSLGISVRSGSAKVAFSATRSTNHEPSEMSNRTMTIYFDQV
+LVNIGNHFDLASSIFVAPRKGIYSFSFHVVKVYNRQTIQVSLMQNGYPVISAFAGDQDVT
+REAASNGVLLLMEREDKVHLKLERGNLMGGWKYSTFSGFLVFPL
+>tr|A2VDP8|A2VDP8_BOVIN Phosphodiesterase OS=Bos taurus OX=9913 GN=PDE4B PE=2 SV=1
+MPEANYLLSVSWGYIKFKRMLNRELTHLSEMSRSGNQVSEYISNTFLDKQNDVEIPSPTQ
+KDREKKKKQQLMTQISGVKKLMHSSSLNNTSISRFGVNTENEDHLAKELEDLNKWGLNIF
+NVAGYSHNRPLTCIMYAIFQERDLLKTFKISSDTFVTYMMTLEDHYHADVAYHNSLHAAD
+VAQSTHVLLSTPALDAVFTDLEILAAIFAAAIHDVDHPGVSNQFLINTNSELALMYNDES
+VLENHHLAVGFKLLQEEHCDIFQNLTKKQRQTLRKMVIDMVLATDMSKHMSLLADLKTMV
+ETKKVTSSGVLLLDNYTDRIQVLRNMVHCADLSNPTKSLELYRQWTDRIMEEFFQQGDKE
+RERGMEISPMCDKHTASVEKSQVGFIDYIVHPLWETWADLVQPDAQDILDTLEDNRNWYQ
+SMIPQSPSPPLDEQNRDCQGLMEKFQFELTLEEEDSEGPEKDGEGHSYFGSTKTLCVIDP
+ENRDPLGETEVDITTEDKSPIDT
+>tr|Q2HJD9|Q2HJD9_BOVIN Receptor (Chemosensory) transporter protein 4 OS=Bos taurus OX=9913 GN=RTP4 PE=2 SV=1
+MDAKPQSKRIALDIREWEQTFQELICQEKPRARWTLKMDGNLQPDCVAQGWRQYQQKGFG
+RFQCSSCRRSWASAQVQILCHMYLENQKSPGKVLMRIFGQRCKKCSRSQFEKPDFSPESS
+KRILKNLVQRILEKFYRNGIRKVSELPVIPEVRLDGSHDKANCEACVLGYCGPNLENGMT
+GPEKSSLSYMKTGSSSPHNGDEGGQNQSRSHSAAGSGYSGAHIGSGPSQVTAGIQVPRRG
+PQSKREMAQLFTAGADRQAARATGPQPIQVARSLPPGWTDPRPVQAVGPLPAGCAYSQST
+LGRGPQAPRMTYSQAIRMSGQQSTQEAQATQGTQLQTTKMAEPQPTGGTIPRAISRSDSQ
+AKGRAGPPPQGSSSQPIRKAIPKATSGSDTEATGKAGPPPQGSSSQPTWKAIPKATSGSD
+TEATGKAGPPPQGSSSQPTQKAIPKATSGSDTEATGKAGPPPQGSSSQPTWKAIPKATVG
+SDTEATRRAGPLLGSSAQPTWPHVGPSCGSQAAWGREERCSPRGSASDSFFRLPPPNDFH
+NQEQLFRWGYVCIFALFTFLVSKYL
+>tr|Q2HJ34|Q2HJ34_BOVIN Cellular communication network factor 3 OS=Bos taurus OX=9913 GN=CCN3 PE=2 SV=1
+MQGAQSSSLDLPKQCLCLAFLLLHLLGQVAAAERCPSSCPATCPPKPPTCAPGVRAVLDD
+CSCCLVCARQRGESCSVLLPCEESRGLFCDRRADPSAQTGICMAVEGDNCVFDGVIYQSG
+ETFQPSCKYQCACQDGQVGCVPRCEEDLLLPQPDCPAPRKVKVPGECCEKWICDSKETGT
+LGGLQTLPAYRTEATLGVAVSDSSINCIEQTTEWSACSKSCGMGFSTRVTNRNPHCEMVK
+QTRLCMVRPCDQEHRQPTDKKGKKCLRSIKSIKAIHLQFENCTSLNTYKPRFCGICSDGR
+CCTPHNTKTIQVEFQCSPGQILKKPVMVIGTCTCHNNCPHSNSSFL
+>tr|A6QQ25|A6QQ25_BOVIN Lysosomal protein transmembrane 4 beta OS=Bos taurus OX=9913 GN=LAPTM4B PE=2 SV=1
+MKMVAPWTRFYSNSCCLCCHVRTGTILLGVWYLILNAVVLLILLSALADPDHYHFSSSEL
+GGDFEFMDDANMCIAIAISVLMILICAMATYGAYKQRAAWIIPFFCYQIFDFALNTLVAV
+TVLVYPNSIQEYIRQLPPDFPYKDDIMSVNPTCLVLIILLFISIILAFKGYLISCVWNCY
+RYINGRNSSDVLVYVTSNDTTVLLPPYDDATVNSATKEPPPPYVSA
+>tr|A7E352|A7E352_BOVIN SLC19A3 protein OS=Bos taurus OX=9913 GN=SLC19A3 PE=2 SV=1
+MSCFQTSESQSWIYPTVILCLFGFFSMMRPSEPFLMVYLSGPDKNLTSAELTNEIFPVWT
+YSYLALLLPVFVLTDYVRYKPVIILQGISFIICWLLLLFGQGVRTMQVLEFFYGMVTATE
+VAYYAYIYSVVSPEHYQKVSGYCRSVTLVAYTAASVLAQLLVSLAGLSYFYLNVISLASV
+SVAFLFSLFLPMPKKSMFFHAKPSQEALPKPPGTDAVSEEPQKNHKPVGKVVFTDSGNPD
+DGQVTNPKPGNVALRVFVQWLQDLKQCYSSKHLFYWSLWWAFSTAGYNQVLNYVQVLWDY
+KAPSQSSVYNGAVEAIATFGGALAAFAVGYVKVNWDLLGELALAIFSVVNAGSLFLMHYT
+TNIWACYAGYLIFKTVYMLLITIAVFQIAVNLSVERYALVFGINTFIALVIQTIITVIVV
+DQGGLGLPISIQFLVYGSYFAAIAGIFLMRSIYIIYSAACRKRVQNSAVTSQNQYRPHPE
+EPKNV
+>tr|Q2NKT7|Q2NKT7_BOVIN Uncharacterized protein MGC137030 OS=Bos taurus OX=9913 GN=MGC137030 PE=2 SV=1
+MQQAAPSSLLLACSLALAPFLTVSWEIKVNDFDEKDVNKFQSNGYQCPTCFAVMGRKCNA
+DLKWCRADKLQCVEFSGIINTGLKDMAIEVKRCIQANLCKETVTYMSFPIANQSKNCRPA
+TSSGTRIRPLPPIIFILFLEKLLY
+>tr|F1MCC8|F1MCC8_BOVIN NACHT and WD repeat domain containing 1 OS=Bos taurus OX=9913 GN=NWD1 PE=4 SV=2
+MDAEREALQSTAYPEVQTFCQKHGLMFEVVDLRWGIRNTEATNHMTTELCLEELDRCRKT
+SIGPAFVALVGDQYGPCPVPTQIEEKEWEALKAQLTARPRDLELVARCFWRDENAVPPAY
+LLQALGTGEASGPEEATLLSALRSGAQEARRLGLISQERWYCYHRSVIEWEIERALLSST
+VGDHGATVFLREIQDLNKHILEDCALRMVDRLADGCLDTDAQNLLSNLKGRIADRQPGVL
+KAHHLAWSRDLVNPKNKAHARYLKELGEQFVARANHQVLECLRELEAGRQELAWLYQEIR
+HHLGLSAEVNRTFCGRQELLTQLGQRLRQNDGHPHPPLVLFGPPGIGKTALMCKLAEQMS
+GLLGRKTVTILRLLGTSQMSSEARGLLQSICFQVCLAYGLPLPPAQVLEAHTRLVQFFHI
+LLHTISSRNFESLVILLDSVDEVDSVHCARRIPWLPPKCPPRVHLIVSACSGQRGVLDTI
+QQVLTDREAYWEVKPLSGNQGQEMIQLLLSASRRTLSQVQRDMLWASLPECGHPGRLRLA
+FEEARKWASFTVPAPLASTAKEAMQQLCARLEQTHGRLLVARVLGYIVSSRQGLSEAELK
+DVLSLDDEVLQSVYQDWTPPSKELLRFPPLLWVRLRRDLGNCLARRPVDGFTLLAIAHRQ
+LTEVVRELYLSGPEKAKSHGVLADFFSGAWSQGIKKLITLPLVGKPLNLDRKVAPQPLWF
+SDMVANLRKLKELPYHLLHAGRIEELKQEVLGSMTWICCRGLSGGIEGLLEDFDLCAPYM
+DCPEVSLVREALQLCRPAVELRGMERSILYTELLARLHFFSTSHPGLVGQLCQQAQSWFR
+MCPQPVLVPLGGFLQPPGGPLRATLSGCHKGITAMAWSLEEKLLLVGTQEGMVAVWDMEE
+QQVIHILPGHTGEVRCVEVFAQGTHAVSASKDQTLRLWDLLSGQEKFTIWDGGSKDPTES
+QTWSLHVDEAKKVVYSASGSKISAWNLETAELIFRILGDASDPWVCMAVLASQATLLTVS
+QGGVISLWSSATGTLHRRQRLSSVKEDTLACGVSVQKRGMMVTGSSTGSISLVSSEGDSL
+LEKLPEAVGFLVVSEDESLLAAGFGRSVRIFLADAQGFHRFLATDLEHEDVVETAVFGPE
+NNLIVTGSRDALIQVWSLSAQGTLLDILDGVGAPVSLLARGGALVASASRQSSSFKVWDL
+TCAQKPRASNPFLDRTGLTAVSHNGSYVYFPKTGDKNKVSIWDLAEGEEQDALDTSNEVR
+CLEVAEQANLLFTGLVSGIVLVFPLNSRQDVMCIPPPEARKAVNCMALSKDEGHLAIAYD
+STVLVLDISPGDPCPVIDGPIYTFYTQLPDTIASVAILADCRVIYGMINGDLFLYECVNS
+KVFPLEAHGSRVTCVEVSHKEQLAVSGAEEALLCLWDLQVCKWKFEMSYTNSYCIGVQCA
+CFSKDDKYVYAGLKDCSIIVWSVLDGTLLAVQFVHAVVNRIIPTSSGFIAPSRHGYLIRE
+RFQCPSSGISQQDPLKNFKKAVWMVKSRQREELAAAAGALQDLRSESAQGNESRSNKRSQ
+VCLLV
+>tr|A5D7L4|A5D7L4_BOVIN LOC781700 protein OS=Bos taurus OX=9913 GN=PROSER2 PE=2 SV=1
+MPVHHRKSDSWEMDPDSSPGCRLGDLSRGSSLESQASSSRSRSLTLDDESLKYLTHEEKD
+VLLFFEETIDSLEDDFEEKVLCAGDAQWHSPRSLEESTSAPSEPEDVVDLVQPGPGAGEP
+ESLRVVKETAGAVPFGKEDPTDLERKKEDAEKSPASDPPGPEALPSSPPASPAAAPAHPR
+REPPTPPAEHPKLPRSVPTPLVIAQKISEKLAGNEGLSPTSPSKEGRPAEWRTLASLAPR
+HGDHSPWHRHAAQPAPKIHRFPSNISVTNSAGKDFNKTISKAAVNVQERKAQVLANINGV
+SFLSVGETEDRAQRGEPTEQRSVSPEQSQPGPAQEAVPTREGARGAQQSRGVQTEQPLPL
+ANGFQSIHDVLKSQAGPFVSTGKTVTFRPDPALPSRLAPQQPDCGQAARKRSGSLPRTVG
+FRPQGITVQFSGRGSTEEARREALRKLGLLKENL
+>tr|Q3MHP4|Q3MHP4_BOVIN Proline-rich nuclear receptor coactivator 1 OS=Bos taurus OX=9913 GN=PNRC1 PE=2 SV=1
+MTVVSVPQREPLVLGGRLASMGFSTRGYFGALPMVTTAPPPLPRIPDPRALPPTLFLPHF
+LGGDGPCLTPQPRAPAPLPNCSLAAAGGTPRAAPKKRRKKKVRTSPSGQLPSRFHQYQQH
+RPSLEGGRSPATGLGGAQQVPDQAQAAAWVPAPTTATRTEEASPLLGPLPPAAPGQPSFR
+REVLKSKMGKSEKIAIPHGQLVHGIPLCEQPKINRQKNKYNLPLTKITSAKRNENNFWQD
+SVSSDIIQKQEKKPFKNTENIKNKHLKKSSFLTEVSQKENYAGAKFSDPPSPSVLPKPPS
+HWMGTTIENSNQNRELMAVHLKTLLKVQT
+>tr|A0A3Q1LL12|A0A3Q1LL12_BOVIN NCK associated protein 5 OS=Bos taurus OX=9913 GN=NCKAP5 PE=4 SV=1
+MHEKLIHELEEERHLRLQSEKRLQEVTLESERNRIQMRGLQQQFSRMEETVRNLLQSQGP
+PEQKKEETVNIMVYQEKLSEEERKHKAALEGRHMALDEDSRSEGSSADEGKGKTKWLLER
+LKALEAENSALALENENQREQYERCLDEVANQVVQALLTQKDLREECVKLKTRVFDLEQQ
+NRTLSILFQQRVRPTSDLLLQKLHSRILDLSSGDLLSDVEGSQSLTHSRTDVEMHGCQLN
+TKAGTPALKCPGTGIAVSGHLCPRSSYSSSELSLSSTCSEYSSGSSYTWHDGKNLRKRQS
+SQNWDKRLSIDSSLPSGFASPMDELPPTRIKESHILEGLRKLQKRKVLLEPPSVITKWGY
+KDCMNSNEGIYSPGINSNSLKEYPPCKPTDTRSPCTDPHKAFVYDTDSHDEADEDASSLA
+SVQAVPNQGCRLHSCKLTHSVSDSLFGWELNGKHCSEVTSSVYSRERPEKLTGCASNCPL
+EQKLCPGMQGPRVQREKVSPSQGCQALSLQPSDTDDPETLDELHIESSDEKSPSDVSATA
+DTDQSTESLDILTGFEKSSCGSPEEEENQVPVHLESRPKTFSFIKQQRVVKRTSSEECIT
+VIFDAEDGEPIEFSSHQTGLVTVTRKEISIHQAPAGPQMEHTELSPQGIAHLQPGAAARD
+YPFLKRSEEETERNIPRDEVDDPAMTPAVSFHPRTVTQNTQRLAKPTHVTPCQSHSRSSV
+GTGVCQKKSLTKIPTRGKSSPQKSRVREPEASLMMPSAGSVTLEKSPAPGKLSQFKKTEG
+PAPLFDLQPDSHIPKPPTQLPHGSKMSSRRDWVQSSKNQMLASQLLSRPPTERSDDGEPP
+TRDKHCDPGPEAGVKSPSPPSPPGRSVSLLIRPSYDFLPPPSSAKSESRVSTETARMVLK
+SPPLKGSSAPVIYFNQTLTDVQGKKPSVAFKKPIFTPSPPSAETAIQMRCPAHSPSSSFA
+VMVPEPPKVSPKRNAPRAPPHQTLGTTQNNTGLQTPKNYASPREPLEIPSSKGVSPGRKE
+KLSGSVSASSKPSFLGVNESPSSQVNSPLSSASFKSHNPLHGCQNLHERGLKTRFPVGLK
+VFMKSPQLLRKSSTVPGKQEKDSLNEASKTSVAVSKAKPGASRNPASLETTGGERNTSPV
+SLPAQESLAKGLPLERAMPESLENSMPGADRKDGVENRSVKRSLSSSKPHLKPALGMNGA
+KARSQSFSAHSGEKPPTPPTEGLGKVRTQIITNTAERGNSLTRQSSSAEGSPSKSASTPV
+SDGLPSTGKPLGHPSPRQGSLGSTGSSSSQHGSPSKLPLRIPPKSEEPLTPAGTEEQQAY
+AQGEGPRVTVPEEPGSDHCRCPPTPTDSSGGPQSLGRTPHPSSFTASRTSKLETSGRYPD
+TSTTRAGVVSPEAPLSPTIEEKVMLCIQENVEKGQVQTKSTSVEAKPKPGPSFASWFGFR
+RSRLPALSSRKMDVSRTKAEKKDAKGLGFGNKQLKSERKKEKKKPELQCEMENELHRDIE
+LADGPDSGLQNRNNPKTPPDIYDQVKFESRNRPSPVPCSAKDTFMTELLNRVDKKAAQQT
+ESGSNSLSCRSVLKGSSQGSCLTSSSLSTQGNHKKNIKTKADMEKPKGSLVREANDHLQE
+DEEDTVADSAFQSHTIETNCQMRTLDSGIGTFPLPDSGTRAAGRYIRQADSPEDTDPILS
+LQPALCAASSIRAQTLEREVPSSADSQGSADNAIVHSTSDPIMTARGTRPLQSLLPKPAS
+SGKINSQMKSEAEPRSQNCSPFQYVENTMASKPLPAWEGDDAAAETQKLKQVEETREDPE
+NRLCKISLESFNKYDGNTVILLGKEKNSLNKVEGQKEEKEKTEEASLSSSERPGVDHLES
+LSDSLYDSFSSCASQGSNDV
+>tr|F1MS07|F1MS07_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 GN=LOC788175 PE=4 SV=3
+MSGTGDSRKRSARGSRAGKGEDARGGRAQTDAPRKAGSLIQQLENLLLPASSCLAPGDRA
+RFRASRHHPFFPNLTVSFFLFFFLFPSVLSLPFPRLLGSVFSPDPQTPFSFHGLQISSLA
+NSSWTRTDCLGWLGELQPYTWRNESDTIRFLKPWSRGTFSDQQWEQLQHTFQVYRSSFTK
+VLWEFVKRLHAELPLEIQGSAGCELLQGNTSESFLRAAFQGRDVLSFQGMSWVSAPDAPP
+WVQEVCKVINLDQGTKETVHWLLHDICPELVRGLLQTGKSELEKQVKPEAWLSSGPSPGP
+GHLLLVCHVSGFYPKPVRVMWMRGEQEEPGTRQGDVMPNADSTWYLRVTLDVAAGEAAGL
+SCRVKHSSLGDQDIILYWDGNRVSRGLIVILVLLVFVLLFVGGLVFWFRKHRRYQDIP
+>tr|A5PKK5|A5PKK5_BOVIN SMARCA2 protein OS=Bos taurus OX=9913 GN=SMARCA2 PE=2 SV=1
+MSTPTDPGAMPHPGPSPGPGPSPGPILGPSPGPGPSPGSVHSMMGPSPGPPSVSHPMPSM
+GSADFPQEGMHQMHKPMDGMHDKGVEDIHCGSMKGTAMRPPHPGMGPPQSPMDQHSQGYM
+SPHPSPLGAPEHVSSPISGGGPTPPQMPPSQPGPLIPGDPQAMNQPNRGPSPFSPVQLHQ
+LRAQILAYKMLARGQPLPETLQLAVQGKRTLPGMQQQPPPQPQPQPQPQQPQPQQQALVN
+YNRPSGPGPELSGPSPPQKLPVPAPSGRPSPAPPAAAPPPAAAVPGPSVPQPAPGQPSPI
+LQLQQKQSRISPVQKPQGLDPVEIMQEREYRLQARIAHRIQELENLPGSLPPDLRTKATV
+ELKALRLLNFQRQLRQEVVACMRRDTTLETALNSKAYKRSKRQTLREARMTEKLEKQQKI
+EQERKRRQKHQEYLNSILQHAKDFKEYHRSVAGKIQKLSKAVATWHANTEREQKKETERI
+EKERMRRLMAEDEEGYRKLIDQKKDRRLAYLLQQTDEYVANLTNLVWEHKQAQAAKEKKK
+RRRKKKKAEENAEGGESALGPDGEPIDESSQMSDLPVKVTHTETGKVLFGPEAPKASQLD
+AWLEMNPGYEVAPRSDSEESDSDYEEEDEEEESSRQETEEKILLDPNSEEVSEKDAKQII
+ETAKQDVDDEYSMQYSARGSQSYYTVAHAISERVEKQSALLINGTLKHYQLQGLEWMVSL
+YNNNLNGILADEMGLGKTIQTIALITYLMEHKRLNGPYLIIVPLSTLSNWTYEFDKWAPS
+VVKISYKGTPAMRRSLVPQLRSGKFNVLLTTYEYIIKDKHILAKIRWKYMIVDEGHRMKN
+HHCKLTQVLNTHYVAPRRILLTGTPLQNKLPELWALLNFLLPTIFKSCSTFEQWFNAPFA
+MTGERVDLNEEETILIIRRLHKVLRPFLLRRLKKEVESQLPEKVEYVIKCDMSALQKILY
+RHMQAKGILLTDGSEKDKKGKGGAKTLMNTIMQLRKICNHPYMFQHIEESFAEHLGYSNG
+VINGAELYRASGKFELLDRILPKLRATNHRVLLFCQMTSLMTIMEDYFAFRNFLYLRLDG
+TTKSEDRAALLKKFNEPGSQYFIFLLSTRAGGLGLNLQAADTVVIFDSDWNPHQDLQAQD
+RAHRIGQQNEVRVLRLCTVNSVEEKILAAAKYKLNVDQKVIQAGMFDQKSSSHERRAFLQ
+AILEHEEENEEEDEVPDDETLNQMIARREEEFDLFMRMDMDRRREDARNPKRKPRLMEED
+ELPSWIIKDDAEVERLTCEEEEEKIFGRGSRQRRDVDYSDALTEKQWLRAIEDGNLEEME
+EEVRLKKRKRRRNVDKDPAKEDVEKAKKRRGRPPAEKLSPNPPKLTKQMNAIIDTVINYK
+DSSGRQLSEVFIQLPSRKELPEYYELIRKPVDFKKIKERIRNHKYRSLGDLEKDVMLLCH
+NAQTFNLEGSQIYEDSIVLQSVFKSARQKIAKEEESEDESNEEEEEEDEEESESEAKSVK
+VKIKLNKKDEKGRDKGKGKKRPNRGKAKPVVSDFDSDEEQDENEQSEASGTDDE
+>tr|A0JNM0|A0JNM0_BOVIN Ataxin 7 like 3B OS=Bos taurus OX=9913 GN=ATXN7L3B PE=2 SV=1
+MEEISLANLDTNKLEAIAQEIYVDLIEDSCLGFCFEVHRAVKCGYFYLEFAETGNVKDFG
+IQPVEDKGACRLPLCSLPGESGNGPDQQLQRSPPEFQ
+>tr|B6VAE2|B6VAE2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=2 SV=1
+MAGGRWRLLQAAWTTSRQGLGCAQTPGKALRGHSTALTCPPPNASRKISWRTRCLPRDPG
+PGPAVSPRHPQWRPLGAVSALRGSCQPA
+>tr|Q2KIN2|Q2KIN2_BOVIN Deoxyguanosine kinase OS=Bos taurus OX=9913 GN=DGUOK PE=2 SV=1
+MTAGRLYLRLLRAPSKFMVQRLLEGVPPSRGLHAGRGPRRLSVEGNIAVGKSTFVKLLTK
+TYPEWHVATEPVATWQNVLAAGSQKAGAATSLGNLLDMMYQEPARWSYTFQTFSFLSRLK
+VQLEPFPEKLLAVGRAVQIFERSVYSDRYIFAKTLFENGSLSDIEWHIYQDWHYFLLQEF
+ASRLRLHGFIYLQAAPQVCLKRLQRRARQEEKEVELAYLEQLHGQHEAWLVHKTTPLHSE
+ALLNIPVLVLDVNDDFSEEVTIQEELMRRVNTFVKNL
+>tr|F1MQH0|F1MQH0_BOVIN Engulfment and cell motility 1 OS=Bos taurus OX=9913 GN=ELMO1 PE=4 SV=1
+MPPPADIVKVAIEWPGAYPKLMEIDQKKPLSAIIKEVCDGWSLANHEYFALQHADSSNFY
+ITEKNRNEIKNGTILRLTTSPAQNAQQLHERIQSSSMDAKLEALKDLASLSRDVTFAQEF
+INLDGISLLTQMVESGTERYQKLQKIMKPCFGDMLSFTLTAFVELMDHGIVSWDTFSVAF
+IKKIASFVNKSAIDVSILQRSLAILESMVLNSHDLYQKVAQEITIGQLIPHLQGTDQEIQ
+TYTIAVINALFLKAPDERRQEMANILAQKQLRSIILTHVIRAQRAINNEMAHQLYVLQVL
+TFNLLEDRMMTKMDPQDQAQRDIIFELRRIAFDAESEPNNSSGSMEKRKSMYTRDYKKLG
+FINHVNPAMDFTQTPPGMLALDNMLYFAKHHQDAYIRIVLENSSREDKHECPFGRSSIEL
+TKMLCEILKVGELPSETCNDFHPMFFTHDRSFEEFFCICIQLLNKTWKEMRATSEDFNKV
+MQVVKEQVMRALTTKPSSLDQFKSKLQNLSYTEILKIRQSERMNQEDFQSRPILELKEKI
+QPEILELIKQQRLNRLVEGTCFRKLNCRRRQDKFWYCRLSPNHKVLHYGDLEESPQGEVP
+HDSLQDKLPVADIKAVVTGKDCPHMKEKGALKQNKEVLELAFSILYDSNCQLNFIAPDKH
+EYCIWTDGLNALLGKDMMSDLTRNDLDTLLSMEIKLRLLDLENIQIPDAPPPIPKEPSNY
+DFVYDCN
+>tr|A0A3Q1LWM2|A0A3Q1LWM2_BOVIN Zinc transporter ZIP3 OS=Bos taurus OX=9913 GN=SLC39A3 PE=4 SV=1
+MVKLLVAKILCMVGMFFFMLLGSLLPVKIIEMDFEKAHRSKKILSLCNTFGGGVFLATCF
+NALLPAVREKLKEVLTLAHISTDYPLAETIMLLGFFMTVFLEQLVLTFRKERPAFIDLET
+FNASSDAGSDSEYESPFMGGPRGHALYAEPHGHSHGLSVQELSRSSPLRLLSLVFALSAH
+SVFEGLALGLQEEGEKVVSLFVGVAIHETLVAVALGINMARSAMALRDAAKLAVTVSAMI
+PLGISLGLGIDSAQGMPSSVASVLLQGLAGGTFLFVTFFEILAKELEEKSDRLLKVLFLV
+LGYTVLAGMVFIKW
+>tr|A7YWU6|A7YWU6_BOVIN CTRB1 protein OS=Bos taurus OX=9913 GN=CTRB1 PE=2 SV=1
+MAPLWLLSCFALVGAAFGCGVPAIQPVLSGLARIVNGEDAVPGSWPWQVSLQDSTGFHFC
+GGSLISEDWVVTAAHCGVTTSDVVVAGEFDQGLQTEDTQVLKIGKVFKNPKFSILTVRND
+ITLLKLATPAQFSETVSAVCLPSADEDFPAGMLCATTGWGKTKYNALKTPDKLQQATLPI
+VSNTDCRKYWGSRVTDVMICAGASGVSSCMGDSGGPLVCQKNGAWTLAGIVSWGSSTCST
+STPAVYARVTALMPWVQETLAAN
+>tr|A6QQW1|A6QQW1_BOVIN JTB protein OS=Bos taurus OX=9913 GN=JTB PE=2 SV=1
+MPAGAGRRGLPQGHHLCWLLCAFTLRLCQAEAPVREEKLSVSTSNLPCWLVEEFVVAEEC
+APCSNFQAKTTPECGSTGYVEKITCSSSKRSEFKSCRSALMEQRLFWKFEGAVIGLALVF
+ACLVIVRQRQLDRKALEKVRKQIESI
+>tr|Q3T0W8|Q3T0W8_BOVIN Repressor of RNA polymerase III transcription MAF1 OS=Bos taurus OX=9913 GN=MAF1 PE=2 SV=1
+MKLLENSSFEAINSQLTVETGDAHIIGRIESYSCKMAGDDKHMFKQFCQEGQPHVLEALS
+PPQTSGLSPSRLSKSQGGEDEGPLSDKCSRKTLFYLIATLNESFRPDYDFSTARSHEFSR
+EPSLSWVVNAVNCSLFSAVREDFKALKPQLWNAVDEEICLAECDIYSYNPDLDSDPFGED
+GSLWSFNYFFYNKRLKRIVFFSCRSIRVPVICM
+>tr|A2VDV0|A2VDV0_BOVIN Nudix (Nucleoside diphosphate linked moiety X)-type motif 4 OS=Bos taurus OX=9913 GN=NUDT4 PE=2 SV=1
+MMKFKPNQTRTYDREGFKKRAACLCFRSEQEDEVLLVSSSRYPDQWIVPGGGMEPEEEPD
+GAAVREVYEEAGVKGKLGRLLGIFEQNQDRKHRTYVYVLTVTEILEDWEDSVNIGRKREW
+FKVEDAIKVLQCHKPVHAEYLEKLKLGCSPTNGNSTVPSLADSNTLFVTAAQTSGLPSSV
+R
+>tr|A4FUC1|A4FUC1_BOVIN HOXC4 protein OS=Bos taurus OX=9913 GN=HOXC4 PE=2 SV=1
+MIMSSYLMDSNYIDPKFPPCEEYSQNSYIPEHSPEYYGRTRESGFQHHHQELYPPPPPRP
+SYPERQYSCTSLQGPGNSRGHGPAQAGHHHPEKSQPLCEPAPLSGASASPSPAPPACSQP
+APDHPSSAASKQPIVYPWMKKIHVSTVNPNYNGGEPKRSRTAYTRQQVLELEKEFHYNRY
+LTRRRRIEIAHSLCLSERQIKIWFQNRRMKWKKDHRLPNTKVRSAPPAGAAPSTLSAATP
+GTSEDHSQSATPPEQQRAEDITRL
+>tr|A6QNP8|A6QNP8_BOVIN ESCO2 protein OS=Bos taurus OX=9913 GN=ESCO2 PE=2 SV=1
+MSTLTPTKRKQCSLSQDIPLSDIPSKKLILDFTENVFPLPNKKHICQTSDKNEGKIHCSQ
+QGHLISSPLRTTKNGLPSANKGSPFKSAVSVVSFYNKDKWYLNPLERKLIKESRSIGLKT
+NNEDKSYSYMTEKMQGKPVCSKKMNKKPQKSLTAKCQVGYKCIKPVSKNSKNSKQYRVTY
+KPTVENNCYSAENNLNAPRVLSQKVKPQVTFQGGAAFFVSRKKPSLRKSSLEDKLLLGLT
+QKNKSEVIEESDGETVNERRFETRQAPKCVLVEKELNVELLSERSKSEEKLIKDASDGVI
+SSRECKTDENKSCPSEESFSENKAVSPESIVYPIFTMSSVNTKRSLVEEQSSVGSTTSTN
+FLKQVNIQKSTNTRDSNKETKDQLIIDAGQKHFGATMCKSCGMIYAASNPEDELQHVQHH
+HRFVEGIKYTGWKKERVVAEFWDGKIVLVLPRDPSYAIRKVEDVQELVDNELGFQQVVPK
+YPNKTKTLLFISDEKKVVGCLIAEPIKQAFRVLSEPTGPETPSSKECQRAWQCSDVPEPA
+VCGISRIWVFRLKRRKRIARRLVDTLRNCFMFGCFLSTNEIAFSDPTPDGKLFATKYCNT
+PNFLVYNFNN
+>tr|A6QPQ3|A6QPQ3_BOVIN FERM domain containing 4B OS=Bos taurus OX=9913 GN=FRMD4B PE=2 SV=1
+MAISQHQFYLDRKQSKAKIPSARSLDDIAMDLTETGAPRVSKPVTLEAKSQFIMASNGSL
+ISSGSQDSEVSEEQKREKILELKKKEKLLQEKLLKKVEELKKICLREAELTGKMPKEYPL
+NVGEKPPQVRRRIGTAFKLDDNLLPSEEDPALQELESNFLIQQKLVEAAKKLANEPDLCK
+TVKKKRKQDYTDAVKKLQEIENAINEYRIRCGKKPSQKATVILPEDIIPSESSSLSDTTT
+YDDPNDTFTLAGQRSSSVPHSPRILPPKSLGIERIHFRKSSINEQFVDTRQSREMLSTHS
+SPYKTLERRPQGGRSMPTTPVLTRNAYSSSHLEPEPSSQHCRQRSGSLESQSHLLSEMDH
+EKPFFSLSKSQRSSSTEVLDDGSSYTSQSSTEYYCTTPVAGPYYTTQTLDTRSRGRRRSK
+KQNASTSNSGSMPNLAHKDSLRNGVYPKSQEQPSSSCHIAGYTPYAECDLYYAGGYVYEN
+DTEGQYSVNPSYRSSAHYGYDRPRDCSRSFHEDEVDRVPHNPYATLRLPRKAAAKSEHIT
+KNIHKALVAEHLRGWYQRASGQKEQAHSAQTSFDSDRGSQRSLGFAGLQVPCSPSSRASS
+YSSVSSTNASGNWRTQITLGLSEYEIPAHSSYTSCYSNIYNPLPSPGRPYTETGQLDGTD
+GSRLEDSLGSSEQRLFWHEDSKPGTLV
+>tr|A8WFL5|A8WFL5_BOVIN ZNF133 protein OS=Bos taurus OX=9913 GN=ZNF133 PE=2 SV=1
+MAFRDVAVDFTQDEWVLLSPAQRSLYREVMLENYSNLVSLGIPFSKPELITQLEQGKETW
+REERKCSPVSCLEPKPELHLCPFCPPDLCSQKFHMQQVLCSPPPWIFTCLYAEDPVQPGD
+LCLEDQQQQAAGGSAWIEEVEGQEGEGARPLFGKTEKSTVEAFPRPPQRQPVISGGGVPG
+MEIEAGPAQMGTPEETDRLLKRIEVLGFGTVNCGECGLGFSKMTNLLSHQRIHSGEKPYV
+CGVCGKGFSLKKSLARHQKAHSGEKPLVCGECGRGFNRKSTLIIHERTHSGEKPYMCGEC
+GRGFSQKSNLIIHQRTHSGEKPYVCQECGKGFSQKSAVVRHRRTHLEEKTIVCGDCGLGF
+SDRSNLISHQRTHSGEKPYACKECGRCFRQRSTLVNHQRTHSKEKPYVCGVCGHRFSQNS
+TLISHRRTHTGEKPYVCGVCGRGFSLKSHLNRHQNTHSGHKPIVCKDCGRVFSQQSNLIR
+HQRTHSGEKPLVCAECGRGFSQKSNLVAHQRTHSGEKPYVCRECGRGFRHQSGLIRHRRT
+HTREQPYNCRLCGRGFGNKSALLMHKWSHLEENSCLCTECGQGFPHKSHLILHQMTHQGR
+TPHVCKACGQGFSQKCHLSRHRRNVCPPQTTVSV
+>tr|Q5BIS7|Q5BIS7_BOVIN Suppression of tumorigenicity 7 isoform a OS=Bos taurus OX=9913 GN=ST7 PE=2 SV=1
+MAEAGTGFLEQLKSCIVWSWTYLWTVWFFIVLFLVYILRVPLKINDNLSTVSMFLNTLTP
+KFYVALTGTSSLISGLILIFEWWYFRKYGTSFIEQVSVSHLRPLLGGVDNNSSNNSNSSN
+GDSDSNRQSVSECKVWRNPLNLFRGAEYNRYTWVTGREPLTYYDMNLSAQDHQTFFTCDS
+DHLRPADAIMQKAWRERNPQARISAAHEALEINECATAYILLAEEEATTIAEAEKLFKQA
+LKAGDGCYRRSQQLQHHGSQYEAQHRRDTNVLVYIKRRLAMCARRLGRTREAVKMMRDLM
+KEFPLLSMFNIHENLLEALLELQAYADVQAVLAKYDDISLPKSATICYTAALLKARAVSD
+KFSPEAASRRGLSTAEMNAVEAIHRAVEFNPHVPKYLLEMKSLILPPEHILKRGDSEAIA
+YAFFHLAHWKRVEGALNLLHCTWEGTFRMIPYPLEKGHLFYPYPICTETADRELLPSFHE
+VSVYPKKELPFFILFTAGLCSFTAMLALLTHQFPELMGVFAKAMIDIFCSAELRDWNCES
+IFMRVEDELEIPPAPQSQHFQN
+>tr|E1B8D8|E1B8D8_BOVIN Homeobox A7 OS=Bos taurus OX=9913 GN=HOXA7 PE=3 SV=2
+MSSSYYVNALFSKYTAGASLFQNAEPTSCSFAPNSQRSGYGAAAGAFPSTVPGLYNVNSP
+LYQNPFASGYGLGADAYGNLPCASYDQNIPGLCSDLAKGACDKADEGALHGSAEANFRIY
+PWMRSSGPDRKRGRQTYTRYQTLELEKEFHFNRYLTRRRRIEIAHALCLTERQIKIWFQN
+RRMKWKKEHKEESPAASGAPEGAAPAASAAAAAAAAADKADDEDDDEEDEDDDEEEEE
+>tr|A6QQS5|A6QQS5_BOVIN Negative elongation factor complex member A OS=Bos taurus OX=9913 GN=NELFA PE=2 SV=1
+MASMRESDTGLWLHNKLGATDELWAPPSIASLLTAAVIDNIRLCFHGLSSAVKLKLLLGT
+LHLPRRTVDEMKGALTEIIQLASLDSDPWVLMVADILKSFPDSGALNLDLEEQNPNVQDI
+LGELREKVNECEASAMLPLECQYLNKNALTTLAGPLTPPVKHFQLKRKPKSATLRAELLQ
+KSTETAQQLKRSAGVPFHAKGRGLLRKMDTTTPLKGIPKQAPFRSPTAPSVFSPAGNRTP
+IPPSRTPLRKERGVKLLDISELDVVGAGREAKRRRRALDTEAVEKPAKEETVVENATPDY
+AAGLVSTQKLGSLNSETALPSTSYLPATPSVVPASSYVPSSETPPAPSSRDASLQASRPP
+EEPGAPSPALPAQFKQRAPLYNSGPSPAAPPPAAPPSPLTPTTPPAAAPAAQTPPVAMVA
+PQAQQPPAQQQPKKNLSLTREQMFAAQEMFKTANKVTRPEKALILGFMAGSRENPCQEQG
+DVIQIKLSEHTEDLPKSDGQGSTTMLVDTVFEMNYATGQWTRFKKYKPMANVS
+>tr|Q2KI48|Q2KI48_BOVIN PTTG1 interacting protein OS=Bos taurus OX=9913 GN=PTTG1IP PE=2 SV=1
+MASSGVRGPTPRWGPTLGSAALFLLLLPAAAAQGCSQNTSRTCEECLKNVSCLWCNANKM
+CLDYPVTKVLPPSSLCRLSSARWGVCWVNFEALIIAMSVIGGSLLLGVAICCCCCCRRSR
+SRRPDKSEEKAIREREERRVRQEERRVEMKLRHDEIRKKYGLFKEENPYARFENN
+>tr|Q2TBX1|Q2TBX1_BOVIN Cytokine induced protein 29 kDa OS=Bos taurus OX=9913 GN=CIP29 PE=1 SV=1
+MATETVELHKLKLAELKQECLARGLETKGIKQDLINRLQAYLEEHAEEEANEEDVLGDET
+EEEEPKPLELPVKEEEPPEKTVDVAAEKKVVKITSEIPQTERMQKRAERFNVPVSLESKK
+AARAARFGISPVPSKGLSSDTKPMVNLDKLKERAQRFGLNVSSISRKSEDDEKLKKRKER
+FGIVTSSAGTGTTEDTEAKKRKRAERFGIA
+>tr|A6QLP3|A6QLP3_BOVIN PPP1R11 protein OS=Bos taurus OX=9913 GN=PPP1R11 PE=2 SV=1
+MAEAGAGLSETVTETTVTVTTEPENRSLTIKLRKRKPEKKVEWTSDTVDNEHMGRRSSKC
+CCIYEKPRAFGESSTESDDEEEEGCGHTHCVRGHRKGRRHATPGPSPTSPPQPPDPSQPP
+PGPMQH
+>tr|A6QQU3|A6QQU3_BOVIN TMEM117 protein OS=Bos taurus OX=9913 GN=TMEM117 PE=2 SV=1
+MGKDFRYYFQHPWSRMIVAYLVIFFNFLIFAEDPVSHSQTEANVIVVGNCFSFVTNKYPR
+GVGWRLLKVLLWLLAILIGLIAGKFLFHRRLFGQLLRLKMFREDHGSWMTMFFSTILFLF
+IFSHIYNTILLMDGTMGAYIITDYMGIRNESFMKLAAVGTWMGDFVTAWMVTDMMLQDKP
+YPDWGKSARAFWKKGNVRIILFWTVLFTLTSVVVLVITTDWISWDKLNRGFLPSDEVSRA
+FLASFILVFDLLIVMQDWEFPHFMGDVDVNLPGLHTPHMQFKIPFFQKIFKEEYHIHITG
+KWFNYGIIFLVLILDLNMWKNQIFYKPHEYGQYIGPGQKIYTVKDSESLKDLNRTKLSWE
+WRSNHTNPRTNKTYVEGDMFLHSRFIGASLDVKCLAFVPSLIAFVWFGFFIWFFGRFLKN
+EQGMENQDKTYTRMRRKSPSEHSKDMGITRENTQASVEDPLNDPALVCIRSDFNEIVYKS
+SHLTSENLSSHLNESTSTTEGDGDPTTSKSTPTN
+>tr|E1BB88|E1BB88_BOVIN SID1 transmembrane family member 2 OS=Bos taurus OX=9913 GN=SIDT2 PE=4 SV=2
+MFALGLPFWALLVASVESHLGVLGPKNVSQKDAEFERTYVDEVNSELVNIYTFNHTVTRN
+RTEGVRVSVNVLNKQKGAPLLFVVRQKEAVVSFQVPLILRGLFQRKYLYQKVERTLCQPP
+TKNESEVQFFYVDVSTLSPVNTTYQLRVSRMDDFVLRTGEPFSFNTTAAQPQYFKYEFPE
+GVDSVIVKVASNTAFPCSVISIQDVLCPVYDLDNNVAFIGMYQTMTKKAAITVQRKDFPS
+NSFYVVVVVKTEDQACGGSLPFYPFIEDEPVDQGHRQKTLSVLVSRAVTSEAYVGGMLFC
+LGIFLSFYLLTVLLACWENWRQRKKSLLVAVDRACPESGHPRVLADSFPGSSPYDGYNYG
+SFENGSGSTGSTDGPVDSAGPGDLSYNYPDPPGTRPRLDSMSSVEEDDYDTLADVDSDKN
+VIRTKQYLYVADLARKDKRVLRKKYQIYFWNIATIAVFYALPVVQLVITYQTVVNVTGNQ
+DICYYNFLCAHPLGNLSAFNNILSNLGYILLGLLFLLIILQREINHNRALLRNDLCALEC
+GIPKHFGLFYAMGTALMMEGLLSACYHVCPNYTNFQFDTSFMYMIAGLCMLKLYQKRHPD
+INASAYSAYACLAIVIFFSVLGVVFGKGNTAFWIIFSVIHITATLLLSTQLYYMGRWKLD
+SGICRRILHVLYTDCIRQCSGPLYVDRMVLLVMGNIINWSLAAYGLIMRPNDFASYLLAI
+GICNLLLYFAFYIIMKLRSGERIKLIPLLCIVCTSVVWGFALFFFFQGLSTWQKTPAESR
+EHNRDCILLDFFDDHDIWHFLSSIAMFGSFLVLLTLDDDLDTVQRDKIYVF
+>tr|A4IFK4|A4IFK4_BOVIN SYNPO2 protein OS=Bos taurus OX=9913 GN=SYNPO2 PE=1 SV=1
+MGTGDFICISMTGGAPWGFRLQGGKEQQQPLQVAKIRSQSKASGSGLCEGDEVVSINGNP
+CADLTYPEVIKLMESITDSLQMLVKRPSSGISETSISETENKNQENVTHEGYVESTTLQI
+RPATKSQYREFYIVPIKSGAPLAEEQASGAGFSGSIKEETGLAPHTSDSESGRLPEEIIL
+SEKAEAGRPGTVVELQLSLSQERHRGKSAAVVTLLGAEKSMSPDPEPNLLHDGIVHINSA
+PTSEKEDPPLRSSKTIQISSGQELRVIQGNEAADTGLPRVEVIFDCSDRQKTEGCRLQAA
+KGCVDSPVEGGQSEAPPSLVSFAVSSEGAEQGEDPRSERDHSRPHKHRARHARLRRSESL
+SEKQVKEAKSKCKSIALLLTDAPNPNSKGVLMFKKRRRRARKYTLVSYGTGELEREAEEE
+EEEGDKEDPCEVAFLGASESEVDEELLSDTEDNTQVANFDWDSGLVDIEKKLSRGDKMEM
+LPDTTGKGALMFAKRRERMDQITAQKEEERAVGVPSREPDAAQTDGLRTVTSYQRKEEES
+VRVQSSVSKSYTEVSHGLGHVPQQNGFTGVSETAEAQRMIPVNRTAKPFPGSGNQPATPF
+SPSRNVTSPIADFPAPPPYSAVTPPPETFSRAVSSPTAGPAPPPPWPQPAPWSQPAFYDS
+SERIASRDERIAVPAKRTGILQEAKRRSTTKPMFTFKEPKVSPNPELLSLLQNSEGKKGP
+GAGADSGPEEDYLSLGAEACNFMQGSSAKQKTPPPVAPKPAVKSSSSQPVTPVSPLWSPG
+VAPAQPPAFPTSNPSHGTVVSSIKIAQPSYAPARPASALNLAGPFKGPQAAVASRNYTPK
+PAAPTPTVNTAHAGAVGPSNELPGMSGKGAQLFAKRQSRMEKYVVDSDTVQAHAARAHSP
+TPSLPAGWKYSSNVRAPPPVAYNPIHSPSYPPAAVKSQPSGLQASKTGKKKGKKPLNALD
+VMKHQPYQLNASLFTFQPPDAKDGLPAKPSVKASSVPAAKQALPPRPVNAGSPTNVQASS
+VYSVPAYTSPPSFFAEVTSPVSASPVPVAIPTSPKQESASTSYFVAPRPKFSAKKSGVTV
+QTGRSLSLPGRPVPPAISATSPWLYQPAYSYTSKPTDGLEEAKKRLTPWEAAAKSPLGLV
+DEAFRPRNIQESIVANVVSAARRKVLPGQSEEWNARLSYVPQTQKTSVGSFAKQEYNVAS
+LPNYSMPNSQYGSQAPHAYYRQPSRNDSEIMSMETRSDYCLSITNCNYNPHPRGWRRQT
+>tr|A7MB36|A7MB36_BOVIN TRIM3 protein OS=Bos taurus OX=9913 GN=TRIM3 PE=2 SV=1
+MAKREDSPGPEVQPMDKQFLVCSICLDRYRCPKVLPCLHTFCERCLQNYIPAQSLTLSCP
+VCRQTSILPEQGVSALQNNFFISSLMEAMQQAPDGAHDPEDPHPLSAVAGRPLSCPNHEG
+KTMEFYCEACETAMCGECRAGEHREHGTVLLRDVVEQHKAALQRQLEAVRGRLPQLSAAI
+ALVGGISQQLQERKAEALAQISSAFEDLEQALQQRKQALVSDLEAICGAKQKVLQTQLDT
+LRQGQEHIGSSCSFAEQALRLGSAPEVLLVRKHMRERLAALASQAFPERPHENAQLELVL
+EVDGLRRSVLNLGALLTTSATAHETVATGEGLRQALVGQPASLTVTTKDKDGRLVRTGSA
+ELRAEITGPDGTRLPVPVVDHKNGTYELVYTARTEGELLLSVLLYGQPVRGSPFRVRALR
+PGDLPPSPDDVKRRVKSPGGPGSHVRQKAVRRPSSMYSTGGKRKDNPIEDELVFRVGSRG
+REKGEFTNLQGVSAASSGRIVVADSNNQCIQVFSNEGQFKFRFGVRGRSPGQLQRPTGVA
+VDTNGDIIVADYDNRWVSIFSPEGKFKTKIGAGRLMGPKGVAVDRNGHIIVVDNKSCCVF
+TFQPNGKLVGRFGGRGATDRHFAGPHFVAVNNKNEIVVTDFHNHSVKVYSADGEFLFKFG
+SHGEGNGQFNAPTGVAVDSNGNIIVADWGNSRIQVFDSSGSFLSYINTSAEPLYGPQGLA
+LTSDGHVVVADAGNHCFKAYRYLQ
+>tr|A6QQD4|A6QQD4_BOVIN PTPN5 protein OS=Bos taurus OX=9913 GN=PTPN5 PE=2 SV=1
+MCCSERLPGVPQPVGMEALDQAEGPAASQREMPPPPPASPPSEPAQKPPPRGAGSHSLTA
+RSSLCLLAASQFLLACGMLWLSGFGPIWTQNTTDVVSSALTFLEQLGPSAWLGTGTWDAP
+SLLLVSLCVILITTLVWLLLRTPPEPTSPLPPEDRRQSVSRQPSFTYSEWMEEKVEDDFL
+DLDPVPETPVFDCVMDIKLEADPTSLTVKAMGLQERRGSNVSLTLDMCTPGCNEEGFGYL
+MSPREESAREYLLSASRVLQAEELHEKALDPFLLQAEFFEIPMNFVDPKEYDIPGLVRKN
+RYKTILPNPHSRVCLTSPDPDDPLSSYINANYIRGYGGEEKVYIATQGPIVSTVGDFWRM
+VWQEHTPIIVMITNIEEMNEKCTEYWPEEQVVYDGVEITVRKVIHTEDYRLRLIALRSGT
+EERGLKHYWFTSWPDQKTPDRAPPLLHLVREVEEAAQQEGPHCAPIVVHCSAGIGRTGCF
+IATSICCQQLRQEGVVDILKTTCQLRQDRGGMIQTCEQYQFVHHVMSLYEKQLSRQSPE
+>tr|A4IFK5|A4IFK5_BOVIN Hexosyltransferase OS=Bos taurus OX=9913 GN=B3GNT3 PE=2 SV=1
+MRCSRPLRKEAGLALLLATFTLLLLSLHWSPPTCPHLKEPPRAPKAPDWPSPHFRAPPAP
+CQPNTSLMSLPDFAGQPPHIRDFLLYKHCRDFALLQEVPPDKCADPVFLLLVIKSSPSNY
+ERRELVRRTWGRERQILGVQLRRLFLVGTDSNPLEARKVNRLLAMEARTHEDILQWDFYD
+TFFNLTLKQVLFLQWQKTRCTNASFLLNGDDDVFAHTDNMVAYLQSHNPDHHLFVGHLIH
+DVGPIRIPWSKYYVPKVIMEEEHYPPYCGGGGFLLSRFTATALRHASRTLDLFPIDDVFL
+GMCLKQEGLEPASHSGIRTAGVQSPSSRLSSFDPCFYRELLLVHRFLPYEMLLMWDALSQ
+PNVTCGRQGQVY
+>tr|A5D7E8|A5D7E8_BOVIN Protein disulfide-isomerase OS=Bos taurus OX=9913 GN=PDIA3 PE=2 SV=1
+MRLRRLALFPGLALLLAAARLAAASDVLELTDDNFESRITDTGSSGLMLVEFFAPWCGHC
+KKLAPEYEAAATRLKGIVPLAKVDCTANTNTCNKYGVSGYPTLKIFRDGEESGAYDGPRT
+ADGIVSHLKKQAGPASVPLKSEEEFEKFISDKDASVVGFFKDLFSEAHSEFLKAASNLRD
+NYRFAHTNVESLVNKYDDDGEGITLFRPSHLTNKFEDKTVAYTEQKMTSGKIKRFIQENI
+FGICPHMTEDNKDLLQGKDLLIAYYDVDYEKNAKGSNYWRNRVMMVAKKFLDAGKKLHFA
+VASRKTFSHELSDFGLESTTGEIPVVAVRTAKGEKFVMQEEFSRDGKALERFLEDYFDGN
+LKRYLKSEPIPESNDGPVKVVVAENFDEIVNNENKDVLIEFYAPWCGHCKNLEPKYKELG
+EKLRKDPNIVIAKMDATANDVPSPYEVRGFPTIYFSPANKKQNPKKYEGGRELSDFISYL
+KREATNPPVIQEEKPKKKKKAQEDL
+>tr|G3N0Z4|G3N0Z4_BOVIN Xg glycoprotein OS=Bos taurus OX=9913 GN=XG PE=4 SV=2
+MEAWRGLSCLTLLCVLLHVRGENGDFDLADALDDPKPTKKPSSGIYPNPRPPYQPQPGNP
+NNGGDIYPRTKPPPPRPQPGNSGGFLSDSDLIDGGRYPPRRPAGGGGGGFQPGHDGYGQG
+GRNRLRFPGINYGGAGHSTAGNQQGNMIAKIVSPIVSMVVVTLIGLAVRYYNQRRNSLRT
+NEPRTI
+>tr|A4FUH7|A4FUH7_BOVIN S100 calcium binding protein A3 OS=Bos taurus OX=9913 GN=S100A3 PE=2 SV=1
+MASLLEQALATLVRTFQEYSQFSGNPLCQAKFKELLEKELPTWAPTTLRECDYKQFISVL
+DTNKDCQVDFVEYMRLLACLCIYCHEYFKDSPLKPSCAQ
+>tr|Q05AT5|Q05AT5_BOVIN HIG1 domain family, member 2A OS=Bos taurus OX=9913 GN=HIGD2A PE=2 SV=1
+METPGRVTPEAPFEPSQPPVIEGFSPSVYSTSESFKEKFIRKTRENPLVPIGCLGTAAAL
+TYGLYCFHRGQSQRSQLMMRTRIAAQGFTIVAILVGLAASTLKSRP
+>tr|Q0VCN2|Q0VCN2_BOVIN Mitogen-activated protein kinase organizer 1 OS=Bos taurus OX=9913 GN=WDR83 PE=2 SV=1
+MAFPEPKPRGPELPQKRLKTLDCGQGAVRAVRFNVDGNYCLTCGSDKTLKLWNPLRGTLL
+RTYSGHGYEVLDAAGSFDNSSLCSGGGDKAVVLWDVASGQVVRKFRGHAGKVNTVQFNEE
+ATVILSGSIDSTIRCWDCRSRKPEPVQTLDEARDGISSVKVSDHEVLAGSVDGRVRRYDL
+RMGQLFSDYVGSPITCICFSRDGQCTLVSSLDSTLRLLDKDTGELLGEYTGHKNKEYKLD
+CCLSERDTHVVSCSEDGKVFFWDLVEGALALALPVGPGVVQSLTYHPTEPCLLTAMGGSI
+QCWREETYEAEGAPG
+>tr|A7Z014|A7Z014_BOVIN TKT protein OS=Bos taurus OX=9913 GN=TKT PE=1 SV=1
+MEAYHKPDQQKLQALKDTANRLRISSIQATTAAGSGHPTSCCSAAEIMAVLFFHTMRYKA
+LDPRNPHNDRFVLSKGHAAPILYAVWAEAGFLPESELLNLRKISSDLDGHPVPKQAFTDV
+ATGSLGQGLGAACGMAYTGKYFDKASYRVYCMLGDGELSEGSVWEAMAFASIYKLDNLVA
+ILDINRLGQSDPAPLQHQMDIYQKRCEAFGWNAVIVDGHSVEELCKAFGQVKNQPTAIIA
+KTFKGRGITGIEDKESWHGKPLPKNMADQIIQEISGQIQSKKKILATPPEEDAPSVDITN
+IRMPTPPNYKVGDKIATRKAYGQALAKLGHASNRIIALDGDTKNSTFSELFKKEHPDRFI
+ECYIAEQNMVSIAVGCATRDRTVPFCSTFAAFFTRAFDQIRMAAISESNINLCGSHCGVS
+IGEDGPSQMALEDLAMFRSIPTSTVFYPSDGVATEKAVELAANTKGICFIRTSRPENAII
+YNNNEDFQIGQAKVVLKNKDDQVTVIGAGVTLHEALAAADLLKREKINIRVLDPFTIKPL
+DKKLILDSARATKGRILTVEDHYYEGGIGEAVASAVVGEPGVTVTRLAVSQVPRSGKPAE
+LLKMFGIDRDAIAQAVRGLVTRA
+>tr|Q17QB8|Q17QB8_BOVIN Uracil-DNA glycosylase OS=Bos taurus OX=9913 GN=ACACB PE=2 SV=1
+MGVLCPGPLGWGRKLRVSGRGPLQLLSRLCGDYLQASPAKKPRVGPEEPGTPPSSPLSPE
+QLIRIQRNKAAALLRLAARNVPVGFGESWKKHLSGEFGKPYFIKLMGFVAEERKHYTVYP
+PPHQVFTWTQMCDIRDVKVVILGQDPYHGPNQAHGLCFSVQRPVPPPPSLENIYKELSTD
+VDGFVHPGHGDLSGWAKQGVLLLNAVLTVRAHQANSHKERGWEQFTDAVVSWLNQNAHGL
+VFLLWGSYAQKKGSAIDRKRHHVLQTAHPSPLSVYRGFFGCRHFSKTNELLQKSGKEPIN
+WKDL
+>tr|A0A3Q1LMS2|A0A3Q1LMS2_BOVIN Spermatogenesis associated 5 OS=Bos taurus OX=9913 GN=SPATA5 PE=4 SV=1
+MKSANICIGRPVLLTSLDGKQEVYTAWPVAGFPGGKVGLSEVAQKNVGVRVGDAIHVQPV
+LGAVLQAEELDVALSDKNADINEEQLAGCILRKLDGKVVLPGNFLYCTFYGRPCKLQVLR
+VKGADGTMLRRAQNDSDTAAQGMASELSSRDPSTLDISLQLSQLDLEVPRRPASSSTPYK
+PDDRMMNKAGGISSDGTQSPGTGSGRGLEEVTGLECSFESAREGSEQPVNEERLLKSPSV
+GAQSNTDTFYFISSKTRVSFTKNRTNSKDQANQLKVTYDMIGGLNSQLKEIREIIELPLK
+QPELFKSYGIPPPRGVLLYGPPGTGKTMIARAVANEVGAYVSVINGPEIISKFYGETEAR
+LRQIFAEATLRHPSIIFIDELDALCPKREGAQNEVEKRVVASLLTLMDGIGSEGSEGQVL
+VLGATNRPHALDAALRRPGRFDKEIEIGVPNAQDRLDILQKLLRRVPHLLTEAELLQLAN
+SAHGYVGADLKALCNEAGLHALRRVLRRQPNLPDSKMAGLVKITLKDFLQGMNDIRPSAM
+REVAVDVPNVSWSDIGGLENVKLKLKQAVEWPLKHPESFTQMGIQPPKGVLLYGPPGCSK
+TMIAKALANESGLNFLAIKGPELMNKYVGESERAVREIFRKARAVAPSIIFFDELDALAV
+ERGSSSGAGNVADRVLAQLLTEMDGIEQLKNVTILAATNRPDRIDKALMRPGRIDRIIYV
+PLPDAATRREILNLQFHSMPINNEVDLNELILQTDTYSGAEIIAVCREAALLALEEDITA
+NCVMKRHFTQALSTVTPRLPESLRRFYEDYQEKSGLHTL
+>tr|A6QP91|A6QP91_BOVIN Transforming growth factor beta OS=Bos taurus OX=9913 GN=TGFB3 PE=2 SV=1
+MHLLAKPQSSGSREAAWFSSLLLHDCRGLLLPGLAAFLPGPRLKMHLQRALVVLALLNFA
+TVSLSMSTCTTLDFNHIKRKRVEAIRGQILSKLRLTSPPDPSGLASVPIQVLDLYNSTRE
+LLEEVHGERGDVCTQANTESEYYAKEIYKFDMIQGLEEHNDLTVCPKGITSKIFRFNVSS
+VEKNETNLFRAEFRVFRMPNPASKRSEQRIELFQILQPGEHIAKQRYIDGKNLPTRGTGE
+WLSFDVTDTVREWLLRRESNLGLEISIHCPCHTFQPNGDILENIQELMEIKFKGVDSDDD
+PGRGDLGRLKKKKEHIPHLILMMIPPNRLDSPGHSQRKKRALDTNYCFRNLEENCCVRPL
+YIDFRQDLGWKWVHEPKGYYANFCSGPCPYLRSSDTTHSTVLGLYNTLNPEASASPCCVP
+QDLEPLTILYYVGRTPKVEQLSNMVVKSCKCS
+>tr|A3KMY7|A3KMY7_BOVIN Cyclin dependent kinase 18 OS=Bos taurus OX=9913 GN=CDK18 PE=2 SV=1
+MSKMKNFKRRFSLSVPRTETIEESLAEFTEQFNQLHNRRNEDLQLGPLGGDPQPESSTFS
+PTDSGKDLGQLSPGVQYRRQNQRRFSMEDISKRLSLPMDIRLPQEFLQKLQLESPDLPKP
+LTRMSRRASLSDIGFGKLETYVKLDKLGEGTYATVFKGRSKLTENLVALKEIRLEHEEGA
+PCTAIREVSLLRNLKHANIVTLHDLVHTERSLTLVFEYLDRDLKQYLDHCGNLMSMHNVK
+IFMFQLLRGLAYCHRRKILHRDLKPQNLLINERGELKLADFGLARAKSVPTKTYSNEVVT
+LWYRPPDVLLGSTEYSTPLDMWGVGCIQYEMATGRPLFPGSTVKEELHLIFRLLGTPTEE
+TWPGVMALTEFRAYNFPRYLPQPLLSHVPRLDPDGINLLSSLLLYESKSRVSAEAALRHP
+YFRSLGERVHQLEDTASLFSLKEIQLQKDPGYRGLAFQQPGRGKNRRQSIF
+>tr|E1BCM1|E1BCM1_BOVIN SplA/ryanodine receptor domain and SOCS box containing 4 OS=Bos taurus OX=9913 GN=SPSB4 PE=4 SV=2
+MGQKLSGSLKSVEVREPALRPAKRELRGEEPGRPARLDQLLDMPAAGLAVQLRHAWNPED
+RSLNVFVKDDDRLTFHRHPVAQSTDGIRGKVGHARGLHAWQIHWPARQRGTHAVVGVATA
+RAPLHSVGYTALVGSDAESWGWDLGRSRLYHDGKNRPGVAYPAFLGPEEAFALPDSLLVV
+LDMDEGTLSFVVDGQYLGVAFRGLKGKKLYPVVSAVWGHCEVTMRYINGLDPEPLPLMDL
+CRRCIRLALGRQRLQDISSLPLPQSLKNYLQYQ
+>tr|Q3SZP1|Q3SZP1_BOVIN Solute carrier family 35 (CMP-sialic acid transporter), member A1 OS=Bos taurus OX=9913 GN=SLC35A1 PE=2 SV=1
+MAAPRENVNLLFKLYCLAVMTLVAAAYTVALRYTRTSDKELYFSTTAVCITEVIKLLLSV
+GILAKETGNLGRFKASLRENVLGSPKELMKLSVPSLVYAVQNNMAFLALSNLDAAVYQVT
+YQLKIPCTALCTVLMLNRTLSKLQWISVFMLCGGVILVQWKPAQATKVVVEQNPLLGFGA
+IAVAVLCSGFAGVYFEKVLKSSDTSLWVRNIQMYLSGIVVTLVGVYLSDGAEIKEKGFFF
+GYTYYVWFVIFLASVGGLYTSVVVKYTDNIMKGFSAAAAIVLSTIASVMLFGLQITLTFA
+LGTLLVCVSIYLYGLPRQDTTSIQQGETDSKQRVIGV
+>tr|A6QQ95|A6QQ95_BOVIN KLK6 protein OS=Bos taurus OX=9913 GN=KLK6 PE=2 SV=1
+MAVKMLVIALVLVAAAQAEEKDKVLHGGPCEQTSHPYQAALYTAGHLLCGGVLIHPLWVL
+TAAHCKKPNLQVFLGKHNLQQREFFQEESSVIRTVAHPGYNAATHDQDIMLLRLSRPPRL
+SDHIQPLALERDCSANHTSCHILGWGKMADGEYPDTIQCAYVHLVSREKCDHAYPGQITQ
+NMVCAGDEKHGKDSCQGDSGGPLVCGDRLRGLVSWGNVPCGSKEKPGVYTDVCRYGHWIQ
+RVIQAN
+>tr|A5PKM3|A5PKM3_BOVIN SPTLC2 protein OS=Bos taurus OX=9913 GN=SPTLC2 PE=2 SV=1
+MRPDPGGCCCRRRPVRANSCVANGEVRNGYVRSSAAAAAAAAGQIHHVTENGGLYKRPFN
+EGFEETPMLVAVLTYVGYGVLTLFGYLRDFLRHWRIEKCHHATEREEQKDFVSLYQDFEN
+FYTRNLYMRIRDNWNRPICSVPGARVDIMERQSHDYNWSFKYTGNVIKDVINMGSYNYLG
+FARNTGPCQEAAAKVLEEYGVGVCSTRQEIGNLDKHEELEKLVANFLGVEAAMAYGMGFA
+TNSMNIPALVSKGCLILSDELNHASLVLGARLSGATIRVFKHNNMQSLEKLLKDAIVYGQ
+PRTRRPWKKILILVEGIYSMEGSIVRLPEVIALKKKYKAYLYLDEAHSIGALGPTGRGVV
+DYFGLDPEDVDIMMGTFTKSFGASGGYIGGKKALIDYLRTHSHSAVYAASLSPPVAEQII
+TAMKCIMGQDGTTLGKECIQQLAENVRYFRRRLKSMGFIIYGNEDSPVVPLMLYMPAKIG
+AFGREMLKRNIGVVVVGFPATPIIESRARFCLSAAHTRETLDTALKEIDEVGDLLHLKYS
+RHRSVPLLDRPFDETTYEETED
+>tr|F1N5U2|F1N5U2_BOVIN Zinc finger protein 592 OS=Bos taurus OX=9913 GN=ZNF592 PE=4 SV=1
+MGDMKTPDFDDLLAAFDIPDPTSLDAKEAIQTPSEENESPLKPPGLCVDENVSLSHSGSA
+SDVPAVSVIVKNTSRQESFEAEKDHIAPSLLHNGFRGSDLPADPHSLGHNCGKFDSTFMN
+GDSTRGFPGKLEASKSEPLPTFNQFSPISSPEPEDAIKDNGFGMKPKHSDSYFPPPPGCG
+SVGGPVLEALTKFPVPELHMFDHFCKKEPKPEPLPLGSPQEHERGGQKALEVHKELDASR
+FFGDALDFSSHPGNSIGEPKGLASELGTGSAFPPRQRLKPAHSKLSSCVAALVALQAKRV
+ANVAKEDQTSHTKDPSGPAKEGSKGSPKMPKSPKSPRSPLEATRKSIKPSDSPRSICSDS
+SSKGSPSVAASSPPAIPKVRIKTIKTSSGEIKRTVTRILPDPDDPSKSPSGSPLGSATAE
+APSEAPEDEATTLSGVEHFAEVGAQPGSPQSDRKGEECTAKASEPSPSCFSSGARGQKGA
+ASGTLEGRKPQQSPAPPASAPAPANLLPKAVHLANLNLVPHSVAASVTAKSSVQRRSQPQ
+PTQMSVPLVHQVKKAAPLVLEVFNKVLHSSNPVPLYAPNLSPPADSRIHVPASGYCCLEC
+GDAFALEKSLSQHYGRRSVHIEVLCTLCSQTLLFFNKCSLLRHARDHKSKGLVMQCSQLL
+VKPISADQMFVSTPANSTAPAAAAPPSPSQPGQASSNASTPLPALPLYPDPVRLIRHSIK
+CLECHKQMRDYMAMAAHFQRTTEETEGLTCQVCQMLLPNQCSFCAHQRIHAHKSPYCCPE
+CGALCRSAYFQTHVKENCLHYARKVGYRCIHCGVVHLTLALLKSHIQERHCQVFHKCAFC
+PMAFKTASSTADHSATQHPTQPHRPSQLIYKCSCEMVFNKKRHIQQHFYQNASTAQVGVF
+KCPECPLLFLQKPELMQHVKSTHGVPRNVDELSSLQSSADSSSSRPGPRVPAEPPALSVT
+ARGSALPSSRWGRPEAHRRAEARPRLRNTGWTCQECQEWVPDRESYVSHMKKSHGRTLKR
+YPCRQCEQSFHTPNSLRKHIRNNHDTVKKVYTCGYCTEDSPSFPRPSLLESHISLMHGIR
+NPDLSQTSKARPSGGHSPQVNHLKRPVSGVGAAPDTSNGTPVSSTKRHKSLFQCAKCSFA
+TDSGLEFQRHIPQHQKDSSTAQCLLCGLCYTSAGSLSRHLFIVHKVRDQEEEEAEAAEPE
+EGSGEEVPMETRENGLEESAEEPLVGDSETGRSLGLAQDEDGAQDAPSRPQTSQDRDSHT
+PSPQV
+>tr|A7Z036|A7Z036_BOVIN Family with sequence similarity 57 member B OS=Bos taurus OX=9913 GN=TLCD3B PE=2 SV=1
+MLTPMVAGGVVFPGLFLLSKNTLQRLPQLRWEEADAVIVSARLVSSVQAVMASTAGYIVS
+TSCKHIIDDQHWLSSAYTQFAVPYFIYDIYAMFLCHWHKHQVKGHGGDEGSTRAPGSTWA
+VARGYLHKEFLMVLHHAVMVLVCFPLSVVWRQGKGDFFLGCLLMAEVSTPFVCLGKILIQ
+YKQQHTLLHKVNGALMLLSFLCCRVLLFPYLYWAYGRHAGLPLLAVPLALPVHVNLGAAL
+LLAPQLYWFFLICRGACRLFRPRGSRPPSPCQTQD
+>tr|A2VE63|A2VE63_BOVIN Phospholipid scramblase OS=Bos taurus OX=9913 GN=PLSCR4 PE=2 SV=1
+MSDIVPTAPKKPADETENQITSPDPRPGAPPEYSSSFVPGPPGLAIPPPAGYPGGLPMGY
+YGPHHPSTFPLYMHTGSIRPIQYQPGKYPAPQSSAPVVWMPMPTPIPHCPPGLECLAQLD
+NIHVLQHFEPLEMITGFETNNRYDIKNNTGQMVYFVTEDTDDYTRNAYRTLRPFVLRVTD
+CMGREVMTMQRPFRCTCCCFCCPSARQELEVQCPPGVTIGFVAEHWNLCRAVYSLQNEKK
+EDMMGVLGPCSTYGCGSDSVFEILSLDGVSIIGSITRKWNGVLSAMSDADHFEIHFPLDL
+DVTMKAMIFGACFLIDFMYFESSPPQHSNIHHH
+>tr|Q0VCE7|Q0VCE7_BOVIN Serine/threonine-protein kinase PLK OS=Bos taurus OX=9913 GN=PLK3 PE=2 SV=1
+MEPAAGLLSPRPFPRAAAPPAPPAGPGPPGSPKPGSEPEVLAETPAPDPGRLITDPRSGR
+TYFKGRLLGKGGFARCYEATDTETGNAYAVKVISQSRITKPHQREKILNEIELHRGLQHR
+HIVRFSHHFEDADNIYIFLELCSRKSLAHIWKARHTLLEPEVRYYLRQILSGLKYLHQRG
+ILHRDLKLGNFFITENMELKMGDFGLATRLEPPEHRKKTICGTPNYVAPEVLQRQGHGPE
+ADVWSLGCVMYTLLCGSPPFETVDLKETYRCIKQVHYTLPASLSLPARQLLAAILRASPQ
+DRPSIDQILRHDFFTKGYTPDRLPVSSCVTVPDLTPLNPARILFVKVTKSLFGRKKNKNK
+NRPEERDEVSCLVNGLTRTSIGHQDARPEAPAASGPAPLSLVETAPEDSSPRGTLASSGD
+GFEEGLTVATVVESALCALRNCLAFMPPAEQNPAPLAQPEPLVWVSKWVDYSNKFGFGYQ
+LSSRRVAVLFNNGTHMALSANRKTVHYNPTSTKHFSFSVGAVPRALQPQLGVLKYFASYM
+EQRLMKGGDLPSVEEVEVPVPPLLLQWVKTDQALLMLFSDGTIQVNFYGDHTKLILSGWE
+PLLVTFVARNRSACTYLASHLQQLGCSPDLRQRLHYALRLLRDRCPV
+>tr|A4IFR1|A4IFR1_BOVIN CD2 cytoplasmic tail binding protein 2 OS=Bos taurus OX=9913 GN=CD2BP2 PE=2 SV=1
+MPKRKVTFQGVGDDDEDEISVPKKKLVDPVAGVGGPGSRFKGKHSLDSDEEEEEEEEGSS
+KYDILASEDVEGQEAATLPSEGGVRITPFNLQEEMEEGHFDADGNYFLNRDAQIRDSWLD
+NIDWVKIRERPPDQRPPSDSEEEDSLGQTPMSTQALLEGLLELMLPRETVAGALRRLGAR
+GGGKEGSKGPGRPSSPQRLDRLSGLADQMVAQGNLGVYQETRERLAMRLKGLACQTQGPR
+DPTPPPSLDMFAEEVAEGELQTPTPAQREEVESPGDGLADVMWEYKWENTGDAELYGPFT
+STQMQTWVNEGYFPDGVYCRKLDPPGGQFYNSKRIDFDLYT
+>tr|Q1RML0|Q1RML0_BOVIN Papillary renal cell carcinoma (Translocation-associated) OS=Bos taurus OX=9913 GN=PRCC PE=2 SV=1
+MSLVAYASSDESEPDEAEPEPEEEEEAAAPTPGPTLGGLFASLPAPKGPALLPPPPQMLA
+PAFPPPLLLPPPTGDPRLQPPPPLPFGLGGFPPPPGVSPAEAAGVGEGLGLGLPSPRGPG
+LSLPPPIGGAGPPLGLPKPKKRTEPVKIAAPELHKGDSDSEEDEPTKKKTVLQGSSEGAG
+LSALLPQPKNLTVKETNRLLLPHAFSRKPSDGSSDTKPSRQASKTKSSSLAPVVGTTTTT
+PSPSAIKAAAKSAALQVTKQITQEEDDSDEEVAPENFFSLPEKAEPPGVEPYPYPVPTVP
+EELPPGTEPEPAFQDDAANAPLEFKMAAGSSGAPWMPKPGDDYSYNQFSTYGDANAAGAY
+YQDYYSGGYYPAQDPALVSPQEIAPDASFIDDEAFKRLQGKRNRGREEINFVEIKGDDQL
+SGAQQWMTKSLTEEKTMKSFSKKKGEQPTGQQRRKHQITYLIHQAKERELELKNTWSENK
+LSRRQTQAKYGF
+>tr|A7YY77|A7YY77_BOVIN ENDOD1 protein OS=Bos taurus OX=9913 GN=ENDOD1 PE=2 SV=1
+MGPERCLALGGLLALAGLLEGRLVRQEEAGFGECDRFFYAGTPPAGPAAAAHVRICQRSE
+GAERFATLYSTQHRIPVYSAFRAARPAPLGAEPRGLVEPQIDDPNSSLEEVTDEAEAIRS
+VNKLGSSQALNEDFLDSDYRTGQLYPFSLSSDPHSATFTLTNAAPMTPSFQERWYMNLNS
+LMERALTPQCGSGDELYIIAGAVPSDLRVRDRVSIPEFVWLAACCAVPAGGWAMGFVKHT
+RDREVIEDVMLRDLEKLLPFHLQLFQNNCGESDQDTEKMKKILEVVNQVQDEERRLDSEG
+GSEMLSSARGTRSALPPPETSGEGSSLLGGLLGFVATPFIKLCQLIYYLAFGILKYTVYF
+LWYVTKQVINGLESCLYRLGSATITYFLTIGEELGSIPWKVLKVTVRITRAVLRILCCLL
+KVVCRVVGVPVRVLVDVATFPVYTIGAVPIVCREIAMGLGGILSLLFDTAFGTMGGLFQV
+IFTVCKRVGYKITFDNPGEL
+>tr|Q0VC39|Q0VC39_BOVIN Family with sequence similarity 3 member A OS=Bos taurus OX=9913 GN=FAM3A PE=2 SV=1
+MRLAGPLRIMALVITVAVTWIVVSILLSGLGFPWIQQLFASQENSVTAEPRARKYKCGLP
+QPCPEEHLAFRVVSGAANVIGPKICLEDRMLMSSVKDNVGRGLNIALVNGVNGDLIEARA
+FDMWAGDVNDLLKFIRPLHEGTLVFVASYDDPATKMNEETRKLFSDLGSKNVKDLAFRDS
+WVFVGAKGVQNKSPFEQHMKNSKHTNKYEGWPEALEMEGCIPRRPAAS
+>tr|Q58D30|Q58D30_BOVIN Solute carrier family 46 member 2 OS=Bos taurus OX=9913 GN=SLC46A2 PE=2 SV=1
+MCQGSICPWRDPLPRLQVWTWIEPVVASTQVATSLYDAGLLLVVKASFGVGTSSNHSSSP
+SPRGALEDEQQRAISNFYIVYHLVMGLTPLLSAYGLGWLSDRYHRKVSICVPLLGFLLSR
+LVLLLKVLLDWPVEMLYAGAALTGLCGGFSAFWSGVMALGSLSSSEGRRSLRLIVIDLIL
+GLAGFCGSMVSGHLFKQMVGHSKQGLVLTACSVSCATCALLYSLFVLKVPESKAKPRKAV
+DIVPGTVGRYDTLDPDQTDKQSVVRPPPPPATAKPKQIIIGLLFVGAIIYDLAVVGTVDV
+MPLFVLREPLSWNQVQVGYGMASGYTIFITSFLGVLVFSRYLQDTTMIMIGMVSFASGAL
+LLAFVKETYMFYIARAIMLFALIPVTTIRSAMSKLIKGSSYGKVFVILQLSLTLTGVVTS
+TLYNKIYQLTMEKFIGTCFALSSFLSFLAILPIGIVAYKQASWLQPGDITEK
+>tr|A0A3Q1MSZ9|A0A3Q1MSZ9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=TBL1XR1 PE=4 SV=1
+MSISSDEVNFLVYRYLQESGFSHSAFTFGIESHISQSNINGALVPPAALISIIQKGLQYV
+EAEVSINEDGTLFDGRPIESLSLIDAVMPDVVQTRQQAYRDKLAQQQAAAAAAAAAATNQ
+QGSAKNGENTANGEENGAHTIANNHTDMMEVDGDVEIPPNKAVVLRGHESEVFICAWNPV
+SDLLASGSGDSTARIWNLSENSTSGSTQLVLRHCIREGGQDVPSNKDVTSLDWNSEGTLL
+ATGSYDGFARIWTKDGNLASTLGQHKGPIFALKWNKKGNFILSAGVDKTTIIWDAHTGEA
+KQQFPFHSAPALDVDWQSNNTFASCSTDMCIHVCKLGQDRPIKTFQGHTNEVNAIKWDPT
+GNLLASCSDDMTLKIWSMKQDNCVHDLQAHNKEIYTIKWSPTGPGTSNPNANLMLASASF
+DSTVRLWDVDRGICIHTLTKHQEPVYSVAFSPDGRYLASGSFDKCVHIWNTQTGALVHSY
+RGTGGIFEVCWNAAGDKVGASASDGSVSIMKFSRGVNVCEREKA
+>tr|Q3SZG2|Q3SZG2_BOVIN 40S ribosomal protein S30 OS=Bos taurus OX=9913 GN=FAU PE=2 SV=1
+MQLFVRAQELHTLEVTGQETVAQIKAHVASLEGIAPEDQVLLLAGTPLEDEATLGQCGVE
+ALSTLEVAGRMLGGKVHGSLARAGKVRGQTPKVAKQEKKKKKTGRAKRRMQYNRRFVNVV
+PTFGKKKGPNANS
+>tr|A6QPP0|A6QPP0_BOVIN KCNAB1 protein OS=Bos taurus OX=9913 GN=KCNAB1 PE=2 SV=1
+MHLYKPACADIPSPKLGLPKSSESALKCRRHLAVTKPPPQAVCWPVRPSGAVERKYLEKF
+LRVHGISLQETTRAETGMTYRNLGKSGLRVSCLGLGTWVTFGGQISDEVAERLMTIAYES
+GVNLFDTAEVYAAGKAEVILGSIIKKKGWRRSSLVITTKLYWGGKAETERGLSRKHIIEG
+LKGSLQRLQLEYVDVVFANRPDSNTPMEEIVRAMTHVINQGMAMYWGTSRWSAMEIMEAY
+SVARQFNMIPPVCEQAEYHLFQREKVEVQLPELYHKIGVGAMTWSPLACGIISGKYGNGV
+PESSRASLKCYQWLKERIVSEEGRKQQNKLKDLSPIAERLGCTLPQLAVAWCLRNEGVSS
+VLLGSSTPEQLIENLGAIQVLPKMTSHVVNEIDNILRNKPYSKKDYRS
+>tr|Q08DL0|Q08DL0_BOVIN SLC3A2 protein OS=Bos taurus OX=9913 GN=SLC3A2 PE=1 SV=1
+MDREPPEPSAGVISVPSQPPSEPSGPGPQVPSAGGDSGTMSQDTEVDMKEVELNELEPEK
+QPMNAASGAAMAVVVAGGTEKNGLVKIKVADDEADAAAEAKFTGLSKEELLKVAGSPAWV
+RTRWALLLLFWLGWLGMLAGAVVIIVQAPRCRELPEQRWWHKGALYRIGDLRAFLGQEAG
+NLADLKERMDYLSTLKVKGFVLGPIHKNQEDDLTETNLEQIDPIFGSKEDFESLLHSAKK
+KSIRVILDLTPNYKGQNPWFQSIQIDTVAIKMKEALRFWLQTGVDGFQVRDVQNLTNPSS
+FLAEWQNITKSVSEDRLLIAGTDSSDLQQILRLLEPTRDLLLTSSYLSHSSLTGNHTNFL
+VTQYLDAFGSNWCSWSVSQAGLLTSFVSPQLLRLYQLLFFTLPGTPVFSYGDEIGLEGAG
+LPGQPVKAPVMLWDESSFPNTSASINISMTVKGQSEDRNSLLSLFRWLSDQRGKERSLLH
+GDFYDLSSGPDLFSYIRQWDQNERFLVVLNFGDVGQLARLRASSLPTGTSLPARVDLLLS
+TQPGREEGTSLELEHLNLQPHEGLLLRFPYVA
+>tr|A0A3Q1M554|A0A3Q1M554_BOVIN Myotubularin related protein 3 OS=Bos taurus OX=9913 GN=MTMR3 PE=4 SV=1
+MDEETRHSLECIQANQIFPRKQLIREDENLQVPFLELHGESTEYVGRAEDAIIALSNYRL
+HIKFKESLVNIPLQLIESVECRDIFQLHLTCKDCKVIRCQFSTFEQCQEWLKRLNNAIRP
+PAKIEDLFSFAYHAWCMEVYASEKEQHGDLCRPGEHVTSRFKNEVERMGFDMNNAWRISN
+INEKYRLCGSYPQELIVPAWITDKELESVAGFRSWKRIPAVVYRHQSNGAVIARCGQPEV
+SWWGWRNADDEHLVQSVAKACASDSRSGGSKPSARNSPRDFPCAGDLSDVEFDSSLSNAS
+GAESLAIQPQKLLILDARSYAAAVANRAKGGGCECPEYYPNCEVVFMGMANIHSIRRSFQ
+SLRLLCTQMPDPGNWLSALESTKWLHHLSVLLKSALLVVHAVDRDQRPVLAHCSDGWDRT
+PQIVALAKLLLDPYYRTIEGFQVLVEMEWLDFGHKFADRCGHGENSDDLNERCPVFLQWL
+DCVHQLQRQFPCSFEFNEAFLVKLVQHTYSCLFGTFLCNNAKERGEKHTQERTCSVWSLL
+RAGNKAFKNLLYSSQSEAVLYPVCHVRNLMLWSAVYLPCPSPSTPVDDSCAPYPAPGCSP
+DDPPLSRLPKTRSFDNLTTACDNTVPLASRRSSDPSLNEKWQEHRRSLELSTLAGPGEEP
+VEPDSLGKPTKVLGGAELSVAAGVAEGQMENILQEATKEESGVEEPAHRGVQEVKEEALL
+EEGSRGKSPEGSARELDQQPEVGEASLRSHPGTSLSGLSQGVPEQGGHSVLPSSLQEPPR
+EEGSQEVPMEPSQIGATAEDREEAVLPVSADVAVDSGTSQSSSLPSQVSFETRGPNMDGS
+VDMLMEDKVKSDSGPQGHHRPGPVHSGWLGGKDVLPPAVEPRPAERPQLGPVVHRTSPGS
+ARSPAHSPSALPLAECKEGLVCNGAPETENKASEQPPGLSTLQKYPTPNGHCANGETGRS
+KDSLSRQLSATSCSSAHVHTRSLHPKWLHGHSGRPSAAGSPEQPSRSHLDDDGMPVYTDT
+IQQRLRQIESGHQQEVETLKKQVQELRSRLESQYLTSSLRFNGDFGDEVTSIPDSESNLD
+QNCLSRCSTEIFSEASWEQVDKQDTEMTRWLPDHLAAHCYACDSAFWLASRKHHCRCPWR
+EWRGRRGVGAEAGAEPADGDGGIWLTDPGGLQNPGPPFVPVKSVFTELHLVSVFHFRVIV
+SKF
+>tr|A5D960|A5D960_BOVIN ST3 beta-galactoside alpha-2,3-sialyltransferase 1 OS=Bos taurus OX=9913 GN=ST3GAL1 PE=2 SV=1
+MRKRTLKVLTLLLLFIFLTSFFLNYSHTMLATTWFPKQMVHELSENFKKLMKYSNRPCTC
+TRCIGQRRVSSWFDERFNRSMQPLLTAKNALLEEDTYNWWLRLQREKQPNNLNDTIKELF
+QVVPGDVDPLLEKGSVGCRRCAVVGNSGNLRESWYGPQIDSHDFVLRMNKAPTAGFEADV
+GRKTTHHLVYPESFRELAENVSMVLVPFKTVDLEWVISATTKGTISHTYVPVPAKIKVKK
+NKILIYHPAFIKYVFDSWLQGHGRYPSTGILSVIFSLHVCDEVDLYGFGADSKGNWHHYW
+ENNPSAGAFRKTGVHDGDFESNVTATLASINKIRIFKGR
+>tr|Q2KIV3|Q2KIV3_BOVIN RNA binding motif protein 4B OS=Bos taurus OX=9913 GN=RBM4B PE=2 SV=1
+MVKLFIGNLPREATEQEIRSLFEQYGKVLECDIIKNYGFVHIEDKTAAEDAIRNLHHYKL
+HGVNINVEASKNKSKASTKLHVGNISPTCTNQELRAKFEEYGPVIECDIVKDYAFVHMER
+AEDAVEAIRGLDNTEFQGKRMHVQLSTSRLRTAPGMGDQSGCYRCGKEGHWSKECPVDRS
+GRVADFTEQYNEQYGAVRTPYTMGYGESVYYNDAYGALDYYKRYRVRSYEAVAAAAAASA
+YNYAEQTMSHLPQVQNTAVTSHLNSTSVDPYDRHLLPNSGAAATSAAMAAAAATTSSYYG
+RDRSPLRRGAAVLPTVGEGYGYGPESELSQASAAARNSLYDMARYEREQYVDRARYSAF
+>tr|Q08D81|Q08D81_BOVIN WD repeat domain 13 OS=Bos taurus OX=9913 GN=WDR13 PE=2 SV=1
+MAAVWQQVLAVDARYNAYRTPTFPQFRTQYIRRRSQLLRENAKAGHPPALRRQYLRLRGQ
+LLGQRYGPLSEPGSARAYSNSIVRSSRTTLDRMEDFEDDPRALGARGHRRSVSRGSYQLQ
+AQMNRAVYEDRPPGSVVPTSAAEASRAMAGDTSLSENYAFAGMYHVFDQHVDEAVPRVRF
+ANDDRHRLACCSLDGSISLCQLVPAPPTVLRVLRGHTRGVSDFAWSLSNDILVSTSLDAT
+MRIWASEDGRCIREIPDPDGAELLCCTFQPVNNNLTVVGNAKHNVHVMNISTGKKVKGGS
+SKLTGRVLALSFDAPGRLLWAGDDRGSVFSFLFDMATGKLTKAKRLVVHEGSPVTSISAR
+SWVSREARDPSLLINACLNKLLLYRVVDNEGTLQLKRSFPIEQSSHPVRSIFCPLMSFRQ
+GACVVTGSEDMCVHFFDVERAAKAAVNKLQGHSAPVLDVSFNCDESLLASSDASGMVIVW
+RREQK
+>tr|Q6H8M9|Q6H8M9_BOVIN ST3 beta-galactoside alpha-2,3-sialyltransferase 2 OS=Bos taurus OX=9913 GN=siat4B PE=2 SV=1
+MKCSLRVWFLSMAFLLVFVMSLLFTYSHHSTATLPYLDSGALDGAPRVKLVPGYAGLQRL
+SKEGLAGKSCACRRCMGDTGASEWFDSHFNSNISPVWTRENMDLPPDVQRWWMMLQPQFK
+SHNTNEVLEKLFQIVPGENPYRFRDPRQCRRCAVVGNSGNLRGSGYGPDVDGHNFIMRMN
+QAPTVGFEQDVGSRTTHHFMYPESAKNLPANVSFVLVPFKALDLLWIASALSTGQIRFTY
+APVKSFLRVDKEKVQIYNPAFFKYIHDRWTEHHGRYPSTGMLVLFFALHVCDEVNVYGFG
+ADSRGNWHHYWENNRYAGEFRKTGVHDADFEAHIIDMLAKASKIEVYRGN
+>tr|A5D9H4|A5D9H4_BOVIN Chromatin assembly factor 1 subunit B OS=Bos taurus OX=9913 GN=CHAF1B PE=2 SV=1
+MKVITCEIAWHNKEPVYSLDFQYGAAGRIHRLASAGVDTAVRVWKVEKGPDGKAIVEFLS
+NLARHTKAVNVVRFSPNGEILASGGDDAVILLWKVNDNKEPEQVAFQDEDEAQLNKENWT
+VVKTLRGHLEDVYDICWATDGNLMASASVDNTAIMWDVSKGQKISIFNEHKSYVQGVTWD
+PLGQYVATLSCDRILRVYSTQKKRVAFNVSKMLSGVGAEGEARSYRMFHDDSMKSFFRRL
+SFTPDGSLLLTPAGCVESGENVTNTTYVFSRKNLKRPIAHLPCPAKATLAVRCCPVYFEL
+RPVAEAGVLSDQGREGREPGMELVSLPYRLVFAVASEDSVLLYDTQQLFPFGYVSNIHYH
+TLSDISWSSDGAFLAISSTDGYCSFVTFEKDELGIPLKEKPVLSLRTPDTAKKTKGQTPS
+GSSPGPRLGEGTPTGRVQDPSSPSTTPPQAKQSQAPPAAKDTPMAALGARGSPAGPPKEK
+PLQPSGQNAKAQPSRRVTLNTLQAWSKPTSRRINLIPLKTDSPLNSISTPLTSSPSTEEI
+QSASETPADPQVSPLELKRPRLGEHGEGPRVMDPQ
+>tr|E1B9T2|E1B9T2_BOVIN Semaphorin 3B OS=Bos taurus OX=9913 GN=SEMA3B PE=3 SV=2
+MGRAEAAAMIPGLALLWAAGLGGAAPSTPRLRLSFQELQARHGLRTFRLERTCCYEALLL
+DEERGRLFVGAENHVASLSLDNISKRAKKLAWPARVEWREECNWAGKDIGTECMNFVKLL
+HAYNHTHLLACGTGAFHPICAFVEVGQRLEEPMLRLDPRRLEDGKGKSPYDPRHRAASVL
+VGEELYSGVAADLMGRDFTIFRSLGQRPSLRTEPHDSRWLNEPKFIKVFWIPESENPDDD
+KIYFFFRESAVEATPALGRLSVSRVGQICRNDVGGQRSLVNKWTTFLKARLVCSVPGAEG
+DTNFDQLQDVFLLSSRDRWTPLLYAVFSTSSSIFQGSAVCVYSMNDVRRAFLGPFAHKEG
+PMHQWVSYQGRVPYPRPGMCPSKTFGTFSSTKDFPDDVIQFARNHPLMYNSVLPIGGRPL
+FQQVGAGYTFTQITADRVAAADGYYDVLFIGTDVGTVLKVISVPKGGWPNAEGLLLEELH
+MFEDSAAVTSMQISSKRHQLYVASRSGVAQIPLHRCAAHGRACAECCLARDPYCAWDGAK
+CTRFQPSAKRRFRRQDVRNGDPSTLCSGDSSHPVLLEQKVLGVEGGSAFLECEARSLQAH
+VEWTFQRAGESAYTQQVPAEERAERTRRGLLLRGLRRGDSGLYLCAAVEQGFSQPLRRLA
+LHVLSAAQAERLARTEDTAPVAPPGPKLWYRDFLQLVEPGGGGANSLRMCRPQPAPRPQV
+PESRRKGRNRRTHAPELRAERGPRSAADW
+>tr|E1BD39|E1BD39_BOVIN ORAI calcium release-activated calcium modulator 2 OS=Bos taurus OX=9913 GN=ORAI2 PE=4 SV=1
+MSTELNVPVDPSAPACSEPGHKGMDYRDWVRRSYLELVTSNHHSVQALSWRKLYLSRAKL
+KASSRTSALLSGFAMVAMVEVQLETQYQYPRPLLIAFSACTTVLVAVHLFALLISTCILP
+NVEAVSNIHNLNSISESPHERMHPYIELAWGFSTVLGILLFLAEVVLLCWIKFLPVDARH
+QPGPPPGPGGHTGWQAALVSTIIMVPVGLIFVVFTIHFYRSLVRHKTERHNREIEELHKL
+KVQLDGHERSLQVV
+>tr|E1BJ72|E1BJ72_BOVIN Kallikrein F OS=Bos taurus OX=9913 GN=KLK9 PE=3 SV=3
+MRLGFLCALLSLLEGQGWADTRAIGAKECRPNSQPWQAGLFYLTHLFCGATLISDRWLLT
+AAHCRKRYLWVRLGEHHLWKWEGPEQLFRATDFFPHPGFNQDLTAQDHNNDIMLVRLPRK
+AHLGPAVQPLNLSQTCVSPGTQCLISGWGAVSSPKVEYPLTLQCANISILEPRLCRRAYP
+GHISDSMLCAGLWEGGRGSCQGDSGGPLVCNGTLAGVVSGGSEPCSRPRRPAVYTSVCHY
+LDWIQETMEDN
+>tr|A6QQN6|A6QQN6_BOVIN Acid sphingomyelinase-like phosphodiesterase OS=Bos taurus OX=9913 GN=SMPDL3B PE=2 SV=1
+MRLPEVLIFLASWGVARAVPGKFWHISDLHLDPDYKVSEDPLQVCPSAGSQPVPNAGPWG
+DYLCDSPWILINSSIYAMKEIEPEPDFILWTGDDTPHVPNERLSEAAVLQIVKQLTQLIR
+EAFPDTKVYAALGNHDFHPKNQLPAGSNNIYNQVAELWRPWLRNESVTLFKEGAFYSEKL
+PGLSGAGRIVVLNTNLYYTSNEQTAGMADPSQQFQWLDDVLTNASLAGEMVYIIGHVPPG
+FFEKTRNKAWFREGFNEEYLKVVQRHHRVIAGQFFGHHHTDSFRMFYDGAGTPISVMFLT
+PGVTPWKTTLPGVVNGANNPGIRVFEYDRATLSLQDMVTYFLNLSQVNALGAPRWELEYR
+VTEAYGVPDAGARSMHAALGRIASDQGALQRYYVYNSVSYDTRACDEACRAEHVCALREV
+AFDGYAVCLRAPGVAPAPRLALLPAALLGLRALLVS
+>tr|A5D7L2|A5D7L2_BOVIN SH2 domain containing 3C OS=Bos taurus OX=9913 GN=SH2D3C PE=2 SV=1
+MTEGTKKASKKFKFFKFKGFGSLSNLPRSFTLRRSLAPNSIRPQVEADTFDATQDDMVTV
+PKSPPAYARSSDMYSHMGTMPRPSIKKAQGKQATQKAQEVGPEPQVVPRSLPDPPGLEAA
+EKVVPGTIASREDTPTVGPNPSAVEVDPTRKPEDARPDTEEGRDPKNVPSERATAEPEAG
+GDYVKFSKEKYILDSSPEKLHKELEEELKLSSTDLRSHAWYHGRIPREVSETLVQRNGDF
+LIRDSLTSLGDYVLTCRWRNQALHFKINKVVVKAGESYTHIQYLFEQESFDHVPALVRYH
+VGSRKAVSEQSGAIIYCPVNRTFPLRYLEACYGLGQGGGKAASPASPSGPKGSHMKRRSV
+TMTDGLTADKVTRSDGCPTSTSLPHPRESIRNCALSMDQIQDLHSPMSPISESPSSPAYS
+TVTRVHAAPAAPSATALPASPVTRRSSEPQLCPGSVPKPHGESDKGPYSSPSHTLCKASP
+SPSLSSYSDPDSGHYCQLQPPVRGSREWAAAEASGRQARSYGEKLKELSENGASEGDWGR
+TFTVPVVEATSSFNPATFQSLLIPKDNRPLEVGLLRKVKELLAEVDARTLARHVTKVDCL
+VARILGVTKEMQTLMGVRWGMELLTLPHGRQLRLDLLERFHTMSIMLAMDILGCTGSAEE
+RAALLHKTIQLAAELRGTMGNMFSFAAVMGALDMAQIARLEQTWVTLRQRHTEGAILYEK
+TLKPFLKSLNEGKEGPPLSNTTFPHILPLITLLECDSAPAEGPEPWGSTEHGVEVVLAHL
+EAARTVAHHGGLYHTNAEVKLQGFQARPELLEVFSTEFQMRLLWGSQGASSSQARRYEKF
+DKVLTALSHKLEPAIRSSEL
+>tr|A0A3Q1LS25|A0A3Q1LS25_BOVIN H15 domain-containing protein OS=Bos taurus OX=9913 GN=LOC112445459 PE=3 SV=1
+MADAASSPQPAAAAPSSAAKKAASGGGAQKKAKNPRAKPNHPPTSEMVNNAIKNLKERGG
+SSLQAIKKYISANYKVDAEKLSPFIKKYLKAAVTSGGLVQTKGKGASGSFKLSSSASSGA
+ASKQAGGADKSSSASKAKPAAKRPKAVKPKKSSASSAGKTKSVAAGEKKSVKSASKKAAS
+PKKPSKPKSPSKAKKTTKGPTKKPKAPKPKRAKPSGKASSPKKASGAPKKK
+>tr|A0A3Q1LMJ5|A0A3Q1LMJ5_BOVIN Cation-transporting ATPase OS=Bos taurus OX=9913 GN=ATP13A3 PE=3 SV=1
+MDKEERKIINQGQEDEMEIYGYNLCRWKLAIISLGVVCTGGFLLLLLYWMPEWRVKVTCV
+RAAIKDCEVVLLRTTDEFRTWFCAKVRFLSLETPPFSSSKSLVNKVLNGHAVHLTENLAE
+ENRLEMNKYSQPQSQQIRYFTHHSMKYFWNDTLHNFDFLKGLDEGVSCTSIYEKHSAGLT
+KGMHAYRKLLYGVNEIAVKVPSVFKLLVKEVLNPFYIFQLFSVILWSTDEYYYYAIAIVI
+MSVVSIISSLYAIRKQYIMLHDMVAAHSTVRVSVCRVNEEIEEIFSTDLVPGDVMVIPLN
+GTVMPCDAVLINGTCIVNESMLTGESVPVTKTNLPNPSVDMKGTRDELYSPETHRRHTLF
+CGTTVIQTRFYTGELVKAIVVRTGFSTSKGQLVRSILYPKPTDFKLYRDAYLFLLCLVGV
+AAIGFIYTIINNILNEVEVGDIIIESLDIITITVPPALPAAMTAGIVYAQRRLKKVGIFC
+ISPQRINICGQLNLVCFDKTGTLTEDGLDLWGIQRVENARFLLPEEKVCSEMLVKSQFVA
+CMATCHSLTKIEGVLSGDPLDLKMFEAIGWILEEATEEETALHNRIMPTVVRPPKQLLPE
+SAPAGSQEMELFELPAVYEIGIVRQFPFSSALQRMCVVARVLGDRKMDAYMKGAPEVIAG
+LCKPETVPVDFEKVLEDYTKQGFRVIALAHRKLESKLTWHKVQNVGRDAIENNMDFMGLI
+IMQNKLKRETPAVLEDLHKANIRTVMVTGDNMLTAVSVARDCGMILPQDKVIIAEALPPK
+DGKVAKINWHYADTITQSNDSSAIDPEAIPIKLVHDNLEDLQVTRYHFAMNGKSFSVILE
+HFQDLVPKLMLHGTVFARMAPDQKTQLVEALQNVDYYVGMCGDGANDCGALKRAHGGISL
+SELEASVASPFTSKTPSISCVPNLIREGRAALMTSFCVFKFMALYSIIQYFSVTLLYSIL
+SNLGDFQFLFIDLAIILVVVFTMSLNPAWKELVAQRPPSGLISGALLFSVLSQIIISIGF
+QSLGFFWVKQQTWYKESHPYSYAFNTTESLDGNSSHVDDETNPDKHNIENYENTTVFFIS
+SFQYLIVAIAFSKGKPFRQPCYKNYFFVVSVIMLYAFILFIMLHPVDSIDQVLQIVCVPY
+QWRITMLIIVLVNAFVSIAVENFFLDMVLWKVVFSRDKQGEYRFTTTQPPQESMDWWEKC
+CLSWALNCRKKMPKAKYMYLAQELLVDPEWPPKPQTTTEAKALVKENGSCQIITIT
+>tr|A7MBH7|A7MBH7_BOVIN Alkaline ceramidase OS=Bos taurus OX=9913 GN=ACER3 PE=2 SV=1
+MAPAGDREGYWGPTTSTLDWCEENYAVTWYIAEFWNTVSNLIMILPPIFGAMQSVRSGLE
+KRYIASYLALTVVGMGSWCFHMTLKYEMQLLDELPMIYSCCIFVYCMFECFKMKKSVNYH
+LLFTLVLFSLIVTMVYLKVKEPIFHQVMYGMLVFTLVVRSIYIVTWVYPWLRGLGYTSLG
+VFLLGFLFWNIDNIFCDSLRNFRKKVPPVIGVTTQFHAWWHILTGLGSYLHILFSLYTRT
+LYLRYRPKVKFLFGIWPVILFEPLRKQ
+>tr|A7E3Q0|A7E3Q0_BOVIN Integrator complex subunit 11 OS=Bos taurus OX=9913 GN=CPSF3L PE=2 SV=1
+MPEIRVTPLGAGQDVGRSCILVSIAGKNVMLDCGMHMGFSDDRRFPDFSYITRSGRLTDF
+LDCVIISHFHLDHCGALPYFSEMVGYDGPIYMTQPTQAICPILLEDYRKIAVDKKGEANF
+FTSQMIKDCMKKVVAVHLHQTVQVDDELEIKAYYAGHVLGAAMFQIKVGSESVVYTGDYN
+MTPDRHLGAAWIDKCRPSLLITESTYATTIRDSKRCRERDFLKKVHETVERGGKVLIPVF
+ALGRAQELCILLETFWERMDLKAPIYFSTGLTEKANHYYKLFIPWTNQKIRKTFVQRNMF
+EFKHIKAFDRAFADSPGPMVVFATPGMLHAGQSLQIFRKWAGNEKNMVIMPGYCVQGTVG
+HKILSGQRKLEMEGRQVLEVKMQVEYMSFSAHADAKGIMQLVGQAEPENVLLVHGEAKKM
+EFLKQKIEQEFRVNCYMPANGETVTLPTSPSIPVGISLGLLKREMAQGLLPDAKKPRLLH
+GTLIMKDSNFRLVSSEQALKELGLAEHQLRFTCRVHLHDTRKEQEMAMRVYSHLKSVLKD
+HCVQHLPDGSVTVESILVQAAAHSEDPGTKVLLVSWTYQDEELGSYLTSLLKKGLPQAS
+>tr|A6QP44|A6QP44_BOVIN FUK protein OS=Bos taurus OX=9913 GN=FCSK PE=2 SV=1
+MEQPKGVDWTVIILTCQYKDSVEVFQRELEIRQKREQIPAGTLLLAVEDPEVHVGSGGAT
+LNALLVAAEHLSARAGFTVVTSDVLHSAWILILHMGRDFPFDDCGRAFTCLPVENPQAPV
+EAVVCNLDCLLDIMSHRLGPGSPPGVWVCSTDMLLSVPPDPGISWDGFRGARGIALPGSM
+AYARSHGVYLTDSEGFVLDIYYQGTEAEIQRCARPDGRVPLVSGVVFFSVETAERLLATH
+VSPPLDACTYLGLDSGARPGQLSLFFDILLCMARNVQREDFLVGRPPEMGQGDADVAGYL
+HGARAELWRQLRGQPLTVAYVPDGSYSYMTNSASEFLHSLTSPGALGAQVIHSQVEEWLL
+LEAGSAVVSCLLEGPVRLGPGSVLQHCHLRGPIHVGTGCFVSGLDVAQSQALHGVELRDL
+VLRGHHVQLHGAPSRAFTLVGRLDSWERQGTGTYLNMSWSKFFQKTGIRDWDLWDPDVPP
+TERCLLSARLFPVLHPSRAPGPRDLLWMLDPQEDGGRALRAWRACWRLSWEQLQPCLDRG
+ATLAARRDLFFRQALHKARHVLEARQDLSLRPLTWAAVHEGCPGPLLATLDQVAAGAEDP
+GVAARALACVADVLGCMAEGRGGLRSGPAANPEWVSPFSFLERGDLARGVEGLTRERARW
+LSRPALLVRAARHYEGAGQLLIRRAVMSAQRFVCCMPVELPAPGQWVVAECPARVDFSGG
+WSDTPPLAYELGGAVLGLAVRVDSRRPIGARARRIPEPELRLAVGPRQDQMAVKIVCCNL
+DDMRGYCQPQAPGALLKAAFVCAGIVSVSSELSLREQLLRAFGGGFELHTWSELPHGSGL
+GTSSILAGAALAAVQRAAGRVVGAEALIHAVLHLEQVLTTGGGWQDQVGGLMPGIKVGRS
+QAQLPLKVEVEEVAVPEGFVQKLNDHLLLVYTGKTRLARNLLQDVLRSWYARLPAVVQNA
+RRLVQQTEECAEAFRQGSLPLLGQCLTSYWEQKKLMAPGCEPLVVRLLMDVLAPYVHGQS
+LAGAGGGGFLCLLTKEPRQKEALEAVLAKTKGLGNYSIHLVEVDTQGLSLQLLGTETST
+>tr|A4IFJ2|A4IFJ2_BOVIN SYT5 protein OS=Bos taurus OX=9913 GN=SYT5 PE=2 SV=1
+MFPEPPTPGPPSPDTPPDSSRISHGPVPPWALATIVLVSGLLVFSCCFCLYRKRCRRRMG
+KKSQAQAQVHLQEVKELGRSYIDKVQPEVEELEPTPSGPGQQVAEKNELGRLQYSLDYDF
+QTGQLLVGIVQAEGLAALDIGGSSDPYVRVYLLPDKRRRHETRVHRQTLNPHFGETFAFK
+VPYVELGGRILVMAVYDFDRFSRNDAIGEVRVPMSSVDLGRPVLAWRELQAAPREEQEKL
+GDICFSLRYVPTAGKLTVIVLEAKNLKKMDVGGLSDPYVKVHLLQGGKKVRKKKTTIKKN
+TLNPYYNEAFSFEVPCDQVQKVQVELTVLDYDKLGKNEAIGRVAVGAAAGGAGLRHWADM
+LANPRRPIAQWHSLRPPDRVRPPPAP
+>tr|A0A3Q1LG19|A0A3Q1LG19_BOVIN Chromosome 19 C17orf80 homolog OS=Bos taurus OX=9913 GN=C19H17orf80 PE=4 SV=1
+MELCPYCKKPFKRLKSHLPHCKMLGATMPADQEIHQCEPATLARGKKIKAPIRDSIKAKE
+KELGTDSKKRNPELKGNNSERTVKSSSALAVGLEKASHKKADENVKSQVKPSLKMLKDTK
+PKVASRGETTTQFSASENTSSTKELAKALPQLGESRANPSEIEAPLPPGPVAPSRSNHDR
+KYSLAFLNDVQATSADLRLDRVDASRQNLLVKLFNTSLGDGHSSPVNCSHRVQRGNMSSS
+GRERDSKAEDHLLGVSTDRNFRTLAKNAESQIAALKLNPLGKSQGRENQGKALHLGAETY
+GSQGGVEKSVSVTEMQDWASLSHDSVTEKKPQDRGPGVHVFPLMGTTCPERLPLAQSRNQ
+SPASLAVKFLQEEKAEAGSRNRGPAVKALMAGEEWASLTSKLGSWPPASCPQGLQSAHSA
+QHHASRSPLASQSLSSALGLEWFPELYPGYLGLGVLPERPQRWSTLAQKPLLVISPQGER
+LSQGWIRCRTSVRSGVGGLTLLFTGCFVLCCSWSFKHLSKPHSASSAAGHSP
+>tr|A4IFC0|A4IFC0_BOVIN PDGFD protein OS=Bos taurus OX=9913 GN=PDGFD PE=2 SV=1
+MHRLVLVYTLVCANFCSYRDTSATPQSASIKALRNANLRRDDLYRRDETIEVTGHGHVQS
+PRFPNSYPRNLLLTWRLHSQEKTRIQLAFDNQFGLEEAENDICRYDFVEVEDISETSTVI
+RGRWCGHKEVPPRIISRTNQIKITFKSDDYFVAKPGFKIYYSFVEYFQPAAASETNWESV
+TSSISGISYHSPSVTDPTLTADALDKTIAEFDTVEDLLKHFNPESWQEDLENLYLDTPHH
+RGRSYHDRKSKVDLDRLNDDVKRYSCTPRNYSVNLREELKLTNVVFFPRCLLVQRCGGNC
+GCGTVNWKSCACNSGKTVKKYHEVLKFEPGYFKRRGRAKHMALVDIQLDHHERCDCICSS
+RPPR
+>tr|Q32LF2|Q32LF2_BOVIN Natural cytotoxicity triggering receptor 3 OS=Bos taurus OX=9913 GN=NCR3 PE=2 SV=1
+MAQMLLFIFIIIRPGSCVLWVSQPPEIRTQEGSPAFLPCSFNASQGSLAIGSVTWYRDKV
+APGMEVRNETAEFQGRLAPLPSSRFLCDHQAELHIWDTRGRDTGVYVCRVEVLGLGVGTG
+NGTLLVVEEGPPQLAAGTVLLLRAGFYAFSFLSVAMGSSMYYQGKYHCHKGTPCHSLDGL
+>tr|A8E641|A8E641_BOVIN DPYSL5 protein OS=Bos taurus OX=9913 GN=DPYSL5 PE=2 SV=1
+MLANSASVRILIKGGKVVNDDCTHEADVYIENGIIQQVGRELMIPGGAKVIDATGKLVIP
+GGIDTSTHFHQTFMNATCVDDFYHGTKAALVGGTTMIIGHVLPDKETSLVEAYEKCRGLA
+DPKVCCDYALHVGITWWAPKVKVEMETLVREKGVNSFQMFMTYKDLYMLRDSELYQVFHA
+CKDIGAIARVHAENGELVAEGAKEALDLGITGPEGIEISRPEELEAEATHRVITIANRTH
+CPIYLVNVSSISAGDVIAAAKMQGKVVLAETTTAHATLTGLHYYHQDWSHAAAYVTVPPL
+RLDTNTSTYLMSLLANDTLNIVASDHRPFTTKQKAMGKEDFTKIPHGVTGVQDRMSVIWE
+RGVVGGKMDENRFVAVTSSNAAKILNLYPRKGRIIPGADADVVVWDPEATKTISASTQVQ
+GGDFNLYENMRCHGVPLVTISRGRVVYENGVFMCAEGTGKFCPLRSFPDTVYKKLVQREK
+TLKVRGVDRTPYLGDVAVIVHPGKKEMGTPLADTPTRPVTRHGGMRDLHESSFSLSGSQI
+DDHVPKRASARILAPPGGRSSGIW
+>tr|Q0VC07|Q0VC07_BOVIN Peroxisomal biogenesis factor 10 OS=Bos taurus OX=9913 GN=PEX10 PE=2 SV=1
+MASAVASPPEVVRAAQKDDYYRGGLRSAAGGALHNLAGAKKWLEWRREVELVSDLAYFGL
+TTLAGYQTLGEEYVSVVQVGPSQRHVPSRLRRGILVALHTVLPYLLDKALLHLEHELQAT
+GDGTWPLRGSLAPSSQSGMRRWVHRCTAGLTEQQQGVLLRAVSALKQGLGCLQRLHVAWF
+YIHGAFYHLAKRFTGITYEPCRGKSSFQKPTVHAVLGGTQAFDGHTLRPPVLLGVYNPLE
+RHQDGVSPLQGEVPPPEAGLPAALPLTPCWKSSLILVDFSCTDIKKASRLLSRVIPCQLP
+GGWAPDLDLDLANAGLQGRLGGDLLGGQSTLRTPLPSPNQSLTGRGLTDLEPSTSSLLSQ
+HDNPAAQKTHRLHPCP
+>tr|A0A3Q1LP05|A0A3Q1LP05_BOVIN Rab effector Noc2 OS=Bos taurus OX=9913 GN=RPH3AL PE=4 SV=1
+MADTIFGSGCDQWVCPNDRQLALRAKLHTGWSVHTYQTEKQRKSQSLSPAEVEAILQVIQ
+RAERLDILEQQRVGRLVERLETMRRNVMGNGLSQCLLCGEVLGFLGSSSVFCKDCRKKVC
+TKCGIEASPSQKRPLWLCKICSEQREVWKRSGAWFYKGIPKFILPLKIPGQADHPSFRPL
+PVEPAEQEPRSTETSRVYTWARGRVVSSDSDSDSDLSSSSLDDRLRPAGVRDPKGNKPWG
+ESGGSVESLKMGPTRPASCLSGSQSSLASETGTGSADPRGAPAPWLDPEGPGEYQATFQP
+AHLLPVCPPPLWAAPLHVACLVLTCAPTLESARGCFQFPPFTERCARCWGNPGEPDPSLP
+TMNPDLKADHLCLFSAVISILSFIKCNLSKYFTVPVRVTGTPL
+>tr|A8E4Q4|A8E4Q4_BOVIN PISD protein OS=Bos taurus OX=9913 GN=PRR14L PE=2 SV=1
+MAASVGQGCLRWLPGVAWRNRRPHCEDAALSHFLQSLRKPPLRTFSTNARKVHTAPARTS
+SLLRPLPILVATAGGYAGYRQYEKYRERELEKLGLDVPPKLAGHWEVALYKSVPTRLLSR
+AWGRLNQVELPHWLRRPVYSLYIWTFGVNMKEAAVEDLHHYRNLSEFFRRKLKPQARPVC
+GLHSVISPSDGKILNFGQVKNCEVEQVKGVTYSLESFLGPRTPSEDLPFPPATDGGRGGG
+PSFMFPGDAVNFSCRRSHLNFFNCISSTVFLYEKWETSEQ
+>tr|Q0VC12|Q0VC12_BOVIN Protein YIPF OS=Bos taurus OX=9913 GN=YIPF3 PE=2 SV=1
+MATPAAPTGGARNGAGPEWGGFEENIQGGGSAVIDMENMDDTSGSSFEDMGELHQRLREE
+EVDADAAAAEEEDGEFLGMKGFKGQLSRQVADQMWQAGKRQASRAFSLYANIDILRPYFD
+VEPAQVRSRLLESMIPIKMVSFPQKIAGELYGPLMLVFTLVAILLHGMKTSDTIIREGTL
+MGTAIGTCFGYWLGVSSFIYFLAYLCNAQITMLQMLALLGYGLFGHCIVLFITYNIHLHA
+LFYLFWLLVGGLSTLRMVAVLVSRTVGPTQRLLLCGTLATLHMLFLLYLHFAYHKVVEGI
+LDTLEGPNIPPIQRVPRDIPAALPAARLPTTVLNATARAVAVTLQSH
+>tr|A4FUG0|A4FUG0_BOVIN SNARE-associated protein Snapin OS=Bos taurus OX=9913 GN=SNAPIN PE=2 SV=1
+MAGAGSAAVSGAGTPVAGPAGRDLFAEGLLEFLRPAVQQLDSHVHAVRESQVELREQIDN
+LATELCRINEDQKVALDLDPYVKKLLNARRRVVLVNNILQNAQERLRRLNHSVAKETARR
+RAMLDSGVYPSGSPSK
+>tr|A0A3Q1MUM3|A0A3Q1MUM3_BOVIN Phosphatidylinositol transfer protein membrane associated 2 OS=Bos taurus OX=9913 GN=PITPNM2 PE=4 SV=1
+MIIKEYRIPLPMTVEEYRIAQLYMIQKKSRNETHGEGSGVEILENRPYTDGPGGSGQYTH
+KVYHVGMHIPSWFRSILPKAALRVVEESWNAYPYTRTRFTCPFVEKFSIDIETFYKTDAG
+ENPNVFSLSPVEKNQLTIDFIDIVKDPVPPNEYKTEEDPKLFHSTKTQRGPLSENWIEEY
+KQQVFPIMCAYKLCKVEFRYWGMQSKIERFIHDTGLRKVMVRAHRQAWCWQDEWYGLNMD
+NIRELEKEAQLMLSRKMAQFNEEDKGAAELAKNEAAQDQASGEPSQPSSSGGEPLAGRGL
+KKQWSTSSKSSRSSKRGASPSRHSISEWRMQSIARDSDESSDDEFFDAHEDLSDSEEMFP
+KDITKWNSNDLMDKIESPEPEDSQDGLYRQRAPEFRVASSVEQLNIIEDEVSPPLAAPPS
+KIHVLLLLLHGGTILDTGAGDPSSKQGDANTIATVFDTVMRVHYPSALGHLAIRLVPCPP
+ICSDAFALVSDLSPYSHDEGCLSSSQDHIPLAALPLLATSSPQYQEAVATVIQRANLAYG
+DFIKSQEGVTFNGQVCLIGDCVGGILAFDALCCSNQPVSESQSSSRRGSVASVQDADLLS
+PGSTVNTAHGSNLESSRHLSRSNIDIPRSNGNEDPKRQLPRKRSDSSTYELDTIQQHQAF
+LSSLHASVLRNEPSSRRSSSSTMLDGAGAVGKFDFEVADLFLFGCPLGLVLALRKTVIPS
+LDVFQLRPACQQVYNLFHPADPSASRLEPLLERRFHTLPPFSIPRYQRYPLGDGCSTLLV
+ETVQRNPELVLEGGPLAPLPPGDGFLETSIPVPALTSQDGPRPSPGCAESEALQAHNTVF
+QEHAAPSSPGSAPSTRGFRRASEISIASQVSGMAESYTASGIAQKAPALLSHTPSVRRLS
+LLALPHPAPSTLGKRERAPRLPDLDISEVAAKWWGQKRIDYALYCPDALTAFPTVALPHL
+FHASYWESTDVVSFLLRQVMRHDNSSILELDGKEVSVFTPSKPREKWQRKRTHVKLRNVT
+ANHRINDAVANEDGPQVLTGRFMYGPLDMVTLTGEKVDVHIMMQPPSGEWLYLDTLVTNS
+SGRVSYTIPETHRLGVGVYPIKMVVRGDHTFADSYITVLPKGTEFVVFSIDGSFAASVSI
+MGSDPKVRAGAVDVVRHWQDLGYLIIYVTGRPDMQKQRVVAWLAQHNFPHGVVSFCDGLV
+HDPLRHKANFLKLLISELHLRVHAAYGSTKDVAVYSSISLSPMQIYIVGRPTKKLQQQCQ
+FITDGYAAHLAQLKYNHRARPARNAATRMALRKGSFGLPGQGDFLRSRNHLLRTISAQPS
+GPGPRPHERTQSQADSEQRGQRSMSMAAGCWGRTLAGRPEPGPAAGPK
+>tr|A5D7I8|A5D7I8_BOVIN ASAM protein OS=Bos taurus OX=9913 GN=CLMP PE=2 SV=1
+MTLLVLLGLVSYYVGTLGTHTEIKKVAEEKVTLPCHHQLGLPEKDTLDIEWLLTDREGNQ
+KVVITYSSHHVYNNLTEEQKGRVAFASNFLAGDASLQIEPLKPSDEGRYTCKVKNSGRYV
+WSYVILKVLVRPSKPKCELEGELTEGSDLTLQCESSSGTEPIVYSWQRVQEKEGEDERLP
+PKSKIDYNHPGRVLLQNLTMSSSGLYQCTAGNEAGKESCVVRVTVQYVQSVGMIAGAVTG
+MVAGALLIFLLVWLLIRRKDKKRYEEEERPNEIREDAEAPKARLVKPSSSSSGSRSSRSG
+SSSTRSTANSGSRSQRTPCAEAARRQGQAAHTYGPVGPEARSSEPKKAPHATLTKAENTP
+STIPSQSRAFQTV
+>tr|A7E322|A7E322_BOVIN PARP6 protein OS=Bos taurus OX=9913 GN=PARP6 PE=2 SV=1
+MDIKGQFWNDDDSEGDNESEEFLYGVQGSCAADLYRHPQLDADIEAVKEIYSENSVSIRE
+YGTIDDVDIDLHINISFLDEEVSTAWKVLRTEPIVLRLRFSLSQYLDGPEPSIEVFQPSN
+KEGFGLGLQLKKILGMFTSQQWKHLSNDFLKTQQEKRHSWFKTSGTIKKFRAGLSIFSPI
+PKSPSFPIIQDSMLKGKLGVPDLRVGRLMNRSISCTMKNPKVEVFGYPPSPQVSGHCKNI
+PTLEYGFLVQIMKYAEQRIPTLNEYCVVCDEQHVFQNGSMLKPAVCTRELCVFSFYTLGV
+MSGAAEEVATGAEVVDLLVAMCRAALESPRKSIIFEPYPSVVDPTDPKTLAFNPKKKNYE
+RLQKALDSVMSIREMTQGCRNCIK
+>tr|A6QLP8|A6QLP8_BOVIN PLEK2 protein OS=Bos taurus OX=9913 GN=PLEK2 PE=2 SV=1
+MEDGVLKEGFLVKRGHIVHNWKARWFILRQNTLLYYKFEGGRKVTPPKGRILLDGCTITC
+PCLEYENRPLLIKLKTQTSTEYFLEACSREERDAWAFEITGAIHAGQPGKVQQLHLLKNS
+FKLPPHISLHRIVDKMRDSSCGIRPSPNMEQGSTYKKTFIGSSLVDWLLSNGFAASRLEA
+VTLASVLMEENFLRPVGTRSMGAIRSGDLSEQFLDDSTALYTFAESCKKKISPKEEISLS
+TMELSGTVVKQGYLAKQGHKRKNWKVRRFVLRKDPAFLHYYDPSKEENRPVGGFSLRGSL
+VSALEDNGVPTGVKGNVQGNLFKVITKDDIHYYIQASSKAERSEWIEAIKKLT
+>tr|A4IFQ7|A4IFQ7_BOVIN Branched-chain-amino-acid aminotransferase OS=Bos taurus OX=9913 GN=BCAT1 PE=2 SV=1
+MKDCNNGCSAEGTGEGGSKETVETFKAEDLIITRATVLKEKPDSSTLVFGTVFTDHMLTV
+EWSLELGWEKPRIKPLQNLSLHPGSSAFHYAVELFEGLKAFRGVDNKIRLFRPNLNMDRM
+YRSAMRATLPAFDKKELLECIQQLVKLDEEWVPYSTSASLYIRPTFIGTEPSLGVKKPTK
+ALLFVILSPVGPYFSTGSFNPVSLWANPKYVRAWKGGTGDCKMGGNYGSSLFAQCEAVEN
+ACQQVLWLYGEDNQITEVGTMNLFLYWINEDGEEELATPPLDGIILPGVTRQSILDLAHK
+WGEFKVSERYLTMDDLTTAVEENRVREMFGSGTACVVCPVSTILYKDETIHIPTMENGPK
+LASRILEKLTDIQYGREESDWTITVA
+>tr|A5PK86|A5PK86_BOVIN MAP3K8 protein OS=Bos taurus OX=9913 GN=MAP3K8 PE=2 SV=1
+MEFMSTGSDNKEELDLLMKHLNVSDVIDIMENLYASEEPAVYEPSLLTMCQDSNHNEEER
+SESLLLSGQEGPWLSSVRYGTVEDLLAFANHISNTAKRLYRRRPQESGILLNMVITPQNG
+RYQIDSDVLLIPWKLTYRNIGSDFIPRGAFGKVYLAQDIKTKKRMACKLIPVDQFKPSDV
+EIQACFRHENIAELYGAVLWGETVHLFMEAGEGGSVLEKLESCGPMREFEIIWVTKHVLK
+GLDFLHSKKVIHHDIKPSNIVFMSTKAVLVDFGLSVQMTEEIYFPKDLRGTEIYMSPEVI
+LCRGHSTKADIYSLGATLIHMQTGTPPWVKRYPRSAYPSYLYIIHKQAPPLEDIAEDCSP
+GMRELIEAALERNPNHRPRAADLLKHEALNPPREDQPRCQSLDSALFERKRLLSRKELEL
+PENIADSSCTGSTEESEMLKRQRSLYIDLGALAGYFNLVRGPPTLEYG
+>tr|A2VDV1|A2VDV1_BOVIN Ankyrin repeat domain 22 OS=Bos taurus OX=9913 GN=ANKRD22 PE=2 SV=1
+MGILYSEPICQAAYQNDLGQVWRWVREDGSYINIQDGFNGDTPLICACRRGHMRIVSFLL
+RRNADVNLKNQKQRTCLHYAVKKRFTFFDYLLIVLLMPVLLIGYFLMVSKTKQNEALVRM
+LLNAGVDVNAIDCYGCTALHYACEMKNQTLIPLLLEARANPTIKNKDGETSLDIAQRLKF
+SQIELMLRKAS
+>tr|Q0V890|Q0V890_BOVIN Carbonic anhydrase 5B OS=Bos taurus OX=9913 GN=CA5B PE=2 SV=1
+MVMMSHLRAILQASPYKTLWRRFQIPRSMPARPCSLYTCTYKSRNRVLHPLWEKVDLAPA
+GERQSPINIRWKDSVYDPGLQPLTISYDPTTCLHVWNNGYSFLVEFEDSADKSGESQTRG
+LIRS
+>tr|A6QLD6|A6QLD6_BOVIN MYO1B protein OS=Bos taurus OX=9913 GN=MYO1B PE=2 SV=1
+MAKMEVKTSLLDNMIGVGDMVLLEPLSEDTVIDNLKKRFDHNEIYTYIGSVVISVNPYRS
+LPIYSPEKVEDYRNRNFYELSPHIFALSDEAYRSLRDQDKDQCILITGESGAGKTEASKL
+VMSYVAAVCGKGAEVNQVKEQLLQSNPVLEAFGNAKTVRNDNSSRFGKYMDIEFDFKGDP
+LGGVISNYLLEKSRVVKQPRGERNFHVFYQLLSGASEELLNKLKLERDFSRYNYLSLDSA
+KVNGVDDAANFRTVRNAMQIVGFMDHEAESVLEVVAAVLKLGNIEFKPESRVNGLDESKI
+KDKNELKEICELTGIDQSVLERAFSFRTVEAKQEKVSTTLNVAQAYYARDALAKNLYSRL
+FSWLVNRINESIKAQTKVRKKVMGVLDIYGFEIFEDNSFEQFIINYCNEKLQQIFIELTL
+KEEQEEYIREDIEWTHIEYFNNAIICDLIENNTNGILAMLDEECLRPGTVTDETFLEKLN
+QVCATHQHFESRMSKCSRFLNDTSLPHSCFRIQHYAGKVLYQVEGFVDKNNDLLYRDLSQ
+AMWKAGHALIKSLFPEGNPAKINLKRPPTAGSQFKASVATLMKNLQTKNPNYIRCIKPND
+KKAAHIFNEGLVCHQVRYLGLLENVRVRRAGYAFRQAYEPCLERYKMLCKQTWPHWKGPA
+RAGVEVLFNELEIPVEEYSFGRSKIFIRNPRTLFKLEDLRKQRLEDLATLIQKIYRGWKC
+RTHFLLMRKSQIVIAAWFRRYAQQKRYQQIKSSALVIQSYIRGWKARKILRELKHQKRCE
+EAVTTIAAYWHGTQARRELSRLKAEARNKHAIAVIWAYWLGSKARRELKRLKEEARRKHA
+VAVIWAYWLGLKVRREYRKFFRANAGKKIYEFTLQRIVQKYFLEMKNKVPSLSPIDKNWP
+SRPYLFLDSTHKELKRIFHLWRCKKYRDQFTDQQKLIYEEKLEASELFKDKKALYPSSVG
+QPFQGPYLEINKNPKYKKLKDAIEEKIIIAEVVNKINRANGKSTSRIFLLTNNNLLLADQ
+KSGQIKSEVPLVDVTKVSMSSQNDGFFAIHLKEGCEAASKGDFLFSSDHLIEMATKLYRT
+TLSQTKQKLNIEISDEFLVQFRQDKVCVKFIQGNQKNGSVPTCKRKNNRLLEVAVP
+>tr|E1BN26|E1BN26_BOVIN Protein phosphatase 1 regulatory subunit 42 OS=Bos taurus OX=9913 GN=PPP1R42 PE=4 SV=3
+MVRLTLDLIAKNSNLKPRKEENVLQYLKKITHINFSDKNIDAIEDLSLCKNLSVLYLYDN
+RISQITNLNYATNLTHLYLQNNCISCIENLRSLKKLEKLYLGGNYIAVIEGLEGLEGLRE
+LHVESQRLPLGEKLVFDPRTLHSLAKSLSILNISNNNIDDIRDLEILENLNQLIAADNQL
+LHVKDLEFLLNKLMKLWKMDLNRNPVCLKPKYRDRLILVSKSLEFLDGKEIKNMERQFLM
+NWKASKDAKKTSKKKNSKNEDTHNSCNKLSL
+>tr|A6QPA1|A6QPA1_BOVIN CCDC90B protein OS=Bos taurus OX=9913 GN=CCDC90B PE=2 SV=1
+MWGRWVRPLLRSEVSSPCGRFSPALRRDFFTTKTKEGYDMRRVDITPLEQRELTFDTHAL
+VLDLEAHGFDKAQAETIVSALTTLSNVSLDTIYKEMVTQAQQEITVQQLMAHLDSIRKDM
+VILEKSEFANLRAENQKMKIELEQVKQQLINETSRIRADNKLDINLERSRVTDMFTDQEK
+KLMEATTEFTRNDTKTRGIISEIGNKIDTEIASLKTLMESNKLETIRYLAASVFTCLAIA
+LGFYRLWK
+>tr|A4FUX6|A4FUX6_BOVIN MCC protein OS=Bos taurus OX=9913 GN=MCC PE=2 SV=1
+MNSGVAMKYGNDSSAELSELHLAALASLKGDIVELNKRLQQTERERDLLEKKLAKAQCEQ
+SHLMREHEDVQERTTLRYEERITELHSIIAELNKKIDRLQGTTIREEDEYSELRSELSQS
+QHEANEDSHSVDQDQTSVSVPENQSTMVTADMDNCSDLNSELQRVLTGLENVVCCRKKSS
+CSLSVAEVDRHIEQLTTASERCDLAIKTVEEIEGVLGRDLYPSLAEERSRWEKELAGLRE
+ENESLTAMLCSKEEELHRTKATMNAIREERDRLRRRVRELQTRLQSVQATGPSSPGRLTS
+ANRPVNPSTGELSTSSSSNDIPIAKIAERVKLSKTRSESSSSDRPVLGSEISSIGVSSSV
+AEHLAHSLQDCSNIQEIFQTLYSHGSAISESKIREFEVETERLNSRIEHLKSQNDLLTIT
+LEECKSNAERMSMLVGKYESNATALRLALQYSEQCIEAYELLLALAESEQSLILGQFRAA
+GVGSSPGDQSGDENITQMLKRAHDCRKTAENAAKALLMKLDGSCGGAFAVAGCSGQPWES
+LSSNSHTSTTSSTASSCDTEFTKEDEQRLKDYIQQLRNDRAAVKLTMLELESIHIDPLSY
+DVKPRGDSQRLDLENAVLMQELMAMKEEMAELKAQLYLLEKEKKALELKLSTREAQEQAY
+LVHIEHLKSEVEEQKEQRTRSLSSTSSGGKDAAGKECPDAASPALSLAELRTACGDSELA
+TEFANAIRREKKLKARVQELVSALERLTKSSEIRHQQSAEFVNDLKRANSNLVAAYEKAK
+KKHQNKLKKLESQMMAMVERHETQVRMLKQRIALLEEENSRPHTNETSL
+>tr|A6QR43|A6QR43_BOVIN PJA2 protein OS=Bos taurus OX=9913 GN=PJA2 PE=2 SV=1
+MSQYIEKEPAAMDQDSSKTAWPKPAGGYQTITGRRYGRRHAYVSFKPCMTRHERSLGRAG
+DDYEVLELDDVPKDNSSGSSPLDQVHSSVPSDPLFEKSEAEIPICDTALNQTIESTPSFA
+AVRHSEEGREPLGSSTDLHSHSEGECTPGVCNASGVQHGVTLAHTDSYDPDGRHGEDNGH
+LQLSAGVVEGGSYQAALGDMVFELENGEAEAYTGLSPTAPSFNCELRDAFGEVDSAPLVE
+RSTDNTEFVSQNNQEFQTSSSEDEVVRKKQQNNTSQERQRENSTEDSACAPRHICSEQNT
+TDRGKNQGNSLEQVVRPKVRKVISSSQVDPESGLNRHEAKQRSVQRWREALEVEENGSDD
+LLIKCDEYDGEHDCMFLDLPFARVTQRDTEDRQLAAESGAPARQGAVESTFWNGCGDYYQ
+LYDKDEDSSECSDGEWSASLPHRFSGTEKDQSSSDESWETLPGKDENEPELQSDSSGPEE
+ENQELSLQEGEQTSLEEGEIPWLQYNEVNESSSDEGNEPANEFAQPEAFMLDGNNNLEDD
+SSVSEDLDVDWSLFDGFADGLGVAEAISYVDPQFLTYMALEERLAQAMETALAHLESLAV
+DVEVANPPASKESIDGLPETLVLEDHTAIGQEQCCPICCSEYIKDDIATELPCHHFFHKP
+CVSIWLQKSGTCPVCRRHFPPAVMETPAAASAEPDRDAPPAGDSAAEAP
+>tr|Q6QRN7|Q6QRN7_BOVIN PP1201 protein OS=Bos taurus OX=9913 GN=TMBIM1 PE=2 SV=1
+MSHPSAPPPYEDRSPLYPGSPPPGGYGQPSVMPGGYPAYPQPGYGHPAGYPQPMPPVHPM
+PMNYGAGHGYDGGERAVSDTFGSGDWDDRKVRHAFIRKVYTIISIQLLVTVGIIAVFTFV
+KPVGDFVRANMAVYYASYAVFLVTYLTLACCQGPRRRFPWNIILLIIFTLAMAYMTGTIS
+SVYKTKAVIIAMIITAVVSISVTIFCFQTKVDFTSCTGLFCVLGIVMTVTGIITVIVLVF
+KYVYWLHMVYAALGAICFTLFLAYDTQMVLGNRKHTISPEDYITGALQIYTDIVYIFTFV
+LQLVGSRD
+>tr|A1A4R0|A1A4R0_BOVIN Osteocalcin OS=Bos taurus OX=9913 GN=BGLAP PE=2 SV=1
+MRTPMLLALLALATLCLAGRADAKPGDAESGKGAAFVSKQEGSEVVKRLRRYLDHWLGAP
+APYPDPLEPKREVCELNPDCDELADHIGFQEAYRRFYAQSRACSPAHLAGSPQLWLLSRT
+PPLPVIPAALE
+>tr|Q2ABC4|Q2ABC4_BOVIN Taste receptor type 2 OS=Bos taurus OX=9913 GN=TAS2R3 PE=3 SV=1
+MLRLSNMGFLVLTAIQFILGMLGNGFIGWVNGSSWFKSKRISLHDFVITNLAVSRIVLLW
+ILLIDGILLVFFPKLHDEGIIMQIIDVFWTFTNHLNIWLTTCLSVFYCLKVASFSHPMFL
+WLKWRVSRVVVWMLLSTLLLSCCSAISLIREFKIYSVLGGIDRTGNMTELFRKKEKEYKL
+IHVLGTLWDLPPLVISLISYFLLILSLGRHMRQMHQDCASSRDLSTEAHRRAIRVILSFL
+FLFLLYYLSFYVLTSSYFLPATKMIAKIGEVIAMLYLAGHSYVLILGNSKLKQMFVAMLR
+CEPGCLKPGSKGSVYP
+>tr|F2X2F1|F2X2F1_BOVIN Insulin-like growth factor I OS=Bos taurus OX=9913 GN=IGF1 PE=2 SV=1
+MGKISSLPTQLFKCCFCDFLKQVKMPITSSSHLFYLALCLLAFTSSATAGPETLCGAELV
+DALQFVCGDRGFYFNKPTGYGSSSRRAPQTGIVDECCFRSCDLRRLEMYCAPLKPAKSAR
+SVRAQRHTDMPKAQKYQPPSTNKKMKSQRRRKGGPKKRPGGEQKEGTEASQQMQGKMKEQ
+RRETGARN
+>tr|F1MR60|F1MR60_BOVIN Tuftelin-interacting protein 11 OS=Bos taurus OX=9913 GN=TFIP11 PE=3 SV=2
+MSLSHLYRDGEGHMDDDEDERENFEITDWDLQNEFNPNRQRHWQTKEEATYGVWAERDSD
+EERPSFGGKRARDYSAPVNFISAGLKKGAAEEAELEDSDDEEKPVKQDEFPKDFGPKKLK
+TGGNFKPSQKGFAGGTKSFMDFGSWERHTKGIGQKLLQKMGYVPGRGLGKNAQGIINPIE
+AKQRKGKGAVGAYGSERTTQSLQDFPVVDSEEEAEEEFQKELSQWRKDPSGSKKKPKYSY
+KTVEELKAKGRISKKLTAPQKELSQVKVIDMTGREQKVYYSYSQISHKHSIPDDGLPPQA
+QPPPPPGKEARAPGFALPELEHNLQLLIELTEQEIIRNDRQLQYERDVVVNLTHELEKAA
+GALQQEQRAIASLSEVLALVEECERRLQPGCSDPLTLDECARVFQTLRDKYYEEYRMSDR
+VDLAVAIVYPLMKDYFKEWDPLKDCTYGTETISQWKSLLENDQLLSHGGQDLSADAFHRL
+IWEVWMPFVRSIVAQWQPRNCDPMVDFLDSWAPLIPVWVLDNILEQLIFPKLQKEVESWN
+PLTDTVPIHSWVHPWLPLMQARLEPLYSPIRSKLASALQKWHPSDSSAKLILQPWKDVFT
+PGSWEAFMVKNIVPKLGMCLGELVINPHQQHMDAFYWVIDWEGMVSVSSLVGLLEKHFFP
+KWLQVLCSWLSNSPNYEEITKWYLGWKSMFSDQVLAHPSVKDKFNEALDIMNRAVSSSVG
+AYMQPGAREHIAYLTHTERRKDFQYEAMQERREAENMAQRGIGVAASAVPMNFKDLIETK
+AEEHNIVFMPVIGKRHEGKQLYTFGRIVIYIDRGVVFVQGEKTWVPTSLQSLIDMAK
+>tr|A6N4C9|A6N4C9_BOVIN Myodulin OS=Bos taurus OX=9913 GN=TNMD PE=2 SV=1
+MAKNPPENCEDCHILNAEAFKSKKICKLLKICGLVFGILALTLIVLFWGSKHFWPETPKK
+TYDMEHTFYSNGEKKKIYMEIDPITKTEIFRSGNGTDETLEVHDFKNGYTGIYFVGLQKC
+FIKTQIKVIPEFSEPEEEIDENEEITTTFFEQSVIWVPAEKPIENRDFLKNSKILEICDN
+VTMYWINPTLIAVSELQDFEEDGEDLHFPTSEKKGIEQNEQWVVPQVKVEKTRHARQAAS
+EEELPINDYTENGIEFDSMLDERGYCCIYCRRGNRYCRRVCEPLLGYYPYPYCYQGGRVI
+CRVIMPCNWWVARMLGRV
+>tr|A1L5B7|A1L5B7_BOVIN SERPINE1 mRNA binding protein 1 OS=Bos taurus OX=9913 GN=SERBP1 PE=1 SV=1
+MPGHLQEGFGCVVTNRFDQLFDDESDPFEVLKAAENKKKEAGGGGVGGPGAKSAAQAAAQ
+TNSNAAGKQLRKESQKDRKNPLPPSVGVVDKKEETQPPVALKKEGIRRVGRRPDQQLQGE
+GKIIDRRPERRPPRERRFEKPLEEKGEGGEFSVDRPIIDRPIRGRGGLGRGRGGRGRGMG
+RGDGFDSRGKREFDRHSGSDRSGLKHEDKRGGSGSHNWGTVKDELTDLEQSNVTEETPEG
+EEHPVADTENKENEVEEVKEEGPKEMTLDEWKAIQNKDRAKVEFNIRKPNEGADGQWKKG
+FVLHKSKSEEAHAEDSVMDHHFRKPANDITSQLEINFGDLGRPGRGGRGGRGGRGRGGRP
+NRGIRTDKSSASAPDVDDPEAFPALA
+>tr|Q2YDG6|Q2YDG6_BOVIN Nucleoporin like 2 OS=Bos taurus OX=9913 GN=NUP42 PE=2 SV=1
+MTICQFFLQGRCRFGDRCWNEHPGPRGAGGGRQQQPSGSNRRGWNTTNQRYSSVIQPSSF
+SKPTPWGGSRDQEKPSFATFDSGESASKNRGFGLSQNPFASPGSDDQKDDKKLLEGIVKD
+MEVWESSGQWMFSSYSPVKKKPNISGFTDISPEELRLEYHNFLTSNNLQSYLNSVQQLIN
+QWRNRVNELKSLNTSTAIALLSDLQDGVNQATPSFGFGNRQTVTFGSPGFPVNNSSSNSA
+QNFSFKPSPGFATAPSGSPPVFGNTPAFGAVPAASSAIAAATPTFGLRKPEITSAASFSF
+KTPAASGFGSSGFSGFSPSMAASPVGSPVAPAFGSGSSVAGFGSPGSQPHTAFSKSSSDT
+FGNSSIPTSLSFSLGSTSTDNALFTPKDQLTAEELEQFQSKKFALGKIPLKPPPVEFLNI
+>tr|A7MB93|A7MB93_BOVIN LOC524601 protein OS=Bos taurus OX=9913 GN=LOC524601 PE=2 SV=1
+MKTPNSQEAEGQQTRAVSRKATGSANMMKKKASQKKQRGRTSSLPCSNIVGCRISHGWKE
+GDEPITQWKGTVLDQVPINPCLYLIKYDGIDCVYGLELNRDERVLSLKILSDRVTSTQVS
+DANLANTIIGKSVKHMFEDKHGSKDEWRGMVLAQAPIMKAWFYITYEKDPVLYTYQLLDD
+YKEGDLHIITESNESPLAEMEPGEVVYGLIGKHVEYTKEDGSKQIGIVIHQIEAKPSVCF
+IKFDDDFHIYVYDLMKMS
+>tr|Q3T0A2|Q3T0A2_BOVIN PAPOLA protein OS=Bos taurus OX=9913 GN=PAPOLA PE=2 SV=1
+MPFPVTTQGSQQTQPPQKHYGITSPISLAAPKETDCLLTQKLVETLKPFGVFEEEEELQR
+RILILGKLNNLVKEWIREISESKNLPQSVIENVGGKIFTFGSYRLGVHTKGADIDALCVA
+PRHVDRSDFFTSFYDKLKLQEEVKDLRAVEEAFVPVIKLCFDGIEIDILFARLALQTIPE
+DLDLRDDSLLKNLDIRCIRSLNGCRVTDEILHLVPNIDNFRLTLRAIKLWAKRHNIYSNI
+LGFLGGVSWAMLVARTCQLYPNAIASTLVHKFFLVFSKWEWPNPVLLKQPEECNLNLPVW
+DPRVNPSDRYHLMPIITPAYPQQNSTYNVSVSTRMVMVEEFKQGLAITDEILLSKAEWSK
+LFEAPNFFQKYKHYIVLLASAPTEKQRLEWVGLVESKIRILVGSLEKNEFITLAHVNPQS
+FPAPKENPDKEEFRTMWVIGLVFKKTENSENLSVDLTYDIQSFTDTVYRQAINSKMFEVD
+MKIAAMHVKRKQLHQLLPSHVLQKKKKHSTEGVKLTPLNDSSLDLSMDSDNSMSVPSPTS
+AMKTSPLNSSGSSQGRNSPAPAVTAASVTNIQATEVSLPQINSSESSGGTSSESIPQTAT
+QPAISSPPKPTVSRVVSSTRLVNPPPRPSGNAAAKIPNPIVGVKRTSSPHKEESPKKTKT
+EEKTSSTDLSDIPALPANPIPVIKNSIKLRLNR
+>tr|Q0P5I9|Q0P5I9_BOVIN C-X-C motif chemokine ligand 12 OS=Bos taurus OX=9913 GN=CXCL12 PE=2 SV=1
+MDAKVFVVLALVLTALCLSDAKPVSLSYRCPCRFFESHVAKANVKHLKILNTPNCSLQIV
+ARLKNNNRQVCIDPKLKWIQEYLDKALNK
+>tr|A6QLB1|A6QLB1_BOVIN RAB27A protein OS=Bos taurus OX=9913 GN=RAB27A PE=2 SV=1
+MFDGDYDYLIKFLALGDSGVGKTSVLYQYTDGKFNSKFITTVGIDFREKRVVYRANGPDG
+AVGRGQRIHLQLWDTAGQERFRSLTTAFFRDAMGFLLLFDLTNEQSFLNVRNWISQLQMH
+AYCENPDIVLCGNKSDLEDQRVVKEEEARGLAEKYGVPYFETSAANGTNINQAIETLLDL
+IMKRMERCVDKSWIPEGVVRSNGHTSADQLNEVKEKGSCGC
+>tr|Q17QP4|Q17QP4_BOVIN BCL2 interacting protein like OS=Bos taurus OX=9913 GN=BNIPL PE=2 SV=1
+MGTIQEAGEKTVDLGIKENAETAILGASLRLGELELKEEWQDEEFPRLLSEEIGPSEDPH
+DPERDTQAGTPSTLALCGRRPMRKRLSAPELRLTLTKGPGDNGASPTHSGPSSSDGSSDL
+EVDELETPSDSELLDSGHEFEWEDELPRAEGLGASEAAERLGHSCMWDVAGEDGHRWRVF
+RTGQREQRVDMTVIEPYKKVLSHGGYHGDGLNAVILFASCYLPRSSIPNYTYVMEHLFRY
+IVGTLELLVAENYLLVHLSGGTSRAQVPPLSWIRQCYHTLDRRLRKNLRALVVVHATWYM
+KAFLALLRPFISSKFTRKIRFLNSLGELAQLISMDQVHIPEVVRQLDHDLHGSGRT
+>tr|A7Z048|A7Z048_BOVIN PRUNE2 protein OS=Bos taurus OX=9913 GN=PRUNE2 PE=2 SV=1
+MDIHSEEGMLSPIAADMRPEPPNSLDLDSTHPRKIKLTAPNINLSLDQSEGSVLSDDNLD
+SPDEIDINVDELETPDEADSFEYTGHEDSTGNKDSGQESESIPEYTAEEEREDNRLWRTV
+VIGEQEQRIDMKVIEPYRRVISHGGYYGDGLNAIIVFAACFLPDSSRADYHYVMENLFLY
+VISTLELMVAEDYMIVYLNGATPRRKMPGLGWMKKCYQMIDRRLRKNLKSFIIVHPSWFI
+RTILAVTRPFISSKFSSKIKYVSSLAELSGLIPMDCIHIPESIIKLDEDLREASEAAKTS
+CLYNDPEMSSMEKDINLKLKEKP
+>tr|A5PJI9|A5PJI9_BOVIN BMP2 protein OS=Bos taurus OX=9913 GN=BMP2 PE=2 SV=1
+MVAGTRCLLALLLPQVLLGGAAGLIPELGRRKFAASAGRSSSQPSDDVLSEFELRLLSMF
+GLKQRPTPSRDAVVPPYMLDLYRQHSGQPGAPAPDHRLERAASLANTVRSFHHEESLEEL
+PEMSGKTTRRFFFNLTSIPTEEFITSAELQVFRKHMPEALENNSSFHHRINIYEIIKPAT
+ANSKFPVTRLLDTRLVTQNASRWESFDVTPAVMRWTAQGLTNHGFVVEVAHPEDSHGASK
+RHVRISRSLHQDEHSWSQIRPLLVTFGHDGKGHPLHRREKRQAKHKQRKRLKSSCKRHPL
+YVDFSDVGWNDWIVAPPGYHAFYCHGECPFPLADHLNSTNHAIVQTLVNSVNSKIPKACC
+VPTELSAISMLYLDENEKVVLKNYQDMVVEGCGCR
+>tr|F1MWK0|F1MWK0_BOVIN Bradykinin receptor B2 OS=Bos taurus OX=9913 GN=BDKRB2 PE=3 SV=2
+MFSAWRRPMFLSIHEDTVPTTASFGAEMFNLTSQVLEPALNGTLPEGSSCFQSDLWNWLN
+TIQPPFLWILFLLAALENTFVLSVFCLHKSSCTVAEIYLGNLAVADLILACGLPFWAITI
+ANNFDWLFGEALCRVVNTILYMNLYSSIYFLMLVSIDRYLALVKTMSMGRMRGVRWAKLY
+SLVIWGCALLLSSPMLAFRTMQEYNAEGHNVTACVINYPSHSWEVFTNILLNSVGFLLPL
+SVITFCTVQIMQVLRNNEMQKFKEIQTERKATLLVLAVLLLFVVCWLPFQISTFLDTLLR
+LHVLSGCWDEYVIDIFTQIASFVAYSNSCLNPLVYVIVGKRFRKKSQEVYARLCRPGGCG
+SAEPSQTENSMGTLRTSISVERNIHKLQ
+>tr|A4IF72|A4IF72_BOVIN CHCHD10 protein OS=Bos taurus OX=9913 GN=CHCHD10 PE=2 SV=1
+MPRGSRSVASRPASRPAAPSAAHPPAHPPPSAAAPAPAPSGQPGLMAQMATTAAGVAVGS
+AVGHVVGSALTGAFSGGSSEPAQPAAQQAPERAAPQPLQMGPCAYEIRQFLDCSTTQSDL
+TLCEGFSEALKQCKYNHGLSSLP
+>tr|C0LMH8|C0LMH8_BOVIN Carbohydrate sulfotransferase OS=Bos taurus OX=9913 GN=CHST8 PE=3 SV=1
+MSPRAGTMRLACMFSSILLFGAAGLLLFISLQDPTELTPHQAPGIKFSIRPQQPHNDLPP
+GSSQDGPFKAATERVTRDLSSRAPRGLSLRMSQDPQAQLNVGARLRPRQRRRRLLIKKMP
+AAAALPANSSAGTLARPAPGALDGRWARLRETQRERKRVMREACAKYRASSSRRAVTPRH
+VSRIFVEDRHRVLYCEVPKAGCSNWKRVLMVLAGLASSTTDIQHDTVHYGSALKRLDTFD
+RQGILHRLGTYTKMLFVREPFERLVSAFRDKFEHPNSYYHPVFGKAILARYRANASREAL
+RTGSGVRFPEFVQYLLDVHRPVGMDIHWDHVSRLCSPCLIDYDFVGKFESMEDDANFFLS
+LIHAPRNLTFPRFKDRHSEEARTTSRITHQYFAQLSTLQRQRTYDFYYMDYLMFNYSKPF
+ADLY
+>tr|Q3T0C3|Q3T0C3_BOVIN Metabolism of cobalamin associated D OS=Bos taurus OX=9913 GN=MMADHC PE=2 SV=1
+MANVLCNRARLISYLPGFCSLVKRVVNPRAFSTAGSSGSDESHVATAPPDICSRTVWPDE
+TMGPFGPQDQRFQLPGNIGFDCHLNGTASQKKSQVHKTLPDVLAEPLSSERHEFVMAQYV
+NEFQGNDAPVEQEINSAETYFESAKVECAIQTCPELLRRDFESLFPEVATNKLMILTVTQ
+KTKNDMTVWSEEVENEREVLLEKFISGAKEICYALRAEGYWADFIDPSSGLAFFGPYTNN
+TLFETDERYRHLGFSVDDLGCCKVIRHSLWGTHVVVGSIFTNATPDSHIMKKLSGN
+>tr|Q0VC56|Q0VC56_BOVIN YY1 associated factor 2 OS=Bos taurus OX=9913 GN=YAF2 PE=2 SV=1
+MGDKKSPTRPKRQPKPSSDEGYWDCSVCTFRNSAEAFKCMMCDVRKGTSTRKPRPVSQLV
+AQQVTQQFVPPTQSKKEKKDKVEKEKSEKETTSKKNSHKKTRPRLKNVDRSSAQHLEVTV
+GDLTVIITDFKEKTKSPPASSAASADQHSQSGSSSDNTERGMSRSSSPRGEASSLNGESH
+>tr|Q0P5F6|Q0P5F6_BOVIN CYB5B protein OS=Bos taurus OX=9913 GN=CYB5B PE=2 SV=2
+MATVVASGGDGKEQGVETSVTYYRLEEVAKRNSPKDIWLVIHGRVYDVSRFLDEHPGGEE
+VLMEQAGGDATESFEDVGHSSDAREMLKQYYIGDVHPNDLKPGGGSKEPPKSNTCKSYWS
+YWIFPIVGAILLGFLYRYYMAESKSS
+>tr|A6QLQ3|A6QLQ3_BOVIN FOSB protein OS=Bos taurus OX=9913 GN=FOSB PE=2 SV=1
+MFQAFPGDYDSGSRCSSSPSAESQYLSSVDSFGSPPTAAASQECAGLGEMPGSFVPTVTA
+ITTSQDLQWLVQPTLISSMAQSQGQPLASQPPAVDPYDMPGTSYSTPGMSGYSSGGASGS
+GGPSTSGTTSGPGPSRPARARPRRPREETLTPEEEEKRRVRRERNKLAAAKCRNRRRELT
+DRLQAETDQLEEEKAELESEIAELQKEKERLEFVLVAHKPGCKIPYEEGPGGPGPGPLAE
+VRDLPGSASTKEDGFSWLLPPPPPPPLPFQTSQDAPPNLTASLFTHSEVQVLGDPFPVVN
+PSYTSSFVLTCPEVSAFAGAQRTSGSDQPSDPLNSPSLLAL
+>tr|A7MAZ6|A7MAZ6_BOVIN FAM117A protein OS=Bos taurus OX=9913 GN=FAM117A PE=2 SV=1
+MAGAAAGGRGGGAWGPGRGGAGGLRRGCSPPVPAGSPRAGLQPLRATVPFQLQQPHQRRD
+GGGRAASVPCSVAPEKPVCRPQPPQVRRTFSLDTILSSYLLGQWPRDADGAFTCCTNDKA
+TQTPLSWQELEGERAISCTHKRSASWGSTDHRKEITKLKQQLQRTKLSRSGKEKERGSPL
+QGDRAVRGARRASPPSFPTGSPVLRLSPCLHRSLEGLNQELEEVFVKDQGEEELLRILEI
+PDGHRAPAPSQSGSCDHPLLLLEPGNLASSPSIPLASPQPSGQTSREEHRGAAEELASVP
+SDKASSPGHPTFLEDGSPSPVLAFAASPRPNHSYVFKREPPEGCERVRVFEEATSPGPDL
+AFLTSCPDKNKVHFNPTGSAFCPVSLMKPLFPSMGFIFRNCPSSPGSPLPPASSRPPPRK
+DPEASKASSLPFEPWQRTPPSEEPVLFQSSLVV
+>tr|A0A3Q1ML92|A0A3Q1ML92_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MMLPLSTIEGQETKEVQTRPPEKGALPSENPDDLLNKEEGKMSAKFLPICPLPSVCFAYY
+LETDDSDSDSQSDPDTSKPGLRCSSPSKPGVDHEEIQAWECPLCCLSILCLS
+>tr|A8E655|A8E655_BOVIN SLC8A1 protein OS=Bos taurus OX=9913 GN=SLC8A1 PE=2 SV=1
+MLQFSLSPTLSMGFHVIAMVALLFSHVDHISAETEMEGEGNETGECTGSYYCKKGVILPI
+WEPQDPSFGDKIARATVYFVAMVYMFLGVSIIADRFMSSIEVITSQEKEITIKKPNGETT
+KTTVRIWNETVSNLTLMALGSSAPEILLSVIEVCGHNFTAGDLGPSTIVGSAAFNMFIII
+ALCVYVVPDGETRKIKHLRVFFVTAAWSIFAYTWLYIILSVSSPGVVEVWEGLLTFFFFP
+ICVVFAWVADRRLLFYKYVYKRYRAGKQRGMIIEHEGDRPSSKTEIEMDGKVVNSHVDSF
+LDGALVLEVDERDQDDEEARREMARILKELKQKHPEKEIEQLIELANYQVLSQQQKSRAF
+YRIQATRLMTGAGNILKRHAADQARKAVSMHEVNTEVAENDPVSKIFFEQGTYQCLENCG
+TVALTIIRRGGDLTNTVFVDFRTEDGTANAGSDYEFTEGTVVFKPGETQKEIRVGIIDDD
+IFEEDENFLVHLSNVKVSLEASEDGILEASHVSTLACLGSPSTATVTIFDDDHAGIFTFE
+EPVTHVSESIGIMEVKVLRTSGARGNVIVPYKTIEGTARGGGEDFEDTCGELEFQNDEIV
+KIITIRIFDREEYEKECSFSLVLEEPKWIRRGMKGGFTITDEYDDKQPLTSKEEEERRIA
+EMGRPILGEHTRLEVIIEESYEFKSTVDKLIKKTNLALVVGTNSWREQFIEAITVSAGED
+DDDDECGEEKLPSCFDYVMHFLTVFWKVLFAFVPPTEYWNGWACFIVSILMIGLLTAFIG
+DLASHFGCTIGLKDSVTAVVFVALGTSVPDTFASKVAATQDQYADASIGNVTGSNAVNVF
+LGIGVAWSIAAIYHAANGEQFKVSPGTLAFSVTLFTIFAFINVGVLLYRRRPEIGGELGG
+PRTAKLLTSCLFVLLWLLYIFFSSLEAYCHIKGF
+>tr|A7LM98|A7LM98_BOVIN Beta-defensin OS=Bos taurus OX=9913 GN=DEFB122A PE=2 SV=1
+MKTFLLTLAALLLSSQVIADSTEDCWNLHGNCRDRCHKNEKVYVLCLSGKLCCVKPKYQP
+HGLPKLTKG
+>tr|A6H734|A6H734_BOVIN PLXDC1 protein OS=Bos taurus OX=9913 GN=PLXDC1 PE=2 SV=1
+MRAELWLLVLVLREAARALSPQPGAGQDAGRGSGGATQGTTWGWNRRARESPGPVSELDR
+TQLSQDLGGGTLAIDKLPDNGTRVVEDNHSYYVSRLYGPSEPRSQELWVDVAKANQSQVK
+VHRILSNTHRQASRVVLSFDFPFYGHPLRQITIATGGFIFMGDVVHRMLTATQYVAPLMA
+NFNPGYSDNSTVAYFDNGTVFVVQWDHVYLQGREDRGSFTFQAALHQDGRIVFGYKEIPI
+PVLEISSSQHPVKAGLSDAFMILNPSPDVPESRRRTIFEYHRVELDPSKVTSTSAVEFTP
+LPTCLQHRSCDACMSSDLTFNCSWCHVLQRCSSGFDRYRQEWLAYGCAQEAEGRTCEDFQ
+DEDSYSSSPDSSFSPFDGDFTTTSSSLFVDSLTTEDDTKVNPYAGGDGLQNNLAPKTTGS
+PVHLGTIVGIVLAVLLVASIILAGIYINGHPTSNAALFFIERRPHHWPAMKFRNHPSHST
+YTEVEPSGHEKEGFVEAEQC
+>tr|Q6QTH1|Q6QTH1_BOVIN NADH-ubiquinone oxidoreductase chain 1 OS=Bos taurus OX=9913 GN=ND1 PE=3 SV=1
+MFMINILMLIIPILLAVAFLTLVERKVLGYMQLRKGPNVVGPYGLLQPIADAIKLFIKEP
+LRPATSSASMFILAPIMALGLALTMWIPLPMPYPLINMNLGVLFMLAMSSLAVYSILWSG
+WASNSKYALIGALRAVAQTISYEVTLAIILLSVVLMSGSFTLSTLITTQEQMWLILPAWP
+LAMMWFISTLAETNRAPFDLTEGESELVSGFNVEYAAGPFALFFMAEYANIIMMNIFTAI
+LFLGTSHNPHMPELYTINFTIKSLLLTMSFLWIRASYPRFRYDQLMHLLWKNFLPLTLAL
+CMWHVSLPILTSGIPPQT
+>tr|E1BFN2|E1BFN2_BOVIN Nucleoredoxin like 2 OS=Bos taurus OX=9913 GN=NXNL2 PE=4 SV=1
+MVDVLGGRRLVTCDGAWVEAEAALQNKVVALYFAAGRCAPSRDFTPLLCDFYEELVDEAR
+PPAPFEVVFVSADGSAQEMLEFMKELHGAWLALPFHDPYRHELRTRYHITAIPRLVILKP
+SGEVITDKGRKQIRERGLACFQNWVEAADIFQNFSS
+>tr|A5PKH5|A5PKH5_BOVIN SDSL protein OS=Bos taurus OX=9913 GN=SDSL PE=2 SV=1
+MKSPLEECAEGEPFHIVTPLLESWALSQVAGMPVFLKYENVQPAGSFKIRGIGHFCQEVA
+KKGCRHLVCSSGGNAGIAAAYAARKLRIPATIVLPEGTSVKVVKRLQGEGAEVQLTGKIW
+EEANLRAQELAKNDGWVNLPPFDHPLIWEGHVSLVRELKAALGTPPGALVLAVGGGGLLA
+GVSAGLAEVGWQHVPIIAMETQGAHCFNAAIKAGKLVTLPGITSLAKSLGARTVAARALE
+CTKEFRIFSEVVEDAEAVSAVQRFLDDERTLVEPACGAALAAIYSGLLGKLQAEGHLCPS
+PAPVVVIVCGGNSIDSGELQALKAQLGQD
+>tr|A0A3Q1M1G9|A0A3Q1M1G9_BOVIN Antizyme inhibitor 2 OS=Bos taurus OX=9913 GN=AZIN2 PE=3 SV=1
+MAGYLRETDFVMVEEGFSTRDLLEELTLGASQATTEEVAAFFVADLGAVVRKHFCFLKCL
+PRVRSFYAVKCNSSPGVLKVLAELGLGFSCANKAEMELVQHIGVPASKIIYANPCKQIAH
+IKYAAKHGVRLLSFDNEMELAKVVKSHPSAKMVLCVATEDSHSLSRLSLKFGASLKSCRH
+LLENAKRDHVEVVGVSFHIGSGCPDPQAYAQSIADARVVFEMGAELGHRMHILDLGGGFP
+GVEDAKVRFEEIASVINSALDLYFPEGCGVDILAKLGRYYVTSAFTLAVSIIAKKEVLLD
+QPGREEETSSSPKSVVYHLGEGVYGVFNSVLFDSACPAPILQKKPSTEQPLYSSSLWGPV
+ASGCDCVAEGLWLPQLHVGDWLVFENMGAYTVGVGSLLRGAQTCRITYAMSRVAWEALQG
+QLLPAEQDEDAEGMCKPLSCGWEITDTLCMGPVFTPASIM
+>tr|A2VDX2|A2VDX2_BOVIN Major histocompatibility complex, class II, DQ beta OS=Bos taurus OX=9913 GN=BOLA-DQB PE=2 SV=1
+MSGMVALWIPRGLWTAAVMVTLAVLSTPGAEGRDSPQDTVVHFMCQCYFTNGTERVRYVT
+RYIYNQEETAYYDSDVGEYRAVTQLGRTLAEYWNSQKDILEQTRAELDTVCRHNYQLEVI
+TSLQRQVEPTVTISLSRTEALNHHNLLVCSVTDFYPGQIKVRWFQNGKEETAGIVSTPLI
+RNGDWTFQILVMLEMTPKRGDVYTCRVEHPSLQSPISVEWRAQSESAQSKMLSGVGGFVL
+GLIFLGLGLIVRRRSQKGLMH
+>tr|F1MSC9|F1MSC9_BOVIN F-box protein 10 OS=Bos taurus OX=9913 GN=FBXO10 PE=4 SV=3
+MEAGGLPLELWRMILAYLPLPDLGRCSLVCRAWRELILSLDRTRWRQLYLGCAECRHPNW
+PNQPDVEPESWREAFKQHYLASKTWTKNAAILETSVCFSLFRRRRMRRTLSVGPGHEFHS
+LGSALAMSSLFDRILLFPGLHEEQGEIILKVPVEIVGHGKLGEVALLASIDQQCSTTRLC
+NLVFMPAWFSPFMFKTTSGHVQFDNCNFENGHIQVHGPGTCQVKFCSFKNTHVFLHNVPL
+CVLENCEFVGSENNSVTVEGHPSSENNWAYTHLLGLIRSSPGVLPTEDSDSLMSLDLESR
+DQAWSPRTCGIVIEGSQSPTSPTTGSPRAGSQDAEVGSNGERVARTPDSSDGGLSPSGED
+EDEDQLTYRLSYQVQGPRPVLGGSFLGPPLPGASIQLPSCLVLNSLQQELQKDREAMALA
+SSVQGCLIRKCLFRDGKGGVFVCSYGRAKMEGNIFRNLTYAVRCIHNSKIIMLRNHIHHC
+RASGIFLRLEGGGLIAGNNICHNAEAGVDIRKKSNPLILCNQIHHGLRSGIVVLGNGKGI
+IRNNQIFSNKEAGIYILYHGNPVVSRNHIFKGHAAGIAVNENGRGLITENVIRENQWGGV
+DIRRGGVPILRSNLICFGYSDGVVVGDEGKGLLEGNTIYANKGCGVWMMASSLPHVTSNH
+VSYNGLYGVAVFSQKDGSGEFPGGHGAQENFSEDGDAILWETELEKDDDPLRRPITIALV
+ESNSVNHNGASGLYIQSSEALHIVTNVIHANRVRGITVAQSSQLTRVANNSISCNRQSGV
+KIEAQCKVELRGNGIYDNRGHGVITKGDSTVMENDIIGNRGSGLQLLPMSDTKVIKNRIH
+SFRASGIAVQGHAKALVQENTIFQGRTNKTIFQQSSNNQECIIQNNRFLVFKKSDTWRLA
+NPPARPHLENSLRGPSAAHGGQKVTAMATRITAHVEGGYHSKRSIFCTIL
+>tr|Q32KP5|Q32KP5_BOVIN BPI fold containing family A member 3 OS=Bos taurus OX=9913 GN=BPIFA3 PE=2 SV=1
+MHSVWRLLVLLGLLALPSALHKPHQPGLAKTHTDSKSALARIIAQGLMKHNTEGRIQNIH
+LLDSLNASGKMAPGMVGWLIGSMSLHHHQEGSANITNAQLDYGGIRMSFHKEWFTANISL
+GFDIDLRLPFNNHIIKTHERMNLSVEFWLEKDEFGRRDLVIGKCHVEPRSVHTTFLTEAI
+PPKVNPFLRNFRDNLEKVIPHLIESQVCPLIDEILRQLDVKLLKSLMEQDIAHKLNQL
+>tr|G5E663|G5E663_BOVIN BTB domain-containing protein OS=Bos taurus OX=9913 GN=LOC112445444 PE=4 SV=2
+MGPLNSRNLQCRESGTGELQQPEAQAGPSYVSGSRKRKQSTGPSLGPESEMSHNQEEDLQ
+QVVCTRQGKKVKIKSEYAYQTLFLNGETSDIKIRALGKVWCLHKRFLCQSDYFATMFRDS
+GKECHKDIIDLEINDQNINVESLQFVLGSLYRNEYVLIKPLQVPRVLATACLLQVEDLIQ
+QCDKTMKETINVKTVCSFYVAAETYGLHSVKTGCFEWLLHNLMTHPSVELYKKLSTDLMN
+LVISSSNLFVMQKEIDIYTTLKQWMFLRLNPGWKGTMKQLLVNANNWFSRHKEHDGSISF
+LETKQGIVFQPVFKNLRFQHIICDLASTKVIEQDALIPSEWLSCVYKRQWYTLLRAQQYR
+EIGPRDITETELEEYSMRCGKRIVRDGTYAWKWSGYNFGVHLYVVFTSHFIIFKRHAFSQ
+PYDGSICLQPQRNIAFRLTLVYFGSSGKLSFRKTTGYKILTFEKDEEQMVMKLDSVALSF
+PLYIFCNFLFISLENPGN
+>tr|Q05B85|Q05B85_BOVIN Hematological and neurological expressed 1-like OS=Bos taurus OX=9913 GN=JPT2 PE=1 SV=1
+MFRAPDSEGGRAGPRAAKPPGGEPGDRPEEAAPPRRPDRMASNIFGPTEEPQNIPKRTNP
+PGGKGSGIFDESGPVQTRPRLNPPGGKTSDIFGSPVAAASPTAHPNKPKDHVLLCEGEDT
+PDLRASASPRQEPGEPGGPKEAARVQEPAPAVDSHEPRLGPRPRSHNKVLNPPGGKSSIS
+FY
+>tr|Q3ZC44|Q3ZC44_BOVIN Heterogeneous nuclear ribonucleoprotein A/B OS=Bos taurus OX=9913 GN=HNRNPAB PE=1 SV=1
+MSEAGEEQPMETTGATENGHEAAPEGESPAGTGTGVAAGAGGGSAAPQAGNQNGAEGDQI
+NASKNEEDAGKMFVGGLSWDTSKKDLKDYFTKFGEVVDCTIKMDPNTGRSRGFGFILFKD
+ATSVEKVLDQKEHRLDGRVIDPKKAMAMKKDPVKKIFVGGLNPEATEEKIREYFGEFGEI
+EAIELPMDPKSNKRRGFVFITFKEEEPVKKVLEKKFHTISGSKCEIKVAQPKEVYQQQQY
+GSGGRGNRNRGNRGSGGGGGSGGQGSTNYGKSQRRGGHQNNYKPY
+>tr|A0A3Q1NNH7|A0A3Q1NNH7_BOVIN Tetratricopeptide repeat domain 12 OS=Bos taurus OX=9913 GN=TTC12 PE=4 SV=1
+MITCALQDADEINTEAFLASLEKDAKERAKRRRENKVLADALKEKGNAAFVRGDYETAIL
+CYSEGLEKLKDMKVLYTNRAQAYIKLGDYRKALVDCDWALKCDEKCTKAYFHMGKAHLAL
+KNYSVSRECYQKILEINPQLQTQVKEYLNQVDLREKADVQEKEAQESLDSGKNSAVTTKN
+LLETLSKPDQIPLFYAGGIEILTEMMKDCTERTLFRTNNGFSIISENEVIRRCFSTAGKD
+AVEETVCLSVLRLWQAVCSENEENQRLLVLRPDTGPLLPSLLASRVPAIRQQSLALLLQL
+AQTENGRSLIIGHLDLIRLLEALVSFLDFSDKKANSAMGLLTDLALQERFQAWFQTSLPD
+VLPVLTGVLKRDPKVTNISALCQCLAIMGNFSAEAAAQRQMSASEDFGAACLGLMAKCEE
+DADLFRDVMYALLGLVMNLCLQSPFVSEVWAMEVSKKCLSLLNSQDGGILTRAAGVLSRT
+LSSSLKIVEEALRAGVVKKMIKFLKAGGQTASRYAVKILAICTNSYQEAREEVLRLDKKL
+GVLMQLLDSEDEILVGNAALCLGNCMEVPRAASSLLSTDIVPVLLKLAGSDAQQTAVQLN
+AGIALGKLCTAEPRFAALLRELHGMEILNSTMKYVESS
+>tr|Q3MHI2|Q3MHI2_BOVIN Kinesin-associated protein 3 OS=Bos taurus OX=9913 GN=KIFAP3 PE=2 SV=1
+MQGEDARYLKRKVKGGNIDVHPSEKALIVQYEVEATILGETGDPMLGERKECQKIIRLKS
+LNANTDITSLARKVVEECKLIHPSKLNEVEQLLYYLQNRRDSTSGKEKKEKSSKPKDPPP
+FEGMEIDEVANINDMDEYIELLYEDIPDKVRGSALILQLARNPDNLEELLLNDTALGALA
+RVLREDWKQSVELATNIIYIFFCFSSFSQFHGLITHYKIGALCMNIIDHELKRHELWQEE
+LSKKKKAVDEDPENQTLRKDYEKTFKKYQGLVVKQEQLLRVALYLLLNLAEDTRTELKMR
+NKNIVHMLVKALDRDNFELLILVVSFLKKLSIFMENKNDMVEMDIVEKLVKMIPCEHEDL
+LNITLRLLLNLSFDTGLRNKMVQVGLLPKLTALLGNENYKQIAMCVLYHISMDDRFKSMF
+AYTDCIPQLMKMLFECSDERIDLELISFCINLAANKRNVQLICEGNGLKMLMKRALKFKD
+PLLMKMIRNISQHDGPTKNLFIDYVGDLAAQISNDEEEEFVIECLGTLANLTIPDLDWEL
+VLKEYKLVPYLKDKLKPGAAEDDLVLEVVIMIGTVSMDDSCAALLAKSGIIPALIELLNA
+QQEDDEFVCQIIYVFYQMVFHQATRDVIIKETQAPAYLIDLMHDKNNEIRKVCDNTLDII
+AEYDEEWAKKIQSEKFRWHNSQWLEMVESRQMDESEQYLYGDDRIEPYIHEGDILERPDL
+FYSSDGLVASEGAMSPDFFNDYHLQNGDVVGQHSFAGSLGMDGFGQSVGILGRPATAYGF
+RPDEPYFYGCGSR
+>tr|A0A3Q1MLX3|A0A3Q1MLX3_BOVIN CASP8 and FADD like apoptosis regulator OS=Bos taurus OX=9913 GN=CFLAR PE=3 SV=1
+MKSVASWPSIDWTRLFFLPFLGMTLYRMSAEVIHQVEEALDEEEKDTLLFLCRDVAADVV
+PLNVRDLLDILRERGKLSSVSLAELLYRVRRFDLLKRVLNMDTPTVEALLRKHPHLISDY
+RVLMMEIGEDLDKSDVSSLMFLMRDYTGRSKIAKDKSFLDLVVELEKLNLVAPDQLNLLE
+ESLRNINRIDLKTKIQKYKQSAQGAETNYVNARQASLPNLSVKDPSYNLRLQNGRSKEQR
+LMMGPPDIQREPVKRPIQESGAFLPQHIVEERYKMQSKPLGICLIIDCIGNDTDILRDTF
+TSLGYEVKHFLYLTVKDITNILRQVAQMPQHQDSDSFACILVSRGGSQSVFGVDQTHSGV
+PLDHIRRMFMADTCPSLSGKPKLFFIQSYVKSEGQLEDSSFLEVDGPSVKSADSKARQPG
+PYQDLIHREADFFWSLCKADVSLLEGPSSSPSLYLKCLSQKLWKERKHSLLELHTELNRL
+VYDWNSKVSAKERYYVWLQHTLRKNLFLSHK
+>tr|A4IFJ3|A4IFJ3_BOVIN MGC151592 protein OS=Bos taurus OX=9913 GN=STUM PE=2 SV=1
+MEPSHKDAETAAAAAAVAAAERGASSSSGVVVQVREKKGPLRAAIPYMPFPVAVICLFLN
+TFVPGLGTFVSAFTVLCGARTDLPDRHVCCVFWLNIAAALIQILTAIVMVGWIMSIFWGM
+DMVILAISQGYKEQGIPQQL
+>tr|Q1JPC5|Q1JPC5_BOVIN CD86 antigen OS=Bos taurus OX=9913 GN=CD86 PE=2 SV=1
+MRFKCTMGLRNTLIVMALLLSVSTVPFSGAASLKSHAFFNETGELPCHFPNTQNLSLDEL
+VIFWQDQNKLVLYELFKGQEKPNNVNPKYIGRTSFDQDSWTLRLHNVQIKDTGSYQCFIH
+HRRSQGLVSIHQMSSDLIVLANFSQPEIRLIANQTEKSNIINLTCSSIQGYPEPQRMYVS
+LNTTNSSSTYDAVMKKSQSNITELYNVSISVSFPIPPETNVTIFCALQLEPTKIILSQPY
+NIDAKSPVPSPPVPDHILWIAALLVTVVVSGMVFLTLKKRKKKQPGPSNECEIIKVEEKE
+SEQTAKRTPRT
+>tr|Q0IIK6|Q0IIK6_BOVIN Peroxisomal biogenesis factor 5-like OS=Bos taurus OX=9913 GN=PEX5L PE=2 SV=1
+MSDSEMDAKAHIPSLLNVLLSRNRVMQMSYLKSKEKGYGKLSSDEDLEIIVDQKQLVNEQ
+QESRPLLSPSIDDFLCETKSEAIARPVTSNTAVLTTGLDLLDLSEPVSQTQSKAKKLEAS
+SKTSSLKKKADGSDLISADAEQRGQALRGSETASLDLNIQTQLEKWDDVKFHGDRNSKGH
+PMAERKSSSSRTGSKELLWSSEHRSQPELSGGKSALNSESASELELVPPTQARLTKEQRW
+GSALLSRNHSLEEEFERAKAAVESDTEFWDKMQAEWEEMARRNWISENQEAQNQVTISAS
+EKGYYFHTENPFKDWPGAFEEGLKRLKEGDLPVTILFMEAAILQDPGDAEAWQFLGITQA
+ENENEQAAIVALQRCLELQPNNLKALMALAVSYTNTGHQQDACEALKNWIKQNPKYKYLV
+KSKKGSPGLTRRMSKSPVDSSVLEGVKELYLEAAHQNGDVIDPDLQTGLGVLFHLSGEFN
+RAIDAFNAALTVRPEDYSLWNRLGATLANGDRSEEAVEAYTRALEIQPGFIRSRYNLGIS
+CINLGAYREKQKQRIPKKLLHGDF
+>tr|Q3T023|Q3T023_BOVIN Transmembrane protein 60 OS=Bos taurus OX=9913 GN=TMEM60 PE=2 SV=1
+MRMSLAQRVLLTWLFTLLFLIMLVLKLDEKAPWNWFLIFIPVWIFDTILLVMLIVKMAGR
+CKSGFDPRHGSHNIKKKAWYLIAMLLKLAFCLALCAKLEQFTTMNLSYVFIPLWALLAGA
+LIELGYNVFFVRD
+>tr|F1MF34|F1MF34_BOVIN LCA5, lebercilin OS=Bos taurus OX=9913 GN=LCA5 PE=4 SV=2
+MGERDRSPATDREGKTSRSHYCSSDFGTTPQSSGRSSLVHPSPPASIKGKHDKKQASDSQ
+VHHHAPRKPSPKCPPNRKGVRAGFRSQSLSRQPFPKDPDLVTKQVLSARLLKINELQNEV
+TELQVKLAELLKENKALKRLQYRQEKALNKFEDTENEISQLIARHNNEITALKDRLRKSQ
+EKDRANEKRAKETEGELLKTKISLQKLKKISEAKHLPERVDLAKKLASAELKLDDTERRI
+KDLSRNLELSTNSYQRQLLAERKRACEARDENKLLQKELQGLKDKLKEKERELDIKNRYS
+NRLPKISPKKEKEFTSTINAACQSDFTNQCTKGVQTNEDFKLEEYPITPQTVMCYENKRE
+EPERLSLDMESQERDNLGEAGILNPTVEREDKFAKGQGLRVVKQNVEKLENGWEKELAKK
+PKEKKSLLEREGKPVEMYQVQKIDKLEEERLKKEMLPAKLNEINREQDSQNVKYPSLPPL
+PDLKRKLRSPERNPRTHMSSGSSERLFNGHHLQDLNLSTTKGDGQNPGHTRSAASPVELV
+FGSYVPSFAKTPARSNPVSQKSDLLGFSGNNTEKFSKDGTDSISRQERKANLMELLFGTS
+GGSSLSSKNSDPNSLAATKDGDPLDFLPGDKSSWGRENRDDDDSLLSEERSFNPSRHRLR
+RANSKPAVKVVDSVEDEIEEVVLR
+>tr|Q3SZK6|Q3SZK6_BOVIN Receptor (TNFRSF)-interacting serine-threonine kinase 1 OS=Bos taurus OX=9913 GN=RIPK1 PE=2 SV=1
+MSLDDIKMSSTDFLEQADLDSGGFGKVCLCLHRSHGLVILKKVYTGPKRTEYNEALLEEG
+RMMHRLRHRRVVKLLGVIMEEGSYSLVMEYMEQGDLMRVLKAQVSIPLSVKGRIIMETIE
+GMRYLHGEGVIHKDLKPENILVDSDFHIKIADLGVASFKTWSKLTKEEHNEQRKAGGSAG
+KSGGTLHYMAPEHLNDVNSRPSEKSDVFSFAIVLWAIFANKEPYENAICEQQLILCIKSG
+NRPDVEDIIEFCPREVIDIMRQCWEVNPDNRPTFAGIEEKFRPFYSNQLEDYVEEDVKSL
+KKEFPGQNEIVKRMKSLQIDCVAIAPSRSNSATEQPSSLHSSQGFGMGPVEESWFAPAPD
+HQPEENDFLLHSKLQEEANYHLYGSRMDRRTKEQPRPNMAYSSEEERRRRVSHDPFAQQR
+PYENAQSPGIKGLAYPGVMSHTSAAQQPAGLSSQPQSPYWRDGSFHPLGVRPLDLGTMSP
+RVWYGPNPGHMPSLYKTPVPETNLLGNTPTIPFTSLPSRDESSKYTIHSSSGIQIGDSNY
+MEIGGMSSSVLDSTYMNLKEEPASKYQAIFDNTTSLTDKHLDPVRENLGRHWKNCARKLG
+FSESQIDEIDHDYERDGLKEKVYQMLQKWLMRAGSKGATVGKLACALYLCSRIDLVNCLI
+RISQS
+>tr|Q2HJB6|Q2HJB6_BOVIN Procollagen C-endopeptidase enhancer OS=Bos taurus OX=9913 GN=PCOLCE PE=2 SV=1
+MLPAATASLLGPLLTAWALLLPFTHGQTPNYTRPVFLCGGDVTGESGYVASEGFPNLYPP
+NKECIWTITVPKSQTVSLSFRVFDLEQHPSCRYDALEIFAGSGTSGQQLGRFCGTFRPAP
+VVASGNQVTLRMRSDEGTGGRGFLLWYSGRATSGTEHQFCGGRLEKAQGTLTTPNWPESD
+YPPGISCSWHIIAPPDQVISLTFGKFDLEPDSYCRYDSVSVFNGPVSDDAKRLGKFCGDT
+VPGSITSEGNELLVQFVSDLSVTADGFSASYRTQPRGATEGVPGEVGLGPKPGAGPKVKP
+EVPPEEKSKAAPKAEATPVGPDAPSVTCPKQCRRTGTLQSHFCNSDLVVTGMIKSMVRGP
+GEGLTATVNVTGVYKTGGLDLPSPPTDTLLKFYVPCKQCPPMKKGISYLLMGQVDKNRGP
+ILPPESFVVLHRPTQHQILTNLSRKCPSKPV
+>tr|A5D7C7|A5D7C7_BOVIN LOC522691 protein OS=Bos taurus OX=9913 GN=TTC22 PE=2 SV=1
+MAEPEVAAEDLDALIDDLDYLPGHFHLEMQLNFEPRSPVSLRARDLKLQREGLRQELELA
+SAPQRPAVRHLLGAFAFYLEELEEARERFLEVAREDPGNLNAWANLAHVYGRLGQEEEEE
+ACAGQLASLMGLAGDRGAAEDPQLRAARCLAEQGYAHGFDVGCASPEERARVLEAGIALY
+DKALGYAQQIPMEEKRGWYFTMATLFIRLDGIFLELGSKEQKRLPAFNRTLALLQQVLKS
+SDPHPRALAWCYLGMLLERKDTFSTTPMGVHDCGFSGTDPLDCFGKAIEIAKDQLPILNR
+LAKIFHFLGKQDMAIGTCNMALDVLRDPELNWQAYCTRAKIHIRAYLHDLERGKLGLGGM
+PDRNHLACAKADLEEVVKVCPGLKTYLDIGQVYYYMGVDAVQELLAVDEAALNQALVFLA
+KAGESELGATLPELQLLRGKCLRIKGEEANAAACFKRAVELDDAGCSHAEGFGCLLEALL
+AQWSQAQLSDGEVGREVDVWLRRAQDKYPAARLRQELQRVWRAHTDEVLGLARALVAQGR
+PALVRLLFETMERKGEGASSLRDRRAFSV
+>tr|A4IFJ9|A4IFJ9_BOVIN V-type proton ATPase subunit C OS=Bos taurus OX=9913 GN=ATP6V1C2 PE=2 SV=1
+MSEFWLISAPRDKENLQALERMNTVTSKSNLSYNTKFTIPDFKVGTLDSLVGLSDELAKL
+DVFAESLIRRMAQSVVEVMEDSKGKVQENLLANGVDLTSFVTHFEWDVAKYPAKQPLVSV
+VDILAKQLAQIEIDLKSRTAAYNTLKTNLENLEKKSMGNLFTRTLSDIVSKEDFVLDSEY
+LITLLVIVPKPSYVQWQKTYESLSDMVVPRSTKLIAEDKEGGLFTVTLFRKVIDDFKTKA
+KENKFTVREFYYDEKEIKREREEMTRLLSDKKQQYQTSCVALKKGSSTFPDHKVKVTPLG
+NPDRPAAGQSDRERENEGEGEGPLLRWLKVHFSEAFVAWIHIKALRVFVESVLRYGLPVN
+FQAVLLQPHKKSCTKRLRQVLNSVFRHLDEVAAASILDASVEIPGLQLSNQDYFPYVYFH
+IDLSLLD
+>tr|Q0VC96|Q0VC96_BOVIN Thymocyte nuclear protein 1 OS=Bos taurus OX=9913 GN=THYN1 PE=2 SV=1
+MPRARRRPAGPDMKGPEEKRTKTKNLGRASAQVENSSLQKTPVSEDSGEALSSYWLMKSE
+PESRLEKGVDVKFSIEDLQAQPRQTTCWDGVRNYQARNFLRAMRLDEEAFFYHSNCREPG
+IAGLVKIVKEAYPDHTQFEKNNPYYDASSKEDNPKWSMRLSVQRLTRGEDGPCLWTTCQN
+DQEFDFILSLEEKEPS
+>tr|A0A3Q1NLY2|A0A3Q1NLY2_BOVIN DNA endonuclease RBBP8 OS=Bos taurus OX=9913 GN=RBBP8 PE=4 SV=1
+MNLSGSSCGSPSSADVSNDFKDLWTKLKEYHDKEVQGLQVKVTKLKKERILDAQRLEEFF
+TKNQQLREQQKVLHETIKVLEDRLRAGLCDRCAVTEEHMRKKQQEFENIRQQNLKLITEL
+MNEKNTLQEENKKLSEQLQQKIENDQPHKATDLESEEDVIPDSPITTFSFSGTNRLRRKE
+NLRVRYIEQTHAKLEHSGCAHELRTVPKSSAHPQHKPKESEILVADTCDQSQAPVAKPHG
+KSSYTPDNLATVVAETLGLCVQEESESRGPQSPLGDELYHCLEGDHKKQAFEECRRNSED
+NLRFSDSKTPFQEELTTRVSSPVFGAPSNVKSSLGLNTSLSPSLLETGKKTHLKTVPLSN
+TSAPGPEKPRSKSEDGTLITHHHLGTEVNKIPSQSSSNKQMLINKNTSEPISEQGNIGHS
+KDTDRDKHVVPLKSLGGRTKRKKIEEESEDEVICPQASFDKENAFPFPLDSHSSMNGDYV
+MDKPLDLSDRFSAIQRQEKSQGCENSKIRFRQVTLYEALKPIPRDSSSSRKALSGSCGLT
+KDSPEEPCLQESLFQSLSKSPDNKTLLQIKEENPVFKIPLRPRESFETENLFDDTKGAGS
+HEPIKIKTRSVRGACEVASVLQLNPCRIAKTKSLQNNQDVSFENIQWSIDPGADLSQYKM
+GVTVDDTKDGSQSRLAGETVDMDCTLVSETMLLKLKKQEQKGEESPNGERKMNDSLEDMF
+DRTTHEEYESCLAESFPQVADEEKELSTTTKKPNTPGDKQDKVKQKAFVEPYFKGGERET
+ELQNFPHIEVVRKKEERRKLLGHTCKECEIYYADFPAEEREKKLASCSRHRFRYIPPNTP
+ENFWEVGFPSTQTCMERGYIKEDLDPCPRPKRRQPYNAMFSPKGKEQKT
+>tr|Q17QL3|Q17QL3_BOVIN Coiled-coil domain containing 94 OS=Bos taurus OX=9913 GN=YJU2 PE=2 SV=1
+MSERKVLNKYYPPDFDPSKIPKLKLPKDRQYVVRLMAPFNMRCKTCGEYIYKGKKFNARK
+ETVQNESYLGLPIFRFYIKCTRCLAEITFKTDPENTDYTMEHGATRNFQAEKLLEEEEKR
+VQKEREDEELNNPMKVLENRTKDSKLEMEVLENLQELKDLNQRQAHVDFEAMLRQHRLSE
+EERQRQEQEEDERETAALVEESRKRRLLEDSDSEEDTSPTQPQPALRPNPTAILDETPKA
+KRKAESWERSVGTLGSRPQLSGLVVKKTGLDTSIRRGRAPPLPGVMKNGQVAGPAPQMPG
+TSSLSQLGVYSDSEDSSGSN
+>tr|A0A3Q1M0V0|A0A3Q1M0V0_BOVIN Mothers against decapentaplegic homolog OS=Bos taurus OX=9913 GN=SMAD6 PE=3 SV=1
+MFRSKRSGLVRRLWRSRVVPDREEGGGSGGGGGDEDGSVGSRAEPAARAREGGGCGRPEV
+RPVALRRPRDAVGQRGAQGAGRRRRSGGPPRPMSEPGAGSGGSSLDVGEPGGQGWLPESD
+CETVTCCLFSERDAAGAPREAGEPLVGAAQEPEGGGRSREARSRLLLLEQELKTVTYSLL
+KRLKERSLDTLLEAVESRGGVPGGCVLVPRADLRLGGQPAPPQLLLGRLFRWPDLQHAVE
+LKPLCGCHSFAAAADGPTVCCNPYHFSRLCGPESPPPPYSRLSPRDEYKPLDLSDSTLSY
+TETEAANSLITAPGEFSDASMSPDATKPSHWCSVAYWEHRTRVGRLYAVYDQAVSIFYDL
+PQGSGFCLGQLNLEQRSESVRRTRSKIGFGILLSKEPDGVWAYNRGEHPIFVNSPTLDAP
+GGRALVVRKVPPGYSIKVFDFERSGLLQHGPEPDAADGPYDPNSVRISFAKGWGPCYSRQ
+FITSCPCWLEILLNNHR
+>tr|A7Z042|A7Z042_BOVIN NKD1 protein OS=Bos taurus OX=9913 GN=NKD1 PE=2 SV=1
+MGKLHSKPAAVCKRRESPEGDSFAVSAAWARKGIEEWIGRQRCPGGSSGPRQLRAAGTVG
+RGARELVGEVFRETLSEEEEEDFRLEVALPPEKSDGLASGDEKRMEKSGEPCSGSKKQLK
+FEELQCDVSVEEDSRQEWTFTLYDFDNNGKVTREDITSLLHTIYEVVDSSVNHSPTSSKT
+LRVKLTVAPDGSQSKKSIVLNHPDLQSARPRAETKPAEELRSWEKKQRALLRFQGDSHLE
+QSGCYHHCVDENIERRNHYLDLAGIENYTSQFGPGSPSVAQKSELPPRASNPTRSRSHEP
+EAIHVPHRKPQGADPGSFHFLDAPFAKASEVQQRLRGGTQDGSKHFVRSPKAQGKSVGVG
+HVARGARSKPPGGPAVPAVAPSAHLAASPALLPTLAPLGHRKHKHRAKESQQGCRGLQAP
+LAVGGTVVGRDHLRELPAVVVYESQAGQAVQRHEHHHHHEHHHHYHHFYQT
+>tr|A0A3Q1LUQ6|A0A3Q1LUQ6_BOVIN Collectin-43 OS=Bos taurus OX=9913 GN=CL43 PE=4 SV=1
+MLPLPLSILLLLTQSQSFLGEEMDVYSEKTLTDPCTVVVCAPPADSLRGHDGRDGKEGPQ
+GEKGDPGPPGMPGPAGREGPSGRQGSMGPPGTPGPKGEPGPEGGVGAPGMPGSPGPTGLK
+GERGTPGPGGAIGPQGPSGAMGPPGLKGDRGDPGEKGAKGETSVLEVDTLRQRMRNLEGE
+VQRLQNIVTQYRKEAQADTRDKEEDMVHVTSQQTFTTAHPGQVPRTYKGTPNPGCISSLC
+GARYANAQRRSCTVHSSRESSMILRVGVGCCPWGSRLLGTYPWCVAPKYLTLKMPLGLEG
+IGCLQSLASAGLSCTSCGV
+>tr|A2VDY0|A2VDY0_BOVIN Collagen triple helix repeat containing 1 OS=Bos taurus OX=9913 GN=CTHRC1 PE=2 SV=1
+MRPQGPAASPRRLLGLLLLLLLQLRAPSSASDTPKGKQKALLRQREVVDLYNGVCLQGPA
+GVPGRDGSPGANGIPGTPGIPGRDGFKGEKGECLREVFEESWTPNYKQCSWSSLNYGIDL
+GKIAECTFTKMRSNSALRVLFSGSLRLKCRSACCQRWYFTFNGAECSGPLPIEAIIYLDQ
+GSPELNSTINIHRTSSVEGLCEGIGAGLVDIAIWVGTCSDYPKGDASTGWNSVSRIIIEE
+LPK
+>tr|Q3T0N9|Q3T0N9_BOVIN O-acyltransferase OS=Bos taurus OX=9913 GN=SOAT1 PE=2 SV=1
+MVVGEEKMSVRKRLSKSSNHAEEEEEDQRDCAEESQEALSNGRVDLKQLISKKIKLTAEA
+EELKPFFMKEVGSHFDDFVTNLIEKSTSLDNGGCALTSFSIFEGDNNHRAKDLRAPPEHG
+KIFVTRRSVLDELFEVDHIRTIYHMFIALLILFILSTLAVDYIDEGRLVLEFNLMSYAFG
+KLTVVLWTWLTMFLCTLTVPYFLFQRWARGYHRTSHPVVHSFIHCFLFVVFQIGVLGLGP
+LYVVLAYTLPPASRCIVILEQIRFIMKTHSFVRENVPRVLNSAKEKSSTVPVPTVNQYLY
+FLFAPTLIYRDSYPRTPTVRWGYVTMQFAQVFGCLFYVYYVFERICTPLFRNIKQEPFSA
+RVLILCIFNSILPGALILFLTFFAFLHCWLNAFAEMLRFGDRMFYKDWWNSTSYSNYYRT
+WNVVVHDWLYYYAYKDFLWFFTKRLRAVAMLAVFAVSATVHEYALAVSLSFFYPVLFVLF
+MFFGMAFNFIVNDSRKRPIWNVLMWTSLFAGNAVLLCFYSQEWYARQHCPLKNPTFLDYI
+RPRSWTCRYVF
+>tr|W0UTH6|W0UTH6_BOVIN Ribonuclease A G2 OS=Bos taurus OX=9913 GN=RAG2 PE=3 SV=1
+MNLTWTLLLLLLLELTVFASGLPFSRRHIDNPRSWVPGGQHRYCDVMMRRRWLIHRGRCK
+QINTFIHEDLATIADFCTTPAVPCTSSGSLLSCHNSSHDVSVTDCFAKAGTRPPYCHYQK
+KDSIRPICVGCKNGAPAHLDS
+>tr|Q0VCQ9|Q0VCQ9_BOVIN Reticulocalbin 2 OS=Bos taurus OX=9913 GN=RCN2 PE=2 SV=1
+MQLGPRPATLGLLLLCAAAAGAGEAEELHYQQGEHRADYDREALLGGQEEVDEYVKLSPE
+EQHKRLKSIIKKIDLDSDGFLTESELSSWIQMSFKHYAMQEAKQQFIEYDKNSDGSVSWD
+EYNIQMYDRVIDFVENTALDDAEEESFRQLHLKDKKRFEKANQDSGPGLNLEEFIAFEHP
+EEVDYMTEFVIQEALEEHDKDGDGFVSLEEFLGDYRRDPTASEDPEWILVEKDRFMNDYD
+RDADGRLDPQELLSWVVPNNQGIAQEEARHLIDEMDLNSDRKLSEEEILENQDLFLTSEA
+TDYGRQLHDEYFYHDEL
+>tr|A5D7S6|A5D7S6_BOVIN PEF1 protein OS=Bos taurus OX=9913 GN=PEF1 PE=2 SV=1
+MASYPYGQGCPGAGGQAPGAPPGSYYPGPPHGGGQYGSGVPPGGGYGGGPAPGGPYGPPA
+GGGPYGHPHPGGLPSGTPGGPYGGAAPGGPYGTPPPNSFGAGPYGQGPPPPGGVPPNVDP
+EAYSWFQSVDSDHSGYISIKELKQALVNSNWSSFNDETCLMMINMFDKTKSGRIDVYGFS
+ALWKFIQQWKNLFQQYDRDCSGSISYTELQQALSQMGYNLSPQFTQLLVSRYCPRSANPA
+MQLDRFIQVCTQLQVLTEAFREKDTAVQGSVRLSFEDFVTMTASRML
+>tr|A5D7I5|A5D7I5_BOVIN FGD1 protein OS=Bos taurus OX=9913 GN=FGD1 PE=2 SV=1
+MHGHRAPGGAGPSEPEHPTANSPGAASPAYADSDPGASEPGLPVPRGSGSALGSLLDPQF
+AGPSDTSLGVAPGPRVLPCGPSPQHHRALRFSYHLEGSQPRPGMHQGNRILVKSLSLDPG
+QGLEPHPEGPQRLRSDPGPPTETPSQRPSPLKRAPGPKPQVPPKPSYLQMPRMPPPPEPI
+PPPPSRPLPADPRVAKGLASRTEASPSSAAVSSLIEKFEREPVIVASDRPAPGPSPSPTE
+PAMLPQPPPQPPVPQLPEGEASRCLFLLAPGPRDGEKVPNRDSGIDSISSPSNSEETCFI
+SDDGPPSHGLCPGPPALASVPVALADPHRPSSQEVDSDLEEEDDEEEDEKDREVPAPLVE
+RQESVELTVQQKVFHIANELLQTEKAYVSRLHLLDQVFCARLLEEARNRSSFPADVVHGI
+FSNICSIYCFHQQFLLPELEKRMEEWDRYPRIGDILQKLAPFLKMYGEYVKNFDRAVELV
+NTWTERSTQFKVIIHEVQKEEACGNLTLQHHMLEPVQRIPRYELLLKDYLLKLPHGSPDS
+KDAQKSLELIATAAEHSNAAIRKMERMHKLLKVYELLGGEEDIVSPTKELIKEGHILKLS
+AKNGTTQDRYLILFNDRLLYCVPRLRLLGQKFSVRARIDVDGMELKESSNLNLPRTFLVS
+GKQRSLELQARTEEEKKDWVQAINSTLLKHEQTLETFKLLNSTNREDEDTPPNSPNVDLG
+KRAPTPIREKEVTMCMRCQEPFNSITKRRHHCKACGHVVCGKCSEFRARLVYDNNRSNRV
+CTDCYVALHGVPGSSPACSQHTPQRRRSILEKQASVAAENSVICSFLHYMEKGGKGWHKA
+WFVVPENEPLVLYIYGAPQDVKAQRSLPLIGFEVGPPEAGERPDRRHVFKITQSHLSWYF
+SPETEELQRRWMAVLGRAGRGDTFCPGPTLSEDREMEEVPVAASGATAEPSEAPQTRDKT
+>tr|Q08DC1|Q08DC1_BOVIN NG5 OS=Bos taurus OX=9913 GN=PRRT1 PE=2 SV=1
+MSSEKSGLPDSVPHTSPPPYNAPQPPAEPPAPPPQTAPSSHHHHHHHYHQSGTATLPRLG
+AGGLASGAAAQRGPSSSATLPRPPHHAPPGPAAGAPPPGCATLPRMPPDPYLQETRFEGP
+LPPPPPAAAAPPPPATSHTAQAPGFVVPTHTGAVGTLPLGGYVAPGYPLQLQPCTAYVPV
+YPVGTPYAGATPGGTGVTSTLPPPPQGPGLALLEPRRPPHDYMPIAVLTTICCFWPTGII
+AIFKAVQVRTALARGDMVSAEIASREARNFSFISLAVGIAAMVLCTILTVVIIIAAQHHE
+NYWDP
+>tr|Q58DC4|Q58DC4_BOVIN Interferon regulatory factor 9 OS=Bos taurus OX=9913 GN=IRF9 PE=2 SV=1
+MPRISLQTSQLGMLKRKHFWMASGRTRCTRKLRNWVVEQVESGQFPGVCWEDAAKTMFRI
+PWKHAGKQDFREDQDAAFFKAWAIYKGKYREGSSEGPAIWKTRLRCALNKSCEFEEVPEI
+GHRDGAEPYKVYRLLPPGTVPAQSGTQKLPSKRPHSSASSEKKEEEVTAKNCILSPSLLQ
+EPPQNEMVETNGGAGRLDFGSTGSSSSSSSSSGSSSSSSNSPEPQEGRDTAEALFQGELL
+SLEVLPPPDSDYSLLLTFIYNGRVVGEAQVQSLDCRLVAEPSSSQCSMEQVIFPKPDPRE
+PAHRLLNQLQRGVLLASNSRGLFVQRLCPIPISWNAPQMPPGPGPHLLPTNECVELFRTS
+YFCRDLARYFQGLGPPPKFQVTLNFWEENPDPSHTSQSLIAVQMEQAFARRMLKETPEEQ
+AATLSLLQSLQDPVPPLLSIPPVFFETASASLLSTCLPQ
+>tr|A6QP22|A6QP22_BOVIN F-box and leucine rich repeat protein 3 OS=Bos taurus OX=9913 GN=FBXL3 PE=2 SV=1
+MCKEWFFSQVDSSKESAEAACDILSQLVNCSLKTLGLISTARPSFMDLPKSHFISALTVV
+FVNSKSLSSLKIDDTPVDDPSLKVLVANNSDTLKLLKMSSCPHVSPAGILCVADQCHGLR
+ELALNYHLLSDELLLALSSEKHVRLEHLRIDVVSENPGQTHFHTIQKSSWDAFIRHSPKV
+NLVMYFFLYEEEFDPFFRYEIPATHLYFGRSVSKDVLGRVGMTCPRLVELVVCANGLRPL
+DEELIRIAERCKNLSAIGLGECEVSCSAFVEFVKMCGGRLSQLSIMEEVLIPDQKYSLEQ
+IHWEVSKHLGRVWFPDMMPTW
+>tr|A0A3Q1LM53|A0A3Q1LM53_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=RHOBTB2 PE=4 SV=1
+MDSDMDYERPNVETIKCVVVGDNAVGKTRLICARACNATLTQYQLLATHVPTVWAIDQYR
+VCQEVLERSRDVVDDVSVSLRLWDTFGDHHKDRRFAYGRSDVVVLCFSIANPNSLHHVKT
+MWYPEIKHFCPRAPVILVGCQLDLRYADLDAVNRARRPLARPIKPNEILPPEKGREVAKE
+LGIPYYETSVVAQFGIKDVFDNAIRAALISRRHLQFWKSHLRNVQRPLLQAPFLPPKPPP
+PLIVVPDPPSSSEECPAHLLEDPLCADVILVLQERVRIFAHKIYLSTSSSKFYDLFLMDL
+SEGELGGPSGSGGPRSEDHRGHPDQHHHHHHHHHHHGRDFLLRAASFDVCESVEEGGGSG
+PVGLRASTSDGILRGNGTGYLPGRGRVLSSWSRAFVSIQEEMTEDPLTYKSRLMVVVKMD
+NSIQPGPFRAVLKYLYTGELDENERDLMHIAHIAELLEVFDLRMMVANILNNEAFMNQEI
+TKAFHVRRTNRVKECLAKGTFSDVTFILDDGTISAHKPLLISSCDWMAAMFGGPFVESST
+REVVFPYTSKSCMRAVLEYLYTGMFTSSPDLDDMKLIILANRLCLPHLVALTEQYTVTGL
+MEATQMMVDIDGDVLVFLELAQFHCAYQLADWCLHHICTNYNNVCRKFPRDMKAMSPENQ
+QYLEKHRWPPVWYLKEEDHYQRARKEREKEDYLHLKQQPKRRWLFWNSPSSASSSAASSA
+SPSSSSAVV
+>tr|A6QPY5|A6QPY5_BOVIN Upstream transcription factor 2, c-fos interacting OS=Bos taurus OX=9913 GN=USF2 PE=2 SV=1
+MDMLDPGLDPAASATAAAAASHDKGPEAEEGVELQEGGDGPGAEEQTAVAIASVQQAAFG
+DHNIQYQFRTENNGGQVTYRVVQVTDGQLDGQGDTAGAVSVVSTAAFAGGQQAVTQVGVD
+GATQRPGPAAASVPPGPAAPFPLAVIQNPFSNGGSPAAEAVSGEARFAYFPASSVGDTTA
+VSVQTTDQSLQAGGQFYVMMTPQDVLQTGTQRTIAPRTHPYSPKIDGTRTPRDERRRAQH
+NEVERRRRDKINNWIVQLSKIIPDCNADNSKTGASKGGILSKACDYIRELRQTNQRMQET
+FKEAERLQMDNELLRQQIEELKNENAVLRAQLQQHNLEMVGESARQ
+>tr|E1BE66|E1BE66_BOVIN Phosphatidylinositol 4,5-bisphosphate 3-kinase catalytic subunit OS=Bos taurus OX=9913 GN=PIK3CD PE=3 SV=3
+MPPGVDCPMEFWTKEENQNVAVDFLLPTGVYLNFPVSRNANLSTIKKVLWHRAQYEPLFH
+MLSDPEAYVFTCVNQTAEQQELEDEQRRLCDIQPFLPVLRLVAREGDRVKKLINSQISLL
+IGKGLHEFDSLQDPEVNDFRGKMRQFCEEAAARRQQLGWEAWLQYSFPLQLEPSARSWGP
+GTLRVPNRVLLVNVKFEGSEESFTFQVSTKDVPLALMACALRKKATVFRQPLVEQPEDYT
+LQVNGKHEYLYGSYPLCQFQYICSCLHSGLTPHLTMVHSSSILAMRDEQSNPAPQVQKPR
+TKPPPIPMKKPSSVSLWSLEQPFYIELIQGSKVNADERMKLVVQAGLFHGNETLCKTVSS
+SEVSVCSEPVWKQRLEFDINICDLPRMARLCFALYAVIEKAKKARSTKKKSKKADCPIAW
+ANLMLFDYKDQLKTGEHCLYMWPSVPDEKGELLNPCGTVRSNPNTESAAALVIFLPEVAP
+HPVYYPFLDKILELGRLGEHGRFTEEEQLQLREILERRGSGELYEHEKDLVWKMRHEIQE
+HFPEALARLLLVTKWNKHEDVAQMLYLLSSWPELPVLSALELLDFSFPDRHVGSFAIKSL
+RKLTDDELFQYLLQLVQVLKYESYLDCELTKFLLDRALANRRIGHFLFWHLRSEMHVPSV
+ALRFGLIMEAYCRGSTHHMKVLMKQGEALSKLKALNDVVKVSSQKTTKPQTKELMHLCMR
+QETYLEALSHLQSPLDPSTLLAEVCVEQCTFMDSKMKPLWVMYSNEEAGSDGTVGIIFKN
+GDDLRQDMLTLQMIQLMDILWKQEGLDLRMTPYGCLSTGDRTGLIEVVLHSDTIANIQLN
+KSNMAATAAFNKDALLNWLKSKNPGEALDRAIEEFTLSCAGYCVATYVLGIGDRHSDNIM
+IRENGQLFHIDFGHFLGNFKTKFGINRERVPFILTYDFVHVIQQGKTNNSEKFERFRGYC
+ERAYTILRRHGLLFLHLFALMRAAGLPELSCSKDIQYLKDSLALGKTEEEALKHFRVKFN
+EALRESWKTKVNWLAHNVSKDNRQ
+>tr|F6RP65|F6RP65_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC511175 PE=4 SV=1
+MTPLMPHNQKRRSQASDTHALGTILPQKYKAHARDSWSTWELLVISGSMVSLLYIWLYSQ
+SFHFHVAHLYAHLGYPSAQHIVGQRYLKGAGVVKDQEMAMHWFRRASQQNHPHGSFNLEV
+GKLKNITGSMEVGDIEMLLHVAARQGIQEAQELLENIIWTKSKPLPTKRVGSFL
+>tr|Q5EAA6|Q5EAA6_BOVIN Engulfment and cell motility 1 OS=Bos taurus OX=9913 GN=ELMO1 PE=2 SV=1
+MQVVKEQVMRALTTKPSSLDQFKSKLQNLSYTEILKIRQSERMNQEDFQSRPILELKEKI
+QPEILELIKQQRLNRLVEGTCFRKLNCRRRQDKFWYCRLSPNHKVLHYGDLEESPQGEVP
+HDSLQDKLPVADIKAVVTGKDCPHMKEKGALKQNKEVLELAFSILYDSNCQLNFIAPDKH
+EYCIWTDGLNALLGKDMMSDLTRNDLDTLLSMEIKLRLLDLENIQIPDAPPPIPKEPSNY
+DFVYDCN
+>tr|A5PJQ7|A5PJQ7_BOVIN PX domain containing serine/threonine kinase like OS=Bos taurus OX=9913 GN=PXK PE=2 SV=1
+MAFMEKLPAGKVLLDDTVPLTAAIEASQSLQSHTEYIIRVQRGISVENSWQIVRRYSDFD
+LLNNSLQIAGLSLPLPPKKLIGNMDREFIAERQKGLQNYLNVITTNHILSNCELVKKFLD
+PNNYSANYTEIALQHVSMFFRSEPKWEVVEPLKDIGWRIRKKYFLMKIKNQPKERLVLSW
+ADLGPDKYLSDKDFQCLIKLLPSCLHPYIYRVTFATANESSALLIRMFNEKGTLKDLIYK
+AKPKDPFLKKYCNPKKVQGLELQQIKTYGRQVLEVLKFLHDKGFPYGHLHASNVMLDGDT
+CRLLDLENSLLGLPSFYRSYFSQFRKINTLESVDVHCFGHLLYEMTYGRPPDSVPVDSFP
+PAPSMAVVAVLESTLSCEACKNGMPTVSRLLQMPLFSDVLLTTSEKPQFKIPTKLKEALR
+IAKECIEKRLIEEQKQIHQHRRLTRAQSHHGSEEERKRRKILARKKSKRSAVENNEEHSA
+KYSNSNNSAGSGASSPLTSPSSPTPPSTAGMSALPPPPPPPPPPAAAPSPPSSTEAPSQF
+PPQAVNGVSRGALLSSIQNFQKGTLRKAETCDHSAPKIG
+>tr|A6QQR5|A6QQR5_BOVIN TMEM43 protein OS=Bos taurus OX=9913 GN=TMEM43 PE=1 SV=1
+MAANYSSTSNKKDHVRITNKSQPGFLERLSETSGGMFVGLMTFLVSFYLIFTNEGRALKT
+ATSLAEGLSLVVSPSSIHTVAPENEGRLVHVIGALRTSKLLSDPNYGVHLPAVKLRRHVE
+MYQWVETEESREYTEDGQVKTERKYSYNTEWRSEIVNSRNFDREIGHKNPSAMAVESFTA
+TAPFVQIGRFFLSAGLIDKVDNFKTLSLSKLEDPHVDIIRRGDYFYHSENPKYPEVGDLR
+VSFSYAGLSSDDPDLGPAHVVTVIARQRGDQLVPYSTKSGDTLLLLHHGDFSAEEVFRRE
+QKSNSLKTWGLRAAGWAAMFMGLNLMTRILYTLVDWFPVFRDLVNIGVKAFAFCVATSLT
+LLTVAAGWLFYRPLWALGIAGLALVPIIIARTRVPAKKLE
+>tr|A5PJL3|A5PJL3_BOVIN Mitogen-activated protein kinase OS=Bos taurus OX=9913 GN=MAPK12 PE=2 SV=1
+MSSPSSARKGFYRQEVTKTAWEVRVVYQDLQPVGSGAYGAVCSAVDSRTGAKVAIKKLYR
+PFQSELFAKRAYRELRLLKHMRHENVIGLLDVFTPDETLDDFMDFYLVMPFMGTDLGKLM
+KHEKLSEDRVQFLVYQTLKGLKYIHAAGVIHRDLKPSNLAVNEDCELKILDFGLARQADS
+EMTGYVVTRWYRAPEVILNWMHYTQTVDIWSVGCIMAEMITGKTLFKGNDHLDQLKEIMK
+VTGTPPAEFVQRLQSDEAKNYMKGLPELEKKDFASVLTNASPLAVSLLEKMLVLDAERRV
+TAAEALAHPYFESLHDTEDDPQAEKYDESFDDMDRTLDEWKRVTYKEVLSFKPPRQLGAK
+VSKETAL
+>tr|A4IF67|A4IF67_BOVIN ZNF200 protein OS=Bos taurus OX=9913 GN=ZNF200 PE=2 SV=1
+MAAKVVPMPPKPKRSFILRVPPNSKLGQDLLRDATSGPKTIHQLVLEHFLTFLPKPSLVQ
+PSQKIKETLVIVKDVSSNLQNRGQPRPLVKLLPREEIQQKQETVSLCLKSESEKLVVFED
+LNVFHSQEECVSLDPAQQPTSEMEEDSIGEMMLLVSGSNPEGEELQTEPVEDEDHREESS
+DCDEMDCSMVPEQSPDCQKEERLNTSIPKERKMRNLLVTIENDTPLEELSKYVDINVIAL
+TRNRRTRRWYTCPLCGKQFNESSYLISHQRTHTGEKPYDCSHCGKSFNHKTNLNKHERIH
+TGEKPYSCSQCGKNFRQNSHRSRHEGIHIREKILKCPECGKTFPENKEFVIHLQSHKAKR
+PYGCKKCGRRFGRLSNCTRHEKTHSACKTRKQK
+>tr|A6QLS1|A6QLS1_BOVIN Dual specificity protein phosphatase OS=Bos taurus OX=9913 GN=DUSP7 PE=2 SV=1
+MPCKSAEWLQEELEARGGASLLLLDCRPHELFESSHIETAINLAIPGLMLRRLRKGNLPI
+RSIIPNHADKERFATRCKAATVLLYDEATAEWQPEPGAPASVLGLLLQKLRDDGCQAYYL
+QGGFNKFQTEYSEHCETNVDSSSSPSGSPPTSVLGLGGLRISSDCSDGESDRELPSSATE
+SDGSPVPSSQPAFPVQILPYLYLGCAKDSTNLDVLGKYGIKYILNVTPNLPNAFEHGGEF
+TYKQIPISDHWSQNLSQFFPEAISFIDEARSKKCGVLVHCLAGISRSVTVTVAYLMQKMN
+LSLNDAYDFVKRKKSNISPNFNFMGQLLDFERTLGLSSPCDNHTPSEQLYFSTPTNHNLF
+PLNTLEST
+>tr|Q0P5G2|Q0P5G2_BOVIN Chromosome 7 open reading frame 11 ortholog OS=Bos taurus OX=9913 GN=MPLKIP PE=2 SV=1
+MQRQNFRPPTPPYPGPGVGGWGNGSSFRGTPSGGGPRPPSPRDGYGSPHHTPPYGPRSRP
+YGSGLSPRHGGNFPGGRFGSPSPGGYPGNYSKSPAGSQQQFGYSPGQQQTHPQGSPRTST
+PFGSGRGREKRMSNELESYFKPSMLEDPWAGLEPVSVVDISQQYSNTQTFTGKKGRYFC
+>tr|Q3MHJ4|Q3MHJ4_BOVIN Multiple coagulation factor deficiency 2 OS=Bos taurus OX=9913 GN=MCFD2 PE=2 SV=1
+MEHLEGVINKPEAEMSPQELQLHYFKMHDYDGNNLLDGLELSTAITHVHKEEGSEQAPMN
+EDELINLIDGVLRDDDKNNDGYIDYAEFAKSLQ
+>tr|A0A3Q1M0B1|A0A3Q1M0B1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=RUSC1 PE=4 SV=1
+MLSPQRALLCNLNHIHLQHVSLGLHLSRRPELREGPLSTSPPPGDTGGKESRGPCSGTLV
+DANSNSPAVPCRCCQEHGAGLENRQDLAQEEEGAASPSDPGCSSSLSSCSDLSPDESPIS
+VYSRDLPGNEDVHPQPSIVPLEQGSPLASAGPDTCSPDSFCCSPDSCSEASSPSGPGLDS
+NCNALTTGQDLPSPGLEEEEEAEEQDLPTSDLPEADDEKIDAGKTEPSWKINPIWKIDKE
+TTDASWKITENNNSGWKVNGNTIECWKTEHGKFDSSWKTNTGITDSGSKTDAGKIDGGWR
+SDVSEEPVPHRTITSFHELAQKRKRGPGLPLVPQAKKDRSDWLIVFSPDTELPPTGSLGS
+SQAPSREVTTFKELRSRSRAPPPPVPPRDPPAGWALVPPRPPPPPVPPRRKKNRPGLQPI
+AEGQPEEGRVGSPAAGEEAPASKDPEQPGPQAGTEAGPLLLPRPLVFRFSADGRPLLQGG
+SAAAAGSLLLAPLASWPGAGLRLLGAPSTPEEQLLPVRLSPVGAYSPPARGDLPCLASPE
+LALLLSPLFPRSSTFPPVASPPCQVPAPPLPRPPRPPKAPRWTRSPPPPPRLLRSSWSFA
+GVPGAQRLWMAEAQSGTGQLQEQKKGLLIAVSASVDKIISHFGAARNLVQKAQLGDSRLS
+PDVGHLVLTTLCPALHALVADGLKPFRKDLITGQRRSSPWSVVEASVKPGSSTRSLGTLY
+SQVSRLAPLRSSRSRFHAFILGLLNTKQLELWFSSLQEDAGLLSLLYLPTGFFSLARAGC
+PSLSTELLLLLQPLSVLTFHLDLLFEHHHHLPLGPPQAPPPPGSPPALQQTVQAVLHWGG
+RLAQSLRGASGEAPPGPSAPSSSPSPCSWWEQLTQASRVYASGGAEGLPLPRWGSRRTQT
+AAEATQERSPHTEEAAPGRGVWLGRLFGVPGGLAETESGAPKSRRPSSWLPPTVSVLALV
+KRAAPPEAPSSPKELEVSEPSTVQTHRAVRALCDHTAAGPDQLSFQRGEVLRVIATVDED
+WLRCGRDGAEGLVPVGYTSLVL
+>tr|Q0P5G9|Q0P5G9_BOVIN SPRY domain containing 4 OS=Bos taurus OX=9913 GN=SPRYD4 PE=2 SV=1
+MALPFARSLCLCRRGAKRLGAAAAEARRGISFKLEEKTAHSSLLLFKGDTGVKYGMVGLE
+PTKLALNVERFREWAVVLADTAVTSGRHYWEVTVKRSQQFRIGVADVDMSRDSCIGVDDR
+SWVFTYAQRKWHTMLANEKAPVEGMGQPEKVGLLLEYEAQKLSLVDVSRIAVVHILQTDF
+RGPVVPAFALWDGELLTHSGLEVPEGL
+>tr|A6QLC5|A6QLC5_BOVIN PIP5K1A protein OS=Bos taurus OX=9913 GN=PIP5K1A PE=2 SV=1
+MASASSGPSAVGFSSADSSVPPCTSASASGIKRPTASEVPYSSGMSMKKIGHRGVDSSGE
+TTYKKTTSSALKGAIQLGITHTVGSLSTKPERDVLMQDFYVVESIFFPSEGSNLTPAHHY
+NDFRFKTYAPVAFRYFRELFGIRPDDYLYSLCNEPLIELCNSGASGSLFYVSSDDEFIIK
+TVQHKEAEFLQKLLPGYYMNLNQNPRTLLPKFYGLYCVQAGGKNIRIVVMNNLLPRSVKM
+HIKYDLKGSTYKRRASQKEREKPLPTLKDLDFLQDIPDGLFLDADMYNALCKTLQRDCLV
+LQSFKIMDYSLLVSIHNIDHAQREPLGSEAQYSADTRRPAPQKALYSTAMESIQGEARRG
+GTMETDDHMGGIPARNSKGERLLLYIGIIDILQSYRFIKKLEHSWKALVHDGDTVSVHRP
+SFYAERFQRFMCTTVFKKIPLKSSPSKKFRSGSSFSRRAGPSGNSCVTYQPSVSGEHKAQ
+VTTKAEVEPGVHLGRPDVLPQTPPLEKISEVSTIPDPYFSPVVGETLQTLTTSSTLLEKH
+EVPESEFIH
+>tr|G0YQL1|G0YQL1_BOVIN SLAM family member 7 OS=Bos taurus OX=9913 GN=SLAMF7 PE=2 SV=1
+MLGAPACFIFLLCQLTGPAASGIPKKLVGAIGGSVIFPLNLSVNLVDSIIWVFNSTTLVT
+IQPKTAGKKALVIVTQKRNLERVNFPHEGYSLKLSRLKKNDSGIYRVEIHSSTLQDPLTQ
+EYELHVYEYLSKPKVVIGLQENKNGTCVTNLTCSMEHGEEDVTYSWKSLDQTTNESHRGS
+ILPISWRWEKSDMTFICMASNPISSNSSNPIFAQNLCEEIIEEKKELDTHQKTLPFPPIP
+EEMPEYDTISTFNGTIPEENPANTIYSTVHIAPKVTEPYSLPMLSDTPTASIYNNVM
+>tr|Q0P5G8|Q0P5G8_BOVIN Acyl-CoA dehydrogenase family member 11 OS=Bos taurus OX=9913 GN=ACAD11 PE=2 SV=1
+METAASREPNTIEVLPQHKFDCRSLDAYLNQHLPGFGTEPEAKLTVAQYRSGQSNPTFHL
+QKGSQAYVLRKKPPGLLLPKAHKIDREFKVQKALFSIGFPVPKPLLYCSDSSVIGTEFYV
+MEHVQGRIFCDWTIPGVSPAERSAIYVSMIQTLAQLHSLNIQSLQLEGYGRGVGYCKRQV
+STWTKQYQAAAHQDIPAMNQLSDWLMKNLPDNDNEENLIHGDFKLDNVVFHPKESRVIAV
+LDWELSTIGHPLSDLAHLSMFYFWPRTVSLGNQSHHLQENIGIPSMEELISIYCRCRGIN
+SNLPNWNFFLALSFFKMAGIAQGIYHRYLLGNNASENSFQYANVVQPLAETGLRLSKRSF
+STAYSQTDTTRQLFVQTRTGQEVLTRVKQFMKQHILPVEKEVIEFCVQNENSVDKWKKPL
+VIDKLKEMAKAEGLWNLFLPAVSGLTQVDYALIAEETGKCFFAPDIFNCQAPDTGNMEVL
+HLYGSEKQKQQWLEPLLQGNIASCFCMTEPDVASSDATNIECSIQRDGDSYIINGKKWWS
+SGAGNPKCKIAIVMGRINNNSETRHKQHSMILVPINTPGVEIIRPLTVFGYLDNFHGGHF
+EIHFNQVRVPATNLILGEGRGFEIAQGRLGPGRIHHCMRTIGLAERALQIMCERANQRVA
+FKKKLCSHEVVAHWIAESRIAIEEIRLLTLKTARSIDTLGSAAAKKEIAMIKVAAPRAVC
+KIIDRAIQVCGGAGISQDYPLAHMYALTRTLRIADGPDEVHLSAVAKMELRDQAQSLRAK
+I
+>tr|A5PK17|A5PK17_BOVIN EVI5L protein OS=Bos taurus OX=9913 GN=EVI5L PE=2 SV=1
+MASPTLSPDSSSQEALSAPTCSPTSDSENLSPDELELLAKLEEQNRLLEADSKSMRSMNG
+SRRNSGSSLVSSSSASSNLSHLEEDTWILWGRIANEWEEWRRRKEKLLKELIRKGIPHHF
+RAIVWQLLCSATDMPVKNQYSELLKMSSPCEKLIRRDIARTYPEHEFFKGQDSLGQEVLF
+NVMKAYSLVDREVGYCQGSAFIVGLLLMQMPEEEAFCVFVRLMQEYRLRELFKPSMAELG
+LCIYQFEYMLQEQLPDLNTHFRSQSFHTSMYASSWFLTLFLTTFPLPVATRVFDIFMYEG
+LEIIFRVGLALLQVNQTELMQLDMEGMSQYFQRVIPHQFDSCPDKLILKAYQVKYNPKKM
+KRLEKEYAAMKSKEMEEQIEIKRLRTENRLLKQRIETLEKESAALADRLIQGQVTRAQEA
+EENYVIKRELAVVRQQCSSAAEDLQKAQSTIRQLQEQQDNPRLTEDFVAHLETELEQSRL
+RETETLGALREMQDKVLDMEKRNNSLPDENNVARLQEELKALKVREGEAVASARELKLQL
+QELSDTWQAHLSRGGRWKESPRKLVLGELQDELMSVRLREAQALAEGRELRQRVVELETQ
+DHIHRNLLNRVEAERAALQEKLQYLAAQNKGLQTQLSESRRKQAEAECKSKEEVMAVRLR
+EADSMAAVAEMRQRIAELEIQREEGRIQGQLNHSDSSQYIRELKDQIEELKAEVRLLKGP
+PPFEDPLAFDGLGLARHLDEDSLPSSDEELLGVGVGVGAALQDALYPLSPRDARFFRRLE
+RPAKDSEGSSDSDADELAAPYSQGLDN
+>tr|Q17R08|Q17R08_BOVIN Zinc finger protein 45 OS=Bos taurus OX=9913 GN=ZNF45 PE=2 SV=1
+MSKFKEAVTFKDVAVVFTEEEMGLLDLAQRKLYQEVMLENFRNLLSVGHQSFTPDVPSQL
+EREEKLQMIRTAAQSCCSSGNQNLNGIETLQEVGLRYLPHEEFFCSKIWLQVTEELTRCR
+DSMGIIQGIGSQLEKQSDTLYKDEFSKDFSQSSHLQVQRRDYTGGKPYKGVECGKGFIWG
+SYLQMNRTASTGEKPYKCEKCENTFRWFSSLQAHQRVHSRAKLNNHDMSHKGFSQRSYLH
+QHQRVPAGENPHRLEECGRNIRKSSHFQAPLIAHPLEKPYKCEECGLGYSKRSYLHVHQR
+VHTEKKPYQCEECGKGFSWHSRLQAHQRIHTGEKPYKCDACGKGFSYSSHLNIHCRIHTG
+EKPYKCEECGKGFSVGSHLQAHQISHTGEKPYKCEECGKGFCRASNLLDHQRGHSGEKPY
+QCDACGKGFSRSSDFNIHFRVHTGEKPYKCEECGKGFSQASNLLAHQRGHTGEKPYKCGT
+CGKGFSRSSDLNVHCRIHTGEKPYKCEKCGKAFSQFSSLQVHQRVHTGEKPYQCAECGKG
+FSVGSQLQAHQRCHTGEKPYQCEECGKGFCRASNFLAHRGVHTGEKPYRCDVCGKRFRQR
+SYLQAHQRVHTGEKPYKCEECGKVFSWSSYLQAHQRVHTGEKPYKCEECGKGFSWSSSLT
+IHQRVHAGDEGDKDFASSENTYSKESL
+>tr|A6H797|A6H797_BOVIN MLEC protein OS=Bos taurus OX=9913 GN=MLEC PE=1 SV=1
+MLGARAVEGAAVALLRLLLLLLPALGVVRLAGAGLPESVIWAVNAGGEAHVDVHGIHFRK
+DPLEGRVGRASDYGMKLPILRSNPEDQILYQTERYNEETFGYEVPIKEEGDYVLVLKFAE
+VYFAQSQQKVFDVRLNGHVVVKDLDIFDRVGHSTAHDEIIPMSIRKGKLSVQGEVSTFTG
+KLYIEFVKGYYDNPKVCALYIMAGTVDDVPKLQPHPGLEKKEEEEEEEEYDEGSNLKRQT
+NKNRVQSGPRTPNPYASDNSSLMFPILVAFGVFIPTLFCLCRL
+>tr|A1A4K7|A1A4K7_BOVIN Chromosome 20 open reading frame 108 ortholog OS=Bos taurus OX=9913 GN=FAM210B PE=2 SV=1
+MAGLLALLSPAGRVGARVRCRATWLLGAAAPCVPPPVFLPLLGPGPDAQLLRAARGNYQG
+RQDPSKITVTTGSNIKGTEEEKLSKSQQLKKIFQEYGAVAVSLHIGISLISLGMFYMVIS
+SGVDMSAVLLKLGFKESLVQSKMAAGTSTFVVAYAIHKLFAPVRISITLVSVPLIVRYFR
+KVGIFKPPAAKP
+>tr|G5E630|G5E630_BOVIN USP9X OS=Bos taurus OX=9913 GN=USP9X PE=3 SV=1
+MTATTRGSPVGGNDSQGQAPDGQSQPALQQNQTSSPDSSNENSPATPPDEQGQGDAPSQL
+EDEEPAFPHTDLAKLDDMINRPRWVVPVLPKGELEVLLEAAIDLSKKGLDVKSEACQRFF
+RDGLTISFTKILTDEAVSGWKFEIHRCIINNTHRLVELCVAKLSQDWFPLLELLSMALNP
+HCKFHIYNGTRPCESVSSNVQLPEDELFARSPDPRSPKGWLVDLLNKFGTLNGFQILHDR
+FINGSALNVQIIAALIKPFGQCYEFLTLHTVKKYFLPVIEMVPQFLENLTDEELKKEAKN
+EAKNDALSMIIKSLKNLASRVPGQEETVKNLEIFRLKMILRLLQISSFNGKMNALNEVNK
+VISSVSYYTHRHGNPEEEEWLTAERMAEWIQQNNILSIVLRDSLHQPQYVEKLEKILRFV
+IKEKALTLQDLDNIWAAQAGKHEAIVKNVHDLLAKLAWDFSPEQLDHLFDCFKASWTNAS
+KKQREKLLELIRRLAEDDKDGVMAHKVLNLLWNLAHSDDVPVDIMDLALSAHIKILDYSC
+SQDRDTQKIQWIDRFIEELRTNDKWVIPALKQIREICSLFGEAPQNLSQTQRSPHVFYRH
+DLINQLQHNHALVTLVAENLATYMESMRLYARDHEDYDPQTVRLGSRYSHVQEVQERLNF
+LRFLLKDGQLWLCAPQAKQIWKCLAENAVYLCDREACFKWYSKLMGDEPDLDPDINKDFF
+ESNVLQLDPSLLTENGMKCFERFFKAVNCREGKLVAKRRAYMMDDLELIGLDYLWRVVIQ
+SNDDIASRAIDLLKEIYTNLGPRLQVNQVVIHEDFIQSCFDRLKASYDTLCVLDGDKDSI
+NCARQEAVRMVRVLTVLREYINECDSDYHEERTILPMSRAFRGKHLSFIVRFPNQGRQVD
+DLDVWSHTNDTIGSVRRCILNRIKANVAHTKIELFVGGELIDPADDRKLIGQLNLKDKSL
+ITAKLTQISSNMPSSPDSSSDSSTGSPGNHGNHYSDGPNPEVESCLPGVIMSLNPRYISF
+LWQVADLGSSLNMPPLRDGARVLMKLMPPDSTTIEKLRAICLDHAKLGDSSLSPSLDSLF
+FGPSASQVLYLTEVVYALLMPAGALLADDSSDFQFHFLKSGGLPLVLSMLTRNNFLPNAD
+METRRGAYLNALKIAKLLLTAIGYGHVRAVAEACQPGVEGVNPMASVNQVTHDQAVVLQS
+ALQSIPNPSSECMLRNVSIRLAQQISDEASRYMPDICVIRAIQKIIWASGCGALQLVFSP
+NEEITKIYEKTNAGNEPDLEDEQVCCEAMEVMTLCFALIPTALDTLSKEKAWQTFIIDLL
+LHCHSKTVRQVAQEQFFLMCTRCCMGHRPLLFFITLLFTVLGSTARERAKHSGDYFTLLR
+HLLNYAYNSNINIPNAEVLLNNEIDWLKRIRDDVKRTGETGIEETILEGHLGVTKELLAF
+QTPEKKYHIGCEKGGANLIKELIDDFIFPASNVYLQYMRNGELPAEQAIPVCGSPATINA
+GFELLVALAVGCVRNLKQIVDSLTEMYYIGTAITTCEALTEWEYLPPVGPRPPKGFVGLK
+NAGATCYMNSVIQQLYMIPSIRNGILAIEGTGSDVDDDLSGDEKQDNESNVDPRDDVFGY
+PQQFEDKPALSKTEDRKEYNIGVLRHLQVIFGHLAASRLQYYVPRGFWKQFRLWGEPVNL
+REQHDALEFFNSLVDSLDEALKALGHPAMLSKVLGGSFADQKICQGCPHRYECEESFTTL
+NVDIRNHQNLLDSLEQYVKGDLLEGANAYHCEKCNKKVDTVKRLLIKKLPPVLAIQLKRF
+DYDWERECAIKFNDYFEFPRELDMEPYTVAGVAKLEGDNVNPESQLIQQNEQSDSETAGS
+TKYRLVGVLVHSGQASGGHYYSYIIQRNGGDGERNRWYKFDDGDVTECKMDDDEEMKNQC
+FGGEYMGEVFDHMMKRMSYRRQKRWWNAYILFYERLDTIDQGDELIRYISELAITARPHQ
+IIMPSAIERSVRKQNVQFMHNRMQYSMEYFQFMKKLLTCNGVYLNPPPGQDHLLPEAEEI
+TMISIQLAARFLFTTGFHTKKIVRGSASDWYDALCILLRHSKNVRFWFAHNVLFNVSNRF
+SEYLLECPSAEVRGAFAKLIVFIAHFSLQDGPCPSPFASPGPSSQAYDNLSLSDHLLRAV
+LNLLRREVSEHGRHLQQYFNLFVMYANLGVAEKTQLLKLSVPATFMLVSLDEGPGPPIKY
+QYAELGKLYSVVSQLIRCCNVSSRMQSSINGNPPLPNPFGDPNLSQPIMPIQQNVADILF
+VRTSYVKKIIEDCSNSEETVKLLRFCCWENPQFSSTVLSELLWQVAYSYTYELRPYLDLL
+LQILLIEDSWQTHRIHNALKGIPDDRDGLFDTIQRSKNHYQKRAYQCIKCMVALFSNCPV
+AYQILQGNGDLKRKWTWAVEWLGDELERRPYTGNPQYTYNNWSPPVQSNETSNGYFLERS
+HSARMTLAKACELCPEEEPDDQDAPDEHESPPPEDAPLYPHSPGSQYQQNNHVHGQPYTG
+PAAHHMNNPQRTGQRAQENYEGSEEVSPPQTKDQ
+>tr|A0A3Q1N1C8|A0A3Q1N1C8_BOVIN Spermatogenesis associated serine rich 2 like OS=Bos taurus OX=9913 GN=SPATS2L PE=4 SV=1
+MAELNTHVNVKEKIYAVRSVVPNKSNNEIVLVLQQFDFNVDKAVQAFVDGSAIQVLKEWN
+MTGKKKNNKRKRSKSKQHQGNKDAKDKAERPEAGPMQPPPPQIQNGHINGCEKDSSSTDS
+ASEKPALPPREKKISILEEPSKALRGVTEGNRPLQQKLSLDGNPKPIHGTPERSDGLQWS
+AEQPCNPSKPKTKTSPVKSNAPAAHLEIKPDELAKKRGPNIEKSVKDLQRCTVSLTRYRV
+MIKEEVDSSVKKIKAAFAELHNCIIDKEVSLMAEMDKVKEEAMEILTARQKKAEELKRLT
+DLASQMAEMQLAELRAEIKHFVSERKYDEELGKAARFSCDIEQLKAQIMLCGEITHPKNN
+YSSRTPCSSLLPLLSAHTATSGKQSNFTRKSSSHNKPSEGKAANPKMASNLPSTADSSHQ
+AVPANKQNGSSSQRRRFNPQYHNNRLNGSAKPQGSGNEADLMAKSNNRHEHRRQPHNGFR
+PKNKGGAKNQEASLGTKTPEAPAHPEKPRRRQHAADNAEARPFRGNVARVSQCNLCPTRI
+EVSTDATVLSVPAVTLVA
+>tr|Q5E9M7|Q5E9M7_BOVIN Three prime repair exonuclease 1 isoform b OS=Bos taurus OX=9913 GN=TREX1 PE=2 SV=1
+MGSRALPPGPVQTLIFLDLEATGLPFSQPKITELCLLAVHRYALEGLSAPQGPSPTAPVP
+PRVLDKLSLCVAPGKVCSPAASEITGLSTAVLAAHGRRAFDADLVNLIRTFLQRQPQPWC
+LVAHNGDRYDFPLLRAELALLGLASALDDAFCVDSITALKALEPTGSSSEHGPRKSYSLG
+SVYTRLYGQAPPDSHTAEGDVLALLSVCQWRPRALLRWVDAHAKPFSTVKPMYVITTSTG
+TNPRPSAVTATVPLARASDTGPNLRGDRSPKPAPSPKMCPGAPPGEGLLAPLGLLAFLTL
+AVAMLYGLSLAMPGQ
+>tr|A7MBB2|A7MBB2_BOVIN CYTIP protein OS=Bos taurus OX=9913 GN=CYTIP PE=2 SV=1
+MSLQKLRKQNSNGNFMEYCAGPGHSSYSTLTGSFTVDDDNRRLQMLADTVATLPRGRKQL
+ALTRSSSVGDFSWSQRKLVIVEKQDNGTFGFEIQTYRLPNQNTCSADMCTLICKIQEDSP
+AHCAGLQAGDVLAKINGVSTEGFTHKQVVDLIRSSGNLLTIETLNGTMILKRTELEAKLQ
+VLKQTLKKKWVEFRSLQLQEQRLLHGDATNCPSLENMDIDEFTLLGPLPGAALRDRNRLS
+SASSCKSWLSSMTIDSEDGYQTGVSEDSGRDAFSRQTSTDDECFVLKEGDDFLKRSSSRR
+NRSISSASSGSMSPLCEGNFSGMYGTLPRKSRRGSVRKQLLKFIPGLHHAVEEEESRF
+>tr|A0A3Q1MGJ2|A0A3Q1MGJ2_BOVIN Solute carrier family 7 member 7 OS=Bos taurus OX=9913 GN=SLC7A7 PE=4 SV=1
+MVDDVQYEVASQHERDATPLANEASPKPEQVKLKKEISLLNGVCLIVGNMIGSGIFVSPK
+GVLIYSASFGLSLVIWAIGGLFSVFGALCYAELGTTIKKSGASYAYILEAFGGLLAYVRL
+WTSLLIIEPTSQAVIAITFANYLVQPIFPNCLAPYAAVRLLAAACICLLTFINCAYVKWG
+TLVQDVFTYAKVLALIAIIIAGIVRLGQGASTHFENSFEGSSFSVGDIALALYSALFSYS
+GWDTLNYVTEEIQNPERNLPLSIGISMPIVTIIYILTNVAYYTVLNMRDILASDAVAVTF
+ADQIFGIFNWTIPLAVALSCFGGLNASIVAASRLFFVGSREGHLPDTICMIHVERFTPVS
+ALLFNGLMALIYLCVEDIFKLINYYSFSYWFFVGLSIVGQLYLRWKEPNRPRPLKLSLFF
+PIVFCLCTVFLVAVPLYSDTINSLIGIGIALSGLPFYFFIIRVPEHKRPLWLRRIVGKPW
+ILKSAQLLYSLGLVFFSFATLTLPSHLCHSSYLLLKFN
+>tr|Q0MQ71|Q0MQ71_BOVIN LOC508140 OS=Bos taurus OX=9913 GN=OR10H1 PE=3 SV=1
+MQGANFSAVTEFILIGFSTFPHLQLMFFLLFLLMYLFTLLGNLLIMATIWREHSLHTPMY
+LFLCALSISEILYTFAIIPRMLADLLSTDRSISFTACASQMFFSFMFGFTHSFLLTVMGY
+DRYVAICHPLRYNVLMSPRGCACLVAWSWAGGSVMGLVVTSAIFHLIFCGPNEVHHFACH
+VPPLLKLACGTEVQVVAKGVGLVCITALLGCGLLILLSYAFIVAAILRIPSAEGRHKAFS
+TCASHLTVVVVHYGFASVIYLKPKGPQSLEGDTLMGITYTVLTPFLSPIIFSLRNKELKI
+AMKKTFLSKLYPEKI
+>tr|Q0VCE4|Q0VCE4_BOVIN Homeobox protein MSX-1 OS=Bos taurus OX=9913 GN=MSX1 PE=2 SV=1
+MAPAADMTSLPLGVKVEDSAFGKPAGGGGGQTPSTTAATAAAMGADEEGAKPKVSPSLLP
+FSVEALMADHRKPGAKDSVLAASEGAQAAGGSAQPLGARPGSLGAPDAPSSPRPLGHFSV
+GGLLKLPEDALVKAESPEKPERTPWMQNPRFSPPPSRRLSPPACTLRKHKTNRKPRTPFT
+TAQLLALERKFRQKQYLSIAERAEFSSSLSLTETQVKIWFQNRRAKAKRLQEAELEKLKM
+AAKPMLPPAAFGLSFPLGGPAAVAAAAGASLYGASGPFQRAALPVAPVGLYTAHVGYSMY
+HLT
+>tr|Q3T081|Q3T081_BOVIN Cytochrome c oxidase assembly factor 1 homolog OS=Bos taurus OX=9913 GN=COA1 PE=2 SV=1
+MSMPLGKQVFFAGVAASGSCAILYYLVQKTFSRASYYQLALEQLHSHPEALEALGTPLNV
+HYLRLTDKYNFVDIADAKLKIPVSGPKSEGHLYVSSSRDAPFKRWNLQEVFLELKDGQQI
+PLFKPSRDNDEVKKE
+>tr|F1N3T9|F1N3T9_BOVIN Fibroblast growth factor OS=Bos taurus OX=9913 GN=FGF2 PE=2 SV=1
+MAAGSITTLPSLPEDGGSGAFPPGHFKDPKRLYCKNGGFFLRIHPDGRVDGVREKSDPHI
+KLQLQAEERGVVSIKGVCANRYLAMKEDGRLLASKCVTDECFFFERLESNNYNTYRSRKY
+SSWYVALKRTGQYKLGPKTGPGQKAILFLPMSAKS
+>tr|Q32LA0|Q32LA0_BOVIN Hypothetical LOC505226 OS=Bos taurus OX=9913 GN=MGC134574 PE=2 SV=1
+MERLTLPPGGAAAVDEYLEYRRIVGEDDGGKLFTPEEYEEYKRKVLPMRLQNRLYVSWQS
+PTGMDCKLVGPETLCFCTHRYKQHKTDFETIPPQRPISLPCQVRGCPCRAYVYVPLNGTQ
+PIRCRCKHFADQHSAAPGFVCNACSKCSGFHSCFTCACGQPAYAHDTVVETKQERLAQGK
+PVGQDVPYAAMGGLTGFSSLAEGYIRLDDSGIGAPSAEFLDSPVMAMDHPFLKAFQASSS
+SSPETLTAFPQRILRLLTKQHTMKKL
+>tr|E1BN14|E1BN14_BOVIN G-patch domain and KOW motifs OS=Bos taurus OX=9913 GN=GPKOW PE=4 SV=1
+MADAEDGVLRPAGSSTAPISFSFNRTSARRWLAGDAAPEEKDFLKTVEGRELQSVKPSEA
+PKELVIPLIQNGHRRQPPTQAPGPSTHTEVLMDGMLSQAVKELIEESKKSLEERENTGVD
+PTLAIPMIQKGCTPNREGTDSEPQAETVPEEADYEAVPVEAYGLAMLRGMGWKPGEGIGR
+TFSQVVKPRVNSLRPKGLGLGANLTEVQAQAQAPASPYRLPRPDEEQEKDKEDQPKGLVP
+GGAVVVLSGPHRGLYGKVEGLDPDNVRAMVRLAVGSRMVTVSEYCLRPVSQKEFDKNLNL
+SQVSRTSPGEHHRTTSPRKTLRDQDSHICWEDAERKRKHHPDRQDGPATKNEKAAPRSQH
+WLHRDLRVRFVDKLHKGGQYYNTKMTIEDVLSPDTCVCRTDEGQVLEGLREDMLETLVPK
+VPGDQVMVVLGQWAGRVGRLLDRDRARSRALVQLQREDRVVELHYDAICQFVGLWDVEED
+>tr|A6QQS0|A6QQS0_BOVIN D4, zinc and double PHD fingers family 2 OS=Bos taurus OX=9913 GN=DPF2 PE=2 SV=1
+MAAVVENVVKLLGEQYYKDAMEQCHNYNARLCAERSVRLPFLDSQTGVAQSNCYIWMEKR
+HRGPGLASGQLYSYPARRWRKKRRAHPPEDPRLSFPSIKPDTDQTLKKEGLISQDGSSLE
+ALLRTDPLEKRGAPDPRVDDDSLGEFPVTNSRARKRILEPDDFLDDLDDEDYEEDTPKRR
+GKGKSKSKGVGSARKKLDASILEDRDKPYACDICGKRYKNRPGLSYHYAHSHLAEEEGED
+KEDSQPPTPVSQRSEEQKSKKGPDGLALPNNYCDFCLGDSKINKKTGQPEELVSCSDCGR
+SGHPSCLQFTPVMMAAVKTYRWQCIECKCCNICGTSENDDQLLFCDDCDRGYHMYCLTPS
+MSEPPEGSWSCHLCLDLLKEKASIYQNQNSS
+>tr|Q24JZ3|Q24JZ3_BOVIN SPT7 like, STAGA complex gamma subunit OS=Bos taurus OX=9913 GN=SUPT7L PE=2 SV=1
+MLRYWGEIPISSSQTNRSSFDLLPREFRLVEVHDPPLHQPSANKPKPPTMLDIPSEPCSL
+TIHTIQLIQHNRRLRNLIAMAQAQNQQQTEGVKTEETEPLPSCPGSPPLPDDLLPLDCKN
+PSAPFQVWHSDPESDFYRGKGEPVTELSWHSCRQLLYQAVATILAHTGFECANESVLETL
+TDVAHEYCLKFTKLLRFAVDRESRLGQTPFPDVMEQVFHEVGIGSVLSLQKFWQHRIKDY
+HSYMLQISKQLSEEYERIVNPEKATEDTKPVKIKEEPVSDITFPVSEELEADLASGDQSL
+PMGVLGTQTERFPSNLEVEASPQASSTEVNASPLWNLAHVKMEPQESEEGNVSGHGVLGS
+DVFEEPMSGMSEAGIPQSPDDSDSSYGSHSTDSLMGSSPVFNQRCKKRMRKI
+>tr|A2VEA0|A2VEA0_BOVIN CSMD3 protein OS=Bos taurus OX=9913 GN=CSMD3 PE=2 SV=1
+MKGIRKGESRAKESKPREPGTRKCAKCGRLDFILMKKMGIKSGFTFWNLVFLLTVSCVKG
+RLAFGVPSALDGGELTCRQRRVAYFAICTDKIIMIILKESIHSSFSPSDRKCILLARFGV
+QCSD
+>tr|Q17QV5|Q17QV5_BOVIN Transient receptor potential cation channel, subfamily M, member 3 OS=Bos taurus OX=9913 GN=TRPM3 PE=2 SV=1
+MYVRVSFDTKPDLLLHLMTKEWQLELPKLLISVHGGLQNFELQPKLKQVFGKGLIKAAMT
+TGAWIFTGGVNTGVIRHVGDALKDHASKSRGKICTIGIAPWGIVENQEDLIGRDVVRPYQ
+TMSNPMSKLTVLNSMHSHFILADNGTTGKYGAEVKLRRQLEKHISLQKINTRCLPFFSLD
+SRLFYSFWGSCQLDPIAYIYIRNISLSSLDALTCSASSLKIITTGRLNHSRRRPSKIHDQ
+LTVDCNNLPFS
+>tr|M5FMW6|M5FMW6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC505800 PE=4 SV=1
+MCFAKRDPRVLASFRVLSHNLVDEFFDTMENEPEGAQMEAVLAETKEKFIKDAFKVMDNH
+IQENSPETLKESSPLLQEAQQEVRCRIQRRSVSTSLEVQNPEESIWARALRQFLGILQSF
+LSGCRDALTWLWEKAAACLQAVCSAVEALWEVLTDFCSFVGQLLCRSLIQV
+>tr|A8NHP1|A8NHP1_BOVIN DGCR6L protein OS=Bos taurus OX=9913 GN=DGCR6L PE=2 SV=1
+MERCSGALEEAADGARQQERHYQLLSALQGLVKELPSSFQQRLSYTTLSDLALALLDGTV
+FEIVQGLLEIQHLTEKSLYNQRLRLQNEHRVLRQALRQKHQEAQQACRPHNLPVLQAAQQ
+RELEAVEHRIREEQRAMDQKIVLELDRKVADQQSTLEKAGVAGFYVTTNPQELTLQMNLL
+ELIRKLQQRGRQAGKVAL
+>tr|A6H714|A6H714_BOVIN Mtr4 exosome RNA helicase OS=Bos taurus OX=9913 GN=MTREX PE=2 SV=1
+MADAFGDELFSVFEDDSTTAPGAKKDKEKGKWKGPPGSAEKAGRCFDGKLQSESTNVGKN
+KRDADFEGADEPIFGKKPRVEESITEDLSLADLMPRVKVQSVETVEGCTHEVALPADEDY
+LPLKPRVGKAAKEYPFILDAFQREAIQCVDNNQSVLVSAHTSAGKTVCAEYAIALALREK
+QRVIFTSPIKALSNQKYREMYEEFQDVGLMTGDVTINPTASCLVMTTEILRSMLYRGSEV
+MREVAWVIFDEIHYMRDSERGVVWEETIILLPDNVHYVFLSATIPNARQFAEWICHLHKQ
+PCHVIYTDYRPTPLQHYIFPAGGDGLHLVVDENGDFREDNFNTAMQVLRDAGDLAKGDQK
+GRKGGTKGPSNVFKIVKMIMERNFQPVIIFSFSKKDCEAYALQMTKLDFNTDEEKKMVEE
+VFSNAIDCLSDEDKKLPQVENVLPLLKRGIGIHHGGLLPILKETIEILFSEGLIKALFAT
+ETFAMGINMPARTVLFTNARKFDGKDFRWISSGEYIQMSGRAGRRGMDDRGIVILMVDEK
+MSPTIGKQLLKGSADPLNSAFHLTYNMVLNLLRVEEINPEYMLEKSFYQFQHYRAIPGVV
+EKVKNSEEQYNKIVIPNEESVVIYYKIRQQLAKLGKEIEEYIHKPKYCLPFLQPGRLVKV
+KNEGDDFGWGVVVNFSKKSNVKPNSGELDPLYVVEVLLRCSKESLKNSATEAAKPAKPDE
+KGEMQVVPVLVHLLSAISSVRLYIPKDLRPLDNRQSVLKSIQEVQKRFPDGVPLLDPIDD
+MGIQDQGLKKVIQKVEAFEHRMYSHPLHNDPNLETVYTLCEKKAQIAIDIKSAKRELKKA
+RTVLQMDELKCRKRVLRRLGFATSSDVIEMKGRVACEISSADELLLTEMMFNGLFNDLSA
+EQATALLSCFVFQENSSEMPKLTEQLAGPLRQMQECAKRIAKVSAEAKLEIDEETYLSSF
+KPHLMDVVYTWATGATFAHICKMTDVFEGSIIRCMRRLEELLRQMCQAAKAIGNTELENK
+FAEGITKIKRDIVFAASLYL
+>tr|A6QLQ0|A6QLQ0_BOVIN RNF2 protein OS=Bos taurus OX=9913 GN=RNF2 PE=2 SV=1
+MSQAVQTNGTQPLSKTWELSLYELQRTPQEAITDGLEIVVSPRSLHSELMCPICLDMLKN
+TMTTKECLHRFCADCIITALRSGNKECPTCRKKLVSKRSLRPDPNFDALISKIYPSRDEY
+EAHQERVLARINKHNNQQALSHSIEEGLKIQAMNRLQRGKKQQIENGSGAEDNGDSSHCS
+NASTHSNQEAGPSNKRTKTSDDSGLELDNNNATVAIDPVMDGASEIELVFRPHPTLMEKD
+DSAQTRYIKTSGNATVDHLSKYLAVRLALEELRSKGESNQMNLDTASEKQYTIYIATASG
+QFTVLNGSFSLELVSEKYWKVNKPMELYYAPTKEHK
+>tr|Q2ABC3|Q2ABC3_BOVIN Taste receptor type 2 OS=Bos taurus OX=9913 GN=TAS2R4 PE=3 SV=1
+MLRIVFFSSVVVSEILTFVGLIVNLFIVVVSYKTCIKSHRISSSDRLLFSLGITRFFILL
+LNVVVIISPNMERSVSLSYFFLSCWMFLDCSSLWFVTLLNVLYCVKIANYQHSVFLLLKR
+NLTTKMPRLLLVCMLLSVFTTLLYIMLRQLAPSLEFVTMRNGTVFDINEGLLSLVTPLVL
+SSFLQFIINVTSASLLINSLKRHIQKMQRSATVLWNPQTEAHVGAMKLMICFLVLYIPYS
+VATLVHYLPPSIGMDLRTKSIYVIMSTIYPPGHSLLIILTHPKLKTKAKNILCFSK
+>tr|F1MRU5|F1MRU5_BOVIN Lymphocyte antigen 6 complex locus G6F OS=Bos taurus OX=9913 GN=LY6G6F PE=2 SV=2
+MAVLFLLLLFLCGLPQAETDSIQAIYVVLGEALELPCPSPPALNGDEFLSWFHSPAAGSS
+TALVAHIQVARPSRDPGKPRRESRLTLLGNYSLWLEGSKAGDAGRYWCAVLGQRYRYQNW
+RVYDVSVLRGSQFSARAADGSSCSVLLCSVVPARSLDSVTWQEGKGPVKGDVQSFWGDGA
+TLLLVCPGEGLPEPRARKPGIIRCLVPQNKGISFSLAGEKVG
+>tr|Q2HJI7|Q2HJI7_BOVIN Mal, T-cell differentiation protein-like OS=Bos taurus OX=9913 GN=MALL PE=2 SV=1
+MASRDPPATSHAPPDVPSGVSLFLTIPYAFFLPELIFGVWVWILVAATQVASPLLQGWVM
+YVSLTSFLISLMLLLSYVFGFYKRYESWKILDSLYHGTTGILYMSAAVLQVHATIVSETQ
+DLKNYYINTAASFFAFVTTLLYILHAFSIYYH
+>tr|A0A2Z2AM52|A0A2Z2AM52_BOVIN Killer cell lectin-like receptor subfamily C1 member 4 OS=Bos taurus OX=9913 GN=KLRC1-4 PE=4 SV=1
+MNNQGATYAELKGVKNSKRQKRKPKVSKSSISMTEQELTYVELNFQNAPQNLHGNDRNHR
+SKGSPSPPEKFIAGILGIICLVLMSIVVRMIVVTPSTVIRKQNYSSLTTRLQKECHCGHC
+PKDWITYSNNCYYTSLEKKSWNESLISCANKNSTLLYIDNEEEMKFLMSLSIISWIQVSR
+EGRGRPWKWLNGSTCNLQITDNVPDEHNCAVQYLWGIKAEDCQFPNTYHCKHKLEN
+>tr|A7YWA1|A7YWA1_BOVIN TWIST neighbor OS=Bos taurus OX=9913 GN=TWISTNB PE=2 SV=1
+MAAGCSVAPRPKAASEGPAGVLPCLELPTYAAACALVNSRYSCLVAGPHRRHIALSPRYL
+NKKRTGIREQLNAELLRYSESLLGVPIAYDNIKVVGELGDIYDDQGHIHLNIEADFVIFC
+PEPGQKLMGTVNKVSSSHIGCLVHGCFNASIPKPEQMPADQWQTLQINVGDELEFEVFRL
+DSDAAGVFCIRGKLSIASLQTKCSAVPEEAPETGADEPVEKPPKKKKKKKKDREPCEVEG
+GATEPEDFAEVATKDEADLHVSNSVNGLWEEEPKKKKKKKKQEHQDQEPVFQGSDSSGYQ
+SDHTKKKKKRKSEEAEFTPLVERTPKKKREK
+>tr|A0A3Q1LU49|A0A3Q1LU49_BOVIN Nuclear respiratory factor 1 OS=Bos taurus OX=9913 GN=NRF1 PE=4 SV=1
+MEEHGVTQTEHMATIEAHAVAQQVQQVHVATYTEHSMLSADEDSPSSPEDTSYDDSDILN
+STAADEVTAHLAAAGPVGMAAAAAVATGKKRKRPHVFESNPSIRKRQQTRLLRKLRATLD
+EYTTRVGQQAIVLCISPSKPNPVFKVFGAAPLENVVRKYKSMILEDLESALAEHAPAPQE
+VNSELPPLTIDGIPVSVDKMTQAQLRAFIPEMLKYSTGRGKPGWGKESCKPIWWPEDIPW
+ANVRSDVRTEEQKQRVSWTQALRTIVKNCYKQHGREDLLYAFEDQQTQTQATTTHSIAHL
+VPSQTVVQTFSNPDGTVSLIQVGTGATVATLADASELPTTVTVAQVNYSAVADGEVEQNW
+ATLQGGEMTIQTTQASEATQAVASLAEAAVAASQEMQQGATVTMALNSEAAAHAVATLAE
+ATLQGGGQIVLSGETAAAVGALTGVQDANGVITGSTQFWKTPRGLVQIPVSMYQTVVTSL
+AQGNGPVQVAMAPVATRISDSAVTVDGQAVEVVTLEQ
+>tr|A4IFS8|A4IFS8_BOVIN SECTM1 protein OS=Bos taurus OX=9913 GN=SECTM1 PE=2 SV=1
+MLTSASTLLAPRMFWVLLLLAAFRSAQSGTWDNPKCTRGVVSVPRGKPATMSCGISNAFS
+HINVSVKVNHTAPWKLICSVKPPGDFCQDGWDLWIWEGQAYLVTDKARDTQAGQYKWTLQ
+GRQINTEITTLTVVDSHCLPLTPSAGWQVNIPHSDLNLEYTEPDPVNLPDPCPGCPPQPA
+DLHTGVVPKAWLPELQAPEEHE
+>tr|A0A3Q1LW61|A0A3Q1LW61_BOVIN PCNA-interacting partner OS=Bos taurus OX=9913 GN=PARPBP PE=4 SV=1
+MAGLNQKSILDMIKEFRRNWHTLCNSERTTVCGADSMLLALQLSMAENNKQHSGEFTVSL
+SDIILTWKYFLHEKLNLPVENIKVIDHYEDIRKIYDDFLKNSNMLDLIDVYKKCSDLTSN
+CENYANISPSRLLDFLSGREYAVDDETDFSEPVSPMSKHNQENEKVQLLAKKIIYSYLNL
+LVNSKNDLALAHILNIPDRGLGREAFTDLKHAAREKQMSIFLVATSFIRTIELGGKGYAP
+SPSDPLRAHIKGLSNFINFIDKLDEILGEVSNPSIAGGRILSVIKMQLIKGQNSRDPFYK
+AVEEVAQDLDLRIKNIINSQQGDVALSTTDISPARPKSHTINHGTAYCGRDTVKALLVLL
+DEEAASAPTKNKAELLYDNENTIHPNGTSVLTLFRSPTQVDNSPMKPLRERIYKSMEEKK
+IKMKQTSIRSQFACTYKDDCMISKDKWNNVNSASEPLCVLHMENDLSEGVNSSVGRPTIG
+TSSGNVHLGRSEKEKVARKSSSLTGNTSSKRKQVDLDDENILCDNGNEPLQHKIVKIPKT
+SKDLQNKLDGKLLRVAKSNRCTTKDKLITGQTKLTQFFRL
+>tr|Q17QV0|Q17QV0_BOVIN Poly(RC) binding protein 3 OS=Bos taurus OX=9913 GN=PCBP3 PE=2 SV=1
+MESKVSEGGLNVTLTIRLLMHGKEVGSIIGKKGETVKKMREESGARINISEGNCPERIVT
+ITGPTDAIFKAFAMIAYKFEEDIINSMSNSPATSKPPVTLRLVVPASQCGSLIGKGGSKI
+KEIRESTGAQVQVAGDMLPNSTERAVTISGTPDAIIQCVKQICVVMLESPPKGATIPYRP
+KPASTPVIFAGGQVRADPLAASTANLSLLLQPPPLPAYTIQGQYAIPHPDLTKLHQLAMQ
+QTPFPPLGQTNPAFPGEKLPLHSSEEAQNLMGQSSGLDASPPASTHELTIPNDLIGCIIG
+RQGTKINEIRQMSGAQIKIANATEGSSERQITITGTPANISLAQYLINARLTSEVTGMGA
+L
+>tr|A6QPI9|A6QPI9_BOVIN TPSB1 protein OS=Bos taurus OX=9913 GN=TPSB1 PE=2 SV=1
+MLHLLALALLLSLVSAAPGQALQRAGIVGGQEAPGSRWPWQVSLRVSRRYWRHHCGGSLI
+HPQWVLTAAHCVGPEVHGPSYFRVQLREQHLYYQDQLLPISRIIPHPNYYSVKNGADIAL
+LELDKLVNISWHVQPVTLPPESETFPPGTQCWVTGWGNVDNGRRLPPPFPLKQVKVPVVE
+NSVCDRKYHSGLSTGDNVPIVREDMLCAGDSGRNFCQGDSGGPLVCKVNGTWLQAGVVSW
+GDGCAKPNRPGIYTRVTSYLDWIHQYVPQGP
+>tr|A0A3Q1LNL5|A0A3Q1LNL5_BOVIN Tetraspanin OS=Bos taurus OX=9913 GN=TSPAN9 PE=3 SV=1
+MARGCLCCLKYMMFLFNLIFWLCGCGLLGVGIWLSVSQGNFATFSPSFPSLSAANLVIAI
+GTIVMVTGFLGCLGAIKENRCLLLSFFIVLLIILLAELILIILFFVYMDKVNENAKKDLK
+EGLLLYNSENNVGLKNAWNIIQAEMHCCGVTDYKDWFSVLGENTVPDRCCMENSQGCGQN
+NTTLVWKTGCYEKVTQWFADNKHVLGTVGMCLLITQILGMAFSMTLFQHIHRTGKKYDA
+>tr|A5PK15|A5PK15_BOVIN TCF7 protein OS=Bos taurus OX=9913 GN=TCF7 PE=2 SV=1
+MPQLDSGGGGAGGGDDLGAPDELLAFQDEGEEQDDKSRDSAAGPERDLAELKSSLVNESE
+GAAGDAGVPGAGAGARGEAEVGAEALGREHTSQRLFPDKLPESLEDGLKAPECTSGMYKD
+TVYSAFNLLMHYPPPSGAGQHPQPQPPLHNKASQPSHGVPQLSPLYDHFSSPHPTPAPAD
+ISQKQGVHRPLQTPDLSGFYSLTSGSMGQLPHTVSWFTHPPLMLGSGVPGHPAAIPHPAI
+VPSSGKQELQPYDRSLKAQADSKAEKEAKKPTIKKPLNAFMLYMKEMRAKVIAECTLKES
+AAINQILGRRWHALSREEQAKYYELARKERQLHMQLYPGWSARDNYGKKKRRSREKHQES
+NSGGKRNAFGTYPEKAAAPAPFLPMTVL
+>tr|Q58DE1|Q58DE1_BOVIN LIM domain kinase 2 OS=Bos taurus OX=9913 GN=LIMK2 PE=2 SV=1
+MGSYLSVQAYFTSRDPFRCSECQDSLTNWYYEKDGKLYCHKDYWGKFGEFCHGCSLLMTG
+PVMVAGEFKYHPECFACMSCKVIIEDGDAYALVQHATLYCGKCHNEVVLAPMFERLSTES
+VQDQLPYSVTHISMPATTEGRRGFSVSVESACSNYATTVQVREVNRMHISPNNRNAIHPG
+DRILEINGAPVRTLRVEEVEDAISQTTQTLQLLIEHDPVSQRLDQLQLDARLSPCAQNDR
+HAHTLSPLDTKENLEGTLRRRSLRRSNSISKSPGPSSPKEPLLLSRDISRSESLRCSSSC
+RLEPESRPAFSKLEDFFEALSLYLGELGIPLPTELEDLDHTVSMEYGLIRNPPP
+>tr|A0A3Q1LTM5|A0A3Q1LTM5_BOVIN Kinase D interacting substrate 220 OS=Bos taurus OX=9913 GN=KIDINS220 PE=4 SV=1
+MSVLISQSVINYVEEENIPALKALLEKCKDVDERNECGQTPLMIAAEQGNLEIVKELIKN
+GANCNLEDLDNWTALISASKEGHLHVVDELLKCGASLEHRDMGGWTALMWACYKGRTEVV
+ELLLSHGANPSVTGLYSVYPIIWAAGRGHADIVQLLLQNGAKVNCSDKYGTTPLVWAARK
+GHLECVKHLLAMGADVDQEGANSMTALIVAVKGGYTQSVKEILKRNPNVNLTDKDGNTAL
+MIASKEGHTEIVQDLLDAGTYVNIPDRSGDTVLIGAVRGGHVEIVRALLQKYADIDIRGQ
+DSKTALYWAVEKGNATMVRDILQCNPDTEICTKDGETPLIKATKMRNIEVVELLLDKGAK
+VSAVDKKGDTPLHIAIRGRSRKLAELLLRNPKDGRLLYRPNKAGETPYNIDCSHQKSILT
+QIFGARHLSPTETDGDMLGYDLYSSALADILSEPTMQPPICVGLYAQWGSGKSFLLKKLE
+DEMKTFAGQQIEPLFQFSWLVVFLTLLLCGGLGLLFAFTVDLNLGIAVSLSFLAVLYIFF
+IVIYFGGRREGESWNWAWVLSTRLARHIGYLELLLKLMFVNPPELPEQTTKALPVRFLFT
+DYNRLSSVGGETSMAEMIATLSDACEREFGFLATRLFRVFKTEDTQGKKKWKKTCCLPSF
+VIFLFIFGCIIAAITLLAIFRVDPKHMTVNAVLISIASIVGLAFVLNCRTWWQVLDSLLN
+SQRKRLHNAASKLHKLKSEGFMKVLKCEVELMARMAKTIDSFTQNQTRLVVIIDGLDACE
+QDKVLQMLDTVRVLFSKGPFIAIFASDPHIIIKAINQNLNSVLRDSNINGHDYMRNIVHL
+PVFLNSRGLSSARKFLVTSTTNGDVPCSDNTGMQEDADRRVSQNSLGEMTKLGSKTALNR
+RDTYRRRQMQRTITRQMSFDLTKLLVTEDWFSDISPQTMRRLLNIVSVTGRLLRANQISF
+NWDRLASWINLTEQWPYRTSWLILYLEETEGIPDQMTLKTIYERISKNIPTTKDVEPLLE
+IDGDIRNFEVFLSSRTPVLVARDVKTFLPCTVNLDPKLREIIADVRAARDQINIGGLAYP
+PLPLHEPPPRPPSGYSQPASVCSSSTSFNGPFGGGVVSPQPHSSYYSGMTGPQHPFYNRP
+FFAPYLYTPRYCPGGSHHLISRPSVKTNLPRDQSNGLGSGPASGTIPLNSMNVDAVCEKL
+KQIEGLDQSMLPQYCATIKKANINGRVLAQCNIDELKKEMNMNFGDWHLFRSTILEMRNA
+ENQVVPEDPRLLSENSSSVPHGEPARRSAHSELPHTELSSQAPYTLNFSFEELNTLGLDE
+GAPRHSNLSWQSQTRRTPSLSSLTSQDSSIEISKLTDKVQAEYRDAYREYIAQMSQLEGG
+TGSTTMSGRSSPHSTYYMGQSSSGGSIHSSLEPEKGKDSEPKQDDTRKSFLMKRGDVIDY
+SSSGVSTNDASPLDPITEEDEKSDQSGSKLLPGKKSSERSSLFQTDLKLKGGGLRYQKLP
+SDEDESGTEESDNTPLLKDDKDKKAEGKAERALKSPEHSAEPIRTFIKAKEYLSDALLDK
+KDSSDSGVRSNESSPNHSLHNEAADDSQLEKANLIELEDDPHSGRRGVPHSLSGLPDPLV
+ARMSICSEDKKSPSECSLIASSPEENWPACHKSYNLNRTPSTVTLNNNSAPANRANQNSD
+ETEGLRETPQVLLRSGSSSHSTALQNENLKSMAHKRGQRSSYTRLSKDSSELHAVASSDS
+TGFGEERESIL
+>tr|A8QQD7|A8QQD7_BOVIN TCFL5 protein OS=Bos taurus OX=9913 GN=TCFL5 PE=2 SV=2
+MSGPGPREPPQAGGPAGPEGADATLGEAGLSFTTTDLSLVEMTEIEYTQLQHILYSHMEA
+AAADGELETRLNSAFLAAAAPGAAAGSFAAAGGAAAAAAGGAPPVYPVLCPPTLADGGFA
+GANQCLGHIDFQELRMMLLSEAGAAPAAEKTPGADGPGPGAPRPKAPDGAGKENAEGAPE
+ARAKSAVRVRLEDRFNSIPAETPPAPRGAEPPEPGVALNKVLVTHVLYLKLPSIRILDCQ
+EHFLSVISKKLNPLSRLWVVETKLCLSRFGLK
+>tr|Q32P91|Q32P91_BOVIN Testis expressed 36 OS=Bos taurus OX=9913 GN=TEX36 PE=2 SV=1
+MVKGRRFNPPLDKDGRWFPHIGLTQKTPESITSTMLKESHSPRLSRQVEEKLPPIYKVRE
+KQAVNNNFPFSAHDNRHSFQDSGFYLDSVSISLHIAKKMNSDIRALDFLDFLASKLE
+>tr|Q2NKR5|Q2NKR5_BOVIN Adipogenesis regulatory factor OS=Bos taurus OX=9913 GN=ADIRF PE=1 SV=1
+MASKGLQDLKKQVEGAAQEAVTSAGTAVQQVVDQATEAGQKAMDQVAKTTQETIDQTANQ
+ASETFSGFGKKLGLLK
+>tr|Q0V7P2|Q0V7P2_BOVIN Praja 1 OS=Bos taurus OX=9913 GN=PJA1 PE=2 SV=1
+MHRSAPSQTTKRSRSPFSTTRRSWDDSESSGTSLNADNEDYSRYPPREYRASGSRRGMAY
+GHVDCFGADDSEEEGAGPVERVSVRGKTGKFKDDKLYDPEKGARSLAGVASQFSSFNHDV
+REELDKLDPAPAARSSASRAEFLQSNSMASQPSSAEGKVVTNSNNLERERQEPNLPACPS
+RAPVSICGGENTPKSAEEPVVRPKIRNLASPNCVKPKIFFDTDDDDDMPHSTSRWRETAS
+ADEGHLDGLARRSGGEGSSGYPEPKYPEDKREARSDQVKPEKVPRRRRTMADPDFWTYSD
+DYYKYFEEDSDSDKEWTAALRRKYRGREQNLSSSGESWETLPGKEELEAEQARVNASAGA
+SAGTSGNNELEEVRGPSLQEEERASPEEGEVPWLQYNENESSSEGDNDSGQEFLQPGVFM
+LDGNNNLEDDSSVSEDLEVDWSLFDGFADGLGVAEAISYVDPQFLTYMALEERLAQAMET
+ALAHLESLAVDVEVANPPASKESIDTLPEILITEDHSAVGQEMCCPICCSEYAKGEVATE
+LPCHHYFHKPCVSIWLQKSGTCPVCRCMFPPPL
+>tr|Q2ABB3|Q2ABB3_BOVIN Taste receptor type 2 OS=Bos taurus OX=9913 GN=TAS2R46 PE=3 SV=1
+MITLLSTIFSILGIIQFVLGNFANGFIALVNCIDWVKRQKISSTDVVVTAMAVSRIVLFC
+VMLIHWYYILLHPALYSLKVRTIFHVAWTISNHYSTWLATSLSIFYLLKIVNFSSLTFLH
+LKWRVKSVVLMMLLGTSFILVLQVVVISVSGTMQRSEFEGNFTQKTKLRDILWLSHVTLL
+ILGNLTPFTMFLISFLLPIFSLCKHLRKMQLNGKGFQDPCTKVHIKAMQTVISFLLLFAF
+YFLVLIISIWRPKKLHEEPFLLLFPTVKVIYPSVHSFILIWGNRKLTQAFLLFLWQLGCW
+LKERK
+>tr|Q1RMW9|Q1RMW9_BOVIN Golgi phosphoprotein 3 OS=Bos taurus OX=9913 GN=GOLPH3 PE=2 SV=1
+MTSLTQRSSGLVQRRTEASRNAADKERAAGGGGGNDEDDAQSRRDEQDDDDKGDSKETRL
+TLMEEVLLLGLKDREGYTSFWNDCISSGLRGCMLIELALRGRLQLEACGMRRKSLLTRKV
+ICKSDAPTGDVLLDEALKHVKETQPPETVQNWIELLSGETWNPLKLHYQLRNVRERLAKN
+LVEKGVLTTEKQNFLLFDMTTHPLTNNSIKQRLIKKVQEAVLDKWVNDPHRMDKRLLALL
+YLAHASDVLENAFAPLLDEQYDVATKRVRQLLDLDPEVECLKAGTNEVLWAVVAAFTK
+>tr|A8QIF4|A8QIF4_BOVIN LOC532603 protein OS=Bos taurus OX=9913 GN=SHTN1 PE=1 SV=1
+MPVLGSVSSVTKTALNKKTLEAEFNSPPPPTPEPGEGSCKWEGCSSSKVTFQPPSNTGYR
+KQYTGSGKQAKPVVVLDPVSTHEPQTKDQVAEKDPAQHKDDEGETKPGYKQRVRDTSSSN
+C
+>tr|F1MLT7|F1MLT7_BOVIN Clock circadian regulator OS=Bos taurus OX=9913 GN=CLOCK PE=4 SV=3
+MLFTVSCSKMSSLVDRDDGSIFDGLVEEDDKDKAKRVSRNKSEKKRRDQFNVLIKELGSM
+LPGNARKMDKSTVLQKSIDFLRKHKEITAQSDASEIRQDWKPTFLSNEEFTQLMLEALDG
+FFLAIMTDGSIIYVSESVTSLLEHLPSDLVDQSIFNFIPEGEHSEVYKILSTHLLESDSL
+TPEYLKSKNQLEFCCHMLRGTIDPKEPSTYEYVKFIGNFKSLNSVSTSAHNGFEGTIQRT
+HRPSYEDRVCFVATVRLATPQFIKEMCTVEEPNEEFTSRHSLEWKFLFLDHRAPPIIGYL
+PFEVLGTSGYDYYHVDDLENLAKCHEHLMQYGKGKSCYYRFLTKGQQWIWLQTHYYITYH
+QWNSRPEFIVCTHTVVSYAEVRAERRRELGIEESLPETAADKSQDSGSDNRINTVSLKEA
+LERFDHSPTPSASSRSSRKSSHTAVSDPSSTPTKIPTDTSTPPRQHLPAHEKMAQRRSSF
+SSQSINSQTVGQSLTQPVMSQSANLPVPHGMSQFQFSAQLGAMQHLKDQLEQRTRMIEAN
+IHRQQEELRKIQEQLQMVHGQGLQMFLQQSTPGLNFGSVQLSSGNSSNIQQLAPINMQSQ
+VVQTNQIQSGMNTGHIGTTQHMIQQQTLQSTSSQQSQQNVLSGHSQQTSLPSQTQSTLTA
+PLYNTMVISQPAAGSMVQIPSSLPQNSSQSAAVTTFTQDRQIRFSQGQQLVTKLVTAPVA
+CGAVMVPSAMLMGQVVTAYPTFAPQQPQSQTLSVTQQQQSSQEQPLPSVQQPSQAQLTQP
+PQQFLQTSRLLHGNPSTQLILSAAFPLQQSTFPQSHHQQHQSQQQQQLSRHRTESLTDPS
+KVQPQ
+>tr|A0A3S5ZPN7|A0A3S5ZPN7_BOVIN Coiled-coil domain containing 116 OS=Bos taurus OX=9913 GN=CCDC116 PE=4 SV=1
+MASCRHHSGYLADDEAGHPTYVARVQPPKKSLFSEMGHASKPGHRPHPPSSHDPSGSSGR
+CRNRQGPRPFRSFLDFLVEGQVLESLQTVVEEATERMATVKTEAGVPLVEVQDPVEVPRG
+GRRARARPSLSTLRRHRARPGLCVGRPNNYPSRSSSMSDSRSSCTAADWPGCHSRDSDLG
+SQGLGRLPPVTDQLLLEKSLKRLLQLENRGRGLGQASSHGDSLLWDSLDSQSSTQWTVEQ
+PLSWFSGPLGSSWDTHESSELGPTERELGFLRRQLNKEMRSLLSQPASFELPGYSTLREP
+HRTLDFLAEHHLFPALQNVVNRAVEKLSGARRRDGGPLFPSEWETARESDSKVATPTDGE
+ELYESPPTTASSPRTEQRKSQHKGRAKAKEGGSPVPSPQVATRFRLESPGCKFSKKKPLP
+SILSRSSSVSQLSNPWHEELIDYLKDQAVSLLVHKYSFEKSLADQLGFISFPVTEVLMDL
+YLGFKKVKGSHVRLSSTVDWHCLLRQLEEAESSQRSSRLAFRAPDRPTSQHSTPRRGMGT
+PATPSEVSTRGHRIRDKPTGPSRLDTRPKGSRPHPPQEHSRPPEAKRFLSPTKAGVASHP
+SEQTVDMEDRQSMEEEDEEEEEEEDEQEEDEEEDFFGDEDQPQSSQEPPGEATISSPMAG
+SGAGPSDPL
+>tr|A7YY32|A7YY32_BOVIN Rho guanine exchange factor (GEF) 16 OS=Bos taurus OX=9913 GN=ARHGEF16 PE=2 SV=1
+MTQMEHHHLFSNITDVLSASQRFFEDLERRHKAQVCVEDISDILEEHAEHHFHPYISYCS
+NEVYQQRALQRLTNSNATFREALRAIEQRPACGGLPMISFLILPMQRVTRLPLLTDTLCL
+KTQGHPERYKAASRALKAISKLVKQCNEGAHKMERTEQMYTLHTQLDFSKVKSLPLISAS
+RWLLKRGELFVVEETGLFRKLASRPTCYLFLFNDVLVVTKRKSEDSFMVQDYAQVDHIQV
+QKMEPSEASLPGGGNRGSSVPHPFQLILLHNSEGRQEKILLSCDSASDRARWITALTQRE
+RQARGPPNKGDLLQVEITKAYLAKQVDEITLQQADVVLILEQEDGWLYGERLRDGETGWF
+PEDFARSITSRVAVEGNVRRMERLRVETDV
+>tr|Q148H3|Q148H3_BOVIN Calcium/calmodulin dependent protein kinase kinase 2 OS=Bos taurus OX=9913 GN=CAMKK2 PE=2 SV=1
+MSSCISSQPSSDRAAPQDELGGGGGSSSSEGQKPCEALRGLSSLSIRLGMESFIVVTECE
+PVCAVDHGLTRDRPLEAHGGEITLDASGSQARPHLSGRKLSLQERSLLDANGRCVYPALP
+HSPVGSPQSSPRLPRRPTVESHHVSITGMQDCVQLNQYTLKDEIGKGSYGVVKLAYNEND
+NTYYAMKVLSKKKLIRQAGFPRRPPPRGTRPAPGGCIQPRGPIEQVYQEIAILKKLDHPN
+VVKLVEVLDDPNEDHLYMVFELVNQGPVMEVPTLKPLSEDQARFYFQDLIKGIEYLHYQK
+IIHRDIKPSNLLVGEDGHIKIADFGVSNEFKGSDALLSNTVGTPAFMAPESLSETRKIFS
+GKALDVWAMGVTLYCFVFGQCPFMDERIMCLHSKIKSQALEFPDQPDIAEDLKDLITRML
+DKNPESRIVVPEIKLHPWVTRHGAEPLPSEDENCTLVEVTEEEVENSVKHIPSLATVILV
+KTMIRKRSFGNPFEGSRREERSLSAPGNLLGKKPTRECEPLSEPKEARQRRQPPGPRTGP
+RRGRGSALMKGGPGVESWGVPGPCSLEHTHPARPDEVME
+>tr|E1BKJ6|E1BKJ6_BOVIN Neuronal tyrosine phosphorylated phosphoinositide-3-kinase adaptor 1 OS=Bos taurus OX=9913 GN=NYAP1 PE=4 SV=3
+MNLLYRKTKLEWRQHKEEEAKRSSSKEVAPAGPAAGPGAGPGPGVRVRDIASLRRSLRMG
+FMTMPASQEHTPHPCRSAMAPRSLSCHSVGSMDSVGGGPGGGSGGLTEDGGTRRPPAKPR
+RHPSTKLSMAGSGAETPPSKKAGSQKPTPEGRESGRKVPPQKPRRSPNTQLSVSFDESCP
+PAPSPRGGNLPLQRLSRGSCMAGDPEAGAQEEEPVYIEMVGDVFKGGGRSGGGLTGPPLG
+GGGPTPPVGADSDSDESEAIYEEMKYPLPEEAGEGRANGAPALTAASTPHQPHALQPHTH
+RRPASALPSRRDGTPTKTTPCEIPPPFPNLLQHRPPLLAFPQAKSASRTPGDGVSRLPVL
+CHSKEPAGSTPAPQVPARERETPPLPPPPPAANLLLLGPSGRARSHSTPLPPQGSGQPRG
+ERELPNSHSMICPKAAGAPAAPPAPAALLPGAPKDKAVSYTMVYSAVKVTTHSVLPAGPP
+LGAGEPKTEKEIAVLHGMLCTSSRPPVPGKSSPHSGALGAAAGVLHHRACLASPHSLPDP
+TTGPLTPLWTYPAAAAGLKRPPAYESLKAGGVLNKGCGMGAPSPMVKIQLQEQGTDGGAF
+ASISCAHVIASAGTPEEEEEMGASTFGAGWALQRKVLYGGRKAKELDKIEDGARAWNGSA
+EGLGKVEREDRGPLASGIPVRSQGAEGLLARIHHGGDRGGGRTALPIPCQTFPACHRNGD
+FTGGYRLGRSASTSGVRQAALHTPRPCSQPRDAPSQVRRETGLGFFFVKKQVGKKTLVWE
+ERREVRLGPWHRLTSDRNGWMESEGVRVNLHSQAGTTGLSGPWCL
+>tr|Q3T0T3|Q3T0T3_BOVIN PIN2 (TERF1) interacting telomerase inhibitor 1 OS=Bos taurus OX=9913 GN=PINX1 PE=2 SV=1
+MSMLAERRRKQKWAVDPRNTAWSNDDSKFGQRMLEKMGWSKGKGLGAQEQGATDHIKVQV
+KNNHLGLGATINNEDNWIAHQDDFNQLLAQLNTCHGQETADSPDNKEKKSFSLEEKSKIS
+KNRVHYMKFTKGKDLSSRSQTDLDCIFGKRQKRTPEDHPSLSATEETDTSTTTTSAFTIH
+EYFARRMAERKSKAQGMAAGPEASETPVERKRGKKRKKEAKDKNVENHIQPKAKKKRNQV
+ECELGDPRWDENPGAPAEAGEGHVGPLDNQDSPPKPKKRKEKEKGAKQVEAAVDSTLDET
+PVKKKKGSK
+>tr|C6KYY4|C6KYY4_BOVIN Vascular endothelial growth factor 188 OS=Bos taurus OX=9913 GN=VEGFA PE=2 SV=1
+MNFLLSWVHWSLALLLYLHHAKWSQAAPMAEGGQKPHEVVKFMDVYQRSFCRPIETLVDI
+FQEYPDEIEFIFKPSCVPLMRCGGCCNDESLECVPTEEFNITMQIMRIKPHQSQHIGEMS
+FLQHNKCECRPKKDKARQEKKSVRGKGKGQKRKRKKSRYKSWSAPCGPCSERRKHLFVQD
+PQTCKCSCKNTDSRCKARQLELNERTCRCDKPRR
+>tr|Q24K19|Q24K19_BOVIN Nucleoporin 54kDa OS=Bos taurus OX=9913 GN=NUP54 PE=2 SV=1
+MAFNFGTPSGTSGTAAATAAPAGGFGGFGTTSTTASSAFSFSTPANTGTTGFFGSTQNKG
+FGFGTGFGTTTGTSTGLGTGLGTGLGFGGFNTQQQQQQQQTTLGGLFSQPTQAPAQSNQL
+INTASALSAPTLLGDERDAILAKWNQLQAFWGTGKGYFNNNIPPVEFTLENPFCRFKAVG
+YSCMPNNKDEDGLVVLVFNKKETDIRSQQQQLVESLHKVLGGNQTLTVNVEGIKTLPDDQ
+TEVVIYVVERSPNGTSRRVPATTLYAHFEQTNIKTQLQQLGVTLSMTRTELSPAQIKQLL
+QNPPAGVDPIIWEQAKVDNPDSEKLIPVPMVGFKELLRRLKVQDQMTKQHQTRLDIISED
+IGELQKNQTTTMAKIAQYKRKLMDLSHRTLQVLIKQEIQRKSGYAIQADEEQLRVQLDTI
+QGELNAPTQFKGRLNELMSQIRMQNHFGAVRSEERYYIDADLLREIKQHLKQQQEGLSHL
+ISIIKDDLEDIKLVEHGLNETIHIRGGVFS
+>tr|A6H723|A6H723_BOVIN DUOXA1 protein OS=Bos taurus OX=9913 GN=DUOXA1 PE=2 SV=1
+MAAFGHTFPFYAGPKPTFPTDTTLAVIVAIFLTSLVTFIIILPGIRGKMRLFWMLRVVTS
+LFIGAVILAVNFSSEWSVGQVSTNTSYKAFSSQWISANVGLQIGLGGVNITLTGTPVQQL
+NETIDYNEEFTWRLGENYAEEYANALEKGLPDPVLYLAEKFTPHSPCGLHGQYRLAGHYT
+SAMLWVAFLCWLLANVMLSMPVLVYGGHMLLATGLFQLLGLLFFSTATSLTPPCPLRLGA
+ATLHTHRGPAYWITLTTGLLCVLLGLAMVVAHRMQPHRLKAFFSQSVGEDPVLELNPEEG
+GLLSPRYRSITESPEPQDIPLSEASSEAPCEEPDCAL
+>tr|Q3ZCJ6|Q3ZCJ6_BOVIN Epoxide hydrolase OS=Bos taurus OX=9913 GN=EPHX1 PE=1 SV=1
+MWLEILLASVLGFVIYWFVSKDKEETLPLEDGWWGPGVRPTAGEDKSIRPFKVETSDEEI
+NDLHQRIDRVRLTPPLEDSRFHYGFNSNYLKKIISYWRNEFDWRKQVEILNKYPHFKTKI
+EGLDIHFIHVKPPNLPSGQTPKPLLMVHGWPGSFYEFYKIIPFLTDPKNHGLSDEHVFEV
+ICPSIPGYSFSEASSKKGFNSVATARIFYKLMLRLGFQKFYIQGGDWGALICTNMAQLVP
+SHIKGLHLNMALILRNFYTLTLPLGRCFGGLFGYTERDMELIYPFKKIFFSLLRESGYLH
+IQSTKPDTVGCALNDSPVGLAAYILEKFSTWTNSEFRDLEDGGLERKFSLDDLLTGIMLY
+WTTGTITSSQRFYKENLGQVNKHEMLKVYVPTGFAAFPCELMHLPEKWVKTKYPNLISYS
+YMPRGGHFAAFEEPELLAQDIRKFVGLQERQ
+>tr|F1ML11|F1ML11_BOVIN Endo-alpha mannosidase OS=Bos taurus OX=9913 GN=MANEA PE=2 SV=2
+MAKFRRRTCFILSLFILFIFSLMMGLKMLRPNKAAFGDPFGLDLLPEIRQQTHLTKVFDS
+QKSDKISSETNIKNLKSEEITVKASVASEPHPEEPPPLNYYLHVFYYSWYGNPQFDGKYI
+HWNHPVLSHWDPQITKKYPKGKHNPPDDIGSRFYPELGSYSSRDPSVIETHMKQMYSASI
+GVLALSWYPPDLNDENGEPTDNLVPTILDKAHKYNLKVTFHIEPYKNRDDKTMYQNVKYI
+IDKYGNHPAFYRYKTKNGNALPMFYVYDSYITASQKWANLLTSSGSQSIRNSPYDALFIA
+LLVDKKHRYRILRGGFDGIYTYFATNGFTYGSSYENWAKIKYFCDQFDLMFIPSVGPGYI
+DTSIRPWNSHNTRNRINGKYYETALSAALQAHPSIISITSFNEWHEGTQIESAVPKRTSN
+IVYLDYRPHKPSLYLELTRKWSEKYRKERAIYALGHQELSTQPVS
+>tr|A5D7K2|A5D7K2_BOVIN THSD1 protein OS=Bos taurus OX=9913 GN=THSD1 PE=2 SV=1
+MKQTLKDFSNLLLVVLCDYVLGEAEHLVLGEPGHVALSNSTVTVDFHGANGTLRNVSVLL
+VEASSNQTLTTKYLLTNQSQGTLEFECFYFKEAGDYWFVMTREATNSSLPVPPRERSAFL
+KVEWPVFHVDLSRTSMAAEGTFQVGLFTSQPLCPFPGDKPDILLEVTFTNSLPEARAGQA
+LPLEIRASKRVELAQGQWVEFDCPPVGPEAYVTVTVVLKLLGRDSVIMSTGPIDLAQKFG
+YKLVMEPELTCEAGVEVTVLPPPCIFVQGVIAVFKEAPRLPGERTNRLAENSLALGERRT
+VFNCTLFDMGRNKYCFDFGVSSQSQFSAKEKECMLIRRSIETWGLWQPWSQCSASCGDGV
+RERRRVCLTSSPSRPGCPGMSSETSPCSLEDCAAFQPSSPSPLQPQAPVKSNNVVTVTGI
+SLCLFIIVATVLITLWRKLGRAPKCSTPARHNSLHGPGCRKNSDEENICELSEPRGSFSD
+AGDGPAGSPGDPGIPLTYRRSVPAPPDDEASGSESFQANAQKIIPPLFSYRLAQQQLKEM
+KKKGLTETTKVYHVSQSPLTDTAIDAAATAAAAAAASPGGSESPEEAAAGKFRIKSPFLE
+HPPTVGAGDRPPSRLDHPFSAASCAVSPSQTLLRKSQVRSHSRGSHFRRTASFHEARQAR
+PFRERSLSTLTPRPTPAHGPRARTWDQAGERGRPPSRGTALFPEKRDHGPGAAGASGPLS
+PLPKPHSLGPPPRKPDLGDRQAGFVGAGERPEPPRARRGPSPSHRSVSRKQPSPPAPKDG
+YQRVSPLSPSQGRKDKCQSFPAHPEFAFYDNTSFGLTEAEQRMLDLPGYFGSNEEDETTS
+TLSVEKLVI
+>tr|Q0VCZ5|Q0VCZ5_BOVIN Protein phosphatase 1 regulatory subunit 13 like OS=Bos taurus OX=9913 GN=PPP1R13L PE=1 SV=1
+MDSEAFQSSGDILDLKFQSLAMKHMDLKQMELDTAAAKVDELTKQLESLWSDSPAAPLGS
+QAAAPARLPRYSTSPVPEPLGSRGSSRKATTDGADTPFGRSESAPALLPYSSLSAKGRPS
+SPRTQLYLQPDAYGSLDRSPSPRPRAFDGAGSPHGRAPSPRPGPLRQQGPPTHFDFLGRS
+GSPRGSPLAEGPQAFFPERGPSPRPGTSAYDAPAAFGSPLLGAGGSAFAPPLRAQDDLTL
+RRRPPKAWNESDLDVAYEKKPSQTASYERLDVFPRPASPGLQLLPWRESSLDGLGATGKD
+NFTSATLPRNYKVSPLANDRRSDVGSYRRSLGSTGPSGTLPRSWQPVSRIPMPPSSPQPR
+SAPRQRPIPLSMIFKLQNAFWEHGASRAMLPSSSIFSRAPPPKMPPQPQTPPQPQPQPQP
+QLPPQPQPQLQPQPQPQAPAPAPQAPQQTWAPVSEGLAKPPADLEPEPELEGLLTPVLEV
+GDADEGAVTRPLSPTRLQPALPPEAQSVPELEEVARVLAEIPRPLKRRGSMEQSPAVALP
+PTHKKQYQQIISRLFHRHGGPGGPEPELSPITEGSEARAGPPAPAPPAPIPPSTPLQSSP
+PEQPQSMEMRSVLRKAGSPRKVRRARLNPLVLLLDAALTGELEVVQQAMKEMNDPSQPNE
+EGITALHNAICGANYPIVDFLIAAGANVNSPDSHGWTPLHCAASCNDTAICTALVQHGAA
+IFATTLSDGATAIEKCDPYREGYADCATYLADVEQSMGLMYNGVVYALWDYSAEFGDELS
+FREGDSVTVLRRDGLEETDWWWATLHGQEGYVPRNYFGLFPRVKPQRSKV
+>tr|Q148G0|Q148G0_BOVIN Rhesus-like protein OS=Bos taurus OX=9913 GN=LOC282685 PE=2 SV=1
+MGSKYQKSVRVWLPLCAITLEVIFIVIFFFFTSYSASVDEQKKLLRDYRAFQDVFIMATF
+GFGFLNTSLRRHCWSSIAFNLFLLVLGVQLAALLDGFLTQLSLRKMVIDMSSIQRATMIA
+TSVLISAGVVLGKVNLLQLVIMTLIEVTAFSATRLVSISYLDMNMHVSMMYMHMFAAYFG
+LTVVCCLRKPLPSASEDKDQTATSPSLFTMLGTLFLWIFWPSFNSALLELADERNMAVFN
+TYYALAVSTVTGILMSALAHPQGKINMTHIHKAVLAGGVAVGAPSYLIHAPWLAMVLGSV
+AGMISIGGFRYLQACLQRKVQLHDTYGVHYTFGLPGLLGGIVNIMLMALQAQGVDKSTLG
+YKVLIDLGALAFTIVMGVASGLLTGLLLNLNIWKSTHKIHYFDDQSFWKFPHLAVGY
+>tr|Q3SYV6|Q3SYV6_BOVIN Importin subunit alpha OS=Bos taurus OX=9913 GN=KPNA2 PE=1 SV=1
+MSTNENANSPAPRLNRFKNKGKDSTEMRRRRIEVNVELRKAKKDDQMLKRRNVSSFPDDA
+TSPLQENRNNQGTVNWSVDDIVKGINSNNLESQLQATQAARKLLSREKQPPIDNIIRAGL
+IPKFVSFLGRTDCSPIQFESAWALTNIASGTSEQTKAVVDGGAIPAFISLLASPHAHISE
+QAVWALGNIAGDGSVFRDLVIKYGAVDPLLALLAVPDMSSLACGYLRNLTWTLSNLCRNK
+NPAPPLEAIEQILPTLVRLLHHDDPEVLADTCWAISYLTDGPNERIEMVVKTGVVPQLVK
+LLGATELPIVTPALRAIGNIVTGTDEQTQVVIDAGALAVFPSLLTNSKTNIQKEATWTMS
+NITAGRQDQIQQVVNHGLVPFLVGLLSKADFKTQKEAAWAVNNYTSGGTVEQIVYLVHCG
+IIEPLMNLLTAKDTKIVLVILDAVSNIFRAAEKLGETEKLGIMIEECGGLDKIEALQNHE
+NESVYKASLNLIEKYFSVEEEEDQNVVPETTSEGYTFRVQDGSAGTFSF
+>tr|Q9MZT0|Q9MZT0_BOVIN Fc gamma receptor I OS=Bos taurus OX=9913 GN=FCGR1A PE=2 SV=1
+MWLIIALILGAPVAEQVDPTKAVITLKPPWVSVFQEENVTLLCEGPHRPGDTATQWFLNG
+TAIKTLAPRYSINSATFDDSGEYKCQTGLSMLSDPVQLEIHSDWLLLQVTSRVFTEGDPL
+ALRCHAWKNMPVYKMLFYKDGKPFRFSSQDSEFTILQTNLSHNGIYHCSGERRRRYTSAG
+VSITIKELFPAPVLRTSFSSPHQEGNLVNLSCETKLPSEKPGQQLYFSFYVGNKTLISRT
+TSSEYQTFIAKKEDRRLYWCEAATGDGNLIKRSPELELPVLGLQSTTPVWFHFLFYLAVG
+IMFLVDSVLCIVIHKELQRKKMWNLEIYLDSLDSGHGKEVPPTFKNIDN
+>tr|Q0VCQ5|Q0VCQ5_BOVIN Kelch-like 7 (Drosophila) OS=Bos taurus OX=9913 GN=KLHL7 PE=2 SV=1
+MAASGVEKSSKKKTEKKLAAREEAKLLAGFMGVMNNMRKQKTLCDVILMVQERKIPAHRV
+VLAAASHFFNLMFTTNMLESKSFEVELKDAEPDIIEQLVEFAYTARISVNSNNVQSLLDA
+ANQYQIEPVKKMCVDFLKEQVDASNCLGISVLAECLDCPELKATADDFIHQHFTEVYKTD
+EFLQLDVKRVTHLLNQDTLTVRAEDQVYDAAVRWLKYDEPNRQPFMVDILAKVRFPLISK
+NFLSKTVQAEPLIQDNPECLKMVISGMRYHLLSPEDREELVEGTRPRRKKHDYRIALFGG
+SQPQSCRYFNPKDYSWTDIRCPFEKRRDAACVFWDNVVYILGGSQLFPIKRMDCYNVVKD
+SWYSKLGPPTPRDSLAACAAEGKIYTSGGSEVGNSALYLFECYDTRTESWHTKPSMLTQR
+CSHGMVEANGLIYVCGGSLGNNVSGRVLNSCEVYDPATETWTELCPMIEARKNHGLVFVK
+DKIFAVGGQNGLGGLDNVEYYDIKLNEWKMVSPMPWKGVTVKCAAVGSIVYVLAGFQGVG
+RLGNILEYNTETDKWVANSKVRAFPVTSCLICVVDTCGANEETLET
+>tr|A6QQ17|A6QQ17_BOVIN DEP domain containing MTOR interacting protein OS=Bos taurus OX=9913 GN=DEPTOR PE=2 SV=1
+MEGVGGGDGGARQRELERMAEVLVTGEQLRLRLHEEKVIKDRRHHLKTYPNCFVAKELID
+WLIEHKEASDRETAIKLMQKLADRGIIHHVCDEHKEFKDVKLFYRFRKDDGTFPLDNEVK
+AFVRGQRLYEKLMSPENTLLQPREEEGVKYERTFMASEFLDWLVQEGEATAREEAEQLCQ
+RLLEHGIIQHVSNKHPFVDSNLLYQFRMNFRRRRRLMELLSEKSPSSQETHDSPFCLRKQ
+GHDNRKSASFTSVSPSKEIKTASAARRSSMGSCGSSGYFSSSPTLSNSPPVLCNPKSVLK
+RPVTSEELLTPGAPYARKTFTIVGDAVGWGFVVRGSKPCHIQAVDPSGPAAAAGMKLQEL
+LVCFC
+>tr|A2VE88|A2VE88_BOVIN Anosmin 1 OS=Bos taurus OX=9913 GN=ANOS1 PE=2 SV=1
+MAAGAPGAALTLCLWLAASAGCLAAGSGAAAARRLDESLAAGSVQRARCASRCLSLQITR
+ISAFFQHFQNNGSLVWCQNHKQCSKCLEPCKVSWDLRKQQCQSFCESLFPKKNYECVTSC
+EFLKYILSVKQGDCPSPEKASGFAAACVESCDADDECSGVKKCCSNGCGHTCQVPKTLYK
+GEEQGRAGVKGPAASQRLVCARGRGCQSKT
+>tr|A6QPJ1|A6QPJ1_BOVIN RBM24 protein OS=Bos taurus OX=9913 GN=RBM24 PE=2 SV=1
+MHTTQKDTTYTKIFVGGLPYHTTDASLRKYFEVFGEIEEAVVITDRQTGKSRGYGFVTMA
+DRAAAERACKDPNPIIDGRKANVNLAYLGAKPRIMQPGFAFGVQQLHPALIQRPFGIPAH
+YVYPQAFVQPGVVIPHVQPTAAAASTAPYIDYTGAAYAQYSAAAAAAAAAAAYDQYPYAA
+SPAAAGYVTAGGYGYAVQQPITAAAPGTAAAAAAAAAAAAAFGQYQPQQLQTDRMQ
+>tr|A6QQY5|A6QQY5_BOVIN B4GALT2 protein OS=Bos taurus OX=9913 GN=B4GALT2 PE=2 SV=1
+MSRLLGGTLERVCKAVLLLCLLHFLVAVILYFDVYAQHLAFFSRFSARGPSRALHPAASS
+STNCSRPNATAPSSGLPEAPSARPGPTAPVLPPCPDSPPGLVGRLLIEFTSPMPLERVQR
+ENPGVLLGGRYTPPDCTPAQTVAVIIPFRHREHHLRYWLHYLHPILRRQRLRYGIYVINQ
+HGEDTFNRAKLLNVGFLEALKEDSTYNCFIFSDVDLVPMDDRNLYRCGDQPRHFAIAMDK
+FGFRLPYAGYFGGVSGLNKSQFLRINGFPNEYWGWGGEDDDIFNRISLAGMKISRPDIRI
+GRYRMIKHDRDKHNEPNPQRFTKIQNTKLTMKRDGIGSVRYQVLEVSRQPLFTNITVDIG
+RPPSWPPRG
+>tr|A0A3Q1M347|A0A3Q1M347_BOVIN ST6 beta-galactoside alpha-2,6-sialyltransferase 1 OS=Bos taurus OX=9913 GN=ST6GAL1 PE=3 SV=1
+MTRTSLKKKVFSCCVLIFLLFAIICVWKEKKKGNYYEFLKLQNKEYQVLQGLEKLAVSSS
+SQPVSSSSTHNPQRNIQALGGPKAKLKATFQVWDKDSSSKNLAPRLQTIRKNYLNMNKYK
+VTYKGPGPGVKFSAEALLCHLRDHVNISMIEATDFPFNTSDWEGYLPQEDIRTKAGPWGR
+CAVVSSAGSLKSSRLGREIDDHDAVLRFNGAPTVKFQQDVGTKTTIRLVNSQLVTTEAGF
+LKDSLYNEGILIVWDPSVYHSDIPKWYRNPDYSFFNNFKSYRKLHPDQPFYILKPQMPWE
+LWDIIQEISSELIQPNPPSSGMLGIAIMMSLCDQVDIYEFLPSKRKTDVCYYYQRYFDSA
+CTMGAYHPLLFEKNMVKYLNLGTDEDIYLLGKATLPGFRTIRCGA
+>tr|A8E658|A8E658_BOVIN PPIG protein OS=Bos taurus OX=9913 GN=PPIG PE=2 SV=1
+MGIKVQRPRCFFDIAINNQPAGRVVFELFSDVCPKTCENFRCLCTGEKGTGKSTQKPLHY
+KSCLFHRVVKDFMVQGGDFSEGNGRGGESIYGGFFEDESFAVKHNKEFLLSMANRGKDTN
+GSQFFITTKPTPHLDGHHVVFGQVISGQEVVREIENQKTDAASKPFAEVRILSCGELVPK
+AKAKKEEKKRHKSSSSSSSSSSDSDSSSDSQSSSDSSDSESASEEKSKKRKKKHRKNSRK
+HKKEKKKRKKSKKSASSESEAENLEAQPQSTVRPEEIPPIPENRFLMRKSPPKADEKERK
+NRERERERECNLPNSQPPSYQRRLLVTRSGRKIKGRGPRRYRTPSRSRSRDRFRRSETPP
+HWRQEMQRAQRMRVSSGERWIKGDKSELNEIKENQRSPVRVKEKKITDHRHVSESPNRKS
+EKEKKVKDHKSNSKEREIRRNSEKDDKYKNKVKKRAKSKSRSKSKEKSKSKERDTKHNRH
+EEKRMKSRSKERDHENVKEKEKPDSKGKEQERSRSKEKSKQLESKNNEHDHNKSKDKDRR
+AQSRSRERDMTKSKHSYNSRTKERSRSRDRSRRVRSRSHDRDRSRSKEHHKYREQEYRRR
+GRSRSRERRATPGRSRSKDRRRRRRDSRSSEREESQSRNKEKYRNQESRSSHRKENSEGE
+KRMYSKNRDHSSSNSNREKKADRDQSPFSKVKQSSQDNELKFSTLKNKEDEKTRSSVEKE
+NQKSKGQENDHTHDKNKKFDHESSPGTDEDKSG
+>tr|A0A3Q1M4X1|A0A3Q1M4X1_BOVIN Angiomotin OS=Bos taurus OX=9913 GN=AMOT PE=4 SV=1
+MRNSDEQPSGGTTVLQRLLQEQLRYGNPNENRNLLAIHQQATGNGPPFPSGSGNPGPQND
+VLSPQDHHQQLVAHAARQEPQGQEIQSENIIMEKQLSPRMQNNEELPTYEEAKVQSQYFR
+GQQHASVGAAFYVTGVTNQKMRTEGRPSVQRLNPGKMHQDEGLRDLKQGHVRSLSERLMQ
+MSLATSGVKAHPPVTSAPLSPPQPNDLYKNPTSSSDFYKAQGPPPSQHSLKGMEHRGPPP
+EYPFKGMPPQSIVCKPQEPGHYYSEHRLNQPGRTEGQLMRYQHPPEYGAARPTQDISLPL
+SARNSQPHSPTSSLTSGGSLPLLQSPPSTRLSPAQHPLVPNQGDHSAHLPRPQQHFLPNQ
+AHQGDHYRLPQPGLSQQQPQQPQPHHHHHHQQQQQPGEGYSAMPRAQQSSASYQPMPADP
+FAIVSRAQQMVEILSDENRNLRQELEGCYEKVARLQKVETEIQRVSEAYENLVKSSSKRE
+ALEKAMRNKLEGEIRRMHDFNRDLRERLETANKQLAEKEYEGSEDTRKTISQLFAKNKES
+QREKEKLEAELATARSTNEDQRRHIEIRDQALSNAQAKVVKLEEELKKKQVYVDKVEKMQ
+QALVQLQAACEKREQLEHRLRTRLERELESLRIQQRQGNSQPTNVSEYNAAALMEILREK
+EERILALEADMTKWEQKYLEENVMRHFALDAAATVAAQRDTTVISHSPNTSYDTALEARI
+QKEEEEILMANKRCLDMEGRIKTLHAQIIEKDAMIKVLQQRSRKEPSKTEQLSSMRPAKS
+LMSISNAGSGLLSHSSTLTGTPIMEEKRDDKSWKGSLGILLAGDYRAESVPSTPSPVPPS
+TPLLSAHSKTGSRDCSTQTERGVESNKTNVVAPISVPAPVAAAAAAATITAAAATNTTTM
+VAAAPVAVAAATAPATAAATTPSPATAAATLAAAVSPAATAAPVPATASAVTATVVAPVA
+ATAIQVAPAVPAPVPAPAPTPVPAPVAAQASAPAQTQAPTPAPAAAAPPAPTPAPALAQS
+EAPASPATGSGPRRLSTPSLSCNPDKPDGSVFHSNTLERKTPIQILGQEPDTEMVEYLI
+>tr|A6H7J8|A6H7J8_BOVIN RAMP2 protein OS=Bos taurus OX=9913 GN=RAMP2 PE=2 SV=1
+MASLRAEHAVGGSWLPATRSGRPAALRLLLLLGAVLKPQESLAQLLPTPDSSKSEGKTVL
+ETYGTNVLQCWHDYKVQMDSIKKDWCDWALISRPYSFLRDCLEKGAEEFGLGFPNPWAEE
+IIFETHNIHFANCSLVQPTFSDPPEDVLLAMIIAPICLIPFLVTLVVWRSKDSEAQT
+>tr|F1N2F4|F1N2F4_BOVIN C-type lectin domain family 7 member A OS=Bos taurus OX=9913 GN=CLEC7A PE=4 SV=1
+MEYQSSVENLDEDGYTQLDFSSRNITRRSVVSEKGLCAASSHWRLIAVTLGILCSVMLVI
+TVVLSTSGVFSSSCPPNWITHEDSCYLFSTLLDSWDGSKRQCFQLGSHLLKIDSSKELEF
+ISRQVSSQPDHSFWIGLSRRQTEEPWLWEDSSTLLSNLFQIRSTVTEKDSSHNCAWIHVS
+DIYDQLCSVHSYSICEKKLSV
+>tr|Q2KIQ0|Q2KIQ0_BOVIN NIMA (Never in mitosis gene a)-related kinase 2 OS=Bos taurus OX=9913 GN=NEK2 PE=2 SV=1
+MPTRVEDYEVLYTIGTGSYGRCQKIRRKSDGKILVWKELDYGSMTENEKQMLVSEVNLLR
+ELKHPNIVRYYDRIIDRTNTTLYIVMECCEGGDLASVIAKGTKERQYLDEEFVLRVMAQL
+TLALKECHRRSDGGHTVLHRDLKPANVFLDGKQNVKLGDFGLARILNHDTSFAKTFVGTP
+YYMSPEQMNHMSYNEKSDIWSLGCLLYELCALMPPFTAFNQKELAGKIREGKFRRIPYRY
+SDELNDIITRMLNLKDYHRPSVEEILENPLIANLVAEEQRRNPERRGRRIGEPEKLQDSS
+PVLSELKLKEIQLQEREAALKAREESLEQRERELCVRERLAENKLARAEGLLKNYSLLKE
+QRFLSLAGGPVKTPAFCYCRMTS
+>tr|Q2M2S4|Q2M2S4_BOVIN Paroxysmal nonkinesigenic dyskinesia OS=Bos taurus OX=9913 GN=PNKD PE=2 SV=1
+MAAVVAATALKGRGARNARVLRGILSGATANKASQNRSRALQSHSSPECKEEPEPLSPEL
+EYIPRKRGKNPMKAVGLAWAIGFPCGILLFILTKREVDKDRLKQMKARQNMRASNTGEYE
+SQRFRASSRHAPSPEAGSGVQS
+>tr|F1MQH3|F1MQH3_BOVIN Fermitin family member 1 OS=Bos taurus OX=9913 GN=FERMT1 PE=4 SV=1
+MLSSSDFASASWELVVRVDHPNEEEQKDITLRVSGDLHIGGVMLKLVEQINIAQDWSDFA
+LWWEQKHCWLLKTHWTLDKCGVQADAKLVFTPQHKMLRLRLPNMKTVRLRVSFSTVVFRA
+VSDICRALNIRRAEELSLLKPSGEYFKKKKKKDKNNKEPIIEDILNLEGSPTISGPSVSP
+GLYSKTMTPTYDPINGTPASSTMTWFSDSPLAEQNCSILAFSQPPQSPEALADMYQSRSL
+ADKAKLNSGWLDSSRSLMEQGIQEDEQLLLRFKYYTFFDLNPKYDAVRINQLYEQARWAI
+LLEEIDCTEEEMLIFAALQYHISKLSLSTEIQDFTNESEVDEVEAALSNLEVTLEGGKAD
+NTLEDITDIPKLADNLRLFRPKKLILKAFKQYWFVFKDTSIAYFKNKELEQGEPVEKLNL
+RGCEVVPDVNVAGRKFGIKLLIPVADGMNEVYLRCDHESQYAQWMAACILASKGKTMADS
+SYQPEVLNILSFLKMKNRNSAPQVASGLENMDMNPECFVSPRCAKKHKSKQLAACILEAH
+QNVAQMPLVEAKLRFIQAWQSLPEFGLTYYLVRFKGSKKDDILGISYNRLIRIDAATGIP
+ITTWRFTNMKQWNVNWEIRQVAIEFDQNVSIAFTCLSADCKVVHEYIGGYIFLSTRSKDQ
+NETLDEDLFHKLTGGQE
+>tr|Q2HJD2|Q2HJD2_BOVIN Glutamate ionotropic receptor kainate type subunit 5 OS=Bos taurus OX=9913 GN=GRIK5 PE=2 SV=1
+MSWRENCEASTYPGPALSAALMFDAVHVVVSAVRELNRSQEIGVKPLACTSANIWPHGTS
+LMNYLRMVEYDGLTGRVEFNSKGQRTNYTLRILEKSRQGHREIGVWYSNRTLAMNATTLD
+INLSQTLANKTLVVTTILENPYVMRRPNFQALSGNERFEGFCVDMLRELAELLRFRYRLR
+LVEDGLYGAPEPNGSWTGMVGELINRKADLAVAAFTITAEREKVIDFSKPFMTLGISILY
+RVHMGRKPGYFSFLDPFSPAVWLFMLLAYLAVSCVLFLAARLSPYEWYNPHPCLRARPHI
+LENQYTLGNSLWFPVGGFMQQGSEIMPRALSTRCVSGVWWAFTLIIISSYTANLAAFLTV
+QRMEVPVESADDLADQTNIEYGTIHAGSTMTFFQNSRYQTYQRMWNYMQSKQPSVFVKST
+EEGIARVLNSRYAFLLESTMNEYHRRLNCNLTQIGGLLDTKGYGIGMPLGSPFRDEITLA
+ILQLQENNRLEILKRKWWEGGRCPKEEDHRAKGLGMENIGGIFVVLICGLIIAVFVAVME
+FIWSTRRSAESEEVSVCQEMLQELRHAVSCRKTSRSRRRRRPGGPSRALLSLRAVREMRL
+SNGKLYSAGADAGSAHGGPQRLLDDPGPPGGARPPAPTPCTHVRVCQECRRIQALRASGA
+GAPPRGLGAPAEATSPPRPRPAGPRELAEHE
+>tr|Q08DG0|Q08DG0_BOVIN Nuclear receptor binding factor 2 OS=Bos taurus OX=9913 GN=NRBF2 PE=2 SV=1
+MEVMEGPLNLAHQQSRRADRLLAAGKYEEAISCHKKAAAYLSEAMKLTQSEQAHLSLELQ
+RDSHMKQLLLIQERWKRAKREERLKAQQSTDKDAAAQLQASHRPSAEDADSQSPLLSQKY
+SPSTEKHLPEIQGVFDRDPDTLLFLLQQKREPTEPCIGSKAPKDDKTIIEEQATKIADLK
+RHVEFLVAENERLRRENKQLKAEKARLLKGPTEKELDVDADFVEKSELWSLPPHSETAAA
+SSTWQKFASNTGKAKDIPIPNLPPLDFPSPELPLMELSEDFLKGFMNN
+>tr|A4IFB8|A4IFB8_BOVIN IPO4 protein OS=Bos taurus OX=9913 GN=IPO4 PE=2 SV=1
+MEPAGLEQILRELLLPDTERIRRATEQLQIALRDPASLSALCELLASGGDPQIRQFAAVL
+TRRRLSTRWRRLAAEQRESIKSLVLTVLQRETEHSVSLSLAQLSAAIFRKEGLEAWPQLL
+QLLQHSTHSPHIPEREMGLLLLSVVVTSRPEAFRPHHRELLRLLNETLGEVGSPGLLFYS
+LRTLTTMAPYLGIDDVPLARMLVPKLIVAVQTLIPIDEAKACEAMEALDELLESEVPIIT
+SHLSEVLTFCLEVAKNVALGDAIRVRILCCLTFLVKVKSKALLKNRFLPPLLHTLFPIMA
+AEPPLGQLDPEDQDVEEEELDPGLVGETPKHFAVQVVDMLALHLPPEKLCPLLMPMLEEA
+LRSQSPYQRKAGLLVLAVLSDGAGDHIRQRLLPPLLQIVCKSLEDPSQVVRNAALFALGQ
+FSENLQPHISSYSGDVMPLLLSYLKSVPPGHTHHLAKACYALENFVENLGPKVQPYLPEL
+MECMLQPLRTPSSSRSKELAVSALGAIATAAQASMLPYFPTIMAHLREFLLTSHEDLQPV
+RIQSLETLGVLVRAVGEPMRPLAEECCQLGLGLCDQVDDPDLRRCTYSLFAALSGLMGGS
+LAPHLPQITTLMLLSLRSTEGIVPQYDGSRSFLLFDDESSGEEEEELMEEDDEEEDDSEI
+SGYSVGNAFFDEKEDTCAALGEISVNTSVAFLPYMETVFEEVFKLLECPHLNVRKAAHEA
+LGQLCCALHKACQSCPSESNTAALQAALARVVPSYLQAVNGERERQVVMAVLEALTAVLR
+SCGGLALQPPGRLAELCQVLKAVLQRKTACQDADEEDEEDEEDQAEYDAMLLEHAGEAIP
+ALAAAAGGDAFAPFFAGFLPLLLCKTKQGCTVAEKSFAVGTLAESIQGLGAASAQFVSRL
+FPVLLSASREADPEVRSNAIFGLGVLAEHGGRPAQEHFPKLLGLLLPLLARERHDRVHDN
+ICGALARLLIASPTRKPEPQVLAPLLHALPLKEDLEEWVTMGHLFSFLYQSSPDQVVDVA
+PELLRIYSLIQAENKIPSDTKAALLLLLTFLAKQHTDSFHSALGSLPGDKAQELQAVLGL
+T
+>tr|A7Z068|A7Z068_BOVIN LMOD2 protein OS=Bos taurus OX=9913 GN=LMOD2 PE=2 SV=1
+MSTFGYRRGLSKYESIDEDELLASLSAEELKELERELDDIEPDHSLPVGMRQKSLTEKTP
+TGTFSREALMAYWEKESQKLLEKERLGECGKVAEEDKEESEEELVFTECNSEVSEDVYTE
+EEEEDEDEEEEDSEGEERTGANAEGMNGAVHSDSVHSDNARPKTFKSQIENIHLTNGHSG
+RNTESPPAAIHPCGNPTVIEDALEKIKNNDPDTTEVNLNNIENITSQTLARFAEALKANT
+VVKTFSLANTRADDSAATAIADMLRVNRHITSINIESNFITGKGILAIMRALQHNTVLTE
+LRFHNQRHIMGSQVEMEIVKLLRENTTLLRLGYHFELPGPRMSMTSLLTRNMDKQRQKRM
+QEQKQQEGYDGGANLRTKVWQRGTPGSSPYASPKHSPWSSPKLPKKVQTVRSRPPSPAAP
+PPPPPPPPPPPPPPPPPPLAPQRLPPPPPPPPPPAPEKKLITRNIAEVIKQQESAQRALQ
+NGQKKKKGKKAKKQPNNILKEIKNSLRSVQEKKMEDSSRPSTPQRSAHENLMEAIRGSSI
+KQLRRVEVPEALR
+>tr|A6QPJ3|A6QPJ3_BOVIN TRABD protein OS=Bos taurus OX=9913 GN=TRABD PE=2 SV=1
+MEEPEEQPPHEADTEPVVTSGASEAVPRVLPGDPQNLSDVDAFNLLLEMKLKRRRERPNL
+PHTVTELVAEDGSRVYVVGTAHFSDDSKRDVVKTIREVQPDVVVVELCQYRVSMLKMDER
+TLLREAKEISLEKLQQAIRQNGVASGLMQMLLLKVSAHITEQLGVAPGGEFREAFKEASR
+VPFCKFHLGDRPIPVTFKRAIAALSLWQKVKLAWGLCFLSDPISKDDVERCKQKDLLEQM
+MAEMVGEFPDLHRTIVSERDVYLTYMLRQAARRLELPRASDGEHGARGHGPRGVGGAQVL
+MRLPPQPSPGSASPPWWWASWAWATSLALRRTGPLTSTSRRS
+>tr|F1N1D5|F1N1D5_BOVIN Glucosylceramidase OS=Bos taurus OX=9913 GN=GBA PE=3 SV=1
+MELSSPSREEYPMPRGRVGIMAASLMGLLLLHTVSWVSGARPCSPKSFGYSSVVCVCNGT
+YCDSLDPLTLPDPGTFSRFESTRSGRRMELSLGTIQANRTGTGLLLTLQPDQKFQKVKGF
+GGAMTDAAALNILALSPAARNLLLKSYFSEEGIEYNIIRVPMASCDFSIRTYTYDDSPDD
+FQLLNFSLPEEDVKLKIPLIHQALELANRSVSLFASPWTSPTWLKTNGAVNGKGTLKGQA
+GDLYHKTWARYFVKFLDAYAEHKLRFWAVTAENEPTAGLLTGYPFQCLGFTPEHQRDFIA
+RDLGPILANSTHRDVRLLMLDDQRLLLPRWAQVVLADPEAAKYVHGIAVHWYLDFLAPAN
+ATLGETHRLFPNTMLFASEACVGSKFWEQSVRLGSWDRGMRYSHSIITNLLYHVVGWTDW
+NLALNPEGGPNWVRNFVDSPIIVDIAKDTFYKQPMFYHLGHFSKFIPEGSQRVGLVASKK
+SDLDTVALLRPDGSAVAVVLNRSSKDVPLTIKDPAVGFMETVSPGYSIHTYLWRRQ
+>tr|A0A3Q1LKZ9|A0A3Q1LKZ9_BOVIN Zinc finger MYM-type containing 2 OS=Bos taurus OX=9913 GN=ZMYM2 PE=4 SV=1
+MDTGSAGGLEVTDQTPVLLGRTAMAASLTDVGNSFSGPPNPLVNRSNKFQSSSVEDDDDV
+VFIEPVQPPPPCAPVVADQRTITFTSSKNEELPGNDSKILPSSKELASQKGSVSETIVID
+DEEDMETNQGQEKNSSSFIERRPSESKNRTNDVDFSPSSFSRSKTKTAVGPFNPGRMNVA
+GDAFQNGESAAHHNPDSWISQSASFPRNQKQPGVDSLSPVASLPKQIFQPSAQQQPTKPV
+KVTCANCKKPLQKGQTAYQRKGSAHLFCSTTCLSSFSHKPAPKKLCVMCKKDITTMKGTI
+VAQVDSSESFQEFCSTSCLSLYEDKQNPTKGALNKSRCTICGKLTEIRHEVSFKNMTHKL
+CSDHCFNRYRMANGLIMNCCEQCGEYLPSKGAGNNVLVVDGQQKRFCCQSCVSEYKQVGS
+HPGFLKEVRDHTPDSFLTQPEKYGKLTTCTGCRTQCRFFDMTQCIGPNGYMEPYCSTACM
+NSHKTKYAKSQSLGIICHFCKRNSLPQYQATMPDGKLYNFCNSSCVAKFQALSMQSSPNG
+QFVAPSDIQLKCNYCKNSFCSKPEILEWENKVHQFCSKTCSDDYKKLHCIVTYCEYCQEE
+KTLHETVNFSGVKRPFCSEGCKLLYKQDFARRLGLRCVTCNYCSQLCKKGATRELDGVVR
+DFCSEECCRRFQDWYYKAARCDCCKSQGALRERVQWRGEMKHFCDQHCLLRFYCQQSEPN
+MTTQRGPENLHYDQGCQTSRTKMTGSAPPPSPTPNKEMKNKAVLCKPLTMTKATYCKPHM
+QSKSCQTDGDWRTEYVPVPIPVPVYIPVPMHMYSQSIPVPTTVPVPVPVPVFLPAPLDSG
+EKTAVPEELKSTGSSDALGTELLTMTDMTEDEGKAEGADLHNVIIETDIIGSDLLKSAEP
+ETQSSMPDVPYEPDLDIEIDFPRAAEELDMENEFLLPPVFGEEYEEQPRPRSKKKGTKRK
+AVSGYQSHDDSSDNSECSFPFKYAYGVNAWRHWVRTRRFEEDLLVLDGLTPPKSVKLKED
+LLSHTTAELDYGLAHFVNEIRRPNGESYAPDSIYYLCLGIQEYLCGSNRKDNIFIDPGYQ
+TFEQELNKILRSWQPSILPDGSIFSRVEEDYLWRIKQLGSHSPVALLNTLFYFNTKYFGL
+KTVEQHLRLSFGTVFRHWKKNPLTMENKACLRYQVSSLCGTDSEDKITTGKRKHEDDEPV
+FEQIENTANPSRCPVKMFECYLSKSPQNLNQRMDVFYLQPECSSSADSPVWYTSASLDRN
+TLENMLVRVLLVKDIYDKDNYELDEDTD
+>tr|Q58DA2|Q58DA2_BOVIN GTP binding protein 5 OS=Bos taurus OX=9913 GN=MTG2 PE=2 SV=1
+MIPSRLFSARPQVMLEGVGFWMLAARVVPGPSCLLLLRASPRLLSVSCADCTKHQEPPRK
+QPLSEKKLKQHFVDHRRVLVRGGHGGNGVSCFHSEPRKEFGGPDGGDGGNGGHVVLRVDQ
+QVKSLSSVLSRYQGFDGEDGGRKNCFGRNGAVLYIRVPVGTLVKEGNEVLADLSRPGDEF
+IAAVGGTGGKGNRFFLANDNRAPTTCTPGQPGQERVLFLELKTVAHAGLVGFPNAGKSSL
+LRAISNARPAVAAYPFTTLNPHVGIVHYEDHQQIAVADIPGIIQGAHQNRGLGLAFLRHI
+ERCPFLLFLVDLSVPEPWTQLDDLKYELEQYDEGLSKRPYTVVANKIDLPQARARLPQLQ
+AHLGQEAIAPAAAVVAEEAAPGRPAATGDEEGAPGNWEALVQVPSCQPGTPSQHSPCPGT
+GSLGQRTLLPPPVCLQCLHACLRVSDVLQWAHLC
+>tr|A2VE98|A2VE98_BOVIN Transcription factor 15 OS=Bos taurus OX=9913 GN=TCF15 PE=2 SV=1
+MAFALLRPVGAHVLYPDVRLLSEDEENRSESDASDQSFGCCEGLEAARRGPGPGGGRRAA
+GSAGPVVVVRQRQAANARERDRTQSVNTAFTALRTLIPTEPVDRKLSKIETLRLASSYIA
+HLANVLLLGDAADDGQPCFRAAGSAKSAVSAAPEGGRQPRSICTFCLSNQRKGGSRRDLG
+GSCLKVRGVAPLRVPRR
+>tr|A7MBJ7|A7MBJ7_BOVIN KLHL18 protein OS=Bos taurus OX=9913 GN=KLHL18 PE=2 SV=1
+MVEDGAEELEDLVHFSVSELPSRGYGVMEEIRRQGKLCDVTLKIGDHKFSAHRIVLAASI
+PYFHAMFTNDMMECKQDEIVMQGMDPSALEALINFAYNGHLAIDQQNVQSLLMGASFLQL
+QSIKDACCTFLRERLHPKNCLGVRQFAETMMCALLYDAANSFIHQHFVEVSMSEEFLALP
+LEDVLELVSRDELNVKSEEQVFEAVLTWVRYDRDQRGPCLPELLSNIRLPLCRPQFLSDR
+VQQDDLVRCCHKCRDLVDEAKDYHLMPERRPHLPAFRTRPRCCTSIAGLIYAVGGLNSAA
+NFYAGDSLNVVEVFDPVANRWEKCHPMSTARSRVGVAVVNGLLYAIGGYDGQLRLSTVEV
+YNPEMDTWTRVRSMNSKRSAMGTVVLDGQIYVCGGYDGNSSLSSVETYSPETDKWTVVTP
+MSSNRSAAGVTVFEGRIYVSGGHDGLQIFSSVEHYNHHTATWHPAAGMLNKRCRHGAASL
+GSKMFVCGGYDGSGFLSIAEVYSSVADQWCLIVPMLTRRSRVSLVASCGRLYAVGGYDGQ
+SNLSSVEMYDPDTDRWTFMAPMACHEGGVGVGCIPLLTI
+>tr|E1BJA0|E1BJA0_BOVIN RAB33A, member RAS oncogene family OS=Bos taurus OX=9913 GN=RAB33A PE=4 SV=1
+MAQPILGHGNLQPTSAAGLASLELDSSLDQYVQIRIFKIIVIGDSNVGKTCLTFRFCGGT
+FPDKTEATIGVDFREKTVEIEGEKIKVQVWDTAGQERFRKSMVEHYYRNVHAVVFVYDVT
+KMTSFTNLKMWIQECNGHAVPPLVPKVLVGNKCDLREQIQVPSNLALKFADAHNMLLFET
+SAKDPKESQNVESIFMCLACRLKAQKSLLYRDAERQQGKVQKLEFPQEANSKTSCPC
+>tr|Q0IIB3|Q0IIB3_BOVIN Oxidation resistance 1 OS=Bos taurus OX=9913 GN=OXR1 PE=2 SV=1
+MSRLWYGKKGKRHQPINQKYTLITTREDINSKQSTPVKADLESESFRPNLSDPSHLLLPD
+QIIKLTKHLPPRTIGYPWTLVYGTRKHGTSLKTLYRTMTGLDTPVLMVIKDSDWQVFGAF
+ASQPFKVSDGFYGNGETFVFTFCPEFEVFKWTGDNMFFIKGDMDSLAFGGGGGEFALWLD
+GDLYHGRSHSCKTFGNHTLSKKEDFCIQDIEIWAFK
+>tr|F1MYE6|F1MYE6_BOVIN E3 ubiquitin-protein ligase Mdm2 OS=Bos taurus OX=9913 GN=MDM2 PE=3 SV=2
+MCNTNMSVSTDGAVSTSQIPASEQETLVRPKPLLLKLLKSVGAQKDTFTMKEVIFYLGQY
+IMTKRLYDEKQQHIVYCSNDLLGDLFGVPSFSVKEHRKIYTMIYRNLVVVSQQAEPSDSG
+TSVSENRCHLEGGSNQKDLVQELQEEKPSSSDMVSRPSTSSRRRAVSETEENSDELPGER
+QRKRHKSDNISLSFDESLALCVIREICCERSSSSESTGTPSNPDLDAGVSEHSGDWLDQD
+SVSDQFSVEFEVESLDSEDYSLSEEGQELSDEDDEVYRVTVYQAGESDTDSFEEDPEISL
+ADYWKCTSCNEMNPPLPPHCNRCWALRENWLPEDKGKDKGNMSEKAKLGDSMQEDEGFDV
+PDCKKSTVSDSRESCVEENDDKITQASLSQESEDYSQPSTSNSIIYSSQEDVKEFEKEET
+QDKEESMESSFPLNAIEPCVICQGRPKNGCIVHGKTGHLMACFTCAKKLKKRNKPCPVCR
+QPIQMIVLTYFP
+>tr|Q32PA7|Q32PA7_BOVIN Mitochondrial ribosomal protein L23 OS=Bos taurus OX=9913 GN=MRPL23 PE=2 SV=1
+MTRVDLRNYLERVYNVPVAAVRTRVQHGSNRRRDHRNVRIKQPDYKVAYVQLAHGQTFTF
+PDLFPKGKRPGGSSADEDLRDQVLEEQQQRQSPDPRRGGVPSWFGL
+>tr|Q9BDJ2|Q9BDJ2_BOVIN C motif chemokine ligand 1 OS=Bos taurus OX=9913 GN=XCL1 PE=2 SV=1
+MKLLILTCLVICSLAAYTVEGVGSEVLEKSICVSLTTQRLPIKNIKTYTIKEGSVKAVIF
+ITRRGFKICADPQAAWVKKAVQKIDRKNISQAKPTGA
+>tr|B0FPG4|B0FPG4_BOVIN Growth hormone OS=Bos taurus OX=9913 GN=GH1 PE=3 SV=1
+MMAAGPRTSLLLAFALLCLPWTQVVGAFPAMSLSGLFANAVLRAQHLHQLAADTFKEFER
+TYIPEGQRYSIQNTQVAFCFSETIPAPTGKNEAQQKSDLELLRISLLLIQSWLGPLQFLS
+RVFTNSLVFGTSDRVYEKLKDLEEGILALMREVEDGTPRAGQILKQTYDKFDTNMRSDDA
+LLKNYGLLSCFRKDLHKTETYLRVMKCRRFGEASCAF
+>tr|A2VE46|A2VE46_BOVIN Chloride intracellular channel 2 OS=Bos taurus OX=9913 GN=CLIC2 PE=2 SV=1
+MAGPRPSSEADPEIELFVKAGSDGESIGNCPFSQRLFMILWLKGVKFNVTTVDMTRKPKE
+LKDLAPGTNPPFLVYNKELKTDFIKIEEFLEQTLAPPRYPHLSPRNKESFDVGCNLFAKF
+SAYIKNTQKEANKTFEKSLLKEFKRLDDYLNTPLLDEIDPDSTEELTVSRRLFLDGDQLT
+LADCSLLPKLHIIKVAAKKYRDFDIPVEFSGVWRYLHNAYAREEFTHTCPEDKEIENTYA
+SVAKQKS
+>tr|Q08DK8|Q08DK8_BOVIN CaM kinase-like vesicle-associated OS=Bos taurus OX=9913 GN=CAMKV PE=2 SV=1
+MPFGCVTLGDKKNYNQPSEVTDRYDLGQVIKTEEFCEIFRAKDKTTGKLHTCKKFQKRDG
+RKVRKAAKNEIGILKMVKHPNILQLVDVFVTRKEYFIFLELATGREVFDWILDQGYYSER
+DTSNVVRQVLEAVAYLHSLKIVHRNLKLENLVYYNRLKNSKIVISDFHLAKLENGLIKEP
+CGTPEYLAPEVVGRQRYGRPVDCWAIGVIMYILLSGNPPFYEEVEEDDYENHDKNLFRKI
+LAGDYEFDSPYWDDISQAAKDLVTRLMEVEQDQRITAEEAISHEWISGNAASDKNIKDGV
+CAQIEKNFARAKWKKAVRVTTLMKRLRAPEQSGTAAAQSAPGTDTATPGAAGGATAASAA
+ASALGGSATPATAGDATKSENVAPADRSATPATDGSTTPATDGSVTPATDGSITPATDGS
+VTPATDRSVTPATDGRATPGMEESTVPTTQSSATPAAKAVATPEPALTQPDSTAPGGATG
+QAPPSSKGEEAAGCAQESRRVETS
+>tr|A5PKJ9|A5PKJ9_BOVIN C27H8ORF41 protein OS=Bos taurus OX=9913 GN=TTI2 PE=2 SV=1
+MEPHGPWESPPWEGSSLPGAWSSSALGQAFSQILHQFAGQESRRGKARSAALEDLAALLE
+AKECDRLFEGSDASLRGMPEMLGQVAKALGKYAAPAEEPEGRGDRHPDVAEKAAAVALLF
+LKLLRKVEAAKNSLVCPAWKTSLRPLAGPIYVFAVTHSLEQPWTSPRSQEVAGQVLALLL
+QVTECGSVAGFLHGENEDEKGRFTAIMGLLKPDLNKDSWKNNPATKYVFSWTLQKVTRPW
+LSQHLERTLPPSLLISDDYQTENKILGVHCLQHIVLNVPAADLLQYNRAQVLYHALFNHL
+YAREHHLIQAVLLCLLDLFPILEKAQHWKGDGARPTTHCDEVLQLILTHMEPEHRLLLRR
+TYSRNLPAFVKRLGILTVRHLKRLERVIIGYLEVYDGPEEEARLKILETLKLLLQYTWPR
+VSCRLVVLLKALLKLICDVARDSSLTPECVKSALLEEATDCLILLDRCASGRVKGLLAKI
+PRSCEDSQVGNCIRRVQQLSEGAPCDTT
+>tr|B9VJW0|B9VJW0_BOVIN G protein-coupled receptor 41 variant 1A OS=Bos taurus OX=9913 GN=GPR41 PE=2 SV=1
+MTNPDHSFFLGNHWLFFSVYLFTFLVGLPLNLMALVIFVGKLRRRPLAVDVLLLNLTLSD
+LVLLLFLPFRMVEAASAMHWSLPFVFCPFSRFLFFTTIYLTSLFLAAVSTERFLSVAYPL
+WYKTRPRPGQAGLVSGACWLLAAAHCSVVYVIEFSGNSSPSQGINGTCYLEFREDQLALL
+LPVRLEMAVVLFGVPLFISSYCYSRLVCILGRGASHRRRKRVAGLAAATLLNFLVCFGPY
+NMSHIVGYIQGKSPTWRSYVLLLSTLNSCVDPLVYYFSSSGFQADFHGLLGRLTGSWGPW
+RQENGVTSKKSEGEGPPQELFNIEAS
+>tr|F1MR22|F1MR22_BOVIN Polymeric immunoglobulin receptor OS=Bos taurus OX=9913 GN=PIGR PE=4 SV=3
+MSRLFLACLLAIFPVVSMKSPIFGPEEVTSVEGRSVSIKCYYPPTSVNRHTRKYWCRQGA
+QGRCTTLISSEGYVSDDYVGRANLTNFPESGTFVVDISRLTHKDSGRYKCGLGISSRGLN
+FDVSLEVSQDPAQASHAHVYTVDLGRTVTINCPFTRANSEKIKSLCKKTNQDCFQVIDSN
+SYVSDKYKDRIHLSILGTNTLVFSVVINRVKLSDAGMYVCQAGDDAKADKINIDLQVLEP
+EPELVYGDLRSSVTFDCSLGPEVAHVPKFLCQKKNGGACNVVINTLGKKAQDFQGRIVSV
+PKDNGVFSVHITSLRKEDAGRYVCGAQPEGEPQDGWPVQAWQLFVNEETAIPASPSVVKG
+VRGGSVTVSCPYNPKDANSAKYWCHWEEAQNGRCPRLVESRGLMKEQYEGRLVLLTEPGN
+GTYTVILNQLTDQDAGFYWCVTDGDTRWISTVELKVVQGEPSLKVPKNVTAWLGEPLKLS
+CHFPCKFYSFEKYWCKWSNRGCSTLPTQNDGPSQAFVSCDQNSQVVSLNLDTVTKEDEGW
+YWCGVKEGPRYGETAAVYVAVESRVKGSQGAKQVKAAPAGAAIQSRAGEIQNKALLDPSF
+FAKESVKDAAGGPGAPADPGRPTGYSGSSKALVSTLVPLALVLVAGVVAIGVVRARHRKN
+VDRISIRSYRTDISMSDFENSRDFEGRDNMGASPEAQETSLGGKDEFATTTEDTVESKEP
+KKAKRSSKEEADEAFTTFLLQAKNLASAATQNGPTEA
+>tr|Q1LZB8|Q1LZB8_BOVIN DBF4 homolog (S. cerevisiae) OS=Bos taurus OX=9913 GN=DBF4 PE=2 SV=1
+MNSGVMRIHSKGHFQGGIQVKNEKNRPSLKSLKTDNKPEKSKYKPLWGKVFYIDLPSVTT
+SEKLQKDIKDLGGRVEEFLSKDISYLISNKKEAKFAQTLGRISPIPSPESANTAETTSPH
+PSHDGSSFKSPDTVCLSRGKLLVEKAIKDHDFIPSNSILSNALSWGVKILHIDDIRYYIE
+QRKKELYLLKKSSTSARDVGKRVGVGTQKTKSRLKKPFVKVEDMSQLYRPFYLQLTNMPF
+INYSIQKPSSPFDAEKPSSTQKQTQVKLRIQTDGDKCGGIPVQFQLKEKKKKGYCECCLQ
+KYEDLDINKIQCWIPFSYYCKCCEKVWN
+>tr|A0A3Q1LUP9|A0A3Q1LUP9_BOVIN KIAA0319 OS=Bos taurus OX=9913 GN=KIAA0319 PE=4 SV=1
+MAPPTGELASLLLLVTFTGCAWTQCSEGRTYSNAIISPNLETSKIMPVPQTTPLGDCTSA
+CCDLSSCDLAWWFEGRCYLVSCPHKESCEPKKMGSIRSYLTFVLRPAQRPAPLLDYGEVM
+LNRDAPSGIWGDSLEDIRKDLPFLGKDQGLDMSEYSDDYRELEQNLFQPISKQEPRGSAE
+YTDWGLLPGSEGGFNSSAGDSPAAPAEKQLEDPELHKPNESAWTPAPKPSPEMLLLPLVT
+APPPGEELERETSQLHGQAGNSSGKEVLMPSHDPPPASLEVSPATVEKSPVLAVTPWSTE
+HSIPTLPTSTIPSEQPRSAPTAPRTVKQLMVSAGENIVITSPADEVELKASVVPAPPAET
+TYSYEWSLISHPEDYQGEIKQRHTQALNVSQLSIGRYAFKVAVSGENAFGEGFVNVTVKP
+AGRVNLPPTAIASPERQELSLPLVSALIDGSQSTDDTKIVSYHWEEVNGPFREEKTSADS
+AVLHLSNLVPGNYTFRLTVTDSDGATNSTTAALIVNSAVDYPPVANAGPNQTITLPQNSI
+TLNGNQSSDDHQIVLYEWSLVPGSENQEVAMQGVKTPYLHLSAMKEGDYTFQLMVTDSSK
+QQSMAVVTVTVQPENNRPPVAVAGPDKELILPVESTTLDGSRSSDDHGIVFYRWERVRGP
+SAVEMENFDKAIATVSGLQVGTYHFRLTVKDQQGLSSTTTLTVAVKKENNSPPRAQAGGR
+HVLVLPNNSITLDGSRSTDDQGIVSYLWIRDGQSPAAGDVIDGSDHSSALQLTNLVEGIY
+TFRLRVADGQGASDTDTATVEVRPDPKKGGLVELILQVGVGQLTEQHKDTLVRQLAALLN
+VLDSDIRVQKIQAHSDLRMPSEVFWPWSL
+>tr|Q0P5G6|Q0P5G6_BOVIN Chromosome 6 open reading frame 25 OS=Bos taurus OX=9913 GN=MPIG6B PE=2 SV=1
+MTLVLQLLPLLLLSRALGDPGASLNGHPGDRVNLSCIGVSQPTRWVWAPRFPACKGLSKG
+RRPILWASPSGTPTVPPAQPFAGRINALDLGIRRLELLLSAGDSGTFICKGRQEEESRTE
+LHVLGDRAYCKALGSSYSQILIPLLGAGLVLGLGVLGWACWRRRRSPPHPPEPTPRFALS
+PPHSSTRESRAPEARRGGRAQDARGPGSGAEPALRRPGSYGPQKFQPTVPSGPC
+>tr|C3W8S1|C3W8S1_BOVIN Motilin OS=Bos taurus OX=9913 GN=MLN PE=4 SV=1
+MLSRKATAVLLAVHAAAMLASQTEAFVPIFTYGEVQRMQEKERYKGQKKSLSVQQRSEEV
+GPVDPTEPWEEKQEVIKLTAPVEIGMRMNSRQLEKYQATLEGLLREVLPPSRNAQ
+>tr|Q3T0V2|Q3T0V2_BOVIN Aminoacylase 1 OS=Bos taurus OX=9913 GN=ACY1 PE=1 SV=1
+MASEGREGEHPSVTLFRQYLRIRTLQPEPDYGAAVAFFEERALQLGLGCQKVEEYWSHDP
+FEAFKDADGYIYGRGAQDMKCVSIQYLEAVRRLKAEGHHFPRTIHLTFVPDEEIGGHQGM
+ELFVKRPEFQALRAGFALDEGLANPTDAFTVFYSERSPWWVRVTSTGKPGHGSRFIEDTA
+AEKLHKVVSSILAFREKERQRLQSDPQLKEGAVTSVNLTILEGGVAYNVVPATMSASFDF
+RVAPDVDLKAFEGQLQDWCQAAGEGVTFEFAQKWTEPQVTPTDDSDPWWAAFSGACKDMN
+LTLEPEIFPAATDSRYLRAVGVPALGFSPMNRTPILLHDHDERLHEAVFLRGVDIYTRLL
+PALASVPVLPSES
+>tr|Q3SX36|Q3SX36_BOVIN TFG protein OS=Bos taurus OX=9913 GN=TFG PE=1 SV=1
+MNGQLDLSGKLIIKAQLGEDIRRIPIHNEDITYDELVLMMQRVFRGKLLSNDEVTIKYKD
+EDGDLITIFDSSDLSFAIQCSRILKLTLFVNGQPRPLESSQVKYLRRELIELRNKVNRLL
+DSLEPPGEPGPSSSIPENDTVDGREEKPAAADSSGKQSTQVMAASMSAFDPLKNQDEINK
+NVMSAFGLTDDQVSGPPSAPAEDRSGTPDSIASSSSAAHPPGVQPQQPPYTGAQTQAGQS
+EGQMYQQYSQQPGYGTQQPQAPPQAPQQYGIQYSAGYSQQTGPQQPQQFPGYGQQPTSQA
+PAPAFSGQPQQLPAQPPQQYQASSYPQQTYTTQTSQPTNYTVAPASQPGMAPSQPGAYQP
+RPGFTPPPGSTMTPLASGSNPYARSRPPFGQGYTQPGPGYR
+>tr|A6QP30|A6QP30_BOVIN CPN2 protein OS=Bos taurus OX=9913 GN=CPN2 PE=2 SV=1
+MLPGAWLCWACLLLLARPTLPCPQGCDCFIREVFCSDEGLAAVPLDIPPHATDIIFVETS
+FTVVGSRAFSSSPNLTKVVFLNTRVCHFRPDAFGGLPGLQDLEITGGNFSNFSADIFSNL
+ISLSKFTLNFNMLEALPEGLFQHMDGLESLQLQGNRLQTLPQRLFQPLRCLKTLNLAQNL
+LAYLPEELFHPLGRLQTLRLSNNQLASLPRGLFSRLGSLQELFLDGNSISELPPEVFAQL
+SCLEKLWLQHNAIGHLPGSVFSALPNLTFLSLQGNALQTLPAGLFTQSPGLVSLSLSHNQ
+LETVPEAAFANLTSLGSLMLSHNALTHLPAGVFRGLKGLVKLYLSSNNLTVLHPALFQNL
+SKLELLSLSRNLLTTLPEGIFDTNDNLFNLALYGNPWQCDCHLAYLFSWLYQYSDRLFNI
+QTYCAGPAYLKGQVVPALKEEQLVCPITRDHLGFQAAPGPQDREPGGAWDLVAEERAARS
+RCTYSNPEGTVVLACDKARCRWLNVQLSPRQGSGSPGLPINASQEWDLKSSCGSVRVTVS
+IEALAGEP
+>tr|F1MNF8|F1MNF8_BOVIN Tubulin alpha chain OS=Bos taurus OX=9913 GN=LOC100141266 PE=3 SV=1
+MRECISIHVGQAGVQIGNACWELYCLEHGIQPDGQMPSDKTIGGGDDSFNTFFSETGAGK
+HVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNYARGHYTIGKEIIDLVLD
+RVRKLADQCTGLQGFLVFHSFGGGTGSGFTSLLMERLSVDYGKKSKLEFSIYPAPQVSTA
+VVEPYNSILTTHTTLEHSDCAFMVDNEAIYDICRRNLDIERPTYMNLNRLMSQIVSSITA
+SLRFDGALNVDLTEFQTNLVPYPRIHFPLATYAPVISAEKAYHEQLSVAEITNACFEPAN
+QMVKCDPRHGKYMACCLLYRGDVVPKDVNAAIATIKTKRTIQFVDWCPTGFKVGINYQPP
+TVVPGGDLAKVERAVCMLSNTTAIAEAWARLDHKFDLMYAKRAFVHWYVGEGMEEGEFSE
+AREDMAALEKDYEEVGADSAEGDDEGDEY
+>tr|F1N426|F1N426_BOVIN DNA-binding protein SATB OS=Bos taurus OX=9913 GN=SATB2 PE=3 SV=3
+MERRSESPCLRDSPDRRSGSPDVKGPPSAKVARLEQNGSPMGARGRPNGAVAKAVGGLMI
+PVFCVVEQLDGSLEYDNREEHAEFVLVRKDVLFSQLVETALLALGYSHSSAAQAQGIIKL
+GRWNPLPLSYVTDAPDATVADMLQDVYHVVTLKIQLQSCSKLEDLPAEQWNHATVRNALK
+ELLKEMNQSTLAKECPLSQSMISSIVNSTYYANVSATKCQEFGRWYKKYKKIKVERVERE
+NLSDYCVLGQRPMHLPNMNQLASLGKTNEQSPHSQIHHSTPIRNQVPALQPIMSPGLLSP
+QLSPQLVRQQIAMAHLINQQIAVSRLLAHQHPQAINQQFLNHPPIPRAVKPEPTNSSVEV
+SPDIYQQVRDELKRASVSQAVFARVAFNRTQGLLSEILRKEEDPRTASQSLLVNLRAMQN
+FLNLPEVERDRIYQDERERSMNPNVSMVSSASSSPSSSRTPQAKTSTPTTDLPIKVDGAN
+VNITAAIYDEIQQEMKRAKVSQALFAKVAANKSQGWLCELLRWKENPSPENRTLWENLCT
+IRRFLNLPQHERDVIYEEESRHHHSERMQHVVQLPPEPVQVLHRQQSQPAKESSPPREEA
+PPPPPPTEDSCAKKPRSRTKISLEALGILQSFIHDVGLYPDQEAIHTLSAQLDLPKHTII
+KFFQNQRYHVKHHGKLKEHLGSAVDVAEYKDEELLTESEENDSEEGSEEMYKVEAEEENA
+EKSKAAPAEIDQR
+>tr|A1L5A7|A1L5A7_BOVIN Enigma protein OS=Bos taurus OX=9913 GN=DBN1 PE=2 SV=1
+MDSFKVVLEGPAPWGFRLQGGKDFNVPLSISRLTPGGKAAQAGVAVGDWVLSIDGENAGG
+LTHIEAQNKIRACGERLSLSLSRAQPAQSKPQKALAPAADPPRYTFAPSASLNKTARPFG
+ANPPADSAPQQNGQPLRPLVPDASKQRLMEDTEDWRPRPGTGQSRSFRILAHLTGTEFMQ
+DPDEEHLKKSSQVPRTEAPTPASATPQEPWPGPTTPSPTSRPPWAVDPAFAERYAPDKTS
+TVLTRHTQPATPTPMQNRTSIVQAAAGGGHGGGGGSNGKTPVCHQCHKVIRGRYLVALGR
+AYHPEEFVCSQCGKVLEEGGFFEEKGAIFCPPCYDVRYAPSCAKCKKKITGEVMHALKTT
+WHVHCFTCAACKAPIRNRAFYMEEGAPYCEPDYEKMFGTKCRGCDFKIDAGDRFLEALGF
+SWHDTCFVCAICQINLEGKTFYSKKDKPLCKSHAFSHV
+>tr|A6QNL0|A6QNL0_BOVIN Monocyte differentiation antigen CD14 OS=Bos taurus OX=9913 GN=CD14 PE=2 SV=1
+MVRVCVPYLLLLLLPSLLRVSADTTEPCELDDDDFRCVCNFTDPKPDWSSAVQCMVAVEV
+EISAGGRSLEQFLKGADTNPKQYADTIKALRVRRLKLGAAQVPAQLLVAVLRALGYSRLK
+ELTLEDLEVTGPTPPTPLEAAGPALTTLSLRNVSWTTGGAWLGELQQWLKPGLRVLNIAQ
+AHSLAFPCAGLSTFEALTTLDLSDNPSLGDSGLMAALCPNKFPALQYLALRNAGMETPSG
+VCAALAAARVQPQSLDLSHNSLRVTAPGATRCVWPSALRSLNLSFAGLEQVPKGLPPKLS
+VLDLSCNKLSREPRRDELPEVNDLTLDGNPFLDPGALQHQNDPMISGVVPACARSALTMG
+VSGALALLQGARGFA
+>tr|A7YWK4|A7YWK4_BOVIN Mediator of RNA polymerase II transcription subunit 19 OS=Bos taurus OX=9913 GN=MED19 PE=2 SV=1
+MENFTALFGAQADPPPPPAALGFGPGKPPPPPPPPPGGGPGPAPPPTAASAPPGSDKSAA
+GCGPFYLMRELPGSTELTGSTNLITHYNLEHAYNKFCGKKVKEKLSNFLPDLPGMIDLPG
+SHDNSSLRSLIEKPPILGGSFNPITGTMLAGFRLHTGPLPEQCRLMHIQPPKKKNKQKHK
+QSRTQDPVPPETPSDSDHKKKKKKKEEDPERKRKKKEKKKKKNRHSPEHPGMGSSQASSS
+SSLR
+>tr|E1BMJ5|E1BMJ5_BOVIN Patatin like phospholipase domain containing 6 OS=Bos taurus OX=9913 GN=PNPLA6 PE=4 SV=3
+MDAPLQTGMVLGMMIGAGVAVLVTAVLILLLVRRLRVPKAPAPDGPRYRFRKRDKVLFYG
+RKIMRKVSQSTSSLVDASVSTTSRPRMKKKLKMLNIAKKILRIQKEAPTLQRKEPPPAVL
+EADLTEGDLANSHLPSEVLYMLKNVRVLGHFEKPLFLELCRHMVFQRLSQGDYVFRPGQP
+DASIYVVQDGLLELCLPGPDGKECVVKEVVPGDSVNSLLSILDVITGHQHPQRTVSARAA
+RDSTVLRLPVEAFSAVFTKYPESLVRVVQIIMVRLQRVTFLALHNYLGLTNELFSHEIQP
+LRLFPSPGLPPRTSPVRGSKRMVSTVASEELRETPGRPSDPTGAPLPGTGGTQGDPVKPT
+SLETSSAPLLSRCISMPVDISGLQGGPRSDFDMAYERGRISVSLQEEASGGFQAASARTP
+SQEPREQPAGACEYSYCEDESASGSCPFGPYQGRQTSSIFEAAKQELAKLMQIEDPTLLN
+SRVLLHHAKAGTIIARQGDQDVSLHFVLWGSLHVYQRMIDKAEDVCLFVVQPGELVGQLA
+VLTGEPLIFTLRAQRDCTFLRISKSNFYEIMRAQPSVVLSAAQTVAARMSPFVRQMDFAI
+DWTAVEAGRALYRQGDRSDCTYIVLNGRLRSVIQRGSGKKELVGEYGRGDLIGVVEALTR
+QPRATTVHAVRDTELAKLPEGTLGHIKRRYPQVVTRLIHLLSQKILGNLQQLRGPFPGSG
+LGVPPHSELTNPASNLSTVAVLPVCAEVPMVAFMLELQHALQAIGPTLLLNSDIIRARLG
+ASALDSIQEFRLSGWLAQQEDTHRIVLYQTDTSLTPWTVRCLRQADCILIVGLGDQEPTL
+GQLEQMLENTAVRALKQLVLLHREEGAGPTRTVEWLNMRSWCSGHLHLRCPRRLFSRRSP
+AKLHELYEKVFSRRADRHSDFSRLARVLTGNTIALVLGGGGARGCSHIGVLKALEEAGVP
+VDLVGGTSIGSFIGALYAEERSASRTKQRAREWAKSMTSVLEPVLDLTYPVTSMFTGSAF
+NRSIHRVFQDKQIEDLWLPYFNVTTDITSSAMRVHKDGSLWRYVRASMTLSGYLPPLCDP
+KDGHLLMDGGYINNLPADIARSMGAKTVIAIDVGSQDETDLSTYGDSLSGWWLLWKRLNP
+WADKIKVPDMAEIQSRLAYVSCVRQLEVVKSSSYCEYLRPPIDCFKTMDFGKFDQIYDVG
+YQYGSTVFGGWSRGDIIEKMLTDRWSADLNESRRADVLAFPSSGFTDLAEIVSRIEPPTT
+SYVSVSDGCADGEESDCLTEYEEDAGPECSRDEGGSPEGASPSTALEMEEEKSILRHRRW
+LPQEPSSPAADT
+>tr|A6QNU8|A6QNU8_BOVIN C24H18ORF10 protein OS=Bos taurus OX=9913 GN=TPGS2 PE=2 SV=1
+MEETSPPLLGSSKPHLEKLTLGVTRILESSPGVTEVTIIEKPPAERHMISSWEQKNNCVL
+PEDLKNFYLMTNGFHMTWNVKLDEHTIPLGSMAINSISKLTQLNQSSMYSLPNAPTLADL
+EDDIQEASENHPEKPHFDSRSVIFELDPCNGNGKVCLVYKRGKPGLAQDTEIWFLDRALY
+WHFLTDTFTAYYRLLITHLGLPQWQYAFTSYGISPQAKQWFNMYKPITYNTNLLTEETDS
+FVNKLDPSKVFKSKNKTIIPKKKGPVQPAGGQKGPSGSASTSKSSSGSGNPVRK
+>tr|F1MRV6|F1MRV6_BOVIN Galactose-3-O-sulfotransferase 1 OS=Bos taurus OX=9913 GN=GAL3ST1 PE=4 SV=3
+MPLPQKKRWESMAKGLVLGALFTSFLLLLYSYAVPPLYTGLASTTPEGAAPCSPAPREPE
+APTSANGSAGGCQPRRDIVFMKTHKTASSTLLNILFRFGQKHGLKFAFPNGRNDFDYPAF
+FARSLVQDYRPGACFNIICNHMRFHYDEVRGLVAPNAAFITVLRDPARLFESSFHYFGSV
+VPFTWKLSGRDKLAEFLQDPDRYYDPRGYNAHYLRNLLFFDLGYDSDLDPSSPQVQEHIL
+EVERHFHLVLLQEYFDESLVLLKDLLCWELEDVLYFKLNARRASAVPRLSGELYRRATAW
+NVLDARLYRHFNASFWRKVEAFGRERMAREVAALRRANERMRRICIDGGRAVDAAAIEDS
+AMQPWQPLGAKSILGYNLKKSIGQRHAQLCRRMLTPEIQYLMDLGANLWFIKLCKFIRDF
+LRW
+>tr|E1BAD8|E1BAD8_BOVIN Midline 1 OS=Bos taurus OX=9913 GN=MID1 PE=4 SV=2
+METLESELTCPICLELFEDPLLLPCAHSLCFNCAHRILVSHCATNESVESITAFQCPTCR
+HVITLSQRGLDGLKRNVTLQNIIDRFQKASVSGPNSPSETRRERAFDANSMTSAEKVLCQ
+FCDQDPAQDAVKTCVTCEVSYCDECLKATHPNKKPFTGHRLIEPIPDSHIRGLMCLEHED
+EKVNMYCVTDDQLICALCKLVGRHRDHQVAALSERYDKLKQNLESNLTNLIKRNTELETL
+LAKLIQTCQHVEVNASRQEAKLMEECDLLIEIIQQRRQIIGTKIKEGKVMRLRKLAQQIA
+NCKQCIERSASLISQAEHSLKENDHARFLQTAKNITERVSMATASSQVLIPEINLNDTFD
+TFALDFSREKKLLECLDYLTAPNPPTIREELCTASYDTITVHWTSDDEFSVVSYELQYTI
+FTGQANVVSLCNSADSWMIVPNIKQNHYTVHGLQSGTKYIFIVKAINQAGSRSSEPGKLK
+TNSQPFKLDPKSAHRKLKVSHDNLTVERDESSSKKSHTPERFTSQGSYGVAGNVFIDSGR
+HYWEVVISGSTWYAIGLAYKSAPKHEWIGKNSASWALCRCHNTWVVRHNSKEIPIEPAPH
+LRRVGILLDYDNGSIAFYDALNSIHLYTFDITFSQPVCPTFTVWNKCLTIITGLPIPDHL
+DCTEQLP
+>tr|Q9TUQ0|Q9TUQ0_BOVIN Anion exchange protein OS=Bos taurus OX=9913 GN=SLC4A1 PE=2 SV=1
+MDEKNQEIQWMETARWVGLEENLGKDGIWGRPHLPYLNFWSLLELQKAFAKGTVLLDLPG
+KSLAEVANQLLDRFTFEGQIQPDDQDNLLRVLLLKHSHASDMEALGGVKPVVVTHSGDPS
+EPLLPQHPSLETELFCEQGEGSTRGHAPEILGKSPQDWEATLVLVGCARFLKRPVLGFVR
+LKEPMEPEPKPEGSEEPAVPVRFLIVLLGPEGPNINYTQLGRAAATLMSERVFWNDAYLA
+QSKETLVQSLEGFLDCSLVLPPLDAPSEKALLSLVPVQKELLRRRYLPSPAKPDPSIFKD
+LDVKKGPGDTPEDPLQRTGKLFGGLVRDIRRRYPRYLSDITDALSPQVLSAIIFIYFAAL
+TPAITFGGLLGDKTENMIGVSELLLSTALQGIIFSLLGAQPLLVLGFSGPLLVFEEAFYS
+FCQTNNLEYIVGRVWIGFWLILLVVLVVAFEGSFLVRFISRYTQEIFSFLISLIFIYETF
+YKLVTIFQDHPLQKNYDHDVLTTPKPQAALPNTALLSLVLMAGTFFLAMMLRKFKNSSYF
+PGKLRRIIGDFGVPISILIMVMVDALIQDTYTQKLSVPEGLSVSNPTERDWLIHPLGIRV
+EFPIWMMFASALPALLVFILIFLESQITTLIISKPERKMVKGSGFHLDLLLIIGMGGVGA
+IFGMPWLSATTVRTVTHANALTVMSKDSTPGAVSQIQGVKEQRISGLLVAVLVGVSILMG
+PVLRHIPLAVLFGIFLYMGVTSLSGIQLFDRVLLLLKPRKYYPEVPYARRVKTWRMHLFT
+ITQIVCLVVLWVVRSIKQISLALPFILILTVPLRRFLLPFIFRDMELKLLDADDVKLNLD
+EQNGQDEYDEVAMPV
+>tr|F1MPY3|F1MPY3_BOVIN WD repeat domain 73 OS=Bos taurus OX=9913 GN=WDR73 PE=4 SV=3
+MAEIVPTQGRYQDFHAFDLSGATRVLEWIGDKGILVAGYDSLKKNEILHLILPLRLSVKE
+NQGLFPERDFKVQHGGFSDRSVCDLKHVPDTRLLVTSGPPGSYLQVWQLAEDSDVIRAVS
+TIDVHEREEPLWPRVAIFPSVAPGVLHGTRLSSLKVVDLHSQKTMYTSGVSDDDVLSSLQ
+VLDTDTFAFCCSSGRLGLVDTRQRWAPSENLSPGPGSTGRQWCAEAGGRGPGPSIARLGS
+DGQLCLLDPRDLCQPVSSVQCPVSMPSPEPELLRVTWAPGLDNCLAISGFDGTVQVYDVT
+SWAGVGRQVEPLFTHRGHIFLDDSGMDPAPLVTTHTWHPYKPRTVLSAASDASLHVWDWV
+DPCASR
+>tr|Q1LZD8|Q1LZD8_BOVIN Ankyrin repeat domain 40 OS=Bos taurus OX=9913 GN=ANKRD40 PE=2 SV=1
+MAEPQLDVKKPSGEHKQGDSNQQLPTDPGDNAKTADTCPELVLKVRIQSHKENDFIEVEL
+DRQELSYQNLLQVSCYELGINPEQVEKIRKLPNTLLRKDKDILRLQDFQEVELILMKNGS
+SELAEYTPSLLEKPCYNSNAAKMTY
+>tr|F1MGK8|F1MGK8_BOVIN Oncostatin M receptor OS=Bos taurus OX=9913 GN=OSMR PE=4 SV=3
+MALFAVLQTTFLLALLPLRTYQSEVLSEPLPLTPESLNVSINSTKQCMHLQWTVHNPDYH
+QEFKMVFQIQISRFKASNVIWLENYSTTVKRNQVLHWSWESELPLECAIHFVRMRTMVDD
+ARIPAPRLWSNWSSWEKADAQNSLGDHPLYVFPKDKLVEEGSNVTVCYISMNHQDNISCY
+LEDVLIYGRQLDQNVWAFEFKNVSFIRKTGTNFYCKGQGDPEGVVLLVSKVLEEPKNFSC
+ETQDLKTLTCTWDPGNDTGLIQLPSYTLFESFSGKKTLCKHRNRCDWQVTQDSQEMYNFT
+LVAENYFRKRSVSILFNLIHGVHPMAPYSLFPKSVSATNATVTWKVYSIGSSSALLCQLQ
+LLGEEK
+>tr|A0A3Q1LYX7|A0A3Q1LYX7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+RGRPRRPGPTPSPFLSSSFLRYRPLILDQWQHVELSDGTAHTITDAYAGKEYIIQVAAKD
+NEIGTWSDWSVAAHATPWTEEPRHLTTEAQAPGEPPLTPCCLLSSWDLERVPQGSLNPVW
+LPHSLGLSNPCCSLSLLFGHSQQGPLDGEVICAPGGSSKDLPDG
+>tr|G8CY12|G8CY12_BOVIN Beta-defensin (Fragment) OS=Bos taurus OX=9913 PE=2 SV=1
+MKSLLFTLILFILLVQLVSGNWYVRKCANKTGNCRSTCRNGERVINPPTGMCSKEKLCCI
+LDNGCSKTVPPGGSSMTSAATGGSTTGIA
+>tr|Q0VCD1|Q0VCD1_BOVIN KCNK2 protein OS=Bos taurus OX=9913 GN=KCNK2 PE=2 SV=1
+MEDGLHDFPGGRPLSHHRSHRVQSLGAASRDFPADHHRDPEADVYFPTRLCQFNRAGRTH
+PGFGNISPRTEGGKIFCIIYALLGIPLFGFLLAGVGDQLGTIFGKGIAKVEDTFIKWNVS
+QTKIRIISTIIFILFGCVLFVALPAIIFKHIEGWSALDAIYFVVITLTTIGFGDYVAGGS
+DIEYLDFYKPVVWFWILVGLAYFAAVLSMIGDWLRVISKKTKEEVGEFRAHAAEWTANVT
+AEFKETRRRLSVEIYDKFQRATSIKRKLSAELAGNHNQELTPCRRTLSVNHLASERDVLP
+SLLKTESIYLNGLTPHCAGEEIAVIENIK
+>tr|F1N7K1|F1N7K1_BOVIN Ropporin-1-like protein OS=Bos taurus OX=9913 GN=ROPN1L PE=4 SV=1
+MPLPDTMFCAQQIHIPPELPDILKQFTKAAIRTQPADVLQWSAGYFSALSRGDPLPVKDR
+IEMPMATQKTDTGLTQGLLKVLHKQCSHKEYVDLADLEQKWKNLCLPVEKFRALLQLDPC
+EDKIEWIKFLALGCSMLGGSLNTAMKHLCEILTTDPEGGPARIPFGTFSYVYRYLSGLDS
+DIPESDTEAYLSSLKENAAARKNGMIGLSDFFVLKRKI
+>tr|A7YWC9|A7YWC9_BOVIN LOC510385 protein OS=Bos taurus OX=9913 GN=UTP25 PE=2 SV=1
+MGKRGSRSQSQLLGSLTKKQKKHLRDFGEEHPFYDRVSRKEAKPQTCQLSESSDTSSSES
+EAESEPEQVSGYHRLLATLKNVSKEEEEEEEAEEDSVMDETEMNLEDGDSDISEEEDMAA
+ESTDMQSSVASPADPEGKDGHGPPGTSEESPEEFTDAKHESLFSLETNFLEEESGGDSSP
+KASQDPFVQHVNTKLKEKEIQAVATNPKTTHQLKWPILGQVVFSSKFQKLETFKPPKDID
+LKSVHLQKPLESTWIKTNSQFLSGPQKSNSPFTPLQKELFLIMNSYRDLFYPERTALKNG
+EEIRHVYCLHVINHVLKANAQVLGNNSRRRSQKLGVGDDDDFRDQGLTRPKVLIVVPFRE
+AALRVVQLFISLLEGDSKKKIIVSNKKRFNGEYGSDPEERPPNLKRPEDYEAVFVGNIDD
+HFRIGVAILQRSIRLYAPFYSSDILIASPLGLRTIIGGEGEKKRDFDFLSSIELLIIDQA
+DIYLMQNWEHVLHLMNHMNLLPLDSHGVDFSRVRMWSLNNWSKYYRQTLLFGALQDAQIN
+SVFNKYCVNVQGQVAVRSVPMTGSISRVLVQLPHVFQRMQVESLASVIDARFNFFVNKIL
+PQYRDAVMSHTLIYVPSYFDFVRLRNYFKKEELNFAHICEYTQKSGVSRARHFFLQGEKQ
+FLLLTERFHFYKRYTIKGIRNLIFYELPTYPHFYSEVCNMLRATSRGEEAAWTCTVLYSK
+YDAQRLAAVVGVERAAQMLQSKKNVHLFITGER
+>tr|A7MB53|A7MB53_BOVIN AKIRIN1 protein OS=Bos taurus OX=9913 GN=AKIRIN1 PE=2 SV=1
+MACGATLKRPMEFEAALLSPGSPKRRRCAPLSGPTPGLRPPDAEPPPLLQTQIPPPTLQQ
+PAPPGSDRRLPTPEQIFQNIKQEYSRYQRWRHLEVVLNQSEACTSESQPHSSTLTAPSSP
+GSSWMKKDQPTFTLRQVGIICERLLKDYEDKIREEYEQILNTKLAEQYESFVKFTHDQIM
+RRYGTRPTSYVS
+>tr|F1MPL6|F1MPL6_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 GN=BOLA-NC1 PE=1 SV=3
+MRYFLTAVSRPGLGEPRFITVGYVDDTQFVRFDSDRPDPRMEPRARWVEDEGPEYWDQET
+RIQKENTQTFRANLNTLLGYYNQSEAGSHTIQWMHGCGVGSDGRLLRGYNQLAYDGKDYL
+ALNEDLRSWTAADTAAQITKRKWEAAGEAERFRNYLEGECVKLLRRHLENGKDTLLRADP
+PKAHVAHHPSSEREVTLRCWALGFYPEEISLTWQRNGEDQIQDMELVETRPSGDGTFQKW
+AALAVPSGEEQRYTCRVQHEGLQGPLTLRWGKGGEPPQPSVPIMGIILVLVLLVVAVVAR
+AVIWSKKR
+>tr|Q0VCW5|Q0VCW5_BOVIN Phosphodiesterase OS=Bos taurus OX=9913 GN=PDE1B PE=2 SV=1
+MELSPRSPPEMLESDCPSPLELKSAPSKKMWIKLRSLLRYMVKQLENGEVNIEELKKNLE
+YTASLLEAVYIDETRQILDTEDELQELRSDAVPSEVRDWLASTFTQQTRAKGRRAEEKPK
+FRSIVHAVQAGIFVERMFRRTYTSVGPTYSTAVLNCLKNVDLWCFDVFSLNRAADDHALR
+TIVFELLTRHNLISRFKIPTVFLMTFLDALETGYGKYKNPYHNQIHAADVTQTVHCFLLR
+TGMVHCLSEIEVLAIIFAAAIHDYEHTGTTNSFHIQTKSECAILYNDRSVLENHHISSVF
+RMMQDDEMNIFINLTKDEFVELRALVIEMVLATDMSCHFQQVKSMKTALQQLERIDKSKA
+LSLLLHAADISHPTKQWSVHSRWTKALMEEFFRQGDKEAELGLPFSPLCDRTSTLVAQSQ
+IGFIDFIVEPTFSVLTDVAEKSVQPTGDDDSKSKNQPSFQWRQPSLDVEVGDPNPDVVSF
+RSTWTKYIQENKQKWKERAASGITNQMSIDELSPCEEEAPASPAEDEHNQNGNLD
+>tr|A0A3Q1MRX0|A0A3Q1MRX0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MSAPTPPRLLDLAGRHLLRAEDLDVSTLESVPTELFPPLFLEAFDGYRTETLKAMVQTWP
+FVRLPLGALIDLPHVGPLQAVLEALDVLLAQKVRSRCLRSPLDSLSITKCRLTESDLTHV
+SQSPDISQLKSLDLSGVPMTDFRPELLQVLLEKVAATLQEVDLDACGITDSQLEAFLPAL
+SRCSQLRAVSLCGNLLSAAVLEKLLRHTAVLPCLRQERYPAPQESYRPRGVLLEARLARL
+RAQLLEILRDLGRPRIIWISLSPCPRCGEDVCHHMEPIVYSCPSFQ
+>tr|A5D7T5|A5D7T5_BOVIN Serine/threonine-protein phosphatase OS=Bos taurus OX=9913 GN=PPP3CC PE=2 SV=1
+MSGRNLHLSTTERVIKAVPFPPTQRLSLKEVFENGKPKIDVLKNHLVKEGRLEEEVALKI
+INDGAAILRQEKTMIEVDAPVTVCGDIHGQFFDLMKLFEVGGSPSNTRYLFLGDYVDRGY
+FSIECVLYLWSLKINHPKTLFLLRGNHECRHLTEYFTFKQECRIKYSEQVYDACMETFDC
+LPLAALLNQQFLCVHGGMSPEITCLDDIRKLDRFKEPPAFGPVCDLLWSDPSEDYGNEKT
+LEHYTHNTVRGCSYFYSYPAVCEFLQNNNLLSIIRAHEAQDAGYRMYRKSQMTGFPSLIT
+IFSAPNYLDVYNNKAAVLKYENNVMNIRQFNCSPHPYWLPNFMDVFTWSLPFVGEKVTEM
+LVNILNICSDDELISDDELEGGTTVRKEIIKNKIRAIGKMARVFSILREESESVLTLKGL
+TPTGTLPLGVLSGGKQTIETAIRGFSLQHRIRSFEEARGLDRINERMPPRKDSQYFDGPV
+KLVQANAAHRSDKGRRASNDSEPCGGTGGSKT
+>tr|F1MHI6|F1MHI6_BOVIN Prickle planar cell polarity protein 2 OS=Bos taurus OX=9913 GN=PRICKLE2 PE=4 SV=3
+MVTAMPLAMEKTVSKLMFDFQRNSTSDDDSGCALEEYAWVPPGLKPEQVHQYYSCLPEEK
+VPYVNSPGEKLRIKQLLHQLPPHDNEVRYCNSLDEEEKRELKLFSNQRKRENLGRGNVRP
+FPVTMTGAICEQCGGQINGGDIAVFASRAGHGVSWHPPCFICTVCNELLVDLIYFYQDGK
+IYCGRHHAECLKPRCAACDEIIFADECTEAEGRHWHMKHFCCFECETVLGGQRYIMKEGR
+PYCCHCFESLYAEYCDTCAQHIGIDQGQMTYDGQHWHATETCFCCAHCKKSLLGRPFLPK
+QGQIFCSRACSAGEDPNGSDSSDSAFQNARAKESRRSAKIGKNKGKTEEPLLNQHSQLQV
+SSNRLSADVDPLSLQMDLLSLSSQTPSLNRDPIWRSRDEPYHYGNKLEQSQPQSPLQLLS
+QCNIRTSYSPGGQGAGAQTDVWAKHFGNPKRSSSLAMKGHGGSFIKECREDYYPGRLRSQ
+ESYSDMSSQSFSETRGGIPVPKYEEEEEEEGGLSAQQCRTRHPISSLKYTEDMTPTEQTP
+RGSMESLALSNATGLSADGGAKRQEHLSRFSMPDLSKDSGMNVSEKLSNMGTLNSSMQFR
+SAESVRSLLSAQQYQEMEGNLHPLSTPIRYRDLQAHGRMHQSFDFDGGMAGSKLPGAEGV
+RIQPMSERTRRRASSREDNRRFRPHRSRRSRRSRSDNALHLAGEREALSQLKERPPLRAR
+EDYDQFVRQRSFQESLGQGSRRDLYGRCPRTVSDLALQNAFGERWGPYFAEYDWCSTCSS
+SSESDNEGYFLGEPIPQPAHLRYVTSDELLHKYSSYGLPKSSTLGGRGQLHSRKRQKSKN
+CIIS
+>tr|A7YWJ1|A7YWJ1_BOVIN CYB561D1 protein OS=Bos taurus OX=9913 GN=CYB561D1 PE=2 SV=1
+MQSLEVGLVLAPPREPRLTRWLRKGSGILAHLVAMGFTIFLTVLSRPGTSLFSWHPVFMA
+LAFCLCMAEAILLFSPEHSLFFFCSRKARIRLHWAGQTLAILCAALGLGFIISSRTRSEL
+PHLASWHSWVGALTLLATIGQALCGLCLLCPRAARVSRVARLKLYHLTCGLVVYLMATVT
+VLLGMHSVWFQAQIKGAAWYLCLVLPLYPALVIMHQISSSYLPRKKMEIAT
+>tr|A0A3Q1NBM5|A0A3Q1NBM5_BOVIN WD repeat domain 25 OS=Bos taurus OX=9913 GN=WDR25 PE=4 SV=1
+MASLVAYEDSDSEAETEPAGSFHPVGQMEDTSAMLRPPEQDFGSRVLDATGGWAPSLVCG
+SHDDPTTCRLPLARLWSQDPGSCPSQRLRWPRPEPEATLPASPPARPSLWTSQAPAGHVP
+LAAARSKQVRVCWEPSGSPKPSLDARTGSEGPGKGSSSLQRKRREDCVVPYIPKRLRPLQ
+ALSTETDSGKDTEARGPLAGRVPAPLCVAPEVSEFIQPYLDSQYKETKIPKTVLFHLRGH
+RGPVNSVQWCPVSARSHMLLSTSMDKTFKVWNAVDSGSCLQTYSLHSEAVRAARWSPCGR
+RILSGGFDFALHLTDLETGTQLFSSRSDFRITALRFHPRDHSVFVCGGFSSEMKAWDIRT
+SKVVRSYKATIQQTLDILFLREGSEFLSSTDASSRDSADRTIIAWDFQSSAKISNQIFHE
+RYTCPSLTLHPREPVFLAQTNGNYLALFSAVWPYRMSRRRRYEGHKVPHLVPSPRGWKVV
+PRPRRQGTCSPQEHRPSVQATCDFCFLGDPIPCGLMSTQSPGPHLALPWAPAARQGSPGF
+VGLHGPGAGGPFASASFPCGPPRGHRH
+>tr|Q148K4|Q148K4_BOVIN Lactate dehydrogenase D OS=Bos taurus OX=9913 GN=LDHD PE=2 SV=1
+MAILLRPASWGLFSWRGYCSRGTQGRLSAGFVEALKAVVGSPHVSTAAVVREQHGHDESM
+HRCQPPDAVVWPQNVEQVSRLAALCYGQGVPIIPFGTGTGLEGGVCAVQGGVCINLTRMD
+RILELNPEDFSVMVEPGVTRKALNTHLRDSGLWFPVDPGADASLCGMAATGASGTNSVRY
+GTMRDNVLNLEVVLPSGQRLHTAGPGRRFRKSAAGYNLTGLFVGSEGTLGLITAATLRLH
+PVPEATVAATCAFPTVQAAVDSTVHILQAAVPVARIEFLDEVMMDACNRHSKLNCCVAPT
+LFLEFHGSEQALAEQVQRTEEIIRHNGGSHFSWAKEAEERSRLWAARHNAWYASLALRPG
+CKGYSTDVCVPISRLPEILVQTKEDLEAWRLTGTIVGHVGDGNFHCILLVDPEDPEELLR
+VQAFAEQLGRRALALHGTCTGEHGIGLGKRQLLPEEVGAVGMETMRQIKATLDPQGLMNP
+GKPEEGTVLRLQEEGHFRGPRSLSQLLCP
+>tr|A0JN64|A0JN64_BOVIN GTPase activating Rap/RanGAP domain-like 3 OS=Bos taurus OX=9913 GN=GARNL3 PE=2 SV=1
+MKHFCSSSVSEDLGCRRGDFSRKHYGSVELLISSDADGAIQRAGRFRVENGSSDENATAL
+PGTWRRTDVHLENPEYHTRWYFKYFLGQVHQNYIGNDAEKSPFFLSVTLSDQNNQRVPQY
+RAILWRKTGTQKICLPYSPTKTLSVKSILSAMNLDKFEKGPREIFHPEIQKDLLVLEEQE
+GSVNFKFGVLFAKDGQLTDDDMFSNEIGSDAFQKFLNLLGDTITLKGWTGYRGGLDTKND
+TTGIHSVYTIYQGHEIMFHVSTMLPYSKENKQQVERKRHIGNDIVTIVFQEGEEPSPAFK
+PSMIRSHFTHIFALVRYDQQKDNYRLKIFSEESVPLFGPPLPSPPVFTDHQEFRDFLLVK
+LINGEKATLETPTFAQKRRRTLDMLIRSLYQDLMPDLHKNMLNRRSFSDVLPESPKSARK
+KEEARQAEFVRIGQALKLKSIVRGDAPSSLVASGICKKEPWEPQCFCSNFPHEAVCADPW
+GQALLVSTDAGVLLVDDDLPSVPVFDKTLPVKQMHVLEALDLLILRADKGKDARIFVFRL
+SAVQRGIEGKQAVKGKCDCRENKLEKTKGCHLYAINTHHSKELRIVVAIRNKLLLITRKH
+NKPSGVTGMALLSPLSESPVEEFQYIREICLSDSPAVMTLVDGPTEESDNLICVAYRHQF
+DVVNESTGEAFRLHHVEASRVNFVAAIDVYEDGEAGLLLCYNYSCIYKKVCPFNGGSFLV
+QPSASDFQFCWNQAPCAIGKETFCHPGLPPERLI
+>tr|B5TM85|B5TM85_BOVIN Hypothetical LOC100190916 protein OS=Bos taurus OX=9913 PE=2 SV=1
+MGPSLSLLPAVNLALSVGGGDRVWTGPARQAASAPGGL
+>tr|Q2NKS7|Q2NKS7_BOVIN Potassium voltage-gated channel subfamily E regulatory subunit 2 OS=Bos taurus OX=9913 GN=KCNE2 PE=2 SV=1
+MPTLSNLTQTLEYVFKKIFITYMENWRRNTTVEQEALQAKVDAENFYYVILYLMVMIGMF
+SFIIVAILVSTVKSKRREHSNDPYHQYIVEDWQGKYRSQIVNLEEPRATIHENTGAAALT
+MSP
+>tr|B3VNC2|B3VNC2_BOVIN Artiodactyl-specific sub-telomeric protein OS=Bos taurus OX=9913 GN=LOC508098 PE=2 SV=1
+MLPLSTIESQETEPVKTKPPKKGGFFSKKPDVLPKKSKKMKGTVSFRVLPFCPAVPWTSD
+PALPAPPGQAVSKPARSSPDIETEPEAGAAGRSRGRSSEGSGHQGRACRVMMLPLSTIED
+KEAEEVQTKPPEKGALLSENPDEPKKKPRGMKGRLSFKVLPFCPIPSVCFSYYLEPDDAE
+PEPEPEPEPEPEPQAAPDGSKSDPNCTVVPMPVLEFREVKVCECPLCCLSFVTAS
+>tr|A6QPT0|A6QPT0_BOVIN PIK3CG protein OS=Bos taurus OX=9913 GN=PIK3CG PE=2 SV=1
+MELENHEQPVVLREDNCRRRRRMKPRSTAASMSSMELIPIEFVLPTSQRNTKTPETALLH
+VAGHGNVEQMKAQVWLRVLETSVAADFYHRLGPDHFLLLYQKKGQWYEIYDKYQVVQTLD
+CLHYWKVLHRSPGQIHLVQRHSPSEETLAFQRQLTALIGYDVTDVSNVHDDELEFTRRRL
+VTPRMAEVAGRDPKLYAMHPWVTSKPLPEYLLKKITNNCIFIIIHRSTTSQTIKVSADDT
+PGTILQSFFTKMAKKKSLMDIPESQNEQDFVLRVCGRDEYLVGETPIKNFQWVRQCLKNG
+EEIHLVLDTPPDPALDEVRKEEWPLVDDCTGVTGYHEQLTIHGKDHESVFTVSLWDCDRK
+FRVKIRGIDIPVLPRNADLTVFVEANIQYGQQVLCQRRTSPKPFTEEVLWNVWLEFSIKI
+KDLPKGALLNLQIYCGKAPALSGKASAETPSPESRGKAQLLYYVNLLLIDHRFLLRHGEY
+VLHMWQLSGKGEDQGSFNADKLTSATNPDKENSMSISILLDNYCHPIALPKHQPTPDPEG
+DRVRAEMPNQLRKQLEAIIATDPLNPLTAEDKELLWHFRYESLKDPKAYPKLFSSVKWGQ
+QEIVAKTYQLLARREVWDQSALDVGLTMQLLDCNFSDENVRAIAVQKLESLEDDDVLHYL
+LQLVQAVKFEPYHDSALARFLLKRGLRNKRIGHFLFWFLRSEIAQSRHYQQRFAVILEAY
+LRGCGTAMLRDFTQQVQVIDMLQKVTIDIKSLSAEKYDVSSQVIFQLKQKLEILQNLNLP
+QSFRVPYDPGLKAGALVIEKCKVMASKKKPLWLEFKCADPTALSNETIGIIFKHGDDLRQ
+DMLILQILRIMESIWETESLDLCLLPYGCISTGDKIGNHQHEPGK
+>tr|A6H6W8|A6H6W8_BOVIN Fibroblast growth factor OS=Bos taurus OX=9913 GN=FGF13 PE=2 SV=1
+MAAAIASSLIRQKRQAREREKSNACKCVSSPSKGKTSCDKNKLNVFSRVKLFGSKKRRRR
+RPEPQLKGIVTKLYSRQGYHLQLQADGTIDGTKDEDSTYTLFNLIPVGLRVVAIQGVQTK
+LYLAMNSEGYLYTSEHFTPECKFKESVFENYYVTYSSMIYRQQQSGRGWYLGLNKEGEIM
+KGNHVKKNKPAAHFLPKPLKVAMYKEPSLHDLTEFSRSGSGTPTKSRSVSGVLNGGKSMS
+HNEST
+>tr|A0A3Q1LRD1|A0A3Q1LRD1_BOVIN Phosphoglucomutase-1 OS=Bos taurus OX=9913 GN=PGM1 PE=3 SV=1
+MVKIVTVKTKAYQDQKPGTSGLRKRVKVFQSSSNYAENFIQSIISTVEPAQRQEATLVVG
+GDGRFYMKEAIQLIVRIAAANGIGRLVIGQNGILSTPAVSCIIRKIKAIGGIILTASHNP
+GGPNGDFGIKFNISNGGPAPEAITDKIFQISKTIEEYAICPDLHVDLGVLGKQQFDLENK
+FKPFTVEIVDSVEAYATMLRNIFDFNALKELLSGPNRLNIRIDAMHGVVGPYVKKILCEE
+LGAPANSAVNCVPLEDFGGHHPDPNLTYAADLVETMKTGEHDFGAAFDGDGDRNMILGKH
+GFFVNPSDSVAVIAANIFSIPYFQQTGVRGFARSMPTSGALDRVANATKIALYETPTGWK
+FFGNLMDASKLSLCGEESFGTGSDHIREKDGLWAVLAWLSILATRKQSVEDILKDHWQKY
+GRNFFTRYDYEEVEAEGANKMMKELEALISDRSFVGKQFPVGDKVYTVEKIDNFEYSDPV
+DGSISRNQGLRLLFADGSRIIFRLSGTGSAGATIRLYIDSYEKDLAKIYQDPQVMLAPLI
+SIALKVSQLQEKTGRTAPTVIT
+>tr|A6QM10|A6QM10_BOVIN SLC27A5 protein OS=Bos taurus OX=9913 GN=SLC27A5 PE=1 SV=1
+MGLWRRLAFSLLLLLLLWGLGQSPWAAAAAPALRWLLGDPACGLLLGLAVLAGPWLGPWT
+PHWLSLAAAALLLTLLPARPPPGLLWLPADLAYTFLMLRLGLRTWARLRRQPPDTFVDSF
+ERRARAQPGRTILVCTGPGGRAVTFQELDTRACQAAWALKAELAGVAGLRAREPTALLVL
+PSQMLPALSLWLGLAKLGCPVVWINPHGRGPPLVHAVLSSGARVLVVDPELRANLEEVLP
+KLQAEKVHCLYLGRSSPTPGVGALGAALAAAPSDPVPADLRADIKLRSPALFIYTSGTTG
+LPKPAILTYERVLQVAGMLTLCGVTADDVVYTALPLYHTMGLVLGVLSCLDLGVTCVLAP
+KFSASGFWDDCRQHGVTVIQYVGEILRYLCNTPQRPEDRTHKVRLAIGSGLRAEVWETFQ
+RRFGPIRIWEMYGSTEGNVGFINYPGRCGAQGKTSCFLRMLSPFELVQYSLETEEPLRDS
+QGLCIPARPGEAGLLLTQVLRHQPFLGYRGPRELSEKKLVKNVRRPNDLYYNTGDVLAMD
+HEGFLYFRDRLGDTFRWKGENVSTREVEGVLSVVDFLQEVNVYGVPVPGCEGKVGMAAVQ
+LVPGQAFDGQRLYQHVRTSLPGYAAPHFIRIQDALEITGTFKLVKSRLVREGFNVSVVAD
+PLFVLDNQAQAFRPLTPDIYRAVCEGAWRL
+>tr|A0A3Q1M4R5|A0A3Q1M4R5_BOVIN Sugar phosphate exchanger 3 OS=Bos taurus OX=9913 GN=SLC37A3 PE=4 SV=1
+MAWPRIFQRGALLSRFSHHHMVVFLLTFFSYSLLHASRKTFSNVKVSISKQWTPSAFNKS
+TELLPVEIWSSNHLFPSAEEATLFLGMLDTIFLFSYAVGLFISGIVGDRLNLRWVLSFGM
+CSSALVVFVFGTLTEWLHFYNKGLYCSLWIVNGLLQSTGWPCVVAVMGNWFGKAGRGVVF
+GLWSACASVGNILGACLASSVLQYGYEYAFLVTAAVQFAGGIIIFFGLLVSPEEIGIPGI
+ETEDNFEEDSHRPLINGAENEDEAEPNYSIQEGNTVTQVKAISFYQACCLPGVIAYSLAY
+ACLKLVNYSFFFWLPFYLSNNFGWKEAEADQLSIWYDVGGIIGGTLQGFISDMLQKRAPV
+LALSLLLAIGSLVGYSRSPNDKSINALLMAVTGFFIGGPSNMISSAISADLGRQELIQGS
+SEALATVTGIVDGTGSIGAAVGQYLVSLIQDNLGWMWVFYFFILMLSKGDSLCFLG
+>tr|E1BQ32|E1BQ32_BOVIN CRK proto-oncogene, adaptor protein OS=Bos taurus OX=9913 GN=CRK PE=4 SV=1
+MAGNFDSEERSSWYWGRLSRQEAVALLQGQRHGVFLVRDSSTSPGDYVLSVSENSRVSHY
+IINSSGPRPPVPPSPAQPPPGVSPSRLRIGDQEFDSLPALLEFYKIHYLDTTTLIEPVSR
+SRQGSGVILRQEEAEYVRALFDFNGNDEEDLPFKKGDILRIRDKPEEQWWNAEDSEGKRG
+MIPVPYVEKYRPASASVSALIGGNQEGSHPQPLGGPEPGPYAQPSVNTPLPNLQNGPIYA
+RVIQKRVPNAYDKTALALEVGELVKVTKINVSGQWEGECNGKRGHFPFTHVRLLDQQNPD
+EDFS
+>tr|F1MFC4|F1MFC4_BOVIN Phospholipid-transporting ATPase OS=Bos taurus OX=9913 GN=ATP8B3 PE=3 SV=3
+MGSLAYREDLDEEKNSEFTWEVQANNRAYNSQFKEKVFLCWQRRKYKKNIIHTAKYNVFS
+FLPLNLYEQFHRFSNLYFLLIILLQGIPEISTLPWFTLFAPLVCLLTIRAIRDLVDDIGR
+HRSDKIVNNRPCQILMGKSFLWRKWKNLHVGDLVCLHKDSIVPADLVLLASTEPSSLCYV
+ETADIDGETNLKFRQAPMITHHELTSIRKIASFQGKVVCEEPNSRMHHFVGCLEWKGKKY
+PLDSGNILLRGCKVRNTDTCYGLVVYAGFDTKIMKNCGKIHLKRTKIDHLMNRLVVLIFV
+SMVVVSAVLTFGFWHKVKEFKANHHYVSAVHMRSVAMEAFFIFWGFLILLSVLVPMAMFI
+MAEFIYLGNSVFINWDEHMYYEPQDLPAKARSTSLNDLLGQVEYIFSDKTGTLTQNIMTF
+KKCCINGVVYGPEETPGKENPFLWNEFADGKLLFCNAQLLQAVRANQDWRVREFWRVLAV
+CHTVMVQEKNNQLVYQAASPDEEALVTAARNFGYVFLARTQDSITLMELGEECVYQVLAM
+MDFNSIRKRMSVLVRKPEGSIYLYTKGADTVIYERLQKKGETEWATEEALASFAKETLRT
+LCLACKEVDEEVYEEWRQRHQEASILLQNRAQALHQVYEEMEQSLQLLGATAIEDRLQDG
+VLETIKCLKQGNIKVWVLTGDKQETAVNIGFACELLSENMIILEEKEIVRILEVYWENNN
+NLQGGEKKELPLQFKMALVINGEFLDQLLLSLRKEPRAPVQNVNVDPLESWQEPGEERVD
+FLQARRLSLMWRTLGIQLRSSGLAPQHEDSKTLRSAEERRERAFVELASRCQAVICCRVT
+PKQKALIVALVKKYQNVVTLAIGDGANDVNMIKTADIGVGVAGQEGMQAVQNSDYVLAQF
+CFLRRLLLVHGRWSYMRVCKFLRYFLYKTLAGMMVQIWFAFYSGFTAQPLYEGWFLALFN
+LLYSTLPVLYIGLFEQDVSAERSLELPELYIAGQKEELFNYWVILQAIAHGTATSLVNFF
+MTLWVSQNSAGPVSLSDYQSFAVVVALSSLLSITMEVILITRYWTVLSVLAIFLSLCFYV
+VMTSLTQSMWLFKHSPKNFPFLYADLNVLTQPPIMLVILLNVSLNTLPMLAFRVIYQALK
+KPQRKEEVEKVTSEEIIAVEPVPCIRRESPARRSSYAFSHREGYADLITQGTILRRSPGV
+NSDMLVDHTMPPDEPSGSMKESSWYPRKMSFLGRKRHSHHGKVSSEDMQPPSEEKLTYSP
+ESLPPTEMPLSALESQMTSVESQTLPSSQLSLQSQPAYLPQEKTSLWNIRKLSWKNWPYV
+WQKEPEPRREGILPVSSSNLSAVMETVPPSAKGSSISEQPMEVEPSPVEREPSPMEWLPE
+PSGDLAAPNLTEQLPWPLGHQ
+>tr|F1N546|F1N546_BOVIN Transmembrane and coiled-coil domain family 3 OS=Bos taurus OX=9913 GN=TMCC3 PE=4 SV=1
+MPGSDTALTVDRTYSDPGRHHHCKRRVERHDMNTLSLPLNIRRGGSDTNLNFDVPDGILD
+FHKVKLNADSLRQKILKVTEQIKIEQTSRDGNVAEYLKLVNSADKQQAGRIKQVFEKKNQ
+KSAHSIAQLQKKLDQYHRKLRELEQNGALRSAKDTAKDQLKDIPPSLKDAQAKSRTAPHS
+LESSKSGMPGVSLTPPVFVFNKSREFANLIRNKFGSADNIAHLKNSLEEFRPEASARVYG
+GSATIVNKPKYGSDDECSSGTSGSADSNGNQSFAAGGSGALDSQGKLTAILEELREIKDT
+QAQLAEDIEALKVQFKREYGFISQTLQEERYRCERLEDQLHDLTDLHQHETANLKQELAS
+IEEKVAYQAYERSRDIQEALESCQTRISKLELHQQEQQALQTDTVNAKVLLGKCINVVLA
+FMTVILVCVSTIAKFISPMMKSRFHILGTFFAVTLFAIFCKNWDHILCAIERIIIPR
+>tr|F1MDL5|F1MDL5_BOVIN BicC family RNA binding protein 1 OS=Bos taurus OX=9913 GN=BICC1 PE=4 SV=2
+HLGWFGHKLEFWSITERNFLIESIFFSKFLLQIMEETNTQIAWPSKLKIGAKSKKDPHIK
+VSGKKEDVKEAKEMIMSVLDTKSNRVTLKMDVSHTEHSHVIGKGGNNIKKVMEETGCHIH
+FPDSNRNNQAEKSNQVSIAGQPAGVESARVRIRELLPLVLMFELPIAGILQPVPDPNSPS
+IQHISQMYNISVSFKQRSRMYGATVIVRGSQNNTSAVKEGTATLLEHLAGSLASAIPVST
+QLDIAAQHHLFMMGRNGSNIKHIMQRTGAQIHFPDPSNPQKKSTVYLQGTIESVCLARQY
+LMGCLPLVLMFDMKEEIEVDPQFIAQLMEQLDVFISIKPKPKQPSKSVIVKSVERNALNM
+YEARKCLLGLESSGVSIATSPSPASCPAGLACPSLDILASAGLGLTGLGLLGPTTLSLNT
+SATPNSLLNALNSSVSPLQSPSSGTPSPTLWAPPLGNTSSATGFSAIPHLMIPSTAQATL
+TNILLSGVPTYGHTAPSPPPGLTPVDVHINTMQAEGKKISAALNGHTQSPSLKYGAISTS
+SLGEKVLSANHGDPSRQTAGSEQTSPKSNPTEGCNDAFVEVGMPRSPSHSGNAGDLKQMM
+GPSKVACAKRQTVELLQGTKNSHLHSTDRLLSDPELSAAESPLADKKAPGSERAAERAAQ
+QNNERARLAPRPSYVNMQAFDYEQKKLLATKAMLKKPVVTEVRTPTNTWSGLGFSKSMPA
+ETIKELRRANHVSYKPTMTTTFEGSSMSLSRSNSREHLGSGSESDNWRDRNGIGPASHGE
+FAASVGSPKRKQNKSTEHYLSSSNYMDCISSLTGSNGCNLSSSFKGSDLPELFSKLGLGK
+YTDVFQQQEIDLQTFLTLTDQDLKELGITTFGARRKMLLAISELNKNRRKLFEPPNTRTS
+FLEGGASGRLPRQYHADIASVSGRW
+>tr|F1MZ89|F1MZ89_BOVIN Phospholipid-transporting ATPase OS=Bos taurus OX=9913 GN=ATP10A PE=3 SV=2
+MEREPAAAAEEPGPPGRRRRREGRMRTVCSNLQPPPGAEEPAGKGAPRRRLRGGAQHLAD
+NRLKTTKYTLLSFLPKNLFEQFHRLANVYFVFIALLNFVPAVNAFQPGLALAPVLFILAV
+TAFKDLWEDYSRHRSDHEINHLGCLVFSREEEQYVNRFWKEIRVGDFVRLRCNETIPADI
+LLLSSSDPDGLCHIETANLDGETNLKRRQVVRGFSELVSEFNPLTFTSIIECEKPNNDLT
+RFRGCIIHDNGKKAGLYKENLLLRGCTLRNTEAVVGIVIYAGHETKALLNNSGPRYKRSR
+LERQMNCDVLWCVLLLICMSLFSAIGHGLWVQRYQEKKSLFDVPESDGTSLSPVPAAVYS
+FLTMIIVLQVLIPISLYVSIEIVKVCQVYFINQDIELYDEETDSQLQCRALNITEDLGQI
+QYIFSDKTGTLTENKMVFRRCTVSGIEYSHDANAQRLARYQEADSEEEEVVPRGGSLPLR
+GGTGGHPSARAAHQSQSSRAHRRTGSRAEARRVSMLTELTAFSSPMEKDVTPDPKLLEKV
+HKCGRCLASARHQEHPLDHLSPELSDIFDFFIALTICNTVVVTSPDQPRQKVRVRFELKS
+PVKTIEDFLRRFTPSRLTLGGSSVGSASMAAKSAPKASLLSTMANDGALLRLEERLGPGA
+PCLASNGYSSAADSWAAEQSATGPEPGAELRYEAESPDEAALVHAARAYSCALVGRLHDQ
+VSVELPHLGRLTFELLHTLGFDSIRKRMSVVIRHPLTDEINVYTKGADSVVMDLLLPCST
+DDARGRHQMKIRSKTQNYLNLYAVEGLRTLCIAKRVLSKEEYACWLQSHLEAESAVDNRE
+ELLFQSAIRLETNLHLLGATGIEDRLQDGVPETIAKLRQAGLQIWVLTGDKQETAVNIAH
+ACKLLDHDEEVITLNAESQEACEALLDQCLRYVQSRSPQRTAGSLSVGFAPLCPPSEATA
+SGPSLVIDGRSLAYALQKNLEDKFLFLAKQCRSVLCCRSTPLQKSMVVKLVRSKLKAMTL
+AIGDGANDVSMIQVADVGVGISGQEGMQAVMASDFAVPKFRYLERLLIVHGHWCYSRLAN
+MVLYFFYKNTMFVGLLFWFQFYCGFSASAMIDQWYLIFFNLLFSSLPQLVTGVLDKDVPA
+DVLLAEPQLYRSGQNMEEYRPRTFWLNMADAAFQSLVCFFIPYLAYYDSDTDIFTWGTPI
+TAVALFTFLLHLGIETKTWTWLNWTACGFSVVLFFTVALIYNASCATCYPPSNPYWTMQT
+LMGDPVFYSICLLAPVAALLPRLFFKALQGTLFPTPLQLGRQLAKRSPGKFRAPRETSAQ
+GHIPEEARTKLPGQRSVSVARFVSRDCSSQVSQGTWQPACSPEAGGEPSAVDMGMPWREG
+TLPDRLDSQPSRSSSPGPVAVEGCPGGSRMKPMSASRPAPLPSVFSVPGLSSLNWMSSLS
+LVSGLGSVLQFSRSGLPMDKRDSDFLPGSAPPDQDLCDLSGQTTDCF
+>tr|E1BD45|E1BD45_BOVIN Interleukin 17D OS=Bos taurus OX=9913 GN=IL17D PE=4 SV=3
+MLGPPVWALVAGVLLALSPGRAGGAPKAGRRPARARGCADRPEELLEQLYGRLAAGVLGA
+FHHTLQLGPREQARNASCPAGGRPADRRFRPPTNLRSVSPWAYRISYDPGRFPRYLPEAY
+CLCRGCLTGPRGEEDVRLRSAPVLVPAVVLRRTPGCAGGRAVYVEEYVTVPVGCTCVPGP
+DKDADAANSSLDKSARP
+>tr|E1BIM5|E1BIM5_BOVIN Rac/Cdc42 guanine nucleotide exchange factor 6 OS=Bos taurus OX=9913 GN=ARHGEF6 PE=4 SV=2
+MQSRMWLSPCSCPREVLGSIQSAVMNPEERVVTWLISLGVLDSPKKTICDPEEFLKSSLK
+NGVVLCKLINRLRPGSVEKYCLEPQTEADCTNNINDFLKGCAALQVEIFDPDDLYAGVNF
+SKVLNTLLAVNKATEDQLSERPCGRSSSLSAANSSQTNPQGAVSSTAPGLQRQSKPVEMT
+ENGSHQLIVKARFNFKQTNEDELSVCKGDIIYVTRVEEGGWWEGTLNGRTGWFPSNYVRE
+IKSSERPLSPKAVKGFEATPLTKNYYTVVLQNILDTEKDYAKELQSLLVTYLRPLQSNNN
+LSTVEFTSLLGNFEEVCTFQQTLCQALEECSKFPENQHKVGGCLLSLMPHFKSMYLAYCA
+NHPSAVNVLTQHSDELERFMENQGASSPGILILTTSLSKPFMRLEKYVTLLQELERHMED
+THPDHQDILKAIVAFKTLMGQCQDLRKRKQLELQILSEPIQAWEGEDIKTLGNVIFMSQV
+MVQCGTSEEKEERYLMLFSSVLIMLSASPRMSGFIYQGKIPVAGMVVTRLDEIEGNDSTF
+EITGNIVERIVVHCGNNQDFQDWLEHLYRLIRGPASCSSLSKTSSSSCSAHSSFSSTGQP
+RGPLEPPQIIKPWSLSCLRPAPPLRPSAALGYKERMSYILKESSKSPKTMKKFLHKRKTE
+RKPSEEEYVIRKSTAALEEDAQILKVIEAYCTSASFPQGHGSSARKDSVPQVLLPEEEKL
+IVEETRSNGQTIIEEKSLVDTVYALKDEVKELKQENKRMKQCLEEELKSRRDLEKLVRRL
+LKQTDECIRAESSSKTSVLP
+>tr|F1MZL0|F1MZL0_BOVIN Junctional sarcoplasmic reticulum protein 1 OS=Bos taurus OX=9913 GN=JSRP1 PE=4 SV=2
+MATRAMEELDGGLGSCQVDEDLSALADPCPSRPQEDSVRATSRLADSSSRSHDSQERVTE
+GSPTGSVDTKPKKMEKEPVSKVTSGAGKEKLKAGATPRSPARKKAQTASPPQPPPPPALS
+DELPWGDLTLNKCLVLASLVALLGSAFQLCREAVARDVEAPAPVPESWASSSSSPKGPAS
+TLPKPEAWAPSVRQPEPPSKLEERVQIPRSEEAAEKDEWESEEAADGEHVPLAGRGPKEK
+LKKEKPRKERPGKEKPQKEERPRKEKPRKEEKPRGAREPQGALPRRWEAREGGHRPWGRD
+SGAPEDRKRQAWVSLRRPDEEDRPLGRQKRRAGKGRD
+>tr|E1B9I5|E1B9I5_BOVIN Chromosome 3 C1orf194 homolog OS=Bos taurus OX=9913 GN=C3H1orf194 PE=4 SV=1
+MPLTRDPFQNPALDKDDSYLGKSRASKKLPYKNPTHLAQQQEPWCRLSSTPTITSMKRDG
+FFFYSEIPKDDLDFRLAALYNHHTGTFKNKSEILTHQETIQDTRRIKTQFPGEFLPAPQP
+PLITSRANIRHWINPKKESIHSIQGSIVSPHTAATNGGYSRKNDGGFFST
+>tr|G3X704|G3X704_BOVIN Aldo_ket_red domain-containing protein OS=Bos taurus OX=9913 GN=LOC782061 PE=4 SV=2
+MDLKSQKVKLNDGHFIPVLGFGTAVPPEVPRSEALEATKFAIEVGFRHIDCARVYQTEEQ
+VGQAIRSKIADGTVKREDIFYTSKLWCTFLRPDLVQPALEKSLKDLQLDYVDLYLIHFPV
+SMKPGEELLPKDENGKIILDSVDLCRTWEALEKCKDAGLTKSIGVSNFNHKQLEKILNKP
+GLKYKPVCNQVECHPYLNQSKLLDFCKSRDIVLVAYSALGSQRIKGWVNQNHPVLLEDPV
+LCAIAKKNKQTPALVALRYQIQRGVVVLIKSFNKKRIKENIQVMMSGAVDRGLLRNILHE
+GHSLSISQDFP
+>tr|F1MBD8|F1MBD8_BOVIN Inhibitory synaptic factor 2A OS=Bos taurus OX=9913 GN=INSYN2A PE=4 SV=3
+MVSKEPSKCVLTTSASEVEPAACLALEMKYALDPNRQIKKRNKALQVRFKDICEAQNEQR
+DTQLASGLQGDKREARPASCRAAYRKYMTVPARRSIPNVTKSTGVQTSPDLKKCYQTFPL
+DRKKGTLKSIPGTEAFKSQNNGLVIDAKEKSQEGPGEEARPWGAGRVQKTTALVFHSDEH
+VNALGPPTGVNCAEPCKTPDRHSYRDAPVQNSTRLSSQELEYQLLGKARQGRATPDTEEP
+APSAHRRVFKTEVATVYAPAPGARAPAPALSNSAPSSEWSLCPAADLERRTAAQASGLQA
+RPVVAPACSPPTQCLSPECSEEDASLQTQAPSGQERQPCPQALAADEECRQIVPHTEVVD
+LKAQLQMMENLISSSQETIKVLLGVIQELEKGEAHREGLSYRTGQDTANCDTCRNSACII
+YSVELDFKQQEDKLQPVLRKLHPFEETQVAPSPYTQETYSSTPKQKSKTESKKHGRWKLW
+FL
+>tr|F1MRA1|F1MRA1_BOVIN Histone acetyltransferase OS=Bos taurus OX=9913 GN=KAT2B PE=3 SV=3
+GVGGAGEGVGGARGGVGGARGGVGGAGEGVGGARGGVGGAGEGVGGARGGSGRSPRGEWE
+EPAREWEEPAGEWEELVRDREEPAEESCKCNGWKNPNPSPTPPRADLQQIIVSLTESCRS
+CSHALAAHVSHLENVSEEEMNRLLGIVLDVEYLFTCVHREEDADTKQVYFYLFKLLRKSI
+LQRGKPVVEGSLEKKPPFEKPSIEQGVNNFVQYKFSHLPPKERQTIVELAKMFLNRINYW
+HLEAPSQRRLRSPNDDISGYKENYTRWLCYCNVPQFCDSLPRYETAQVFGRTLLRSVFTV
+MRRQLLEQARQEKDKLPLEKRTLILTHFPKFLSMLEEEVYSQNSPIWDQDFLSASSRTTL
+LSSALTTLPNPLFVSVTPTKSVGLVGTCFVFEITHRLPFCFDHQGEKRKMNESHVLEETK
+KPRVMGDIPLELIHEVMSTITDPTAMLGPETNFLSAHSARDEAARLEERRGVIEFHVVGN
+SLSQKPNKKVLMWLVGLQNVFSHQLPRMPKEYITRLVFDPKHKTLALIKDGRVIGGICFR
+MFPSQGFTEIVFCAVTSNEQVKGYGTHLMNHLKEYHIKHDILNFLTYADEYAIGYFKKQG
+FSKEIKIPKTKYVGYIKDYEGATLMGCELNPRIPYTEFSVIIKKQKEIIKKLIERKQAQI
+RKVYPGLSCFKDGVRQIPIESIPGIRETGWKPSGRERSKEARDPDQLYSTLRSILQQVKS
+HQSAWPFMEPVKRTEAPGYYEVIRFPMDLKTMSERLKNRYYVSKKLFMADLQRVFTNCKE
+YNPPESEYYKCASILEKFFFSKIKEAGLIDK
+>tr|F1N5A1|F1N5A1_BOVIN Glutamine and serine rich 1 OS=Bos taurus OX=9913 GN=QSER1 PE=4 SV=3
+MNFLSAVESRTAQAASSGTTLLPQFRAPSWQTGMHSSAPTELFVTGPLPTTGTLPSPALP
+AYQHPTTFSNRNFATTSPLVLQDSTFNTTSNGILSSHDPLLQIKTSQGTVPTALTFERLG
+SSAISNSIPPQSSTYRSAQESAPHLLQPQFSLLPSALGGAQQTPQAYGSTLFTSSTASIE
+RALLRECSVIKHHQRPSGTQSIQAQLTGSQHSLHSYLSNASGVNFQETSRQSSLSCSPIG
+ESTQVSNGGLQQKPSQVSVELAQSYSSAIPSSGYPTAKVKSCSTKQPLASTKSPKPPSII
+PPVQTLSYSKPLHNQSSVISGQAQIYSTAQLPSLLSVSQSQNYGLVQPHNVPSIVHSQVY
+RSSKVEKLPSLYKTLTFSGSSQTITSENSTLNYSSNQQEVLSSVTNENYPAPTRDPSSDS
+QSQSYASGHSQGLSPVSQTQVSFSSQSQVLSVVSPSESYASGQSLTLTAPSLSYSSASRA
+QNVPDASPTQNFISMHSSQNAQTQGSSSPQSQKFLPAVQSSSFATSTHCQTLQNNLPSPD
+PKSYAERKLDSSVYTSSKQEEDFPMQELQVLQPQVSLESSTSRLSDGEMNVPESAYKVSK
+ADDRYSQSITRSNSCLEDQVVGIALQGSKKEENIVGSMTQLNQQIGQVNSSATLDIKKTT
+NLMQTPQIRLNTKDLNQQHSLIQKVHEAKVQEQHDQIINASSQIQIPNNALGHGHQASLP
+NTQVLLDSACDLQILQQSILQASLGQIKTSLQVQRVQSPQQIVHPFLQMDGHIIRSNGEH
+SQQQLHPQNSEIMKMDLSDSSKPLQQHLTTKGHFSETTQHDSKNHFVSLGSICFPEAMLL
+SDERNILSNVDDILAATAAACGVTPSDFSKSTSNETIPAVEDGDSKSHFQQSLDVGHVTS
+DFNSIAVTVGTPPNINDISLNGNQVTVNLSPVPTLQSKMTLDQQHVEVPGQNKASKVTSP
+VVGPGHEVQEQSSGPFKKQSATSHEPEEDSEVAVDSTLNNNRNQEFVSSSRSISGESATS
+ESEFALGGDDSGVSVNSSRNTLAVLAMAQPGETVSVKIEEENQDLMHFNLQKKKTKGKGH
+TKEEDNSHQKQLKRPAQGKRQNPRGTDIYLPYTPPSSESCHDGYQHQEKMRQKIKEVEEK
+QPEVKTGFIASFLDFLKSGPKQQFSTLAVRMPNRTRRPGTQTVRTFCPPPLPKTAAATPT
+PLVSEAGANSPSEKLDNELKNLEHLSSLSSDEDDPGVCSHDIYKSSSTTLNTSDATSDKK
+KKTVSEATQVATASTTAIAPGTAAPSSTAVGAVKQETLYSTPSAVKTPENINSSEPPKSI
+ELDSLPSDQFAKGQDTVAIEGFTDEEDPESGGEGQYRERDEFVVKIEDIETFKEALKTGK
+EPPAIWKVQKALLQKFVPEIRDGQREFAATNSYLGYFGDAKSKYKRIYVKFIENTNKKEY
+VRVCSKKPRNKPSQTIRTVQAKPSNSSKTSDPPTPKTATTKAPSMKPKVKQLKVKAEPPP
+KKRKKWKEEFSSSQSDSSPEIHSSSSDDEEFNPPAPFVTRFLNTRAMKETFKSYMELLVS
+IALDPDTMQALEKSNDELLLPHMKKIDSMLNDNRKRLLLNLHLDQSFKNALESFPELTII
+TRDSKAKSGGSAMSKIKMNGKAYNKKTLRTSKTTTKSAQEFAVDPEKIQLYSLYHSLHHY
+KYHVYLICKDEISSVQKKNEDLGQEEIVQLCMKNVEWVEDLFEKFGELLNHVQQKCS
+>tr|E1BBZ1|E1BBZ1_BOVIN MAX dimerization protein 3 OS=Bos taurus OX=9913 GN=MXD3 PE=4 SV=1
+MEPVASNIQVLLQAAEFLERREREAEHGYASLCPHRSPGPVHRRRKRSPQAPGALDSGRS
+VHNELEKRRRAQLKRCLEQLKQQMPLGADCVRYTTLSLLRRARMHIQKLEEQEQRARQLK
+EKLRSKQQSLRRQLEQLRGLGTVGERERLRADSLDSSGLSSERSDSDQEELEVDVESLVF
+GGEAELLRGFSAGQEHSYSHSGSAWL
+>tr|F1MNK6|F1MNK6_BOVIN BTG anti-proliferation factor 2 OS=Bos taurus OX=9913 GN=BTG2 PE=4 SV=3
+MLPEIAAAVGFLSSLLRTRGCVNEQRLQVFRGALQAALTEHYKHHWFPEKPSKGSGYRCI
+RINHKMDPIISKVASQIGLSQPQLHRLLPSELTLWVDPYEVSYRIGEDGSICVLYQEAPV
+ATSYGLLTCKNQMILGRSSPSKNYIMAVSS
+>tr|F1MFM7|F1MFM7_BOVIN LIM homeobox 4 OS=Bos taurus OX=9913 GN=LHX4 PE=4 SV=2
+MMQSAAVPAEGAVKGLPEMLGVPMQQIPQCAGCNQHILDKFILKVLDRHWHSSCLKCADC
+QMQLADRCFSRAGSVYCKEDFFKRFGTKCTACQQGIPPTQVVRKAQDFVYHLHCFACIIC
+NRQLATGDEFYLMEDGRLVCKEDYETAKQNDDSEAGAKRPRTTITAKQLETLKNAYKNSP
+KPARHVREQLSSETGLDMRVVQVWFQNRRAKEKRLKKDAGRHRWGQFYKSVKRSRGGSKQ
+EKESSAEDCGVSDSELSFREDQILSELGHTNRIYGNVGDVSGGQLMNGSFSMDGTGQSYQ
+DLRDGSPYGIPQSPSSISSLPSHAPLLNGLDYTVDSNLGIIAHAGQGVSQTLRAMAGGPT
+SDISTGSSVGYPDFPTSPASWLDEMDHPPF
+>tr|G3N2M8|G3N2M8_BOVIN Sterile alpha motif domain containing 15 OS=Bos taurus OX=9913 GN=SAMD15 PE=4 SV=2
+MAEVPEDYDSPSDENEDLKTERPKLQFLQMTEDIEPGSVAEAGPEIPVEIDQEPQPEIEE
+EAFKEKTPESAKDVPPHLKPTWTSLEEVPHKFRIDLFSEVELGIPLEVKSETFGQIEREL
+LKDLQSPVDRKHEEPEEEAKPDVPEDVPIEESKPEVPEETLREQYEKTGLEPTEPTKPES
+PSEKPRKSIEEADQQPPKVTTSENPEEIQSKSPTEKRTESPEQAKPEFPEEKPSTATEET
+QEKTPEPLEETESEFSEEKSRKPIEDTVREPSGKTIPEVQEETPSKSTSERVLEPPQDTK
+PVDKKDKQKKSTEETDRTPSRRFRSEETLRKSTEEKGPEPPEQTKAEFPKKEPEKTEETG
+QVPPQKIKPEVQEKTQTEPTREIDLELLDKTKQLLRRETPVEQEKTQTEPTREIDLELLD
+KTKQLLRKETPVEFAKEDRPEAIKFKHSVNRDEAEYSDYPIGNLLIKETKVSKDYVLEPL
+PISDIDSVNTDYEFSKELQNLFQLSDTNYEFYSFFSESQRDLKESCGEKQDLCLESMKLV
+YKDRDTQPEKNSDLQFEYLQWSPEKVATWICQLGFPQYKECFTTNFISGRKLIHVNCSNL
+PQMGITDFEDMKAISRHTRKLLGIEEPLFTRSIRLPYRDNIGLFLERKGHSGIKSDSLTL
+SEFAREVGLQDHAPRVATLENDAAL
+>tr|A0A3Q1LUT1|A0A3Q1LUT1_BOVIN TRAF family member associated NFKB activator OS=Bos taurus OX=9913 GN=TANK PE=4 SV=1
+MDKNIGEQLNKAYEAFRQACMDRDSAVKELQQKTENYEQRIREQQEQLSLQQTIIDKLTS
+QLLLVNSSRDNSCGYVPLLEDSEARKNNLTLDQPHDKAKSGIPREKESKVRRQEVSSPRK
+ETSPRNLGTPLFHERSYIEKTFRDLKEEFHRICLLAKAQKDHLSKLNVPSAAPETQCSMP
+IQCTDKTDKQEVLFKPQAKDDINRGALCITSVTPRGLGQDEEDTSFESLSKLNVKFPPTD
+NDSTFLHSTPERPDVLGSVTSETVCQDQFNMEPRDNPGNFVKTEETLFEIQGIDPIASAI
+QNLKTTDKTKPSNTCIRTSLDRAPCLPPGDHNALFVNTFPLQDPSDAPFPSLDSPGKAIR
+GPQQSVQSCFSRWHPALCICFLCCSKGNSCPFGSPFLIKTVTYRY
+>tr|F1N521|F1N521_BOVIN Spermatogenesis associated 7 OS=Bos taurus OX=9913 GN=SPATA7 PE=4 SV=2
+MDASRRVRATSVLPTFDASCLFKGHLSTKSNALCTDSSSLRLSTLQLVKNHMAVHYNKIL
+SAKAAVDCSVPLSMSASIKYADQQRREKLKKELARCGRGLKFTKTTVHPKSHSRSLLNAL
+QKPSGEPQDENSLLIEEVNEFPSFTQSPVISSERLSLPKSQNVLTNCTEKNSSCSMSSMD
+YTASGSKKPSSGTSYGRGPRGTFPGSQKFQLVISKAPSGDLLDKHSEHFSNRHLPFTPRT
+LKTEAKSFLSQYRYYTPAKRKKNFTNRRIEAETQTELSSFKSDFETVEIKNATDSEMNVT
+QASGCVSYGTKGRTTPSASPGRELPWGKVRDSALQCSSPRAVCQYSLQPPSGRKIYSDEE
+ELLYLSFIEDVTDEILKLGLFSNRFLERLFERHIKQNKHHLEEEKMRQLLHVLKVDLGCM
+SKENSGKLDSVDTLHLCDVEKAENLEQNENKSEQDLIIQQERQEYQKALNMLLTIPKEEN
+EIVSSNEFFLPIHKSKYSEEVIIQQYLKRQGLSSNQ
+>tr|E1BEL3|E1BEL3_BOVIN MYB proto-oncogene like 1 OS=Bos taurus OX=9913 GN=MYBL1 PE=4 SV=3
+MAKRSRSEDEDDDLQYADHDYEVPQQKGLKKLWNRVKWTRDEDDKLKKLVEQHGTDDWTL
+IASHLQNRSDFQCQHRWQKVLNPELIKGPWTKEEDQRVIELVQKYGPKRWSLIAKHLKGR
+IGKQCRERWHNHLNPEVKKSSWTEEEDRIIYEAHKRLGNRWAEIAKLLPGRTDNSIKNHW
+NSTMRRKVEQEGYLQDGIKSERSSSKLQHKPCATMDHLQTQNQFYIPVQIPGYQYVSPEG
+NCVEHVQASSAFIQQPFVDEDPDKEKKIKELELLLMSAENEVRRKRVPSQPGSFSSWPGS
+FLMDDSMSNTLNSLEEHASEFYSMDENQTVSAQQNSPTKFLAVEANAVLSSLQTIPEFAE
+TLELIESDPVAWSDVTSFDLSDAAASPVKSTPVKLMRIQHNEGAMECQFNVSLVLEGKRN
+GCNGADGEAVPLTSPSVPKFSTPPTILRKKRRMRVGQSPGSELGDSSFNDGGHTALKHTP
+MKTLPFSPSQFFNTCPGNEQINIENPSFTSTPICGQKVLITTPLHKETTPKDQKENVGFR
+TPTIRRSILGTTPRTPTPFKNALAAQEKKYGPLKIVSQPLAFLEEDIREVLKEETGTDIF
+LKEEDEPAYKSCKQEHTASVKKVRKSLILDNWEKEEPGTQLLNEDLSDLQSENIFTTSLL
+MIPLLEIHDNRCNLTPEKQDINSTNKTYTLNKKKPNPNTSKVVTLEKNLQSTCEWETVVY
+GKTEDQLIMTEQARRYLSTYTATGSTSRALIL
+>tr|A0A3Q1MRS3|A0A3Q1MRS3_BOVIN Plectin OS=Bos taurus OX=9913 GN=PLEC PE=1 SV=1
+MVAGMFMPLDQLRAIYEVLFREGVMVAKKDRRPRSLHPHVPGVTNLQVMRAMASLRARGL
+VRETFAWRHFYWYLTNEGIAHLRQYLHLPPEIVPASLQRVRRPVAMVMPARRTPHVQAVQ
+GPLGCPPKRGPPPTEDPAREERCVYRRKEPEEGAPEPPVVPAATPGTLARQGLEPAPPTD
+ERDRVQKKTFTKWVNKHLIKAQRHISDLYEDLRDGHNLISLLEVLSGDSLPRERDVIRSS
+RLPREKGRMRFHKLQNVQIALDYLRHRQVKLVNIRNDDIADGNPKLTLGLIWTIILHFQI
+SDIQVSGQSEDMTAKEKLLLWSQRMVEGYQGLRCDNFTSSWRDGRLFNAIIHRHKPMLID
+MNKVYRQTNLENLDQAFSVAERDLGVTRLLDPEDVDVPQPDEKSIITYVSSLYDAMPRVP
+DVQDGVKANELQLRWQEYRELVLLLLQWIRAHTAAFEERRFPSSFEEIEILWCQFLKFKE
+TELPAKEADKNRSKGIYQSLEGAVQAGQLKVPPGYHPLDVEKEWGKLHVAILEREKQLRS
+EFERLERLQRIVSKLQMEAGLCEEQLNQADALLQSDVRLLAAGKAPQRAGEVERDLDKAD
+GMIRLLFNDVQALKDGRHPQGEQMYRRVYRLHERLVAIRTEYNLRLRGTPRHPELEDSTL
+RYLQDLLAWVEENQRRLDGAEWGVDLPSVEAQLGSHRGLHQSVEEFRAKIERARTDEGQL
+SPATRGAYRDCLGRLDLQYAKLLNSSKARLRSLESLHGFVAAATKELMWLSEKEEEEVGF
+DWSERNSNMAAKKEAYSALMRELELKEKKIKEIQSTGDRLLREDHPARPTVESFQAALQT
+QWSWMLQLCCCIEAHLKENTAYFQFFSDVREAEEQLRKLQETLHRKYTCDRSITVTRLED
+LLQDAQDEKDQLNEYRGHLSGLAKRAKAIVQLTPRNPTQPTRGRVPLLAVCDYKQVEATV
+HKGDECQMLGPAQPFHWKVLSGSGSEAAVPSVCFLVPPPNQEALEAVARLEAQHQALVTL
+WHQLHTDMKSLLAWQSLSRDVQLIRSWSLVTFRTLKPEEQRQALRSLELHYQAFLRDSQD
+AGGFGPEDRLQAEREYGSCSRHYQQLLQSLEQGKCGQCGGWWDARGGPPAVGRRANWMCS
+PGEQEESRCQRCISELKDIRLQLEACETRTVHRLRLPLDKEPARECAQRIAEQQKAQAEV
+EGLGKGVARLSAEAEKVLALPEPSPAAPTLRSELELTLGKLEQVRSLSAIYLEKLKTISL
+VIRSTQGAEEALKAHEEQLKEAQAVPAALPELEATKAAMKKLRAQAEAQQPVFDALRDEL
+RGAQEVGERLQQRHGERDVEVERWRERVTQLLERWQAVLAQTDVRQRELEQLGRQLRYYR
+ESADPLGAWLQDARRRQEQIQAVPLADSQAVREQLRQEKALLEEIERHAEKVEECQRFAK
+QYINAIKDYELQLVTYKAQLEPVASPAKKPKVQSGSESVIQEYVDLRTRYSELSTLTSQY
+IRFISETLRRMEEEERLAEQQRAEERERLAEVEAALEKQRQLAEAHAQAKAQAEREAQEL
+QRRMQEEVARREEVVVDAQQQKRSIQEELQQLRQSSEAEIQAKARQVEAAERSRLRIEEE
+IRVVRLQLETTERQRGGAEGELQALRARAEEAEAQKRQAQEEAERLRRQVQDETQRKRQA
+EAELGLRVKAEAEAAREKQRALQALEELRLQAEEAERRLRQAEAERARQVQVALETAQRS
+AQAELQSKHASFAEKTAQLERTLEEEHVTVVQLREEATRREQQQAEAERAREEAERELER
+WQLKANEALRLRLQAEEVAQQKSLAQAEAEKQKEAAEREARRRGKAEEQAVRQRELAEQE
+LERQRQLAEGTAQQRLAAEQELIRLRAETEQGEQQRQLLEEELARLQSEAAAATQKRQEL
+EAELAKVRAEMEVLLASKARAEEESRSSSEKSKQRLEAEAGRFRELAEEAARLRALAEEA
+KRQRQLAEEDAARQRAEAERVLSEKLAAISEATRLKTEAEIALKEKEAENERLRRLAEDE
+AFQRRRLEEQAAQHKADIEERLAQLRKASESELERQKGLVEDTLRQRRQVEEEILALKAS
+FEKAAAGKAELELELGRIRGNAEDTLRSKEQAEQEAARQRQLAAEEERRRREAEERVQKS
+LAAEEEAARQRKAALEEVERLKAKVEEARRLRERAEQESARQLQLAQEAAQKRLQAEEKA
+HAFAVQQKEQELQQTLQQEQSMLERLRGEAEAARRAAEEAEEARERAEGEAAQSRQRVEE
+AERLKQAAEEQAQAQAQAQAAAEKLRKEAEQEAARRAQAEQAALRQKQAADAEMEKHKKF
+AEQTLRQKAQVEQELTALRLKLEETDHQKSILDQELQRLKAEVTEAARQRSQVEEELFSV
+RVQMEELGKLKARIEAENRALILRDKDNTQRLLQEEAEKMKQVAEEAARLSVAAQEAARL
+RQLAEEDLAQQRALAEKMLKEKMQAVQEATRLKAEAELLQQQKELAQEQARRLQEDKEQM
+AQQLAQETQGFQRTLETERQRQLEMSAEAERLRLRVAEMSRAQARAEEDAQRFRKQAEEI
+SAKLHRTELATQEKVTLVQTLETQRQQSDRDADRLREAIAELEREKDKLKKEAELLQLKS
+EEMQTVQQEQLLQETQALQQSFLSEKDSLLQRERFIEEEKAKLERLFQDEVAKAQKLREE
+QQRQQQQMQQEKQQLLASMEEARRRQREAEEGVRRKQEELQLLEQQRQQQEQLLAEENRR
+LRERLEHLEEEHRAALAHSEEITAAQAAATRALPNGQDATDGPAAEPEHAFEGLRQKVPA
+QQLQEAGILSTEEVQRLVQGHTTVAELTQREDVRRYLQGHSSIAGLLLKPANEKLTIYAA
+LRRQLLSPGTAVILLEAQAASGFLLDPVRNRRLTVNEAVKEGVVGPELHHKLLSAERAVT
+GYKDPYTGEQISLFQAMKKDLIVREHGIRLLEAQIATGGVIDPVHSHRVPVDVAYQRGYF
+DEEMNRVLQDPSDDTKGFFDPNTHENLTYLQLLERCVEDPETGLRLLPLTDQAAKGGELV
+YTDSEARDVFEKATVSAPFGKFQGKTVTIWELINSEYFTAEQRRDLLRQFRTGKVTVEKI
+IKIVITVIEEHEQKGQLCFQGLRALVPAAELLESGVIDWDLFRQLQLGERSVQEVAEVEA
+VRRALRGSGVIAGVWLEEARQKLSIYEALKKELLQPEAAVALLEAQAGTGHVIDPATSAR
+LTVDEAVRAGLVGPELHEKLLSAEKAVTGYKDPYSGQSVSLFQALKKGLIPREQGLRLLD
+AQLSTGGIVDPSKSHRLPLDVACARGYLDKETSTALTAPRDDAKTYYNPRTWEPATYSQL
+QQQCRPDPLTGLSLLPLSEEAARARQQELYSEVQAREAFQKATVEVPVGSFQGRAVTIWE
+LINSEYFTAEQRQELLRQFRTGKVTVEKIIKIIITIVEEVETTRRERLSFSGLRAPVPAS
+ELLASGILSSSQFEQLKDGKTSVKDLSELDSVRTLLQGSGCLAGIYLEESKEKVTIYEAM
+RRGLLRPSTAILLLEAQAATGFLVDPVRNQRLYVHEAVKAGVVGPELHEKLLSAEKAVTG
+YKDPYSGSTISLFQAMKKGLVVREHGIRLLEAQIATGGIIDPVHSHRVPVDVAYQRGYFD
+KEMNRVLEDPSDDTKGFFDPNTRENLTYRQLLERCVEDPETGLRLLPLKGPEKAEVVETT
+RVYTEEETRRAFEETQIDIPGGGSHGGSTMSLWEVMQSDLIPEEQRAQLMADFQAGRVTK
+ERMIIIIIEIIEKTEIVRQQNLASYDYVRRRLTAEDLHEARVISRESYSLLREGTRSLRE
+VLEAESAWRYLYGTGCVAGVYLPGSRQTLTIYQALKKGLLSAEVARLLLEAQAATGFLLD
+PVKGDRLTVDEAVRKGLVGPELHDRLLSAERAVTGYRDPYTEQTISLFQAMKKDLIPAEE
+ALRLLDAQLATGGIVDPRLGFHLPLEVAYQRGYLNKDTHDQLSEPSEVRSYIDPSTDERL
+SYTQLLRRCRRDEASGLFLLPLSDARKLTFRGLRKQITVEELVRSHVMDEATAQRLQEGL
+TSIEEVSKNLQKFLEGTSSIAGVLVDATKERLSVYQAMKKGIIRPGTAFELLEAQAATGY
+VIDPIKGLKLTVEEAVRMGIVGPEFKDKLLSAERAVTGYKDPYSGKLISLFQAMKKGLIL
+KDHGIRLLEAQIATGGIIDPEESHRLPVDVAYQRGLFDEEMNEILTDPSDDTKGFFDPNT
+EENLTYLQLMERCVTDPQTGLRLLPLKEKKRERKTSSKSSVRKRRVVIVDPETGKEMSVY
+EAYRKGLIDHQTYLELSEQECEWEEITISSSDGVVKSMIIDRRSGRQYDIDEAIAKSLID
+RSALDQYRAGTLSITEFADMLSGNAGGFRSRSSSVGSSSSYPISPAVSRTQLASWSDPTE
+ETGPVAGILDTETLEKVSITEAMHRNLVDNITGQRLLEAQACTGGIIDPNTGERFPVTEA
+VNKGLVDKIMVDRINLAQKAFCGFEDPRTKTKMSAAQALKKGWLYYEAGQRFLEVQYLTG
+GLIEPDTPGRVPLDEALQRGTVDARTAQKLRDVSAYSKYLTCPKTKLKISYKDALDRSMV
+EEGTGLRLLEAATQSSKGYYSPYSVSGSGSTTGSRSGSRTGSRAGSRRGSFDATGSGFSM
+TFSSSSYSSSGYGRRYASGPTSSLGGPESTAA
+>tr|G5E5D4|G5E5D4_BOVIN Non-specific serine/threonine protein kinase OS=Bos taurus OX=9913 GN=MARK1 PE=4 SV=2
+MSARTPLPTVNERDTENHTSVDGYTEPHIQPTKSSSRQNIPRCRNSITSATDEQPHIGNY
+RLQKTIGKGNFAKVKLARHVLTGREVAVKIIDKTQLNPTSLQKLFREVRIMKILNHPNIV
+KLFEVIETEKTLYLVMEYASGGEVFDYLVAHGRMKEKEARAKFRQIVSAVQYCHQKCIVH
+RDLKAENLLLDADMNIKIADFGFSNEFTVGNKLDTFCGSPPYAAPELFQGKKYDGPEVDV
+WSLGVILYTLVSGSLPFDGQNLKELRERVLRGKYRIPFYMSTDCENLLKKLLVLNPIKRG
+SLEQIMKDRWMNVGHEEEELKPYAEPEPDFNDTKRIDIMITMGFVRDEINDALINQKYDE
+VMATYILLGRKPPEFEGGESLSSGNLCQRSRPSSDLNNSTLQSPAHLKVQRSISANQKQR
+RFSDHAGPSIPPAVSYTKRPQANSVESEQKEDWDKDVARKLGSTTVGSKSEMTASPLVGP
+ERKKSSTIPSNNVYPGGSMARRNTYVCERTTDRYTALQNGKDSSLTEMSASTMSSAGSAV
+ASAVPSARPRHQKSMSASGHPIKVTLPTIKDSSEAYRPGTTQRVPAASPSAHSISASTPD
+RTRFPRGSSSRSTFHGEQLRERRSAAYNGPPASPSHEPGAFAHARRGTSTGIISKITSKF
+VRRDPSEGEASGRADTSRSTTGEPKEREKEEGKDSKPRSLRFTWSMKTTSSMDPNDMMRE
+IRKVLDANNCDYEQKERFLLFCVHGDARQDSLVQWEMEVCKLPRLSLNGVRFKRISGTSI
+AFKNIASKIANELKL
+>tr|A0A3Q1LSM3|A0A3Q1LSM3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MALGRHLSLRGLCVVLLGIVVGGQALELRLKDGTHHCEGRVEVKHQGEWGTVDDMDWTLK
+DASVVCRQLGCGAAIGFPGGAYFGPGLGPIWLLYVSCEGVESTVSDCKHSEIKDYRNDSL
+SHDCDAGVVCSDKSCLVWDGPCSGRVEVHSGEAWIPVSYGNFTLSTAQVICAELGCGKAV
+SVLGHELFRESSAWVWAEEFRCEGEEPVLSVCPRVPCPRGTCHHSGAAQVVCSAYLEVRL
+MTNGSSQCEGQVEMKISGRWRVLCASHWSLANANVVCHQLGCGVAISTPGGPHLVEGGDQ
+ILTAQFHCSGAESFLWSCPVTALGGPDCSHGNTASVICSGKREGRVRVPQDRRPFSFPRS
+PLHCPISLCSDSRQLRLVDGGGLCAGRVEILNQGSWGTICDDGWDLDDARVVCRQLGCGE
+ALIATGSAHFGAGSGPIWLDDLNCAGNASHVHKQDAGVICSEFLALRMVSEDQQCAGWLE
+VFYNGTWGSVCCSPMEDITVSIICRQLGCGDSGTLNSSVGIREGSRPQGVDRIHCWKTDT
+SLWPCPSDPWNYTSCSLKEEAYISCADSRQLCLVDRGGPCTGRVEILHQGSWGTICDDSW
+DLDGARLVCRQLGCGEVLSAVESAPFGAGSIPIWLDHVYCGRMESHVWQCPSRGWGKHNC
+GHDEDAGVTCSGFVHLVGEDGPLSDGNFTLPTAQVICAELRCGKAVSVLGHELFRESDGR
+VWAEEFRCEGEEPKLWSCPRVPCPGGTCHHSGAVQLVCSAYSEVRLMTNGSSQCEGQVEM
+NISGGWRVLCASHWSLANANVVCHQFGCGVAISTPGGPHLVGRGDRISTARFHCSGAESF
+LWSCPVTALGGPDCSRGNTASVICSGNQSQVLPQCKDSVSEPAGSEASEESDPYCSDEDS
+RQLRLVDGGGHCAGRVEILDQVSWGTICDDGWDLDDAHVVCRQLGCGQALNATRSAHFGA
+GSGPIWLDDLNCTGKESHMWRCPSQGWGRHDCRHKEDAGVICSEFLSLRMVSEDQQYAGW
+LEVFYSRTWGSVCCNPIDDITMSVICRQLGCGDSGTLDTFVALREGSRPRRVDGIQCQKT
+DTSLWQCPSDPWKYSSCSPKEEAYISCAGRRPKSCPTAAPCTGTSAPSPVASRGSFLPPG
+SWADGQALEVMRSAAFGLGNGSIWLDEVQCRGQESSLWDCAVEPWGQSGCKHKEDAGVRC
+SGEWRAECRALSSYEDALAEAVPTPLLWFHLTPALPSEAPDQRTVALGEDYDGGEEVPVT
+GAPHDSQGSEEEVLPEKEDGIRSQTGSSLNVSREEADPEEGEQSPCLLQGEKGDPGYDDV
+ELSVLGTSTVAFV
+>tr|G3MX06|G3MX06_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=2
+MKAPTQLLSLLLLWLLPGARCDIQVTQSPSYLSASLGDRVSITCQANQSVSHYLNWYQQK
+PGEAPKLLIYYATSRYTRVPSRFSGSGSGTDFTLTISSLEADDAANYYCQQDYSTPPTVL
+HTQT
+>tr|G5E5I0|G5E5I0_BOVIN RRM domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=2
+GNPLQCSCLENSMDRGAWRTIVLGVAKSRTRLSDLTHTDTKFCVSLFYKLNRYREIVNIN
+LVPDKKTGKSKGFCFLCYEDQRSTILAVDSFNGIKIKGRTIRVDHVSNYRLPEDSEEMDE
+VTRELQERGCGTHTPPPSSSEGSEDDKPTKKHKKGKWKKRKKKERQKTGREVQADKMIKE
+KDDPGSKKHSGKHSEKAEKGQKSESREVRKSHPSSAEVRTTCRGRMEDREREPRKEKSKH
+EHKSSSRREEREDRHRERDRGRSSDIHSRWHDRRSEGRHDRCSEGRSHRSRSRHQDKSHR
+HKRSRHSRDPESSNPRELRHH
+>tr|A0A3Q1MXZ0|A0A3Q1MXZ0_BOVIN Long-chain-fatty-acid--CoA ligase ACSBG1 OS=Bos taurus OX=9913 GN=ACSBG1 PE=4 SV=1
+MPDSRAAPQESLLDASLGTTQENVGTRFLNRLPPGGPECVRKLSLNLRYQQEVRANVKSS
+SLTDGQTLSKEPLSHALKLSTPEKVKDAQLNPPEEALWTTRADGRVRLRIDPICSQTPRT
+VHQMFSTTLDKYGDLSAMGFKRQGTWEHISYTQYYLLARKAAKGFLKLGLERAHSVAILA
+FNSPEWFFSAVGAVFGGGIITGIYTTSSPEACQYIAYDCRANIIVVDTQKQLEKILKIWK
+HLPHLKAVVIYREAPPMRMPSVYTMEELMELGNEVPEEALDVIINAQKPNQCCALVYTSG
+TTGNPKGVMLSQDNITWTARYGSQAGDIQPAEIQQEVVVSYLPLSHIAAQIYDLWTGIQW
+GAQVCFAEPDALKGSLVNTLREVEPTSHMGVPRVWEKIMEQIQEVAAQSGFIWRKMLLWA
+MSVTLEQNLTCPSSDLKPFTTRLADYLVLAKVRQALGFAKCQKNFYGAAPMTAETQHFFL
+GLNIRLYAGYGLSETSGPHFMSSPYNYRLYSSGKVVPGCQVKLVNEDAEGIGEICLWGRT
+IFMGYLNMEDKTCEAIDAEGWLHTGDTGRLDADGFLYITGRLKELIITAGGENVPPVPIE
+EAVKTELPIIRNAMLIGDQRKFLSMLLTLKCTLDPDTFEPTDNLTEQAVEFCQRVGSKAT
+TVSEVVGKKDEAVYQAIEEGIQRVNMNAAARPYHIQKWAILEKDFSISGGELGPTMKLKR
+LAVLEKYKDVIDSFYQEQKNFQVDLTRC
+>tr|A0A3Q1MAG5|A0A3Q1MAG5_BOVIN Proteasome activator complex subunit 1 OS=Bos taurus OX=9913 GN=PSME1 PE=4 SV=1
+MSKECSIMPEASSFKEVDVFREDLCTKTENLLGSYFPKKISELDAFLKEPDLNEANLSNL
+KAPLDIPVPDPVKEKEKEERRKQQEKEGPPCGPVSCNEKIVVLLQRVKPEIKDVIEKLNL
+VTTWLQLQIPRIEDGNNFGVAVQEKVFELMTALHTKLEGFHTQISKYFSERGDAVTKAAK
+QPHVGDYRQLVHELDEAEYRDIRLMVMEIRNAYAVLYDIILKNFEKLKKPRGETKPSLW
+>tr|F1MX77|F1MX77_BOVIN Vir like m6A methyltransferase associated OS=Bos taurus OX=9913 GN=VIRMA PE=4 SV=3
+MAGQAISGSATRSDRSRLPTPALWSQEVPSSLPLLSCCRRAWRAAPEVLPLPPPAANMAV
+DSAMELLFLDTFKHPSAEQSSHIDVVRFPCVVYINEVRVIPPGVRAHSNLPDNRAYGETS
+PHTFQLDLFFNNVSKPSAPVFDRLGSLEYDENTSIIFRPNSKVNTDGLVLRGWYNCLTLA
+IYGSVDRVISHDRDSPPPPPPPPPPPQPQPSVKRNPKHADGEKEDQFNGSPPRPQPRGPR
+TPPGPPPPDDDEDDPMPLPVSGDKEEDAPHREDYFEPISPDRNSVPQESQYSDEGEVEEE
+QQEEGEDDEDDVDVEEEEDEDEDDRHTVDSIPEEEEEDEEEEGEEDEEGEGDDGYEQISS
+DEDGIADLERETFKYPNFDVEYTAEDLASVPPMTYDPYDRELVPLLHFSCPYKTTFEIEI
+SRMKDQGPDKENSGAVEASVKLTELLDLYQEDRGAKWVTALEEIPSLIIKGLSYLQLKNT
+KQDALGQLIDWTMQALNLQVALRQPIALNVRQLKAGTKLVSSLAECGTQGVLGLLQAGVI
+SGLFELLFADHVSSSLKLNAFKALDSVISMTEGMEAFLRSRQSEKSGYQKLLELILLDQT
+VRVVTAGSAILQKCHFYEILSEIKRLGDHLAEKTSSVPNHSEPDHDTDAGVERTNPEYEN
+EVEASMDMDLLESSNISEGEIEKLINLLEEVFHLMETAPHTMIQPPVKSFPTMARITGPP
+ERDDPYPVLFRYLHSHHFLELVTLLLSIPVTSAHPGVLQATKDVLKFLAQSQKGLLFFMS
+EYEATNLLIRALCHLYDQDEEDGLQSDGVIDDAFALWLQDSTQTLQCITELFSHFQHCTA
+SEETDHSDLLGTLHNLYLITFNPVGRSAVGHVFSLEKNLQSLITLMEYYSKEALGDSKSK
+KSVAYNYACILILVVVQSSSDVQMLEQHAASLLKLCKADENNAKLQELGKWLEPLKNLRF
+EINCIPNLIEYVKQNTDNLMTPEGVGLTTALRVLCNVACPPPPVEGQQKDLKWNLAVIQL
+FSAEGMDTFIRVLQKLNSILTQPWRLHVNMGTTLHRVTTISMARCTLTLLKTMLTELLRG
+GSFEFKDMRVPSALVTLHMLLCSIPLSGRLDSDEQKIQNDIIDILLTFTQGVNEKLTISE
+ETLANNTWSLMLKEVLSSILKVPEGFFSGLILLSELLPLPLPMQTTQVIEPHDISVALNT
+RKLWSMHLHVQAKLLQEIVRSFSGTTCQPIQHMLRRICVQLCDLASPTALLIMRTVLDLI
+VEDLQSTSEDKEKQYTSQTTRLLALLDALASHKACKLAILHLISGTIKGDERYAEIFQDL
+LVLVRSPGDSVIRQQCVEYITSILQSLCDQDIALILPNSSEGSVSELEQLSNSLPNKELM
+ASICDCLLAVVANSESSYSCLLTCVRTMMFLAEHDYGLFHLKSSLRKNSNALHSLLKRVV
+STFSKDTGELASSFLEFMRQILNSDTMEHSKDDDSLDSLLDSVVGLKQMLESSGDPLPLG
+DQDVEPVLSAPESLQNLFNNRTAYVLADVMDDQLKSMWFTPFQAEEIDTDLDLVKVDLIE
+LSEKCCSDFDLHSELERSFLSEPSSPGRTKTTKGFKLGKHKHETFITSSGKSEYIEPAKR
+AHVVPPPRGRGRGGFGQGIRPHDIFRQRKQNTSRPPSMHVDDFVAAESKEVVPQDGIPPP
+KRPLKVSQKISSRGGFSGNRGGRGAFHSQNRFFTPPASKGNYSRREGTRGSSWSAQNTPR
+GTYNESRGGQSNFNRGPLPPLRPLSSTGYRPSPRDRASRGRGGLGPSWASANSGSGGSRG
+KFVSGGSGRGRHVRSFTR
+>tr|A0A3Q1MQI1|A0A3Q1MQI1_BOVIN Glutaredoxin domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MSRSLGQVAAALLHCGRGAGSGLQILGVRGRTLGWQRLLGTPGRIGEEGQGGALPRGDAG
+AAQCGFSNTVVQILQLHGVRDYLAHNMLDHRQLQQGIKDYSTVPQVHLNGDCVTLQVDLS
+SLTRE
+>tr|E1BGL7|E1BGL7_BOVIN Tripartite motif containing 40 OS=Bos taurus OX=9913 GN=TRIM40 PE=4 SV=2
+MGSLREDRQEEGICPICQECLKEAVRTDCGHLFCRACLAQHLEKASASGVRSCPLCRKPC
+SEGVLGAGYTCDSPQKKAYWFCEESRRLLCMECRVTPEHKSHCELPIENAISHYKKRLNR
+RIRRRRQLRIQEEILQEMQADHGTHRLEAELEQQPQTRRQLDAPAQQRPDQRRDVSAELS
+RSPGISRALLQFSSLVTDPEGMAKKLDTSLLKVRGCLPKLVLWLPLQGSGPKTSVFL
+>tr|A0A3Q1LTD0|A0A3Q1LTD0_BOVIN G_PROTEIN_RECEP_F1_2 domain-containing protein OS=Bos taurus OX=9913 GN=LOC785896 PE=3 SV=1
+LEHLNTMVLSEFILMGITDRPELQAPLFGLFLIIYVISVVGNLGMVILTKVDSRLQTPMY
+FFLRHLALTDLGYSRAVGPKMLVNFVVGQNKISYYLCATQMGFFIMFITNELFILATMSY
+DRYLAICNPLLYTVIMSQRVCKVLVAIPYVYSTFVSLLITIKLFNSFFCGYNVISHFYCD
+CLPLISLVCSNTQEIELIILIFAGVNVILSLPIILMSYLLILVAILRMNSVEGRHTAFST
+CGSHLTVVTVFFGALIFMYVQPESRHSFDTDKMASIFYTLVIPMLNPLI
+>tr|A0A3Q1MWH8|A0A3Q1MWH8_BOVIN Kinesin family member 5A OS=Bos taurus OX=9913 GN=KIF5A PE=3 SV=1
+MTETNNECSIKVLCRFRPLNQAEILRGDKFIPIFQGDDSVVIGGKPYVFDRVFPPNTTQE
+QVYHACAMQIVKDVLAGYNGTIFAYGQTSSGKTHTMEGKLHDPQLMGIIPRIARDIFNHI
+YSMDENLEFHIKVSYFEIYLDKIRDLLDVTKTNLSVHEDKNRVPFVKGCTERFVSSPEEI
+LDVIDEGKSNRHVAVTNMNEHSSRSHSIFLINIKQENMETEQKLSGKLYLVDLAGSEKVS
+KTGAEGAVLDEAKNINKSLSALGNVISALAEGTKSYVPYRDSKMTRILQDSLGGNCRTTM
+FICCSPSSFNDAETKSTLMFGQRAKTIKNTASVNLELTAEQWKKKYEKEKEKTKAQKETI
+AKLEAELSRWRNGENVPETERLAGEEATLGAELCEETPVNDNSSIVVRIAPEERQKYEEE
+IRRLYKQLDDKDDEINQQSQLIEKLKQQMLDQEELLVSTRGDNEKVQRELSHLQSENDAA
+KDEVKEVLQALEELAMNYDQKSQEVEEKSQQNQLLVDELSQKVATMLSLESELQRLQEVS
+GHQRKRIAEVLNGLMKDLSEFSVIVGNGEIKLPVEISGAIEEEFTVARLYISKIKSEVKS
+VVKRCRQLENLQVECHRKMEVTGRELSSCQLLISQHEAKIRSLTEYMQSVELKKRHLEES
+YDSLSDELAKLQAQETVHEVTLKDKEPDTQDTEDVKKALELQMESHREAHHRQLARLRDE
+INEKQKTIDELKDLNQKLQLELEKLQADYEKLKNEEHEKSTKLQELTFLYERHEQSKQDL
+KGLEETVARELQTLHNLRKLFVQDVTTRVKKSAEMEPEDSGGIHSQKQKISFLENNLEQL
+TKVHKQVEDWVSKLVRDNADLRCELPKLEKRLRATAERVKALEGALKEAKEGAMKDKRRY
+QQEVDRIKEAVRYKSSGKRGHSAQIAKPVRPGHYPASSPTNPYGTRSPECISYTNSLFQN
+YQNLYLQAAPSSTSDMYFANSCTSSGATSSGGPLTSYQKANMDNGNATDINDNRSDLPCG
+YEAEDQAKLFPLHQETAAS
+>tr|E1BM48|E1BM48_BOVIN Pre-mRNA processing factor 31 OS=Bos taurus OX=9913 GN=PRPF31 PE=4 SV=1
+MSLADELLADLEEAAEEEEGGSYGEEEEEPAIEDVQEETQLDLSGDSVKSIAKLWDSKMF
+AEIMMKIEEYISKQAKASEVMGPVEAAPEYRVIVDANNLTVEIENELNIIHKFIRDKYSK
+RFPELESLVPNALDYIRTVKELGNSLDKCKNNENLQQILTNATIMVVSVTASTTQGQQLS
+EEELERLEEACDMALELNASKHRIYEYVESRMSFIAPNLSIIIGASTAAKIMGVAGGLTN
+LSKMPACNIMLLGAQRKTLSGFSSTSVLPHTGYIYHSDIVQSLPPDLRRKAARLVAAKCT
+LAARVDSFHESTEGKVGYELKDEIERKFDKWQEPPPVKQVKPLPAPLDGQRKKRGGRRYR
+KMKERLGLTEIRKQANRMSFGEIEEDAYQEDLGFSLGHLGKSGSGRVRQTQVNEATKARI
+SKTLQRTLQKQSVVYGGKSTIRDRSSGTASSVAFTPLQGLEIVNPQAAEKKVAEANQKYF
+SSMAEFLKVKGEKSGITST
+>tr|A0A3Q1MGD3|A0A3Q1MGD3_BOVIN LPS responsive beige-like anchor protein OS=Bos taurus OX=9913 GN=LRBA PE=4 SV=1
+MASEDNNVPSPPPTGDDGGGGGKGEEAPAEGGALSLTPGLPIRGIRMKFAVLTGLVEVGE
+VSNRDIVETIFNLLVGGQFDLEMNFIIQEGESIICMVDLLEKCDVTCQAEVWSMFTAILK
+KSIRNLQICTEVGLVEKVLGKIEKVDSMIADLLVDMLGVLASYNLTVRELKLFFSKLQGD
+KGQWPPHAGKLLSVLKHMPQKYGPDAFFNFPGKSAAAIALPPVAKWPYQNGFTFHTWLRM
+DPVNNINVDKDKPYLYCFRTSKGLGYSAHFVGGCLIITSIKSKGKGFQHCVKFDFKPQKW
+YMVTVVHIYNRWKNSELRCYVNGELASYGEITWFVNTSDTFDKCFLGSSETADANRVFCG
+QMTAVYLFSEALNAAQIFAIYQLGLGYKGTFKFKAESDLFLAEHHKLLLYDGKLSSAIAF
+TYNPRATDAQLCLESSPKDNPSIFVHSPHALMLQDVKAVLTHSIQSAMHSVGGVQVLFPL
+FAQLDYKQYLSDEIDSTICSTLLAFIMELLKNSIAMQEQMLACKGFLVIGYSLEKSSKSH
+VSRAVLELCLVFSKYLSNLPNGMPLLKQLCDHILLNPALWIHTPAKVQLTLYTYLSTEFI
+GTVNIYNAIRRVGTVLLIMHTLKYYYWAVNPQDRSGITPKGLDGPRPNQKEMFSLRAFLL
+MFIKQLVMKDDNLMDVLQLLVALMSEHPNSMIPAFDQRNGLRVIYKLLASTSEGIRVQAL
+KAMGYFLKHLAPKRKAEVMLGHGLFSLLAERLMLQTNLITMTTYNVLFEILIEQICTQVI
+HKQHPDPDSSVKIQNPQILKVIATLLRNSVQCPESMEVRRAFLSDMIKLFNNSRENRRSL
+LQCSVWQEWMLSLCYFNPKNSDEQKITEMVYAIFRILLYHAIKYEWGGWRVWVDTLSITH
+SKVTFEIHKENLASILREHQGKVDEETGHCSSSPVQAVSGISREVNVSVGSQQSDTKDSP
+VSSDITRNSDEKSSIGQTSSVESASNTELQIPATSDEERTTGPENEELLDEVPLEETLIN
+ETVNADNLDGSSDITEAATISSDSFTTGKDTVTVNEVTASISSPSEEDASEVPELLEKSI
+VEEDDDDYVELKVECSPIEEASLPTEPQDNSLSPGASEASERGDVFSNDHKLIFQEEEAV
+SKKQTDSETQDPEDSGILPMTAPGTSVTPADVTASQAAVHPDIAHTLEEREKTNSTGETK
+LNSDSHGNIFESPTTSEHKIAKLDVSSVATDTERLELKANTNMEVSQPPQPALEMSRQQE
+QPGQGTADTVNGQRRDSRSMFRIPEFRWSQMHQRLLTDLLFSIETDIQMWRSHSTKTVMD
+FVNSSDNVIFVHNTIHLISQVMDNMVMACGGILPLLSAATSATHELENIEPTQGLSIEAS
+VTFLQRLISLVDVLIFASSLGFTEIEAEKNMSSGGILRQSLRLVCAVAVRNCLECQQQSQ
+LRSKGETGKSQKMAHSLIPTGKSAAKSPVDLVTGGISPVRDFDRLLQDMDINRLRAVVFR
+DIEDSKQAQFLALAVVYFISVLMVSKYRDILEPQNERHSQSRETGSECGNLSLPDVEDSP
+AASSPLTPASVEESESTSPAPRRNSGTGGETAPGLGSGADVAAPGVPPRVGAGPDAINEA
+LCTLSSEVSKSQETKSDGGNESDSKAAPSVPVSKNVNVKDILRSLVNIPADGVTVDPALL
+PPACLGALGDLSAEQPVQFRSFDRSVIVATKKSAVLPSTLTGIPTNAVSVVSSVDSAQAS
+DVGGESPGGRSSNAKLPAVPTVGSVPQDQVSNMSITERLEHALEKAAPLLREIFVDFAPF
+LSRTLLGSHGQELLIEGTSLVCMKSSSSVVELVMLLCSQEWQNSIQKNAGLAFIELVNEG
+RLLSQTMKDHLVRVANEAEFILSRQRAEDIHRHAEFESLCAQYSADKREDEKMCDHLIRA
+AKYRDHVTATQLIQKIINILTDKHGAWGNSAVSRPREFWRLDYWEDDLRRRRRFVRNPLG
+STHPEATLKTAVEHAADEDILAKGKQSIKSQALGNQNSENETLLEGDDDTLSSMDEKDLE
+NLTGPVSLSTPAQLVAPSVVVKGTLSVTSSELYFEVDEEDPNFKKIDPKILAYTEGLHGK
+WLFSEIRSIFSRRYLLQNTALEIFMANRVAIMFNFPDPATVKKVVNYLPRVGIGTSFGLP
+QTRRISLASPRQLFKASNMTQRWQHREISNFEYLMFLNTIAGRTYNDLNQYPVFPWVITN
+YESEELDLTLPSNFRDLSKPIGALNPKRAAFFAERYESWEDDQVPKFHYGTHYSTASFVL
+AWLLRIEPFTTYFLNLQGGKFDHADRTFSSISRAWRNSQRDTSDIKELIPEFYYLSEMFV
+NFNNYNLGVMDDGTVVSDVELPPWAKTSEEFVRINRLALESEFVSCQLHQWIDLIFGYKQ
+QGPEAVRALNVFYYLTYEGAVNLNSITDPVLREAVEAQIRSFGQTPSQLLIEPHPPRGSA
+MQASPLMFTDQAQQDVIMVLKFPSNSPVTHVAANTQPGLATPAVITVTANRLFAMNKWHN
+LPAHQGAVQDQPYQLPVEIDPLIASNTGMHRRQITDLLDQSIQVHSQCFVITSDNRYILL
+CGFWDKSFRVYSTDTGKLIQVVFGHWDVVTCLTRSESYIGGNCYILSGSRDATLLLWYWN
+GKSSGIGDNPGSETATPRAILTGHDYEITCAAVCAELGLVLSGSKEGPCLIHSMNGDLLR
+TLEGPENCLKPKLIQASREGHCVIFYENGSFCTFSVNGKLQATMETDDNIRAIQLSRDGQ
+YLLTGGDSGVLMVWQVSDLKQLFAYPGCDAGIRAMALSYDQRCVIAGMASGSIVLFYNDF
+NRWHHEYQTRY
+>tr|F1MZU1|F1MZU1_BOVIN La ribonucleoprotein domain family member 1 OS=Bos taurus OX=9913 GN=LARP1 PE=4 SV=3
+MATQVEPLLPGGAPLLQAEDHGGLVRKKPLPPPEGKGEPGANDVGGGEPDGGTRRPRPPC
+AKQHREGTGQLERESPRPPQPPGAEGLAVSDGEEGGGGEPGAGGGAAGVAGAGRRDFVEA
+PPPKVNPWTKNALPPVLATVNGQSPPEHSAPAKVVRAAVPKQRKGGKVGDFGDAINWPTP
+GEIAHKSVQPQAHKSQPARKLPPKKDMKEQEKGEGSDSKESPKTKSDESGEEKNGDEDCQ
+RGGQKKKGNKHKWVPLQIDMKPEVPREKLVSRPTRPPEPRHTPTNRGEIKGSEPVTYVPV
+APPTPAWQPETKSEPAWHDQDETSSVKSDGAGGARASFRGRGRGRGRGRGRGRGGTRTHF
+DYQFGYRKFDGAEGPRTPKYMNNITYYFDNVSSTELYSVDQELLKDYIKRQIEYYFSVDN
+LERDFFLRRKMDADGFLPMTLIASFHRVQALTTDISLIFAALKDSKVVEIVEEKVRRREE
+PEKWPLPGPPIVDYSQTDFSQLLNCPEFVPRQHYQKETESAPGSPRAVTPVPTKTEEVSN
+LKTLPKGLSASLPDLDSENWIEVKKRPRPSPARPKKSEEPRFVHSTTLPQHLPSQQLMSK
+DQDEQEELDFLFDEEMEQMDGRKNTFTAWSDEDSDYEIDDRDVNKILIVTQTPPYMRRHP
+GGDRTGNHTSRAKMSAELAKVINDGLFYYEQDLWTEKFEPEYSQIKQEVENFKKVNMISR
+EQFDTLTPEPPVDPNQEVPPGPPRFQQVPTDALANKLFGAPEPSTIARSLPTTVPESPNY
+RNARTPRTPRTPQLKDSSQTSRFYPVVKEGRTLDAKTPRKRKTRHSSNPPLESHVGWVMD
+SREHRPRTASISSSPSEGTPAVGSYGCTPQSLPKFQHPSHELLKENGFTQHVYHKYRRRC
+LNERKRLGIGQSQEMNTLFRFWSFFLRDHFNKKMYEEFKQLALEDAKEGYRYGLECLFRY
+YSYGLEKKFRLDIFKDFQEETVKDYEAGQLYGLEKFWAFLKYSKAKNLDIDPKLQEYLGK
+FRRLEDFRVDPPMGEEGNHKRHPAAAGGGSGEGRKRCPSQSSSRPTTSQPPTPPTGQPIR
+EDAKWTSQHSDSQTLGK
+>tr|A0A452DJT8|A0A452DJT8_BOVIN Fez family zinc finger protein 2 OS=Bos taurus OX=9913 GN=FEZF2 PE=4 SV=1
+MASSASLETMVPPACPRAGASPATSKTLAFSIERIMAKTSEPRAPFEPRPGALEADSGQG
+KKLLNLCSPLPCMIPLQPLGYEMPSKTLLSYSELWKSSLRAGGSGGGGGGGGGGGGGAPV
+CGASGLCKTNCGVCCKAELGLVPSALPAGRVIKPQVINQAVGLPASGSLYYFNYLDSATY
+PPSELLGGHLFPSGLLNTQAPAALAAHPKLFLLENAKLAGLTADKFAHPAPYAHKERLPA
+PLEQVLKENSALTAERGGVKGHSKLPGGSADGKPKNFTCEVCGKVFNAHYNLTRHMPVHT
+GARPFVCKVCGKGFRQASTLCRHKIIHTQEKPHKCNQCGKAFNRSSTLNTHIRIHAGYKP
+FVCEFCGKGFHQKGNYKNHKLTHSGEKQYKCTICNKAFHQVYNLTFHMHTHNDKKPFTCA
+TCGKGFCRNFDLKKHVRKLHDSVGPAAPSTKDLTRTVQS
+>tr|A0A3Q1MB56|A0A3Q1MB56_BOVIN Septin 9 OS=Bos taurus OX=9913 GN=SEPTIN9 PE=1 SV=1
+MKKSYTGVTRTSSGRLRKLGDPTGPALKRSFEVEEVETPNSTPPRRVQTPLLRSSVASST
+QKFQDLGVKNSEPAARHVDSLSQRSPKAALRRVELSGPKAEPVSRRTEISIDISSKQVEN
+AGTAGPSRFGLKRAEALGHKTPEPAPRRTEITIVKPQESAHRRMETPASKMPEVPAAPAA
+DAAPKRVEIQVPKPAEGPASPIPPQSLENSELAPMSQLQSRLEPKPQPPVAEIPPKSQEA
+TEAAPSSAGDMADTPRDAALKQPPAPRNEKAPVDFGYVGIDSILEQMRRKAMKQGFEFNI
+MVVGQSGLGKSTLINTLFKSKISRKSVQPTSEERIPKTIEIKSITHDIEEKGVRMKLTVI
+DTPGFGDHINNENCWQPIMQFINDQYEKYLQEEVNINRKKRIPDTRVHCCLYFIPATGHS
+LRPLDIEFMKRLSKVVNIVPVIAKADTLTLEERVYFKQRITADLLSNGIDVYPQKEFDED
+SEDRLVNEKFREMIPFAVVGSDHEYQVNGKRILGRKTKWGTIEVENTTHCEFAYLRDLLI
+R
+>tr|G3N186|G3N186_BOVIN Poly [ADP-ribose] polymerase OS=Bos taurus OX=9913 GN=PARP2 PE=4 SV=2
+MFLHSSIIFSVYSFFLLLLCPFFLPILNEAERVNNGKTVAEDPPPAKKIRKCHRLKKEPV
+AEGKTDSDRTEDKQESVKTLLLKGKAPVDPECTTKVGKAHVYFEGNDVYDVMLNQTNLQF
+NNNKYYLIQLLEDDAQRNFSVWMRWGRVGKTGQHSLVACSGDLNKAKEIFQKKFLDKTKN
+NWEDREKFEKVPGKYDMLQMDYASNTQNEEETKKEESLKSRLKLESQLDLRVQELIKLIC
+NVQAMEEMMVEMKYDTKKAPLGKLTVAQIKAGYQSLKKIEDCIRAGQHGRALMEACNEFY
+TRIPHDFGLRTPPLIRTEKELSDKVQLLEALGDIEIAIKLVKTELQSPEHPLDQQYRKLR
+CALHPLDHESYEFKVISQYLQSTHAPTHSDYTMTLLDVFEVEKEGEKEAFREDLHNRMLL
+WHGSRLSNWVGILSHGLRIAPPEAPITGYMFGKGIYFADMSSKSANYCFATRLKDTGLLL
+LSEVALGQCNELLGANPEAEGLLQGKHSTKGLGKMAPSPACAITLNGSTVPLGPASDTGI
+LNPEGYTLNYNEFIVYNPNQVRMRYLLKVQFNFLQLW
+>tr|A0A3Q1MFI8|A0A3Q1MFI8_BOVIN Inositol hexakisphosphate and diphosphoinositol-pentakisphosphate kinase OS=Bos taurus OX=9913 GN=PPIP5K1 PE=3 SV=1
+MWSLPASEGESATAHFFLGAGDEGLGTRGLGMRPEESDSELLEDEEDEVPPEPQIIVGIC
+AMTKKSKSKPMTQILERLCRFDYLTVIILGEDVILNEPVENWKMGRSANLFGGNRREVYR
+ILQEEGIDLPRYAVLNRDPARPEECNLIEGEDQVEVNGAVFPKPFVEKPVSAEDHNVYIY
+YPSSAGGGSQRLFRKIGSRSSVYSPESSVRKTGSYIYEEFMPTDGTDVKVYTVGPDYAHA
+EARKSPALDGKVERDSEGKEIRYPVMLTAMEKLVARKVCVAFKQTVCGFDLLRANGHSFV
+CDVNGFSFVKNSMKYYDDCAKILGNTIMRELAPQFQIPWSIPMEAEDIPIVPTTSGTMME
+LRCVIAIIRHGDRTPKQKMKMEVTHPRFFSLFEKHGGYKTGKLKLKRPEQLQEVLDITRL
+LLAELEKEPGGEIEEKTGKLEQLKSVLEMYGHFSGINRKVQLTYYPHGVKASNEGQDTQR
+EALAPSLLLVLKWGGELTPAGRVQAEELGRAFRCMYPGGQGDYAGFPGCGLLRLHSTFRH
+DLKIYASDEGRVQMTAAAFAKGLLALEGELTPILVQMVKSANMNGLLDSDGDSLSSCQHR
+VKARLHHILQQDAPFGPEDYNQLAPTGSTSLLSSMAVIQNPVKVCDQVFDLIENLTHQIR
+ERMQDPKSVDLQLYHSETLELMLQRWSKLERDFRQKSGRYDISKIPDIYDCVKYDVQHNG
+SLGLQGTAELLRLSKALADVVIPQEYGISREEKLEIAVGFCLPLLRKILLDLQRTHEDES
+VNKLHPLYSRGVLSPGRHVRTRLYFTSESHVHSLLSVFRYGGLLDETKDTQWQRALAYLS
+AISELNYMTQIVIMLYEDNTRDPLSEERFHVELHFSPGVKGVEEEGSAPTGCGFRPASSE
+NEERKADQGSVEDLCPGKASDEPDRALQTSPLPSEGPGLPKRSPLIRNRKAGSMEVLSET
+SSSRPGGHRLFSSSRPPTEMKQSGLGSQCTGLFSTTVLGGSSSAPNLQDYARSQGKKLPP
+ASLKHRDELLFVPAVKRFSVSFAKHPTNGFEGCSMVPTIYPLETLHNALSLRQVSEFLSR
+VCQRHTEAQAQASAALFDSMHSNQASDSPFSPPRTLHSPTLQLQQRSEKPPWYSSGPSST
+VSSAGPSSPTAVDGNCPFGFSDQPSVSSHVTEEYQGLGLLQEAPGSGAQEPPLEGQQEPF
+EQNQSPQEPPVETKKPCQEVAEEVSQPCQDIPEEVNQPCQQVSDICQPCEENHDDVDQTC
+QEVPQISQPCEDASQLYQKVSKEVCELCQNSEEVNQPCQGVPVEIGRLVHGFPVGVGGLA
+QEVLGEVGRPTQEIPEELSQSCQEFSVDIGRLAQEASAINLLSPDTPEVDNPPLEFPGEG
+ALQAQEVSEWVKQQQSYVVPELIDQLSREEVPQVQCPPSNANPQSQSLAPDQNAPLPPAT
+CDSSFSH
+>tr|A0A3Q1LQ40|A0A3Q1LQ40_BOVIN Mitogen-activated protein kinase kinase kinase 15 OS=Bos taurus OX=9913 GN=MAP3K15 PE=4 SV=1
+SDGNKQQNLQFVNPPFSEHCPGDAAGGARRAPAPPPFPDLPPPSEGPDVPAPGVAGPPGP
+PEPEGSAEGAGVGGEGGGAGGPRRALRAVYVRSESPQGGPEAGARQCLLRACEAEGAHLT
+SVPFGELDFGETAVLDAFYDADVAVVDMSDVSRQPSLFYHLGVRESFDMANNVILYHDTD
+ADTALSLKVKSIASSGNYYFIPYIVTPCADYFCCESDAQRRASEYMQPNWDTLLGPLCVP
+LVDRFTTFLVSLSSAYYKETLLNDIRRAREKYQGDELAKELARIKLRMDNTEVLTSDIII
+NLLLSYRDIQDYDAMVKLVETLEMLPTCDLADQHNIKFHYAFALNRRNSAGDREKALQVM
+LQVLQSCDHPAPDMFCLCGRIYKDVFLDSDCKDDASRDSAIEWYRKGFALQPSLYSGINL
+AVLLIVAGQQFETSMELRKIGVRLNSLLGRKGSLEKMNSYWDVGQFFSVSMLASDVGKAV
+QAAERLFRLKPPVWYLRSLVQNLLLIQRFKKPLIEHSPRQERLNFWLDIIFEATNEVTNG
+LRFPVLVIEPTKVYQPSYVSINSEAEERTVSLWHVSPTEMKQIHEWNFTASSIRGISISK
+FDERCCFLYVHDNSDDFQIYFSTENQCKMIANGMGSTVELEGETDGDTLEYEYDHDEKGE
+RVVLGKGTYGVVYAGRDLSNQVRIAIKEIPERDSRYSQPLHEEIALHKYLKHRNIVQYLG
+SVSEDGYIKIFMEQVPGGSLSALLRSKWGPMKEPTIRFYTKQILEGLKYLHENQIVHRDI
+KGDNVLVNTYSGVVKISDFGTSKRLAGVNPCTETFAGTLQYMAPEIIDQGPRGYGAPADI
+WSLGCTIIEMATSKPPFHELGEPQAVMFKVGMFKIHPEIPETLSAEARACLLSCFEPDPH
+KRVTAAGLLQEGFFRQMHKGKKNRIAFKPAGEVSLDRVLPGSSSSEHGSVSPDSDAQPDP
+FFEKSRLPVQRLSHLVPDESSALEDRSAASSPEDRDPGLFLLRKDSERRAILYKILWEEQ
+NQVASNLQECVAQSSEELHLSVGHIKQIIAILRDFIRCPEHRVMASTISKLKVDLDFDSS
+SINQIHLVLFGFQDAVNKILRNHLIRPHWMFAMDNIIRRAVQAAVTILIPAEPSLIHFLF
+TAQLHSGIVYFIHLLLLHISIQHNTFLIITENSVSPPGSQEQRTDKELVDWLQLQGADTR
+TIEKILEEGYTLSDILNDITKEDLRYLRLR
+>tr|F1MJ11|F1MJ11_BOVIN Plakophilin 4 OS=Bos taurus OX=9913 GN=PKP4 PE=4 SV=3
+MWTSCKFSFQKFDIWKIFCLNSIILTQFVDPLGGSHPGDVPNTGVNKPRVSDTVHPNNYF
+IRTEPEPGTLYSPEQTSLHESEGSLGNSRSSTQMNSYSDSGYQEAGSFHNSQNLSKADNR
+PQHSFVGSTNNHLVRNSRAEGQTLVQPSVANRAMRRVSSVPSRAQSPSYVISTGVSPSRG
+SLRTSLGSGFGSPSVTDSRPLNPSAYSSTTLPAQRAASPYSAQRPASPSAVRRIGSVTSR
+QTSNPNGPTPQYQTTTRVGSPLTLTDAQTRVASPSQGQVGSSSPKRSGMTAVPQHLGPSL
+QRTVHDMEQFGQQQYEIYERMVPPRPDSLTGLRSSYASQHSQLGQDLRSAVSPDLHITPI
+YEGRTYYSPVYRSPNHGTVELQGSQTALYRTGSVGVGNLQRTSSQRSTLTYQRNNYALNT
+TATYAEPYRPIQYRVQECNYNRLQHVAPADDGTTRSPSIDSIQKDPREFAWRDPELPEVI
+HMLQHQFPSVQANAAAYLQHLCFGDNKVKMEVCRLGGIKHLVDLLDHRVLEVQKNACGAL
+RNLVFGKSTDENKIAMKNVGGIPALLRLLRKSIDAEVRELVTGVLWNLSSCDAVKMTIIR
+DALSTLTNTVIVPHSGWNNSSFDDDHKIKFQTSLVLRNTTGCLRNLSSAGEEARKQMRSC
+EGLVDSLLYVIHTCVNTSDYDSKTVENCVCTLRNLSYRLELEVPQARLLGLNELDDLLGK
+ESPSKDSEPSCWGKKKKKKKRTPQEDQWDGVGPIPGLSKSPKGVEMLWHPSVVKPYLTLL
+AESSNPATLEGSAGSLQNLSAGNWKFAAYIRAAVRKEKGLPILVELLRMDNDRVVSSVAT
+ALRNMALDVRNKELIGKYAMRDLVNRLPGGASPSVLSDETVAAICCALHEVTSKNMENAK
+ALADSGGIEKLVNITKGRGDRSSLKVVKAAAQVLNTLWQYRDLRSIYKKDGWNQNHFITP
+VSTLERDRFKSHPSLSTTNQQMSPVIQSVGSTSSSPALLGVRDPRSEYDRTQPPMQYYSS
+QGDATHRGLYPGSSKPSPIYISSYSSPAREQNRRLQHQQLYYSQDDSNRKNFDAYRLYLQ
+SPHSYEDPYFDDRVHFPASTDYSTQYGLKSTTNYVDFYSTKRPSYRAEQYPGSPDSWV
+>tr|A0A3Q1MJD0|A0A3Q1MJD0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=NLRP2 PE=4 SV=1
+MASSAELGFHLQSLLEELGQDKLSKFKSLLRDHSLKEELQHLLQTEVEEASRKQLAYLLT
+KERKRRRSTPVLCQAHFNLYFAELAQIQEEDVTNLQETKEDLEGEKPGKQDKYRNILKKK
+FCQHWKNFWPRLSEDVCIVTQRYETLIPFCNPKMPAGPFPHTVVLHGPAGVGKTTLAKKL
+MLDWTQDNLAETFNFAFYLSCKELNHMGTCTFAELISTNWPHVQEDIPAILAQAQKVLFI
+LDSFDELKVPSEALIHDICGDWKKQKPVPVLLGSLLKRKMLPKATLLITTRPGALRELRL
+LTEQPLFIEIEGFLEEDRKAYFLKHFEEESQALRAFDLMKNNEALFQLGSAPSVCWMVCT
+CLRQQMERGEDPAATCRTTTALFLRFLCSRFPPPHGGGPRRGLRAPLKPLCLLAAEGVWM
+RSSVFDGEDLRRLGVDPSALCPFLDGNILQKSEDGEACYSFIHLSVQQLLAAMFYVLELE
+EQEEEGLGGRQWHVGNVGKLLSKEERLKNPSLTHVGYFLFGLCNERRAMELETTFGCLVS
+TEIKRELLKYTLMPHGKKSFSVMDTKEVLSCLYESQEEQLVKDAMAHVKEMSLHLKNETD
+VVHSSFCLKHCGNLQKLSLQVEEGIFLDNDTALESGTQVERSELIFHLQHMLPLWMDLCS
+VFDSSRSLLFLDISQSFLSTSSVRILCEKIASAASSLQKVVLKNISPADTYRNFCMAFGG
+HKTLTHLTLQGNDQNDMLPPLCEVLRNPKCNLQYLRLVSCSATTQQWADLSCCLKTNQSL
+TCLNLTANEFLDEGAKLLYMTLRYPTCFLQRLSLENCQLTEAYCKDLSSALIVNQRLTHL
+CLAKNALGDRGVKLLCEGLTYPECQLQTLVLWCCNITSDGCIHLSTLLQQNSSLTHLDLG
+LNHIGIIGLKFLCEALKKPLCKLRCLWLKIDESDAQIQKLLREMKESNPQLTIESDHRDP
+KDNRPSSHDFIF
+>tr|A0A3Q1LHI4|A0A3Q1LHI4_BOVIN Transcription activation suppressor family member 2 OS=Bos taurus OX=9913 GN=TASOR2 PE=4 SV=1
+MRRKLNSEVRSARAAAAQRTVFETVSLSSDSLFQRTVSILHTSYLDSASEHGFQYSQVTL
+VKNDIFLNEYKAFYQEKKASNYTHEELQETYGFLLFETENQAKLVCQHGLCVGSSAITTL
+GDPAKGVYISKYSDYLHARPWYHGKSGYVVIFNLIKGKVKFVSENYTANYTSPSSGYDCH
+VAANTNKISHKTSHFRTFELSQYYLYELSGSTVTERPRQICPYLIVAFQYREPKKMAAPA
+HDHKSILELRENVLISPWKGKLIIQGCLLCDITLWSSYGTAVPKQLPHELDFKYVMKVSS
+LKERLPEAAFKKQNYMEHKVCCQDMCFNMYEVELSNKRGEKVDKLIESIKREQLAIIKCL
+EDREFFILLTSSALMYETGFREEQTGLHGLHLFHSPPPAAGLTDLKVEDNISLKVVPVLP
+ALNCALLEAKKSFSEKGISLNTLVKHNFQDLSKVNKSPPLTAASQDGFKETGFSGQVSSG
+FDLTPPAEKCPLQSLTQLKSYFSNASGYILGVSTVLGLLAERPQSPSISDGICDAGFSLV
+MTPDPEFHNSEAEGRKDTETGNNSEDVFQARQGALVPLSLAPNLRVQPKRKASKLPMVQS
+KRVSLYRPFPKRTPARENKGPASSTTLKLVKGQFPQRRKRGAEVLTTQFVQIPKLGRKAQ
+EAPSSKDVPVATNAKRARRRATSPDTPVPTAKPPMKKPPQKQRVNIVKGNQNPRLRKQPQ
+PVFKCTSLQQNPCPPHWRHGVLTTGPIGKSLSSVCSKLFMTEDVLKSHHCTAYRESACRP
+RRSQAKGETALQLQSEISSGQDVISINTAQPEHVTVAPKAPTETSVVGCDSQALNMLADL
+ALSAATSSTTSPEPRNLSGSLEPPQNSVLLSKEQPLRGTSDHEYHRGVKSQKGGPSPKPS
+SDQSRLSSDPTVSPEEESVGPGSWAPAEAQPALPKEIHESSDASQSSFVAAEHSYALLLV
+EHSKRGSPALAFAKTSTKGSDMGTPVGKVIPFLRTKMKSPLQKLSMALAFRHRGRLLPTG
+TQDFRCSSHTVFCCDGSFKVTFTCEADYSFSLDSKYTNNPLEKTVVRALHGPWNTDLPDN
+VEEVKLLLHMWVALFYSRQNKVVRSSRKVVEHSNPAKYVSINSTLESFEFGEIEEPSRVE
+RFSVDPLVEASEAPRGHAAEVSCPDADPLRPFTKPSPVRGLELWVQNEQKEMFATVGHQE
+SPESQNFICSYNNEIIRGKAEQESSGKLETSNLVLPCIGNTQANGPSIPGEDETFEPLDN
+TQVTSYNDTAPQTTFAKTYDEINSPSMICQKSVYSTLESKVDIFHAQRETEADALQGLTQ
+CSSPINKECQPLLEGKGDMGYVMINLEPVTLTLEKSAYMPVQTEAVNRADKPTAFNVELT
+KQVSPAASLRHPVSTFEKSQMQGLGENPSLAVSGPKGTQYLHASSVRRETLAEETCSLQK
+GQAVAGSPSPSDNPMVMEALPLAKSPNYLLPREEMKLSQEFLLPTQNLLSISSEEIIEPS
+QVEVVPSSASAPLGKKDSLNCITSLRNTLCGSSELKKDKSGLNSENISIQSFNSTFTKEA
+GLSVNGEEVSLKVSEEDSNLDLTLTLSPPTSPREEAPTGEVEQLREAPLPCIDLQEMAEE
+ILVPAEVPFIENRDVNSAANTSVKPAENKEGKGDHLQTVAFILSKETCTLEVAEEVHLAS
+DFPFGSLIEEVSPASSPDPQAPVEEAPPAQATSPCGLKQCDALGEKSTSLSKVESGDLAI
+TEKESSLVTATHPVEQDNSAQVQQMQLSAETPLQLQNRAGRKGRFLILPGDVTQETGQSK
+CGEGFSLSGKGPDCDGTVTQPACTATYGGSLENLVSSGYPLQPMGVETCSPHPHHRVLET
+SEPFSPAEIPENKSADMFVSTATPGAVVTSTQSLPEDVLSSDVKTHECCYILVKSLRSDP
+VAGAEGVQTHRQPEFPKPALPSGGATAAHSTGPSNTGTGFPTQEVPVVRMTHLLDSEDSG
+AELQGRAVDPGGASPQVLTSSPQGRQEPTCLLQEGSPCAVWDLLRGGLLPTYLQADAHPG
+TAAHGESASPEPNVSFAPRSGAPPIGGVSEEQLQRVSVGEAGTGGGMGVGVLSDIYYEPL
+SGDSDQDSVGEYGHPRYNTEESRASQYGHTGKREGASKDSHDSFLSLNTSDHHDWGYASQ
+APGLETSIPPRSWLGGLKKEATCVPCYVQIRDVCGVPRSYANFTVTRELRDTPRTLHGLR
+RRPRGMAPCGLLSSWMDTWQRTDDLTQNTLDLEHLRFAHKLKQIVKMGAAQHSALFPSAF
+PKEPPSQVTTGAFPGTPMPACLGLPPASRSRSPLVVTVVHQMPNHVDRSSSWKKRCGHGR
+NHLTNSDQNQTASFHLHKLKYNSTLKDSRNDIAVILSEYAEFNKVMLSSRQVVQDTEPPV
+ALGAAMPRELCVCGPQPTSYEDLVADLCSSLRVKLERVVREACSSNFLFYLMETEDKSFF
+VRTKNILRKGGHTEIEPQHFCQVFQREKGALLVIIRNEDIASHLHQIPSLLKLKHFPRVV
+FAGVDSPEDILNNTYQELFRTGGFVVSDDKLLETLTLVQLKEIVKILEKLNENGRWKWLL
+HYRENKKLKEDVRVDSIAHKKNLILKSYQSANIIELLHYHQCDSRLSTKAEHLKCLVNLQ
+VQHIHARFAVFLTEKPVVSREVFENSGILVTDVNDFIENIQKVAAPFRGSYWSSQSELLH
+HRYGI
+>tr|A0A3Q1M543|A0A3Q1M543_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MEKEMQKSKMAVWGGLTNSCEKKKSKGEKEGYKHLNAEFQRIARRDKKAFLSNQCKEIEE
+NNRMGKTRDLFKKSRDTTGTFHAKMGSIKDRNGMDLTEAEDIKKKWQEYTELYKKDLHDP
+DNHDGVITHLEPDILECEVKWALESITTNKASGGDGIPVELFQILKDDAVKVLHSICQQI
+WKTQQWPQDWKRSVFIPVPKKGSLKECSNYCTIALISHASKVMPQILPARLQQYVNRELP
+DVQAGFRKGRGTRDQIANIRWIMQKAREFQKNIYFCFIDYAKAFDCMDPNKLWKILKELG
+VPDHLTCLLRNLYAGQEATVRTGHGTTDWFQIGKGVRQGCILSPCLFDLYAS
+>tr|A0A3Q1LUA8|A0A3Q1LUA8_BOVIN Mitochondrial calcium uptake family member 3 OS=Bos taurus OX=9913 GN=MICU3 PE=4 SV=1
+MAALRRLLWPPPRLPPPCSPHHPAHGPWGRPAGTAPGPPGRPFSCREEDEGAVAEAAWRR
+RRRWRELSFAAAAGGGLVGLVCYQLYGDPRADSARAAGPWLPSDSAAAEPEDPPGGGGLF
+PIPVAAAKETVAVGRTEVEDLDLYATSRERRFRLFASIECEGQLFMTPYDFILAVTTDEP
+KIAKTWKSLSKQELNQMLSETPPVWKGSSKLFRNLKEKGVISYTEYLFLLCILTKPHAGF
+RIAFNMFDTDGNEMVDKKEFLVLQEIFRKKNEKRETKGDEEKRAMLVLKTDAEELVSRSY
+WDTLRRNTSQALFSDFAERADDITNLVTDTTLLVHFFGKKGKAELNFEDFYRFMDNLQTE
+VLEIEFLSYSNGMNTISEEDFAHILLRYTNVENTSVFLENVRYSIPEEKGITFDEFRSFF
+QFLNNLEDFAIALNMYNFASRSIGQDEFKRAVYVATGLKLSPHLVNTVFKIFDVDKDDQL
+SYKEFIGIMKDRLHRGFRGYKTVQKYPTFKSCLKKELHSR
+>tr|A0A3Q1MIR3|A0A3Q1MIR3_BOVIN Kinesin motor domain-containing protein OS=Bos taurus OX=9913 PE=3 SV=1
+MESNLNQDGMSRPSYVFSADPLARPSEINFDGIKLDLSREFSLIASSTEANSSESKDYLQ
+VCLRVRPFTQPEKEHESEGCVYILDSQTVVLKDPQYTTLGRLSEKSSGQMAQKFSFSKVF
+GPETTQKEFFQGCILQPVKDLLKGQSRLIFTYGLTNSGKTYTFQGTEENTGILPRTLNVL
+FDSLQERLYTKMNLKPHRSREYLRLSPDQEKEEVASKSALLRQIKEVDSWLRKMVTSSSG
+GKNAINLLQL
+>tr|E1BMP9|E1BMP9_BOVIN Tyrosine-protein kinase OS=Bos taurus OX=9913 GN=ZAP70 PE=3 SV=1
+MPDPAAHLPFFYGSISRAEAEEHLKLAGMADGLFLLRQCLRSLGGYVLSLVHEVRFHHFP
+IERQLNGTYAIAGGKAHCGPAELCEFYSRDPDGLPCNLRKPCNRPSGLEPQPGVFDNLRD
+AMVRDYVRQTWKLEGEALEQAIISQAPQVEKLIATTAHERMPWYHSSLTREEAERKLYSG
+SQTDGKFLLRPRKEPGTYALSLIYGKTVYHYLISQDKAGKYCIPEGTKFDTLWQLVEYLK
+LKADGLIYCLKEACPNSSASSGAAAPTLPAHPSTFTQPQRRIDTLNSDGYTPEPARLVSS
+EKPRTMPMDTSVYESPYSDPEELKNKKLFLKRENLLMADIELGCGNFGSVRQGVYRMRKK
+QIDVAIKVLKQSTEKADKDEMMREAQIMHQLDNPYIVRLIGVCQAEALMLVMEMAGGGPL
+HKFLVGKKEEIPVSNVAELLHQVSMGMKYLEEKNFVHRDLAARNVLLVNRHYAKISDFGL
+SKALGADDSYYTARSAGKWPLKWYAPECINFRKFSSRSDVWSYGVTMWEAFSYGQKPYKK
+MKGPEVMAFIEQGKRMECPPECPPEMYKLMSDCWTYKWEDRPDFAAVEQRMRTYYYSLAT
+KAEEPAACGNGVEAACP
+>tr|A0A3Q1NL39|A0A3Q1NL39_BOVIN Janus kinase and microtubule interacting protein 2 OS=Bos taurus OX=9913 GN=JAKMIP2 PE=4 SV=1
+MSKKGRNKGEKPEALIVALQAANEDLRTKLTDIQIELHQEKSKVSKLEREKTQEAKRIRE
+LEQRKHTVLVTELKAKLHEEKMKELQAVRENLIKQHEQEMARTVKVRDGEIQRLKSALCA
+LRDGSSDKVRTALTIEAREEARKLFDAERLKLLQEIADLKTAKKQVDEALSNMIQADKIK
+AGDLRSEHQSHQEAISKIKWESERDIRRLMDEIKAKDRIIFSLEKELETQTGYVQKLQLQ
+KEALDEQLFLVKEAECNMSSPKREIPGRAGDGSEHCSSPDLRRNQKRIAELNATIRKLED
+RNTLLGDERNELLKRVRETEKQCKPLLERNKCLAKRNDELMVSLQRMEEKLKAVTKENSE
+MREKITSHPPLKKLKSLNDLDQANEEQETEFLKLQVIEQQNIIDELTRDREKLIRRRKHR
+RSSKPIKRPVLDPFIGYDEDSMDSETSSMASFRTDRTPATPDDDLDESLAAEESELRFRQ
+LTKEYQALQRAYALLQEQTGGIIDAEREAKAQEQLQAEVLRYKAKIEDLEATLAQKGQIE
+KQEAENHRLQQELQDARDQNELLEFRNLELEERERRSPPFNLQIHPFSDGVSALQIYCMK
+EGVKDVNIPDLIKQLDILGDNGNLRNEEQVAIIQASTVLSLAEKWIQQIEGAEAALHQKM
+MELESDMEQFCKIKGYLEEELDYRKQALDQAYMRIQELEATLYNALQQETVIKFGELLSE
+KQQEELRTAVEKLRRQMLRKSREYDCQILQERMELLQQAHQRIRDLEDKTDIQKRQIKDL
+EEKFLFLFLFFSLAFILWP
+>tr|A0A3Q1LNJ6|A0A3Q1LNJ6_BOVIN SH2 domain containing 3A OS=Bos taurus OX=9913 GN=SH2D3A PE=4 SV=1
+MQVPQDGEDFVGQPWYHGPLSRQKAEDLLQQDGDFLVRASKSRGGHPVISCRWRGSALHF
+EVLRVALRPRPGRPTALFQLEDERFPSLPALVRSYVIGQRPLSQATGAVASRPVPRQGLI
+RRSFSEDTLPDDPARTEPLRDRKRSDSQPAGLEHMWRSPEDPPGPGASTVPASGLPRTGS
+DPVILKTPVPLGSVADSLRASDGQLHAKAPTKPPRTPSLLLPDASGRPPTYCELVPRVLR
+FQGPPPGHSCPESEVPWWEAEEEKEEDRCFARPQAEVSFCLPDTPSCLLGSQNRPLEPEV
+LHTLRGLFLEHHPGSTALHLLLVDCQATGVLGVTKAQRGAMGVASGLELLTLPHGHRLRL
+ELLERLETLALAGALAVLGCAGPLEERAAALRGLVELAVALRPGAVGDLPGLAAVMGALL
+MPQVSRLERTWRHLRRSHTEAALAFEQELKPLMRSLNEGAGECDPGEVALPHVAPAARLL
+EGEELPGPLDESCERLLRTLHRARQTARDAPRFREAVARRLRGFRPNPELTEALTTGFLR
+RLLWGSRGAGAPWATRLEKFHRVLSVLSQRLEPDP
+>tr|G3MWU5|G3MWU5_BOVIN Tr-type G domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=2
+MAGGEAGVTLGQPHLSRQDLAILDVSKLTPLSHEVISRQATISIGTIGHVAHGKSTVVKA
+ISGVHAVRFKNELERNITIKLGYANAKIYKLDDPSCPRPECCRSCGSRTKGNFKLVRNVS
+FVDCPGHDILMATMLNGAAVMDAALLLIAGNETCPQTQTSEHLAAIEIMKLKHILILQNK
+IDLVKESQAKEQYEQILAFVQGTVAEGAPIIPISAQLKYNIEVVCEYIVKNIPVPPRDFT
+SEPRLIVIRSFDVNKPGCEVDDLKGGVAGGSILKGVLKVGQEIEVRPGIVSKDSEGKLMC
+KPIFSKIYAAPGDYPLLRSL
+>tr|G3X702|G3X702_BOVIN Caspase-6 OS=Bos taurus OX=9913 GN=CASP6 PE=3 SV=1
+MSSEPPPRRARGPGEEQNMTEIDAFPRREIFDPTEKYKMDHKRRGIALIFNHERFFWHLT
+LPNRPGTSADRDNLRRRFSDLGFEVKCFDDLRAEELLLKIHEASTASHVDADCFLCVFLS
+HGEGNHIYAYDAKIEIQTLTGLFKGDKCQSLVGKPKIFIIQACRGSQHDVPVIPLDVVDH
+RTDTPDVNLTQVDAASVYTLPAGADFLMCYSVAEGYYSHRETVNGSWYIQDLCEMLGKFG
+SSLEFTELLTLVNRKVSQRRVDFCRDPNAIGKKQVPCFASMLTKKLHFSPKSK
+>tr|A0A3Q1LTC0|A0A3Q1LTC0_BOVIN G_PROTEIN_RECEP_F3_4 domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+VSSLFSWRDRFFPPLDIKQCVQCPAQEHPNREENHCLPKVVTFLVFDDFLGISLTNMTLC
+FCVITGVVLRVFVKHRDTPIVKANIQAFSYVLFISLLLCFLCSLLFIGHPQTAICILQQI
+TFGTRLSDFHFTSLTVTVATVLAKTLTVILAFKTRKPRITMRRLLVTGAANCHSHVLPDP
+GDYLWSLLESSLPFLEMDTHSEHKELLIVCNKGSITAFYCVLGFLGSITLGTFSLAFLAM
+NLPDTFNEAKFLTFSTLVFCSVWVTFLPIYHSTKGKVMVAVEIFSILASSAGLLGCTFAP
+NMHSLYVILLKPEKNSMKGLGIKINSQGNKLSGFIS
+>tr|A0A3Q1MA52|A0A3Q1MA52_BOVIN Signal peptide, CUB domain and EGF like domain containing 2 OS=Bos taurus OX=9913 GN=SCUBE2 PE=4 SV=1
+MGVAGRGCPRAAPALLLLLLLLLLLLLTAAVPPYRGRAEGPPEDVDECAQGLDDCHINAL
+CENTPTSYKCSCRPGYQGEGRQCEDIDECENELNGGCVHDCLNIPGNYRCTCFDGFMLAH
+DGHNCLEGLSCMNKNHGCSHICMEAPKGSVACECRPGFELAKNQRDCILTCNHGNGGCQH
+SCEDTAEGPECSCHPRYKMHSDGRSCLEREDIALEVMESNTTSVADGDKRVKRRLLMETC
+AVNNGGCDRTCKDTSTGVHCSCPVGFTLQMDGKTCKDIDECQTRNGGCDHFCRNTVGSFD
+CSCKKGFKLLTDEKSCQDVDECSLDRTCDHSCINHPGTFTCACSEGYTLYGFTHCGDTNE
+CSVNNGGCQQVCVNTVGSYECHCHSGYKLHWNKKDCVEVKGGLPTSLSPHVSLQCGKSGG
+GDRCFLRCHSGIHLSPGLQEAYSVTCGSSSPLRNKQQKSNDSAFGDVATVRTSVTFKLNE
+GKCSLKKAELFPEGLRPVLPEKHSSVKESFRYANLTCSSGKQVPGGPGRPNAPKEMFITV
+EFELETNQKEVTVSCDLSCIVKRTEKRLRKAIRTLRKAAHREQLHLQLSGVNLEVAKKSP
+RTSERQIESCAMGQDHGGNQCVSCRAGTYYDGAQERCILCPNGTFQNEEGQITCEPCPRP
+GSPGTLKTPEAWNVSECGGLCQPGEYSADGFTPCQPCARGTFQPEAGRTSCFPCGGGLPT
+KHPGATSFQDCETRVQCSPGHFYNTTTHRCIRCPAGTYQPEFGKNHCVSCPGNTTTDFDG
+STNITQCKNRRCGGELGDFTGYIESPNYPGNYPANTECTWTINPPPKRRILIVVPEIFLP
+IEDDCGDYLVMRKTASSNSVTTYETCQTYERPIAFTSRSKRLWIQFKSNEGNSARGFQVP
+YVTYDEDYQELIEDIVRDGRLYASENHQEILKDKKLIKALFDVLAHPQNYFKYTAQESRE
+MFPRSFIRLLRSKVSRFLRPYK
+>tr|A0A3Q1M9T8|A0A3Q1M9T8_BOVIN Phospholipid-transporting ATPase OS=Bos taurus OX=9913 GN=ATP11A PE=3 SV=1
+MDRCSLVRTLVNRYCAGEEDWVDSRTVYVGHREPPPGAEAYIPQRYPDNRIVSAKYTFWN
+FIPKNLFEQFRRIANFYFLIIFLVQLIIDTPTSPVTSGLPLFFVITVTAIKQGYEDWLRH
+KADNAMNQCPVHFVQHGRLVRKQSRKLRVGDIVMVKEDETFPCDLIFLSSSRADGTCHVT
+TASLDGESSHKTHYAVQDTKGFHSEEDIDSLHATIECEQPQPDLYKFVGRINVYNDQNDP
+VVRSLGSENLLLRGATLKNTEKIFGVAIYTGMETKMALNYQSKSQKRSAVEKSMNVFLVV
+YLCILISKALINTTLKYVWQSEPSRDEPWYNRKTEAERQRNLFLRAFTDFLAFMVLFNYI
+IPVSMYVTVELQKFLGSYFLTWDEDMFDEEMGEGPLVNTSDLNEELGQVEYVFTDKTGTL
+TENNMEFKECCVEGHVCVPHAVCNGQVLPDASAIDMIDASPGASGREREELFFRALCLCH
+TIQVKDDDEVDGPQKSPDSGKRSAYISSSPDEVALVEGIQRFGFTYLRLKDNYMELLNRD
+NDIERFELLEILSFDSVRRRMSVIVKSATGEIYLFCKGADSSIFPRVTEGKVDQIQSRVE
+RNAVEGLRTLCVAYKTLIPEEYEGIRELLQDAKVALQDRDKKLAEAYEQIETDLVLLGAT
+AVEDRLQEKAADTIEALQKAGIKVWVLTGDKMETAAATCYACKLFRRNTQLLEVTTKRLE
+EQSLHDVLFELSKTVLRSSASLTRDNFSGLSADMQDYGLIIDGAALSLIMKPREDGSSSN
+YRELFLDICRNCSAVLCCRMAPLQKAQIVKLIKLSKEHPITLAIGDGANDVSMILEAHVG
+IGVIGKEGRQAARNSDYAIPKFKHLKKMLLVHGHFYYIRISELVQYFFYKNVCFIFPQFL
+YQFFCGFSQQTLYDTAYLTLYNISFTSLPILLYSLMEQHVAMDTLKRDPALYRDIAKNAL
+LRWRAFIYWTLLGLFNALVFFFGAYFMFENTTVTSNGQIMTSNTHMVRRLWVCLLCFWSA
+FRIKYFSKIFSSVTPSVCFVSRDAFSPSPTSVGARSAQRPCVSTWVTSPWISVPDRPPCQ
+QCFLICVLFPCPK
+>tr|A0A3Q1LKD1|A0A3Q1LKD1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=ARAP3 PE=4 SV=1
+MEPSHSPAPPAQPTKPVPKPRTVFGGLSGSATTQRPGLGPAVWRPEASKSPEPSLSPRSP
+TVPPRSSSEQPSASNTVEMMPNAIYFGLDLRGGAQTAQDMAPDSSQTAAPTPALRPTTGT
+VHIMDPGCLYYGVQPVGVPGAPDRREGRGVCQERAEHRLSRPDLEAREDAGYASLELPGD
+STLSLPTLDTETNDDLISPYASFSSTADRPTPLLSGWLDKLSPQGNYVFQRRFVQFNGRS
+LMYFGSDKDPFPKGVIPLTAIEMTRSSKDNKFQVITGQRVFVFRTESEAQRDMWCSTLQS
+CLKEQRLLGHPRPPQPPRPLRTGMLELRGHKAKVFAALSPGELALYKSEQAFSLGIGICF
+IELQGCSVRETKSRSFDLLTPHRCFSFTAESGGARQSWAAALQEAVTETLSDYEVAEKIW
+SNRANRHCADCGASRPDWAAVNLGVVICKQCAGQHRALGSGISKVQSLKLDTSVWSNEIV
+QLFIVLGNDRANRFWAGSLPPGEGLHPDTTPGPRGEFISRKYRLGLFRKPHPQYPDHSQL
+LQALCAAVAGPNLLKNMTQLLCVEAFEGEEPWTPSALDGSFPSLLPPDPSPGVYNEVVVP
+ATYSSFLYCGPISNKAGPPPPRRGRDAPPRLWCVLGAALEMFVSESSPEPLHLIQPQDVV
+CLGVNPPPTDPGDLDRFPFSFELILTGGRIQHFGTDGADSLEAWTSALGKWFSPLSCHQL
+LGPGLLRLGRLWLRSPSHTTLAPGLWMSGFGLLRGDHLFLCPASGPGPPAPEDMVHLRRL
+QEISVVSAADTPDKKEHLVLVETGRTLYLQAEGRLDFSAWNTAIGGAAGGGGTGLQEQQM
+SRGDIPIIVDACISFVTQHGLRLEGVYRKGGARARSLKLLAEFRRDARSVKLRPGEHFVE
+DVTDTLKRFFRELDDPVTSARLLPRWREAAELPQKNQRLEKYKEVIGCLPRVNRRTLATL
+IGHLYRVQKCASLNQMCTRNLALLFAPSVFQTDGRGEHEVRVLQELIDGYISVFDIDPDQ
+VAQIDLEVSLITTWKDVQLSQAGDLIMEVFIEQQLPDNCVTLKVSPTLTAEELTNQVLEI
+RGTAAGTDLWVTFEIREHGELERPLHPKERVLEQALQWCQLPEPCSASLLLRKVSLAHAG
+CLFTGIRRESPRVGLLRCREEPPRLLGNRFQERFFLLRGRCLLLLKEKKSSKPEREWPLE
+DAKVYLGIRKKFKPPTPWGFTLMLEKMHLYLSCTDEDEMWDWTTSILKAQRRLHNRRTLS
+MFFPMKSSQGSVEEQEELEEPVYEEPVYEEVGAFPELTEDISTSFSTPRERTAKPETALP
+SQRSFDQYLLSKAGPQAWEERPPEPPPGPPSKSSPQTHGSLEEQLLQELSSLILRKGETT
+TDLGSPSQPSSPQPPSPNGLPTQTPGFPTQTPCTSSLPRSQPLT
+>tr|A0A3Q1LU17|A0A3Q1LU17_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MKTGNKIRYNYKRRHWRRTRRDMNVLRIRHSEDAAGQGRLSLPPTALLNLSPPTPAPAAG
+N
+>tr|A0A3Q1LVF6|A0A3Q1LVF6_BOVIN Eukaryotic elongation factor, selenocysteine-tRNA specific OS=Bos taurus OX=9913 GN=EEFSEC PE=4 SV=1
+MAGRRVNVNVGVLGHIDSGKTALARALSTTASTAAFDKQPQSRERGITLDLGFSCFSVPL
+PAHLRPAPGAGPEPEPEPRLQVTLVDCPGHASLIRTIIGGAQIIDLMMLVIDVTKGMQTQ
+SAECLVIGQIACQKLVVVLNKTDLLPEGKKQAAIDRMTKKMQKTLESTKFRGAPVIPVAA
+KPGGPDAPETEAPQGIPELIELLTSQISIPTRDPSGPFLMSVDHCFSIKGQGTVMTGTIL
+SGSVSLGDSVEIPALKVLDDHSVIGRSLFKKETNIQLFVGLRVQLSTGELGVIDSAFGQS
+GKFKVHVPGGLSPESKKILTSALKKRGRAGRGEAAKPEEAERPEPAQPVGLSLSFKRYVF
+DAHKRMVQSP
+>tr|A0A3Q1LR42|A0A3Q1LR42_BOVIN NAD kinase 2, mitochondrial OS=Bos taurus OX=9913 GN=NADK2 PE=1 SV=1
+MTCYRGFLLGSCRRVAGGRAAVLRGPGAGGPTARPWLGGEGGSRRHLGQGQPRELAGCGS
+RPDGGFRPSRVVVVAKTTRYEFEQQRYRYAELSEEDLKQLLALKGSSYSGLLERHHIHTK
+NVEHILDSLRNEGIEVRLVKRREYDEETVRWADAVIAAGGDGTMLLAASKVLDRLKPVIG
+VNTDPERSEGHLCLPVRYTHSFPEALQKFYRGEFRWLWRQRIRLYLEGTGINPIPVDLHE
+QQLSLNQHSRAFNIERVHDERFEASGPQLLPVRALNEVFIGESLSSRMSYSWAVAVDNLR
+RSIPTLKGLASYYEISVDDGPWEKQKSSGLNLCTGTGSKAWSFNINRVAPQAVEDVLNIA
+NRQGNLSLPLNRELVEKVTNEYNESLLYSPEEPKILFSIREPIANRIFSSSRQRCFTSKV
+CVRSRCWDACMVVDGGTSFEFNDGAIASMLIEKEDELRTVLLEQ
+>tr|E1BNI7|E1BNI7_BOVIN Chromosome 1 C21orf62 homolog OS=Bos taurus OX=9913 GN=C1H21orf62 PE=4 SV=2
+MAPPSGHSFFLIGALGVFALNCFTRAQRNGTLIFTKENTIRKCSCSADIRDCDYSLANLM
+CSCKTMLPLAIEQTSYSDRLTIWFTDTSALGLLLNFTLVRDLKLSLCSTNTLPTEYLAIC
+GLKRLRVSTEAKHPSPEQSLLIHDGGESEPREKPTLQRGWQTCMYLSFLDMALFNRESAL
+KSYSVANSASVANNFPYFSYLKTFPVLNNKSYVVTFIY
+>tr|A0A3Q1LT26|A0A3Q1LT26_BOVIN PTPRF interacting protein alpha 4 OS=Bos taurus OX=9913 GN=PPFIA4 PE=4 SV=1
+MCREQLLEREEEISELKAERNNTRLLLEHLECLVSRHERSLRMTVVKRQAQSPSGVSSEV
+EVLKALKSLFEHHKALDEKVRERLRAALERVTTLEEQLACAHQQQGSGVRDGVAEEEGTV
+ELGPKGLWKEDAGQVEELQELLEKQNFELSQARERLVTLTATVAELEEDLGTARRDLIKS
+EELSSKHQRDLREALAQKEDMEERITTLEKRYLAAQREATSIHDLNDKLENELANRESLH
+RQCEEKARYLQELLEVAEQKLQQTMRKAETLPEVEAELAQRVAALTKAEERHGNTEEHLR
+QLEGQLEEKNQELARVRQRERMNEAHNKRLSDTVDRLLIEAKERLQLHLRERMVALEEKN
+TLIQELETSQRQIEEQHHHKGRLSEEIEKLRQEVDQLKGRGGPFVDGIHSRAYPSSAAEV
+RFSLSTTAHASQGLRRCHSARREPPAEDWEPSPLPALLVPTATPAFDSDREISDVDEDEP
+GGLVGSMDVVSPSSHSDAQTLAMMLQEQLDAINEEIRMIQEEKESTELRAEELETRVTSG
+SMEALDLTQLHKRGSIPTSLTALSLASASPPLSGRATPKLTSRSAAQDLDRMGVMTLPSD
+LRKHRRKLLSPVSWEENREDKATIKCETSPPSSPRTLQLEKLGLPALSQEEGKSALEDPG
+SNPSSSNSSQDSLHKGAKRKGIKSSIGRLFGKKEKGRLIQPSRDGPTGHVVLLTDSELGL
+QEPMVPGKLGTQAEKDRRLKKKHQLLEDARRKGMPFAQWDGPTVVSWLELWVGMPAWYVA
+ACRANVKSGAIMSALSDTEIQREIGISNALHRLKLRLAIQEMVSLTSPSAPPTSRTSSGN
+VWVTHEEMETLATSTKTDSEEGSWAQTLVYGDMNHEWIGNQWLPSLGLPQYRSYFMECLV
+DARMLDHLTKKDLRVHLKMVDSSHRTSLQYGIMCLKRLNYDRKELEKRREESQHEIKDVL
+VWTNDQVVHWVQSIGLRDYAGNLHESGVHGALLALDENFDHNTLALVLQIPTQNTQARQV
+LEREFNNLLALGTDRKLDDGEDKALRRAPSWRKRFRPRDPHGRASLSTAADTLPATFRAS
+TVGPVPPPPSPRKKITPEGERQARRDETRRGGAGRGGAGSANAAAGGRAPPASFTLTNPL
+LCVPRRLSTPSPDGRGAETGDPSSADLLLLSPPPTPGPSRLCPRLGVRGRARGTGAPRPP
+SAGSRPPRARGWRAGPAAPALIAPPVPLALTAFSGFGRFELTGTPQIPCNPSLGGSLPTH
+CANAFLSLSLSSLPLSLRTHALRLPGLATAPQGQLPPAWFHRLG
+>tr|A0A3Q1LVY8|A0A3Q1LVY8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=ZNF74 PE=4 SV=1
+MSETPNSRISPGFSLVVPKLGLASDSPRFSLGWGCLVAVDRTAPLTHSSFPDPARPLKEI
+AEDTLPRGLLEARSEESVTFKDVAVDFTQEEWGQLDSPQRALYRDVMLENYQNLLSLGPP
+VCKPDVISHLERGEEPWQAPRDGPAGPDPEPGWEPGPEAEETLVYKEEPPWELIAVGLVR
+SGVHNPGFGNEGTWGAGPGGPATALTRGEAAYASAGSAEERRRGSYESKEALGLQSALPA
+QPRLAAEGAFPEGQPGGVRATGAGLRRRSQGAGSGPFACGECGKAFPRSAALALHRRWHA
+REKAYKCGECGKAFSWSTNLLEHQRVHTGEKPFLCGQCGKAFSCHSSLNVHRRVHTGERP
+YKCGQCAKAFSCSSLLGMHRRVHTGERPYRCGECGKAFNQRTHLTRHHRVHTGEKPYCCA
+ACGRAFACHSSLAAHEKLHSGDKPFRCSDCQKAFSSRSRLTLHQRAHTGEKPFQCGQCAK
+AFSCHAYLVVHQRVHSGEKPFRCDECGKAFSSHSYLIVHQRVHTGEKPFDCSRCWKAFSC
+HSSLIVHQRVHTGERPYKCSQCGRAFSQNHCLVKHQKTHSAEKAFKCHERGEVFGWSGPL
+AEHRRLHGGEKPFAVQLDQRLLSPYYVPGGLPGAGASGGSSVGPVDARDVAELLGVAPPS
+GSRSFSLGSNPRN
+>tr|E1BL90|E1BL90_BOVIN Partner and localizer of BRCA2 OS=Bos taurus OX=9913 GN=PALB2 PE=4 SV=3
+MLFLLAAGQMEEPPGKTLSCEEKEKLKEKLAFLKREYTKTLARLQRAQRAEKFKNSLRRT
+VEEQDSSLQQEVSTQLTHTEPKNTVSPRDTLQINTHVDEETGEKTPSSLDIKPELFSSGR
+VSVEGSRIQGSDDNQEHFPFRVNGPDAKKRQNKLLGRRKKEERTYISQERESLSDIDSLI
+LSGKRLKEQEKMNRENPRTPVTELRTYPLSSPKCAIPNSPAPVTETNVGSVLILPSTKPQ
+RDVDALLRGNDFPRATTLPLPTPSNSSNSQRLEHKCPKSNCELTTHSLRNISSASPVNLE
+VQKKKITVSTDNPEVNKAGSTSGQAARSPNLEADNSCFINELTCDNLVENENQNLKEQNH
+TEMSLKSPNDALGGRNESLLEKEVLSQSKNLSLEVISPVSTEDQIHSCTVLEGLLFPAEY
+YVRTTRRMSGCQRKVALEAVIQSHLGVRKKGFKNKSMKSAKKFNVCNEKTKQSEIKVSDK
+HAEQPSSRSPQKLLSLTEVSFSTDSTEDDFSRKTVTKPSGKKCRGRRKSACTSLLDHHEL
+LLPTTGTSGVKKSKEEIALHKDQNEKAIVHSKKRIKGKEGHCQKEDFLCYSNYAYFSSDD
+DAFSAPFRKNRMLSLKHLSSFLKITDFQLPDEDFGSLKLEKLKSCSKKLMETFGSKVHGE
+GHLKGESYIVVEEPSPKQSNIEKEGMEEELITLPGKAHPKMPSQRSQPRGKDLSSSILLF
+TPLNSVASDDNDRLTAELCSPAFPILGATPAFGSQAHREKVSAEVVGQTCSPPRLSHLKA
+TVSLAGNRKQGNSWSRPSKLDSSQHVAGRAGQPSCDPDSGPQATTLPTESFTYEASQLQE
+SQRHSAEQTEIAEVPAWGSLHPGTLHVVSNLKNPSGSCSVDMSATWWEIAGFKEPCIITA
+CEYVVSLWKPLDAWQWEKIYSWHFTEFPVLQIVAVPDVCNLVCVALGNLEIREIRALLCS
+PDGKSEKQVLLSSGNIKAVLGLAKRRLVSSSRTLCDQQVEMMTVAEDGGSKEKQLLMPPE
+ETILTFAEVQGMQEALLGTTIMNNIVIWNLKTGQLLKKMHIDASYQASVCHKAYSEMGLL
+FVVLSHPCAEENELSGSPVFQLIVINPKTTLSRGVMLYCLPHGQAGRFLEGDVKDHFAAA
+VLTSGTIAVWDLLLGHCTALLPPVSGQNWSFVKWSGTDSHLLAGQKDGNIFVYRF
+>tr|E1BEA6|E1BEA6_BOVIN C2 calcium dependent domain containing 6 OS=Bos taurus OX=9913 GN=C2CD6 PE=4 SV=2
+MEPPQDMEKVSNAEDNHNRRVHSLYTAPLFQRISQTNLENMQARGSEFSSTAWNVQGRDK
+PSNLSKVESKEGPGYRLLNMLRKTLKGSENKAPEVTHEAPSLVPFGDVVGCLAIHIRNCK
+HFTPKIISQHYSNLFIRISINNVVKCTKTCSLLSQNTEKDFMITFDEVKYFSVQVPRRQD
+DEKNNIRLELMQHDNTKKYLLLLGSVQVHLYEVIQKGCFTGELQVLNKNTFICRVEVEFM
+FSYGNFGYGFSHQLKPLQKIIKPSMFMDIAPPPERTDPVTNVIIPQPIAYPAFLSPDLNV
+TVGVPATSQSNQPSVVQLEKLQQQPRERLEKMKKEYRHLSTWAEKASYLEGLLTPGLEHK
+EPKESNINEIPESQLEERPTDTVTSGVSLIKEEAETIPSELLDNDDKKGLSLPTLNQSSK
+DDSSVVAPKTDMPSKETDTPLSTIPRLSITEENQIPPLEEHQQEAMPVKKMKNLFFLPEE
+KLKDRYPSLLKTDLHPSESSPYCCTKENLNRPCSLDELMQDKACGQKNTNRKGKSVVFSP
+KEYISPCFKPEYTEFKPAYQKFNIKNGFDPFLRNINNKMSVQKRKDQDMLKCRNILSAEI
+IEHEDQDPPYPTHSKTSRPASKTWPHNLDIISIKALDTKNNLAGDVGITTVKTSHPMNNV
+AHDPGIITIKTLDTKNNLAHHSSITTIKTLDAKNMLQEKLPHVSLPNFEGDSSVTGKVNV
+HKCRLSKSLSLTSHIENLKQSMVLKSILSKNLQDLSDRLFSTPGISMDPEARKKSYSSPL
+LVIHDEQPSSLEDKVFEKSQDLSNWHSEGGILNSKSLLSQIIKNIPPDSLSEGRPGNSPE
+AEEEPVSEKHLQPGEIDFPTKKKSSFKKKHSKSEISSSKPDLNSLVYDYVIKQIFTAPIF
+SELAKGVKEASETQIDSQRQLPTAWESSLSSSVLVPHYEESNNEIEFPPANSVISQIIQA
+FPVDTLLESGIIKVIELDQEYQKGFLLDTETAFAEEKPKDSTEEYSEIRGKAEPLSDQNS
+AIIHQETTSSFKRVEFIDKGQNTSPHDSKYQSTPDKKSDLPSNNGQRLDREENDTSSTLE
+NLCTSLTGKLNDSDVIMLKSFLKNIFNFFFKYNQSENRPPEKELERLIQHPFQNKTEDLE
+EIEENFDTADKLDRKPVLNPKLRIFLEELSESEIKNLKSELSKHVQHYLVEKLSESGHIT
+KEDLPKIYQNLYLMNEKVEPKGQNIFLEKYSETVKEIMSFVNNFNHHFIDKHLEIKLRSF
+LNEVLQNYFLKNLSDNSLFKETESDPMCSKVSSLRAQSASVSFQELGQDTSSRSFGSRLE
+INMKYPLSKSLQSYLIALSENEILDLKADLSKHLQSLFIEKLSKSGLITERQLKGISQHI
+NLVNFSSTPLKCIKPDLSLRDENQFVAEHSDKQNKYSKIAQKTTLQKAPEERLVETELTK
+KEEKEVFSLENIKENPPIIQEQKRHSQEAKILRSIKVKPCSNKNTQVIPLNKSSERLIDT
+VPKKKKKEHGFMQFPHEENFEFKTESQDQHNWNGKSKITQSKACFEKTLKMKSLDKKDHC
+NTYKLMVQEKPEALLSPYQRIPNCKMPNGDEEYVNKFTFPSRRNNNLTCLNSEAGEKSKL
+EDQYCQRLKGNNNNNKKQHLEIFTHYKEEIQTLYTKPNELCNEKYAWVPESQLLKILIAE
+KNSKPSLFPEVLKRENLKPKVRKERDRVSKQKKSFNKIDSVLPTTPPSTGIHLRKSVPRT
+LLHWTARRTTHDCSDRFEELHVTSFKHLENAKSRARLLEKSPDDSHNQFKRFARPYTAPE
+VNKRGESYTGKFTSPRMVSAGLVHINDSIPEYEIHKMRPKKKIKREY
+>tr|E1BLX3|E1BLX3_BOVIN ORAI calcium release-activated calcium modulator 3 OS=Bos taurus OX=9913 GN=ORAI3 PE=4 SV=1
+MKGGEGDPGEQAPLNPEAESPAGSATYREFVHRGYLDLMGASQHSLRALSWRRLYLSRAK
+LKASSRTSALLSGFAMVAMVEVQLESKHEYPPGLLVAFSACTTVLVAVHLFALMVSTCLL
+PHIEAVSNIHNLNSVHQSPHQRLHRYVELAWGFSTALGTFLFLAEVVLVGWVKFVPIGAP
+LGTSGSVGPASQLPTVATSLSPASKPSSVSVAPSQAEPPEPCPPRQACGSGAAHGPGWQA
+AMASTAIMVPVGLVFVAFALHFYRSLVAHKTDRHKQELEELSRLQGELQAV
+>tr|A0A3Q1LSC3|A0A3Q1LSC3_BOVIN Pecanex 4 OS=Bos taurus OX=9913 GN=PCNX4 PE=4 SV=1
+MSPDVPLLNDYKQDFFLKRFPQTVLGGPRLKLGYCAPPYIYVHQIVLFLVPWILGGIGTL
+LYQLGILEDYHTAALSGGLMLFTAFVIQFTSLYARNKSVTVERMLTTDILAEEDEHEFTG
+CAGAETIKFLIPGKKHTANTVFHSVLAGLLCGLGTWYLLPHRITLLYGSAGGTVLLFVFG
+WMTLCIGEYSLIVNTPTESATFQTQDAYEITPLLRPVYIFFFISIDLAHRFMVNIPALEQ
+MNQILHILFIFLPFFWAFGTLPPPDALFLWAMEQVLEFGLGGSSMSTHLRLLIMFIISAG
+TAVASYFIPSTVGVVLFMTGLGFLLSLNLSEMVLVFKHSVTRHRVGTKSNALSSGSEIRF
+TWREYLFYSVVLVLALLETGLLHHFASFSQTSKNSPQAVVGYTLMILFIILWILKEIQSI
+YIFGIFRNPFYPKDVQTVTLFLEKQTKLMKIGVVRRILINLVSPLAMIAFLSLDSSLQRL
+HSVSVSIGFTRAFRMVWQNTENALLETVIVSAVHLLISNTDLWWSRSLDTGIKLLLVGIM
+RDRLIQFIAKLQFAVTVFVASWTEKKRRKSATTLGVLNIVFSPFMLVFIVFSTLLSSPLL
+PLFTLPLFLVGFPRPIQSWPGVVGTTACVCADTVYYCQMVPGLTTALQSAMAAGSLGLLL
+PGSHYLGRFQDRLIWIMILECGYTYCCVNIKGLELQETSCHTAEARRVDEVFESAFEQEE
+YVKLCSINEHFGNVLTPCTVLPVKLYSDARNVLSGIIDSHDNLKEFKCDLVKVLVWILVQ
+YCSRRPSMLENVHKTENKGKASLIILPALNTEPQTESPEDTDSLNSENLDDWSDDVFGEE
+PTIKKGKDEKDQLKVLPGINLPIPGSVESQNVESHSTNTEKSLYQAVALGYPAIDKGKQE
+AMAFIPLMEFSCSHSHLLSLPEEWMSNCLPNSKMKEMSSLFPEDWYQFILRQLKCFPSKE
+NASNVVEEIAKDRVLKDFYVHAVMTCYFSLFGVDNMIPSPGHILRVYSGVLPWSLALDWL
+TEKPDLFQLALKAFRYTLKLMIDKASLGPIEDFKELINCLEEYESDWYIGLVSDEKWKEA
+VLQEKPYLFSLGYDPNMGVYTGRVLTLQELLIHVGKLNAEAVRDSLRVFQTLHQGKPYNS
+MV
+>tr|E1BKF8|E1BKF8_BOVIN Signal peptide peptidase like 2B OS=Bos taurus OX=9913 GN=SPPL2B PE=4 SV=2
+MAAAAALARLAAAFLLLAAQVACEYGMVHVVSETGGPKGKDYCILYNPQWAHLPHDLSKA
+SLLQVRDWTSSVLCSPADLPPKGFSNQIPLVARGNCTFYEKVRLAQGSGARGLLIVSKEA
+LVPPGGNKTQYEEIGIPVALLSYRDMLDIFQTFGQAVRAALHAPKEPMLDYNMVIIFLMA
+VGTVALGGYWAGSRDVRKRYMKHKRDDGPEKQEDEAVDVTPVMICVFVVMCCSMLVLLYF
+FYDQLVYVIIGIFCLASSTGLYSCLSPLVQRLPFCKCRVPDNSLPYFHKRPQVRTLLLAL
+LCATVSVVWGIFRNEDQWAWILQDALGIAFCLYTLKTIRLPTFKACTLLLLVLFIYDVFF
+VFITPFLTKSGNSIMVEVATGPSDSATHEKLPMVLKVPRLNASPLALCDRPFSLLGFGDI
+LVPGLLVAYCHRFDIQVQSSRVYFVACTIAYGIGLLVTFMALALMQRGQPALLYLVPCTL
+VTSCALALWRRELGVFWTGSGFAKDLPQSPWAHAPTDGPQQPHADPDAAPAPVPGRQTPG
+EDAVPTPPPAEQTPEQSAPEEKLGADAPLQEPERLAAPPGPSA
+>tr|E1BCL8|E1BCL8_BOVIN Tripartite motif containing 55 OS=Bos taurus OX=9913 GN=TRIM55 PE=4 SV=2
+MSASLNYKSFSKEQQTMDNLEKQLICPICLEMFTKPVVILPCQHNLCRKCASDIFQASNP
+YLPTRGGTTVASGGRFRCPSCRHEVVLDRHGIYGLQRNLLVENIIDIYKQESTRPEKKSD
+QPMCEEHEDERINIYCLNCEVPTCSLCKVFGAHKDCQVAPLTHVFQRQKSELSDGIAVLV
+GSNDRVQGLTQQECCRKQKQELCEKFDYLYGILEERKNEMTQVITRTQEEKLEHVRALIK
+KYSDHLENVSKLVESGIQFMDEPEMAVFLQNAKTLLQKISEASKAFQMEKIEHDYENMNH
+FTVNLNREEKIIREIDFYREDEDEEEEGEEGEGEGEEEGVEVEEAEDVQTESSGGDQSPE
+KGLEPSPPTLELQAAPEAPPVPSPDPPPALLPAMEAPVTQVTQL
+>tr|A0A3Q1MA38|A0A3Q1MA38_BOVIN WAS protein family member 3 OS=Bos taurus OX=9913 GN=WASF3 PE=4 SV=1
+MPLVKRNIEPRHLCRGALPEGITSELECVTNSTLAAIIRQLSSLSKHAEDIFGELFNEAN
+NFYIRANSLQDRIDRLAVKVTQLDSTVEEVSLQDINMKKAFKSSTVQDQQVVSKNSIPNP
+VADIYNQSDKPPPLNILTPYRDDKKDGLKFYTDPSYFFDLWKEKMLQDTEDKRKEKRRQK
+RERHKLNPNRSQQISVRKVRTRREEWERRKMGIEFMSDARKLQQAGGAAEHRTPAGSHAS
+DVTDYSYPATPNHSLHPQPGTPSYGAGDAPSHGPAAQAPEHEFRPPSASARHLALNRPQQ
+PPPPPPQATEGPQAPAPMAPADYGMLPAQITEYYSPSGPPPPPPPPVIPSAQTAFVCPLQ
+MPLQPPFPASAGSAYAGPPHPPSGGLVVTAPPPPGPPPPPPGPPGAGSSLSSSPMHAPPG
+AEAKRQEAVQPPVSDARSDLLAAIRMGIQLKKVQEQREQEAKREPVGNDVATILSRRIAV
+EYSDSDDDSEFDENDWSD
+>tr|F1MXD0|F1MXD0_BOVIN SPOUT domain containing methyltransferase 1 OS=Bos taurus OX=9913 GN=SPOUT1 PE=4 SV=3
+MAEPARKRPCGPGEHGQRVEWRKWKQQKKEEKKKWKDLKMTKKLERQRAQEEQAKRQQEE
+EAAAQSEDRGRHYTLSVALPGSILDNAQSPELRTYLAGQIARACAIFCVDEIVVFDEEGQ
+DAKTVEGEFRGVGKKGQACVQLARILQYLECPQYLRKAFFPKHQDLQFAGLLNPLDSPHH
+MRQDEESEFREGVVVDRPTRPGQGSFVNCGMKKEVKIDKNLEPGLRVTVRLNQNQLPESK
+TYRGKVVSSQDPRTKAGLYWGYTVRLASCLSAVFAEAPFQDGYDLTIGTSERGSDVASAQ
+LPNFRHALVVFGGLQGLEAGVDADPNLEVAEPSVLFDLYVNTCPNQGSRTIRTEEAILIS
+LAALQPGLTQAGARPS
+>tr|G3MZT6|G3MZT6_BOVIN Free fatty acid receptor 1 OS=Bos taurus OX=9913 GN=FFAR1 PE=4 SV=1
+MDLPPQLSFALYVAAFVLGFPLNTLAIAGAVSHARLRLTPSLVYALHLGCSDLLLATSLP
+LKAVEALAGGTWPLPAPLCPAFALVHFAPLYAGGGFLAALSVGRYLGAAFPLGYQAARRP
+LYSWGVCVAVWAIVLCHLGLVFGLEAPGGWLDNSTSSLGISTPINGSPVCLEAWDPASAG
+PARFSLSLLLFFLPLVITAFCYVGCLRALAHSGLSHRRKLKAAWVAGGALLTLLLCLGPY
+NASNVAGFLHPDIGGQWRQLGLITGAWSVVLNPLVTGYLGGHPGQGTVCVAKTKAGASQK
+>tr|E1BG08|E1BG08_BOVIN Axin interactor, dorsalization associated OS=Bos taurus OX=9913 GN=AIDA PE=4 SV=1
+MSEVTRSLLQRWGASFRRGADFDSWGQLVEAIDEYQILARHLQKEAQAQHNNSEFTEEQK
+KTIGKIATCLELRSAALQSTQSQEEFKLEDLKKLEPILKNILTYNKEFPFDVQPVPLRRI
+LAPGEEENLEFEEDEEEGGAGAGSPDSFPARVPGTLLPRLPSEPGMTLLTIKIEKIGLKD
+AGQCIDPYITVSVKDLNGIDLTPVQDTPVASRKEDTYVHFNVDIELQKHVEKLTKGAAIF
+FEFKHYKPKKRFTSTKCFAFMEMDEIKPGPIVIELYKKPTDFKRKKLQLLTKKPLYLHLH
+QTLHKE
+>tr|F6Q0H8|F6Q0H8_BOVIN G2 and S-phase expressed 1 OS=Bos taurus OX=9913 GN=GTSE1 PE=4 SV=2
+MEAPKKEDILLLADEKFDFDLSLSSSSANEDDEVFFGPIGHKERCIAASLELNNQIPEEP
+PLPASERHFIWSPLAGEKFVEVYKEAHLLAFQIQSNGRTTAAQAAQPEDPGGQGGEGFIQ
+EPKLKINLFDRENEVKKSPKSLKRETYCLSDDLWRAPLLRGTQPASSAAPLAAPAQTGCP
+ETSGPPPTLCPSLPAEPSAAQPPNQAGAQKKVASKLLPPRTSSLRAKSLHSAVEKPVRQK
+PASPPRMKIQNEKDTHSSVPPDKLSTTRAVASVPASGSHLVPGKRSLPVPNKSGPKKTML
+KPPGYAGGLAGRSSSGSVSGLSSTARASPATGGAKPSERPGISADSARSSSSQTGRAGLA
+LPRPSLKAGPGGVACRQSQRPEAAEWTAERPRGPPRASLPQPQTPEQGGPGPSSCCGLSQ
+SSQWDKTGGTRRRDSCLNSKTKAVATPTNQFKIPRFSTGEPPDGATPKSCRAQRPQSCTS
+VGRVAHSTPMRWSSAAQSLVSSMRTPVSTRRLSALPTPAGRRLSSLPLATPTTVPRLLAS
+PLPTSAKRLSSEPPKKSTVRTAPAMEGDGQPASRRLDLSPNGSCSPPSAVPQALDFSPEK
+SDFTFSQSVTVEVTLDEAQPPEATAPSEALLVDLKLDQLSLTMEAAATALDDRPLIDFCR
+SPEAVVALRSGSGPLVDLLTNTPEANRKAVAKPPDEVGQVSCSRACWLWPQVVSAGFDAQ
+AHHDDPGEWPRGLPRATWLGSPHPQQCECQGGISLEPFCAHGPQRLTGSRNARGTQSSSG
+CAAASLQRLQRGAQGAFPLGPSQVHPVLWPNRGEPAAGSPGFREPVLRGLWGGKGVTDAP
+FTCVAFLLQLIDLASPLILLSPEADKENMESPLLKF
+>tr|A0A3Q1MRK6|A0A3Q1MRK6_BOVIN Immunoglobulin like domain containing receptor 2 OS=Bos taurus OX=9913 GN=ILDR2 PE=4 SV=1
+MDRVVMAWVAVLWLTAMAEGLQVTVPDKKKVAMLFQPTVLHCRFSTSSHQPAVVQWKFKS
+YCQDRMGESLGMSSPRTQSLSKRNLEWDPYLDCLDSRRTVRVVASKQGSTVTLGDFYRGR
+EITIVHDADLQIGKLMWGDSGLYYCIITTPDDLEGKNEDSVEVLVLGRTGLLADLLPSFA
+VEIMPVRKGYRIQADKERDSMKVLYYVEKELAQFDPARRMRSRYNNTISELSSLHEEDSS
+LRQSYHQMRNKQFPVSGDLESNPDYWSGVMGGSSGASRGPSAMEYNKEDRESFRHSQQRS
+KSEMLSRKNFATGVPAVSMDELAAFADSYGPRSRRADGNKQDLRGGSRFERSEARAHGGL
+YQDGSLEEYYGPRSRSREPLTDADRGWSYSPPRRRPPDDAHLPRLVSRTPGTTPKYDHSF
+RGSGLERQVRPEGASRGGSLETPSKLSSQLGPLSASYYAWSPPATYEAGAPPDDEEDTPD
+DTLPPYSELELSRGPSYRGRDLPYHSNSEKKRKKETPAKKTSDFPTRMSLVV
+>tr|E1BER5|E1BER5_BOVIN EPH receptor A4 OS=Bos taurus OX=9913 GN=EPHA4 PE=3 SV=3
+MAGIFYFVLFSFLFGICDAVTGSRVYPANEVTLLDSRSVQGELGWIASPLEGGWEEVSIM
+DEKNTPIRTYQVCNVMEPSQNNWLRTDWITREGAQRVYIEIKFTLRDCNSLPGVMGTCKE
+TFNLYYYESDNDKERFIRESQFAKIDTIAADESFTQVDIGDRIMKLNTEIRDVGPLSKKG
+FYLAFQDVGACIALVSVRVFYKKCPLTVRNLAQFPDTVTGADTSSLVEVRGSCVNNSEEK
+DVPKMYCGADGEWLVPIGNCLCNAGHEERNGECQACKIGYYKALSTDAACAKCPPHSYSV
+WEGATSCTCDRGFFRADNDAASMPCTRPPSAPLNLISNVNETSVNLEWSSPQNTGGRQDI
+SYNVVCKKCGAGDSSKCRPCGSGVHYTPQQNGLKTTKVSITDLLAHTNYTFEIWAVNGVS
+KYNPSPDQSVSVTVTTNQAAPSSIALVQAKEVTRYSVALAWLEPDRPNGVILEYEVKYYE
+KDQNERSYRIVRTAARNTDIKGLNPLTSYVFHVRARTAAGYGDFSEPLEVTTNTVPSRII
+GDGANSTVLLVSVSGSVVLVVILIAAFAISRRRSKYSKAKQEADEEKHLNQGVRTYVDPF
+TYEDPNQAVREFAKEIDASCIKIEKVIGVGEFGEVCSGRLKVPGKREIYVAIKTLKAGYT
+DKQRRDFLSEASIMGQFDHPNIIHLEGVVTKCKPVMIITEYMENGSLDAFLRKNDGRFTV
+IQLVGMLRGIGSGMKYLSDMSYVHRDLAARNILVNSNLVCKVSDFGMSRVLEDDPEAAYT
+TRGGKIPIRWTAPEAIAYRKFTSASDVWSYGIVMWEVMSYGERPYWDMSNQDVIKAIEEG
+YRLPPPMDCPIALHQLMLDCWQKERSDRPKFGQIVNMLDKLIRNPNSLKRTGTESSRPNT
+ALLDPSSPEFSAVVSVGDWLQAIKMDRYKDNFTAAGYTTLEAVVHMNQDDLARIGITAVT
+HQNKVLSSVQAMRTQMQQIHGRMVPV
+>tr|A0A3Q1MLY1|A0A3Q1MLY1_BOVIN F-box protein 16 OS=Bos taurus OX=9913 GN=FBXO16 PE=4 SV=1
+MQFFLSLWCQTKPGAFFCCCSALLFSFFQFDKWTDTQRRRILTSLLERCSLSQQKFCCRK
+LQEKIPAEALDFTTKLPRVLSLHIFSFLDPRSLCRCAQVSWHWKNLTELDQLWMLKCLRF
+NWYINFSPTPYEQGVWKKHYIQMVKELHVTKPKTPPKDGFVIADVQPIRSSSPEGKLSPS
+SAFRSSSSLRKKTHPGEKELPPWRSSDKHPTDIIRFNYLDNCDPIEHSWQGRRKRHEMTP
+AFNRQSRDKKNKSQDRSKLRKAQSLSSLNAEPRASLHVPAHLAWLPHQPLGLPATEAATK
+TLTRHLQRLSGLQSSPQAFPQQLM
+>tr|E1BPB3|E1BPB3_BOVIN Eukaryotic translation initiation factor 2B subunit epsilon OS=Bos taurus OX=9913 GN=EIF2B5 PE=4 SV=2
+MAATVVAPPGALASRVNKRSGGGPGGGGGGGGGGGGGAARGAEEEPPPPLQAVLVADSFN
+RRFFPISKDQPRVLLPLANVALIDYTLEFLTATGVQETFVFCCWKAAQIKEHLLKSKWCR
+PTSLNVVRIITSELYRSLGDVLRDVDAKALVRSDFLLVYGDVISNINITRALEEHRLRRK
+LEKNVSVMTMIFKESSPSHPTRCHEDNVVVAVDSATNQVLHFQKTQGLRRFSFPLSLFQG
+SGDGVEIRYDLLDCHISICSPQVAQLFTDNFDYQTRDDFVRGLLVNEEILGNQIHMHVTT
+KEYGARVSNLHMYAAVCADVIRRWVYPLTPEANFTDSTTQSCTHSRHNIYRGPEVSLGHG
+SILEENVLLGSGTVIGSNCSITNSVIGPGCHIGDNVVLDQAFLWQGVRVAAGAQIHQSLL
+CDNAEVKENVTLKPHCVLTSQVVVGPDIMLPEGSVISLHPPDAEDDEDDGQFSDDSGADQ
+EKEKMKLKGYNPAEVGVAGQGYLWKAADMNMEEEEELRQNLWGLKINMEEESETESERSM
+DSEELDSRAGSPQMDDIKVFQNEVLGTLQRGKEENISCDNLVLEINSLKYAYNISLKEVM
+QVLSHVVLEFPLQQMDSPLDPNRYCTLLIPLLKAWSPVFRNYIKRAADHLESLAAIEDFF
+LEHEALSTSMAKVLMAFYQLEILAEETILSWFSRREVTDKGQQLRKNQQLQRFIQWLKEA
+EEESSEDD
+>tr|F1MQL3|F1MQL3_BOVIN Peptidase S1 domain-containing protein OS=Bos taurus OX=9913 GN=LOC615237 PE=3 SV=3
+MPVPFNQLCVISVFVEDTADLNNLFYLLYLKSSYKSCMGTLIAPQWVITAAHCFLPDLQV
+ILTGGATTFQELIGEILPYEEVIIHPNFTFTSPKNDLMLIKLSVPLTFFSTSMFQLPVSN
+SSDIADCLVYTWVEDKRSFGNRRGYLQSFMTELSTDLVCRTLLHKKFFEDVFCVGHLRKN
+TDECQVTTAAPATCGNKLQGIMSWTTGCFLTDHSAVFTNIYSHIPWIKSIIST
+>tr|F1N498|F1N498_BOVIN 28S ribosomal protein S11, mitochondrial OS=Bos taurus OX=9913 GN=MRPS11 PE=3 SV=1
+MQVLRNAGSWLLRSWAWPPTTRVVAGVPAPTIHMSAQQMQDAAAKEEVEKAETPAPAPSR
+SSFSIYPPIPGQESSLRWAGKKFEEIPIAHIKASYNNTQIHVVSAAHQPLARASCGTEGF
+RNAKKGTGIAAQTAGIAAAAKATGKGVTHVRVVVKGLGPGRLSAIKGLTMGGLEVISITD
+NTPIPHNGCRPRKARRL
+>tr|F1MU99|F1MU99_BOVIN Small ArfGAP 1 OS=Bos taurus OX=9913 GN=SMAP1 PE=4 SV=3
+MATRSCREKAQKLNEQHQLILSKLLREEDNKYCADCEAKGPRWASWNIGVFICIRCAGIH
+RNLGVHISRVKSVNLDQWTPEQIQCMQDMGNTKARLLYEANLPENFRRPQTDQAVEFFIR
+DKYEKKKYYDKNAIAITNIASSDAPLQPLVSSPSLQAAVEKNKLELQKKEDQQLEPKKST
+SPKKAAEPTVDLLGLDGPAEAPVTNGSAVVGPALNDDLDIFGPMISNPLPATVMPPAQGT
+SSAPAAATLSAVTSGDLDLFTEQAAKSEEVAKKQLSKDSILSLYGAGAIQQQGAPGVFMG
+PTNLPFTSQAPAAFPGFPSMGVPVPAAPGLVGSSLGPSAGMMVGVPVPNGFMGSAQTAVM
+PLAQGVVGPPGMVAHLGAAQGKFGLQPAPQSPWNLPQVNQQMAGLSLGGAASAAGFGQPP
+STSAGAAGWPGGSSGQTLSTQLWK
+>tr|A0A3Q1M2B7|A0A3Q1M2B7_BOVIN Eukaryotic translation initiation factor 2A OS=Bos taurus OX=9913 GN=EIF2A PE=1 SV=1
+MAPSTPLLTVRGSEGLYMVNGPPHFTESTVFPRESGKNCKAYTFSKDGTLFAWGNGEKIN
+VISVTKKGLLHSFDLPKAVCLEFSPKNTVLATWQPYTASKDDTAGIPNLQLYDVKTGTCL
+KSFIQKKMQNWCPSWSEDETLCARNVNNEVHFFENNNFNTIANKLHLKKINDFVLSPGPQ
+PYKVAVYVPGSKGAPSFVRLYQYPNFDEPHAALANKSFFKADKVTMLWNKKAKNGPIYDV
+VWNSSSTEFCAVYGFMPAKATVFNLKCDPVFDFGTGPRNAAYYSPHGHILVLAGFGNLRG
+QMEVWDVKKYKLISKPVASDSTYFAWCPDGEHILTATCAPRLRVNNGYKIWHYTGSVLHK
+YDVPSNAELWQVSWQPFLDGIFPEKAITYQVVPSDVPSEEPKVATAYRPPALRNKPVTNS
+KLHEEEPPQNMKPQPGNEKPLSKTALKNQRKHEAKKAAKQEARIDKSPDMPPAPAQQSTP
+RSTISQSTSGDPETDRKIKNLKKKLRAIEQLKEQAAAGKQLEKNQLEKIQKEKALLQELE
+DLELGI
+>tr|A0A3Q1LZ73|A0A3Q1LZ73_BOVIN Transmembrane protein 245 OS=Bos taurus OX=9913 GN=TMEM245 PE=4 SV=1
+MPGSGKGTMADDGGPEEALSPRGSPSRVPRVQRPVGAASGGGGCGETPRTAALALRFDKP
+IKQAFYNTGAVLFVCLCCGAAVLVYFILEAFLRPLLWAVLCGTFLHPFKSSLTRLGRHWL
+QRLHRAHTPIVLAALLLPICFADYGVEALGEQALRRRRLLLLLGAGGPLLYGLYSLGSYL
+GVQVLLAHAGALICCGLDYFNSLWIWTLVVGYVLAVSFKWNASTERYLRAMSIPVWIILL
+FHLASLAGAWRIPVFLVIVFLMSVGTLYEKQNGKESSGAELPGQVISMAASTLATLAISI
+TGYESSTEDHTSTQPAGDWCCENAFRIILESGIYTEHKIRKDTGITKCSLAFLERQQALA
+PWPIIGLGKFLLKVDSKMIIWLEKMLDKIISIFIIFLLVIGTLLLALLLTAKVHQESVHM
+IEVTSNLINETLANHPEWANWLPEAQVVQRALNSAANNVYQYGREWITHKLHKILGDKVN
+NTAVIEKQVLELWDRLYHSWFVKNVSHSGRHRGHKLHISRQNSWLGDILDWQDIASFVHE
+NIETFLSILESLWVVMSRNVSLLFSTITTLLTILFYSGTALLNFVLSLIIFLTTLFYLLS
+SSDEYYKPVKWVISLTPLSQPGPSSNIIGQSVEEAIRGVFDASLKMAGFYGLYTWLTHTI
+FGINIVFIPSALAAILGAVPFLGTYWAAVPAVLDLWLTQGLGCKAILLLVFHLLPTYFVD
+TAIYSDISGGGHPYLTGLAVAGGAYYLGLEGAIIGPILLCILVVASNIYSAMLVSPTNSV
+PTPNQTPWPAQAQR
+>tr|A0A3Q1LYJ2|A0A3Q1LYJ2_BOVIN Vomeronasal type-1 receptor OS=Bos taurus OX=9913 PE=3 SV=1
+FLSLKCIRGDCDLMKDHQSLRPESMATGDLAAGSILLLQTVFGMLGNFSLLYCYLFLWCT
+GDRLRTVDLIVTNLIVANIFILFSAGFCSPMTTFGWNCLKSGSACRVFAYLRGVGRGASI
+GITCILSVFQAITISPRNSRWAELKVKALKCVVPSIILCWVVNMMLNIIYPIFVTGILSN
+KSITNRKSFKHCSAVPNDRYGETYAAMMSMPVVFSFVVMLLASGSTVFTLYRHKQRVQNV
+HRINGSSISSAESRATKTILLLVSIFINFNTLSSISYIILGISNNSSLFISTMSAVVISC
+FPAISPFLLMSRDSRVSRLCFAGKRNANSPTLKRKV
+>tr|A0A3Q1NM09|A0A3Q1NM09_BOVIN Arb2 domain-containing protein OS=Bos taurus OX=9913 GN=FAM172A PE=4 SV=1
+MSISLSSLILLPIWISMAQIQQGGPDEKEKTTALKDLLSRIDLDELMKKDEPPLDFPDTL
+EGFEYAFNEKGQLRHIKTGEPFVFNYREDLHRWNQKRYEALGEIITKYVYELLEKDCNLK
+KISIPVDATESEPKSFIFMSEDALTNPQKLMVLIHGSGVVRAGQWARRLIINEDLDSGTQ
+IPFIKRAMDEGYGVIVLNPNENYIEVEKPKIPVQSSSDSSDEPAEKRERKDKVSKETKKR
+RDFYEKYRNPQREKEMMQLYIRENGSPEEHAVYVWDHFIAQSAAEKVFFVAHSYGGLAFV
+ELMIQREADVKSKVTAVALTDSVHNVWHQEAGKTIREWMRENCCNWVSSSEPLDTSVESM
+LPDCPRVSAGTDRHELTSWKSFPSIFKFFTEASEAKSSSLKPALTRRSHRIKHEEL
+>tr|A0A452DHX5|A0A452DHX5_BOVIN Transmembrane protein 248 OS=Bos taurus OX=9913 GN=TMEM248 PE=4 SV=1
+MFNINPLENLKLYISSRPPLVVFMISVSAMAIAFLTLGYFFKIKEIKSPEMAEDWNTFLL
+RFNDLDLCVSENETLKHLTNDTAAPESTVTSGQARTSTQSPQPLEDAGPVNISVAITLTL
+DPLKPFGGYSRNVTHLYSTILGHQIGLSGTLSGSQLPQALSGHSCYHTEYETPCLAPFSC
+RQPPHCVPDTYSNATLWYKIFTTARDANTKYAQDYNPFWCYKGAIGKVYHALNPKLTVIV
+PDDDRSLINLHLMHTSYFLFVMVITMFCYAVIKGRPSKLRQSNPEFCPEKVSDACVWLPG
+FGAGPRPPVGELVGLSYLLVTA
+>tr|E1BEH3|E1BEH3_BOVIN Potassium two pore domain channel subfamily K member 15 OS=Bos taurus OX=9913 GN=KCNK15 PE=3 SV=3
+MRKQSARTAALVLCILSYLLVGAAVFDALESEAESGRKRLLAQKRSELRRKYGFSAEDYR
+ELERLALQAEPHRAGRQWKFAGSFYFAITVITTIGYGHAAPGTDSGKVFCMFYALLGIPL
+TLVTFQSLGERLNALVRRLLLAAKRCLGLRRPRVSPENMAVAGLLVCAGTLALGAAAFAH
+FEGWTFFHAYYYCFITLTTIGFGDFVALQNDEALQRKPPYVAFSFLYMLLGLTVIGAFLN
+LVVLRFLAAGADAPERAARPLRRGAPENRGPARRAGLISVSCRLHRLELCSRDNPGFSPP
+ASPGAAGGGRADRPPARRKSI
+>tr|A0A452DJ67|A0A452DJ67_BOVIN V-type proton ATPase proteolipid subunit OS=Bos taurus OX=9913 GN=ATP6V0C PE=3 SV=1
+MGPSQPSDSSLRAARRPLRPARPRPGLGPLNSPPPHPQTCPRPRTAPTRGAAYGTAKSGT
+GIAAMSVMRPEMIMKSIIPVVMAGIIAIYGLVVAVLIANSLNDGISLYRSFLQLGAGLSV
+GLSGLAAGFAIGIVGDAGVRGTAQQPRLFVGMILILIFAEVLGLYGLIVALILSTK
+>tr|A0A3Q1LYB6|A0A3Q1LYB6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+TWRSESKSAARLRLCRLAAAAACDASRRGAALPWAGTGTGTVWAPARGRLAGVSAAPGED
+GPGGAAPMRPLQRLICFCRYLRWFTFRFDLPGLSRASLMLLDAESMKLSRSRVASRASPL
+SAPASSAPAGVPVSSSSKQQPFSRAPKAPPSPSGEPPKPKGTKGCVLRSAAWTAIASAPG
+RPVRRRPLPARRPGPAAAPAWCARGSFLVRGRLAARPPGGAH
+>tr|G3MZB1|G3MZB1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=DSPP PE=4 SV=2
+MKMKIIVYFCIWAVAWAIPVPQIKPLERHAVDKSVNVNLLAKSKVPIQDALNDNNTTKES
+GGLMHENERGRQQDIQDGYKGDRNGSEWAELGGKSSSTGSMLANEKGNTEDLKGGTGKPE
+TYDHDGMQGQEESITANGLRGQVSIVHDAGVANGSHIDGIAENNSQNAGVGNTSQSEDAT
+VVQEDGHQVAGSSNSTGQEAEINGDSCRNGADTSETTPQREDERNGNEEVGVLPVGSGAG
+NGEDVGLDNFEGSPSGNGADEDEDKGSGDGEGEEIGNGEKGSDTSKGQEGQSHGEEDDED
+NSLGQNSVSSEDDGPGDKEDSHVIDGDNISKSEEDSAGIPEDNGSQKTEDTQKPSHRENK
+AVENRLTEKSQPTAIGKNQDKGMEMESPSSGHRNNVTKEAGKVNEDKESKGQHGMIVGKG
+NVKTQGEIDIIQGAGQKLESGNKLGPSQVHSDSNSDGHDSYEFDDESMQGDDPNSSDESN
+GSDDANSEGDNNHSSRGDASYNSDESNDNGNDSGSKGDDDGSDNTSDANDNGSDGNDDNG
+GDDSGKSGNSKDKSDSSDSSDSSDSDSSDSSESDSKSDSDSSDSSDSDSKSDNDSSDSSD
+SDSKSDSDSSDSSDSKSDSSDSSDSDSSDSSDSKSDSSDSSDSSDSDSSDSSDSSDSSDS
+SDSDSKSDSDSSDSSDSKSDSSDSSDSDSSDSSDSKSDSSDSSDSSDSDSSDSSDSSDSD
+SSDSSDSDSKSDSDSSDSSDSKSDSSDSSDSDSSDSKSDSSDSSDSDSKSDSDSSDSSDS
+KSDSSDSSDSSDSDSSDSSDSSDSSDSKSDSSDSSDSSDSDSSDSDSSDSSDSSDSDSKS
+DSDSSDSSDSKSDSSDSSDSSNSSDSSDSSDSSDSSDSSDSSDSKSDSSDSSDSSDSSNS
+SDSDSKSDSDSSDSSDSSDSSDSSDSKSDSSDSSDSDSSDSSDSSDSSDSSNSSDSDSKS
+DSDSSNSSDSSDSSDSKSDSSDSSDSSDSKSDSSDSSDSDSKSDSDSSDSSDSSDSDSSD
+SSDSSDSDSSDSSDSDSKSDSDSSDSSNSSDSSDSSDSDTKSDSDSSDSSDSDSSDSSDS
+SDSSDSSDSSDSDSSNSSDSDSKSDSDSSDSSDSDSSDSSDSDSSDSSDSDSSDSSDSND
+SNTKSDSDSSDSSDSSDSKSKSGNGNHNGGSSDSDSDSEGSDSNHSTSDD
+>tr|A0A3Q1MQZ7|A0A3Q1MQZ7_BOVIN T-box 5 OS=Bos taurus OX=9913 GN=TBX5 PE=4 SV=1
+MADADEGFGLAHTPLEPDAKDLPCDSKPESALGAPSKSPSSPQAAFTQQGMEGIKVFLHE
+RELWLKFHEVGTEMIITKAGRRMFPSYKVKVTGLNPKTKYILLMDIVPADDHRYKFADNK
+WSVTGKAEPAMPGRLYVHPDSPATGAHWMRQLVSFQKLKLTNNHLDPFGHIILNSMHKYQ
+PRLHIVKADENNGFGSKNTAFCTHVFPETAFIAVTSYQNHKITQLKIENNPFAKGFRGSD
+DMELHRMSRMQSKEYPVVPRSTVRQKVASNHSPFSSEPRALSTSSNLGSQYQCENGVSGP
+SQDLLPPPNPYPLPQEHSQIYHCTKRKDEECSSTDHPYKKPYMETSPSEEDPFYRSGYPQ
+QQGLGASYRTESAQRQACMYASSAPPSEPVPSLEDISCNAWPSMSSYSSCTVTTVQPMDR
+LPYQHFSAHFTSGPLVPRLASMANHGSPQLGEGMFQHQTSVAHQPVVRQCGPQTGLQSPG
+SLQASEFLYSHGVPRTLSPHQYHSAVHGVGMVPEWNDNS
+>tr|A0A3Q1MWA9|A0A3Q1MWA9_BOVIN Eph LBD domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MGGSEVREFLLQFGFFLPLLTAWPGDCSHVSNNQVVLLDTTTVLGELGWKTYPLNGWDAI
+TEMDEHNRPIHTYQVCNVMEPNQNNWLRTNWISRDAAQKIYVEMKFTLRDCNSIPWVLGT
+CKETFNLYYVESDESHGIKFKPSQYTKIDTIAADESFTQMDLGDRILKLNTEIRELGPIE
+RKGFYLAFQDIGACIALVSVRVFYKKCPFTVRNLAVFPDTIPRVDSSSLVEVRGSCVKSA
+EERDTPKLYCGADGDWLVPLGRCICSTGYEEIEGSCHGKKNTFK
+>tr|A0A3Q1MR82|A0A3Q1MR82_BOVIN Zinc and ring finger 2 OS=Bos taurus OX=9913 GN=ZNRF2 PE=4 SV=1
+MGAKQSGPAAANGRTRAYSGSDLPSSSSSSGGANGTAGGGARAAAAGRFPAQVPSAHQPS
+ASGGAAAASAAPRSRSLGGAVGTVASGARAAQSTFSIPNSSSGPYGSQDSVHSSPEDGGS
+SGGRDRPASGGPGGPRLVIGSLPAHLSPHMFGGFKCPVCSKFVPSDEMDLHLVMCLTKPR
+ITYNGIISHFSCFCFLLLSLRVQEQKISLQVKCLIHNSAWHCILLKRSYTFGCLI
+>tr|A0A3Q1MXM3|A0A3Q1MXM3_BOVIN AT-rich interaction domain 1B OS=Bos taurus OX=9913 GN=ARID1B PE=4 SV=1
+MGLGKDMGAQYAAASPAWAAAQQRSHPAMSPGTPGPTMGRSQGSPMDPMVMKRPQLYGMG
+SNPHSQPQQSSPYPGGSYGPPGPQRYPIGIQGRPPGAMGGMQYPQQQMPAQYGQQGVSGY
+CQQGQQPYYNQQPQPPHLPPQAPYLPSQSQQRYQPQQDMSQEGYGTRSQPPLASGKPNHE
+DLNLIQQERPSSLPVEVLTSEDTAFGLKDLSGSIDDLPTGTEATLSSAVSASGSTSSQGD
+QSNPAQSPFSPHASPHLSSIPGGPSPSPVGSPVGSNQSRSGPISPASIPGSQMPPQPPGN
+QSESSSHPALSQSPMPQERGFMAGTQRNPQMSQYGPQQTGPSMSPHPSPGGQMHPGISSF
+QQSNSSGTYGPQMSQYGPQGNYSRPPTYSGVPSASYSGPGPSMGVNANSQMHGQGPSQPC
+GAMPLGRVPSAGMQNRPFPGNMSSMTPSSPGMSQQGGPGMGPPMPTVNRKAQEAAAAVMQ
+AAANSAQSRPPYIRSPAYPSQSGAGGRPMFSSQHPNYGNSQAPMMHQPDQYGQGGFPGMN
+QSGLMASSSPYSQPMNNSSGLMNTQAPPYSMTPNMVNSSTASMGLADMMSPSESKLPLPL
+KADGKEEGSTQPEGKSKDSYSSQGISQPPTPGNLPVPSPMSPSSASISSFHGDESDSISS
+PGWPKTPSSPKSSSSTTTGEKITKVYELGNEPERKLWVDRYLTFMEERGSPVSSLPAVGK
+KPLDLFRLYVCVKEIGGLAQVNKNKKWRELATNLNVGTSSSAASSLKKQYIQYLFAFECK
+IERGEEPPPEVFSTGDTKKQPKLQPPSPANSGSLQGPQTPQSTGSNSMAEVPGDLKPPTP
+ASTPHGQMTPMQGGRSSTVSVHDPFSDASESSFPKRNSMTPSTPYQQGMSMPDVMGRMPY
+EPNKDPFGGMRKVPGSSEPFMTQGQMPTSSMQDMYNQSPSGAMSSLGMGPRQQFPYGTSY
+DRRHEPYGQQYPGQGPPSGQPSYGGHQPGLYPQQTNYKRHMDGMYGPPAKRHEGDMYNMQ
+YGSQQQEMYNQYGSSYSGPDRRPLQGQYPYPYNRERMQGPGQIQPHAIPPQMMGGPMQSS
+SNEGPQQSMWATRNDMPYPYQNRQGPGGPTQAPPYPGINRTDDMMVPDQRINHESQWPSH
+VSQRQPYMSSSASMQPITRPPQSSYQTPPSLPNHISRAPSPASFQRSLESRMSPSKSPFL
+PSMKMQKVMPTVPTSQVTGPPPQPPPIRREITFPPGSVEASQPVLKQRRKITSKDIVTPE
+AWRVMMSLKSGLLAESTWALDTINILLYDDSTVATFNLSQLSGFLELLVEYFRKCLIDIF
+GILTEYEVGDPSQKALDHNAAKRDDSQSLADDSGREEEDAECIEEEEDEEDEEEEDSGRA
+ETEDRSSAAVASPDATTDLKEKPRQASKFDKLPIKIVKKNNLFVVDRSDKLGRVQEFSSG
+LLHWQLGGGDTTEHIQTHFESKMEIPPRRRPPPTLSSTGRKKDQEGKGDSDEHQEKSIIA
+TIDDVLSARPGALPEDANPGTQTESSKFPFGIHQARSHRNIKLLEDEPRSRDEAPLCTIA
+HWQDSLAKRCICVSNIVRSLSFVPGNDAEMSKHPGLVLILGKLILLHHEHPERKRAPQTY
+EKEEDEDKGVACSKDEWWWDCLEVLRDNTLVTLANISGQLDLSAYTESICLPILDGLLHW
+MVCPSAEAQDPFPTVGPNSVLSPQRLVLETLCKLSIQDNNVDLILATPPFSRQEKFYATL
+VRYVGDRKNPVCREMSMALLSNLAQGDALAARAIAVQKGSIGNLISFLEDGVTMAQYQQS
+QHNLMHMQPPPLEPPSVDMMCRAAKALLAMARVDENRSEFLLHEGRLLDISISAVLNSLV
+ASVICDVLFQIGQL
+>tr|A0A3Q1M8S5|A0A3Q1M8S5_BOVIN LRAT domain-containing protein OS=Bos taurus OX=9913 GN=HRASLS5 PE=4 SV=1
+MGLSPAARGEGGRRLPRFPRSRVRPALRTASTGPNGTQPAPGLPTRPRSGRGGRGASPHR
+AATSGPGAFRGRSPGPSRPSDLQKEESVGSQALDQLLPKKPQQGTIEQGRSSVQQGCSCS
+PNRISGVPWAHEDKPKPRPGDLIEIFRIGYEHWAIYVEDDCVVHLAPPSEDFEAGSITSV
+FSNRAVVKYSHLEDVLHGCSWKVNNKLDGTYLPLPVDKIIQRTKKMINKIVQYSLIEGNC
+EHFVNDLRYGVPRSQQVEHVLMEGAKAAGAVLSAVVDSIRPKPETA
+>tr|A0A3Q1LV65|A0A3Q1LV65_BOVIN Metadherin OS=Bos taurus OX=9913 GN=MTDH PE=1 SV=1
+MATRSWLDELAQEAEDGSARLQEMLSVGLGFLRTELGLDLGLEPKRYPGWVILVGTGALG
+LLLLFLLGYGWAAACAGARKKRRNPPRKREEAAAAAPAPAADDQGVLKNLRSEEQKKKNR
+KKLPEKPKPNGRTIEVAEEEVVRTPRSVTAKQPIETDKKNEKSKKNKKKSKSDAKAVQNS
+SRHDGKEVDEGAWETKISHREKRQQRKRDKVQSDSGSLDSTIPGIENTITVTTEQVTTAS
+FPVGSKKNKGDSHLNVQVSNFKSGKGDSTLQVSPGLNENLTINGGGWNEKSMKLSSQISA
+GEEKWNSVSPAPAGKRKTEPSAWGQDTGDANANGKDWGRSWNDRSIFSGIDGLSSADPSS
+DWNAPAEEWGNWVDEERASLLKSQEPIPDDQKVSDDDKEKGEGALPTGKSKKKKKKKKKQ
+GEDNALAQDTEELEKEIREELPVNTSKVRPKQEKAFSLKTISTSDPADALIKNSQPIKTL
+PPAISTEPSVILSKNDSDKSSSQVPPMLQETDKSKSNTKQNSVPPSQTKSETSWESPKQI
+KKKKKARRET
+>tr|A0A3Q1NEE9|A0A3Q1NEE9_BOVIN Vomeronasal type-1 receptor OS=Bos taurus OX=9913 PE=3 SV=1
+MSQIYINHPALRHHCCHHLKGSILNNTTASSQLAIGIIFLLQTVLGIWGNISLLYRYLFL
+YHTQSRMRVTALICKHLAIANILVILSKGVPQTITTLRLKHFASDFACKLIWYVERVGRS
+MSIGTTCLLSVFQTITISPINSYWKNLKVKAPKYIAFSISFCWIQCMLVHLIFPLYALYV
+SDKRCSTNMRNTRGSAYCSDTDLENISGSIYVALIVFPEISFSVLIFWASGSMILTLYRH
+SQRVQYIHKAIVSPRSSAESRATQSILLLASTFMCFHTLSCIFNITLALYHNPDWWLVYT
+NSLISVCFPFISPFLLMSHDSIVSSLCFLYMKNSVLHNLIRKM
+>tr|A0A3Q1M5Q8|A0A3Q1M5Q8_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MQIARRIARRDKKAFLSHQCKEIEENNRMGKTRDLFKKIRDTKGTFHAKIGSIKDRNGMD
+LTEAEDIKKRWQEYTEELYKKDLHDPDNHDGVITDLEPDILECEVKWALESITTNIASGG
+DGIPVELFQILEDDAVKVPHSICQQIWKTQQWPQDWKTSVFIPIPKKGNAKERSNYRTIA
+LISHASKVMLKILQARLQQYVNRELPNVQAGFRKGRGTRDQIANIRWIIEKARDFQKNIY
+FCFIDYAKAFDCVDHNKLWKILKEMGIPDHLTCLLRNLYAGQEATVRTGHGTTDWFQIRK
+GVCQGCILSPCLFNLYAEYIMRNAGLEEAQAGIKIARRNLNNLRYADDTTLMAESEEELK
+SLLMKVKEESEKFGLKLNIQKTKIMASGPITSWQVDGETVETVSHFILGGSKITADGDYS
+HEMKRHLL
+>tr|A0A3Q1MJ44|A0A3Q1MJ44_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MNHTGFRVTSTTLGPWANSTIHLTKLPETGTSLLCEGRNPEGTHALTILLKSGDGGGAPC
+GWGRGGGPWGLGRQLGGPRGEPLLMSSLPPGRSPLVAQTFMKGLIRGVFYGAIGVTLLFL
+CLVPLIAKHIRMKQAKKIAAMKAEKSPKRARQGLETSLRLKEPGKSRVTPSPEQQILVGT
+PCVWNLAYQNNDHKTHEERHIQCVKAALIPSSVLQDF
+>tr|A0A3Q1LLE1|A0A3Q1LLE1_BOVIN Pre-mRNA processing factor 40 homolog B OS=Bos taurus OX=9913 GN=PRPF40B PE=4 SV=1
+MSICFSRASLLLSRTWRDFWKYSFWLLSSLVISIFRCLYLASRLLFSLCWRIKALLRFST
+TADMYLMALTSPWLNMVESRSSCNMDFTSETFTQMPPPGIPPPFPPMGLPPMSQRPPAIP
+PMPPGIMPPMLPPMGAPPPLTQIPGMVPPMMPGMLMPAVPVTAATAPGADTASSAVAGTG
+PPRALWSEHVAPDGRIYYYNADDKQSVWEKPSVLKSKAELLLSQCPWKEYKSDTGKPYYY
+NNQSKESRWTRPKDLDDLEALVKQEAAGKQQQPQALQPQPPQPQPDPPPVPPGPTLLPTG
+LLEPEPGGSEDCAVSEAAQPLEQGFLQQPEEGPSSSAGQHQPPQQEEEESKPEPERSGLS
+WSNREKAKQAFKELLRDKAVPSNASWEQAMKMVVTDPRYSALPKLSEKKQAFNAYKAQRE
+KEEKEEARLRAKEAKQTLQHFLEQHERMTSTTRYRRAEQTFGELEVWAVVPERDRKEVYD
+DVLFFLAKKEKEQAKQLRRRNIQALKSILDGMSSVNFQTTWSQAQQYLMDNPSFAQDHQL
+QNMDKEDALICFEEHIRALEREEEEERERARLRERRQQRKNREAFQTFLDELHETGQLHS
+MSTWMELYPAVSTDIRFANMLGQPGSTPLDLFKFYVEELKARFHDEKKIIKDILKDRGFC
+VEVNTAFEDFAHVISFDKRAAALDAGNIKLTFNSLLEKAEAREREREKEEARRLRRREAA
+FRSMLRQAVPALELGTAWEEVRERFVCDSAFEQITLESERIRLFREFLQVLETECQHLHS
+KGRKHGRKGKKHHRKRSHSPSGSESGDEELPPPSLRPPKRRRRNPSESGSEPSSSLDSVE
+SGGAALGGRGSPSSRLLLGSDHGLRKAKKPKKKTKKRRHKSNSPESETDPEEKAAKESDE
+KEPEQDKDRDLRRAELPNRSPAFGVKKEKTGWDTSESELSEGELERRRRTLLQQLDDHQ
+>tr|G3MXK7|G3MXK7_BOVIN Ferritin OS=Bos taurus OX=9913 PE=3 SV=2
+AFHLNLFSGLLSEECRDALNQVASYELHISDAYLSMACYYIEDPEAPTFHTFFQDQADVK
+REHAKQFIKYLRKYKCKICLPVIKRPDIDNWGTGKQALLSALQLENELNKLLQDLKASAS
+RNRETNLLRFMKKFLDEQTRNIKYLEYQLNYQKELEMSTQSEGQPENAPGTSAAAGQETE
+STGNSPSPPAQKVPKSSP
+>tr|A0A3Q1LWB8|A0A3Q1LWB8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=ARAP1 PE=4 SV=1
+MAEAGDGALSVAEWLRALHLEQYTRLFEQHGLVWATDCQGLSDTRLVDMGMVLPGHRRRI
+LAGLLRAHTPPAPAPRLAPRPVPMKRHVFRSPPTPTTPPEPLPAAGEDEGLPTAPPIPPR
+KSCLPPTCFSAPSTVAPDPVVPPLPAKRHLTELSLPPVPPRTGHPRPLVSLPSKEEEVLS
+PPSPPPPQLEPAEPLATFPRGPPQPLSAPCSPPEVPPKPHRLPPEFDDSDYDEVPEEGPR
+APAAVMTKKEEPPASQVPRAVRVASLLSEGEDLSGDDQGDEDEDDYAYERVPNGDWHTSS
+LSSSLPSSLLTPELPPHPMDGLPVGPTPTPLVIKAGWLDKNPPQGSYIYQKRWVRLDANH
+LRYFDSNKDAYSKRFVPVACISRVAAIGDQKFEVITNNRTFAFRAESDAERKEWMQALQQ
+AVAEQRARARVSSAYPLGVQGSEPHDHAGSLELRGFKNKLYVAVVGDKVELYKNLEEYRL
+GIGITFIDMSVGNVKEAADRRSFDLTTPYRIFSFSAESELEKEQWLEAMQGAIAEALSTS
+EVAERIWAMAPNRFCADCGAAQPDWASINLCVVICKRCAGEHRGLGAGISKVRSLKMDRK
+VWTETLIELFLQLGNAAGNHFWAANVPPSEALQPNSSPSARRCHLEAKYREGKYRRYHPL
+FGNQEELDKALCAAVTTSDLAETQALLGCGAGVNCFSGDPKAPTPLALAEQAGQTLQMEF
+LRNNRTTEAPRLDSVRPLEKHYSVILPTVSHSSFVYKTASAGKLLQDRRAREEFSRRWCV
+LSDGVLSYYENERAVTPNGEIRASEIVCLAVPTPDTHGFEHTFEVYTEGERLYLFGLETA
+EQAREWIKCIAKAFVPPLAEDLLARDFERLGRLPYKAGLSLQQAQEGWFALTGSELYAVF
+PEGPCEEPLQLRKLQELSVQGDSENQVLVLVERRRTLYIQGERRLDFMGWLGAIQKAAAS
+SGDTLSEQQLGDSDIPVIVYRCVDYITQYGLTSEGIYRKCGQTSKTLRLLESLRLDARSV
+RLKEGEQHVDDVSSALKRFLRDLPDGLFTRVQRLAWLEASEIEDEEEKVSRYRELLARLP
+PVNRATVKALISHLYCVQCFSDTNQMNTHNLAIVFGPTLFQTDGQDYKAGRVVEDLISHY
+VVVFSVDEEELRKQREEVTAIVKMRVAGTASGTQHAGDFICTVYLEEKKAEAEQHVKIPA
+SMTAEELTLEILDRRNVGIREKDYWTCFEVNEREEAERPLHFAEKVLPILHGLGTDSYLV
+VKKHQSMEAMLLYLASHVGETKHGMMKFREDRSLLGLGLPTGGFHDRYFILNSSCLRLYK
+EVRSQRPWSGAPETSHRPEKEWPVRSLKVYLGVKKKLRPPTCWGFTVIHETEKHEKQQWY
+LCCETQMELREWLATFLFVQHDGLVWPSEPSRVSRAAPEVRLGSISLIPLRGSENEMRRS
+VAAFSADPLSLLRNV
+>tr|A0A3Q1LQK4|A0A3Q1LQK4_BOVIN Phosphatidylinositol 3-kinase catalytic subunit type 3 OS=Bos taurus OX=9913 GN=PIK3C3 PE=3 SV=1
+MGEAEKFHYVYSCDLDINVQLKIGSLEGKREQKSYKAVLEDPMLKFSGLYQETCSDLYVT
+CQVFAEGKPLALPVRTSYKAFSTRWNWNEWLKLPVKYPDLPRNAQVALTIWDVYGPGKAV
+PVGGTTVSLFGKYGMFRQGMHDLKVWPNVEADGSEPTKTPGRTSSTLSEDQMSRLAKLTK
+AHRQGHMVKVDWLDRLTFREIEMINESEKRSSNFMYLMVEFRCVKCDDKEYGIVYYEKDG
+DESSPILTSFEIVKVPDPQMSMENLVESKHHKLARSLRSGPSDHDLKPNAATRDQLNIIV
+SYPPTKQLTYEEQDLVWKFRYYLTNQEKALTKFLKCVNWDLPQEAKQALELLGRWKPMDV
+EDSLELLSSQYTNPTVRRYAVARLRQADDEDLLMYLLQLVQALKYENFDDIKNGLEPTKK
+DSQGSVSESVSNSGINSAEIDSSQIITSPLPPVSSPPSASKTKESSDGENLEQDLCTFLI
+SRACKNSTLANYLYWYVIVECEDQDTQQRDPKTHEMYLNVMRRFSQALLKGDKSVRVMRS
+LLAAQQTFVDRLVHLMKAVQRESGNRKKKNERLQALLGDNEKMNLSDVELIPLPLEPQVK
+IRGIIPETATLFKSALMPAQLFFKTEDGGKYPVIFKHGDDLRQDQLILQIISLMDKLLRK
+ENLDLKLTPYKVLATSTKHGFMQFIQSVPVAEVLDTEGSIQNFFRKYAPSENGPNGISAE
+VMDTYVKSCAGYCVITYILGVGDRHLDNLLLTKTGKLFHIDFGYILGRDPKPLPPPMKLN
+KEMVEGMGGTQSEQYQEFRKQCYTAFLHLRRYSNLILNLFSLMVDANIPDIALEPDKTVK
+KVQDKFRLDLSDEEAVHYMQSLIDESVHALFAAVVEQIHNWRKVRAATKTHTAKK
+>tr|A0A3Q1LUY8|A0A3Q1LUY8_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MASAHIWTLGILFTLSGLRAQSVTQPEAEVPVAEGDPVTVKCTYSVSGSPYLFWYVQHRN
+LGLQFLLKYISGDTLVKGNYGFEAEFNKSQTSFHLKKPSVVGSDSALYFCAVRDTVVGAA
+GRAEYKPLSTENHAPEVLVSLHKVAVWL
+>tr|A0A3Q1LWS1|A0A3Q1LWS1_BOVIN Solute carrier family 37 member 1 OS=Bos taurus OX=9913 GN=SLC37A1 PE=4 SV=1
+LSRKPISIVKGELHSYCTASNDGKVGFSSQSQKARDALAHQLPDNGTDCGWAPFDQDNYQ
+QLLGALDYSFLCAYAIGMYLSGIIGERLPIRCYLTFGMLASGAFTALFGVGYFYNIHSFG
+FYVITQVRSGPWLTTRWVSTMSWMGRGLIMGVWNSHTSVGNILGSLIAGYWVSTCWGLSF
+IVPGAIVAAMGILCFLFLIERKYHGWCPSPGWVVHPRGYENGAHRFWPQKPVPAEERSKP
+LDPEMQRLLLSDGKHSGHQNHVVVLPADGGGGMAAISFTGALKIPGVIEFSLCLLFAKLV
+SYTFLFWLPLYITNVDHLDVKKAGELSTLFDVGGIFGGILAGVISDRLEKRASTCGLMLL
+LAAPTLYAFSSISRMGLEATIAMLLLSGALVSGPYALITTAVSADLGTHKSLKGNSHALA
+TVTAIIDGTGSVGAALGPLLAGLISPSGWNNVFYMLMFADACALLFLVRLIHKELSCPGS
+ATGNQVP
+>tr|F1MG06|F1MG06_BOVIN Regulatory associated protein of MTOR complex 1 OS=Bos taurus OX=9913 GN=RPTOR PE=4 SV=3
+MESEMLQSPLLGLGEEDEADLTDWNLPLAFMKKRHCEKIEGSKSLAQSWRMKDRMKTVSV
+ALVLCLNVGVDPPDVVKTTPCARLECWIDPLSMGPQKALETIGANLQKQYENWQPRARYK
+QSLDPTVDEVKKLCTSLRRNAKEERVLFHYNGHGVPRPTVNGEIWVFNKNYTQYIPLSIY
+DLQTWMGSPSIFVYDCSNAGLIVKSFKQFALQREQELEVAAINPNHPLAQMPLPPSMKNC
+IQLAACEANELLPMIPDLPADLFTSCLTTPIKIALRWFCMQKCVSLVPGVTLDLIEKIPG
+RLNDRRTPLGELNWIFTAITDTIAWNVLPRDLFQKLFRQDLLVASLFRNFLLAERIMRSY
+NCTPVSSPRLPPTYMHAMWQAWDLAVDICLSQLPTIIEEGTAFRHSPFFAEQLTAFQVWL
+TMGVENRNPPEQLPIVLQVLLSQVHRLRALDLLGRFLDLGPWAVSLALSVGIFPYVLKLL
+QSSARELRPLLVFIWAKILAVDSSCQADLVKDNGHKYFLSVLADPYMPAEHRTMTAFILA
+VIVNSYNTGQEACLQGNLIAICLEQLNDPHPLLRQWVAICLGRIWQNFDSARWCGVRDSA
+HEKLCSLLSDPIPEVRCAAVFALGTFVGNSAERTDHSTTIDHNVAMMLAQLINDGSPVVR
+KELVVALSHLVVQYESNFCTVALQFMEEEKNYPLPSPATTEGGSLTPVRDSPCTPRLRSV
+SSYGNIRAVTTARNLNKSLQNLSLTEETGGSVAFSPGNLSTSSSASSTLGSPENEEYILS
+FETIDKMRRVSSYSSLNSLIGVSFNSVYTQIWRVLLHLAADPYPDVSDLAMKVLNSIAYK
+ATVNARPQRILTTSSLTQSAPASPTNKGIHIHQAGGSPPTSSASSSSLTNDVAKQPVSRD
+LPPGRPGTTGPVGVQYTPHSHQFPRTRKMFDKGPDQTTDDPDDTAGHKSFISATMQTGFC
+DWSARYFAQPVMKIPEEHDLESQIRKEREWRFLRNTRVRKQAQQLIQKGITRLDDQIFLN
+RNPGVPSVVKFHPFTPCVAVADKDSICFWDWEKGEKLDYFHNGNPRYTRVTAMEYLNGQD
+CSLLLTATDDGAIRVWKNFADLEKNPEMVTAWQGLSDMLPTTRGAGMVVDWEQETGLLMS
+SGDVRIIRIWDTDREMKVQDIPTGADSCVTSLSCDSHRSLIVAGLGDGSIRVYDRRMALS
+ECRVMTYREHTAWVVKAYLQKHPEGHIMSVSVNGDVRFFDPRLPESVNVMQIVKGLTALD
+IHPQANLIACGSMNQFTAIYNGSGELINHIKYYDGFMGQRVGAISCLAFHPHWPHLAVGS
+NDYYISVYSVEKRVR
+>tr|A0A3Q1M114|A0A3Q1M114_BOVIN Platelet-derived growth factor subunit A OS=Bos taurus OX=9913 GN=PDGFA PE=3 SV=1
+APGPGLGVRRPSCKAPARAGWETRRSGQPRALTVLLCLQEAEIPREVIERLAHSQIHSIR
+DLQRLLEIDSVGAEEPLETSLRAHGGHGAKHALEKRPVPIRRKRSIEEAIPAVCKTRTVI
+YEIPRSQVDPTSANFLIWPPCVEVKRCTGCCNTSSVKCQPSRVHHRNVKVAKVEYFRKKA
+KLKEVQVRLEEHLECTCTSASPSPDHREEEAGESQPGGGAGGGAGGGACGLWEGRGAGPA
+PVALGGGGGMGGGGGALWLRPAVTVRSLRGPCDPGPAVAGGVLPPRASRGHGHAPGGRGQ
+AAVGSRLPPRPER
+>tr|A0A3Q1LHT7|A0A3Q1LHT7_BOVIN Ribosome binding protein 1 OS=Bos taurus OX=9913 GN=RRBP1 PE=1 SV=1
+MDIYDTQTLGVVVFGGFMVVSAIGIFLVSTFSMKETSYEEALANQRKEMAKTNHQKVEKK
+KKEKTVEKKGKTKKKEEKPNGKIPDHEPTPGVTILPKDPVWAPAVAVAPTSVQSPVVTAP
+VATVSAMPQEKLASSPKDKKKKEKKVAKVEPAVSSVVNSIQVLASKAAILEAAPKEVPMV
+VVSPVGAKGSAPATSSTQGKKGEGAQNQGKKGEGAQNQGKKGEGAQNQGKKGEGAQNQGK
+KGEGAQNQGKKAEGAQNQGKKAEGAQNQGKKAEGAQNQGKKAEGAQNQGKKAEGAQNQGK
+KSEGAQNQGKKSEGAQNQGKKAEGAQNQGKKVEGAQNQGKKAEGAQNQGKKVEGAQNQGK
+KAEGAQNQGKKSEGAQNQGKKGEGAQNQGKKAEGAQNQGKKSEGAQNQGKKSEGTPNQSK
+KVEGAQNQGKKTEGAQNQGKKAEGAQNQGKKAEGAQNQGKKAEGAQNQGKKAEGAQNQGK
+KSEGAQNQGKKAEGTPNQGKKAEGAQNQGKKVEGAQNQGKKAEGNQNQGKKSEGTPNQGK
+KAEGGQNQGKKSEGAQNQGRKAEGAQNQGKKAEGAQNQGKKAEGNQNQGKKAEGNQNQGR
+KEQSTLDQGKMVEVVQNQSKKADGTPNQGKKAEGAQNQGRKAEGTPNQGKKAEGAQNQGK
+KAEGAQNQGKKAEGAQNQGRKVEAGQNQGKKADSVANQGTKVEGIANQGKKADGTPNQGK
+KAEGTSNQGKKSEGSPNQGKKVDASANQGKKAESAPTQGKNADMVQSQEAPKQEAPAKKK
+SGSKKKGEPGPPDANGTLHLPYKTLVSAVGSMVLSEGEAQRLMEILADKAGIVQDTWHKA
+TQKGDPVVILKRQLEEKEKLLATEQEDAAVAKSKLRELNKELAAEKAKAAAGEAKVKKQL
+VAREQEITAVQARMQASYREHVKEVQQLQGKIRTLQEQLENGPSAQLARLQQENSILRDA
+LNQATSQVESKQNTELAKLRQELGKVSKELVEKSEAVRQEEQQRKALEAKAAAVEKQVLQ
+LQASHKESEEALQKRLDEVSRELCRAQSSHASLRADAEKAREQQQQMAELHGKLQSSEAE
+VRGKCEELRGLHGQLDEARAQNSQLTERIRSIEALLEASQAQDAQASRVEADQQQARLME
+LESQVWCLEKEATELREAVEQQKAKNNDLREKNWKAMEALASAEKSCEEKLRSLTQAKEE
+SEKQLSLTEAQTKEALLALLPGLPTSTNQSYAEWLQELKENACLELSKRPQASPEPSDLA
+AKLREAEETQSGLQAECDQYRSILAETEAMLKALQKSVEEEEQVWKARVSAAEEELQESR
+VTVKHLEEVVEKLKGELESSDQVREHTWRLEAELEKHVAAASAECQSYAQEVAGLRQLLL
+ESQSQLDAAKSEAQKQSDELALVRQQLSEMKSHVEDGDVAEAPRAEQDPAELKTQLERTE
+ALLGDEQTRRQKLTAEFEEAQLVASQLQAELEKLRCTSALGSSEVEEAAQLKERLEKEKK
+LTGDLGRAATKLQELLKTTQEQLAKEKDTVQKLQERLDRPEDGSSKEGTSV
+>tr|F1MWY0|F1MWY0_BOVIN Neutral sphingomyelinase activation associated factor OS=Bos taurus OX=9913 GN=NSMAF PE=4 SV=3
+MAFTRKRQREQQLQLYSRERFSLLLLNLEEYYFEQHRAHHIQHKGSQKERKIRGSLKICS
+KSVIFEPDAISQPIIKIPLRDCIKIGRHGENEANRHFAKYVTVYFIKEHNIVAPYKIERG
+MMEYVFELDVSGKVEDVVETLLQLHRASCLDKLGDQTAMITAILQSRLARTSFDKNRFQS
+VSEKLHMECKAEMVTPLVTNPGHACVTDTTLYFQPLNGYPKPVVQIMLQDIRRIYKRRHG
+LMPLGLEVFCTEDDLCSDIYLKFYERQDRDDLYFYIATYLEHHVPERTAEGYTLQWQRGL
+LSNYQYLLHLNSLADRSCSDLSQYPVFPWVIGDYSSSELDLSNPETFRDLSKPVGALNQE
+RLERLLTRYQEMPEPRFMYGSHYSSPGYVLFYLVRIAPEYMLCLQNGRFDNADRMFNSIA
+ETWKNCLDGATDFKELIPEFYGDDVSFLVNSLKLDLGKRQGGQLVNDVELPLGHGVSPED
+FLRKSRAALESEYVSEHLHEWIDLVFGYKQQGQDAVGAHNVFHPLTYEGGVDLNSIEDPD
+EKVAMLTQILEFGQTPRQLFVTPHPRRITPKFKSVSQPSSYNASTADSPVSPGEESFEDL
+TEESRTLAWSNIARLEPQEQHRIHKEMLQRSISFSNMALSSCLLLPGDATVISSSWDNNV
+YFYSIAFGRRQDTLMGHDDAVSKICWHDNRLYSASWDSTVKVWSGVPAEMPCARKQHFEL
+LAELEHDVSVDTISLNAAGTLLASGTKEGTLTIWDLTTATLLHQIPCHEGTVGDTAFSPD
+SRHVLSTGADSRLNVIDVQTGMLISSVTADEPQRCFLWDGNSVVSGSQSGELLVWDLLGG
+RVSKRIQGHAGAVTCMWMNEQCSSVITGGEDRQIMFWKLQY
+>tr|F1MFR8|F1MFR8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=2
+MRRKRRKAYKKWKLLNPTVCEPQAADQACQKNIKDLEKEVKGDTAGALFHQTGALGKSQE
+SGDDHTSAATSRKQKSPFFPKDKKQLPSESKIIKEKERDEPPSFLSDQSDKVLPAVTFND
+CKEPLSEKIALRGFPNTSEALQPSKGKTVTDVRCGVVHVQVAIKNSCFCQTGIRLPTKKT
+CSRWYPCYSVRHSQRSDTSRSCSLKCKNLSVEEGQPKASSKSEGKIARTESPSLKVSIVG
+KGIKVKYVSKKENVLINITCPPKSLKIAKNRNIASSHSAEEGPESSAQSDTGCPEKWQLE
+DKRHSSGCLHVSPPTVFGLQKEENFDTVVVSLCSSPDSEKNKPDTVPSVSDDCAVESAIF
+QQKNCAVSKNTPQKKRVSEAETLLTNILPTSRSTLKTTSLSDTDLSKTPFEDPTDVNRDR
+KIISDKPEKITSDPDTQPSPDGINYLPSSITDIFPVQDDKGSDFGSSSLSVQFVGEKASN
+IYCKGSKIPPADCYENSDSLSFPSIQNVLKSSTSFSPSPWAAGHSEPKETPGDPFSFNHC
+AEVLKDYGEGLTDVTERDFENTTLHSDPHTKAQLLEVKGTPHPPLSLTSSGNLSAGQPLG
+SSSCITAEWGQTGSWASHPDTAVPSKTKAPVMTLIADELDQRLIIQGDKEDTVYSNYSMG
+MKSPKEPPSSLGNVEKDKFHIIMPTAKNACYRDFEALAKENHDKVCFQMNFPDSAEFSCA
+MCATDNLFLMEKTLTTDDADQYGNQDEQSFGLTTCKQEPAGCQRTASTKPNPEENKNDPK
+ETYDHQIDILFSPQKQKALKDGNLETSSLRKLSQELAPKGKRASDGSQEEALEQWARRRQ
+QFRDGKRASSAGGSSLASNVTEGSVTSEDGCSVDLGFRVSIEEKGFYTENFHSAAWVFRG
+DDGNPEDSPRCLTKKPRPVAVRERTVKLVKGTGDYPWGFRIQYSKPIVVTEVDANSAAEE
+AGLQVGDVVLAVNGTEVTSVEHAEAVHLARKGPDLLTLVVGSDISRCPNTPRPACRGYLH
+KRTHSGFMKGWRKRWFVLKNDGCLQYYRHKKDEGKCSPLEVIKLEGAEVGIDSSLGKPFV
+FNCVPQSGNRTFCLCATSNQEFKRWLEAMDKAAHPVHQNHVWEGVTLHNSSLPPLAIKNP
+ECLGLLHRLDGSTDVWMQHYCILKDGCLYFYASIRSTQASGGLYLQGYRVNEQTLSVNQS
+VIELKPPSEEFKTFYFCAENKTENQRWITALKMSIKKWLPLHQAIQDFMNRPLEETRM
+>tr|A0A3Q1MB25|A0A3Q1MB25_BOVIN Major facilitator superfamily domain-containing protein 10 OS=Bos taurus OX=9913 GN=MFSD10 PE=4 SV=1
+MGCGAGGSCTPRPPIRQQQAPETRVVAVVFLGLLLDLLAFTLLLPLLPGLLESHGRAHDP
+LYGSWQRGVDWFAAAIGMPAEKRYNSVLFGGLIGSVFSLLQFLSAPLTGALSDCLGRRPG
+MLLSLAGVATSYAVWAASKSFAAFLASRVIGGISKGNVSLCTAIVADLGSPSARSKGMAV
+IGVAFSLGFTLGPTLGAFLPSETVPWLALLFAVSDLLFIWCFLPETLPPEKRAPSVTLGF
+RAAADLLSPLALLRFSAVARGPDPPTGVSRLGGLGSLRGLGLVYFLYLFLFSGLEFTLSF
+LVHQRFRFSRVEQGKMFFFIGLTMATIQGAYARRIRPGREIAAVKQAILLLIPASLFVGW
+GHTLPILGLGLLLYSWAAAVVVPCLSSVVAGYGSPGQKGTVMGTLRSLGALARAVGPVVA
+ASAYWLAGARVCYTVCAALFLLPFSILRTLSPPARTLKAE
+>tr|A0A3Q1NA02|A0A3Q1NA02_BOVIN G_PROTEIN_RECEP_F1_2 domain-containing protein OS=Bos taurus OX=9913 GN=LOC100336507 PE=3 SV=1
+TLEDSLYFLVSNQRIMSSASPSAAAVQLCYEHLNGSCVKTPYSPGFRLILYTVFIFGAVL
+AVFGNLLVMISILHFKQLHSPANFLITSLACADFLVGVTVMPFSTVRSVESCWYFGQRYC
+QLHSCFEGSFCYASIYHLCFISLDRYIAVTDPLVYPTKFTVSVSGMCIVFSWLFPIIYTF
+SLLGTGANAAGLEDLVSALTCVGGCQIAVNQSWVLVNFLLFFIPTLVMIVLYSKIFLIAK
+QQARKIENLINKTGRCSDSFQDRVAKRERKAARTLGVAVLAFLVSWLPYFLDSIIDAFLG
+FITPTYVYEILVWIAYYNSAMNPLIYAFFYPWFQKAIKLIITGKVLRENSSTINLFSE
+>tr|E1BJV2|E1BJV2_BOVIN Chromosome 22 C3orf20 homolog OS=Bos taurus OX=9913 GN=C22H3orf20 PE=4 SV=2
+MNEIKSNRELYLQYTATAPKLLAHISRLLISCRNAGVSVPKGIRNIFEFTWEELISDPMI
+PTPSDILGLEISIGAPPVMLMESAPVQTPVQKKPPPPALPLPMLPASTGLAKFTHSPTHG
+RRRQSSQEILHRFQRQSIHLLTELLSLKMKAMLESVSVGANPLDITKRFVEASQLLHLNA
+KEMAFDFLIGTIGRNSGSAGQTGKDSFTNIPAMGVNSPYQLVYEASTGCLSFSLSTGREF
+KKKTGKPKNTDDALASPQRGAGAGTSASEVIELNDPCPEAREKLQEMCRIIEAERVSWKG
+RNIFYPIIIRNYRAKMPPHLMSTPKGDAQTQSSHHPHPPGAQTYAPAPHQPPAHHLHSGR
+HSLEWKGPRKYPSVRAFLPIPTCCRGRAITCLFNDTPSFSFLALFNAEGQGCVHYNLKAR
+CPYVLVLDEEGGITNDQKGYVVHKWSWTSKTETLLSLEYKVNEQMKLTVLGQDAMMVTFT
+SMNETVTLPISASNCPHGISHDKRITRRISIMDEKISKMSRALAEIKRRFQKTVSQFMNS
+ILLAAGLFTIKYPVKEEAEVNRTRLKSGLFPERSTKLSLYSGDMLLLRSQSARPESLIEV
+ASKEEPESAPVSPTRKKPSKFHTKAMAPRRGKAREVRSPTRWAASLSDCPLVLRKLISKE
+DIRAGCRCLVRAPLVSDVELERFLSAPRDPSQVLVFGVVSGQNPTSSGQLQWLLDMLYSH
+RQQGRASPCIQCRHDPYRLLCYDLDSPLQRDPPLLVKKYAVMQGMILMFAGGKLLFGGCV
+LNGYGFSRQNLLKQIFQARQDCKMGYFLPENYKFRGGQDLGLHYPLEKPPNRWMSG
+>tr|A0A3Q1M5U1|A0A3Q1M5U1_BOVIN Metastasis associated 1 OS=Bos taurus OX=9913 GN=MTA1 PE=4 SV=1
+MALTANLHVHFDYVYFENSSSNPYLIRRIEELNKTASGNVEAKVVCFYRRRDISSSLIAL
+ADKHATLSVCYKTGSGADTGEEGEIEEEMENPEMVDLPEKLKHQLRHRELFLSRQLESLP
+ATHIRYPRLAVVPCADSPACAAFQDFFFYSLVYDPQQKTLLADKGEIRVGNRYQADITDL
+LKEGGWGAAGPAPPAPVAGPSTLP
+>tr|A0A3Q1LPL3|A0A3Q1LPL3_BOVIN KRAB domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+SIKGSTSTFRDVAIHFSQQEWECLNPVQKNLYQDVMMENYDNLVSLAGHSISKPDVITLL
+EQGKEPWMVVREETRRWCAGQYKQVKLWGHIHVSKSPVVKETHI
+>tr|A0A3Q1LYN0|A0A3Q1LYN0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MSYHLHPHHPHPHPHQHQHQHHHQCKEPCHPPPIVCPPKCHEPCPLHPCPPPVSQKKCPP
+GPPRPPWDQKCPLKWK
+>tr|F1N1S4|F1N1S4_BOVIN Transmembrane protein 26 OS=Bos taurus OX=9913 GN=TMEM26 PE=4 SV=3
+MEGLVLLNALATRLLFVLHSLVGVWRVTVVKKEPWYWLLALLNLLLFLETALTLKFKRGR
+GYKWFSPAIFLYLISIVPSLWLLEMHHETQYCSSQFGGLSQNTSRKENFNQTLLSAEHTN
+RADDLIATAKVFVNNLSTVCEKVWTLGLHQTFLLMLIIGRWLLPIGSGITRDQLSQLLLM
+FVGTAADILEFTSETLEEENVRNSPALVYSILVIWTWSMLQFPLDLAVQNVVCPVSMTTR
+GFSSRFFCQYSADLWNIGISIFIQDGPFLVVRLILMTYFEVINQMLVFFAAKNFLVVVLQ
+FYRLVVLALDVRASLRSQRERLKGEHRCPDVPAECGVSPEAWPGSSMEALAIPLQASPVT
+SDDSHPTP
+>tr|A0A3Q1M615|A0A3Q1M615_BOVIN DEP domain containing 7 OS=Bos taurus OX=9913 GN=DEPDC7 PE=4 SV=1
+MDFPSGSGDVGSVPGSGRFPGEGNGNPLQYSCLRNPTDRGGGLKSVGRDSVTKQQQGAGA
+LCFSVAQKPFGATYVWSSIINTLQTQVEVKKRRHHLKRHNDCFVGSEAVDVIFSHLMQNK
+YFGDVDIPRAKVVRVCQALMDYKVFEAVPTKVFGKDKKPTFEDSSCSLYRFTTTPNQDSQ
+LGKENKVCSPSRYADTLFKSSDIRSTSLEALWENLSLKPADSPRVNISATLSPQVINEVW
+QEETIGRLLQLIDLPLLDSLLKRQEMIPKVSQPKRQLDLVNNRNYLDRGILKAYSDSQED
+EWLSSAIDCLEYLPDQMVVDISRNFPEQPDRIDLVKELLFDAISKYYSSREPLLNHLSDV
+HNGIAELLVNGKTEIALEATQLFLKLLDSQNREEFRRLLYFMAVAAHPSEFKLQQESDNR
+MVVKRMFSKAIVHNKNLSKGKTDLLVLFLMDHQRDVFKIPGTLHKIVSIKLMDIQKGRDP
+NRDAGYIYCQRIDRSDYSDHTRKTTKDELLNLLKNIDEDSKLSTKEKKKLLGQFYKCHPD
+VFIEYFGD
+>tr|A0A3Q1M2K7|A0A3Q1M2K7_BOVIN Rotatin OS=Bos taurus OX=9913 GN=RTTN PE=4 SV=1
+MYSSSYQTSQTDLLQQPEILTGYFPQDKSTLQQTEIPSRPVAANPTAKCLKFSTFPWLPL
+TAADRHVLSSNESSLRSSNHTVIWNTCELLKDVIMQDFPAEIFLQRPKIVQSLLSLLKLA
+FGGDGKHRLALQSVSCLQQLCTCLRNRLNFHRDPSFFSNKQDAVSQNSSLSYCPDARAAP
+HSQNPSPGSSSPRPSVVGRTGQRPRGDGQDWDAVSSSGSSSHAHVSSRASAPSPLDLAPV
+DLPELAEDALELQLQQLSLPQLCVSLLEAAAPLLRTGSRQMIIRVLELLAEVVVLIGEAI
+SAHLWDDSSLFAIDMKEKLLVVLASLGDTVCYHKSCVSLEQPEALVVHHRMAFVSISLFA
+VRLLQTLLPVEKASRFLPEPMLAALFFISLDMPVSLEYPNIHEAVVAYLEQLNSENYSIY
+KRTAEAAYSIECTCNFLSDVGKEGEKNLSELVELADQALRSFSYHQHFPLIKEIISICSK
+IWKSVQASPLLQGESQKVFLRMLSHPLLQVKVETYHCCLEIVKVSAAAKAILVHLLQGRL
+RVSAPTWNKFIESLCPVIPVLQGYADTEDPLGNCILHLSRESPETDEGVLPSATRLKSML
+RLLLVKKPSVRVLALKLLASHLTREEGSDTKRPSIDARVLSRVTNLFIVKKPIELKLDDR
+RELMIKLETVEKVYGIFVSDDVDLVLRKSAAEQLVVIMQDIKMHAVVKKVHLVDKIIEYL
+SECVGQDGEVVECLVQPSLMLLRKVLCADPVLRESLSQKTSVLTLLFRVSLIFHEDCTIV
+TEVGALFCLLLFDEVSRMDMWSDSPSCTPSSPSVFSLPVSVSRRYHLPVHVVGHHAVSPY
+SMVLPLSADSLALKPVSDMLRMAWNLSWYHGSDNVLKQVNSEAEIQEFLDTLKLSTEDTL
+TLKMTHTPSGLKDCLCSIVQAAGHREVRAALTRMSFYLLNDRLSFKGGTGPCGVTLKSLS
+WHTTLNRFLQVLPACTEDEKLLIDIIHFLSKLLKEQRKNVPVELLNWLLEVLLRQSPNPL
+LDLLVLTESQTREETDDVRTAVRQQLQKELIALFSTLLLSFEAVTDRKCLELFYVFQTQL
+ALKLLQCLRVTDAPHFYGLPSLERTLRGMAHLTACPGWSTHSPLTKPLDICGKYLSGLLE
+VITSFYVERGGNAMSFMGKGVTKSTVLCLLHLSHEMMAQAQSSGWMSLWFLPLGSQSEDH
+APTQQGLAWLIPLWVDRDPEVRFTSLGLGSALTTLETGCVALANSCQNISGGLWGTVVNI
+LLDQSECSMVRREAAFIFQNLLVIPMPSVIIKDYTWQGPCVHDEDSGLSLIGKPALQALL
+YHCHFYEHLNQMVKHCYLGRYAFDFSFSALNGAPEGNDLNGLDDSFKFWRAPSRMSSQDR
+DPSSLSTSETMVAASSGSAEFQSLVLSMVPHPEVTHDQLVAQGQREAASPRLPPDSPLSA
+PLPRQCVFVTPPLLSAMCSLLDSLLVATPGDTAGAFRRTRLIELLCSIAVATLLETSVQE
+LRASLPTSLPAEHTQAQVSFLLEYLSSLSRLLQSCLLVDPDLVIQDELLKPLIPNIIRVL
+TLCTKDILDVELRSAFYQTWTHLFNLLAALLRRAGPASFPYVTLGLARSWAAVIDTFCRC
+ARLSTTSPALYTASLQFLSVLLTEEAKRRLQDEEKTSLCHSPTVASLLDKTQESQNSLER
+LTEVILQCYEGKTSKDVLKRVAANALMSLLAVSRRAQRHALKAHLVDSCVEQMKHIHAQL
+NLGSLKPGRAVLRKKEDGFIKELSIAMQLLRNCLYQNEECKGAALDAHLAPVLHALWPWL
+LMDDSLMQVALQLLCVYTANFPNGCSSLCWSSYGQHPVQAAHRGAPGSSLMLCILKMASQ
+VPPENTAVQQAVFMILSNLALSHDCKGVIQKSNFLQNFLSLTLPKGGNKHLSNLSVLWLK
+LLLNMSFEEDGQQVILRLDGCLDLLTEMSRFKHKSSLSIPLLIFHNICFSPANKPKILAN
+EKVISVLAACLESENQNAQRIGAAALWALIHNYQKAKTTLKNPSIKRRVEEAYSLAKKTF
+SDSEETPLSAYYLKCLENLVQQLNSS
+>tr|A0A3Q1MTH5|A0A3Q1MTH5_BOVIN Multiple PDZ domain crumbs cell polarity complex component OS=Bos taurus OX=9913 GN=MPDZ PE=4 SV=1
+MALDIFASYTGRVELWREPSKSLGISIVGGRGMGSRLSNGEVMRGIFIKHVLEDSPAGKN
+GTLKPGDRIVEVDGMDLRDASHEQAVEAIRKAGNPVVFLVQSIINRPRVPSQSESEPENP
+PLCHSPPPQPPVLAEMSGDHALSSANETSEDVDEEDEFGYSWKNIRERYGTLTGELHMIE
+LEKGRSGLGLSLAGNKDRSRMSVFIVGIDPNGAAGKDGQLQIADELLEINGQILYGRSHQ
+NASSIIKCAPSKVKIIFIRNKDAVSQMAVCPGHTVEPSPATSENLQNKEAEPSVTTSEAV
+VDLSSLTNVQHLELPKDQGGLGIAISEEDTVSGVIIKSLTEHGAAAKDGRLKVGDQILAV
+DDEVVVGYPVEKFINLLKTAKTKVKLTIRAENPDSQATTSGTGTANGEKKSSPQSPVAPP
+SSSPEPEPMRSTSRSSTPAIFASDPATCPIIPGCETTIEISKGRTGLGLSIVGGSDTLLG
+AIIIHEVYEEGAACKDGRLWAGDQILEVNGIDLRKATHDEAINVLRQTPQRVRLTLYRDE
+APYKEEDVYDTLTVELQKKPGKGLGLSIVGKRNDTGVFVSDVVKGGIADADGRLLQGDQI
+LTVNGEDVRHATQEAVAALLKCSLGTVTLEVGRVKTGPFHSERRPSQSSQMSEASLSSFT
+FPLSGSGTPELLESSSKKNALASEIQGLRTVEIKKGPTDSLGISIAGGVGSPLGDVPIFI
+AMMHPNGVAAQTQKLRVGDRIVTICGTSTEGMTHTQAVNLLKNASGSIEMQVVAGGDVSV
+VTGHQQEPASSSLSFTGLTSSSIFQDDLGPPQCKSITLDRGPDGLGFSIVGGYGSPHGDL
+PIYVKTVFAKGAASEDGRLKRGDQIIAVNGQSLEGVTHEEAVAILKRTKGTVTLMVLS
+>tr|G3X693|G3X693_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=2
+RVFLPLLLLGVILPGGDNEDGPTSFHLIQISTFVNNTWAQNQGSGWLDDLQIHGWESDSG
+TAIFLKPWSKGNFSDDEVTELVDLFRAYFIGFTREVQDRVNEFQLEYPFVIQVTAGCELH
+SGEAIESSLRGALGGLDFVSIQNHSCVPAPDGSSRGQKFCALTTQYQGISDIIERLLSET
+CPRYLLGVLDAGKAELQRQVKPEAWLSSGPTPGPGRLLLVCHVSGFYPKPVRVMWMRGEQ
+EQPGTQQGDLMPIGEAAGLNCRVKHSSLGDQDIILYWGHPTSIGLILVAIIVPSLILLIC
+LAFGVLRFMGSHRIGHD
+>tr|A0A3Q1MPY1|A0A3Q1MPY1_BOVIN Cyclic AMP-responsive element-binding protein 3-like protein 3 OS=Bos taurus OX=9913 GN=CREB3L3 PE=4 SV=1
+MDGDLAIGKVLPGADSDDFLNSILGSGDSDSPTWSPAASDSGISEDLPSDTQDTPPHGGV
+PATPAGCHSVESGKGPCPSYHPGPTCPARHLGPVAPRLETSVAIDLEMWSPGVYAEEQTD
+LADSPSRYNLTVKDLLLSSSSGDLQQHHLAAPHLLRPGTGHCQELVLTEDEKKLLAKEGI
+TLPTQLPLTKYEERMLKKIRRKIRNKQSAQESRKKKKEYIDGLETRMSACTAQNQELQRK
+VLHLEKQNLSLLEQLKKLQAIVVQSTSKSAQTGTCIAVLLFSFALIVLPSISPFASNRAE
+SPGDFAPVRVFSRTLHNDAASRVAPDTAPGSEAPGPGPNTGALQERSPGSPPGEWESQDT
+RALDNSTEDLDNSTLVQGNSVKELDQATLLDCAPPEPAVSPGHVGLEAAGGEL
+>tr|A0A452DIZ1|A0A452DIZ1_BOVIN Sin3 histone deacetylase corepressor complex component SDS3 OS=Bos taurus OX=9913 GN=SUDS3 PE=4 SV=1
+MAESGGRQAARDPEVAAGARPPLPRAGARGGAWRRRGRGRRRSGSWSRRLPQALRRGRRA
+WRAGGCGSRGARSASGGGDMSAAALLAPAPAPAGAPPAPEYYPEEDEELESAEDDERSCR
+GRESDEDTEDASETDLAKHDEEDFVEMKEQMYQDKLASLKRQLQQLQEGTLQEYQKRMKK
+LDQQYKERIRNAELFLQLETEQVERNYIKEKKAAVKEFEDKKVELKENLIAELEEKKKMI
+ENEKLTMELTGDSMEVKPIMTRKLRRRPNDPVPIPDKRRKPAPAQLNYLLTDEQIMEDLR
+TLNKLKSPKRPASPSSPEHLPTTPAESPAQRFEARIEDGKLYYDKRWYHKSQAIYLESKD
+NQKLSCVISSVGANEIWVRKTSDSTKMRIYLGQLQRGLFVIRRSLL
+>tr|A0A140T885|A0A140T885_BOVIN Secretogranin-1 OS=Bos taurus OX=9913 GN=CHGB PE=4 SV=1
+MQPAALLGLLGATVVAAVSSMPVDIRNHNEEVVTHCIIEVLSNALLKSSAPPITPECRQV
+LKKNGKELKDEEKSENENTRFEVRLLRDPADTSEAPGLSSREDSGEGDAQVPTVADTESG
+GHSRERAGEPPGSQVAKEAKTRYSKSEGQNREEEMVKYQKRERGEVGSEERLSEGPGKAQ
+MAFLNQRNQTPAKKEELVSRYDTQSARGLEKSHSRERSSQESGEETKSQENWPQELQRHP
+EGQEAPGESEEDASPEVDKRRSRPRHHHGRSRPDRSSQEGNPPLEEESHVGTGNSDEEKA
+RHPAHFRALEEGAEYGEEVRRHSAAQAPGDLQGARFGGRGRGEHQALRRPSEESLEQENK
+RHGLSPDLNMAQGYSEESEEERGPARGPSYRARGGEAAAYSTLGQTDEKRFLGETHHRVQ
+ESQRDKARRRLPGELRNYLDYGEEKGEEAARGKWQPQGDPRDADENREEARLRGKQYAPH
+HITEKRLGELLNPFYDPSQWKSSRFERKDPMDDSFLEGEEENGLTLNEKNFFPEYNYDWW
+EKKPFEEDVNWGYEKRNPVPKLDLKRQYDRVAELDQLLHYRKKSAEFPDFYDSEEQVSPQ
+HTAENEEEKAGQGVLTEEEEKELENLAAMDLELQKIAEKFSGTRRG
+>tr|A0A140T8A7|A0A140T8A7_BOVIN Mitochondrial RNA pseudouridine synthase RPUSD4 OS=Bos taurus OX=9913 GN=RPUSD4 PE=4 SV=1
+MVAPGCSVPGLWVRGFGQCLGSLFTLFSKPLCSAAAAASQPLDAQRLAERLRAQKQQQKT
+KEPAPTNPVQRRVRELVRFTEQLQRVHPNVLAKALSRGIVHQDKELVVINKPYGLPVHGG
+PGVKLCISDVLPVLAKILHGPKAKPLHLCHRLDKETTGVMVLAWEKEVAHQVQELFRTRQ
+VTKKYWAITVRVPVPEAGVVDIPIVEKEAQGQQHHHKMTLSPSYRMDDGKMVRVRSSRNA
+QLAVTQYRVLSSSLSAALLELQPITGIKHQLRVHMSFGLDCPILGDHKYSDWNRLAPQKL
+SAGILKKLGLQQSKARHLPLHLHACQLTLPALQPQKEELTLLCRPPRYFVNSLRRLGLKM
+PSRDHSADEEAARPGAQ
+>tr|A0A3Q1LTQ6|A0A3Q1LTQ6_BOVIN ADF-H domain-containing protein OS=Bos taurus OX=9913 GN=TWF2 PE=4 SV=1
+MAHQTGIHATEELKEFFAKARAGSVRLIKVVIEDEQLVLGAFRELVGCWDQDYDGAVLPL
+LDAQQPCYLLYRLDSQNAQGFEWLFLAWSPDNSPVRLKMLYAATRATVKKEFGGGHIKDE
+LFGTVKDDLSFAGYQKHLSSCAAPAPLTSAERELQQIRINEVKTEISVESKHQTLQGLAF
+PLQPQAQRALQQLRQKTINYIQLKLDLERETIELVHTEPTDVAQLPSRVPRDAARYHFFL
+YKHTHEGDPLESVGEWPWRGSHCSSGWAGAMMAHGWAGRLQGLWGWQECQARLSSPPHPP
+QCSSTPCPATSAASRSACSTPAARAASSTPWSRTSSWRSPRRWVLFPRALSPPLMLDVRV
+LRQWQSRGHCCPVGWRGRLRPKGSCVSLMAWTSPSCDTRVSPFPEFGRQVEGDKAFHQQA
+PSSVLLGVAFHVGIWEDTLGLESKRSKGCSRSGGD
+>tr|E1BMB2|E1BMB2_BOVIN Pendrin OS=Bos taurus OX=9913 GN=SLC26A4 PE=3 SV=2
+MAAPGDRLEPPPLPEYSCSYVVSRPVYNELAFQQQYEQRPKERKTLRENLAQGCSCSRKR
+TLRMVKTLLPILDWLPKYRIKEWLLSDIISGVSTGLVGTLQGMAYALLAAVPVGYGLYSA
+FFPILTYFIFGTSRHISVGPFPVVSLMVGSVVLSMAPDEHFLVSSSNGTALNTTIIDFAA
+RDAARVLIASTLTLLVGIIQLIFGGLQIGFIVRYLADPLVGGFTTAAAFQVLVSQLKIVL
+NVSTKNYNGILSIIYTLIEIFQNISNTNLADFIAGLLTIIICMAVKELNDRFKHKIPVPI
+PIEVIVTIAATAISYGVDLEKKYNAGIVKSIPSGFLPPAMPSVSLFSEMLTASFSISVVA
+YAIAVSVGKVYAIRHDYTIDGNQESIAFGISNIFSGLFSCFVATTALSRTAVQESTGGKT
+QVAGIISAGVVMIAIVALGKLLEPLQKSVLAAVVIANLKGMFMQVCDVPHLWRQNKTDAV
+IWVFTCMASIILGLDLGLLAGLVFGFLTVVLRVQFPSWNSLGSIPSTDIYKSTKNYKNIE
+ELEGVKILRFSSPIFYGNVDGLKKCIKSTVGFDAIRVYNKRLKALRKIQKLIKKGQLRAT
+KNGIISGAGSSNNAFEPDEDIENPEEPDIPTKEIEIQVDWNSELPVKVNVPKVPIHSLVL
+DCGAVSFLDVVGVRSLRVIVKEFQRIDVNVYFASLQDHVIEKLEKCGFFNDNNIRKDIFF
+MTVHDAVLHLQNQVKSQEVQDSILETITLIQDCKDPLELMEAEMIEEQLDVQDEAIRRLA
+S
+>tr|E1B9N6|E1B9N6_BOVIN Envoplakin OS=Bos taurus OX=9913 GN=EVPL PE=1 SV=2
+MFKGLSKSSQGKGSPKGSPAKGSPKGSPNKHSRAATQELALLISRMQANADQVERDILET
+QKKLQQDRQHSEQRQALQHRQEVGRSLKEAEVLLKDLFLDVDKAQRLKHPQAEEIEKDIK
+QLHERVTQECAEYRALYEKMVLPPDVGPRVDWARVLEQKQKQVCEGQYGPGMAELEQQVA
+EHNILQKEIEAYGQQLRTLVGPDAATIRSQYRDLLKAASWRGQSLGSLYTHLQGCTRQLN
+ALAQQQQRILQQDWSDHLADPAGVRREYEHFKQHELLSQEQRVNQLEDDGERMVELGHPA
+VGPIQAHQEALKVEWQNFLNLCICQESQLQHVEDYRRFQEEADSVSQTLAKLNSSLDSQY
+SPAPEGPPGALMEMLRQLEAEEKQLTMAEKTLKDLQLQSQGVAPLPQRRNLPLQPLRVDS
+ICDWDSGEVQLLRGEQYTLVDNTDPHTWVVQGQAGEPKRAPAACFCIPALDPPRPGPALQ
+ALKQKLTAVQSRLKASAKEPLRPSQQAPSSSGPPDPQAQKLLTQMTRLEEDLGQIEKQLL
+AWARAPLSRTTPLEDLEGRIQNHQGTAQRLQSLGAEKEAAQQKCEAFLSGQPSGPAALHL
+PVVLNSVKNKYRDVQVLCSLYGEKAKAALGLERQIRDADKVIRGFESALAQEAPIPAGPG
+ALQERVSELKRRRRELLEQQACVLGLHRQLKAVEHMCSALQNNFCEFCKDLPHQQRQVRA
+LTDRYHAVGDQLDLREKMMQDAGLTYQQFKNCTDNLNAWLERLPHNKVRPSDGPSQIAYK
+LQAQKRLLQEIQGRKQDRATASRLSQHLQVALQDYELQADTYRGSLEPTQVGSAPKRPRV
+APLQDSIQAQEKNLTKAYTEVAAAHQQQLHQLEFARKILEKKELNEDIQVTPNAQQGSES
+PARGGRKSEALRSQLEEERKRVAQVQRELEEQRSQLLQLKTQRPVEKLEEKEVVEFYRDP
+QLESNLSRVKSQVEDEGKKQAGLQADLEAVAQKVMQLESQRKATEPHLLTKEVTQIERDP
+GLDSQAAQLKSELQLLQEENTAVLAQLEALKAELLALEQKEVNVKETVVVKEVVKVEKDL
+EMLQAAQALRLKIQEDVAQRKGAEDAVAKLQARVVELEAAIRTVEPKVIVKEVKKVEQDP
+RLLQEASRLRSLLEVQRSENEVLARELKELHSKHSAAEKQKPRVDLQERVQETFRVDPET
+EREIAQLRAELQETGRKRNGTEQEVERLLSELVVLRAQKPTVEYKEVTQELVRHEKNPEL
+LREIDRLKAQLNELVNGSGRAQEQLIRLQGERDEWRRERSKVETKTVNKEVVRREKDPVL
+EQEAQRLRQEVREATQKRRAAEDAVHQLQNKYLLLERRRPEERVVVQEVVEHSRLSQSLD
+EEAGRRRQLEREVQQLQAAVQEDEGRLSFREDRSKKLAVERELRQLTLKLQELEKRPPAV
+QERIIMEEVVQLEKDPDLEKSTAALRQDLAQEKTQATEVHRECKNLQVQIDVLQKTKLQE
+KTIYKEVIRVEKDPMLEGERARVWEALNRERAARESREEEVRRLRERVERAEALGRTWAR
+EEAELQKTRDRASQECRQLQQELRELERQKQQRVLHLQEESKLLSQKTESERQRAAQRGQ
+ELSQLESAILREKDRIYEKERTLRDLHTQVSREELNQETQTRETNISTKISILEPETGKD
+MSPYEAYKRGVIDRSQYLQLQDLECDWEEVTTSGPHGEESVLLDRKSGKQYSIEAALRSR
+RISKEEYHLYKDGQLPISEFALLVAGETKPCPSLSIGAIISKSPLASPASQSTSLFSPSF
+SLGLSEDSFPIAGVYDTTTDNKCTIKTAVAKNMLDPITGQKLLEAQAATGGIVDLLSRER
+YSVHKAVERGLIESGSTQRLLNAQKAFTGIEDPVTKKRLSVGEAVQKGWMPQESVLPHLR
+VQHLTGGLIDPKKTGRIPVAQAVLSGMISEELAQLLQDEASYEKDLTDPISKERLSYREA
+MGRCRKDPLSGLLLLPASLEGYRCYRSASPTVPRSLR
+>tr|A0A3Q1MBV9|A0A3Q1MBV9_BOVIN Centrosomal protein 170 OS=Bos taurus OX=9913 GN=CEP170 PE=4 SV=1
+MSLTSWFLVSSGGTRHRLPREMIFVGRDDCELMLQSRSVDKQHAVINYDASTDEHLVKDL
+GSLNGHEKFTIQLQLSQKSSESELSKSACAKSIDSKVADSATEVQHKPTEALKSEEKVVD
+TSAMPRGTPLYGQPSWWGDDEADEQRPFKSNGKPEEKNHETGTSGCSIDAKQVEEQSAAA
+SEEVLFPFCREPSYFEIPTKEFQQPSQITESTIHEIPTKDTPSSHTTGAGHASFTIEFDD
+STPGKVTIRDHVTKFTSDQRHKSKKSSPGTQDLPGIQTGMMAPENKVADWLAQNNPPQMV
+WERTEEDSKSIKSDVPVYLKRLKGNKHDDGTQSDSENAGAHRRCSKRATLEEHLRRHHSE
+QKKLQKAQATEKHQDQAVTSSAHHRGGHGVPHGKLLKQKSEEPSVSIPFLQTALLRSSGS
+LGHRPSQEMDKMLKNQATSAASEKDNDDDQSDKGTYTIELENPNSEEVEARKMIDKVFGV
+DDNQDYNRPIINEKHKDLVKDWALSSAAVVMEERKPLSTPGFHNSEEGTSSSGNKRWVSQ
+WASLAANHTRHDQEERIMELSVPVPLEHDTDISESGISLRSTGSAASLASQGERRRRTLP
+QLPSEEKSLESSRAKVLAQRSEIGEKQDTELQEKEAPAQVYQKDKQDTDRALSKINRAVN
+GETLKNGGDSKTLLHLGSSYSGKEKSETDKETSLVKQTLAKIQQQEQKEQAQWTPTKLSS
+SKNIAGQIDRCREESFKQESQPQEKIPGLSAGKGERAVQNEGKRRKAEEILKSQTSKGGD
+KKESSKSLVRQGSFTIEKPSPNIPIELIPHINKQPSSTPPSLALTTASRIRERSDSMDTD
+SSMDTTLILKDTEAVMAFLEAKLREDTKTDEGPDTPSYNRDNSISPESDVDTASTISLVT
+GETERKSTQKRKSFTSLYKDRCSTGSPSKDVAKSSSSGAREKIEKKTKSRSADIGSRAEG
+RKFVQSSGRIRQPSVDLTDDDQTSSVPHSAISDVMSSDQETYSCKSHGRTPFTSTDEHAH
+SKLEGSKVTKSKTSPGAPGSSSKSTTLPRPRPTRTSLLRRARLGEASDSELADADKASVA
+SEVSTTSSTSKPPTGRRNISRIDLLAQPRRTRLGSLSARSDSEATISRSSASSRTAEAII
+RSGARLVPSDKFSPRIRANSISRLSDSKVKSMTSAHGSPSVNSRWRRFPTDYASTSEDEF
+GSNRNSPKHTRLRTSPALKTTRLQSSGSAMPTSSSFKHRIKEQEDYIRDWTAHREEIARI
+SQDLALIAREINDVAGEIDSVTSSGTAPSTTVSTAATTPGSAIDTREELVDRVFDESLNF
+RKIPPLVHSKTPEGNNCRSSDPRPQPAEPPDHLTITRRRTWSRDEVMGDNLLLSSVFQFS
+KKIRQSIDKTAGKIRILFKDKDRNWDEIESKLRAESEVPIVKTSSMEISSILQELKRVEK
+QLQAINAMIDPDGTLEALNNMGFPSAILPSPPKQKSSPVNDHSSPGQTPTLCQPEARVLH
+PAAAML
+>tr|A0A3Q1MA73|A0A3Q1MA73_BOVIN Plexin B1 OS=Bos taurus OX=9913 GN=PLXNB1 PE=4 SV=1
+MPALGLALLQALLAGWVLGLQPLPPTAFTPNGTRLQHLARDPTSGTLYLGATNFLFQLSP
+ELQLEATVSTGPVLDSRDCLPPVMPDECPQAQPTSNLNQLLLVSPGALVVCGSVHQGVCE
+QRRLGQLGQLLLRPERPGDTQYVAANDPTVSTVGLVAQGPSGEPLLFVGRGYTSRGVGGG
+IPPITTRALQPPDPQAAFSYEETAKLAVGRLSEYSHHFVSAFARGASAYFLFLRRDLQAQ
+SRAFRAYVSRVCLRDQHYYSYVELPLACQGGRYGLIQAAAVAASADVAQGEVLFVAFSSA
+APPSVGRPPSAAAGTTGASALCAFPLDEVDRLANRTRDACYTQEGRAEDGAEVAYIEYDV
+NSDCAQLPVDTLDAYPCGSDHTPSPMASRVPLEATPVLEWPGVQLTAVAITVEDGHTIAF
+LGDSQGQLHRVYLGPGSDGHPYSTQIVQQGSAVSRDLIFDGAFKHLYVMTQSTLLKVPVA
+SCAQHLDCVSCLAHRDPYCGWCVLLGRCSRRSECSRGRGSERWLWSFQPELGCLRVAALS
+PANISREERREVFLSVPDLPPLWPGESYSCHFGESQSPALLTNSGVMCPSPDPSEAPELP
+RGADHVSVSMELRFGAVVIAEAALSFYDCVAITLLRPSAPCQACVSSRWGCNWCVWQHLC
+THKASCDAGPTVVGRQSPLLSPAPPARDAPTSFPPTVPQAMVTPTPDTLPVDTPSIASNV
+PPGARPSPLSPWVPWAGTDPTPASAPTESPLPRDPPPAPSSPQSTPGPTVPGPSALGPLA
+TPEDLLASHPPPSEAAALPPAPVEPGPEALPSVGPQDHPPGSAPATTFPGAAGSTKPALD
+WLMREGGELPEADEWTGGDMPAFSTSSLLSGDGDSAEHEGPPAPLILLSSLDYQYDTPGL
+GELEEATWGAASCPCVESVQGSSLMPVHVRREVRLLGRNLRLFQDSPGEHECVMELEGRE
+VVVEARVECEPPPDTRCHITCRPYQLSYEALQPELRVGLFLRRAGRLRVDSVDGLHVVLY
+DCSVGHEDCSRCQTALPQYGCVWCKGEHPQCTAQEACGEAEAVVTQCPAPVIHSVEPLTG
+PVDGGTRVTIRGSNLGQHVQDVQDTVRVAGVPCAVDAQEYEVSSSLVCITGASREEVAGA
+VTVEVPGRGRGVSEHDFAYQDPEVQSVFPARGPRAGGTSLTLHGSKLLTGRLEDIRVVVG
+DQPCHLLLEQQAEQLQCETSPHPTPATLPVAVWFGAAERRLQHSQFEYTSDPNVTSASPT
+KSFLSGGREIRVCGQNLDVVQTPRIRVTVAMRASRPGQGLERRRRVVPETACSPGVSCGG
+LHFEEPCHVNSSQLITCHTPALPGLPEDPWVRVEFILDNLVFDFATLNPTPFSYEADPIL
+QPLNPEDPSAPFRHKPGSVLSVEGENLDLAISKEEVVAMIGDGPCVVKTLTRHHLYCEPP
+MEQPLPRHHAPREAPDALPEFTVQMGNLRFSLGHVQYDGESPVAFPMAAQVGLGVGTSLL
+ALGVIIIVLMYSRRKSKQALRDYKKVQIQLENLESSVRDRCKKEFTDLMTEMTDLTSDLL
+GSGIPFLDYKVYAERVFFPGHQESPLHRDLGVPESRRPTVEQGLGQLSNLLNSKLFLTKF
+IHTLESQRTFSARDRAYVASLLTVALHGKLEYFTDILRTLLSDLVAQYVAKNPKLMLRRT
+ETVVEKLLTNWMSICLYTFVRDAVGEPLYMLFRGIKHQVDKGPVDSVTGKAKYTLNDNRL
+LREDVEYRPLTLNALLAVGHGAGEAQGVPVKVLDCDTISQAKEKMLDQLYKGVPLAQRPD
+PRTLDVEWRSGVAGHLILSDEDVTSEIQGLWRRLNTLQHYKVGGAGAERGLGGCSGAGWR
+RGHQLWSAGTPMLEDVDEGGIRPWHLVKPSEEPEPPRPRRGSLRGGERERAKAIPEIYLT
+RLLSMKGTLQKFVDDLFQVILSTSRPVPLAIKYFFDLLDEQAQQHGISDQDTVHIWKTNS
+LPLRFWINIIKNPQFVFDVQTSDNMDAVLLVIAQTFMDACTLADHKLGREGFVSLLTFGP
+IISGRYYADIRQTIPASDQEMNSILAELSRVWPCFCFLGWLVSAFQIITALEEDGTAQKM
+QLGYRLQQIAAAVENKVTDL
+>tr|A0A3Q1M8S7|A0A3Q1M8S7_BOVIN Ribosomal_L28e domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MSVHLQWMVVRNCYSFLIKTTNRHTARKPNNLKARNSSCYDGLIHYKRVGVMPGPPLAAS
+GIRTSTARICAWPSSAESGLSSATRSL
+>tr|A0A3Q1LVC0|A0A3Q1LVC0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=PRR16 PE=4 SV=1
+MSAKSKGTPSSSSPAEGPPAASKTKVKEQIKIIVEDLELVLGDLKDVAKELKEVVDQIDT
+LTSDLQLEDEMTDSSKTDTLNSSSSGTTASSIEKIKVQANAPLIKPPAHPSAILTVLRKP
+NPPAPPPRLTPVKCEDSQRVVPTVNPVKTNGTLLRNGGFPGAPTKIPNGDIYCKPSSNLD
+KAPGQPLMHRPEKDRCPQAGPRERVRFNEKVQYHGYCPDCDTRYHVKNREVHLHSEPVHP
+PGKLPPQGPPHPLPPHLPPFPLENGGLGISHSNSFPPLRPATVPPPIAPKPQKTILRKST
+TTTV
+>tr|E1BGA6|E1BGA6_BOVIN Component of oligomeric golgi complex 3 OS=Bos taurus OX=9913 GN=COG3 PE=4 SV=3
+MAEAALLLSLPEAAAERDAREKLALWDGRADTTAPLTDRQTDSVLALKAAAEALPVPAEL
+PIEDLCSLPSQSLPIAQTSVVPESTEDILLKGFTSLEMEEERIETAQQFFSWFAKLQTQM
+DQDEGTKYRQMRDYLSGFQEQCDAILNDVNSALQHLESLQKQYLFVSNKTGTLHEACEQL
+LKEQSELVDLAENIQQKLSYFNELETINTKLNSPTLSVNSEGFIPMLAKLDDCITYISSH
+PNFKDYPVYLLKFKQCLSKALHLMKTYTVNTLQNLTNQLLKRDPSSVPNADNAFTLFYVK
+FRAAAPKVRTLIEQIEQRSEKIPEYQQLLTDIHQCYLDQRELLLGPSITCTVTELTSQNN
+RDHCALIRSGCAFMVHVCQDEHQLYNEFFTKPTSKLDELLEKLCVSLYDVFRPLIIHVIH
+LETLSELCGILKNEVLEDHVQNNAEQLGAFAAGVKQMLEDVQERLVYRTHIYIQTDITGY
+KPAPGDLAYPDKLVMMEQIAQSLKDEQKKLSPSEASFSDVRLEEAEPPNSLTKSGSSESL
+NPRQQTTISPADLHGMWYPTVRRTLVCLSKLYRCIDRAVFQGLSQEALSACIQSLLGASE
+SISKNKTQIDGQLFLIKHLLILREQIAPFHTEFTIKEISLDLKKTRDAAFKILNPMTVPR
+FFRLNSNNALIEFLLEGTPEIREHYLDSKKDVDRHLKSACEQFIQQQTRLFIEPLEEFLT
+KVSALKTMASQGGPKYTLSQQPWAQPAKVSDLVASAYKTIKAKLPLTLRSMSLYLSNKDT
+EFILFKPVRNNIQQVFQKFHVLLKEEFSPEDVQIIACPSMEQLNLLLSVSK
+>tr|F1MGI4|F1MGI4_BOVIN Solute carrier family 35 member F4 OS=Bos taurus OX=9913 GN=SLC35F4 PE=4 SV=3
+MFFLQEIFKLISFFPDTSRSSVTRCKPGANCPSSHSSISRQLSPLSVTEDSSAPILELQS
+RGSSGVCGHRAERQNKSGDDGTQTHPENSSQENRIKARCLSCMSMVLKAAWGLLIILSVS
+SSWVGTTQIVKITYKNFYCPFFMTWFSTNWNIMFFPVYYSGHLATAQEKQSPMKKFRECS
+RIFGEDGLTLKLFLKRTAPFSILWTLTNYLYLLALKKLTATDVSALFCCNKAFVFLLSWI
+VLKDRFMGVRIVAAIMAITGIVMMAYADNFHADSIIGVAFAVGSASTSALYKVLFKMFLG
+SANFGEAAHFVSTLGFFNLIFISFTPIILYFTKVEHWSSFAALPWGCLCGMAGLWLAFNI
+LVNVGVVLTYPILISIGTVLSVPGNAAVDLLKQEVIFNVVRLAATIIICIGFLLMLLPEE
+WDEITLRFINSLKEKKSEEHVDDITDSSVHLRSRSRANGTVSIPLA
+>tr|G3MX02|G3MX02_BOVIN WAP domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=2
+MRCLATLALTLITLEPALSLAPALSLQAVCPELSSSEKDSCTASCVNHESCPQGTKCCAK
+SPCGQSNVVPLMGKASDPAPQRMQAPLAPKLCLERSECSRDDQCRDNLKCCFSSCAMRLP
+GNSFQF
+>tr|G3MYY4|G3MYY4_BOVIN Transmembrane protein 240 OS=Bos taurus OX=9913 GN=TMEM240 PE=4 SV=2
+MSMSANTMIFMILGASIVMAIACLMDMNALLDRFHNYILPHLRGEDRVCHCNCGRHHVHY
+VIPYDGDQSVVDASENYFVTDNVTKQEIDLMLGLLLGFCISWFLVWMDGVLHCAVRAWRA
+GRRYDGSWTWLPKLCSLRELGRRPHRPFEEAAGNMVHVKQKLYHNGHPSPRHL
+>tr|A0A3Q1MTE3|A0A3Q1MTE3_BOVIN Unc-13 homolog A OS=Bos taurus OX=9913 GN=UNC13A PE=4 SV=1
+LFLSLSTVKKAKFDGAQEKFNTYVTLKVQNVKSTTIAVRGSQPSWEQDFMFEINRLDLGL
+TVEVWNKGLIWDTMVGTVWIPLRTIRQSNEEGPGEWLTLDSQVIMADSEICGTKDPTFHR
+ILLDTRFELPLDIPEEEARYWAKKLEQLNAMRDQDEYSFQDEQDKPLPVPSNQCCNWNYF
+GWGEQQNDDPDSAVDDRDSDYRSETSNSIPPPYYTTSQPNASVHQYSVRPPPLGSRESYS
+DSMHSYEEFSEPRALSPTGSSRYASSGELSQGSSQLSEDFDPDEQSLQGSELEDERDRDS
+YHSCHSSVSYHKDSPRWDQDEDELDEELDEELDEDLEDFLEEEEELPEDEEELEEEEEVP
+DDIRGYAMREEVAVAEPKDFKRVSLPPAAPEKEEQAPAMPTEAPDIPKVAPEAKRVLALE
+HHETLCLSFRPREDEEGQEGQDSMSRAKANWLRAFNKVRLQLQEARGEGEMSKSLWFKGG
+PGGGLIIIDSMPDIRKRKPIPLVSDLVSSLVQSRKAGITSALASSTLNNEELKNHVYKKT
+LQALIYPISCTTPHNFEVWTATTPTYCYECEGLLWGIARQGMRCTECGVKCHEKCQDLLN
+ADCLQRAAEKSSKHGAEDRTQNIIMVLKDRMKIRERNKPEIFELIQEIFAVTKTAHTQQM
+KAVKQSVLDGTSKWSAKISITVVCAQGLQAKDKTGSSDPYVTVQVGKTKKRTKTIYGNLN
+PVWEENFHFECHNSSDRIKVRVWDEDDDIKSRVKQRFKRESDDFLGQTIIEVRTLSGEMD
+VWYNLDKRTDKSAVSGAIRLHISVEIKGEEKVAPYHVQYTCLHENLFHFVTDVQNNGVVK
+IPDAKGDDAWKVYYDETAQEIVDEFAMRYGVESIYQAMTHFACLSSKYMCPGVPAVMSTL
+LANINAYYAHTTASTNVSASDRFAASNFGKERFVKLLDQLHNSLRIDLSMYRNNFPASSP
+ERLQDLKSTVDLLTSITFFRMKVQELQSPPRASQVVKDCVKACLNSTYEYIFNNCHELYS
+REYQTDPAKKGEVPPEEQGPSIKNLDFWSKLITLIVSIIEEDKNSYTPCLNQWFEPFVIQ
+WLDENEEVSRDFLHGALERDKKDGFQQTSEHALFSCSVVDVFSQLNQSFEIIKKLECPDP
+QIVGHYMRRFAKTISNVLLQYADIISKDFASYCSKEKEKVPCILMNNTQQLRVQLEKMFE
+AMGGKEVSQGLGPPLCVPSFQPHIEDCVKQMGDILSQVKGTGNVPASACSSVAQDADNVL
+QPIMDLLDSNLTLFAKICEKTVLKRVLKELWKLVMNTMEKTIVLPPLTDQTVRPAGAQRE
+HLGHSSGTQMIFNAAKELGQLSKLKDHMVREEAKSLTPKQCAVVELALDTIKQYFHAGGV
+GLKKTFLEKSPDLQSLRYALSLYTQATDLLIKTFVQTQSAQVHGGKGTRFTLSEDIYPEK
+GSGVEDPVGEVSVHVELFTHPGTGEHKVTVKVVAANDLKWQTSGIFRPFIEVNIIGPQLS
+DKKRKFATKSKNNSWAPKYNESFQFTLSADTGPECYELQVCVKDYCFAREDRTVGLAVLQ
+LRELVQRGSAACWLPLGRRIHMDDTGLTVLRILSQRSNDEVAKEFVKLKSDTRSAEEGGA
+APAP
+>tr|A0A3Q1LRR8|A0A3Q1LRR8_BOVIN MAGE domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MDRRNDSNYKITLFQSKNLNKDERGVGNYRWIPWSQRPLLSRDVAILQERANKLVKYLLI
+KDQTQIPINHSDRDVIQEYDEYFSEIIEQASYALEKNLGEASLVSKLVYVLFCFSDGCWM
+TKGTPKLDLLMVILSVIFMNGNKANEAVIWEVLRKLGLCPGIRHSLLGEVRKLITDEFVK
+QKYLEYKRVPNSRPPEYEFFWGLCSYHETSKMKVLKFACKVQKKDPKDWAMQYQEAVEMQ
+VQAAAVAIAELGDGAQAWDRFSLEIEARAQENANASASIDFSREASTRASYSDGSSISFS
+GVPSPGNVFGGRAGISFGGTCSTSASFSSVASICFDGTPSTCSTFSGGASISFGGTANTS
+SSFSSEASISFGGTPCTSAKFNGGVSCSFSDLLNTNTSFNGGASSGFGGTLSTTSGFSDA
+LITSTNLGSTLWHQCSL
+>tr|E1BPD6|E1BPD6_BOVIN Chromosome 7 C19orf44 homolog OS=Bos taurus OX=9913 GN=C7H19orf44 PE=4 SV=3
+MASIRKPSRPVRDIFGDLSDISLEDSKLGDMWNSDISRHLTKIAPGPSRFLKRNQTLGGK
+HFLPKENAVLGSEHWHSSSRPSTTASKVRANAALMKLAQIETKIRNRKVRVDPSDAESED
+WLPSRAEETPPRRTADLSSQNTGQLSQEQALEIPVPETDMPSGKVSRFLKKREAQVEKIP
+MEAQVGKERDAQRPREKQPPRTLDSPDSDEEEMKKLLGSLTESSGEKETTTNQGFTKATE
+KEQTKLVLGQIPTPPRALSRPSKDLPSSKPFATSWPSTSRSADGSLPGAGLRAPSPQTPA
+SRIVSHSIPGAFLNSAPSTSGADELSSSLRRSEPGPQEEPPSEATDDSLNDFRINILSLD
+DLAPVVSEKSDLEWEKEGQGGKASSRRPWAGDPPSESEISERLSEPSASSPGPQGICCLG
+PTSPEPMASAVNSTYSEDFEKSPSPTASESMAPSEESPYRTLASRPEFSASLKSDLPPSS
+PKSRKKRARGGHPRVVVKETAVQTPDPAFTYQWAEAAGMAAIGPALGGAYVDPAPIASHV
+ISADAIEALTAYSPAVFALNDVLKQQLSLTQQFIEASRHLHASLLRSLDGDSFHYHTLEE
+AKEYIRQHRPALLTMEEALEEVKKEL
+>tr|A0A3Q1M3J9|A0A3Q1M3J9_BOVIN Dystrobrevin OS=Bos taurus OX=9913 GN=DTNB PE=3 SV=1
+MIEESGNKRKTMAEKRQLFIEMRAQNFDVIRLSTYRTACKLRFVQKRCNLHLVDIWNMIE
+AFRDNGLNTLDHSTEISVSRLETVISSIYYQLNKRLPSTHQISVEQSISLLLNFMIAAYD
+SEGRGKLTVFSVKAMLATMCGGKMLDKLRYVFSQMSDSNGLMIFSKFDQFLKEVLKLPTA
+VFEGPSFGYTEHSVRTCFPQQKKIMLNMFLDTMMADPPPQCLVWLPLMHRLAHVENVFHP
+VECSYCRCESMMGFRYRCQQCHNYQLCQNCFWRGHASGPHSNQHQMKEHSSWKSPAKKLS
+HAISKSLGCVPTREPPHPVFPEQPEKPLDLANIVPPRPPTNLNDTMVSHMSSGAPTPTKR
+LQYSQDIPSHLADEHALIASYVARLQHCARVLDSPSRLDEEHRLIARYAARLAAEAGNMT
+RPPTDLSFNFDANKQQRQLIAELENKNREILQEIQRLRLEHEQASQPTPEKAQQNPTLLA
+ELRLLRQRKDELEQRMSALQESRRELMVQLEGLMKLLKEEEQKQAAQATGSPHTSPTHGG
+GRPIPMPVRSTSAGSTPTHCPQDPLSGVGGDMQEAFAQAGEGAEEEEEKMQNGKDRG
+>tr|A0A3Q1MRC7|A0A3Q1MRC7_BOVIN DOP1 leucine zipper like protein A OS=Bos taurus OX=9913 GN=DOP1A PE=4 SV=1
+MNTEELELLSDSKYRNYVAAVDKALKNFEYSSEWADLISALGKLNKVLQNNAKYQVVPKK
+LTIGKRLAQCLHPALPGGVHRKALETYEIIFKIIGPKRLAKDLFLYSSGLFPLLANAAMS
+VKPTLLSLYEIYYLPLGKTLKPGLQGLLTGILPGLEEGSEYYERTNTLLEKVAAAVDQSA
+FYSALWGSLLTSPAVRLPGITYVLAHLNRKLSMEDQLYIIGSDIELMVEAVSTSVQDSSV
+LVQRSTLDLILFCFPFHMSQATRPDMIRILSAALHVVLRRDMSLNRRLYAWLLGFDNNGA
+IIGPRSTRHSNPEEHATYYFTTFSKDLLVQAMVGILQVNVFGEESTLMQDLKPFRILISL
+LDKPELGPVILEDVLIEVFRTLYSQCKAELDLQMEPPFSKDHAQLSSKLRENKKTAELIK
+TANLLFNSFEPYYMWDYVARWFEECCRRTLHARLQTGPGDSSESSELQLTNFCLLVDFLL
+DIVSLETYIEIQTEHLPQLLLRMISALTSHLQTLHLTELTDSLRLCSKILSKVQPPLLSA
+STGGVLQFPSGQNNSVKEWEEKKVSSVSLENPTEVFEDGENPPSSRSSESGFTEFIQYQA
+DRTDDIDRELSEGQGAAAIPIGSTSSETETASTVGSEETIIQPPSIIAQGTAPRSGKTAQ
+KTAMQCCLEYVQQFLTRLINLYIIQSNAFSQALAPEHQGDLSGEQGEVLNWDRDSQGDVK
+DRNISKQKTSKEYLSAFLAACQLFLECSSFPVYIAEGNHTSDLRSEKSDTDWEHVQPPLW
+LQTLMNACSQASDFSVQSVAISLVMDLVGLTQSVAMVTGENINSVEPAQPLSPNQGRVAV
+VIRPPLTPGNLRYIAEKTEFFKHVALTLWNQLGDATPQHHQKSVELFYQLHNLVPSSSIC
+EDVISQQLTHKDKKIRMEAHAKFAVLWHLTRDLHINKSSSFARSFDRSLFIMLDSLNSLD
+GSTSSVGQAWLNQVLQRHDIARVLEPLLLLLLHPKTQRVSVQRVQAERYWNKAPCFPGEE
+SDKHFMQNFSCNNVSQVQLMASKGNGEKTLTMDEMENFSLTVNPLSDRLSLLSTSSETIP
+MVVSDFDLPDQQIEILQRSDSGCSQSSAGDNLSYEADPESMTAQDDSQAPSAGSPDDDVQ
+QVVFDLICKVVSGLEAESASVTSQSEIEGLPPKDSDVDPGEEVTSNEDQPAQQSQSALLN
+NDSSQFLSVSAEGSCECMPNGISRNSSSPCISGTTQTSHDSSVASTETRCRQRSHSSIQF
+SFKEKLSEKVSEKETIVKESGKQPGAKPKVKLARKKDDDKKKASNEKPKQTSVFFSDGLD
+LENWYSCGEGEISEIESDMGSPGSRKSPNFNIHPLYQHVLLYLQLYDSSRTLYAFSAIKA
+ILKTNPIAFVNAISTTSVNNAYTPQLSLLQNLLARHRISVMGKDFYSHIPVDSNHNFRSS
+MYIEILISLCLYYMRSHYPTHVKVTAQDLIGNRNMQMMSIEILTLLFTELAKVIESSAKG
+FPSFISDMLSKCKVQKVILHCLLSSIFSAQKWHSEKMAGKNVIAVEEGFSEDSLINFSED
+EFDSGSTLQSQLLKVLQRLIVLEHRVMTIPEENETGFDFVVSDLEHINPHQPMTSLQYLH
+AQPITSQGMFLCAVIRALHQHCACKMHPQWIGLITSTLPYMGKVLQRVVVSVTLQLCRNL
+DNLIQQYKYETGLSDSRPLWMASIIPPDMILTLLEGVTAIIHYCLLDPTTQYHQLLVSVD
+HKHLCEARSGILSILHMIMSSVTLLWSVLHQADSSEKTTIAASASVTTINLGATKVIPAA
+SEEQLLLVELVRSISVMRAETVIQTVKDVLKQPPAIAKDKKHLSLEVCMLQFFYAYIQRI
+PVPNLVDSWASLLILLKDSIQLSLPAPGQFLILGVLNEFIMKNPSLENKKDQRDLQDVTH
+KIVDAIGAIAGSSLEQTTWLRRNLEVKPSPKIMVDGTNLESDVEDMLSPAMETSNITPSV
+YSVHALTLLSEVLAHLLDMVFYSDEKERVIPLLVNIMHYVVPYLRNHSAHNAPSYRACVQ
+LLSSLSGYQYTRRAWKKEAFDLFMDPSFFQMDASCVNHWRAIMDNLMTHDKTTFRDLMTR
+VAVAQSSSLNLFANRDVELEQRAMLLKRLAFAIFSSEIDQYQKYLPDIQERLVESLRLPQ
+VPTLHSQVFLFFRVLLLRMSPQHLTSLWPTMITELVQVFLLMEQELTADEDISRTSGPSV
+AGLETTYTGGNGFSTSYNSQRWLNLYLSACKFLDLALALPSENLPQFQMYRWAFIPEVSD
+DSGLEVRRQGIHQREFKPYVVRLAKLLRKKAKDKEEDFKTVILEGLEMASHQKTPEEDNS
+GRALGWEPGHLLLTACTVRSLEQLLPFFNVLSQVFNSKVTSRCAGHSGSPVLYSTAFPNK
+DLKLENHKPFSSKARQKIEEMVEKDFLEGVVKT
+>tr|A0A3Q1LVX4|A0A3Q1LVX4_BOVIN Glutathione S-transferase zeta 1 OS=Bos taurus OX=9913 GN=GSTZ1 PE=1 SV=1
+LFLSPQPILYSYFRSSCSWRVRIALALKNIDYETVAINLTKDGGQQFSGEFQALNPMKQV
+PALKIDGITIGQSLAIIEYLEETRPTPRLLPWDPKKRAQVRMVSDLIASGIQPLQNLSVL
+KQVGQENQLTWAQQAITSGFNALEQILQSTAGRYCVGDEVSPSPGRLRLPPSPPETQPFK
+VDLTPYPTISRINKSLLALEAFHVSHPCRQPDTPPELRA
+>tr|A0A3Q1M1M0|A0A3Q1M1M0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MKRQRNTQQIKEQDKCPPNQTKEEEIGNLPDKEFQIMIVKLIQNLEIKMESQINSLETRI
+EKMQERFNKDLEEIKKSQYIMNNAINEIKNTLEPTNSRITEAEDRISELEDRMVEINESE
+RIKEKRIKRNEDNLRDLQDNIKRYNIRIIGVPEEEDKKKDHEKILEEIIVENFPKMGKEI
+ITQVQETQRVPNRINPRRNTPRHILIKLTKIKHKEQILKAAREKQQITHKGIPIRITADL
+SIETLQARREWQDILKMMKENNLQPRLLYPARISFKYEGEIKSFSDKQKLREFCTTKPAL
+QQILKDIL
+>tr|A0A3Q1NN83|A0A3Q1NN83_BOVIN CAP-Gly domain containing linker protein 1 OS=Bos taurus OX=9913 GN=CLIP1 PE=1 SV=1
+MSMLKPSGLKAPTKILKPGSTALKTPAAVAAPIEKTISSEKASSTLSSETQEEFVDDFRV
+GERVWVNGNKPGFIQFLGETQFAPGQWAGIVLDEPIGKNDGSVAGVRYFQCELLKGIFTR
+PSKLTRKVQAEDEADGPQTPHASRATSSLSTSGISMASSSPATPSNIPHKSSQPTAKEPS
+ATSQISNLTKTASESISNLSEAGSIKKGERELKIGDRVLVGGTKAGVVRFLGETDFAKGE
+WCGVELDEPLGKNDGAVAGTRYFQCQPKYGLFAPVHKVTKIGFPSTTPAKAKAAAVRRVM
+ATTPASMKRSPSASSLSSMSSVASSVSSKPSRTGLLTETSSRYARKISGTTALQEALKEK
+QQHIEQLLAERDLERAEVAKATSHVGEIEQELALARDGHDQHVLELEAKMDQLRTMVEAA
+DREKVELLNQLEEEKRKVEDLQFRVEEESITKGDLETQTKLEHARIKELEQSLLFEKTKA
+DKLQRELEDTRVATVSEKSRIMELEKDLALRVQEVAELRRRLESNKPAGDVDMSLSLLQE
+ISALQEKLEATHSDHQKEVASLKEHFGAREEMHQKELKVLQAATEKLSKENESLKSKLDH
+ANKENSDVIALWKSKLETAIASHQQAMEELKVSFSKGVGTETAEFAELKTQIEKMRLDYQ
+QEIENLQNKQDSERSAHTKELEALRAKLMQVIKEKENSLEAIQSKLDKAEEQHLVEMEDT
+LNKLQEAELKLKAAEEKLSDLDALQKASSEGKLEIENLRQQLEAAEKQIKNLEIEKDAES
+SKASSITKELQGKELMLNNLQENLSEVSQVKEALEKELQTLKEKFADASEEAVSFQRSMQ
+ETVNKLHQKEEQFNALSSELEKLRENLTDMEAKFRERDEREEQLIKAKEKLENDIAAIMK
+MSGDNSSQLTKMNDELRLKERNVEELQLKLTKANENASLLQKSIGEVTLKAEQSQKEAAK
+KHEEEKKELLRKLSDLEKKMEMSWNECQDLKARYEEASSESRAKHEEVLQNLHKLLRDTE
+ERLKAAQEENRELLQKLEELGKQADRARAAQTAEDAMQIMEQMTKEKTETLASLEDSKQT
+NEKLQNELDTLKENNLKNVEELNKSKELLTVENQKIEEFKKEIETLKQAAAQKSQQLSAL
+QEENVKLNEELGRSRDEVTSHQKLEEERSVLNNQLLEMKKRESKLIKDADEEKASLQKSI
+SITSALLTEKDAELEKLRNEVTALRGENASAKSLHSVVQSLESDKVKLELKVKNLELQLK
+ENKRQLSSSSGNTDTQAEEDERAQESQIDFLNSVIVDLQRKNQDLKMKVEMMSEAALNGN
+GDDLNNYDSDDQEKQSKKKPRLFCDICDCFDLHDTEDCPTQAQMSEDPPHSTHHGSRSEE
+RPYCDICEMFGHWATNCNDDETF
+>tr|A0A452DKE4|A0A452DKE4_BOVIN Neuromedin S OS=Bos taurus OX=9913 GN=NMS PE=4 SV=1
+MKYLAQFPSILAIYCFCLLQIPSSGFPRPLADASDGLDIVKFEVHHLKFYVTSSLFSFQD
+NQDIYKRFLFHYSRTQEPAHPVKTGFPPVHPLMRLAAKLADRRMKTFWRRVCTFSFHHTW
+LLFVCLFVFQPRNGRNLDFDTW
+>tr|E1BEV3|E1BEV3_BOVIN Homeobox C12 OS=Bos taurus OX=9913 GN=HOXC12 PE=4 SV=1
+MGEHNLLNPGFVGPLVNIHTGDTFYFPNFRASGAQLPGLPSLSYPRRDNVCSLPWPSAEP
+CNGYPQPYLGSPVSLNPPFGRTCELARVEDSKGYYREPCAEGGGGLKREERGRDPGPGVG
+PGAALLPLEPSGPPALGFKYDYAAGGGGGDGGAGPPHDPPSCQSLESDSSSSLLNEGNKG
+AGAGDPGSLVSPLNPGGGLAASGAPWYPIHSRSRKKRKPYSKLQLAELEGEFLVNEFITR
+QRRRELSDRLNLSDQQVKIWFQNRRMKKKRLLLREQALSFF
+>tr|E1B8F6|E1B8F6_BOVIN Peroxisomal biogenesis factor 6 OS=Bos taurus OX=9913 GN=PEX6 PE=4 SV=1
+MALAVLRVLEPFPTETPALAVLLPPGGPWPAAGLGLVLALRPAGESPAGPALLVAALEGP
+GAGTEEQGPGPPQLLVSRALLQLLALGSGAWVRARPVRRPPSLGWALLGTSSGPGLGPRV
+GPLLVRRGETLPVPGPRVLETRPALQGLLGPGTRLAVTELRGRAKLGPEAENSSRLPLPP
+VVSSFAASGTVRRLRGVLGGTGDALGVSRSCLRSLSLFQGEWVWVTRAGESSNTSQPHLA
+TVQVLEPRWNLSERLGPGSGQPGEPLADGLALVPATLAFNLGCDPLDVGELKIQRYLEGS
+STPEDKGSCSVLPGPPFAKELHIEIVSSPHYSTNGNYDHVLYRHFQTPRAVQEGDVLCVP
+TVGQVEILEASPEKLPRWQEMFFKVKRTVGEAPDGQARAYLADSAHTSLYLVGSTLSLVP
+RLPSGDSTPWSSLSPPGLEALVTELCTALKPRLQPGGALLTGTGSVLLRGPPGSGKTTAV
+AAACSRLGLHLLKVPCSSLCADSSGAVETKLQAAFSRARRCRPVVLLLTAVDLLGRDRDG
+LGEDARVVATLRHLLLDEDPLASCPPLMVVATTSRAQDLPADVQTAFPHELEVPVLAEAQ
+RLSVLRALTAHLPLGQEVNLAQLARRCAGFVVGDLFALLTHSSRAACTRIKNSGWAGGLS
+EEDEGELCAAGFPLLAEDFGQALEQLQAAHSQAIGAPRIPSVSWHDVGGLQEVKKEILET
+IQLPLEHPELLSLGLRRSGLLLHGPPGTGKTLLAKAVATECSLTFLSVKGPELINMYVGQ
+SEENVREVFARARAAAPCIIFFDELDSLAPNRGRSGDSGGVMDRVVSQLLAELDGLHSTQ
+DVFVIGATNRPDLLDPALLRPGRFDKLVFVGVNEDRASQLRVLSAITRKFRLEPSVSLVD
+VLDHCPPQLTGADLYSLCSDAMTAALKRRVRDLEEGLEPGSSALLLTMEDLLQAAARLQP
+SVSEQELLRYKRIQRKFAAC
+>tr|G5E5P3|G5E5P3_BOVIN Ectonucleoside triphosphate diphosphohydrolase 5 OS=Bos taurus OX=9913 GN=ENTPD5 PE=3 SV=1
+MALYQGAAFFMLVASCVCSTVFHREQQTWFEGVFLSSMCPVNVSAGTLYGIMFDAGSTGT
+RIHVYTFVQKVPGQLPVLEGEIFDSVKPGLSAFVDQPKQGAETVQELLEVAKDSIPPSHW
+KRTPVVLKATAGLRLLPEEKAEALLFEVKEIFKKSPFLVPDDSVSIMDGSYEGILAWVTV
+NFLTGQLHGHNQETVGTLDLGGASTQITFLPQFEKTLEQTPRDYLTSFEMFNSTYKLYTH
+SYLGFGLKAARLATLGALETAGIDGYTFRSACLPRWLEAEWIFGGVKYQYGGNQEGEVGF
+EPCYAEVLRVVQGKLHQPDEVQRGSFYAFSYYYDRAVDTDMIDYEKGGVLKVEDFERKAR
+EVCDNLENFTSGSPFLCMDLSYITALLKDGFGFASSTVLQLTKKVNNIETGWALGATFHL
+LQSLGISH
+>tr|A0A452DJ88|A0A452DJ88_BOVIN Protein kinase C OS=Bos taurus OX=9913 GN=PRKCB PE=3 SV=1
+MADPAAGPPPSEGEESTVRFARKGALRQKNVHEVKNHKFTARFFKQPTFCSHCTDFIWGF
+GKQGFQCQVCCFVVHKRCHEFVTFSCPGADKGPASDDPRSKHKFKIHTYSSPTFCDHCGS
+LLYGLIHQGMKCDTCMMNVHKRCVMNVPSLCGTDHTERRGRIYIQAHIEREVLIVVVRDA
+KNLVPMDPNGLSDPYVKLKLIPDPKSESKQKTKTIKCSLNPEWNETFRFQLKESDKDRRL
+SVEIWDWDLTSRNDFMGSLSFGISELQKAGVDGWFKLLSQEEGEYFNVPVPPEGSEGNEE
+LRQKFERAKIGPGPKTPEEKTTNTISKFDNNGNRDRMKLTDFNFLMVLGKGSFGKVMLSE
+RKGTDELYAVKILKKDVVIQDDDVECTMVEKRVLALPGKPPFLTQLHSCFQTMDRLYFVM
+EYVNGGDLMYHIQQVGRFKEPHAVFYAAEIAIGLFFLQSKGIIYRDLKLDNVMLDSEGHI
+KIADFGMCKENIWDGVTTKTFCGTPDYIAPEIIAYQPYGKSVDWWAFGVLLYEMLAGQPD
+TSKRLGCGPEGERDIKEHAFFRYIDWEKLERKEIQPPYKPKARDKRDTSNFDKEFTRQPV
+ELTPTDKLFIMNLDQNEFAGFSYTNPEFVINV
+>tr|E1BAQ2|E1BAQ2_BOVIN YTH N6-methyladenosine RNA binding protein 1 OS=Bos taurus OX=9913 GN=YTHDF1 PE=4 SV=3
+MSATSVDPQRTKGQDNKVQNGSLHQKDTVHDNDFEPYLSGQSNQSNSYPSMADPYLSSYY
+PPSIGFPYSLNEAPWSTGGDPPIPYLTTYGQLSNGDHHFVHDAVFGQPGGLGSNIYQHRF
+NFFPENPAFSAWGTSGSQGQQAQSSAYGNSYTYPPSSLGGTIVDGQTGFHGDTLNKAPGM
+NSLEQGMVGLKIGDVTTSAVKTVGSVVSSVAMTGILSGNGGTNVPLPVSKPTSWAAIASK
+PAKPQPKMKAKSGPAIGGALPPPPIKHNMDIGTWDNKGPVPKAPAPQQVPASSAAPQPQP
+AMQPLPAQPPLPAPPQHTGPQQLPQTRWVAPRSRNAAFGQTGGLGSDSNSSGGAQPSTTP
+SAESHPVLEKLKAAHSYNPKEFDWNLKSGRVFIIKSYSEDDVHRSIKYSLWCSTEHGNRR
+LDSAFRALGSKGPVYLLFSVNGSGHFCGVAEMKSPVDYGTSAGVWSQDKWKGKFDVKWIF
+VKDVPNSQLRHIRLENNDNKPVTNSRDTQEVPLEKARQVLRIIASYRHSTSIFDDFSHYE
+RRQEEEEVVRKERQSRNKQ
+>tr|A0A3Q1N5Y6|A0A3Q1N5Y6_BOVIN Mitogen-activated protein kinase kinase 3 OS=Bos taurus OX=9913 GN=MAP2K3 PE=3 SV=1
+RLCPVTLPPPGVPPGKSRRKKDLRISCMSKPPAPTSPLVPDLAPLNPLSFLSPKNFEVEA
+DDLVTISELGRGAYGVVEKVRHAQSGTIMAVKRIRATVNSQEQKRLLMDLDVNMRTVDCF
+YTVTFYGALFREGDVWICMELMDTSLDKFYRKVLDKGMTIPEDILGEIAVSIVRALEHLH
+SKLSVIHRDVKPSNVLINKEGHVKMCDFGISGYLVDSVAKTMDAGCKPYMAPERINPELN
+QKGYNVKSDVWSLGITMIEMAILRFPYESWGTPFQQLKQVVEEPSPQLPADRFSPEFVDF
+TAQCLRKNPAERMSYLELMEHPFFTSHKTKKTDIAAFVKEILGEDS
+>tr|A0A3Q1MRU4|A0A3Q1MRU4_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC515482 PE=3 SV=1
+MERLNQTSSVSEFILLGLSSRPQDQKPLFILFLIIYLVTITGNLLIVLAICSDPQLHTPM
+YFFLSVLSFTDICFTTTIVPRMLVNFLSHKTISYAGCLSQMYFIYALGNTDSCLLAVMAF
+DRYVAICDPFHYVTIMGHRRCVLLVAFSCSLPHFHSLLHVLLLNQLTFCDSNVIHHFLCD
+LSPLMKLSCSPTLINEIVIMTEGPVLLVTPFLFITFSYIRILIAILKIPSTSGKHKAFST
+CGSHLTVVTLFYGSIFYVYLQPVSTYTVRDHVATIVYTVLSSMLNPFIYSLRNKDLKQSL
+RKLVGRRKPQTAPP
+>tr|A0A3Q1M4V6|A0A3Q1M4V6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=KCNJ4 PE=3 SV=1
+MHGHSRNGQAHVPRRKRRNRFVKKNGQCNVYFANLSNKSQRYMADIFTTCVDTRWRYMLM
+IFSAAFLVSWLFFGLLFWCIAFFHGDLEAGPAGAAAGPPAGGSGAAPVAPKPCIMHVNGF
+LGAFLFSVETQTTIGYGFRCVTEECPLAVIAVVVQSIVGCVIDSFMIGTIMAKMARPKKR
+AQTLLFSHHAVISVRDGKLCLMWRVGNLRKSHIVEAHVRAQLIKPYMTQEGEYLPLDQRD
+LNVGYDIGLDRIFLVSPIIIVHEIDEDSPLYGMGKEELESEDFEIVVILEGMVEATAMTT
+QARSSYLASEILWGHRFEPVVFEEKSHYKVDYSRFHKTYEVAGTPCCSARELQESKITVL
+PAPPPPPSAFCYENELALMSQEEEEMEEEAAAAAAVAAGLGLEAGSKEEAGIIRMLEFGS
+HLDLERMQATLPLDNISYRRESAI
+>tr|E1BPD0|E1BPD0_BOVIN Proline rich 7, synaptic OS=Bos taurus OX=9913 GN=PRR7 PE=4 SV=1
+MVMSQGTYTFLTCFAGFWLIWGLIVLLCCFCSFLRRRLKRRQEERLREQNLRALELEPLE
+LEGSLAGSPPGLAPPPPPHRGRLEAPAHAHQHVHVHPLLHHGPAQPHAHPHAHHHALPHP
+PPPHLSVPPRPWSYPRQAESDMSKPPCYEEAVMMAEPPPPYSEVLTDTRGLYRKIVTPFL
+SRRDSAEKQEQPPPSYKPLFLDRGYTSALHLPSAPRPAPPCPALCLQSDRGRRVFPSWTD
+SELSSREPLEHGAWRLPVSIPLFGRTTAV
+>tr|A0A3Q1N820|A0A3Q1N820_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC787290 PE=3 SV=1
+MYRQTKPMYEANDSSVSEFVFLGLSASRPVQHFLLAFSTVFYVTIVLGNLLVVFAMTFDP
+HLHSPMYFLLANLSFIDLCFSTLTVPKMISDLYFGHKTISFQGCVIQIFVLHTLGGSEMV
+LLTAMAFDRYVAICKPLHYLTIMSPQVCLLLLCGAWAIGLIHSVVQLAFVIHLPFCGPNE
+IDSFYCDLPWFIKLACIDSYRMEFMVTAISGFISMGTFFLLIISYVFILVTVWKRSSGGL
+HKALSTLSAHITVVVLFFGPCIFVYMWPFPTVPVDKFLAILDFLVTPILNPAIYTLRNKD
+MKMAMRRLSSQLLIWRKVS
+>tr|A0A3Q1LV43|A0A3Q1LV43_BOVIN Potassium voltage-gated channel interacting protein 1 OS=Bos taurus OX=9913 GN=KCNIP1 PE=4 SV=1
+MTGFGKMFSYFFLDIAWWYYQYQRDKIEDELEMTMVCHRPEGLEQLEAQTNFTKRELQVL
+YRGFKNECPSGVVNEETFKQIYAQFFPHGDASMYAHYLFHAFDTTQTGSVKFEDFVTALS
+ILLRGTVHEKLRWTFNLYDINKDGYINKEEMMDIVKAIYDMMGKYTYPVLKEDTPRQHVD
+IFFQKMDKNKDGIVTLDEFLESCQEDDNIMRSLQLFQNVM
+>tr|F1MQK7|F1MQK7_BOVIN C-type lectin domain containing 16A OS=Bos taurus OX=9913 GN=CLEC16A PE=4 SV=3
+MFGRSRSWVGGGHGKSSRNIHSLDHLKYLYHVLTKNTTVTEQNRNLLVETIRSITEILIW
+GDQNDSSVFDFFLEKNMFVFFLNILRQKSGRYVCVQLLQTLNILFENISHETSLYYLLSN
+NYVNSIIVHKFDFSDEEIMAYYISFLKTLSLKLNNHTVHFFYNEHTNDFALYTEAIKFFN
+HPESMVRIAVRTITLNVYKVDNQAMLHYIRDKTAVPYFSNLVWFIGSHVIELDNCVQTDE
+EHRNRGKLSDLVAEHLDHLHYLNDILIINCEFLNDVLTDHLLNRLFLPLYVYSLENQDKG
+GERPKISLPVSLYLLSQVFLIIHHAPLVNSLAEVILNGDLSETYAKPEQDVQRSCAKPSI
+RCFIKPTETLERSLEMNKHKGKRRVQKRPNYKNVGEEEDEEKGPAEDAQEDAEKAKGTEG
+GSKGIKTSGENEEIEMVIMERSKLPELAASTSVQEQNTTDEEKSAATGLDSVQWSRPFLD
+MVYHALDSPDDDYHALFVLCLLYAMSHNKGMDPEKLERIQLPVPTAAEKTTYNHLLAERL
+IRIMNNAAQPDGKIRLATLELSCLLLKQQVVTSTGCIIKDVHLACLEGAREESVHLVRHF
+YKGEEIFLDMFEDEYRSMTMKPMNVEYLMMDASILLPPTGTPLTGIDFVKRLPCGDVEKT
+RRAIRVFFMLRALSLQLRGEPETQLPLTREEDLIKTDDVLDLNNSDLIACTVVAKDGGML
+QRFLAVDIYQMSLVEPDVSRLGWGVVKFAGLLQDMQVTGVEDDSRALNITIHKPASSPHS
+KPFPILQATFIFSDHIRCIIAKQRLAKGRIQARRMKMQRIAALLDLPIQPTTEVLGFGLG
+SSSAQHLPFRFYDQCRRGSSDPTVQRSVFASVDRVPGFAVAQCINQHSSSSLSSPSPSAS
+GSPSGSGSTSHCDSGGASSSSTPSAAQSPAVRSGRKGRRRVFSLSEADSHGGHWV
+>tr|A0A3Q1M0B8|A0A3Q1M0B8_BOVIN Peptidase S1 domain-containing protein OS=Bos taurus OX=9913 GN=TMPRSS12 PE=3 SV=1
+MALGLPSAALLFLASALICSEHYSLFSERSRRGSSWEQAGGLGWPEAVSRRPRGQKAHCG
+IAPLMHMFKGSRIVGGTEAQTGAWPWLVSLQIHSGRFGAHVCAGSLVKNRWVLTAAHCTK
+DARNPVIWRAVIGTNNIKGSEPYSKKIKVNAIIIHPDFNVESYDNDIALFHLKKAVRYNN
+YIQPICLPFGVFQRLNKNTTCFISGWGRTKEEGNVTKELHEAEVHYISRSFCNSERSYGG
+IVPNTSICAGDEDGIFDSCRGDSGGPLMCYLPERKRYFVMGITSYGYGCGRKNFPGVYSA
+PSFNQKWLTEQLYQSSNKGILNINILLGQVLIALGSVVLLATP
+>tr|A0A3Q1LSP3|A0A3Q1LSP3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+HPLLLLTSIFPSIRANKTSIYVCLLPLCYPQTRIDNSETEGTFGHVGCEE
+>tr|A0A452DHX3|A0A452DHX3_BOVIN Mast/stem cell growth factor receptor OS=Bos taurus OX=9913 GN=KIT PE=3 SV=1
+MRGARGAWDFLFVLLLLLLVQTGSSQPSVSPGELSLPSIHPAKSELIVSVGDEIRLLCTD
+PGFVKWTFEILGQLSEKTNPEWITEKAEATNTGNYTCTNKGGLSSSIYVFVRDPEKLFLI
+DLPLYGKEENDTLVRCPLTDPEVTNYSLTGCEGKPLPKDLTFVADPKAGITIRNVKREYH
+RLCLHCSANQRGKSMLSKKFTLKVRAAIKAVPVVSVSKTSYLLREGEEFAVTCLIKDVSS
+SVDSMWIKENSQQTKAQMKKNSWHQGDFSYLRQERLTISSARVNDSGVFMCYANNTFGSA
+NVTTTLEVVDKGFINIFPMMNTTVFVNDGENVDLVVEYEAYPKPVHRQWIYMNRTSTDKW
+DDYPKSENESNIRYVNELHLTRLKGTEGGTYTFHVSNSDVNSSVTFNVYVNTKPEILTHD
+RLVNGMLQCVAAGFPEPTIDWYFCPGTEQRCSVPVGPVDVQIQNSSVSPFGKLVVYSTID
+DSTFKHNGTVECRAYNDVGKSSASFNFAFKGNSKEQIHAHTLFTPLLIGFVIAAGLMCIF
+VMILTYKYLQKPMYEVQWKVVEEINGNNYVYIDPTQLPYDHKWEFPRNRLSFGKTLGAGA
+FGKVVEATAYGLIKSDAAMTVAVKMLKPSAHLTEREALMSELKVLSYLGNHMNIVNLLGA
+CTIGGPTLVITEYCCYGDLLNFLRRKRDSFICSKQEDHAEVALYKNLLHSKESSCNDSTN
+EYMDMKPGVSYVVPTKADKRRSARIGSYIERDVTPAIMEDDELALDLEDLLSFSYQVAKG
+MAFLASKNCIHRDLAARNILLTHGRITKICDFGLARDIKNDSNYVVKGNARLPVKWMAPE
+SIFNCVYTFESDVWSYGIFLWELFSLGSSPYPGMPVDSKFYKMIKEGFRMLSPEHAPAEM
+YDIMKTCWDADPLKRPTFKQIVQLIEKQISESTNHIYSNLANCSPHRENPAVDHSVRINS
+VGSSASSTQPLLVHEDV
+>tr|E1BGA5|E1BGA5_BOVIN H6 family homeobox 2 OS=Bos taurus OX=9913 GN=HMX2 PE=4 SV=1
+MGSKEDAGKGCPAAGGVSSFTIQSILGGGPSEAPREPAAWPARKRSLSVSSEEEEPDDGW
+KAPACFCPDPHGPKEPGSKHHPPIPFPCLGTPKGSGGAGPASSERKPFLSSSHPDFKEEK
+DRLLPAGSPSPGPERPRDSGGAERQAGAAKKKTRTVFSRSQVYQLESTFDMKRYLSSSER
+ACLASSLQLTETQVKTWFQNRRNKWKRQLSAELEAANMAHASAQTLVGMPLVFRDSSLLR
+VPVPRSLAFPAPLYYPGSNLSALPLYNLYNKLDY
+>tr|A0A3Q1MTT8|A0A3Q1MTT8_BOVIN Sphingosine 1-phosphate receptor 1 OS=Bos taurus OX=9913 GN=S1PR1 PE=3 SV=1
+MGSTRIPLVKALHSPVSDYVNYDIIVRHYNYTGKLKISADKDNGIKLISVVFILICCFII
+LENIFVLLTIWKTKKFHRPMYYFIGNLALSDLLAGVAYTANLLLSGATTYKLTPAQWFLR
+EGSMFVALSASVFSLLAIAIERYITMLKMKLHNGSNRFRSFLLISACWVISLILGGLPIM
+GWNCISTLPSCSTVLPLYHKHYILFCTTVFTLLLLSIVILYCRIYSLVRTRSRRLTFRKN
+ISKASRSSEKSLALLKTVIIVLGVFIACWAPLFILLLLDVGCKVKTCDILFRTEYFLVLA
+VLNSGTNPIIYTLSNKEMRRAFVRIMSCCKCPSRDSASKFTRPIIAGMEFSRKPCCDLCW
+VEALW
+>tr|A0A3Q1M805|A0A3Q1M805_BOVIN LIM domain 7 OS=Bos taurus OX=9913 GN=LMO7 PE=1 SV=1
+MEEAEADCALAFAEAQRWVEAVTEKNFETKDFRASLENGVLLCDLINKLKPGIIKKINRL
+STPIAGLDNINVFLKACEQIGLKEAQLFHPGDLQDLSNRVTVKQEETDRRVKNVLITLYW
+LGRKAQSNPYYNGPYLNLKAFENLLGQALTKALEESSCLKRSGRDSGCSDIWGPERGELL
+ASPGSCKREDSFESLDSLGSRSFTSCSSDITLRGAREGCESDTDSEFTFKMQDHNKDDMS
+YRRISAIEPKSALPFNRFLPNKSRQPSYVPAPLRKKKLDKNEDNRRSWASAVYTEADGAF
+PRSKSLSDVSAEDIQSLRQLRYEEMQRIKSQLKEQDQKWQDDLAKWKDRRKSYTSDLQKK
+KEEREEIEKQALEKSERRSKTFNEMLQDRESQNQMSTVTSRRRLYSFDDGLNEEKRPPVP
+PMPETYSQSERVEEKGTTYPTEIPKQDSTTFAKRETTVTAEVQFPSRSPVEEQRPASLSS
+QHSLNTQMESTRVSATLPRSYQKTDAARLTSVVTPRPFGSQSRGISSLPRSYTMDDAWKY
+NGDIEGVKRTQSSSISISVQRPDTRQLASGSSSEREAEAPRESVMRLPSPTSPCSSLSQD
+QAATSKDTLSSISSPDLTSELGEGRSSPQAEVPRSQDQFSDMRISINQTPGSRLDFGFTV
+KWAFSRIFVASVEAGSPAEFSQLQVDDEIIAINNTRFSYKDTKEWEETMAKAQETGSLVM
+DIRRYGKSDWGKDQASLPFTRHKTLNLTSMATKIIGSPETKWIDATSGIYSSDKASNLSV
+TTDFSESLQSSNTESKEINGICDESSTFESKASEPISLKNLKRRSQFFEQGSSDSVVPDL
+PVPTISAPSRWAWDPEEERKRQERWQKEQDRLLQEKYQREQEKLREEWQRAKQEAERENS
+KYLNEELMVLNSNSISLTAREPALATRGEESKSPDKEGTRAEEKMRHQQQEEDANEDQRK
+KLQEQLTLERERKLKEQQYQEEQQQKRREVEEQKHQAEKERETSIKIYQYRRPIDSYDIP
+KREEESSGLLPSDRNKSRSTTELDDFPTNKNGSNRYADRSGNSSSSQKSSKKEPAPSGAE
+LERQQILQEMRKRTSLYDDNSWIRQRSSSVNKEPICLPGIMRRGESLDNLDSPRTNSWRQ
+SPWLSQPSGVYASSSVQDFSRPPPQLLSTSNRAYMRNPSSSVPPPSAGSVKTTTPSPIPR
+SHSPAAPQPGSQPRNRSVSGKRVCSCCHNILGKGAAMIIESLGLCYHLHCFKCVACECDL
+GGSSSGAEVRIRNNQLYCNDCYLRFKCKRANQGENFLSFTESTGSHVLR
+>tr|A0A3Q1MIF5|A0A3Q1MIF5_BOVIN Ribonuclease A family member 4 OS=Bos taurus OX=9913 GN=RNASE4 PE=3 SV=1
+MVMVLSPLFLVFILGLGLTPVAPAQDDYRYIHFLTQHYDAKPKGRNDEYCFNMMKNRRLT
+RPCKDRNTFIHGNKNDIKAICEDRNGQPYRGDLRISKSEFQITICKHKGGSSRPPCRHLQ
+GSDGSPEDPGLSSALAADPAGARAGTALLWPGSHVPTIPEATCGP
+>tr|A0A3Q1MKM9|A0A3Q1MKM9_BOVIN BTB/POZ domain-containing protein KCTD1 OS=Bos taurus OX=9913 GN=KCTD1 PE=4 SV=1
+MARMPGSGDCNTSAGGSAAAAAAENNGERGEGERGVGGRGRRHGRPHYCSAGEEEEEDEE
+EDEIQEVQITGDEEEEEDGGGGLEEDEEEEEEMGLDWDEPLEPEDSAGEELEPEPVHRIN
+MDQSGALEPEAPPRLLAPRARGGPPGAGAELDPDVLQRPERARLSENTRLATRYAVRIFR
+EYLSEKAQSPDFETMDKGALCRVLRSFYAEARSKSGQLYSKSSLISIRSSLNRYLNEPPY
+CRTLDLTKDPELRSANLTLAAVIRKLEEQGAGPVVQKQAITRADLRKLYTSSVFSTNTPF
+GLLNKVWFETCMYFCTRGRENQRELEEDSFGLAMDEDGRKFVYFKSLGPYHKSRSSSWSK
+KRAESSDEENLPRMYETGTEFCPYASFVKYLSKRNPLCKAFFQRPRDHCSEGDVTWYENK
+AIGKNLLGTRMQMLSKAAKLSKTYTNHCIGAVSIATLNSIAGIGTKLGSPAPQGCYAEAL
+NGAARHHSHQPPTHPSHHHRPQPPSLGNTYILPRDSQVGPDVKSEAAPKRALYESVFGSG
+EMCGPSSPKRLCIRPSEPVDAVVVVSVKHDPLPLLPEANGHRSSNSPTIVSPAIISPTQD
+SRPNMSRPLITRSPASPLNNQGIPTPAQLTKSNAPVHIDVGGHMYTSSLATLTKYPESRI
+GRLFDGTEPIVLDSLKQHYFIDRDGQMFRYILNFLRTSKLLIPDDFKDYTLLYEEAKYFQ
+LQPMLLEMERWKQDRESGRFSRPCECLVVRVAPDLGERITLSGDKSLIEEVFPEIGDVMC
+NSVNAGWNHDSTHVIRFPLNGYCHLNSVQVLERLQQRGFEVVGSCGGGVDSSQFSEYVLR
+RELRRTPRGPSVIRIKQEPLD
+>tr|A0A3Q1LWP2|A0A3Q1LWP2_BOVIN Protein phosphatase 1 regulatory subunit OS=Bos taurus OX=9913 GN=PPP1R12C PE=4 SV=1
+MSDEDGPGAGPGAAAAAARERRREQLRQWGARAGAEPGPGERRARTVRFERAAEFLAACA
+GGDLDEARLMLRAADPGPGAELDPAAPPPARAVLDSTNADGISALHQACIDENLEVVRFL
+VEQGATVNQADNEGWTPLHVAASCGYLDIARYLLSHGANIAAVNSDGDLPLDLAESDAME
+ALLKAEIARRGVDVEAAKRAEEELLLHDTRCWLNGGAMPEARHPRTGASALHVAAAKGYI
+EVMRLLLQAGYDPELRDGDGWTPLHAAAHWGVEDACRLLAEHGGGMDSLTHAGQRPCDLA
+DEEVLSLLEELARKQEDLRNQKEAAQSRGPEPQGPSSSKHRRSSVCRLSSREKISLQDLS
+KERRPGGAGGTPIRDEDEGEEAPSEPPPAECRALNGVSSPPPCSPGSPVTPEEAPFSRRF
+GLQKTGSSGALGPTDWRGAEGTPGAGLQRSASSSRLEGASTQVSAGGRPGEQTLPSRESS
+CLSEISRPPPPLGNSTPPPRTPEPESPVKPNVPVASAAPPADSRDRRRTYQMPVRDEESE
+SQRKARSRLMRQSRRSTQGVTLTDLKEAEKAAGKAPEAEKSSVQSLDPSRRPRVPGVEST
+DGPAQRAEAPDGQGQGPQAAREPRRVGKEWRGPAEGEEAEPAPADRSPETSTPEGDPSSR
+RQRDLNPDPEPEPDEPDGGFRKLYAELRSENERLREALTETTLQLAQLKVELERATQVRT
+RVGRGFSLPRATRLTRLPTSQALSDLRADNQRLKDENAALIRVISKLSK
+>tr|A0A3Q1LR76|A0A3Q1LR76_BOVIN AT-rich interactive domain-containing protein 5A OS=Bos taurus OX=9913 GN=ARID5A PE=4 SV=1
+MAPPVKGKRKQSEEGEPLDPPVSPQPDGEPRSRSPVRLEEPPEAGREREEEQEEEQAFLV
+SLYKFMKERHTPIERVPHLGFKQINLWKIYKAVEKLGAYELVTGRRLWKNVYDELGGSPG
+STSAATCTRRHYERCEAPGVTRQLCWAVGLGAGAAPVAGGVCVLVGQGCWRSRPGGSWGG
+PRGWEPPASPAPWQHALFFPRLVLPYVRHLKGEDDKPLPPSKPRKQYKMAKEPRGDDGAT
+ERPKKVKEEKRVDQLMPAKTKTDAPDPARLPSQETPRDGMEQRGPAAGPSLPFLGASGCP
+EAYKRLLSSFYCRGTHGIMSPLAKKKLLAQVSKAEALQCQEEGCRHGAGGEPQAPPAAPP
+LESPQSPGGPAEDSRHRLTPLEGRQAPGGGLWGETQAGPRPSAPVVTGCFHAYPSEVLKP
+ISQRPRDLFPSLKDRVLLGPPAKEEGLPAKEPPLVWGGDAGRPSAFHKGSSRKGSLYPKP
+KACWVSPMTKVPAESPVPLPTFPSSPGLGHKRSLAEDSSVHGSKKLRAVSPFLKEANAQE
+CGTKPRGPDLAVSCLLGPALPEAYRGTLLRCPLNFAGTLGPLKGQATLPFSPLVIPAFPA
+HLLATTAPSPMTAGLMHLPPASFDSALCHRLCPASSPWHVPPATAYTAPHFSFHLNTKL
+>tr|A0A3Q1M9A2|A0A3Q1M9A2_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=OR5B17 PE=3 SV=1
+MENNTETADFILLGLTDDPQLQVPLFIAFTFIYLLTLIGNLGMTTLILLDSRLHIPMYFF
+LSNLSLVDFCYSSTITPKVMAGLLIGDKTMSYRACAAQMFFFVAFATVENYLLASMAYDR
+YAAVCKPLHYTTTMTTSVCARLAIGSHIFGLLTAAIDAGDTFCLSFCTSNVIHHFFCDIP
+AVMSLTCSDKGINELILFLISSFNIFFALLVILISYLLIFITILKLQSGEGYQKALSTCA
+SHLVAVSIFYGTVIFMYLQPSSSHSMDRDKIASVFYTILIPMLNPVVYSLRNREVKNAFK
+KVIEKAKCSLGLTF
+>tr|A0A3Q1M4E2|A0A3Q1M4E2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MSCQQNQQQCQPPPKCAPKCPTPKCPPKCPPVSSCCDVSSEGCCGSSSGDCCSSGSGDCC
+SSGCGGCCLSHHRRRRSHHCRPHRSDCCSQPSGGSGCCGGGSGQSFGGGCC
+>tr|F1MC44|F1MC44_BOVIN NOP2/Sun RNA methyltransferase family member 6 OS=Bos taurus OX=9913 GN=NSUN6 PE=3 SV=3
+MSVFPKISLRLEVENYLKAGFMNKEILSALGKQEAERRFEMLLHNLSHPPSFTTVRVNTH
+LASVQHVKNLLFDELQKQFNGLSFPVLQHPDLQDVLLIPVIGPRKNIKQQTCEVIVGAQC
+GSAVLRGAHVYVPGIVSASKFMKGGDDVSVYSDIKGKCKKGAKEFDGTKIFLGNGISELS
+RKEIFSGLPELKGVGVRMTEPVYLSPSFDNVLPSYLFLQNLPSAVVSHVLDPQPGEKILD
+LCAAPGGKTTHIAALMHDQGEVIALDKISKKVEKIKQNALLLGLNSIRAFCFDGTKALKL
+DMVKDTDGEPPFLPESFDRILLDAPCSGMGQRPNMACTWTLKEVMSYQPLQRKLFTVAVE
+LLKPGGMLVYSTCTVTLAENEEQVAWALEAFPGLQLQHQVRTVAALSR
+>tr|G3MWK5|G3MWK5_BOVIN Coiled-coil domain containing 15 OS=Bos taurus OX=9913 GN=CCDC15 PE=4 SV=2
+MPRSMAPLKKPRNTSKLPLALNPLKSKNVLAVLAERNQAIVPVGAWVEPAPPDSSEVPAA
+TSAYIIEEELKEQLRKKQEALKHFQRQVKSRVNQQIRLRKKQQLQRSYEAAEKEGSIAMY
+SSDPAHLTPKRTSVFPSNLNAAIGRARLPPPQMLEGGIEDRENQSELFQQQAQALSQSMK
+QARHRLASFKTVNQKDAPEFPDDRKKSFPTQEKALCRKPSFTRINIGVRGDLPLEVHQGL
+LTPADYQKFMDDQEPEESSSVMIDKKGKKHLSWGDQEALFPEDKDIPFSRVQKVQFKTPL
+FDVIEEEEVKQLHQDVLPEAQDYLPEAQGDLTSVLDVEWEAQRCEPRLSTQHIDLEGHTV
+EPKAQAIKTKTKSCILKDQTVGLEDGDIALEVQDFPLQNQTFLPTDQHILPKDQNIQPEC
+QDQDFLPSDQKVCFKETYCDMNEKGRKDFSLASYQYLPPKLQYQASIRDQSKCIMQPSCF
+KKSELGRGIASGVWLHRNFGQASHNVTDERWREELFLEHCECVVHEIQDPVSAREKSLCS
+IQQPCVGTAERWQEDLLLDGHHHLAPKQQREASSRKQVGDEYQSRLNTEFQTPLALQSGV
+SQEEDKKERQKQYLRYRRLFMDIEREQVKEQQRQKEHKKKIEKIKKKKEQQRYAEEQRIL
+RMKSHEEPCSGEKMSEILAQLQLEEIKGAREKQQQKEKEYQRYVEALRAQIQEKMQLYNI
+TLPPLCCCGPDFWDAHPDTCANNCIFYKNHKAYTRALHSVVNSCDIPEGNSDLRVAIHNF
+ASAHRRTLKNL
+>tr|E1BC30|E1BC30_BOVIN Sorting nexin 16 OS=Bos taurus OX=9913 GN=SNX16 PE=4 SV=1
+MATPYVPVPMPLGNSASSFATTRNQRSSSFGSISTSSNSSKGQLEDSNMGNFKQTRVSDQ
+MESTSSVCSSPLIRTKFTGADSSIEYSARPRESEEQSPETVNIEDRPSTPIILGYEVMEE
+RAKFTVYKILVKKTPEESWVVFRRYTDFSRLNDKLKEMFPGFRLALPPKRWFRDNYNAEF
+LEDRQLGLQAFLQNLVAHKDIANCLAVRDFLCLDDPPGPFDSLEESRAFCETLEETNYRF
+QKELLEKQKELESLKKLLSEKQLLIDTLENRIRTLSLKPEESLLMSGTEGRQTLKVEPSA
+LEVDQGVLDEESRADNKQYSSFSEPKNSISDIEVAEVAYNAED
+>tr|G5E5Q5|G5E5Q5_BOVIN Patched domain containing 1 OS=Bos taurus OX=9913 GN=PTCHD1 PE=4 SV=1
+MLRQVLHRGLRTCFSRLGHFIASHPVFFASAPVLISILLGASFSRYQVEESVEHLLAPQH
+SLAKIERNLVNSLFPVNRSKHRLYSDLQTPGRYGRVIVTSFQKANMLDQHHTDLILKLHA
+AVTRIQVPRPGFNYTFAHICILNNDKTCIVDDIVHVLEELKNARATNRTNFAITYPITHL
+KDGRAVYNGHQLGGVTVHSKDRVKSAEAVQLTYYLQSINSLNDMVAERWESSFCDTVRLF
+QKSNSKVKMYPYTSSSLREDFQKTSRVSERYLVTSLILVVTMAILCCSMQDCVRSKPWLG
+LLGLVTISLATLTAAGIINLTGGKYNSTFLGVPFVMLGHGLYGTFEMLSSWRKTREDQHV
+KERTAAVYADSMLSFSLTTAMYLVTFGIGASPFTNIEAARIFCCNSCIAIFFNYLYVLSF
+YGSSLVFTGYIENNYQHSIFCRKVPKPEALQEKPAWYRFLLTARFSEDTADGEEANTYES
+HLLVCFLKRYYCDWITNTYVKPFVVLFYLIYISFALMGYLQVSEGSDLSNIVATATQTIE
+YTTAQQKYFSNYSPVIGFYIYESIEYWNTSVQEDVLEYTKGFVRISWFESYLNYLRKLNV
+STGLPKKNFTDMLRNSFLKAPQFSHFQEDIIFSKKYNDEVDVVASRMFLVAKTMETNREE
+LYDLLETLRRLSVTSKVKFIVFNPSFVYMDRYASSLGAPLHNSCISALFLLFFSAFLVAD
+SLINVWLTLTVVSVEFGVIGFMTLWKVQLDCISVLCLIYGINYTIDNCAPLLSTFVLGKD
+FTRTKWVKNALEVHGVAILQSYLCYLVGLIPLAAVPSNLTCTLFRCLFLIALVTFFHCFA
+ILPVILTFLPPSKKKRKEKKNPENREEIECVEMVDIDSTRVVDQITTV
+>tr|G3MZ59|G3MZ59_BOVIN Tetratricopeptide repeat domain 9 OS=Bos taurus OX=9913 GN=TTC9 PE=4 SV=2
+MERKGSAAGAKGNPSPPAAGEAQRPQPPLCVPGGGGGGGGGGGGGTPARGQAGAAAEPAE
+FIRRAHEFKSQGAQCYKDKKFREAIGKYHRALLELKGLLPAAGERERDSRGASPAGAPNP
+GRLSEEQSKTVEAIEIDCYNSLAACLLQAELVNYERVKEYCLKVLKKEGENFKALYRSGV
+AFYHLGDYDKALYYLKEARTRQPTDTNVIRYIQLTEMKLSRCSQREKEAM
+>tr|A0A3Q1MTH1|A0A3Q1MTH1_BOVIN Mitochondrial fission factor OS=Bos taurus OX=9913 GN=MFF PE=4 SV=1
+MAEISRIQYEMEYTEGISQRMRVPEKLKVAPPNADLEQGFQEGVSNASVIMQVPERIVVA
+GNNEDIPFSRPADLDLIQSTPFKPLALKTPPRVLTLSERPLDFLDLERPAPTPQNEEIRA
+VGRLKRERSMSENAVRQNGQLVKTDSMWHRSDSAPRNKISRFQAPISTPEYTKLEPHQRD
+YPRSLRNTIPMKTRGKKSVTPSPPQVRVCPPRMLPEDGANLSSARGILSLIQSSTRRAYQ
+QILDVLDENRSVRRQNEIRCERPVLRGGSAAATSNPHHDNVRYGISNIDAMIEGTSEDMT
+VVDAASLRRQIIKLNRRLQLLEEENKERAKREMVMYSITVAFWLLNSWLWFRR
+>tr|E1BD88|E1BD88_BOVIN Spindlin 1 OS=Bos taurus OX=9913 GN=SPIN1 PE=4 SV=2
+MKTPFGKTPGQRSRADAGHAGVSASMMKKRTSHKKHRSSVGPSKPVSQPRRNIVGCRIQH
+GWKEGNGPVTQWKGTVLDQVPVNPSLYLIKYDGFDCVYGLELNKDERVSALEVLPDRVAT
+SRISDAHLADTMIGKAVEHMFETEDGSKDEWRGMVLARAPIMNTWFYITYEKDPVLYMYQ
+LLDDYKEGDLRIMPDSNDSPPAEREPGEVVDSLVGKQVEYAKEDGSKRTGMVIHQVEAKP
+SVYFIKFDDDFHIYVYDLVKTS
+>tr|A0A3Q1M999|A0A3Q1M999_BOVIN Glypican 4 OS=Bos taurus OX=9913 GN=GPC4 PE=1 SV=1
+MARFGLPALFCTLAALSAALLAAEPKSKSCSEVRRLYVSKGFDKNDAPMHEINEFFKELL
+ENAEKSLNDMFVKTYGHLYMQNSELFKDLFEELKRYYVAGNVNLEEMLNDFWARLLERMF
+RLVNSQYHFTDEYLECVSKYTEQLKPFGDVPRKLKLQVTRAFVAARTFAQGLAVARDVVS
+KVSVVNPTTQCTHALVKMMYCSHCQGLVTVKPCYNYCSNIMRGCLANQGDLDLEWNNFID
+AMLMVAERLEGPFNIESVMDPIDVKISDAIMNMQENSVQVSQKVFQGCGPPKPLPAGRIS
+RSISEGTFSARFRPFHPEERPTTAAGTSLDRLVTDVKEKLKQAKKFWSSLPSSVCNDERM
+AAGSGNEDDCWNGKGQSRYLFAVTGNGLANQGNNPEVQVDTSKPDILILRQVMALRVMTS
+KMKNAYNGNDVDFFDISDESSGEGSGSGCESQQCPSEFEYNATDHSGKSANDKASSAGVP
+AAAWPYLLPVFCILSLVMQREWR
+>tr|A0A3Q1LPR7|A0A3Q1LPR7_BOVIN Slingshot protein phosphatase 1 OS=Bos taurus OX=9913 GN=SSH1 PE=4 SV=1
+MVKGAALFLQQGSSPQAQRSLQHPHRHAGDLPQHLQVMINLLRCEDRIKLAVRLESAWAE
+RVRYMVVVDSSGRQDTEESILLGVDFSSKESKSCTIGMVLRLWSDTKIHLDGDGGFSVST
+AGRMHVFKPVSVQAMWSALQVLHKACEVARRHNYFPGGVALLWASYYESCIGSEQSCINE
+WNAMQDLESTRPDSPALFADKPTEGERTERLIKAKLRSIMMSQDLENVTSKEIRNELEKQ
+MNCNLKEFKEFIDNEMLLILGQMDKPSLIFDHLYLGSEWNASNLEELQGSGVDYILNVTR
+EIDNFFPGLFAYHNIRVYDEETTDLLAHWNEAYHFINKAKRNHSKCLVHCKMGVSRSAST
+VIAYAMKEFGWTLEKAYNYVKQKRSITRPNAGFMRQLSEYEGILDASKQRHNKLWRQQTD
+DSCLQQPVDDPVGPGDFQPETLDSTPEAPPPCLDATAPLPEFPGSGALGGAALPCCFRRL
+SDPLLRAPGDETGGPVHLEDLERDALLEEAAQLAEVSQPARHPPEGPGLCEREVTKKPEL
+EPQAEEMEREEALGARRWRQPAAQLDNLLNRENLNNNNSKRSCPDDLEHDAIFGILNKVK
+PSYKSCADCMYPAAGGTPEAFGERCKNPGAAAICTQPTFLPQLTSSPVAGRSRALEKLAS
+GPTNTALFLPPTGSRRPDTGGPGAGAAPEPPASLPEPSRETHKALPKSLLVKNSHCDKNP
+PGSEAAKEDLSPKKDPKPAKDLRLLFSKEAEKPTSNSYLMQHQESIIQLQKAGLVRKHTK
+ELERLKGTPAEPGALCRDGPAAIPEENQDLPLPGQAPGPEKPEPGPSLLEGAPLKSPPPF
+LCRPDHASHFSRDFLKTICYTPTSSSMSSNLTRSSSSDSIHSVRGKPGLVKQRTQEIETR
+LRLAGLTVSSPLKRSHSLAKLGSLNLSTEDLSSEADVSSAADSQDARLSESSLLHEPPPA
+ARSPAATSKPSGKSAPENLKSPPWPGKS
+>tr|G3MWX7|G3MWX7_BOVIN Lipocln_cytosolic_FA-bd_dom domain-containing protein OS=Bos taurus OX=9913 GN=LOC100295548 PE=3 SV=2
+MWYEIALASNLEHQSSSPRRKVGAVIVEQDGPHLSLTSVSDHMNLCMKEKNQAVKGDAPG
+KFKIPLESGGKEVIVVATDYKTYAIMNIILNRGGKPSSVDSQPLEHGESPVQL
+>tr|A0A452DI94|A0A452DI94_BOVIN Prostaglandin G/H synthase 1 OS=Bos taurus OX=9913 GN=PTGS1 PE=4 SV=1
+MGREGAGPRGRSSRAVRDALQGPESVSSTQRPPCTMSRQGISLRFPLLLLLLSPSPVLPA
+DPGAPAPVNPCCYYPCQHQGICVRFGLDRYQCDCTRTGYYGPNCTIPEIWTWLRTTLRPS
+PSFVHFLLTHGRWLWDFVNATFIRDKLMRLVLTVRSNLIPSPPTYNVAHDYISWESFSNV
+SYYTRILPSVPRDCPTPMGTKGKKQLPDAEFLSRRFLLRRKFIPDPQGTNLMFAFFAQHF
+THQFFKTSGKMGPGFTKALGHGVDLGHIYGDNLERQYQLRLFKDGKLKYQMLNGEVYPPS
+VEEAPVLMHYPRGIPPQSQMAVGQEVFGLLPGLMVYATIWLREHNRVCDLLKAEHPTWGD
+EQLFQTARLILIGETIKIVIEEYVQQLSGYFLQLKFDPELLFGAQFQYRNRIAMEFNQLY
+HWHPLMPDSFRVGPQDYSYEQFLFNTSMLVDYGVEALVDAFSRQPAGRIGGGRNIDHHIL
+HVAVDVIKESRELRLQPFNEYRKRFGMKPYTSFQELTGEKEMAAELEELYGDIDALEFYP
+GLLLEKCHPNSIFGESMIEMGAPFSLKGLLGNPICSPEYWKASTFGGDVGFNLVKTATLK
+KLVCLNTKTCPYVSFHVPDPHREDRPGVERPPTEL
+>tr|A0A3Q1M4B8|A0A3Q1M4B8_BOVIN Serine peptidase inhibitor, Kunitz type 1 OS=Bos taurus OX=9913 GN=SPINT1 PE=4 SV=1
+MAGGRLSRARIPAVAVWLLCALVLQGAEAGPPPVTPGLLTADGCLNRFTPGVPDFVLDTD
+ASVRNGATFLRSLTARRGRDCVHACCSSNDCNLALVELQPDGGEDAIVSCFLINCLYEQN
+FVCKFAPREGFINYLTREVYRSYRELRTQGFRGSRIPMAWDGIDLKVQPQEPLVLKGVEN
+TDWRLLRGDTDIRVERNDPDQVELWGLKEGSYLFQLTATGSDQPERTSNVTVTVLSPEQT
+EEYCLASRKVGRCRGSFPRWYYDPTEQICKSFVYGGCLGNKNNYLREEECKLACRNVQGG
+PLRSMCSGSCHSSKFRCGDGCCIDSFLECDDTPDCPDASDEATCEKYTRDFDKLQRIHFP
+GDKGHCVDMPDTGLCSESIPRWYYNPFTEHCARFTYGGCYGNKNNFEEEEQCLESCRGIS
+KKDVFGLRRESPVPNTGSLPPPGPVEVAIAVLLGTCIVVVVAILGYCFFKNQRKDFHRHR
+HPPPSTPTSSKVSTTDDMEHLVYYQTTRPL
+>tr|A0A3Q1LUW8|A0A3Q1LUW8_BOVIN SH3 domain and tetratricopeptide repeats 2 OS=Bos taurus OX=9913 GN=SH3TC2 PE=4 SV=1
+MNIGPGLLKMEDLHEIRTQAREERSGINIHLKLSPMSDLTLSFSVKSRSRRCVNGPLQEA
+ARRRLWALENEDQEVRALFKDLSARLVGIQSQKAQFLITFKTMEEIWKFSTYLNLGYVST
+CLEHLLFDHKYWLNCRLVEDTEIHVSIDDKHLETIYLGLLIQEGHFFCRAMCSVAQPAEK
+EGEYLTLCKNELISVKMAEGESEWEAVSLVTGQRGLVPVSALEPLLLPFHQWFLKNYPGN
+CGLSRKRDWTGSYQIGRGKCKAWKEYEREEKDELNFHQGESIEIIGFVIPGLQWFIGKSA
+LSGEVGFVPTRIIDPDSYSPVSKNSAFFSDEEKCSLGFLGSDRKAECVSFLRTLAQTDIT
+SVYQLSGFESIQSLPNDLSVSQSEGFKETRPGGAWEEHQAMGSRQSSSSEDSSLEEELLS
+AASDTYHLPEPDDLDDPELLMNMNTGQEEEEAENFAPILAFLDHEGYADHFKSLYDFSFS
+FLTSSFYSYSEEDELMAYLEASRKWAKRSHMTWAHARLCFLLGRLSVRKVKLSQARVYFE
+EAIHILNGAFKDLSLVASLYINLAAIYLRQRLRHKGSSLLEKAGTLLACLPDREYSAKSE
+LDVVAYVLRQGIVSGSCPLEARACFLAIRLLLSLGRHDEVLPFAERLQLLSGHPPTSDAA
+ATILSFLYDKKYLPHLAVASVRLRGTPSVQGMALPIWQVHLVLQNTTKLLGISSPSWGEV
+SSLSCPALRQAVTSCEEQADWSTQRVLCLILSKVYLQHRSPDGAIHYLSQALMLGQLLSE
+QEAFESSLCLAWAYLLSSQPKKALDILEPFLYSLKETESVIHKGVVYNLMGLALQGEGRV
+NRAAKSYLRALNRAQEVGDVRNQAVALANLGHLTLKSWPQQPARNYLLQSVQLYSTLQAS
+METDMELVQVLLWLAQVQVYGRQLASGRVCYEMALLFGLRHQHLKSQLQVTKSLCHFYSS
+VSPNPEACITYHEHWLTLAQQLRDREMEGRLLESLGQLYRNLNTSRSLRRSLTCIKESLR
+IFIDLGERDKAAEAWLGAGRLHYLMQEDELVELYLQAAIQKALKSEEPSLALKLYEEAGD
+VFFNGTRHRHRAVEYYRTGAVPLARRMKAVRTELRIFNKLTELQISLEGYEKALEFATLA
+ARLSTVAGDQRQELVAFHRLATVYYSLHMYEMAEDCYLKTLSLCPPCLQSPKEALYYAKV
+YYRLGRLTFYQLKDAHDATEYFWLALAAAVLLGDEELQDIIRTRLDNICQSPLWHSCPSG
+RSSERARWLSGGGLAL
+>tr|F1MMM9|F1MMM9_BOVIN Zinc finger protein 529 OS=Bos taurus OX=9913 GN=ZNF529 PE=4 SV=3
+LANILEFLDQFFTVLTMDHELVTFRDVVISFSQEEWEYLDSAQRDLYWDVMMENYSNLVS
+LDLESKYDTKTLSVDKVIIEKNNSQWEVIENSKLVGLQNSIFRNDWQSQRKTEVQRPEVG
+SFSQIKIISEKVPSYENHKFLTLHQRFHDSKKPFEYKQYGKVLSCELSVDEYEKIHSGKK
+TSECSKYWETFGVDDPYTLQLNIHTAVKPCKCMECGNVFSFYEALSVHRDDQKCYKCKEY
+GRTFRGIEAITSFQRIHDCKKPYECTFCGKSFRVHAQLTRHQKIHTDEKPYKCMECSKDF
+RFHSQLTEHQRIHTGEKPYKCIQCEKVFRISSQLIEHQRIHTGEKPYACKQCGKTFGVCR
+ELARHQRIHTGKKPYECKACGKVFRNSSSLTRHQRIHTGEKPYKCKECGKAFGVGSELTR
+HQRIHSGQKPYECKECGKFFRLTSALIQHQRIHSGEKPYECKVCEKAFRHSSALTEHQRI
+HTGEKPYECKACGKAFRHSSSFTKHQRTHNGKKPYECKECGNAFSMGREESFE
+>tr|F1MZV7|F1MZV7_BOVIN Interleukin OS=Bos taurus OX=9913 GN=IL21 PE=3 SV=2
+MRSTPGNMERIVICLMVIFSGTVAHKSSSQGQDRLFIRLRQLIDIVDQLKNYVNDLDPEF
+LPAPEDVKRHCERSAFSCFQKVQLKSANNGDNEKIINILTKQLKRKLPATNTGRRQKHEV
+TCPSCDSYEKKPPKEYLERLKSLIQKMIHQHLS
+>tr|A0A452DIW5|A0A452DIW5_BOVIN WD repeat-containing protein 91 OS=Bos taurus OX=9913 GN=WDR91 PE=4 SV=1
+MAEAVERTDEMVREYLLFRGFTHTLRQLDAEIKADKEKGFRVDKIVDQLQQLMQVYDLAA
+LRDYWNYLERRLFSRLEDVYRPTINKLKTSLFRFYLVYTIQTNRSDKAQEFFAKQASELQ
+NQAEWKDWFVLPFLPSPDTNPTFATYFSRQWADTFIVSLHNFLSVLFQCIPVPVILNFDA
+ECQRTNQVQEENEVLRQKLFALQAEIHRLKKEEQQPEEEEALVQHKLPPYVSNMDRLGDS
+ELAMVCSQRNASLSQSPRVGFLSSLLPQSKKSPSRLSPAQGPPQTQSSAKKESFGSQTTK
+GREPAGAPKDGKSLFGGLAPGESSWSQHRQRRLQDHGKERKELLSMTLQCAEKKPEAGGP
+EAEPCPEPHVEALETLTRVPTAGPEGGGVRPEQPFIVLGQEEYGEHHSSIMHCRVDCSGR
+RVASLDVDGVIKVWSFNPIMQTKASSISKSPLLSLEWATKRDRLLLLGSGVGTVRLYDTE
+AKKNLCEININDDMPRILSLACSPSGASFVCSAAASSLTAHVDVLAPDIGSRGTNQVPGR
+LLLWDTKTMKQQLQFSLDPEPIAINCTAFNHNGNLLVTGAADGVIRLFDMQQHECAMSWK
+AHCGEVYSVEFSYDENTVYSIGEDGKFIQWNIHKSGLKVSEYGLPADATGPFVLSGYSGY
+KQVQVPRGRLFAFDSEGNYMLTCSATGGVIYKLGGDDKVLESCVSLGGHRAPVVTVDWST
+AMDCGTCLTASMDGKIKLTTLLAHKV
+>tr|F1MBL6|F1MBL6_BOVIN SMG1, nonsense mediated mRNA decay associated PI3K related kinase OS=Bos taurus OX=9913 GN=SMG1 PE=3 SV=2
+MSRRAPGSRLSSGGGGTKYPRSWNDWQPRTDSASADPDNLKYSSSRDRGGSSSYGLQPSN
+SAVVSRQRHDDTRIHADIQNDEKGGYSVNGGSGENTYGRKSLGQELRVNNVTSPEFTSIQ
+HGSRALAIKDMRKSQERSMSYSDESRLSNLLRRITREDDRDRRLATVKQLKEFIQQPENK
+LVLVKQLDNILAAVHDVLNESSKLLQELRQEGACCLGLLCASLSYEAEKIFKWIFSKFSS
+SAKDEVKLLYLCATYKALETVGEKKAFSSVMQLVMTSLQSILENVDTPELLCKCVKCILL
+VARCYPHIFSTNFRDTVDILVGWHIDHTQKPSLTQQVSGWLQSLEPFWVADLAFSTTLLG
+QFLEDMEAYAEDLSHVASGESVDEDVPPPSVSLPKLAALLRVFSTVVRSIGERFSPIRGP
+PITEAYVTDVLYRVMRCVTAANQVFFSEAVLTAANECVGVLLGSLDPSMTIHCDMVITYG
+LDQLENCQTCGTDYIISVLNLLTLIVEQINTKLPSSFVEKLFIPSSKLLFLRYHKEKEVV
+AVAHAVYQAVLSLKNIPVLETAYKLILGEMTCALNNLLHSLQLPDACSEIKHEAFKNHVF
+NVDNAKFVVIFDLSALTTIGNAKNSLIGMWALSPTVFALLSKNLMIVHGDLAVHFPAIQY
+AVLYTLYSHCTRHDHFISSSLSSSSPSLFDGAVISTVTTATKKHFSIILNLLGILLKKDN
+LNQDTRKLLMTWALEVAVLMKKSETYAPLFSLPSFHKFCKGLLANTLVEDVNICLQACSS
+LHALSSSLPDDLLQRCVDVCRVQLVHSGTRIRQAFGKLLKSIPLDVVLSNNNHTEIQEIS
+LALRSHMSKAPSNTFHPQDFSDVISFILYGNSHRIGKDNWLERLFYSCQRLDKRDQSTIP
+RNLLKTDAVLWQWAIWEAAQFTVLSKLRTPLGRAQDTFQTIEGIIRSLAAHTLNPDQDVS
+QWTTADNDEGHSSNQLRLVLLLQYLENLEKLMYNAYEGCANALTSPPKVIRTFFYTNRQT
+CQDWLTRIRLSIMRVGLLAGQPAVTVRHGFDLLTEMKTNPSQGNELEVTIMMVVEALCEL
+HCPEAIQGIAVWSSSVVGKNLLWINSVAQQAEGRFEKASVEYQEHLCAMTGVDCCISSFD
+KSVLTLANTGRNSASPKHSLNGESRKTVLSKPTDSSPEVINYLGNKACECYISIADWAAV
+QEWQNAVHDLKKSTSSTSLNLKADFNYIKSLSSFESGEFIECTEQLELLPGENISLLAGG
+SKEKIDMKKLLPNMLSPDPRELQKSIEVQLLRSSVCLATALNHIEQDQKWQSITENVVKY
+LKQTSRIAVGPLRLSTLTVSQSLPVLSTLQLYCSSALENTVSNRLATEDCLIPLFSEALR
+SCKQHDVRPWMQALRYTMYQSQLLEKIKEQTVPIRSHLMELGLTAAKFARKRGNVSLATR
+LLAQCSEVQLGKTTTAQDLVQHFKKLSTQGQVDEKWGPELDIEKTKLLYTAGQSTHAMEM
+LSSCAISFCKSAKAEHAVAKSILTLAKWIQAEWKEISGQLKQVYRAQQQQNFTGLSTLSK
+NILTLIELPSVNTMEEEYPRIESESTVHIGVGEPDFILGQLYHLSSVQAPEVAKSWAALA
+SWAYRWGRKVVDNASQGEGVRLLPREKSEVQNLLPDTITEEEKERIYGILGQAVCRPAGI
+QDEDITLQITESEDNEEDDMVDVIWRQLISSCPWLSELDESATEGVIKVWRKVVDRIFSL
+YKLSCSAYFTFLKLNAGQIPLDEDDPRLHLSHRVEQSTDDMIVMATLRLLRLLVKHAGEL
+RQYLEHGLETTPTAPWRGIIPQLFSRLNHPEVYVRQSICNLLCRVAQDSPHLILYPAIVG
+TISLSSESQASGNKFSSAIPTLLGNIQGEELLVSECEGGSPPASQDSNKDEPKSGLNEDQ
+AMMQDCYSKIVDKLSSANPTMVLQVQMLVAELRRVTVLWDELWLGVLLQQHMYVLRRIQQ
+LEDEVKRVQNNNTLRKEEKIAIMREKHTALMKPIVFALEHVRSITAAPAETPHEKWFQDN
+YGDAIENALEKLKTPSNPAKPGSSWIPFKEIMLSLQQRAQKRASYILRLEEISPWLAAMT
+NTEIALPGEVSARDTVTIHSVGGTITILPTKTKPKKLLFLGSDGKSYPYLFKGLEDLHLD
+ERIMQFLSIVNTMFATINRQETPRFHARHYSVTPLGTRSGLIQWVDGATPLFGLYKRWQQ
+REAALQAQKAQDSYQTPQNPGIVPRPSELYYSKIGPALKAVGLSLDVSRRDWPLHVMKAV
+LEELMEATPPNLLAKELWSSCTTPDEWWRVTQSYARSTAVMSMVGYIIGLGDRHLDNVLI
+DMTTGEVVHIDYNVCFEKGKSLRVPEKVPFRMTQNIETALGVTGVEGVFRLSCEQVLHIM
+RRGRETLLTLLEAFVYDPLVDWTAGGEAGFAGAVYGGGGQQAESKQSKREMEREITRSLF
+SSRVAEIKVNWFKNRDEMLVVLPKLDSSLDEYLSLQEQLTDVEKLQGKLLEEIEFLEGAE
+GVDHPSHTLQHRYSEHTQLQTQQRAVQEAIQVKLNEFEQWITHYQAAFNNLEATQLASLL
+QEISTQMDLGPPSYVPATAFLQNAGQAHLISQCEQLEGEVGALLQQRRSVLRGCLEQLHH
+YATVALQYPKAIFQKHRIEQWKTWMEELICNTTVERCQELYRKYEMQYAPQPPPTVCQFI
+TATEMTLQRYAADINSRLIRQVERLKQEAVTVPVCEDQLKEIERCIKVFLHENGEEGSLS
+LASVIISALCTLTRRNLMMEGAASSAGEQLVDLTSRDGAWFLEELCSMSGNVTCLVQLLK
+QCHLVPQDLDIPNPMEASEAVHLANGVYTSLQELNSNFRQIIFPEALRCLMKGEYTLENM
+LHELDSLIEQTTDGVPLQTLVESLQAYLRNAAMGLEEETHAHYIDVARMLHAQYGELIQP
+RNGSVDETPKMSAGQMLLVAFDGMFAQVETAFGLLVEKLNKMEIPIAWRKIDIIREARST
+QVNFFDDDNHRQVLEEIFFLKRLQTIKEFFRLCGTFSKTLSGSSSLEDQNTVNGPVQIVN
+VKTLFRNSCFSEDQMAKPIKAFTADFVRQLLIGLPNQALGLTLCSFISALGVDIIAQVEA
+KDFGAESKVSVDDLCKKAVEHNIQIGKFSQLVMNRATVLASSYDTAWKKHDLVRRLETSI
+ASCKTSLQRVQLHIAMFQWQHEDLLINRPQAMSVTPPPRSAILTSMKKKLHTLSQIETSI
+ATVQEKLAALEASIEQRLKWAGGANPALAPVLQDFEATIAERRNLVLKESQRASQVTFLC
+SNIIHFESLRTRTAEALNLDAALFELIKRCQQMCSFASQFNSSVSELELRLLQRVDTTLE
+HPIGSSEWLLSAHKQLTQDMSTQRAIQTEKEQQIETVCETIQNLVDNIKTVLTGHNRQLG
+DVKHLLKAMAKDEEAALADGEDVPYENSVRQFLGEYKSWQDNIQTVLFTLVQAMGQVRSQ
+EHVEMLQEITPTLKELKTQSQSVYNNLVSFASPLVTDATNECSSPTSSATYQPSFAAAVR
+SNTGQKTQPDVMSQNARKLIQKNLATSADTPPSTVPGTGKSVACSPKKAIRDPKTGKAVQ
+ERNSYAVSVWKRVKAKLEGRDVDPNRRMSVAEQVDYVIKEATNLDNLAQLYEGWTAWV
+>tr|F1MW79|F1MW79_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC790886 PE=4 SV=3
+MLMLINVLLTLWFYTAHGQGKHCNYPVIRHGRLYQSYSGYFPARVNQQFLYNCDQYFVPP
+SLYSSDYLTCTAEGWFPEEPCLRECIFNNLENGYTPSSERKAVQGETVRVRCYYGYSLQN
+NQNTMTCTESGWSPPPRCIRVKNTGCSQPPQIDHGTINSSSSAEERREIHEQRLYAHGTK
+LSYTCEEGFKISENNVIICHMGKWSSPPQCVGTNCVNLPTFEDAVLIDREKDFYRSGEQV
+AFKCLSYYQLDGPNTIQCIKSKWIGRPACRDVSCVNPPQVENAIIHNQKSRYQSGERARY
+ECIGNYDLFGETEVICLNGIWTEPPQCKDSQGKCGPPPPIDNGDITSLLQSVYLPGSIVE
+YRCQAYYELRGNRNVVCRNGEWSEPPKCLEACVISEETMRKHHIELRWKPDTKLYFKTED
+NIEFMCQHGYHPVTPEHTFRTTCREGEVVYPSCGKNID
+>tr|A0A3Q1LI46|A0A3Q1LI46_BOVIN Calpastatin OS=Bos taurus OX=9913 GN=CAST PE=1 SV=1
+MSQPGPKPAASPRPRRAARHTQEHVSRKTSGSPSKSGEKKGSDEKKATSLGSSQLSRTQA
+DEKALVPKVTTSSASASKSSSMNPTEAKAVKTEPEKKPQSSKPSVVHEKKTQEVKPKEHT
+EPKSLPKHSSDTGSKHAPKEKAVSKSSEQPPSEKSTKPKTKSQDKISGGGKSTVPAAAAA
+ASAEPADKNKENKLLTSAVPAESKPSKPSGKSDMDTALDDLIDTLGEPEEMKEDNTTYTG
+PEVSDPMSSTYIEELGKRESTLPPKYKELLNKEEGIAGPPPDSLKPLGPNDAIDALSSDF
+TCSSPTADAKKTEKEKSTEEALKAQSAGVIRSAAPPQEKKRKVEKDAMTEHALEALSASL
+GTRKPEPELDPSSIKEVDEAKAKEEKVKKCGEDEETVPSEYRLKPATDKDGKPLLPEAEE
+KPKPLSESELIDELSEDFDQSKCKEKQSKPTEKTEASPAAAPVPVAEDVPRTSMCSVQSA
+PPTAAPVKGMVPDDAVEALAGSLGKKEADPEDGKPVEDKVKEKAKEEDREKLGEKEETIP
+PDYRLEEAKDKDGKPLLPKEVKEPLPPLSEDVLLDALSKDFTVPSDTSSPQFEDAKLSAV
+VSEVVSQTPAPTTQAAGPPPSTAQRDNKELDDALDQLSDSLGQRQPDPDENKPVEDKVKE
+KAKAEHRDKLGERDDTIPPKYQHLLDDNKEGTPGKPKASEKPKASEKPAGAQDPIDALSG
+DFDSCPSTTETSTDTPKDKDKKPASSAEAPRNGGKAKDSTKAKEETSKPKADGKSTS
+>tr|A0A3Q1LN06|A0A3Q1LN06_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC519282 PE=3 SV=1
+MAGGRNSTTITEFILLGFSEFPQLTAVLFSIFLGIYLVTVSWNLGLIAVIRMDSRLHTPM
+YFFLSNLSLLDTCYISIIAPRMLSDFFRKHKLISFTGCIMQYFLFSSLGLTECSLLAAMA
+YDRYVAICSPLLYTATMCPSLCVQMVAGSCVTGFLGSFIQLCALLQLHFCGPNIINHFFC
+DLPQLLTLSCSDTLFFQVMTSVLTVIFGLMSVLVIMISYGYIVATIVKITSAEGRSKAFN
+TCASHLTAVTLFFGSGIFVYMYPNSGGSSSQSKLASVLYTVIIPMLNPLIYSLRNKEIKD
+ALSIRKKKLFSWCY
+>tr|A0A3Q1LNB2|A0A3Q1LNB2_BOVIN DNA topoisomerase II binding protein 1 OS=Bos taurus OX=9913 GN=TOPBP1 PE=4 SV=1
+MSKNDKEPFFVKFLKSSDNSECFFEALESIKEFQSEEYLQIITEEEALKIKENDRSLYIC
+DPFSGDAFDHLKKLGCRIVGPQVVIFCMHHQRCVPRAEHPVYNMVMSDVTVSCTSLEKDR
+REEVHKYVQMMGGRVYRDLNVSVTHLIAGEVGSKKYLVAANLKKPILLPSWIKTLWEKSQ
+EKKIARYTDINMEDFKCPIFLGCIICVTGLCTLDRKSVQQLTVKHGGQYMGQLKMNECTH
+LIVQEPKGQKYECAKRWNVHCVTTQWFFDSVEKGFCQDESIYKTEPRSEAKSMPDTSTPT
+GQINTIDSRTLSDVSHISNINASCINESICNSVNSKLEPTIENLENLDISAFQAPEDLLD
+GCRIYLCGFNGRKLDKLRRLINSGGGVRFNQLNEDVTHVIVGDDDDELKQFWDKSAHRPH
+VVGAKWLLECFSKGYMLPEEPYIHVNYQPVEIPVSDPPESKTALLKRKNNGFSKSDFVPE
+EKHEQADEDLLSQYQTNNPTVVEVAKSEVGLCSDSTHVEPRNDSTHISLQEHQSSISHCL
+PDVSTITEEGLFSQKSFLVLGFSNENESNIAIIIRENAGKIVSLQSRVVADYAVVPLLGC
+EVQATVGEVVTNTWLVMCIDYQTLIDPKSNPLFTPVPVMAGMTPLEGCVLSFSQCVGAEK
+DSLTFLANHLGASVQEYFVRKSNAKKGMFASTHLVLKEPGGSKYEAAKKWNLPAVTIAWL
+LESARLGKRANENHFLIENSSKEEQSLETETTNEVNLNPDTPEHPVTHLETQRKTAVTPL
+DMNRFQSKAFRTVMSQHSGQASVSPSPGQSLQKEPSLHLDTPSKFLSKDKLFKPSFDVKE
+DAPKPLENVVVCVSKKLSKKQSELNGIAASLGADYRWSFDETVTHFIYQGRPNDTNREYK
+SVKERGVHIVSEHWLLECAQEYKHLPESLYPHTYNPKMSLDISAVQDGRLCNNRLLSAGS
+AMRDDEPDHMPLEENDIDNMTTSNKELTTSNGNGRNDSKGALTQTLEMRENFQKQLQEIM
+SATSIVKPQGQRTSLSRSGCNSTSSTPDSTRSARSGRSRVLEALRQSRQTVPDVNTEPSQ
+NEQIIWDDPTAREERARLASNLQWPSCPTQYSELQVDVKKLEDSPFQEPLHDSEIAEQAV
+CDPGNVCVTGAPKHPISEELEAPVKDSHLIPTPQAPSIAFPLANPPVAPHPREKIITIEE
+THEDLKKQYIFQLSSLNPQERIDYCHLIEKLGGSVIEKQCFDPSCTHIVVGHPLRNEKYL
+ASVAAGKWVLHRSYLEACRTAGRFMSEEDYEWGSSSILDVLTGINVQQRKLALAAMRWRK
+KIQQRQESGITEGAFSGWKVILHVDQSRESGFKRLLQSGGAKVLPGYSVSLFKEATHLFS
+DFNKQKPDDSGVNIAEAAAQNVYCLKTEYIADFLMQESPPQVENYCLPEAASFLQNKKEP
+GPGFSQKRKAPAEKNKIKRPRVQ
+>tr|A0A3Q1NGN2|A0A3Q1NGN2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MNPQQQRMAAIGTDKELSDLLDFSAMFSPPVNSGKTRPTTLGSSQFSGSGMDERGGTTSW
+GTSGQPSPSYDSSRVQNTR
+>tr|F1N5P3|F1N5P3_BOVIN tRNA pseudouridine synthase OS=Bos taurus OX=9913 GN=PUS3 PE=3 SV=1
+MAENDVDRIQTEKLLKRVQELEQEVKRLKKEQANNKDSNIRENSSGAGGKPKRAFDFSAH
+GQRHVALKIAYLGWGYQGFASQENTSNTIEEKLFEALTKTRLVENRQTSNYHRCGRTDKG
+VSAFGQVISLDLRSHIPKGRDSEHFNLKNEVNDVATEIRYTHILNRVLPPDIRVLAWAPV
+ETSFSARFSCLERTYRYFFPRANLDIVTMNYAAQKYVGTHDFRNLCKMDVANGVINFQRT
+ILSAQVQRVGQNLGEEGWQEPFQLCQFEVTGQAFLYHQVRCMMAVLFLIGQGMEKPEVID
+ELLNIEKNPQKPQYSMAVEFPLVLYDCKFENIKWIYDREVQEFNVTHLQQLWANHAVKTQ
+MLYSMLQGLDSVALPCGTGPKMDGMIEWRNVKPSVTKQTSAFVEGVKMRTYKPLMDRPKC
+QGLESRIQHFVRRGRIEHPHLFHEEETKAKRDCSDTLEEENTVFEKPTKRICVDTELKSI
+I
+>tr|G3N1W7|G3N1W7_BOVIN Tubulin beta chain OS=Bos taurus OX=9913 GN=TUBB2B PE=3 SV=1
+MREIVHIQAGQCGNQIGAKFWEVISDEHGIDPTGSYHGDSDLQLERINVYYNEATGNKYV
+PRAILVDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELVDSVLDVV
+RKESESCDCLQGFQLTHSLGGGTGSGMGTLLISKIREEYPDRIMNTFSVMPSPKVSDTVV
+EPYNATLSVHQLVENTDETYCIDNEALYDICFRTLKLTTPTYGDLNHLVSATMSGVTTCL
+RFPGQLNADLRKLAVNMVPFPRLHFFMPGFAPLTSRGSQQYRALTVPELTQQIYFAESNM
+NDLVSEYQQYQDATADEQGEFEEEEGEDEA
+>tr|A0A3Q1N6B1|A0A3Q1N6B1_BOVIN Hippocalcin-like protein 4 OS=Bos taurus OX=9913 GN=HPCAL4 PE=4 SV=1
+MQIVSPPSDRVIQELGGGFGADLGEPGGRPASPSRSPRPAEAQSHPATRRAASGPAMGKT
+NSKLAPEVLEDLVQNTEFSEQELKQWYKGFLKDCPSGILNLEEFQQLYIKVSGAQHSGGG
+PDGRGRSQAAMGAGPGSQGAGRERGAVAGLQPLVSSPQFFPYGDASKFAQHAFRTFDKNG
+DGTIDFREFICALSVTSRGSFEQKLNWAFEMYDLDGDGRITRLEMLEIIEAIYKMVGTVI
+MMRMNQDGLTPQQRVDKIFKKMDQDKDDQITLEEFKEAAKSDPSIVLLLQCDMQK
+>tr|A0A3Q1M5U9|A0A3Q1M5U9_BOVIN Kappa-casein OS=Bos taurus OX=9913 GN=CSN3 PE=4 SV=1
+MMKSFFLVVTILALTLPFLRCEKDERFFSDKIAKYIPIQYVLSRYPSYGLNYYQQKPVAL
+INNQFLPYPYYAKPAAVRSPAQILQWQVLSNTVPAKSCQAQPTTMARHPHPHLSFMAIPP
+KKNQDKTEIPTINTIASGEPTSTPTIEAVESTVATLEASPEVIESPPEINTVQVTSTAV
+>tr|A0A3Q1N1A7|A0A3Q1N1A7_BOVIN Carboxypeptidase B2 OS=Bos taurus OX=9913 GN=CPB2 PE=4 SV=1
+MKLYSLGVLVATVLFCGEHAFAFQRGQVLSALPRTSRQVQILQNVTTTYKIVLWQPVAAE
+YIVKGYEVHFFVNASDVSNVKAHLNASRIPFRVLVENVEDLIRQQTSNDTISPRASSSYY
+EQYHSLNEIYSWIEVMTERYPDMVEKIHIGSSYEKYPLYVLKVTYYYGKEKMHTNLLKHM
+DFYIMPVVNVDGYDYTWKKDRMWRKNRSLHEKNACVGTDLNRNFASKHWCGEGASSSSCS
+EIYCGTYPESEPEVKAVADFLRRNIKHIKAYISMHSYSQKIVFPYSYSRSRSKDHEELSL
+VAREAVFAMENIHRNIRYTHGSGSESLYLAPGGSDDWIYDLGIKYSFTFELRDKGKYGFL
+LPESYIRPTCSEALVAVAKIASHVVKNV
+>tr|F1N4N7|F1N4N7_BOVIN Cache domain containing 1 OS=Bos taurus OX=9913 GN=CACHD1 PE=4 SV=2
+MTREPEEEEAAGAAALARGSRGSLGRIQARRPPLWLLCLAVGWLLGAGADAEFSILDEAQ
+VLASQMRRLAAEELGVVTMQRIFNSFVYTEKISNGESEVQQLAKKIREKFNRYLDVVNRN
+KQVVEASYTAHLTSPLTAIQDCCTIPPSMMEFDGNFNTNVSRTISCDRLSTTVNSRAFNP
+GRDLNSVLADNLKSNPGIKWQYFSSEEGIFTVFPAHKFRCKGSYEHRSRPIYVSTVRPQS
+KQVVVILDHGASVTDTQLQIAKDAAQVILSAIDEHDKISVLTVADTVRTCSLDQCYKTFL
+SPATSETKRKMSTFVSSVKSLDSPTQHAVGFQKAFQLIRSTNNSTKFQANTDMVIIYLSA
+GITSKDSSEEDKKATLRVINEENSFLNNSVMILTYALMNDGVTGLKELAFLRDLAEQNSG
+KYGVLDRIALPVIKGSMMVLNQLSNLETTVGRFYTNLPNRMIDEAVFSLPFSDEMGDGLI
+MTVSKPCYFGNLLLGIVGVDVNLAYILEDVTYYQDSLASYTFLIDDKGYTLMHPSLTRPY
+LLSEPPLHTDIIHYENIPKFELVRQNILSLPLGSQIIAVPVNSSLSWHINKLRETGKEAY
+NVSYAWKMVQDTSFILCIVVIQPEIPVKQLKNLNTVPSSKLLYHRLDLLGQPSACLHFKQ
+LATLESPTVMLSAGSFSSPYEHLSQPETKRMVEHYTAYLSDNTRLIANPGLKFSVRNEVM
+ATSHVTDEWMTQMEMSSLNTYIVRRYIATPNGVLRIYPGSLMDKAFDPTRRQWYLHAVAN
+PGLISLTGPYLDVGGAGYVVTISHTIHSSSTQLSSGHTVAVMGIDFTLRYFYKVLMDLLP
+VCNQDGGNKIRCFIMEDRGYLVAHPTLIDPKGHAPVEQQHITHKEPLVANDILNHPNFVK
+KNLCNSFSDRTVQRFYKFNTSLVGDLTNLVHGSHCSKYRLARIPGTNAFVGIVNETCDSL
+AFCACSMVDRLCLNCHRMEQNECECPCECPLEVNECTGNLTNAENRNPSCEVHQEPVTYT
+AIDPGLQDALHQCVNSRCSQRTESGDCFGVLDCEWCMVDSDGKTHLDKSYCAPQKECFGG
+IVGAKSPYVDDMGSIGDEVVTLNMIKSAPVGPVAGGIMGCIMVLVLAVYAYRHQIHRRSH
+QHMSPLAAQEMSVRMSNLENDRDERDDDGPEDRGIISNTRFIAAVIERHAHSPERRRRYW
+GRSGTESDHGYSTMSPQEDSENPPCTNDPLSAGVDVGNHDEDLELDTPPQTAALLSHKFH
+HYRLHHPTLHHSHHLQAAVTVHTVDAEC
+>tr|E1B853|E1B853_BOVIN Ventral anterior homeobox 1 OS=Bos taurus OX=9913 GN=VAX1 PE=4 SV=3
+MFGKPDKMDVRCHSDTEAARVSKNAHKESRESKGAEGNLPAAFLKEPQGAFSASGAPEDC
+NKSKGNSAADPDYCRRILVRDAKGSIREIILPKGLDLDRPKRTRTSFTAEQLYRLEMEFQ
+RCQYVVGRERTELARQLNLSETQVKVWFQNRRTKQKKDQGKDSELRSVVSETAATCSVLR
+LLEQGRLLSPPGLPALLPPCATGALGSALRGPSLPALGTGAAAGSAAAAAAAAPGPAGAA
+SPHPPAVGGAPGPGPTGPGGLHAGAPAASHGLFSLPVPSLLGSVASRLSSAPLTMAGSLA
+GNLQELSARYLSSSAFEPYSRTNNKEGAEKKALD
+>tr|F1MEP1|F1MEP1_BOVIN Queuosine salvage protein OS=Bos taurus OX=9913 GN=C8H9orf64 PE=3 SV=1
+MDGLLTPRESAKFIAENSRDVFIDDGGVRRVAELLLAKATGPELRIGGWKAIHELNPRGT
+DEAAVNWVFVTDTLNFSFWSESDEYKCQVGFGGKTYSGYWSLCAAVNRALDEGIPITSAS
+YYATVTLDEVRHILRSDTDVPMPLIEERHRILNETGKILLEKFGGSFLNCVQKSDKSAQK
+LLHLVVENFPSYRDVTQFEGKRISFYKRAQILVADTWSVLEGKGDGCFKDISSITMFADY
+RLPQVLVYLGALKYSNELLEKLLKGEMLFYGNRQEVEIRGCSVWCVELIRDCLLELIEKK
+GEKTTGEINSILLDYYLWDYARDHREDMKGIPFHHTRCIYY
+>tr|F6RN30|F6RN30_BOVIN Golgi associated, gamma adaptin ear containing, ARF binding protein 1 OS=Bos taurus OX=9913 GN=GGA1 PE=4 SV=1
+MEPAMEPETLEARINRATNPLNKELNWASINGFCEQLNEDFEGPPLATRLLAHKIQSPQE
+WEAVQALTVLETCMKSCGKRFHDEVGKFRFLNELIKVVSPKYLGSRTSEKVKNKILELLY
+SWTVGLPEEVKIAEAYQMLKKQGIVKADPKLPDDATFPVPPPRPKNVIFEDEEKSKMLAR
+LLKSSHPEDLRAANKLIKEMVQEDQKRMEKISKRASAIEEVNNNVKLLTEMVMNHSQGGA
+AARSSEDLMKELYQRCERMRPTLFRLASDTEDNDEALAEILQANDNLTQVINLYKQLVRG
+EEVNGEATAASIPGSTSALLDLSGLDLPPTGATYPAVPTRPGDQASPEQPSTSVSLLDDE
+LMSLGLSDPTPLSGLDGAGWNSFQSSDSTEAPAPTPAPSVDIRPPAQTPPPASSGLDDLD
+LLGKTLLQQSLPPESQQVRWEKQQPAPRLTLRDLQNKSSCSLPSSSATGLLHTVSPEPPG
+PPQQPTPTEVSLANITVPLESIKPSSILPVTVYDQHGFRVLFHFARDPLPGRSDVLVVVV
+SMLSTAPQPIRNIVFQSAVPKVMKVKLQPPSGTELPAFNPIVHPSAITQVLLLANPQKEK
+VRLRYKLLFTMGDQTYNEMGDVDQFPPPETWGSL
+>tr|F1MQ74|F1MQ74_BOVIN Sushi domain containing 1 OS=Bos taurus OX=9913 GN=SUSD1 PE=4 SV=3
+MARGPWGVGIPLGRLLLPLLLPLNLARGAPRAWSQDDFHSDICATCHEHATCLKRETTSI
+CICKYGFVGNGRTHCIDKDECQYGASVVCGNHTSCHNIPGGFYCICLEGYRATNNNKTFI
+PNDGTFCTDIDECEVSSRCRRGGRCVNTRGSYECYCMDGYLPKGGPEPFHPTRDATSCTE
+IDCGTPPEIPGGYIIGNYTSRLGSQVHYACKEGFFSDSEDTASSCTAMGTWESPKLNCQE
+IDCGRPPEVQHAILEGNHSSRLGSVAHYVCLEGFESPGGKITSVCTEKGTWRTSASTCSE
+IIIEINEVSVFNNTCVRWQINPGRINSKIEYVIYIKGQRLDPLESGHEETVNLTTESRTP
+EVCLDLYPGTNYTVNISTAPPRRSVPAVTEFQTAGDDLLEDDGIFNISIFNEVCLKLNRH
+SRKVGSERVYQFKVLGRRWYLNTFYHATSFNFTTREQTAVVCLDLYPATDYSVNVTLLGS
+PERPSVQTTVTTAPAVKQTISNISVFNETCLRWRSMKTADIEEMYLVHIWGQRWYQKGFA
+QEMIFNITASSQTPETCLDLSPGTNYSVSIQALSSELPVVISLTTQITEPPLPEVDFFTV
+HGGPLPRLRLRKATEKNGPISSYQVLVLPSALQSTFACDSEEVASFFSEASEASGYVTAE
+LLAKDIPDDAMEIPIGDRLYYGKYYNAPLKTGHDYCIILRITSEWNEVRRSACAVWAHVK
+GSSLTFLLPQMVGVGLGSMAVVIVLAFLSFSAV
+>tr|A0A3Q1MA77|A0A3Q1MA77_BOVIN Pleckstrin homology domain-containing family A member 2 OS=Bos taurus OX=9913 GN=PLEKHA2 PE=4 SV=1
+SCHSGIELSPFRSSDRSGHHTVSLDQASVILGDPLFPPSLTSPWNLAIGAGAVGSLQLTY
+ISKVSIATPKQKPKTPFCFVINALSQRYFLQANDQKDLKDWVEALNQASKITVPKAGNLP
+LTTEVLKSLATPLALEKKPQVAYKTEIIGGVVVHTPINQNGGDGQEVGEPGSHAILRRSQ
+SYIPTTGCRGPTGPPLIKSGYCVKQGNVRKSWKRRFFALDDFTICYFKCEQDREPLRTIF
+LKDVLKTHECLVKSGDLLMRDNLFEIITSSRTFYVQADSPEDMHSWIKEIGAAVQALKCH
+PREMSFSRSISLTRPGSSSLSGGPNSILCRGRAPGEERKTLCKAPSLASSWQPWTPVPQA
+GEKLLPTEETAEDSLFTPRLGESSTSAVLPSSRIRHRSEPQHPKEKPFVFNLDDENIRTS
+DV
+>tr|A0A3Q1MGS4|A0A3Q1MGS4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MLPTQTGAKIFHCNYIMNRRAIGVMWKLSTISFAIRSAVCFQQEYWSNNGLDKGKEGLFG
+LFHFCIGKGISKELTCRGFFKEFSSLPAGVFKRSSFFIGLNLLLLIKCICIFILFFTKST
+AVPYNCCSASQLTSYWCFYFFCLPFLQGWAKDEVKWNCGKKKDTYTKGRRQVRWSAYILQ
+TIGILSLLLFQFLAKLLGHRKISLMADSLPTENTLILSQYLLE
+>tr|F1N1F5|F1N1F5_BOVIN Matrix metallopeptidase 25 OS=Bos taurus OX=9913 GN=MMP25 PE=3 SV=3
+ESAMLRLLALLLPLLQPPARAREPSAQDVSLGVDWLTRYGYLPPPHPAQAQLQSPAKLRD
+AIKVMQRFAGLPETGVLDPLTVATMHKPRCSLPDVLGAAGLVRRRRRYALSGSVWKKRTL
+TWRVNSFPQGSGLSQETVRTLMHHALTTWGAESDLRFQEVGSQGPTEPDILIDFARAYHQ
+DSYPFDGQGGTLAHAFFPGEHPISGDTHFDDEETWTFGSKDGEGTDLFAVAVHEFGHALG
+LGHSSAPDSIMRPFYQGPVGNPAEYRLSQDDREGLQQLYGRAPQTPYDKPTRKPLAPPPP
+PPALTPQPLPDRCDGNFDAIANIRGETFFFKGPWFWRLQPSGQLVSPRPARLHRFWEGLP
+TQVKVIQAAYARHPDGRILLFSGPQFWSFQDRLLEGAARPLTELGLPPGTEVDAVFSWPL
+NGKTYLIRGRQYWRYDEAAARPDPGYPRDLSLWEGAPSAPDDVTVSNTGDTYFFKGLHYW
+RFPKGSIKAEPDSPQPMGPKFLDCPAPTVGPRAPRPPRATLKAGDCDCQCGISRAAGRPS
+VLLLPLLSLLVGGLASP
+>tr|F1MWP2|F1MWP2_BOVIN G_PROTEIN_RECEP_F1_2 domain-containing protein OS=Bos taurus OX=9913 GN=LOC100298718 PE=3 SV=3
+MGPRNKTGILEFLLMEVTEDPELQPLHFILFLFIYLVTILGNLLIIMAVISDSHLHTPMY
+FFLYNLSFTDICLSTTMIPKMLVNIQTQNQSITYTGCLTQLCFILVFVGLENSLLAVMAH
+DRYVAICHPLRYMAIMNFPLCGQLILFSLFISIVVALLHSLMVLQLTFCTDLEIPLFFCE
+VVQVIKLACSDTLINNILIYLATSIFGGIPVCGIIFSYIQIVSSVLRMPSASGKYKAFST
+CGSHLSVMSLFYGTGFGVYISFALTNSSRNTAVLSMMYTVVPQMMNPFIYSLRNRDMKGA
+LRKLIVRTSFL
+>tr|A0A3Q1M810|A0A3Q1M810_BOVIN SAM pointed domain containing ETS transcription factor OS=Bos taurus OX=9913 GN=SPDEF PE=3 SV=1
+MGSASPGLSPGPPGRLLLPPDSALRTGLEKAAAAGTGPERRDWSPSPPATPEQGLPTFYL
+SYFDMLYPEDSSWVAKGPGASTRVEPPDEPEQCPVIDSQAPGGSLDLAPGGLTLEEHSLE
+QVQSMVVGEVLKDIETACKLLNITADPVDWSPGNVQKWLLWTEHQYRLPPVGKAFQELGG
+KELCAMSEEQFRQRSPLGGDVLHAHLDIWKSGGWTSTSEESWTDSEVDSSCSGQPIHLWQ
+FLKELLLKPHSYGRFIRWLNKEKGIFKIEDSAQVARLWGIRKNRPAMNYDKLSRSIRQYY
+KKGIIRKPDISQRLVYQFVHPI
+>tr|F1MAU4|F1MAU4_BOVIN Lysosomal Pro-X carboxypeptidase OS=Bos taurus OX=9913 GN=PRCP PE=4 SV=1
+MGRCSLLLLLLLIAFLTPGAANPVSPSLRAPSSLPWSTSFRSRPTITLKYSIRYIQQKVD
+HFGFNIDRTFKQRYLIADNYWKEDGGSILFYTGNEGDIIWFCNNTGFMWDIAEEMKAMLV
+FAEHRYYGESLPFGADSFSDSRHLNFLTTEQALADFAKLIRYLKRTIPGARNQPVIALGG
+SYGGMLAAWFRMKYPHLVVGALASSAPIWQFNDLVPCDIFMKIVTTDFSQSGPNCSESIR
+RSWDAINRLAKKGPGLRWLSEALHLCTPLTKSQDVQRLKDWISETWVNVAMVDYPYESNF
+LQPLPAWPVKVVCQYFKYSNVPDTVMVQNIFQALNVYYNYSGQAKCLNVSETATSSLGVL
+GWSYQACTEMVMPTCSDGVDDMFEPHSWNMKEYSDDCFKQWGVRPRPSWIPTMYGGKNIS
+SHTNIIFSNGELDPWSGGGVTKDITDTLLAIVIPNGAHHLDLRASNALDPVSVQLTRSLE
+VKYMKQWISDFYVRLRKMN
+>tr|F1MEG3|F1MEG3_BOVIN Laminin subunit alpha 1 OS=Bos taurus OX=9913 GN=LAMA1 PE=4 SV=3
+QGTLKSLFPAILNLASNAHISTNATCGEKGPETYCKLVEHVPGRAMRNAQCRVCDGSSAN
+PKERHPISNAIDGTNNWWQSPSIQNGREYHWVTITLDLRQVFQVAYVIIKAANAPRPGNW
+ILERSVDGVKFSPWQYYAVSDTECLTHYNITPRRGPPTYRADDEVICTSYYSRLVPLEHG
+EIHTSLINGRPSSDDLSPKLLDFTSARYIRLRLQRIRTLNADLMTLSHRDPKDLDPIVTR
+RYYYSIKDISVGGMCICYGHASSCPWDEGTKKFQCQCEHNTCGDRCNRCCPGYHQQPWRP
+GTVSSGNTCEECNCHNKAKDCYYDEDVANQRRSLNIAGQFRGGGVCIGCLQNTMGVNCET
+CVDGYYRPHGVSPYEDHPCRPCDCDPVGSLNSVCIKDDLHSDLHRGKWPGQCPCKEGYAG
+EKCDRCHFGYKGYPECVSCDCNPAGSVNEEPCSESCLCKENVEGSHCDRCKPGFYNLEEK
+NPQGCSECFCFGVSDVCESLSWPVSQVKDMSGWLVTDLVSSNQIRSQEDALGGRHQISIN
+NSAVEQRLTSQYYWAAPEAYLGNKLTAFGGFLKYTVSYEVPVEAVDGDPLSHADVIIKGN
+GITLSTQAEGLLLQPYEEYLNVVRLLPENFRDFKSKREIDRDQLMTVLANVTHLLIRANY
+NSAQTALYRLDSVSLDVASPNVIDLSLATEVEHCECPQGYAGISCESCLPGYYRVDGILF
+GGICQPCECHGHAAECDVHGVCFACQHNTTGEHCERCLPGFYGLPSRGTPGDCQPCACPL
+STASNNFSPTCHLDDGDEVVCDQCAPGYMGDWCERCADGYYGNPTVPGDSCVACNCSGNV
+DLLEPGSCDSVTGECLRCLGNTDGPHCERCADGFYGDAVTAKNCRACECHGQGSLSAVCH
+PETGLCECKPHVTGQRCDQCLYGYYGLETGLGCLPCNCSAGSLSDDCTPEGHCLCVPGVA
+GEKCDRCARGFYSYQDGGCTPCDCAHTQNTCDPETGECVCPPHTRGAACEECADGHWGHD
+LELGCQACNCSSVGAASQRCDVVTGHCRCKPAFGGRTCEQCSPGYKGFPDCMACDCDPRG
+TLADTCDQEQGLCSCAQETGACSCKENVAGPQCGECRAGTFALDKADPRGCTPCFCFGLS
+QLCSEAQGYVRTPVTLGSDQPLLRVVSQSNLKGTTEGVYYQAPDVLLDAVTVRQQVHAEP
+FYWRLPGQFQGDQLLAYGGTLRYSVAFYASDGIGTSNLEPQVLVKGGRTRKQVIYVDAPA
+PENGVRQEQEVGMTESFWKYFNSVSEEPVTRSDFMSVLSNIEYILIKASYGQGLQQSRIS
+NISMEVGRKAEELHAGRVVALLLEKCVCPPGTAGLSCQDCAPGYHRGKLPEGDGRRPRPL
+LAPCVPCNCNNHSDTCDPETGKCLNCGHNTTGDHCDTCAPGYYGKVTGSASDCSPCTCPH
+SLPASYSPTCILEGDHDFRCDACFVGYEGQYCERCSSGYYGNPRMPGSTCQRCDCSPHGS
+VHSDCDRGSGQCICRPGATGLRCGDCEPRHILVESDCVSCDDDCVGVLLNDLAHVGDAIL
+SVNLTSTIPLPYGVLSDLENRTKSLRDSLLKENTQKELVKIQLGGVSEQTEDLQREVSSS
+YKGSAPCAPPPPALVKMIKKAMTLNQTLDDDVQLPSSTLQDMQENITALLEVLRKRQFLP
+LHQNATLELKAAEDLLSQIQENYQKPQEELAVLKEAVSSLLSTHNRDVRAAEELLREAET
+KTQESSRLLLLIGANLREFNEKKLRVQEEQNLTSALIAEGRGLLDAAAAPANASGEALAQ
+LEQHQDELLLWTAQIRRHVDDLVMQMSEKRALGLVYRAEDHAAELQRRAGALDSGLGNVR
+HVSLNATSAAHVHSNIRSLIEESERLATDALGTVKEASAVRKALGFPCVPALSLRCGQVS
+TTLELSRLKDTAKRFQEDADKITRQTNESLLILKAIPEGVRDRGAKVTDLAMSANQSATS
+TLKNVMGLSQKLLNTSTDLSRVNATLQETDELLRDSSMTTLLAGRKVKDVETQANLLLDR
+LKPLKMLEENLSRNLSEIKLLISQARKQAASIKVAVSADRDCIRAYQPQISSTNYNSLTL
+NVKTSEPDNLLFYLGSSTSADFLAVEMRRGKVAFLWDLGSGSTRLEFPDFPIDDNKWHGI
+YVTRFGNIGSLSVKEMSAAQKPPPRTSKSPGTAKVLDVNNSTMMFVGGLGGQIKKSPAVK
+VTHFKGCMGEAFLNGQSIGLWNYIEREGECHGCFGSPQDEDASFHFAGSGYSVVEKTLRA
+TVTQIIMLFSTYSPNGLLLYLASNGTKDFLSIDLVDGRVRVTVDLGSGPLALITDRRYNN
+GTWYKIAFQRNKKQGLLAVIDAYNTTYKETKQGETPGASSDLNRLDKDPIYVGGLPRSRV
+VRKGVSSKSYVGCIKNLEISRSTFDLLRNSYGVRKGCILEPVRSVSFLRGGYVELPPKSL
+SPDTELLATFATRSSSGIVLAALSQSSEKPGDRQAHGPFWSIMLIEGHVEVHVNPGDGTS
+LRRALLHAPTGTYGDGQEHSISMIRSGRVVTVQVDETTPVEMKLGPSAESRTINVSSLYV
+GGIPEGEGTPMLKMRSSFHGCIRNLVFNMELLDFTSAADYEHADLDSCSLSGRPKLAVYR
+EDGERPPEPQPLPSPELCATERAPEYIPNAHQFGLAEGSHLVLPFNQLAVRKRLSVQLRI
+RTFASSGLVFYMAHQNQVDHAALQLHAGRLHFTFDLGKGRTKVSHPALLSDGQWHTVKTE
+YFKRRGFMTVDGQESPVVTTVGDATTLDVEGKLYLGGLPSEYRARNTGNITHSVPACLGE
+VTVNSQQLHMDIPMSAFAVTRCYAVAQEGTFFEGSGYAALVREGYRVRSDVNITLEFRTS
+SENGVLLGISSAKVDAIGLEIVDGKLLFHVNNGAGRITATYEPKTPSRLCDGRWHTLQAN
+KSKHRVVLTVDGNVVRAESPHTQSTSADTSDPIYVGGYPADVKQNCLSSRTSFRGCVRRL
+TLTKGPQVQSFDFSTAFDLQGVFPHSCPGTES
+>tr|F1N5G3|F1N5G3_BOVIN E3 ubiquitin-protein ligase OS=Bos taurus OX=9913 GN=SIAH1 PE=3 SV=2
+MTGKSPLPFLYSWRGVLLTCLPASGTRKRKEMSRQTATALPTGTSKCAPSQRVPALTGTT
+ASNNDLASLFECPVCFDYVLPPILQCQSGHLVCSNCRPKLTCCPTCRGPLGSIRNLAMEK
+VANSVLFPCKYASSGCEITLPHTEKADHEELCEFRPYSCPCPGASCKWQGSLDAVMPHLM
+HQHKSITTLQGEDIVFLATDINLPGAVDWVMMQSCFGFHFMLVLEKQEKYDGHQQFFAIV
+QLIGTRKQAENFAYRLELNGHRRRLTWEATPRSIHEGIATAIMNSDCLVFDTSIAQLFAE
+NGNLGINVTISMC
+>tr|A0A3Q1M3D5|A0A3Q1M3D5_BOVIN POU domain class 2-associating factor 1 OS=Bos taurus OX=9913 GN=POU2AF1 PE=4 SV=1
+CDALFAATAPEQAPAPPRPYQGVRVKEPVKELLRRKRGHASSGASVTPTAVVLPHQPLAT
+YTTVGPSCLDMEVSASTVTEEGALCAGWLSQPAPAALQPLAPWTPYTEYVSHEAVSCPYS
+ADMYVQPVCPSYTVVGPSSVLTYASQPLITNVTTRSAAAPTVGPPLEGPEHQAPLTYFPW
+PQPLSTLPTSTLQYQPPAPALPGPQFVQLPISIPEPVLPDAEDPRRAIGSLTIDKLLLEE
+EDSDTYALNHTLSVEGF
+>tr|A0A3Q1MDB6|A0A3Q1MDB6_BOVIN Histone deacetylase OS=Bos taurus OX=9913 GN=HDAC7 PE=3 SV=1
+MDTPMPELQMGQQEQELRQLLNKDKSKRSAVASSVVKQKLAEVILKKQQAALERTVHPNS
+PSVPYRTLEPLETEGAARSMLSSFLPPVPSLPCDPPEHFPLRKTVSEPNLKLRYKPKKSL
+ERRKNPLLRKESAPPSLRRRPAETLGDSSPSSSSTPASGCSSPNDSEHGPNPVLGSEALL
+GQRLRLQETSLAPFALLPTITLGLPAPARADGDRRTHATLGPRGPVLGNPHAHLFLPHGL
+EPEAGGPLPSRLQPILLLDPSVTHTPLLTVPGLGPLPFHFAQSLLTTERPSGSGLHRPLS
+RTRSEPLPPSATTPSLLGPLQPRLERLKPHVQLIKRSAKPSEKPRLRQIPSAEDLETDGG
+SVGPLRDDGLEHRESSHGQQEARGTVPLQQHQQVFLWEQQRLAGRLPRGATGDSVLLPLA
+PGSHRPLSRAQSSPAAPASLSTPEPASQARILPSSETPARTLPFTTGLVYDSVMLKHQCS
+CGDNSRHPEHAGRIQSIWSRLLERGLRSQCESLRGRKASLEELQSVHSERHVLLYGTNPL
+SRLKLDNGKLAGLLAQRMFVMLPCGGVGVDTDTIWNELHSSNAARWAAGSVTDLAFKVAS
+RELKNGFAVVRPPGHHADHSTAMGFCFFNSVAIACRQLQQQGKASKILIVDWDVHHGNGT
+QQTFYQDPNVLYISLHRHDDGNFFPGSGAVDEVGAGSGEGFNVNVAWAGGLDPPMGDPEY
+LAAFRIVVMPIAREFSPDLVLVSAGFDAAEGHPPPLGGYHVSAKCFGYMTQQLMSLAGGA
+VVLALEGGHDLTAICDASEACVAALLGNKVDPLSEEGWKQKPNLNAIRSLEAVIRVHSEY
+WGCMQRLASRPDSWVHRVPGADAEEVEAVTALASLSVGILAEERTSGQLVEEEEPMNL
+>tr|F1MGE0|F1MGE0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=3
+CRLAFSRCCFPADTFFPLSSLFRIYSMRKIPLSCCRNIGICVPIKCSGNMRQIGTCLGAQ
+VKCCRRW
+>tr|G1K1D0|G1K1D0_BOVIN Mitochondrial import receptor subunit TOM7 homolog OS=Bos taurus OX=9913 GN=TOMM7 PE=4 SV=3
+MVKLSKEAKQRLQQLFKGGQFAIRWGFIPLVIYLGFKRGADPGMPEPTVLRFQGGDKIK
+>tr|F1MZM5|F1MZM5_BOVIN Outer dense fiber protein 1 OS=Bos taurus OX=9913 GN=ODF1 PE=4 SV=1
+MAALSCLLDSVRRDIKKVDRELRQLRCIDELSARCLCDLYMHPYCCCDLHPYPYCLCYSK
+RSRSCGLCDLYPCCLCDVKLYCLRPSLRSLERKAIRAIEDEKRELAKLRRTTNRILASSC
+CSSNILGSVNVCGFEPDQVKVRVKDGKVCVSAERENRYDCLGSKKYSYMNICKEFSLPPC
+VDEKDVTYSYGLGSCVKIESPCYPCASPCNPCNPCNPCSPCSPCNPCNPCSPCSPCSPCN
+PCDPCNPCYPCGSRFSCRKMIL
+>tr|E1BGX1|E1BGX1_BOVIN TATA-box binding protein associated factor 15 OS=Bos taurus OX=9913 GN=TAF15 PE=4 SV=3
+MSDSGSYGQSGGEQQSYSSYGNQSNQGYGQAPQSYPGYGQTTEPSYGQNYSGYSSYGQSQ
+SGYSQSYSGYENQKQSSYSQQSYNNQGQQNVESSGGQGGRAPSYDQSDYGQQDSYDQQSG
+YDQHQGSYDEQSNYDQQHDSYNQNQQSYHSQRENYSHHTQDDRRDVSRYGEDNRGYGGSQ
+GGGRGRGGYDKDGRGPMTGSSGGDRGGFKNFGGHRDYGPRPDADSESDNSDNNTIFVQGL
+GEGVSTDQVGEFFKQIGIIKTNKKTGKPMINLYTDKDTGKPKGEATVSFDDPPSAKAAID
+WFDGKEFHGNIIKVSFATRRPEFMRGGGSGGGRRGRGGYRGRGGFQGRGGDPKSGDWVCP
+NPSCGNMNFARRNSCNQCNEPRPEDSRPSGGDFRGRGYGGERGYRGRGGRGGDRGGYGAD
+RSGGGYGGDRSGGGYGGDRSGGGYGGDRSGGGYGGDRGGGYGGDRGGGYGGDRGGNYGGD
+RGGGYGGDRSGGYGGDRGGSYGGDRSGGYGGDRGGGYGGDRGGYGGDRGGYGGDRGGYGG
+DRGGYGGDRGGYGGDRSGGGYGGDRGGSGGYGGDRSGGYGGDRSGGGYGGDRGGGYGGDR
+GGYGGKMGGRNDYRNDQRNRPY
+>tr|G3X728|G3X728_BOVIN Melanoregulin OS=Bos taurus OX=9913 GN=MREG PE=4 SV=1
+MGLRDWLRTACCCCPCKCLEEPAVPEKEPLVSGSNPYSSFGATLARDDEKNLWSMPHDVS
+HTEADDDRILYNLIVVRNQQAKDSEEWQKLNYDIYTLRQIRREVRSRWKHILEDLGFQRE
+ADSLLSVTKLSTISDSKNTRKAREILLRLAEETSIFPTSWELSERYLFVMDRLIALDAAE
+EFFKIASRTYPKKAGVPCLADGQKELHYFPLPSP
+>tr|A0A3Q1N653|A0A3Q1N653_BOVIN Diacylglycerol kinase OS=Bos taurus OX=9913 GN=DGKZ PE=3 SV=1
+MEPRDGSPEARSSDSESASASSSGSERDAGPEPDKAPRRLSKRRFPGLRLFGHRKAITKS
+GLQHLAPPPPAPGAPCSEPERQIRSTVDWSESATYGEHIWFETNVSGDFCYVGEQYCVAK
+MLKSVSRRKCAACKIVVHTPCIEQLEKINFRCKPSFRESGSRNVREPTFVRHHWVHRRRQ
+DGKCRHCGKGFQQKFTFHSKEIVAISCSWCKQAYHSKVSCFMLQQIEEPCSLGVHAAVVI
+PPTWILRARRPQNTLKASKKKKRASFKRKSSKKGPEEGRWRPFIIRPTPSPLMKPLLVFV
+NPKSGGNQGAKIIQSFLWYLNPRQVFDLSQGGPREALEMYRRVHNLRILACGGDGTVGWI
+LSTLDQLRLKPPPPVAILPLGTGNDLARTLNWGGGYTDEPVSKILSHVEEGNVVQLDRWD
+LHAEPNPEAGPEERDEGATDQLPLDVFNNYFSLGFDAHVTLEFHESREANPEKFNSRFRN
+KMFYAGTAFSDFLMGSSKDLAKHIRVVCDGTDLTPKIQDLKPQCIVFLNIPRYCAGTMPW
+GHPGEHHDFEPQRHDDGYLEVIGFTMTSLAALQVGGHGERLTQCREVLLTTSKAIPVQVD
+GEPCKLAASRIRIALRNQATMVQKAKRRSAAPLHSDQQPVPEQLRVQVSRVSMHDYEALH
+YDKEQLKEASVPLGTVVVPGDSDLELCRAHIERLRQEPEGAGAKSPMCQKLSPKWCFLDA
+TTASRFYRIDRAQEHLNYVTEIAQDEIYILDPELLGASARPDLPTPTSPLPTSPCSPTSR
+SLPGDAAPPTGEELIEAAKRNDFCKLQELHRAGGDLMHRDERSRTLLHHAVSTGSKEVVR
+YLLEHAPTEILDAVEENGETCLHQAAALGQRTICHYIVEAGASLMKTDQQGDTPRQRAEK
+AQDTELAAYLENRQHYQMIQREDQETAV
+>tr|A0A3Q1LWD9|A0A3Q1LWD9_BOVIN Ferrochelatase OS=Bos taurus OX=9913 GN=FECH PE=3 SV=1
+MSSMLDHMKTVLYGGSRACQPRRCQSGAATAAAATETAQRARSPKPQAQPGNRKPRTGIL
+MLNMGGPETVEEVQDFLQRLFLDQDLMTLPVQDKLGPFIAKRRTPKIQEQYRRIGGGSPI
+KMWTSKQGEGMVKLLDELSPHTAPHKYYIGFRYVHPLTEEAIEEMERDGLERAVAFTQYP
+QYSCSTTGSSLNAIYRYYNEVGRKPTMKWSTIDRWPTHPLLIQCFADHILKELDHFPPEK
+RREVVILFSAHSLPMSVVNRGDPYPQEVGATVQRVMDKLGYSNPYRLVWQSKVGPMPWLG
+PQTDEAIKGLCKRGRKNILLVPIAFTSDHIETLYELDIEYSQVLASECGLENIRRAESLN
+GNPLFSKALADLVHSHLQSKERCSTQLTLSCPLCVNPTCRETKSFFTSQQL
+>tr|A0A3Q1M4B2|A0A3Q1M4B2_BOVIN Endoplasmic reticulum resident protein 27 OS=Bos taurus OX=9913 GN=ERP27 PE=4 SV=1
+MPQSINCRVGCPESEAPNTKTRAWSESRKMEAMPSRCLFLLFLSTCKLSPEVVAEVQESS
+DGPGAQEPMRLTDVQAAMEFIAAAEVAVIGFFQDSEVPAVSLIHSVVQNFQDVSFGISTA
+SEVLAYYNITGNTISLFRLVDNKQLDLKGEDFESMDATKLSRFIESNNLRLVTEYNAITA
+IGLFNSMIPIHLLLIMNKASSEFEENLHRFQEAAKLFQGRILFILVDSGVKQNEKAISFF
+KLKMAELPALAIYQTLDDKWDTLPITEVLVEQVQNFCDGFLKGKGLRQNHEAKEKTSKVE
+L
+>tr|A0A452DIT8|A0A452DIT8_BOVIN Receptor-type tyrosine-protein phosphatase F OS=Bos taurus OX=9913 GN=PTPRF PE=4 SV=1
+MTPEPAPGRTMVPLVPALVMLGLVAGAHGDSKPVFVKVPEDQTGLSGGVASFVCQATGEP
+KPRITWMKKGKKVSSQRFEVIEFDDGAGSVLRIQPLRVQRDEAIYECTATNSLGEINTSA
+KLSVLEEEQLPLGFPSIDMGPQLKVVEKARTATMLCAAGGNPDPEISWFKDFLPVDPAAS
+NGRIKQLRSGALQIESSEESDQGKYECVATNSAGTRYSAPANLYVRVRRVAPRFSIPPSS
+QEVMPGGSVNLTCVAVGAPMPYVKWMMGAEELTKEDEMPVGRNVLELSNVVRSANYTCVA
+ISSLGMIEATAQVTVKALPKPPIDLVVTETTATSVTLTWDSGNSEPVSYYGIQYRPAGAE
+GPFQEVDGVATTRYSIGGLSPFSEYAFRVLAVNSIGRGPPSEAVRARTGEQAPSSPPRRV
+QARMLSASTMLVQWEPPEEPNGLVRGYRVYYTPDSRRPLSAWHKHNTDAGLLTTVGSLLP
+GITYSLRVLAFTAVGDGPPSPTIQVKTQQGVPAQPADFQAEVDSDTRIQLSWLLPPQERI
+VKYELVYWAAEDEGQQHKVTFDPTSSYTVEDLKPDTLYRFQLAARSELGVGVFTPTIEAR
+TAQSMPSGPPRKVEVEPLNSTAVRVSWKLPVPSKQHGQIRGYQVTYVRLENGEPRGAPII
+QDVMLAEAQETTISGLTPETTYSITVAAYTTKGDGARSKPKIVTTTGAVPGRPTMMVSTT
+AMNTALLQWHPPKELPGELLGYRLQYRRADEARPSTIDFGKDDQHFTVTGLHKGATYIFR
+LTAKNRAGLGEEFEKEITTPEDVPSGFPQNLRVIGLTTSTTELIWDPPVLAERNGRITNY
+TVVYRDINSQQELQNVTADTHLTLSGLKPDTTYDIKVRARTSKGAGPLSPSIQSRTMPVE
+QVFAKNFRVEAAMKTSVLLSWEVPDSYKSAVPFRILYNGQSVEVDGHSMRKLIADLQPNT
+EYSFVLMNRGSSAGGLQHLVSIRTAPDLLPHKPLPASAYIEDGRFTLTMPRVQEPALVRW
+FYIMVVPIDRMGGSMLAPQWSTPEELELDELLEAIEQGGGERLRRRRQTERLKPYVAAQV
+DVLPETFTLGDKKNYQGFYNRPLSPDLSYQCFVLASLKEPVDQKRYACSPYSDEIVVQVT
+PAQQQEEPELLWVTGPVLAVILIVLIVIAILLFKRKRTHSPSSKDEQSIGLKDSLLAHSS
+DPVEMRRLNYQTPGMRDHPPIPITDLADNIERLKANDGLKFSQEYESIDPGQQFTWENSN
+LEVNKPKNRYANVIAYDHSRVILTSIDGVPGSDYINANYIDGYRKQNAYIATQGPLPETM
+GDFWRMVWEQRTATVVMMTRLEEKSRVKCDQYWPARGTETYGLIQVTLLDTVELATYTVR
+TFALYKSGSSEKRELRQFQFMAWPDHGVPEYPTPILAFLRRVKACNPLDAGPMVVHCSAG
+VGRTGCFIVIDAMLERMKHEKTVDIYGHVTCMRAQRNYMVQTEDQYVFIHEALLEAAMCG
+HTEVPARNLYAHIQKLGQVPPGESVTAMELEFKLLANSKAHTSRFISANLPCNKFKNRLV
+NIMPYELTRVCLQPIRGVEGSDYINASFLDGYRQQKAYIATQGPLAESTEDFWRMLWEHN
+STIIVMLTRLREMGREKCHQYWPAERSARYQYFVVDPMAEYNMPQYILREFKVTDARDGQ
+SRTIRQFQFTDWPEQGVPKTGEGFIDFIGQVHKTKEQFGQDGPITVHCSAGVGRTGVFIT
+LSIVLERMRYEGVVDMFQTVKTLRTQRPAMVQTEDQYQLCYRAALEYLGSFDHYAT
+>tr|A0A3Q1MQT8|A0A3Q1MQT8_BOVIN Sushi repeat containing protein X-linked OS=Bos taurus OX=9913 GN=SRPX PE=4 SV=1
+HPRCPISPVGLSLVPSLWRVPSLRSGDSPLEDDEVVYSDAKYKDIPWCSPIKVKYGDVYC
+RAPQGGYYKTTLGTRCDIRCQKGYELQGSPQVICQSNKRWSDKVICKQKRCPTLAMPVNG
+GFKCIDGAYFNSQCEYYCSPGYTLKGEQTVRCMDNKAWSGQPATCVDLEPPRIKCPSVKE
+RVAEPNKLTVRVSWETPEGRDTADGILTDVILKGPPPGSHFPEGDHKIQYTVYDRAENKG
+TCQFRVKVRVRRCGKLHAPENGYMKCSSDGDNYGAVCEFSCVGGYELQGSSARVCQSNLA
+WSGTEPTCTAMNVNVGVRTAAALLDQFYEKRRLFIVSTPTARNLLYRLQLGMLQQAQCGL
+DLRHITVVELVGVFPTLIGRIGAKVMPPALALQLRLLLRIPLYSFSIVVLDKHGVDKERY
+VSLVTPVALFNLIDTFPLRKEEMVLQAEMGQTCNT
+>tr|A0A3Q1MSJ9|A0A3Q1MSJ9_BOVIN CASP8 and FADD like apoptosis regulator OS=Bos taurus OX=9913 GN=CFLAR PE=4 SV=1
+MKSVASWPSIDWTRLFFLPFLGMTLYRMSAEVIHQVEEALDEEEKDTLLFLCRDVAADVV
+PLNVRDLLDILRERGKLSSVSLAELLYRVRRFDLLKRVLNMDTPTVEALLRKHPHLISDY
+RVLMMEIGEDLDKSDVSSLMFLMRDYTGRSKIAKDKSFLDLVVELEKLNLVAPDQLNLLE
+ESLRNINRIDLKTKIQKYKQSAQGAETNYVNARQASLPNLSVKDPSYNLRDSFRGELSFE
+S
+>tr|A0A3Q1LJD0|A0A3Q1LJD0_BOVIN 28S ribosomal protein S6, mitochondrial OS=Bos taurus OX=9913 GN=MRPS6 PE=4 SV=1
+MVWPRTGTPQPGAALRSLFWVRCSSSRRRNPHSSVNLPETAAALKRTLEALMDRGAVVRS
+LENLGERTLPYKMSAHSQRHTRGGYFLVDFYAPTTTVASIMEHLSRDIDVIRPNVVKHPL
+TQEVKECEGIVPVPLEEKLYSTKKRK
+>tr|E1BI72|E1BI72_BOVIN ADAM metallopeptidase with thrombospondin type 1 motif 9 OS=Bos taurus OX=9913 GN=ADAMTS9 PE=4 SV=3
+MQFVSWATLLTLLVRDLAEMGSPDAAAAVRKDRLHPRQVKLLETLSEYEIASPVRVNALG
+EPFPTNVHFKRRRRSINSASDPWPGFASSSSSATSSQEHYRLSAFGQQFLFNLTAHAGFI
+APMFTVTLLGAPGVNQTKFYSEEEAELKHCFYKGHVNTKSEHTAVISLCSGMLGTFRSHD
+GDYFIEPLLSIDEQEDEEAQNKPHIIYRHSTPHREPATGQHACDTSEHRNSHSKDKRKTR
+TRKWRGRSSLADDMAVLNSSLTTSTLPANGSKTDSTREKGTHRRTKRFLSYPRFVEVMVV
+ADNRMVLYHGANLQHYILTLMSIVASIYKDPSIGNLINIVIVNLVVIHNEQEGPSISFNA
+QTTLKNFCQWQHSKNHPGGIQHDTAVLVTRQDICRAHDKCDTLGLAELGTICDPYRSCSI
+SEDSGLSTAFTIAHELGHVFNMPHDDNNKCKEEGIKSPQHVMAPTLNFNTNPWMWSKCSR
+KYITEFLDTGYGECLLNEPEYRPYPLPHQLPGLLYNVNKQCELIFGPGSQVCPYMMQCRR
+LWCNNVDGAHKGCRTQHTPWADGTECDEPGKHCKFGFCVPKEMEVPVTDGSWGSWSHFGT
+CSRTCGGGIKTAIRECNRPEPRNGGKYCVGRRMKFKSCNTEPCPKQKRDFRDEQCAHFDG
+KHFNINGLHPNVRWVPKYSGILMKDRCKLFCRVAGSTAYYQLRDRVIDGTPCGQDTNDIC
+VQGLCRQAGCDHVLNSKARRDKCGVCGGDNSSCKTVAGTFNTVHYGYNVVVLIPAGATNI
+DVRQHSFSGKSEDDNYLALSSSKGEFLLNGDFVVTMSKREIRIGNAVIEYSGSDNVVERI
+NSTGRIEQELLLQVLSVGKLYNPDVRYSFNIPIEDKPQQFYWNSHGPWQACSKPCQGERK
+RKPICTRESDQLTVSDQRCDRLPQPAPITEPCGTDCDLRWHVASRSECSAQCGLGYRTLD
+IYCAKYSRLDGKTEKVDDSFCSSHPKPSNQEKCSGECNTGGWRYSAWTECSKSCDGGTQR
+RRAICVNTRNDVLDDSKCTHQEKVTIQKCSEFSCPEWKSGDWSECLVTCGKGHKHRQVWC
+QFGEDRFNDRVCDPETKPTSMQTCQQPECASWQAGPWGQCSVTCGQGYQLRAVKCIIGTY
+MSVVDDNDCNAATRPTDTQDCELPSCQPPPAAPEARRSTHSAPRTQWRFGSWTPCSATCG
+KGTRMRYVSCRDEDGSVADDSACATLPRPVAKEECSVTPCGQWKALDWNPCSVTCGQGRT
+TRQVVCVNYSDHVIDQSECDPDYIPETDQDCSMSPCPQWTPDTDLSQNPFLNEDYHPRSI
+SPSRTHVLGGNQWRTGPWGACSSTCAGGSQRRVVVCQDENGYTANDCVERIKPDEHRACE
+SGPCPQWAYGSWGECTKLCGGGLRTRLVVCQRPSGERFPDLSCEILDKPPDREQCNTHAC
+PQDAAWSAGPWSSCSVSCGRGHKQRNVYCMAKDGSHLESDYCKHLAKPNGHRKCRGGRCP
+KWKAGAWSQCSVSCGQGVRRRNVGCQMGTHKTARETECNPYTRPESERACQAPPCPLYAW
+RAEEWQECTKTCGEGSRYRKVVCVDEDRGGEVHGVHCGMSSRPVDHESCSLQPCEYVWIT
+GEWSECSVTCGKGYKQRLVSCSEIYTGKENYEYSYQTTINCPGMQPPSVQPCFLRECPVS
+ATWRVGNWGSCSVSCGVGVMHRSVQCLTNDDQPSHLCPADLKPEERKTCHNIYNCELPQN
+CKEVKRLKGAGEDGEYFLIVTGKLLKVFCAGMHSDHPKEYVTLVHGDSENFSEVYGHRLH
+NPTECPYNGSRHDDCQCRKDYTAAGFSSFQKVRIDLTTMQIITTDLQFARTNEGHPVPFA
+TAGDCYSAAKCPQGRFSINLYGTGLSLTESARWISQGNYAVSDIKKSPDGTRVVGKCGGY
+CGKCAPSSGTGLEIRVL
+>tr|A0A3Q1M190|A0A3Q1M190_BOVIN Replication factor C subunit 4 OS=Bos taurus OX=9913 GN=RFC4 PE=4 SV=1
+MQAFLKGASISTKPPPTKDRGVTATARSSGENKKAKPVPWVEKYRPKCVDEVAFQEEVVA
+VLKKSLEGADLPNLLFYGPPGTGKTSTILAAARELFGPELFRLRVLELNASDERGIQVVR
+EKVKNFAQLTVSGSRSDGKPCPPFKIVILDEADSMTSAAQAALRRTMEKESKTTRFCLIC
+NYVSRIIEPLTSRCSKFRFKPLSDKIQQQRLLDIADKEHVKISNEGIAYLVQVSEGDLRK
+AITFLQSATRLTGGKEVTEKVITDIAGVVPAKTIDGVFAACQSGSFDKLEAVVKDLINEG
+HAATQLVNQLHDVVVENDNLSDKQKSIITEKLAEVDKCLADGADEHLQLISLCATVMQQL
+TQNC
+>tr|E1BKR7|E1BKR7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC530973 PE=4 SV=2
+MAAAALRDPPQVNACVTFEDVAIYFSQEEWGLLDEVQRHLYCKVMLENFALTASMGKALT
+PTKETPSEQNVSLEALSQVRTPKVGPFTENAHPCEKCVPILKDILYPADLPGQKPYFTGA
+SANLQQLQKHFTTEKSDVDKAAFVKSCIVQVSGNPFTCRKVGMDLPGTVGLLQHQITSNG
+EKPNKITKFGETFNGRKSHYKLRECGKATSHKHNLVYHLRVSARKRVYEFSKYGKAMHCK
+YSLVQLQRVRTGERSYECSECGKSFRQRATLIIHQRVHTGERPYKCGECGKSFSQCSNLI
+EHCRIHSGERPFECEECGKTFGCKSNLVRHRRTHTGEKPYECSECGKFFRQSFTLVQHRR
+IHTTARPYVCVQCGKSFSQRATLIRHQRVHTTERPYECGECGKAFGSKSKLERHNRSHTG
+EKPYECCGQCGKSFSRRAIFTKHQRIHTGERPYKCGECGKSFSRSTSLIQHCSIHTGARP
+YECGQCGKSFRQKSVLIQHQVVHTGERPYECSKCGKSFSQRSSLNLHRKFHTVEWLHECR
+KYGKSFTPNS
+>tr|F6QCG0|F6QCG0_BOVIN Aladin WD repeat nucleoporin OS=Bos taurus OX=9913 GN=AAAS PE=4 SV=1
+MCSLGLFPPPPPRGQITLYEHNNELVTGSSCESPPPDFRGQWINLPVLNLTKDPLKTPGR
+LDHGTRTAFIHHREQVWKRCINIWRDVGLFGVLNEIANSEEEVFEWVKTASSWALALCRW
+ASSLHGSLFPHLSLRSEDLIAEFAQVTNWSSCCLRVFAWHPHTNKFAVALLDDSVRVYNA
+NSTIVPSLKHRLQRNVAALAWKPLSASVLAVACQSCILIWTLDPTSLSTRPSSGCAQVLS
+HPGHTPVTSLAWAPSGGRLLSASPVDAAILVWDVSTETCVPLPWFRGGGVTNLLWSPDGS
+KVLATTPSAVFRVWEAQMWTCERWPTLSGRCQTGCWSPSGNRLLFTVLGEPLIYSLSFPE
+RCGEGKGYVGGAKSATIVADLSETTIQTPDGEERLGGEAHSMVWDPSGERLAVLMKGNPR
+VQNGKPVILLFRTRNSPVFELLPCGIIQGELGAQAQLITFHPSFNKGALLSVCWSTGRVT
+HIPLYFVNARFPRFSPVLGRAQEPPAGGGGSIHDLPLFTETSPTSAPWDPLPGPPPARPH
+SPHSHFQ
+>tr|E1BHT4|E1BHT4_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC787535 PE=3 SV=2
+MRNHTVITTFILLGLTEDPQLQVLVFVFLFLTYLLSITGNLTIIILTFLDSHLKTPMYFF
+LRNFSFLEISFTTVCIPRFLYSISSGDNSITYNACASQIFFIGLFGATEFFLLAAMSYDR
+YVAICKPLHYMTIMNGRVCTILVFCCWISGLMIIITPLSMGLQLEFCDSNAIDHFGCDAA
+PLFKISCSDTWFIEQIVIICAVVTFIITLIGVVLSYMYIIRTILRFPSASQRRKAFSTCS
+SHMIVVSITYGSCIFIYIKPSAKEEVDINKGVSVLTTSVAPLLNPVIYTLKNKQVKQAVS
+DIIKKIAFFLHN
+>tr|F1MUU8|F1MUU8_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=OR8D4 PE=3 SV=3
+MGVRNQSTVTEFLFAGLTDQPELQLPLFCLFFGIYVVTAVGNLGMILIIRLSSQLHKPMY
+YFLSSLSFIDFCYSSVITPKMLAGFLCRDKAISYSGCMTQLFFFCIFIISECYMLAAMAY
+DRYVAICSPLFYNVIMSPRVCSLLVAAVFSVGFTDAMMHGGFMLRLTFCKSNIIKHYFCD
+IVPLIKLSCSSTYIDELLIFVIGGFNMVATSMTIITSYAFILSSIFHIHSKEGRSKAFST
+CSSHLTAVLVFYGSLMSMYLKPASSSSLTQEKVSSVLYTNVIPMLNPLIYSLRNKEVKNS
+LMKLLRRK
+>tr|F1MXN1|F1MXN1_BOVIN Cilia and flagella associated protein 221 OS=Bos taurus OX=9913 GN=CFAP221 PE=4 SV=3
+RVPPLGEEPPPPFPCCPGLETNVLPLVLAQDEATTVITLPKQDSSPQLPGETSVLSIKLP
+EALAHAPDYDPLYIFNPSPGLFAVKHPLTYVETLIDYHLCSHPKYKFTKECHCGSSIPIT
+QKQFLHHTDIIPGVMTWKRFQSLVFLSLPETSKTEVLPSCDSFNLVMLPVDVPAVLDALP
+EEDRIETVERELCEQSMDIMLTPEMIEAEFPMLDYRDTKKEKEVKDQAQPTEKAGEKVLE
+EMKNLRSKALSSYLILE
+>tr|F1MMY3|F1MMY3_BOVIN WD repeat domain 86 OS=Bos taurus OX=9913 GN=WDR86 PE=4 SV=3
+MGGGGSALRVCADHRGGINWLSLSPDGQRLLTGSEDGTARLWSTADGTCCALLQGHESYV
+TFCQLEDEAAFTCSADCTIRKWDVLTGQCLQVFRGHTSIVNRILVADNQLFSSSYDRTAR
+AWSVDRGQVAREFRGHRNCVLTLAYAGAPCMEEAVAGGLLVTGSTDATAKVWQVASGCCH
+QTLRGHTGAVLCLVLDAPGHTAFTGSTDATVRAWDILSGQQLRVFREHQGSVICLELVNR
+HVYSGSADRTVKCWLADTGERVRTFTAHRHSVSALKYHAGTLFTGSGDARARAFDAQSGA
+LRRVFRGHAFVINCIQVHGQVLYTASHDGALRLWDVRGLPPPRAAAPKRSLSRLFSNKVG
+CAAAAAPLQPA
+>tr|F1MMY9|F1MMY9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=PIAS2 PE=4 SV=3
+MVSSFRVSELQVLLGFAGRNKSGRKHDLLMRALHLLKSGCSPAVQIKIRELYRRRYPRTL
+EGLSDLSAIKSPVFSLDGSSSPVEPDLAVAGIHALPSTSVTPHSPSSPVGSVLLQDTKPA
+FEMQQPSPPIPPVHPDVQLKNLPFYDVLDVLIKPTSLVQSSIQRFQEKFFIFALTPQQVR
+EICISRDFLPGGRRDYTVQVQLRLCLAETSCPQEDNYPNSLCIKVNGKLFPLPGYAPPPK
+NGIEQKRPGRPLNITSLVRLSSAVPNQISISWASEIGKNYSMSVYLVRQLTSAMLLQRLK
+MKGIRNPDHSRALIKEKLTADPDSEIATTSLRVSLMCPLGKMRLTIPCRAVTCTHLQCFD
+AALYLQMNEKKPTWICPVCDKKAAYESLILDGLFMEILNDCTDVDEIKFQEDGSWCPMRP
+KKEAMKVSTQPCPKIETSTVLSKPCAVTVASEPSKKKVDVIDLTVESSSDEEEGPPAKRK
+CIFMSETQSSPTKGVLMYQPSSVRVPSVTSVDPAAIPPSLTDYSVPFHHTPISSMSSDLP
+GLDFLSLIPVDPQMDSFAF
+>tr|G3MZH8|G3MZH8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC112444303 PE=3 SV=2
+MSITDVLSADDIAAALQECQDPDTFEPQKFFQTSGLAKMSASQVKDVFRFIDNDQSGYLD
+EEELKFFLQKFESGARELTESETKSLMAAADNDGDGKIGADGMFTPVHGRRDLSSGGIRG
+RGVRP
+>tr|E1B938|E1B938_BOVIN Olfactory receptor OS=Bos taurus OX=9913 PE=3 SV=3
+LVPFHFSMKFYKVRVSKLHRNGNLTSVSEFFLLGLSDDAELQPLLCVLFLSMYLVTVLGN
+LLIILAVTSDPHLHTPMYFFLSNLSLADISFISTTVPKMIVDIQTHSRVISYGGCLTQMS
+IFILFGCMDSMLLSVMAYDRFVAICHPLHYTIIMNPRTCCIFILVSFFVSLLDSQMHNVI
+VLQLTCFKDIEISSFFCDPSQLLNLGCSDTFMNNIVSYIIVAISGFLPTSGIFFSYYKVL
+VSILRVPSSSGMYKAFATCGSHLAVVCLFYGTALGVYLSSAISLSPRKDVAASVVYTVVT
+PMLNPFIYSLRNKDIKKAMWRFLSRTISA
+>tr|A0A3Q1LXY9|A0A3Q1LXY9_BOVIN Transmembrane protein 40 OS=Bos taurus OX=9913 GN=TMEM40 PE=4 SV=1
+MGPRGLGRVPHSPATPGSPSPCRPLGRPWWSLGWEGQEILLLNYMCLPDGETDSHKQDRE
+ARLVSQGQDERDKSSSSSSSSSSSSSLSSSSSSSGAEHGEPDVLKDELQLYEGVPGEVVP
+SGESGLRRRGSTPMSGEVEASQLRRLNIKKDDEFFHFILLCFAIGALLVCYHYYTDWFMS
+LGVGLLTFASLETVGIYFGLVYRIHSVLHGFIPFFQKFRLIGNVHILPLFTLSLPTGVFC
+CK
+>tr|F1N0U5|F1N0U5_BOVIN TNF receptor superfamily member 8 OS=Bos taurus OX=9913 GN=TNFRSF8 PE=4 SV=2
+PKSLRTHSRGPDLEHLCFSSPGYSPKQLCPEGSHHCERQCLHDYYLDSNDRCTACVSCSG
+DLVEKKPCSRNSSRVCECRAGMFCGTSVVNSCARCIRHSVCPEGMVVKLQGTAQRDTVCE
+PAPPGASPNCSASPQDCKGPTSGTIPQAKPTLTSPASPGARTMLLGGDIPLTPEDASKMT
+PNSSSFVVKLNPDPGKLSRGPPCPRGSADCRKQCNHDYYLDRDGRCKACVTCSGDGLVEK
+TPCTWNSSRVCECQPGMFCATPVTNSCARCVTRPNCPPGMVTKLQGMAETGTTYEPLPPG
+SPPDCSTSPEDREAPARAVLCGCCRAPGSLPGCQGVNAGHLCVPGPVLFWVILMLVVVVS
+FSSFVLCHRRACRKWIGQKLHLCYPVQTFRPTLEPVGECPGVQKGCAVSVADPGNEEQGL
+LSRPAMETCLESLRLLEASPVSSPPSPTDLPEPRGTMEHTNNRIENIYIMKADTVIVGTV
+KAEVPEGQGPVGPAGPELEEELETDYASHYPEQETEPPLGSCGDVMFSVEEEGKEDPLPT
+TVSDK
+>tr|E1BNB7|E1BNB7_BOVIN Rho GTPase activating protein 26 OS=Bos taurus OX=9913 GN=ARHGAP26 PE=4 SV=3
+MFVVHLGGTWGSAGEHCSGIQETVLSGCRSCLEAFPMQDSSDLSSAKRKFADSLNEFKFQ
+CIGDAETDDEMCIARSLQEFATVLRNLEDERIRMIENASEVLITPLEKFRKEQIGAAKEA
+KKKYDKETEKYCGILEKHLNLSSKKKESQLQEADSQVDLVRQHFYEVSLEYVFKVQEVQE
+RKMFEFVEPLLAFLQGLFTFYHHGYELAKDFNDFKTQLTISIQNTRNRFEGTRSEVESLM
+KKMKENPLEHKTISPYTMEGYLYVQEKRHFGTSWVKHYCTYQRDSKQITMVPFDQKSGGK
+GGEDESVTLKSCTRRKTDSIEKRFCFDVEAVDRPGVITMQALSEEDRRLWMEAMDGREPV
+YNSNKDSQSEGTAQLDSIGFSIIKKCIHAVETRGINEQGLYRIVGVNSRVQKLLSVLMDP
+KTASETEMDICAEWEIKTITSALKTYLRMLPGPLMMYQFQRSFIKAAKLENQESRVSEIH
+SLVHRLPEKNRQMLQLLMNHLANVANNHKQNLMTVANLGVVFGPTLLRPQEETVAAIMDI
+KFQNIVIEILIENHEKIFNTVPDAPLTNAQLHLSRKKSSDSKPPSCSERPLTLFHTVQST
+EKQEQRNSLINSSLESVVSSNANSILNSSSSLQPNMNSSDPDLDVLKPTRPNSLPPNPSP
+TSPLSPSWPMFSAPSSPMPTSSTSSDSSPISTPFRKAKALYACKAEHDSELSFTAGTVFD
+NVHPSQEPGWLEGTLNGKTGLIPENYVEFL
+>tr|A0A3Q1MAH7|A0A3Q1MAH7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MATYGQNCTRPVCIPPSYADLGKAARDIFNKGFGFGLVKLDVKTKSCSGVEFSTSGSSNT
+DTGKVTGTLETKYKWCEYGLTFTEKWNTDNTLGTEIAIKDQICQGLKLTFDTTFSPNTGK
+KSGKIKSSYKRECINLGCDVDFDFAGPAIHGSAVFGYEGWLAGYQMTFDSAKSKLTRNNF
+AVGYRTGDFQLHTNVNDGTEFGGSIYQKVCEDLDTSVNLAWTSGTNCTRFGIAAKYQLDP
+TASISAKVNNSSLIGVGDTQTLRPGVKLTLSALVDGKNINAGGHKLGLALELEA
+>tr|A0A3Q1M0F6|A0A3Q1M0F6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MTHSCCSPCCQPICRNTCCESSCCKPCCPPTCCQTTCCRTTCCRPTCVTTCCQPSCCSKP
+CCQPTCCESICCQPSCPPTCYQTSETTCCRTTCRKPTCVTTCCQPTCCVSSSCGQTFSGS
+SCGQSCCQPACCAPVYCHRICYHPTCCCLPGCQDQSCGSSCCQPCSRPVCCQTTCCRTTC
+CRPSCVSSCCQPSCC
+>tr|E1BBN3|E1BBN3_BOVIN Growth differentiation factor 2 OS=Bos taurus OX=9913 GN=GDF2 PE=3 SV=1
+MGRGALWVALPVLCLLACSALGKPLENRGRPSTGADAHGLLGGPGGEQEGVTFDLRMFLE
+NMKVDFLRNLNLSGVPSQDRTRAEPPQYMIDLYNRYTTDKTSTPASNIVRSFSVEDAVSI
+ATTEDFPFQKHILLFNISIPRHEQITRAELRLYLSCQSHMDSPHELKGNMVIYDVLDGAE
+VWDAPAGTKTFLVSQDIRDEGWETFEVSSAVKRWIRADSTKSKNKLEVTVESHRKGCDKL
+DISVPPGSKNLPFFVVFSNDRSNGTKETRLELREMIGHEQESVLRKLSKNTVVEAGENKD
+EEEEDVRSHTPTESSLVRRKRSTGANNHCQKTSLRVNFEDIGWDSWIIAPKEYDAFECKG
+GCFFPLADDVTPTKHAIVKTLVHLKFPMKVGKACCVPTKLSPISILYKDDMGVPTLKYHY
+EGMSVAECGCR
+>tr|F1MXJ8|F1MXJ8_BOVIN Rap guanine nucleotide exchange factor like 1 OS=Bos taurus OX=9913 GN=RAPGEFL1 PE=4 SV=3
+MKPLEKFLKKQTSQLAGRTVAGGPGGGPGSCGGPGGGGGPGGGGGPAGGPRPLQRRQSVS
+RLLLPAFLREPPAEPGLEPPPEEDGGEPTGVAEELGSGGPCWLQLEEVPGPGPLGGGGPL
+RSPSSYSSDELSPGEPLTPPPWAPLGAPERPEHLLNWVLERLAGGATRDSAASDTLLDDI
+VLTHSLFLPTEKFLQELHQVSFVWAGGMEGPEGLGRKQACLAMLLHFLDTYQGLLQEEEG
+AGRIIKDLYLLIMKDESLYQDLREDTLRLHQLVETVELKIPEESQPPSKQVKPLFRHFRR
+IDSCLQTRVAFRGSDEIFCRVYMPDHSYVTIRSRLSASVQDILGSVTEKLQYSEEPAGRE
+DSLILVAVASSGEKVLLQPTEDCVFTTLGINSHLFACTRDSFEALVPLPEEIQVSPGDTE
+IHRGEPEDVANHLTAFHWELFRCVHELEFVDYVFHGERGRRETANLELLLQRCSEVTHWV
+ATEVLLCEAPGKRAQLLKKFIKIAAICKQNQDLLSFYAVVMGLDNAAVSRLRLTWEKLPG
+KFKNLFRKFENLTDPCRNHKSYREVISKMKPPVIPFVPLILKDLTFLHEGSKTLVDGLVN
+IEKLHSVAEKVRTVRKYRSQPLCLDMEASPHHLQTKAYVRQFQVIDNQNLLFELSYKLEA
+NSQ
+>tr|G3MYE1|G3MYE1_BOVIN Keratin-associated protein 3-1 OS=Bos taurus OX=9913 GN=KRTAP3-1 PE=4 SV=1
+MACCAPYCCSVPTGPATTICSSDKFCRCGVCLPSTCPHEISLLQPTCCDNCPPPCCVPDT
+YVPTCWLLNSSHPTPGLSGINLTTFVQPGCDNVCEPRC
+>tr|G3MXA3|G3MXA3_BOVIN TGF_BETA_2 domain-containing protein OS=Bos taurus OX=9913 GN=BMP8B PE=3 SV=2
+MAARPGPLWLLGLALCALSGGGVPGPRPPLGCPQRRLGPRERRDLQREILAVLGLPGRPR
+PRAPPAAALLPASAPLFMLDLYRAVADDDDEDGGAPERRLGRADLVMSFVNMVERDPALG
+HQEPHWKEFHFDLTQIPAGEAVTAAEFRIYKLPSTHPLNQTLHISMFEVVPEQSNRESDL
+FFLDLQTLRSGDEGWLVLDVTAASDRWLLSRNKDLGLRLYVETDDGRSVDPGLAGLLGRQ
+APRSKQPFVVTFFRASPGPGPARAPRAVRPLKRRPPKRTNELPPPNKLPGIFDDVHGSHG
+RQVCRRHELYVSFQDLGWLDWVIAPQGYSAYYCEGECSFPLDSCMNATNHAILQSLVHLL
+KPHAVPKACCAPTKLSATSVLYYDSSNNVILRKHRNMVVRACGCH
+>tr|A0A3Q1MBX1|A0A3Q1MBX1_BOVIN SCD domain-containing protein OS=Bos taurus OX=9913 GN=STAG3 PE=4 SV=1
+MKPGLGVPGPHHQIFCVGQPVCEPRRNHSPPPGLGRWPQFPRSASALSHWNSKCTPEDKS
+GGVHLDRGDTKERTVPPARERARPPKSEPGPRSLDQGGICRDNGSGEPDRRRGPGRGPAN
+NGRAVGLAPAHDRLRAFPRFSGFVCHPKGRGRDHRELKGPETVTFPQRCSARSRCPGLSH
+WTTMPTLRSSSSRYHSSSSPSSTSSPLRRAVAGGRRSVSAASSSSVSVAMPCDDGDSKQT
+SEGDSESLSAGEGSDFEDSLRRNGKKRAAKRPLKPTPAKLPKKRSQTVRANGQKESEPPA
+SDLFDAVKGAKSDMQSLVDEWLDSYKQDQDAAFLELVNFFIRSCGCKGTVTPEMFKKMSN
+SEIIRHLTEQFNEDSGDYPLTASGPSWKKFQGSFCEFVRTLVYQCQYGLLYDGFPMDNLI
+SLLTGLSDSQVRAFRHTSTLAAMKLMTSLVRVALQLSLHKDNNQRQYEAERNKGPGQRAP
+ERLESLLEKRRELQEHQEEIEGMMNALFRGVFVHRYRDVLPEIRAICIEEIGSWMQSYST
+SFLTDSYLKYIGWTLHDKHRDVRLKCLKALKGLYGNQDLTSRLELFTSRFKDRMVSMVMD
+REYDVAVEAVRLLIVILKSMDGVLTDADCESIYPVVYASNRALASAAGEFLYWKLFYPEC
+ETRAVGGRERRRSPRPQRTFFYLLLSFFVESELHDHAAYLVDSLWDCAGPLLKDWESLTS
+LLLEKDQNLGDVQESTLIEILVSSVRQASEGHPPVGRVTGKKGLTPKERKIQADDKVKLT
+EHLIPLLPQLLAKFSADAEKVAPLLQLLNYFDLNIYCTRRLEKHLELLLQQLQEVVVKHA
+EPAVLEAGAHALYLLCNPEFTFFTRVDFARSQLVDLLTDRFQQELEELLQSSFLDEDEVY
+SLAATLKRLSAFYNAHDLTRWELYEPCYQLLRKAVDTGEVPHQVTLPALTLVYFSILWTL
+THISGSDASQKQLLDLKGRMVAFCELCQSCLSDVDSEIQEQAFVLLSDLLLIFSPQMIIG
+GREFLRPLVFIPEATLQSELASFLMDHVFIQPGELSGGHSQEDHLQIEQLHQRRRLLAGF
+CKLLLYGVLEMDAASDVFKHYSKFYNDYGDIIKETLTRARQIDRSHCSRILLLSLKQLYT
+ELLQEQGPQGLNELPAFIEMRDLARRFALSFGPQQLQNRDLVVMLHKEGIKFSLSELPPA
+GSSSQPPNLAFLELLAEFSPRLFHQDKQLLLSYLEKCLQRVSQAPGRPWGPVTTYCHSLS
+PGENTAEVSPQGYPRSKKRRVEGPSRQHREDISASQEESLQPNSIPATPMLTSTAVKSGQ
+PLGASEEMEEGGSSELAFPQGLYLLGNPRSRSLSPQHFQTPLNPSDPGLGKQLTRLSLME
+EDEEELEIHDDLSEDWQGSGKSSSSSEHRLDLLDSTELNTEDF
+>tr|E1BMV7|E1BMV7_BOVIN ADAM metallopeptidase with thrombospondin type 1 motif 10 OS=Bos taurus OX=9913 GN=ADAMTS10 PE=4 SV=1
+MAPACQILHWALALGLGLAFEVTHAFRSQDEFLSSLDSYEIAFPTRVDHNGALLAFSPPA
+PRRQRRGTGPQAESRLFYKVAAPSTHFLLNLTHSPRLLAGHVSVEYWTREGLAWQRAARP
+HCLYAGHLQGQASSSHVAISTCGGLHGLIVADEEEYLIEPLQGGPKGHRGPEESGPHVVY
+KRSSLRHPHLDTACGVRDEKPWKGRPWWLRTLKPPPARPLGNETERGQPGLKRSVSRERY
+VETLVVADKMMVAYHGRRDVEQYVLAIMNIVAKLFQDSSLGNIVNILVTRLILLTEDQPT
+LEITHHAGKSLDSFCKWQKSIVNRSGHGNAIPENGVANHDTAVLITRYDICIYKNKPCGT
+LGLAPVGGMCERERSCSINEDIGLATAFTIAHEIGHTFGMNHDGVGNSCGARGQDPAKLM
+AAHITMKTNPFVWSSCSRDYITSFLDSGLGLCLNNRPPRQDFVYPTVAPGQAYDADEQCR
+FQHGVKSRQCKYGEVCSELWCLSKSNRCITNSIPAAEGTLCQTHTIDKGWCYKRVCVPFG
+SRPEGVDGAWGPWTPWGDCSRTCGGGVSSSSRHCDSPRPTIGGKYCLGERRRHRSCNTED
+CPPGSQDFREMQCSEFDSVPFRGKFYTWKTYRGGGVKACSLTCLAEGFNFYTERAAAVVD
+GTPCRPDTVDICVSGECKHVGCDRVLGSDLREDKCRVCGGDGSACETIEGVFSPALLGTG
+YEEVVWIPKGSVHIFIQDLNLSLSHLALKGDQESLLLEGLPGTPQPHRLPLAGTTFQLRQ
+GPDQTQSLEALGPINASLIVMVLTQTELPALRYRFNAPIARDALPPYSWHYAPWTKCSAQ
+CAGGSQVQAVECRNQLDSSAVAPHHCSAHSKLPKRQRACNTEPCPPDWVVGNWSRCSRSC
+DAGVRSRSVVCQRRVSAAEEKALDDSACLQPRPPVLEACHGPACPPEWAALDWSECTPSC
+GPGLRHRVVLCKSADHRATLPPAHCQPAAKPPATMRCNLRRCPPARWVAGEWGECSAQCG
+FGQQQRPVRCSSHTGQPSSECAEALRPPATQQCEAKCDSAPPGDGLEECKDVNKVAYCPL
+VLKFQFCSRAYFRQMCCKTCQGR
+>tr|G3N001|G3N001_BOVIN Leucine rich repeat containing 14B OS=Bos taurus OX=9913 GN=LRRC14B PE=4 SV=1
+MQSLRFISAEALVSHPPGAQQSLDAVAHNLYPLLFKASYLLEQSAVIRLLLERWPLEEFR
+LGPLLGPSADHAGDLRDRACRACLEACVRGLADHVLQGGGRRRLRVADLTGIRDVQVQRC
+PCGRALGRWGRTELLARTCCELQKQPCATRRPIEVLADVFVTAGNFEVVARALGPSGPGS
+LRVRCLSLRADSLDPGQLLHVLRLAGPRELRRLEVVHNVRLHAGHVQQLLAQGGFPRLVS
+LTLPAKAFDAPPASTPASDGEEPLLASIAWALSRMTQLTELHMAFSTLTGKLQTLLGPLR
+TPLRVLDVGNCALNHEDMTFLANCIHAAHLEVLDLSGHCLVDLFPATFHRLLGQAAPTLR
+ALTLEECGLEDRHVGALSLALGTCRRLRELRFLGNPLSGRALRRLFAALCELPRLRCVEF
+PVPRDCYPEGSAYPQDELAMSKFDQQKYDAIAADLRAVLLRAGRDDIQVSTPLFGSFDPD
+IQETSNELGAFLLQAFKTALENFSRVLKQME
+>tr|F1MB06|F1MB06_BOVIN Chromosome 19 C17orf53 homolog OS=Bos taurus OX=9913 GN=C19H17orf53 PE=4 SV=3
+MACSLQKLFAVEEEFEDEDFLSAVEDAENQFAGSQPGNAGCLRPVSSRPQEAQLLPQVTA
+PAEAAGLPALGRRLPTCGGPRATGGALPTGTAALRPVSTSSSWIGNQGRATLTEVLEEPG
+RPQSSASHPQLTFRSKEQVIGGFEGPEQDEFDKVLASMELEGPSLELQLGVHSEAAGILP
+SWQQEDSTLAKKTWVADLSRPCQRGPVPSPHVTGIPSAPDPTVLCRTPQPHLRPGTTGNF
+PVPATSVVCAQPPHWEVSPVGPPPRTPQPLQAAGRPIQSSPQNRFPAQPFRSPNTCSSGK
+LRFLRPRTPNSSCAAPSKTSCGLFPQGPPQPRTPASSVLSPVCTPKGPSPSPLPQATLQT
+PIVTNHLVRLVTAASRTPQQPTCTSTRAKMRRFPGPAGLLPHQHSGKNLDDIMVSTPQTP
+THGALAKFRTELVTSSQASVEEDFGRGPWLTMKSTLGLDERDPTCFLCTYSIIMVLRKAA
+LKQLPGNKVPNMAVMIKSLTRSTMDASVVFKDPTGEMQGTVHRLLLETRQNELKPGSVVL
+LKQIGVFSPSLRNHYLNVTPNNLVRVYSPDAGDGNILKESQSFPQDLGSFHESLQQESEK
+PGKGLQTAQNPEAGATLEKELPEADDLDGLLSELPEDFFCGTSSWDCPKAGHPP
+>tr|F1MT64|F1MT64_BOVIN THAP domain-containing protein 4 OS=Bos taurus OX=9913 GN=THAP4 PE=4 SV=3
+MVICCAAANCSNRQGKGEKRAVSFHRFPLKDSKRLMQWLKAVQRDNWTPTKYSFLCSEHF
+TKDSFSKRLEDQHRLLKPTAVPSIFHLAEKKRRAGGHGRPRRRDTGKASAGLRAQASDPV
+DGKAAAGSPSSSSASPMAKPEPRKLKRATPQGRTAARAARETAGQERGRQPLEGRAEDGP
+ASAATSCSQGEAGTGAEDAGEEGATPADSGLVDRSGVSADDFTPPGSGACKFIGSLHSYS
+FSSKHARERPAVPREPVERKRLRRDAEPGCSGSSPGPEKGPAQSPPRACPSASSSLTATP
+QKPAQGASAPPTDVTPKPAAEAVQSEHSDASPMSINEVILSASGACKLIDSLHSYCFSSR
+QSKSQVCCLREQVEKKNGELRTLRQRVSRSDSQVRELRQKLDQLRRLSLPHLSSLLPPGR
+EPPKMSPVVEPLSWMLGTWLSEPPGAGTFPTLQPFRYLEEAHISHVGQPMLNFSFNAFHP
+DTHKPMHRECGFIRLEPDTNKVAFVSAQNTGIVEVEEGEVNGQELCIASHSIARISFAKE
+PHVEQITRKFRLNSEGNLNRQSPWQPLRSP
+>tr|F1MGJ1|F1MGJ1_BOVIN Peptide-methionine (R)-S-oxide reductase OS=Bos taurus OX=9913 GN=MSRB2 PE=3 SV=2
+MARLLRALRGLTLREAPGWAVRGRADCGGFRAGAGSPQAGCLIKRQPALSKSEWQKKLTP
+EQFHVTREKGTEPPFSGIYLNNKEPGMYHCVCCDSPLFSSEKKFCSGTGWPSFSEAHGTS
+GSDESNTGILRRADTSLGPARTEVVCKQCEAHLGHVFPDGPGPAGQRFCINSVALRFKPR
+KH
+>tr|E1BD91|E1BD91_BOVIN Cardiotrophin 1 OS=Bos taurus OX=9913 GN=CTF1 PE=4 SV=2
+GPPPWPLKHPALSSPRQEEGPAHSCPAQLRPLLPSPSPPPSNKLSPKSSRGRRSEPETSP
+PIGPQGCLQGPDTPSLRSLSTLQTTCCPPQVPFATRPSEQREDPQADSSASPLPHLEAKI
+QQTHSLARLLTKYAEQLLQEYVQHQGDPFGLPGFSPPRLPVADLSDPAPGHAGLPVPERL
+RLDAAALAALPPLLDVVRRLQGELNPRALRLLRRLEDAARQVRALGAAVEAVLAALGAES
+RGPRPEPAAAAVAASTASAGVFPAKVLGFRVCGLYLEWVSRTEADLGQLAPGGPA
+>tr|A0A3Q1NJC6|A0A3Q1NJC6_BOVIN Catenin delta 2 OS=Bos taurus OX=9913 GN=CTNND2 PE=4 SV=1
+MPSSILILCCPLLLLPPPASESFPMKFIFLVVLFQELQFERLTRELEAERQIVASQLERC
+KLGSETGSMSSVSSAEEQFHWQSQDGQKDIEDELTTGLELVDSCIRSLQESGILDPQDYS
+TSERPSLLSQSALQLNSKPEGSFQYPASYHSNQTLALGETAPSQLPARSTQARGAGQSFS
+QGTTSRAGHLAGPEPAPPPPPPPPREPFAPSLGSAFHLPDAQPAAAAALYYSSSTLPAPP
+RGGSPLAAPQGGSPTKLQRGGSAPEGAAYAAPPRGSSPKQSPSRLAKSYSTSSPINIVVS
+SAGLSPIRVTSPPTVQSTISSSPIHQLSSTIGTYATLSPTKRLVHASEPYGKHSQELYAT
+ATLQRPGSLAAGSRASYSSQHGHLGPELRALQSPEHHIDPIYEDRVYQKPPMRSLSQSQG
+DPLPPAHTGTYRTSTAPSSPGVDSVPLQRTGSQHGPQSAAAATFQRASYAAGPASSYADP
+YRQLQYCPSVESPYSKSGPALPPEGTLARSPSIDSIQKDPREFGWRDPELPEVIQMLQHQ
+FPSVQSNAAAYLQHLCFGDNKIKAEIRRQGGIQLLVDLLDHRMTEVHRSACGALRNLVYG
+KANDDNKIALKNCGGIPALVRLLRKTTDLEIRELVTGVLWNLSSCDALKMPIIQDTLAVL
+TNAVIIPHSGWENSPLQDDRKIQLHSSQVLRNATGCLRNVSSAGEEARRRMRECDGLTDA
+LLYVIQSALGSSEIDSKTVENCVCILRNLSYRLAAETSQGQHMGTDELDGLLCGEANGKD
+AESSGCWGKKKKKKKSQDQWDGVGPLPDCAEPPKGIQMLWHPSIVKPYLTLLSECSNADT
+LEGAAGALQNLAAGSWKGWAEDVAGMAYALRSLPEGAPCLPQWSVYIRAAVRKEKGLPIL
+VELLRIDNDRVVCAVATALRNMALDVRNKELIGKYAMRDLVHRLPGGNNSNSAASKAMSD
+DTVTAVCCTLHEVITKNMENAKALRDAGGIEKLVGISKSKGDKHSPKVVKAASQVLNSMW
+QYRDLRSLYKKDGWSQYHFVASSSTIERDRQRPYSSSRTPSISPVRVSPNNRSASAPASP
+REMISLKERKTDYESTGSNATYHGTKGEHTSRKDTMTAQTTGISTLYRNSYGAPAEDIKH
+NQVSAQPAPQEPSRKDYETYQPFPNSTRNYDESFFEDQVHHRPPASEYAMHLGLKSTGNY
+VDFYSAARPYSELNYETSHYPASPDSWV
+>tr|E1BPQ8|E1BPQ8_BOVIN Cell division cycle 73 OS=Bos taurus OX=9913 GN=CDC73 PE=4 SV=1
+MADVLSVLRQYNIQKKEIVVKGDEVIFGEFSWPKNVKTNYVVWGTGKEGQPREYYTLDSI
+LFLLNNVHLSHPVYVRRAATENIPVVRRPDRKDLLGYLNGEASTSASIDRSAPLEIGLQR
+STQVKRAADEVLAEAKKPRIEDEECVRLDKERLAARLEGHKEGIVQTEQIRSLSEAMSVE
+KIAAIKAKIMAKKRSTIKTDLDDDITALKQRSFVDAEVDVTRDIVSRERVWRTRTTILQS
+TGKNFSKNIFAILQSVKAREEGRAPEQRPAPNAAPVDPTLRTKQPIPAAYNRYDQERFKG
+KEETEGFKIDTMGTYHGMTLKSVTEGASARKTQTPAAQPVPRPVSQARPPPNQKKGSRTP
+IIIIPAATTSLITMLNAKDLLQDLKFVPSDEKKKQGCQRENETLIQRRKDQMQPGGTAIS
+VTVPYRVVDQPLKLMPQDWDRVVAVFVQGPAWQFKGWPWLLPDGSPVDIFAKIKAFHLKY
+DEVRLDPNVQKWDVTVLELSYHKRHLDRPVFLRFWETLDRYMVKHKSHLRF
+>tr|E1BJ94|E1BJ94_BOVIN Transmembrane protease serine OS=Bos taurus OX=9913 GN=LOC100140490 PE=3 SV=2
+MDSNTKMKITRCGKISLCILTMVIAILVIGLIVCFVTYGKTYFYHHISFKVNNIDYDSKF
+AKPYSQEYTDLNNKIISLINETFHGSRLRRQYVTSQVVQVSQAKGKVIIHIVLKFKSYYT
+NTSAKFRDKIETILRQKLNGKTGSLCIDSSSFRFSDIAKPMAENFLHTCCGHRKITRSGN
+KIAGGMDAEEGEWPWQASLQQKNVHRCGATLISNSWLVTAAHCFLKARDPKQWNVSFGLL
+LSDPQIQRSVKDIIIHENYHYPSHKNDIAVVRLSSPVLYTSNIRRACLPETSYAFPSNSD
+VVVTGWGTLKTDGTSPNILQKGLVKIIDSKICNRKVVYDGAITPGMLCAGFLEGSVDACQ
+GDSGGPLVGEDYKGTWFLAGIVSWGDECALPNKPGVYTRVTHYRDWIMSKTGL
+>tr|F1MTX9|F1MTX9_BOVIN Solute carrier family 38 member 1 OS=Bos taurus OX=9913 GN=SLC38A1 PE=4 SV=3
+MMHFKSGLELTELQNMTVPEDDNISNDSNDFTEVENGQINSKFISDRESRRSLTNSHLEK
+KKCDDYIPGTTSLGMSVFNLSNAIMGSGILGLAFALANTGILLFLVLLTSVTLLSIYSIN
+LLLICSKETGCMVYEKLGEQVFGTTGKLVIFGATSLQNTGAMLSYLFIVKNELPSAIKFL
+MGKEEEFSAWYVDGRLLVVVVTFGIILPLCLLKNLGYLGYTSGFSLSCMVFFLIVVIYKK
+FKITCSVPELNSTIPNSTNPDMCTPKYVTFNSKTVYALPTIAFAFVCHPSVLPIYSELKD
+RSQKKMQMVSNISFFAMFVMYFLTAIFGYLTFYESVQSDLLHKYQSKNDILILTVRLAVI
+MAVILTVPVLFFTVRSSLFELAKKTKFNLCRHVLVTILLLVIINLLVIFIPSMKDIFGVV
+GVTSANMLIFILPSSLYLKITSQDGDKGTQQIWAALFLGLGVLFSLVSIPLVIYDWAYSS
+SGDEGH
+>tr|E1B9U7|E1B9U7_BOVIN Polypeptide N-acetylgalactosaminyltransferase OS=Bos taurus OX=9913 GN=GALNT17 PE=3 SV=3
+MASLRRVKVLLVLNLIAVAGFVLFLAKCRPISMRSGDSFHEIRPRAEAANISAHSASPIQ
+DAVLKRLSLLEDIVYRQLNGLSKSLGLIEGYGGRGKGGLPATLSPAEEEKAKGPHEKYGY
+NSYLSEKISLDRSIPDYRPTKCKELKYSKELPQISIIFIFVNEALSVILRSVHSAVNHTP
+THLLKEIILVDDNSDEEELKAPLEEYVHKRYPGLVKVVRNQKREGLIRARIEGWKVATGQ
+VTGFFDAHVEFTAGWAEPVLSRIQENRKRVILPSIDNIKQDTFEVQRYENSAHGYSWELW
+CMYISPPKDWWDAGDPSLPIRTPAMIGCSFVVNRKFFGEIGLLDPGMDVYGGENIELGIK
+VWLCGGSMEVLPCSRVAHIERKKKPYNSNIGFYTKRNALRVAEVWMDDYKSHVYIAWNLP
+LENPGIDIGDVSERRALRKSLKCKNFQWYLDHVYPEMRRYNNTVAYGELRNNKAKDVCLD
+QGPMENHTAILYPCHGWGPQLARYTKEGFLHLGALGTTTLLPDTRCLVDNAKSRLPQLLD
+CDKVKSSLYKRWNFIQNGAIMNRGTGRCLEVENRGLAGIDLTLRSCTGQRWTIKNSIK
+>tr|G5E681|G5E681_BOVIN T cell receptor alpha variable 16 OS=Bos taurus OX=9913 GN=TRAV16 PE=4 SV=2
+MWLNCFQEKPAERSLLLPQSSDMKRTLISVLVVIFTLGGTRAQTVTQPESHTYVSEGAPV
+QVKCNYSYSGSPVLFWYVQYPRQHLQLLLKHTSRESIQGFTAELSQTEASFHLKKPSAQE
+EDSAVYYCALGNTVAGFTREAQHKPLTESISMFLI
+>tr|A0A3Q1NHJ2|A0A3Q1NHJ2_BOVIN Nipsnap homolog 1 OS=Bos taurus OX=9913 GN=NIPSNAP1 PE=1 SV=1
+MAPRLCSISAAARRLLGGPGSGPRDVVAVAMEAGSWFRSLFVHKVDPRKDAHSTLLSKKE
+TSNLYKIQFHNVKPECLDAYNSLTEAVLPKLHLDEDYPCSLVGNWNTWYGEQDQAVHLWR
+FSGGYPALMDCMNKLKNNKEYLEFRKERSQMLLSRRNQLLLEFSFWNEPQPRAGPNIYEL
+RTYKLKPGTMIEWGNNWARAIKYRQENQEAVGGFFSQIGELYVVHHLWAYKDLQSREETR
+NAAWRKRGWDENVYYTVPLVRHMESRIMIPLKISPLQ
+>tr|A0A3Q1M5R2|A0A3Q1M5R2_BOVIN G_PROTEIN_RECEP_F1_2 domain-containing protein OS=Bos taurus OX=9913 GN=OR5K3 PE=3 SV=1
+MAENNCSLATEFILIGFTEHPDLRILLFLVFFIIFLITMVGNLGLVALIVTEQCLHTPMY
+IFLGNLALMDSCCSCAITPKMLENFFSKDKMISLYECMVQFYFLCLAESADSFLLAAMAC
+DCFVAICKPLQYHIMMSQKLCIQMTTGAYVAGNLHSMIHVGFLFRLTFRGSHQINHFFCD
+VLPLYRLSCVDPYINELMIFIFGGSVQIFTITIVIISYLYIIFTIFKMKSKEGRKKALST
+CASHFLSVSIFYGSLLFTYIRPNSVNEEDKDLPVAIFYTLVIPLLNPFIYSLRNKEVINV
+MKKIMNIS
+>tr|A0A3Q1MTZ8|A0A3Q1MTZ8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+VHGHQQGRRGHEDELQRPEPDVRDGEEVVVAHVPAPGLQGVAHEVLLLVPPDLLGGHHQD
+HDAEDKEDGDPHLPDAGGVLVHAPDERVQSAPVHAAASPCSGENKAL
+>tr|E1BDI1|E1BDI1_BOVIN Dipeptidase OS=Bos taurus OX=9913 GN=DPEP3 PE=3 SV=2
+MLSLGPERPHVLIQRPLLRLLLLLWLLLRLVTSAQTTPGGPSAQTTWGTPSALTRPATSS
+TPTAPGTPRGLSLQERARALMRHFRLVDGHNHLPLLLKRHFQNKLQGVNLRNFRLGQTNL
+DKLRDGFVGAQFWSAYAPCQTQDQDAVRLTLEQIDLIRRMCDSYNELELVTSAEGLNSTQ
+KLACLIGVEGGHSLDSSLAVLRSFYLLGVRYLTLTFTCNTPWAESSTKFQLFYTNISGLT
+SFGEKVIEEMNRLGMMVDLSYGSHALAQQALKVSKAPVIFSHSAAKAVCDNMLNIPDDIL
+QLLKKNGGIVMVSLSMGVLQCNLFANVSTVADHFDHIRAVMGSEFIGISGSYDGSGRFPE
+GLEDLLRRGWREEELQGVLRGNLLRVFSQVEQVREESKGQSPLEDEFPNRQVGRSCHSHL
+LTQPQSKPRATHRVRNKWLTNQALQRPPKASLQLVLGLVAAVTFSVLILWL
+>tr|A0A3Q1MRY5|A0A3Q1MRY5_BOVIN Myosin VIIA OS=Bos taurus OX=9913 GN=MYO7A PE=3 SV=1
+MVILQQGDYVWMDLRSGQEFDVPIGAVVKLCDSGQIQVVDDEGNEHWISPQNATHIKPMH
+PTSVHGVEDMIRLGDLNEAGILRNLLIRYRDHLIYTYTGSILVAVNPYQLLSIYSPEHIR
+QYTNKKIGEMPPHIFAIADNCYFNMKRNSRDQCCIISGESGAGKTESTKLILQFLAAISG
+QHSWIEQQVLEATPILEAFGNAKTIRNDNSSRFGKYIDIHFNKRGAIEGARIEQYLLEKS
+RVCRQAPDERNYHVFYCMLEGMNEEQKKKLGLGQATDYNYLAMGNCVICEGREDSQEYAN
+IRSAMKVLMFTDTENWEISKLLAAILHLGNLQYEDRTFENLDACEVLFSPSLATAASLLE
+VNPRDLMNCLTSRTLITRGETVSTPLSREQALDVRDAFVKGIYGRLFVWIVDKINAAIYK
+PPSQEVKNSRRSIGLLDIFGFENFAVNSFEQLCINFANEHLQQFFVRHVFKLEQEEYDLE
+SIDWLHIEFTDNQDALDMIANKPMNIISLIDEESKFPKGTDTTMLHKLNSQHKLNSNYIP
+PKNNYETQFGINHFAGIVYYESQGFLEKNRDTLHGDIIQLVHSSRNKFIKQIFQADVAMG
+AETRKRSPTLSSQFKRSLELLMRTLGACQPFFVRCIKPNEFKKPMLFDRHLCVRQLRYSG
+MMETIRIRRAGYPIRYSFVEFVERYRVLLPGVKPAYKQDDLRGTCQRMAEAVLGTHDDWQ
+IGKTKIFLKDHHDMLLEVERDKAITDRVILLQKVIRGFKDRSNFLKLKNAATLIQRHWRG
+HNCRRNYGLMRLGFLRLQALHRSRKLHQQYCLARRRIIGFQARCRAYLVRKAFRHRLWAV
+ITVQAYARGMIARRLHRRLRAEYLRRLEAEKMRLAEEEKLRKEMSAKKAKEEAERKHQER
+LAQLAREDAERELKEKEEARRKKELLEQMERARHEPINHSDMVDKMFGFLGTSGGLPGQE
+GQAPSGFEDLERGRREMVEEDLDAALPLPDEDEEDLSEYKFAKFAATYFQGTTTHTYTRR
+PLKQPLLYHDDEGDQLAALAVWITILRFMGDLPEPRYHTAMSDGSEKIPVMTKIYETLGK
+KTYKRELQALQGEGEAQLPDGQKKSSVRHKLVHLTLKKKSKLTEEVTKRLHDGESTVQGN
+SMLEDRPTSNLEKLHFIIGNGILRPALRDEIYCQISKQLTHNPSKSSYARGWILVSLCVG
+CFAPSEKFVKYLRNFIHGGPPGYAPYCEERLRRTFVNGTRTQPPSWLELQATKSKKPIML
+PVTFMDGTTKTLLTDSATTAKELCNALADKISLKDRFGFSLYIALFDKVSSLGSGSDHVM
+DAISQCEQYAKEQGAQERNAPWRLFFRKEVFTPWHNPSEDNVATNLIYQQVVRGVKFGEY
+RCEKEDDLAELASQQYFVDYGSEMILERLLNLVPTYIPDREITPLRTLEKWAQLAIAAHK
+KGIYAQRRTDAQKVKEDVVNYARFKWPLLFSRFYEAYKFSGPNLPKNDVIVAVNWTGVYF
+VDEQEQVLLELSFPEIMAVSSSRGAKLAAPSFTLATIKGDEYTFTSSNAEDIRDLVVTFL
+EGLRKRSKYVVALQDNPNPAGEESGFLSFAKGDLLILDHDTGEQVMNSGWASGINERTKQ
+RGDFPTDCVYVMPTVTMPPREIVALVTMTPDQRQDVIRLLQLRTAEPEARTKPYTLEEFS
+YDYFRPPPKHTLSRVMVSKARGKDRLWSHTREPLKQALLKKILGSEELSQEACMAFTDIR
+THRGWGVTWRAGRGHREGSPRGGWDQAGPLGCSSPTSFQGALLGPGQTWRDADGVTPLPG
+CRGLPHPLSPHTLSFG
+>tr|G3N2H7|G3N2H7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC786628 PE=4 SV=2
+MNLLTLNQFAVLLWKNFTLKRRQFFNLILEVLTALAFPMMLLLLRAVIHITVAGPYTFTS
+QPISTLPSFLQNDERWELIYVPSNIDVVKEITENVKRNLNISIKVQGFSSEIEFEKYIKY
+DYRAHKVLAAIVFDCDFKNRHDPLPLQVKYHLRFAAIQRTIIWPDETGWKTTLLFPNQPS
+VGPRNPGHQDGGGPGYIREGFLAIQHALDKAIILYHESSARQLFDDISILVQRFPYPAYP
+DDGLLLLTGSFLPLMFILMFSPTVLSIIRSIVWEKEKRLKEYQLTIGLKSWMIWAAYFFT
+FFFFYIFIVSMICVLLFAKIFNDPVFYYSDYSFIFVFLMCYAIASIFFGFMVSTFFNKAR
+LAASAGSFIYFVSFFPFNSIAQYYGRINLTMKVAACLSPNIALALGIKLLVKFETKQTGV
+NWNKIWTPATLEDNLTFGHMMGMLVIDAFLYGLVTWYIEAVFPGQYGMPQPWYFFLMHSY
+WFSKPRIKIKKEEMNDSVITQNKYFEAEPTGLVAGIKIKHLYKKNTLVIINNMSLNLYKG
+QITILLGQNGAGKTTALSILTGHYPPTRGEVFIDGYDITKNITEIRENLGFCPQGDLLFN
+DLTLSEHLFFYSMVKNIHQKMSSNEINHILSTFDLLEKRDTFSKSLSGGTKRKLSIIIAL
+LGGSKVVILDEPSSGMDPFSRRATWDILQQFKHDRTILLTTHYMDEADILGDRIAIMVKG
+TLQCCGSSIFLKKTYGAGYHIVMEREPHCDLGKICAIIESHIPDAILESYLGAELSFILP
+KEHAHRFEALFNDLEMKKGELGVANFGASITTMEEVFLKVNKLADSEMEIQSIQSSLSTT
+QRGQNRNQSRRVLRNHEGLRLNEIANIKFNTGFPLYHQQFHAMFLKRALFNWRSWKLTLL
+HISIILFVTTYLLTTLNLYYIMPAREMDLSQYGRTIVPYSISGNSVLALNIINNLEIFLK
+LKNQELQEVQGKTCRKKETYRDFSLTAFSIEVEKNNTVFTIFFNNEAYHSAATSLAVFDN
+VLFMSLSGANASIKVSNKPQPLPHYGSNIVPTNGSQVVLCLAFGLAVVIGSFCLQTVTER
+ATNAKHIQFVSGVYLLTYWLSALLWDLIYFSIACCFLLVMFIYCGLDAFVADFHFLDTMM
+IFMLYGWSVVPLMYLGSLLFSSSTTAYIKLTLFNYFSTVFSIVTHIVMKFYVFKVRLSLL
+SPLVKKNNVYSFGEHGIANFLISSAALGLIFLLLLFCLESTFWNLKNFVFHKIVFNVYKI
+FMNGKKTYYKCPVVKAVRNISLLVPKYECFGLLGLNGAGKTTTFKMLTGEEITTSGVVLI
+DGINITENIRKIRSRIGYCPQSDPMLGHMTGREVLIMYARLRGVPEPDIGMYVETFLYSM
+HMETHADKLVCTYSGGNKGKLNTAIALMGKSSVVFLDEPSTGMDPVARRHMWDTVTGICN
+SGKAIVISSHSMEECEALCTRLAIMVKGKFKCLGSPRHLKNKFGNIYTLTAKINIGDNED
+KLEQFKEFIETNFPGNIINQDHHGIIGYYIPSKGICWGKVFHIMEEAKTLFNLVDYFISQ
+ITLEQIFLTFANIDKAKK
+>tr|F1MHP3|F1MHP3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=ZNF621 PE=4 SV=3
+MLQTTWPQEPVTFEDVAVYFTQNQWASLDSAQRALYREVMLENYENVASLVSPFPRPDLI
+SQLERGEAPWDPDPWEAEDLRGICPGGERGIKTEEPAVAQQASEGTEAHSRPVGGLLTNV
+SQHLDFENKAMWQTFSLNPNLILRGGMKFYKCKECGKIFRYNSQLLRHQSRHTGEKPFKC
+KECGKAFKSSQNCIVHEKNHIGKGPYECKECGKGLSSKTALTQHQRIHTGEKLYKCKECG
+KGLSSNTALTQHQRLHTGEKLYKCKECGKAFTQKITSIQHQRVHTGEKPYECKVCRKAFK
+WYPSFLQHQKRHRVERPIKAFGPPLLRPQGPSASLAPGCLPGPGSTPVAVALPHTVLIPA
+SGPMFMLLPASGVLSSPVQVVRVFQGLPPAVKPSPVILTPSPHPRDLSLGTSLAVTADV
+>tr|A0A3Q1LK73|A0A3Q1LK73_BOVIN Signal peptide, CUB domain and EGF like domain containing 2 OS=Bos taurus OX=9913 GN=SCUBE2 PE=4 SV=1
+MGVAGRGCPRAAPALLLLLLLLLLLLLTAAVPPYRGRAEGPPEDVDECAQGLDDCHINAL
+CENTPTSYKCSCRPGYQGEGRQCEDIDECENELNGGCVHDCLNIPGNYRCTCFDGFMLAH
+DGHNCLDVDECLENNGGCQHTCLNVMGSYECRCQEGFFLSDNQHTCIHRSEVTCNHGNGG
+CQHSCEDTAEGPECSCHPRYKMHSDGRSCLEREDIALEVMESNTTSVADGDKRVKRRLLM
+ETCAVNNGGCDRTCKDTSTGVHCSCPVGFTLQMDGKTCKDIDECQTRNGGCDHFCRNTVG
+SFDCSCKKGFKLLTDEKSCQDVDECSLDRTCDHSCINHPGTFTCACSEGYTLYGFTHCGD
+TNECSVNNGGCQQVCVNTVGSYECHCHSGYKLHWNKKDCVEVKGGLPTSLSPHVSLQCGK
+SGGGDRCFLRCHSGIHLSPGLQEAYSVTCGSSSPLRNKQQKSNDSAFGDVATVRTSVTFK
+LNEGKCSLKKAELFPEGLRPVLPEKHSSVKESFRYANLTCSSGKQVPGGPGRPNAPKEMF
+ITVEFELETNQKEVTVSCDLSCIVKRTEKRLRKAIRTLRKAAHREQLHLQLSGVNLEVAK
+KSPRTSERQIESCAMGQDHGGNQCVSCRAGTYYDGAQERCILCPNGTFQNEEGQITCEPC
+PRPGSPGTLKTPEAWNVSECGGLCQPGEYSADGFTPCQPCARGTFQPEAGRTSCFPCGGG
+LPTKHPGATSFQDCETRVQCSPGHFYNTTTHRCIRCPAGTYQPEFGKNHCVSCPGNTTTD
+FDGSTNITQCKNRRCGGELGDFTGYIESPNYPGNYPANTECTWTINPPPKRRILIVVPEI
+FLPIEDDCGDYLVMRKTASSNSVTTYETCQTYERPIAFTSRSKRLWIQFKSNEGNSARGF
+QVPYVTYDEDYQELIEDIVRDGRLYASENHQEILKDKKLIKALFDVLAHPQNYFKYTAQE
+SREMFPRSFIRLLRSKVSRFLRPYK
+>tr|A0A3Q1MKL2|A0A3Q1MKL2_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC509817 PE=3 SV=1
+MRNHSVVSEFILLGLSADPQIQALLFVLFFVIYTLTLMGNLMLLLVIRVDSQLHIPMYFF
+LGQLSFLDVCHSSVTVPKLLENLLSEKKTISVEGCMAQVFFVFATGGTESCLLAVMAYDR
+YVAITSPLLYGQVMNRQLCMGLVGGSWGLAFLDALINILVALNLDFCEAQNIHHFSCELP
+SLYPLSCSGVSASFTALLCSSLLHFFGNFLLIFFSYVRILFTILSISSTKGRSKAFSTCS
+SHLTAVIFFYGSGLLRYLMPNSGSIQELIFSLQYSVVTPMLNPLIYSLKNKEVKAAVRRM
+LRRCF
+>tr|A0A3Q1MGA7|A0A3Q1MGA7_BOVIN FERM and PDZ domain containing 2 OS=Bos taurus OX=9913 GN=FRMPD2 PE=4 SV=1
+MPGLCHMCVFAGMSPASVTLASALQVRGEALSEEDIWSLLSLATERLLEDLCDDSSNYVV
+CPWSVLLSATGSLSFQDHVSHIEAAPFKAPELLQGQSNDEQLGASQMHVYSLGMTLYWSA
+GFHVPSNQPLQLCQPLHSILLTMCEDQPGRRLPLQSVLEACQIHWEEAAVHPTSANLHVR
+QLVGLVLGTISEVERRAVEESSSGQRDRSHLLRNRLHQASCESQAAWALGCAHRCRVSER
+STETQSSLEPGLNTSARGRCSLAVNSALPVQVPPDLQEGRRLSSASALPENSQPATPSQR
+GFLQRKGKFSRPEFVLMAGEAPVTLYLPGSIVTKRAKSYLALRDLCVVLLSGQCLEVKCD
+ITSTVGAVFSAIASFASLGELTYFGLAYMKGKEFFFLDAETRLCKIAPEGWSEQPQKKSS
+PNAFVLFLRIKFFVSHYGLLQHSWTRHQFYLQLRKDILEEKLYCSDEMLLQLGVLALQAE
+FGSYPEEQVENKAYFRVEDYIPASLIERMTALRAQVEVSEMHRLSPMLWGEDAELEFLRV
+AQQLPEYGVLVYQVLPEKTRLEGEMALGICAKGVIVYEVRNNSRIATLRFQWREIEKILA
+YRKKFIITSSVTGKKHTFVADSVKTCKYLLGLCCAQHGFNAQMSSPGVTSDSDKCVQMAS
+LSLAHLAQSNQLTWIQRLSSSENALFIPGLEDAAGGLLRRSLDNFTMDTSKGTRAQGLRG
+SPCLGREQLERLCLIQKPTTCDLLSRQPAQSMRTGSRNNRRRSFIAEPDGEIVHVTLSRD
+PHRGFGFVINEGEDVGKVDPGIFISSIIPGGPAEKAKKIKPGGQILALNRISLEGFTFDM
+AVRMIQNSPDNIELIISQSKGVCGNTSSEEKNSTANSGVCSTDSLSNGHQGSLSSHTQDR
+ERNIEELEMAQTQSVMPGLSPQLSALPLKGAGSSCPPSPSETNASEIYFVELVKEDGTLG
+FSVTGGINTSVFCGGIYVKSIVPGGPAAKEGRILQGDRLLQVDGVSLCGLTHKQAVQCLK
+GSGQVARLVLERRGPRIAQHCPSANDRMGEECTAVSLATVLPGRPASSVSATDGPKFEVK
+LKKNASGLGFSFVQMESEHRGHLKSDLVMIKRLFPGQPAEENGAMAVGDLILAVNGRSTE
+GLDFQEVLHLLRGASQEVTLLLCRPPPGALPEMDQGWQTPVLAADKEFSRAACTDLEQSP
+SLDQGDSRRDSASPDTGEGLGLRPESFQQATQEAQWDQDTERPWATSLTDPRDSFPHLCR
+LHQEMEASTLATSLEKDLRQNCDSVCDIRRLGSPELYSDNEERDMHHPPGTPSPTSKDEV
+YLTINPSLADQLPCGECLEADSGTIPLPQFCSWSTFSQSLPPEVSHESESKWEDFEEAED
+ADEGPLRWVALWVEYGWAKFF
+>tr|A0A3Q1MIM6|A0A3Q1MIM6_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC781758 PE=3 SV=1
+MEEAKQSVVSEFIFRGLCDSRELQKFLFLPFSALYLMTVLGNLFVAFLTITDSHLHSPIY
+FLLANLSFVDFCFSSVTTPKLTTDFLKDNKTISFGGCMSQILCVHFFGGGEMVLLVTMAY
+DRCVAICKPLHYSSIMNRQKCIWLVLTSWIIGFVHAASQLAMILDLPFCGPRIVDSFFCD
+IPKVIKLACTDTHTQRILINADSGVLATTCFILLLISYTYILVTVRLSSKDGASKALSTC
+TSHITVVVLFFGPCIFTYLWPPSITWVDKFLAVFYTVITPLLNPAIYTLRNKEIKNAIKR
+LIS
+>tr|G3MXJ5|G3MXJ5_BOVIN Lysosomal associated membrane protein 2 OS=Bos taurus OX=9913 GN=LAMP2 PE=1 SV=2
+MTRAESAESWLLQRCGRWSSALLSGFREPFPCCRCSCRRRLLSGIMVCFRLAPVPGSGFL
+LLCLVLGAVSSYALELNLTDSSNATCLYAKWQMNFTIRYETTDKHNKTVPISDLGAATYN
+GSFCGDDQNGPKIAVQFGSGFSWIVNFTKEAASPSTYLVDTISFSYNTNDNKTFPDAKEK
+EVFTVNNRVALKIPLNDIFRCNSLSTLENRDVVQHYWDVHVQAFVQNGTVSTTEFLCDKD
+KTVTTAVPIVPTTLPSPTKPVVGSYSVVNSNGTCLLATMGLQLNITHDKVASVFNINPNT
+TNATGSCQPQTALLRLSSSNIKYLDFVFAVKNENRFYLKEVNVSMILVNGSVYSISNTNL
+SYWDAPLGSSYMCNKEQTVSVSGAFQINTFDLRVQPFSVTEGKYSTAQDCSADDDNFLVP
+IAVGAALAGVLILVLLAYFIGLKRHHAGYEQF
+>tr|E1B731|E1B731_BOVIN GDT1 family protein OS=Bos taurus OX=9913 GN=TMEM165 PE=3 SV=1
+MAAAARGSGRASAPGLFLVLLVPLLWAPAGVRAVPDEALSHRNKEPPAPAQQLQPQPAAV
+QGPEPARVEKGFTPAAPVHTNKDDPATQTNLGFIHAFVAAISVIIVSELGDKTFFIAAIM
+AMRYNRLTVLAGAMLALGLMTCLSVLFGYATTVIPRVYTYYVSTALFAIFGIRMLREGLK
+MSPDEGQEELEEVQAELKKKDEEFQRTKLLNGPGDVETGTSTTIPQKKWLHFISPIFVQA
+LTLTFLAEWGDRSQLTTIVLAAREDPYGVAVGGTVGHCLCTGLAVIGGRMIAQKISVRTV
+TIIGGIVFLAFAFSALFISPDSGF
+>tr|E1BAT8|E1BAT8_BOVIN Kinesin motor domain-containing protein OS=Bos taurus OX=9913 GN=KIF20B PE=3 SV=1
+MESNLNQDGMSRPSYVFSADPLARPSEINFDGIKLDLSREFSLVASSTEANSSESKDYLQ
+VCLRVRPFTQPEKEHESEGCVYILDSQTVVLKDPQYTTLGRLSEKSSGQMAQKFSFSKVF
+GPETTQKEFFQGCILQPVKDLLKGQSRLIFTYGLTNSGKTYTFQGTEENTGILPRTLNVL
+FDSLQERLYTKMNLKPHRSREYLRLSPDQEKEEVASKSALLRQIKEVVMHNDSYGALYGS
+LTNSLSIPEFEESMKDCEHSNLNIDINRKFSVWVSFFEIYNECIYDLFVPVSSKFQKRKT
+LRLSQDVKGYSFIKDLQWIQVSDAKEAYRLLKLGTKHQSVAFTKLNNASSRSHSIFTIRI
+LQIEDSEIPHVIRVSELSLCDLAGSERSMRTQNEGERLRETGNINTSLLTLGKCISVLKN
+SEKSKFQQHVPFRESKLTHYFQSFFNGKGKICMIVNISQCSFTYDETLNVLKFSAIAQKV
+YVPDILNCSQEKSFGPVKSSQDESLDINNSDNKILNEKRSTISWESSLEDVVEDEDLVED
+LEKAEEKQNVETEFIDEDLHDTLEDDKAFSSHAGKRKLLDLIEDLKKKLINERKEKLTLE
+FKIRDEVTQEFTQYLAQREADFKETLLQEREILEENAECRLAIFKDLIGKCDAQEEPKNE
+DHAIKDETEEAIACLEIKFNQVKAELAKTKEELIKTREELKKRESESEINLNSLVQELEK
+SNKKIIMQNQRIQELMDKIDQKEDTINKFQNLKFHMENTCKGSDNVGNSSLIINSKLVCD
+ETTEMSKDSKTKTYSGRKRLNENELQQDEPPAKKGLTHASPAITEDQKKSEEMQESISDE
+ENIRLLQENNEELKTRLLTIENELKNEKEEKTELNKQIVSLQQELSSSEKKSLGFSIEIQ
+QIQSSYDNVISELHVQKSINQEQKERIMKLSEEIETARRNITNNVSQIKLMQAKIDELRR
+LDSVSQIANIDLLNLRDLSSCSQEDNLPNTQLHHLDNDCLISKQVKEHGIQELSRERSFH
+STVEAIWEECKEIVKTSSKKTHQIEELEQQIEKLQAEVKDYTNENNRLKIEEREKKNQDD
+LLKEKDSLIQQLKEEVQEKTISLDIEVQHVVEGKRALSELMQDVTCYKVKIKELEAMLET
+QKDECSHSAKLEQEIMEKESIILKQERNLNECQANLKDSIQNARDLSEREVKLKEEIMQL
+TKNLQDAKQSLQLKEEEKERNWQETEKLKEELSASTTLTQNLKADLQRKEEDYAELKEKL
+ADAKKQIEQVQKEISVMRDEEKLLRIKINELEKKKNQCSQEIDMKQRTIQQLKEQLNNQK
+VEEAIQQYERVCKDLSVKEKIIKDMQMTLEEQEQTQVEQDQVLEAKLEETERLATELEEW
+KEKYKDLEAKHNQSSNKEFEDNTDVLNTKLSKLQDELQESEQKHEAERKKWLEEKMMLIT
+QAKEAENLRNKEMKKYAEDREHCLKQQNEMEILKGQLAEKDGNLQQWREERDQLVAALEI
+QLKALISSNVQKDNEIEQLKKITSEASKTEKQTMDIHLTQMSLTDPGRVEIEPQSTSFEI
+SRGEVEDGSVVLDSCEVSTENNQSTRFPKPELEIQFTPLQPNRMAVKHPGCTSPVTVKIP
+KARKRKSHEMEEDFVKFENKKNATPRINLKSPVSEHRNSFKKEQKVSIRPSSKKTYSLRS
+HASTVGVNGSAKKKEGTLQKFGDFLQHSPTILQSKAKKIIETMSSSKFSNVEVSKENVSR
+PKRAKRKLYTNEISSPIDISGQVILMDRKVKESDHQILKRRLRTRTVK
+>tr|F1MF56|F1MF56_BOVIN Matrix metalloproteinase-9 OS=Bos taurus OX=9913 GN=MMP9 PE=3 SV=1
+MSPLQPLVLALLVLACCSAVPRRRQPTVVVFPGEPRTNLTNRQLAEEYLYRYGYTPGAEL
+SEDGQSLQRALLRFQRRLSLPETGELDSTTLNAMRAPRCGVPDVGRFQTFEGELKWHHHN
+ITYWIQNYSEDLPRAVIDDAFARAFALWSAVTPLTFTRVYGPEADIVIQFGVREHGDGYP
+FDGKNGLLAHAFPPGKGIQGDAHFDDEELWSLGKGVVIPTYFGNAKGAACHFPFTFEGRS
+YSACTTDGRSDDMLWCSTTADYDADRQFGFCPSERLYTQDGNADGKPCVFPFTFQGRTYS
+ACTSDGRSDGYRWCATTANYDQDKLYGFCPTRVDATVTGGNAAGELCVFPFTFLGKEYSA
+CTREGRNDGHLWCATTSNFDKDKKWGFCPDQGYSLFLVAAHEFGHALGLDHTSVPEALMY
+PMYRFTEEHPLHRDDVQGIQHLYGPRPEPEPRPPTTTTTTITEPQPTAPPTVCVTGPPTA
+RPSEGPTTGPTGPPAAGPTGPPTAGPSAAPTESPDPAEDVCNVDIFDAIAEIRNRLHFFK
+AGKYWRLSEGGGRRVQGPFLVKSKWPALPRKLDSAFEDPLTKKIFFFSGRQVWVYTGASL
+LGPRRLDKLGLGPEVAQVTGALPRPEGKVLLFSGQSFWRFDVKTQKVDPQSVTPVDQMFP
+GVPISTHDIFQYQEKAYFCQDHFYWRVSSQNEVNQVDYVGYVTFDLLKCPED
+>tr|G3N0J2|G3N0J2_BOVIN WAP four-disulfide core domain protein 18 OS=Bos taurus OX=9913 GN=WFDC18 PE=4 SV=2
+MKTGTVFVLLAFLVMGLEVAWAQKSPVKGQRKPGFCPEVPKGTVGICAELCSGDYSCPGR
+AKCCSNGCGHVCKAAVFKKVGSGGLGKAGLNSD
+>tr|E1BFV4|E1BFV4_BOVIN ADAM metallopeptidase with thrombospondin type 1 motif 14 OS=Bos taurus OX=9913 GN=ADAMTS14 PE=4 SV=2
+MAPVCARLSCLLLLHCALCAAAGSGTEELHFSRKLSDYGVTVPCSTDSQGRFLSHVVSGP
+AAASAGSVKGDGPPSPLSHSGRLRVARSPLRPEGVTLQPGRMGRSSLYFNVTVFGEELHL
+HLRPNRRLVVPGASVEWQEDFQELFRQPLQRECVYTGGVTGMPGAAVAISNCDGLAGLIR
+TDSTDYFIEPFERGQQEAEAGGRKHVVYRREVVRQRWTEPLGDLHNEAFGLGDLPNLLGL
+VGDRLGEAERKRRHATPGSYSIEVLLAVDDSVVRFHGKEHVQNYVLTLMNIVDEIYHDES
+LGVHINIALVRLIMVGYRQSLSLIERGNPSRSLEQVCRWAHSQQRQDPGHAEHHDHVVFL
+TRQDFGPSGYAPVTGMCHPLRSCALNHEDGFSSAFVVAHETGHVLGMEHDGQANGCADET
+SLGSVMAPLVQAAFHRFHWSRCSKLELSRYLPSYDCLLDDPFAPAWPQPPELPGIDYSMD
+EQCRFDFGTGYHTCSAFRTFEACRQLWCSHPDNPYFCKTKKGPPLDGTECAPGKWCFKGH
+CIWKSPEQTYGQDGGWSSWTKFGSCSRSCGGGVRSRSRSCDNPPPAYGGRMCSGPMFQYQ
+ICNSEECPGPYEDFRAQQCAKRSSYYIHQNAKHSWIPYEPDDDAQKCELICQSEDTGDVV
+FMNQVVHDGTRCSYRDLYSVCARGECVPVGCDKEVGSMKADDKCGVCGGDNSHCRTVKGT
+LGKASKQAGALKLVQIPAGARHIQIEELEKVPHHIAVKNQVTGSFILNPKGKEATSRTFT
+AMGLEWEYVVEDAKESLKTSGPLPEAVTVLVLPPAESGPRSSLAYKYIIHEDLLPLIGSN
+NVLLEETDTYEWALKSWAPCTKACGGGIQFTKYGCRRRRDHHMVQRHLCDHRKRPKPIRR
+RCNQHACAQPGWVTEEWGTCSRSCGKLGVQTRGVQCLLPLTNGTHKAMPAKACPGERPEA
+RRPCLRVPCPLQWRTGAWSQCSVTCGEGIQQRQVVCRTNANSLGQCEGAKPDMVQACSLP
+ACGGNLQNSTVKADVRELVTPEGQWVPQSGPLDPINKISSTELCVRDRSIFCQTEELDRY
+CSIPGFHRLCCESCTKKTLSLDPGLASPPPFSTPGSPFSAPVAPPDAVEPSAGPTGSDQR
+QRDQPTPLPGPLGTSAPVTQSYFAPQKPTPTAFRGTSPSAPWGWTGAPTPASENKGLLRE
+DPKHSGTSLPSTAPVT
+>tr|A0A3Q1LF90|A0A3Q1LF90_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+LSSKFILFLSTPMSLNKVTYHPHHREPPTPVPCILEQFVKDIQESPRHLPYAASPTPQPP
+QRESQETNLLGRCTH
+>tr|A0A3Q1MNP8|A0A3Q1MNP8_BOVIN Dynein light chain roadblock-type 2 OS=Bos taurus OX=9913 GN=DYNLRB2 PE=4 SV=1
+MRLVGGGTNVSALTRQRLSNSAGHRAAESIPNITPGSDGTTPVYLLQNTYHTWTVLLRLS
+RILSVSRSRMYHRPRERDTAEVEETLKRIQSHKGVIGTMVVNAEGIPIRTTLDNSTTVQY
+AGLLHQLTMKAKSTVRDIDPQNDLTFLRIRSKKHEIMVAPDKEYLLIVIQNPCE
+>tr|A0A3Q1M1L7|A0A3Q1M1L7_BOVIN Kelch like family member 23 OS=Bos taurus OX=9913 GN=KLHL23 PE=4 SV=1
+MALKGQEDYIYLFKDTTHPVDFLDAFRTFYLDGLFTDITLQCPSGIIFHCHRAVLAACSN
+YFKAMFTADMKEKFKNKIKISGIHHDILEGLVNYAYTSQIEITKRNVQSLLEAADLLQFL
+SVKKACEQFLVRHLDIDNCIGMHSFAEFHVCPELEKESRRILCSRFKEVWQQEEFLEISL
+EKFLFILSRKNLSVWKEEAVIEPVIKWTAHDVENRIECLYNLLSYINIDVDPVYLKTALG
+LQRSCLLTENKIRSLIYNALNPMHKEISQRSTATMYIIGGYYWHPLSEVHIWDPLTNVWI
+QGAEIPDYTRESYGVTCLGPNIYVTGGYRTDNIEALDTVWIYNSEGDEWTEGLPMLNARY
+YHCAVTLGGCVYALGGYRKGAPAEEAEFYDPLKEKWIPIANMIKGVGNATACVLHEVIYV
+IGGHCGYRGSCTYDKVQSYNSDINEWSLITSSPHPEYGLCSVPFENKLYLVGGQTTIAEC
+YDPEQNEWREIAPMMERRMECGAVIMNGCIYVTGGYSYSKGTYLQSIEKYDPDLNKWEIV
+GNLPSAMRSHGCVCVYNV
+>tr|A0A3Q1LWH8|A0A3Q1LWH8_BOVIN RNA binding motif single stranded interacting protein 3 OS=Bos taurus OX=9913 GN=RBMS3 PE=4 SV=1
+MGKRLDQPQMYPQYTYYYPHYLQTKQSYAPAPHPMAPPSPSTNSSSNHSSSNSSGEQLSK
+TNLYIRGLPPGTTDQDLIKLCQPYGKIVSTKAILDKNTNQCKGYGFVDFDSPAAAQKAVA
+SLKANGVQAQMAKQQEQDPTNLYISNLPISMDEQELENMLKPFGHVISTRILRDANGVSR
+GVGFARMESTEKCEVVIQHFNGKYLKTPPGIPAPSEPLLCKFADGGQKKRQNQSKYTQNG
+RPWPREGEAGMALTYDPTAAIQNGFYSSPYSIATNRMIPQTSITPFIAASPVSTYQGAVI
+TPAMDHPMSMQPASMMGPLTQQMNHLSLGTTGTIQSQDRIMILHQLLCQYMTAAAPMQGT
+YIPQYTPVPPTAVSIEGVVADTSPQTVAPSSQDTSGQQQQIAVDTASEHASAYSYQQSK
+>tr|F1MZA8|F1MZA8_BOVIN BTB domain containing 1 OS=Bos taurus OX=9913 GN=BTBD1 PE=4 SV=3
+MASLGPAVTGEQVPGAEAEPGAAGPPPPSTSSLGPLLPLKREPLYNWQATKASLKERFAF
+LFNSELLSDVRFVLGKGRGGGGAAGGPQRIPAHRFVLAAGSAVFDAMFNGGMATTSAEIE
+LPDVEPAAFLALLRFLYSDEVQIGPETVMTTLYTAKKYAVPALEAPCVEFLTKHLRADNA
+FMLLTQARLFDEPQLASLCLDTIDKNTMDAISAEGFTDIDIDTLCAVLERDTLSIRECRL
+FGAVVRWAEAECQRQQLPMTFGNKQKVLGKALALIRFPLMTIEEFAAGPAQSGILSDREV
+VNLFLHFTVNPKPRVEYIDRPRCCLRGKECCINRFQQVESRWGYSGTSDRIRFTVNRRIS
+IVGFGLYGSIHGPTDYQVNIQIIEYEKKQTLGQNDTGFSCDGTANTFRVMFKEPIEILPN
+LCYMACATLKGPDSHYGTKGLKKVVHETPTASKTVFFFFSSPGNNNGTSIEDGQIPEIIF
+YT
+>tr|A0A452DI49|A0A452DI49_BOVIN Synaptotagmin-1 OS=Bos taurus OX=9913 GN=SYT1 PE=4 SV=1
+MFHFPARSDGVAGGVSAEPTMVSESHHEALAAPPVTTVATVLPHNATEPASPGEGKEDAF
+SKLKEKFMNELHKIPLPPWALIAIAIVAVLLVLTCCFCICKKCLFKKKNKKKGKEKGGKN
+AINMKDVKDLGKTMKDQALKDDDAETGLTDGEEKEEPKEEEKLGKLQYSLDYDFQNNQLL
+VGIIQAAELPALDMGGTSDPYVKVFLLPDKKKKFETKVHRKTLNPVFNEQFTFKVPYSEL
+GGKTLVMAVYDFDRFSKHDIIGEFKVPMNTVDFGHVTEEWRDLQSAEKEEQEKLGDICFS
+LRYVPTAGKLTVVILEAKNLKKMDVGGLSDPYVKIHLMQNGKRLKKKKTTIKKNTLNPYY
+NESFSFEVPFEQIQKVQVVVTVLDYDKIGKNDAIGKVFVGYNSTGAELRHWSDMLANPRR
+PIAQWHTLQVEEEVDAMLAVKK
+>tr|A0A3Q1MF69|A0A3Q1MF69_BOVIN Semaphorin 3F OS=Bos taurus OX=9913 GN=SEMA3F PE=3 SV=1
+MPVAGLLLWASLLTGAWPAAPSQDYLPAMPRIRLSYKELKATGTAHFFNFLLNTSDYRIL
+FKDEDHDRMYVGSKDYVLSLDLHDINREPLIIHWAATPQRIEECVLSGKDGNGECGNFVR
+LIQPWNRTHLYVCGTGAYNPVCAYVNRGRRAEDYVFYLEPEKLESGKGKCPYDPKLDTAS
+ALINEELYAGVYIDFMGTDAAIFRTLGKQTAMRTDQYNSRWLNDPSFIHAELIPDSAERN
+DDKLYFFFRERSIEAPQSPAVYARIGRICLNDDGGHCCLVNKWSTFLKARLVCSVPGEDG
+IETHFDELQDVFVQQTQDVRNPVIYAVFTSSGSVFRGSAVCVYSMADIRMVFNGPFAHKE
+GPNYQWMPFSGKMPYPRPGTCPGGTFTPSMKSTKDYPDEVINFMRSHPLMYQAIYPLQRR
+PLVVRTGAPYRLTTVAVDQVDAADGRYEVLFLGTDRGTVQKVIVLPKDDQEMEELMLEEV
+EVFKDPAPVKTMTISSKRQQLYVASAVGVTQLSLHRCQAYGAACADCCLARDPYCAWDGQ
+ACSRYTASSKRRSRRQDVRHGNPIRQCRGFNSNANKNAVESVQYGVAGSTAFLECQPRSP
+QATVKWLFQRDPSDRRREVHADDRFLRTEQGLLLRALQSGDSGLYSCTATENNFKHIITR
+VQLHVLGQEDIRTALFPPPAASIPPPAASIPPPPGTGPPKPRYQELAQLLAQPEVGLIHQ
+YCQGYWRHVPPSPREAPGAPRPRKPQDQKKPRNRRHHPPDT
+>tr|A0A3Q1N6J8|A0A3Q1N6J8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=OPHN1 PE=4 SV=1
+MGHPPLEFSDCYLDSPDFRERLKCYEQELERTNKFIKDVIKDGNALISAMRNYSSAVQKF
+SQTLQSFQFDFIGDTLTDDEINIAESFKEFAELLNEVENERMMMVHNASDLLIKPLENFR
+KEQIGFTKERKKKFEKDGERFYSLLDRHLHLSSKKKESQLQEADLQVDKERHNFFESSLD
+YVYQIQEVQESKKFNIVEPVLAFLHSLFISNSLTVELTQDFLPYKQQLQLSLQNTRNHFS
+STREEMEELKKRMKEAPQTCKLPGQPTIEGYLYTQEKWALGISWVKYYCQYEKETKTFTM
+TPMDQKPGAKQQGPLDLTLKYCVRRKTESIDKRFCFDIETNERPGTITLQALSEANRRLW
+MEAMDGKEPIYHSPITKQEEMELNEVGFKFVRKCINIIETKGIKTEGLYRTVGSNIQVQK
+LLNAFFDPKCPGDIDFHNSDWDIKTITSSLKFYLRNLSEPVMTYRLHKELVSAAKSDNLD
+YRLGAIHSLVYKLPEKNREMLELLIRHLVNVCEHSKENLMTPSNMGVIFGPTLMRAQEDT
+VAAMMNIKFQNIVVEILIEHFVKIYSGPPEESTAPPVPPPRVTARRHKPITISKRLLRER
+TVFYTSSLDESEDEIQHRTPNGTISSSIEPPKPPQYPKMPMQKSVEADPGRKSPSRLVSD
+VKLETCLEVDVGKLVSRLQDGGTKAIPKASNGPVPGPGTTKAPSFHIKRSAPRPLAHHKE
+GDSDSFSKVRPPGEKPTIIRPPVRPPDPPCRATTTQKPEPKPDVMAGNAGEIPSSVVASR
+TRFFETASRKTGSSQGRLPGDES
+>tr|A0A3Q1LVE2|A0A3Q1LVE2_BOVIN SAS-6 centriolar assembly protein OS=Bos taurus OX=9913 GN=SASS6 PE=4 SV=1
+MPYPELYHPSFVKPEEVDGGRRGPNLLCISSVSLSPLSPPPPRKQSGKLKLYRTRKSFSL
+ISRAGPVVFYFFAHRPGQRFSMSQILFQQLVPLQVKCRDCEERRVSIRLSIELQSVSNPV
+HRKDLVIRLTDDADPFFLYNLVISEEDFQSLKFQQGLLVDFLAFPQKFIDLLQQCTQEYA
+KEIPRFLLQLVSTEAILDNSPALLNVVETNPFKHLTHLSLKLLPGNDVEIKKFLADCLKC
+SKEEKLSLTQSLDDVTRQLNFTQKAQVQYQQQHEQQKKDLEILHQRNMQQLQNRLSELET
+ANKDLTERKYKGDSTIRELKAKLSGVEEELQRAKQEVLSLRRENSTLDAECHEKEKHINQ
+LQTKVAVLEQEIKDKDQLVLRTKEAFDTIQEQKVALEENGEKNQVQLGKLEATIKSLSAE
+LLKANEIIKKLQGDLKTLMGKLKLKNTVTIQQEKLLAEKEEKLQKEQKELQDVGQSLRIK
+EQEVCKLQEQLEATVQKLEESKQLLKNNEKLITWLNKELNENQLVRKQDVLGPSTTPPVH
+SSSNTIRSGISPTSNVVEGRLTYPACGIGYPVSSAFAFQNTFSHPISAKNSIHTVSGPKV
+QFNLQFTKPNPSLGDVQSGTTISMPCSTDKENGENLGLESIYLKKREDSIPLRGLSQNLF
+NNPDHQKDGTLGALQTSSKLTVLPSASSAYFPGQLQNS
+>tr|A0A3Q1LY89|A0A3Q1LY89_BOVIN Myelin expression factor 2 OS=Bos taurus OX=9913 GN=MYEF2 PE=4 SV=1
+MADADKPEVLRAAGDDSPHRQPSEPPGEPRREPHPPEQEKQPPQHSSSSNGVKMENDESV
+KEEKSELKEKSTGNKKANRFHPYSKDKNSGTGEKKGPNRNRVFISNIPYDMKWQAIKDLM
+REKVGEVTYVELFKDAEGKSRVSGVVEFKDEEFVKKALETMNKYDLSGRPLNIKEDPDGE
+NARRALQRTGGSFPGGHVPDMGSGLMNLPPSILNNPNIPPEVISNLQAGRLGSTIFVANL
+DFKVGWKKLKEVFSIAGTVKRADIKEDKDGKSRGMGTVTFEQAIEAVQAISMFNGQFLFD
+RPMHVKMDDKSVPHEDYRSHDSKAPQLPRGLGGIGMGLGPGGQPISASQLNIGGVMGNLG
+PSGMGMDGPGFGGMNRIGGGIGFGGLEAMNSMGGFGGVGRMGELYRGAMTSSMERDFGRG
+DIGINRGFGDSFGRLGSAMIGGFAGRIGASNMGPIGSGISGGMGGMNSVTGGMGMGLDRM
+SSTFDRMGPGIGAILERSIDMDRGFLSGPMGSGMRDRIGSKGNQIFVRNLPFDLTWQKLK
+EKFSQCGHVMFAEIKMENGKSKGCGTVRFDSPESAEKACRIMNGIKISGREIDVRLDRNA
+>tr|A0A3Q1LYU5|A0A3Q1LYU5_BOVIN UDP-glucuronosyltransferase OS=Bos taurus OX=9913 GN=LOC100138908 PE=3 SV=1
+MSKMSMKRLSLLLLLQLTCYFSGGSCGKVLVWPVEFSHWLNIKTILEELVTRGHEVTVLI
+SSASTIPNSSKPSTMKFETFPVSLTKTDYENFIERLLEEWTYVSKDSFWSYLSTVRTLFW
+EFFDTTLSICKDAVSNKKLMTKLQESRFDILIADAVGPCGELLAELLKIPFVYSHYTSPG
+HIIEKNSGRLPLPPSYVPVMLSELSDRMTFMERIKNMFYTLYFELFFPTYKEKKWNQFYS
+EVLGRPTTLSETMGKADVWLIRSYWDFSFPRPQLPNVEFVGGLHCKPAKPLPKEMEEFVQ
+SSGENGIVVFSLGSMVSNMSEDRAKVIASAFAQIPQKVLWRYDGKKPDTLGPNTRLYKWL
+PQNDLLGHPKTKAFITHGGSNGVYEAIYHGIPMVGTPLFADQADNIARMKSKGTAVRLDL
+ETMSTRDLLNALKEVINNPSYKENVMRLSAIQHDQPMKPLDRAVFWIEFVMRHKGAKHLR
+PAIHDLTWFQYHSLDVIGFLLACVATAIFVITKCCLFCCLKFAKLGKKEKRD
+>tr|A0A3Q1LVL9|A0A3Q1LVL9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=CPA4 PE=4 SV=1
+MSLPQTPYNYSLTQPLYDWHPWNMKWILFFGALIGSGICDRETFSGHQVFRINIRNEDEI
+SKLNRLVNSDNLKLDLWKSPSTLGHPADVLVPSFSLQEVKSFLEDHGLEYSVAIEDLQNV
+LDSEHQQLQRNVRQELSNNCFNYGAYHTLEDFSTGKGRQRPAILLNAGIHAREWISSATA
+IWTARKIACDYGRDLVITSILEKMDIFLLPVANPDGYVYTHTHNRLWRKTRSVNPRSTCI
+GADPNRNWDSHFGGVGTSNDPCSDTYHGLHAHSEVEVKSVADFITNHGDFKCLIDLHSYS
+QLVMYPYGYTTSRVPDADELDMVARNASKAMASLSGTQYQVGSVGSTVYTASGNTIDWAY
+DNGIKYAFSFELRDTGFYAFALPADQIIPTAQETWLGLKTIMEHVRNNI
+>tr|A0A3Q1MGK0|A0A3Q1MGK0_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MKVLHSICQQIWRTQQWPQDWKRSVFIPIPKKGNAKKCSNYHTTALISHASKVMLKILQA
+RLQQYMNRELLDVQAGFRKGRGTRDQISNICWIMEKASEFQKNIHFCFIDYAKAFDCVDH
+NKLWEILKEMGIPDHLTCLFRSLYAGQEATVRTGHGTTDWFQIGKGVHQGCILSPCLFNL
+YAEYIMRNAGLDEAQAGIKIAGRNINNLRYADDTTLMVESVEELKSLLMKVKEESEKVGL
+KLNIQKTKIMASGPITSWQIDGETMGTVADFILGAPKSLKMVTAAMKLKDAYSLEGKLWP
+T
+>tr|E1BCS1|E1BCS1_BOVIN CCR4-NOT transcription complex subunit 3 OS=Bos taurus OX=9913 GN=CNOT3 PE=4 SV=3
+MADKRKLQGEIDRCLKKVSEGVEQFEDIWQKLHNAANANQKEKYEADLKKEIKKLQRLRD
+QIKTWVASNEIKDKRQLIDNRKLIETQMERFKVVERETKTKAYSKEGLGLAQKVDPAQKE
+KEEVGQWLTNTIDTLNMQVDQFESEVESLSVQTRKKKGDKDKQDRIEGLKRHIEKHRYHV
+RMLETILRMLDNDSILVDAIRKIKDDVEYYVDSSQDPDFEENEFLYDDLDLEDIPQALVA
+TSPPSHSHMEDEIFNQSSSTPTSTTSSSPIPPSPANCTTENSEDDKKRGRSTDSEVSQSP
+AKNGSKPVHSSQHPQSPAVPPSYPPGPPPAASALSATPGSNGAPAAAAPASALGAKASPA
+PSHSAGTPAPYAQAVAPPAPSGPPSAQPRPPSAQPGAGSGGGGNSGGGGGAGKQNGATSY
+SSVVADSPAEAALSSTGGSSTGSQALGPPPGPHNPPPSTAKEPSATAPVGAGGVAPGSGN
+NAGGPSLLVPLPVNPPSSPTPSFNEAKAAGSLLNGPPQFSAAPEIKAPEPLSSLKSMAER
+AAISSGIEDPVPTLHLTERDILLSSTSAPPASAQPPLQLSEVNIPLSLGVCPLGPVPLTK
+EQLYQQAMEEAAWHHMPHPSDSERIRQYLPRNPCPTPPYHHQMPPPHSDTVEFYQRLSTE
+TLFFIFYYLEGTKAQYLAAKALKKQSWRFHTKYMMWFQRHEEPKTITDEFEQGTYIYFDY
+EKWGQRKKEGFTFEYRYLEDRDLQ
+>tr|A0A3Q1LP20|A0A3Q1LP20_BOVIN NUT domain-containing protein OS=Bos taurus OX=9913 GN=NUTM1 PE=4 SV=1
+MVSSASPLPGSDRTVNPGTALSPFTALTFPQPAPGPPDPPPWEPPSQPPVPSAFSPGNPL
+VLSAFPSPLLVTGDGGPGPSGAGAGKVIVKVKTEAGSAEPSQTQNFILTQTALSWIASGA
+PCGGPESPAPGLLTASNVKTLLPTKAVGLNQEGVPCLPAQAPPPAAQLAPIVPPEKAWPG
+SQGTTGEGGPMATRSKPSVGDLFYTSKGVYENFRRWQRYKPLARRHLSQSPDAEALSCFL
+IPVLRSLARLKPTMTLEEGLPRAVQEWERTSNFDRMIFYEMAEKFMEFEAEEEMQIQNTQ
+LTSGPQSLPPAAPLKLDPPGPPVPEVCPQPGKATQLACDVPTWPSLSTAFHFCFPPRPSV
+YIPKKAASKARAPRRRQRKTQRPPAPEAPKEIPSEAVKEYADIMEGLVGSHSATEESDGR
+QEEQEQQPEEGIYPDAGFLSYIDELCSQEVFVSKVEAVIHPQFLADMLSPEQQRDPLALI
+EELEQEEALSLAQLVQKRLLALEEEEDAEAPPSCSGAQSDSSPSISDEDEDGGGQLRPSP
+GFNSYDPQGEGRENTDLSEPKDLAPLPGDQESSGHGNPKSIPPHQGLRSTSPSWGTKDAL
+VAREASPVIEAYSSADGAKAEEEGEEEDKELSNFAYLLASKLSLSPRGVPLSSCPASGLL
+ASGHSQGTERASHSLTPKPAGLSQPPAAESGKRALVGGPVPVEKRPLQGDEPRAPGEKPV
+ALGAIRTSQPRKRKRDGFSKSRRKKRRRSQ
+>tr|A0A3Q1M2Q1|A0A3Q1M2Q1_BOVIN Rho GTPase activating protein 20 OS=Bos taurus OX=9913 GN=ARHGAP20 PE=4 SV=1
+MEAMSPQQETLGGQPGRSSSLTGVSRIAGGPGTKKKMKTLAERRRSAPSLILDKALQKRP
+STKESPSASLDTCAFLSSFVCSSRTLLIDGRVELKRGLQRQERHLFLFNDLFVVAKIKYN
+NNFKIKNKIKLSDMWTASCVDEVGEGNTNATKSFVLGWPTVNFVATFSSPEQKDKWLSLL
+QRYINLEKEKDYPKSIPLKIFAKDIGNCAYSKTITVTNSDTANEVINMSLPMLGITGSEK
+EYQLWVNSGKEEAPYPLIGHEYPYGIKMSHLRDTALLTQGSKDSPTPSNFQEPFLMEQLP
+REMQCQFILKPSRLAVTQQLSDSGQKTFKRRRSIINWAFWRGSSIHLDNLPVSPTSPIPG
+QLFGVSLPDICENDNLPKAVLDMLLFLNQKGPLTKGIFRQSANVKSCRELKEKLNSGAEV
+QLDCESIFVIASVLKDFLRNIPGSIFSSDLYDHWVCVMDQGNDEEKINAVQRLLDQLPRA
+NVLLLRYLFGLLHNIEQHSSSNQMTAFNLAVCIAPSILWPPTSSSPELENEFTKKVSLLI
+QFLIENCCRIFGEEITSLLGEVSVRCDTRENASDISCFQLNDSSYDSLENELNDDVDAPC
+RDLVKKLGQGSRSMDSVLTLSDYDLDQPEVEGLLTLSDFDLGHSKDEDIQMGQPLESKPV
+DTAVYTKVPRAQAASGASTPSRLSTAAADAPKGLRRHRRCSEPSIDYLDSKLSYLRELYQ
+KKLRKSSCDAILSKKDESHLNQNQPLQEGGKTCFKKSLVTGIDSKKNAASKNVKKKSLSD
+NEGSHVKLFPKSKPVAISVASYSHMSSCDHPRSQPFDMDASEYSPPHTADTLKSSRRQRR
+CSEPSIDDQHCRLTYLRGIYPKKQQKASYEASLLHGEEDYLKRHKSLQMEGQKLINQSLV
+MGIEVGKSSATNQNVEKVLPPSLNLCSRTSYSSLSSPGTSPSGSSVSSQDSAFSQISEHS
+VFTPTETSSPIDCTFQRKQAELSSDFSSSGLISGIPGPSSGQASGRLAYTKKDSVEWHSH
+MHSVTLHPSTWLKSGVASLKNWSLKKKARAPRPEENKVGSLKGPTDPPAHASGVPEAITL
+QERQKDVPQRAAEGLGAVQTARWYSSYPSQDSEKYCSSLFSLVEDRLGLHMKSPEEGKDS
+GQCSPGMLPQRRSSPGSLTVDDASSPDSGPPVVCDAEDRHLAKDM
+>tr|A0A3Q1MKS2|A0A3Q1MKS2_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MDLTEAEDIKKRWQEFTEELYKRDLHNPDNHDGVITDLEPDILECEVKWALESIATNKAS
+GGDGIPVELFQILKDDAVKVLHSICQQIWKTQQWPQDWKRSVFIPIPKKGNAKECSNYRT
+IALISHASKVMLKILQGRLQQYVNRELPDVQAGFRKGRGTKDQIANIRWIMEKAREFQKN
+IYFCFIDYTKAFDCVDHNKLWKILKEMGIPDRLICLLRNLYADQEATIRTGHGTTDWFQI
+GKGVGQGCILSPCLCNLYAEYIMRNAGLEETQAGIKIAGRNINNLIYADDTTLMAESEEE
+LKSLLMKVKVESKKVGLKLNIQKMKIMTSGPTTSWEIDGETVETVSDFIFLGSKITTDGD
+CSHEIKRRLLLGRKVMTNLDSILKSRDITLPTKVRLVKAMVFPVVMYGCESWTVKKAEHQ
+KN
+>tr|A0A3Q1LPF2|A0A3Q1LPF2_BOVIN Mitogen-activated protein kinase kinase kinase 3 OS=Bos taurus OX=9913 GN=MAP3K3 PE=4 SV=1
+MDEQEALNSIMKDLVALQMSRRPRVPGYETMKSKDTGHPNRQKKHNSSSSALLNSPTVTT
+SSCAGASEKKKFLSDVRIKFEHNGERRIIAFSRPVRYEDVEHKVTAVFGQPLDLHYMNNE
+LSILLKNQDDLDKAIDILDRSSSMKSLRILLLSQDRNHTSSSPHSGVSRQVRIKASQSAG
+DINTIYQPPESRSRHLSVSSQNPGRSSPPPGYVPERQQRIARQGSYTSINSEGEFIPETS
+EQCMLDPLSSAENSLSGSCQSLDRSADSPSFRKSRMSRAQSFPDNRQEFSDRETPLYDKG
+VKGGTYPRRYHVSVHHKDYNDGRRTFPRIRRHQGNLFTLVPSSRSLSTNGENLGLAVQYL
+DPRARLRSADSENALSVQERSVPTKSPSAPINWRRGKLLGQGAFGRVYLCYDVDTGRELA
+SKQVQFDPDSPETSKEVSALECEIQLLKNLQHERIVQYYGCLRDRAEKTLTIFMEYMPGG
+SVKDQLKAYGALTESVTRKYTRQILEGMSYLHSNMIVHRDIKGANILRDSAGNVKLGDFG
+ASKRLQTICMSGTGMRSVTGTPYWMSPEVISGEGYGRKADVWSLGCTVVEMLTEKPPWAE
+YEAMAAIFKIATQPTNPQLPSHISEHGRDFLRRIFVEARQRPSAEELLTHHFAQLVY
+>tr|A0A3Q1MQQ7|A0A3Q1MQQ7_BOVIN Rho guanine nucleotide exchange factor 10-like protein OS=Bos taurus OX=9913 GN=ARHGEF10L PE=4 SV=1
+MCLTTSCVGSPGPDQGGNAGLGSPSIPGEAWSRDGAGSLAGQNGPWSPSPSLGPPHPACK
+PGAFPKVTLPPEALLIATAGSNHRPRMFPRGAWGKRKPRAGRPGWVRGRAQGAMWAGQGA
+LPRAHPTAAGRGPSSSSSSVSCSGDSEEEDMGLLEVSVSDIKPPAPELGPMPAGLTPQQV
+VRRHILGSIVQSEGSYVDSLKRVLQDYRNPLMEMEPKALSARKCRAVFFRVKEILHCHSM
+FQIALSSRVAEWDSTEKIGDLFVASFSKSMVLDVYSDYVNNFTNAMSIIKKACLTKPAFL
+EFLKRRQVCSPDRVTLYGLMVKPIQRFPQFILLLQDMLKNTPRGHPDRLSLQLALTELET
+LAEKLNEQKRLADQVAEIQQLTKSVSDRSSLNKLLTSGQRQLLLCETLTETVYGDRGQLI
+KSKERRVFLLNDMLVCANINFKGQLEISSLAPLGPKYVVKWSTALPQVQVVEVGQEGGPY
+DKDNALIQHAGAKKASAAGQAQNKVYLGPPRLFQELQDLQKDLAVVEQITLLVSTLHGTY
+QNLNMTVAQDWCLALQRLMRVKEEEIHSANKCRLRLLLPGKPDKSGRPISFMVVFITPNP
+LSKISWVNRLHLAKIGLREENQPGWLCPDEDKQSRAPFWCPILACCVPAFSFRGLSLQLG
+ALVHSPVSCPLLGFSAVSTSLPQGYLWVGGGQEGAGGQVEIFSLNRPSPRTVKSFPLAAP
+VLCMEYIPEPEEGDGRNGDKGCPAADASAGVHPTICLGLQDGSILLYSSVDTGTQCLAAC
+RSPGLQPVLCLRHSPFHLLAGLQDGTLAAYPRTSGSVPWDLESPPMCLPVGPGPVRALLS
+LEEAVWASCGPRVTVLDATSLQTQQSFEAHQDEAVSVTHMVKAGSGVWMAFSSGSSIRLF
+HTETLEHLQEINIATRTTFLLPGQKHLCVTSLLICQGLLWVGTDQGVIVLLPVPRLEGIP
+KITGKGMVSLNGHCGPVAFLAVATSILAPDILRSDQEEAEGQQAEEDKPDGPAPEPAPVP
+ASHVGGELIRKKGILLQYRLRSTSHLPGPLLSVREPEPADGSALEHSEEDGSIYEMVDDP
+DVWVHNRPCARDAHRKEICSVAIISGGRGYRNFGSAAGSPGKPAPCGETDSTLLIWQAPL
+TL
+>tr|A0A3Q1MGZ1|A0A3Q1MGZ1_BOVIN Basonuclin 2 OS=Bos taurus OX=9913 GN=BNC2 PE=4 SV=1
+MVPVACAGRLLGADFCPNLEEPDQRLEVQAIRCTLVNCTCECFQPGKINLRTCDQCKHGW
+VAHALDKLSTQHLYHPTQVEIVQSNVVFDISSLMLYGTQAVPVRLKILLDRLFSVLKQEE
+VLHILHGLGWTLRDYVRGYILQDAAGKVLDRWAIMSREEEIITLQQFLRFGETKSIVELM
+AIQEKEGQAVAVPSSKTDSDIRTFIESNNRTRSPSLLAHLENSNPSSIHHFENIPNSLAF
+LLPFQYINPVSAPLLGLPPNGLLLEQPGLRLREPSLSTQNEYNESSESEVSPTPYKNDQT
+PNRNALTSITNVEPKTEPACVSPIQNSAPVSDLSKTEHPKSSFRIHRMRRMGSASRKGRV
+FCNACGKTFYDKGTLKIHYNAVHLKIKHRCTIEGCNMVFSSLRSRNRHSANPNPRLHMPM
+LRNNRDKDLIRATSGAATPVIASTKSNLTLTSPGRPPMGFTTPPLDPVLQNPLPSQLVFS
+GLKTVQPVPPFYRSLLTPGEMVSPPTSLPTSPIIPTSGTIEQHPPPPSEPAAPVVMMATH
+EPSADLAPKKKPRKSSMPVKIEKEIIDTADEFDDEDDDPNDSGAVVNDGSHDNHCHSQEE
+MSPGMSVKDFSKHSRTRCLSRTEIRRADSMTSEDQEPERDYENESESSEPKLGEESMEGD
+EHMHGEVSEKVLMNSERPDENHSEPSHQDVIKVKEEFTDPTYDMFYMSQYGLYNGGGASM
+AALHESFTSSLNYGSPQKFSPEGDLCSSPDPKICYVCKKSFKSSYSVKLHYRNVHLKEMH
+VCTVAGCNAAFPSRRSRDRHSANINLHRKLLTKELDDMGLDSSQPSLSKDLRDEFLMKIY
+GAQHPLGLDIREDASSPAGTEDSHLNGYGRGMAEDYMVLDLSTTSSLQSSSSIHSSRESD
+AGSDEGILLDDIDGASDSGESAHKAEAPTLPGGLGADVSGSLMFNSLSGSTGGIMCTICH
+KMYSNKGTLRVHYKTVHLREMHKCKVPGCNMMFSSVRSRNRHSQNPNLHKNIPFTAVD
+>tr|A0A3Q1MML0|A0A3Q1MML0_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MGKTRELFKKIRDTKGTFHAKMGSIKDRNGRDLTEAEDIKKRWQEYTEELYKKDLHDPDN
+HNGVIIHLGPDILECEVKWGLESITMNKASGRDGIPVELFQILKDDAVKVLHSIYQIWKT
+QQWPQDWKRSVFISIPKKGNAQECSNYHTIALISHASKVMLNILQARFQQYVNHELPDVP
+AGFRKGKGIRDQIANICWIMEKVREFQKNIYFCFIDYAKAFDCVDHNKLWKILKEMGILD
+HLTCLLRNLYAGQEATVRTGHGTTDWFQIGKGVRQGGILSPCLFNFYAEYIMRNAGLEEA
+QAGIMIARRNINNLRYADDTTLMAESAEELKSLLMKVKEKSEKPGLKLNIQKTKMIASSP
+ITAWQIDGETVETVADFIFLGSKITVDGECSHETKRCLLLGRKVMTNPDNILKSRDITLP
+TKVRLAKAMVFPVVVYGCELDYKES
+>tr|G3MWK0|G3MWK0_BOVIN Chromosome 18 C16orf86 homolog OS=Bos taurus OX=9913 GN=C18H16orf86 PE=4 SV=2
+MDSAGAEKRPGAQEGIAVGQSQLTEVAGGHAQNSECPVMRDQCLVPAHESCQTQGEDKCP
+IRSTSEQKIQERLKLEEDRHKPEVEALEERGPRPMASTVRPSHGPKRKPVSLFPSLAGPS
+HQAHPRAESELPQGLLLQREEPENNQSEPSPTAKQHKKAKKRKSLGTPVLPVVASTVSAP
+SVTLGPERKAQRLRPLYQYINYCNPELNQAGEGDREAEAEVEPESELTMVPEEAGVEKLQ
+ALLPVAGELGSDLTLPCPSMSVPPTHTLVPLGEEASEEPEGLPSLGVSGCLKAEMDKSTQ
+VDINKMLSVCNAPLVPPLSPQYK
+>tr|E1BJS8|E1BJS8_BOVIN G_PROTEIN_RECEP_F1_2 domain-containing protein OS=Bos taurus OX=9913 GN=OR10G3 PE=3 SV=1
+MERINSTLLTEFILTGIPYPPQLRTFLFGFFLLIYILTQLGNLLILITVCVDPQLHARPM
+YIFLGILSIIDMGIPSIVVPRLMMNFTLGFKPIPYGGCVAQLYFYHFLGSTQCFLYTLMA
+YDRYLAICRPLHYPMLMNAKLSTLLVAGAWAAGSMHGALQAILTFHLPYCGPNQVDYFFC
+DIPAVLRLACADTSVNELVTFVDIGVVVASCFSLILLSYIQIIQAILRIRTADGRRRAFS
+TCGAHVTMVTVYYVPCAFIYLRPETNSPLDGAAALFPTAITPFLNPLIYTLRNQEVKLAL
+KRMIGGTGTKSEV
+>tr|A0A3Q1NK37|A0A3Q1NK37_BOVIN Ecotropic viral integration site 5 OS=Bos taurus OX=9913 GN=EVI5 PE=4 SV=1
+MASQVASPSASLHTTSSSTTLSTPALSPSSPSQLSPDDLELLAKLEEQNRLLETDSKSLR
+SVNGSRRNSGSSLVSSSSASSNLSHLEEDSWILWGRVVNEWEDVRKKKEKQVKELVRKGI
+PHHFRAIVWQLLCSAQSMPIKDQYSELLKMTSPCEKLIRRDIARTYPEHNFFKEKDSLGQ
+EVLFNVMKAYSLVDREVGYCQGSAFIVGLLLMQMPEEEAFCVFVKLMQDYRLRELFKPSM
+AELGLCMYQFECMIQEHLPELFVHFQSQSFHTSMYASSWFLTIFLTTFPLPIATRIFDIF
+MSEGLEIVFRVGLALLQMNQAELMQLDMEGMLQHFQKVIPHQFDGGPDKLIQAAYQVKYN
+SKKMKKLEKEYTTIKTKEMEEQVEIKRLRTENRLLKQRIETLEKESASLADRLIQGQVTR
+AQEAEENYLIKRELATIKQQSDEASAKLEQAENTIRKLQHQQQWHKCSSNYNEDFVLQLE
+KELVQARLSEAESQCALKEMQDKVLDIEKRNNSFPDENNIARLQEELIAVKLREAEAIMG
+LKELRQQVKDLEEHWQRHLARTTGRWKDPPKKNAMIELQDELMTIRLREAETQAEIREIK
+QRMMEMETQNQINSNHLRRAEQEVINLQEKVQYLSAQNKGLLTQLSEAKRKQAEIECKNK
+EEVMAVRLREADSIAAVAELQQHIAELEIQKEEGKLQGQLNKSDSNQYIRELKDQIAELN
+HELRCLKGQRGFSSQPPFDGIHIVNHLIGDDESFHSSDEEFIDNSLQESGIGFPLHRKSG
+PMSLDPTLADGSESEAEDSVLETRDGSRVAQKEQPLRRASYSTTV
+>tr|F6Q182|F6Q182_BOVIN Synaptoporin OS=Bos taurus OX=9913 GN=SYNPR PE=4 SV=1
+MVIFAPLFAIFAFATCGGYSGGLRLSVDCANKTESDLSIDVAFAYPFRLHQVTFEVPTCE
+GKERQKVSLIGDSSSSAEFFVTVAVFAFLYSLAATVVYIFFQNKYRENNRGPLIDFIVTV
+VFSFLWLVGSSAWAKGLSDVKVATDPKEVLLLMSACKQPSNKCTAVHSPVMSSLNTSVVF
+GFLNFILWAGNIWFVFKETGWHSSSQRYLSDPMEKHSSSYNRGGYNQDSYGSSSGYNQQA
+SLGPSSDEFGQQSAAPASFTNQM
+>tr|F1MZU6|F1MZU6_BOVIN Collagen alpha-3(IV) chain OS=Bos taurus OX=9913 GN=COL4A3 PE=4 SV=2
+MRPRTAPRPPALLLTLLLVLLVAAPTEGKGCICKDKGQCFCDGAKGEKGEKGFPGPPGPP
+GQKGYPGPEGLPGPQGPKGSPGSPGLTGAKGVRGITGLPGFSGPPGLPGIPGHPGPYGLA
+GLPGCNGSRGEPGFPGLRGTPGYPGIPGVIGLKGEKGAPAEGEGVGLDGKGDPGLPGAPG
+FQGLPGLPGFPGPAGPLGPPGFLGFPGTMGPPGPKGHMGDNVLGQKGERGVKGLTGPPGP
+PGTVIVTLTGPDNRTDLKGEKGDLGAMGQSGPPGPSGPPGESYGSEKGAPGEPGPQGKPG
+KDGAPGFPGTEGAKGDRGFPGLRGEDGIKGWKGNSGPPGFRGPTEYYDAYQEKGDEGIPG
+LPGPKGARGPQGPSGPPGAPGSPGSSRPGLQGAPGVPGVKGSKGEQGPPGKTAAGLPGSP
+GCPGSPGPMGFPGPPGPPGGIVFREGPPGVGGLPGHIGSPGIPGVGGPKGEPGLLCTQCP
+CIPGSPGPPGFPGLEGEKGFQGEPGAAGIKGSPGSPGSAGLPGFPGFPGAQGQPGLKGEK
+GETPRPEGEVGAPGDPGLQGHPGRKGLDGIPGTPGVKGLPGPKGEPALSGEKGDPGLPGD
+PGIPGSPGPIGPAGPSDYGPQGEPGPKGAQGLPGAPGPPGEAGPEGETGVSTPVPGLPGP
+PGPPGRAGPRGPPGIPGSTGECDLGLPGPDGEPGIPEIGFPGPPGPKGDRGFPGAKGSPG
+CPGEMGKPGLPGKPGLPGIKGEPGLAMPGEPGAPGLPGERGSSGENGEIGLPGLPGLPGV
+PGTGGLDGPRGDPGKPGPPGERGPPGRCTEGPRGTQGLPGLNGLKGQQGRRGETGPKGDP
+GIPGLDRSGFPGEPGLPGMPGHRGEMGPPGPKGYPGNPGFLGPPGEKGIMGMMGFPGNIG
+PPGPPGSPGTPGQKGSFGIPGVKGARGPPGAQGEQGERGTPGSPQIFHLVGDKGEPGLKG
+LAGKPGEKGNRGIPGFPGIKGLSGLPGPPGPPGPRGEPGSIGNPGEPGPRGTPGSMGNMG
+VPGTKGMKGTVGFPGLAGSPGLPGIHGLQGDKGEPGYSEGARPGPPGPKGDPGLPGDMGK
+KGERGPPGAPGRSGPAGPEGLPGSPGPPGHPGKSGPGGDLGSKGIKGFPGFPGTKGPPGP
+PGLPGNPGPVGERGNQGLDGIPGPAGEKGETGLLGAPPGPRGKPGPQGTKGDRGAPGLPG
+LPGRKGPVGDAGPPGPIGVTGPQGAPGFPGVTIPGQKGDRGPPGSRGNPGMPGPPGPPGS
+PVEGIKGDKGLMGEPGQRGPPGAIGDMGSPGHPGAPGVPGQPGARGDPGFYGFPGMKGEK
+GNSGFPGPPGPPGQSGPKGPPGVRGEPGTVKIISLPGSPGPPGSAGEPGMQGEPGPPGPP
+GDPGPCGPKGKPGEDGPPGTPGPTGEKGNKGSKGEQGPPGSDGLPGLKGKPGDTGPPAAG
+AVMRGFVFTRHSQTTAIPSCPEGTEPLYSGFSLLFVQGNEQAHGQDLGTLGSCLQRFTTM
+PFLFCNINDVCNFASRNDYSYWLSTPAMIPMDMAPITGRALEPYISRCTVCEGPAIAIAV
+HSQTTDIPPCPAGWISLWKGFSFIMFTSAGSEGAGQALASPGSCLEEFRASPFIECHGRG
+TCNYYSNSYSFWLASLDPKRMFRKPIPSTVKAGELENIISRCQVCMKMRP
+>tr|A0A3Q1NHB1|A0A3Q1NHB1_BOVIN Synaptogyrin OS=Bos taurus OX=9913 GN=SYNGR1 PE=3 SV=1
+MEGGAYGAGKAGGAFDPYTLVRQPHTILRVVSWVFSIVVFGSIVNEGYLNSPSESEEFCI
+YNRNPNACGYGVTVGVLAFLTCLLYLALDVYFPQISSVKDRKKAVLSDIGVSAFWAFLWF
+VGFCFLANQWQVSEPKDNPLNEGTDAARAAIAFSFFSIFTWAGQAVLAFQRYQIGADSAL
+FSQDYMDPSQDSSMPYAPYVEPSTGPEPTSMGGTYQQPASAFEPEPQGYQSQGY
+>tr|A0A3Q1MD86|A0A3Q1MD86_BOVIN Mediator of RNA polymerase II transcription subunit 8 OS=Bos taurus OX=9913 GN=ELOVL1 PE=3 SV=1
+MQREEKQLEASLDALLSQVADLKNSLGSFIYKLENEYDRLTWPSVLDSFALLSGQLNTLN
+KVLKHEKTPLFRNQVIIPLVLSPDRDEDLMRQTEGRVPVFSHEVVPDHLRTKPDPEVEEQ
+EKQLTTDAARIGADAAQKQIQSLNKMCSNLLEKISKEERESESGGLRPNKQTFNPADTNA
+LVAAVAFGKGLSNWRPAGSSGPSQPGQPGAGTVLAGASGLQQVQMAGAPNQQQPMLSGVQ
+MAQAGQPGKMPSGIKTNIKSASMHPYQRSPPPSTLGISTKNSSSLWWPHSSWALESSACC
+SGSVSYV
+>tr|F1MJJ1|F1MJJ1_BOVIN Dpy-19 like 4 OS=Bos taurus OX=9913 GN=DPY19L4 PE=4 SV=2
+MMYALYLSAYHERKFWFSNRQELEREITFQGDSAIYYSYYKDMLKAPSFERGVYELTHNN
+KTVSLKTINAVQQMSLYPELMASVLYQATGSSEIIEPVYFYIGIVFGLQGIYVTALFVTS
+WLMSGTWLAGMLTVAWFIINRVDTTRIEHSIPLRENWALPYFACQVAALTGYLKSNLNSY
+GERFCYLLMSASTYTFMMVWEYSHYLLFLQAISLFLLDSFSLEQSDKVHEVYKIYIFSLF
+LGYLLQFENSALLVSPLLSLVVALMLAKCLQLNVKKGTFVAKIMKVINFYLVCTLTVTLN
+IIMKMFVPHKENGHMLKFLEVKFGLNMTKNFTMNWLLCQESLQAPSQDFFLRLTQSSLLP
+FYILVLIICFLSMIQVIFRRINDKSLKETVTLEDGRIGERPEIIYHVIHTILLGSLAMII
+EGLKYLWTPYVCMLAAFGVCSPELWMTLFKWLRLRTVHPVLLALILSMAVPTIIGLSLWK
+EFFPRLMTELMELQEFYDPDTVELMTWIKRQAPVAAVFAGSPQLMGVIKLCTGWMVTSLP
+LYNDDDLLKRNENIYQIYSKRSAEDIYKILTSYKASYLIVEDAICNEVGTMRGCRVKDLL
+DIANGHVVCEEGDKLTYSKHGRFCHEVKINYSPYVNYFTRVYWNRSYFVYKINTVISFQS
+>tr|A0A3Q1LLF0|A0A3Q1LLF0_BOVIN Phosphoinositide-3-kinase regulatory subunit 4 OS=Bos taurus OX=9913 GN=PIK3R4 PE=4 SV=1
+MGNQLAGIAPSQILSVESYFSDIHDFEYDKSLGSTRFFKVARAKHREGLVVVKVFAIQDP
+TLPLTSYKQELEELKIRLHSAQNCLPFQKAAEKASEKAAMLFRQYVRDNLYDRISTRPFL
+NNIEKRWVAFQILTAVDQAHKSGVRHGDIKTENVMVTSWNWVLLTDFASFKPTYLPEDNP
+ADFNYFFDTSRRRTCYIAPERFVDGGLFATELEYMRDPSTPLVDLNSNQRTRGELKRAMD
+IFSAGCVIAELFTEGVPLFDLSQLLAYRNGQFFPEQVLSKIEDRSIRELVTQMIHREPDK
+RLEAEDYLKQQRGNAFPEIFYTFLQPYMAQFAKETFLSADERILVIRKDLDNIIHNLCGH
+DLLEKADGEPKENGLVILVSVITSCLQTLKYCDSKLAALELILHLAPRLSVEILLDRITP
+YLLHFSNDSVPRVRAEALRTLTKVLALVKEVPRNDVNIYPEYILPGIAHLAQDDATIVRL
+AYAENIALLAETALRFLELVQLKNLNMENDPNSEEIDEVTHPNGNYDTELQALHEMVQQK
+VVTLLSDPENIVKQTLMENGITRLCVFFGRQKANDVLLSHMITFLNDKNDWHLRGAFFDS
+IVAPFLCHPNLWIRYGAVGFITVVAHQISTADVYCKLMPYLDPYITQPIIQIERKLVLLS
+VLKEPVSRSIFDYALRSKDITSLFRHLHMRQKKRNGSLPDCPPPEDPAIAQLLKKLLSQG
+MTEEEEDKLLALKDFMMKSNKAKANIVDQSHLHDSSQKGVIDLAALGITGRQVDLVKTKQ
+EPDDKRARKHVKQDSNVNEEWKSMFGSLEPPSMQQALPKGSDQEVVPAGKPPRSESSAAV
+CVPLSTSPQIPEVTNVQNRKPTIQVLSSTILPSTYQIRITTCKTELQQLIQQKREQCNAE
+RIAKQMMENAEWESKPPPPGWRPKGLLVAHLHEHKSAVNRIRVSDEHSLFATCSNDGTVK
+IWNSQKMEGKTTTTRSILTYSRVGGCVKTLTFCQGSHYLAVASDNGAVQLLAIEASKLPK
+SPKIHPLQSRVLDQKEDGCVVDLHHFSSGAQSVLAYATVNGALVGWDLRSSSNAWTLRHD
+LKAGLITSFAVDIHQCWLCIAVQGNNEVSMWDMETGDRRFTLWASSAPPLSELQPSSHSV
+HGLYCSPADGNPILLTAGSDMKIRFWDLAYPERSYIVAGSAGSPSVSYYRKVIEGTEVVQ
+EIQNKQKVGPSDDGPRRGPESLPVGHHDIITDVATFQTTQGFIVTASRDGIVKVWK
+>tr|A0A3Q1LKT8|A0A3Q1LKT8_BOVIN Proline-rich protein 14 OS=Bos taurus OX=9913 GN=PRR14 PE=4 SV=1
+MDLPGDSSTPGRQRLCRQPHAGALWGAKSPKRPKLQPLGAPSPLEKASRRVLAVVLEDVM
+AARMVPLEPQEESSTPRHHSNHRDSVRSQPPASPPRQAMWSPQARPPDPLHLCREPLSRI
+RRPPSTPRRQSRTTPGPDEGPSQKVDQVHQPTLVVMLQDIASSRPRAEGFADEAPNFIIP
+ARRAEPKVMVHQPKPPSRDLPAPSRPSALSANPLASPPPAPDPVLEPPSTPPPSSLLRPR
+LSPWGLAPLFHSVRSKLESFADIFLTPNKAPRPPPPSPPMKLELKIAISEAGQPGASEGT
+VTVSPRPPIRQWRAQDQNPSATLTKPSLGRSHSCPDLGPPGPDPCSWPPVPAPSSRPRPR
+RHTVGGGEMAKAPPPPRPCLRKEVFPLGGVGASPPLVTSCSSTASTSSFSEPAEPRLSST
+KRKEPRAPEDQVLPDSETKVGVQVNGEETSGSLSPRCTFYPLLNKKEFSLEEIYTNKNYQ
+SPTTRRTFETIFEEPRERNGTLIFTSSRKLRRTVEFRDSSLPRSRRPSRGARATAGRTLP
+PSLAPSPDVEPLLQQRLQELDASLLEEEEEGDQDQPHRT
+>tr|A0A3Q1M589|A0A3Q1M589_BOVIN Solute carrier family 35 member C2 OS=Bos taurus OX=9913 GN=SLC35C2 PE=4 SV=1
+MGRCAPDVAFVWRAVLTLGLVLLYYCFSIGITFYNKWLTKSFHFPLFMTMLHLAVIFLFS
+ALSRALAQCSSHRARVVLSWPDYLRRVAPTALATALDVGLSNWSFLYITVSLYTMTKSSA
+VLFILIFSLIFKLEELRAALVLVVLLIAGGLFMFTYKSTQFNIEGFALVLGASFIGGIRW
+TLTQMLLQKAELGLQNPIDTMFHLQPLMFLGLFPLFAVFEGLHLSTSEKIFRFQDTGLLL
+RVLGSLFLGGILAFGLGFSEFLLVSRTSSLTLSIAGIFKEVCTLLLAAHLLGDQISLLNW
+LGFALCLSGISLHIALKALHARGDGAPKPLKGLGSNPDLELLLRSSQPEDEDNEEEEYFV
+AQGQQ
+>tr|A0A3Q1MSH5|A0A3Q1MSH5_BOVIN Solute carrier family 18 member B1 OS=Bos taurus OX=9913 GN=SLC18B1 PE=4 SV=1
+METSRRLSREQIFVLISAASINLGSMMCYSILGPFFPKEAEKKGASNTVIGTIFGCYALF
+DFLASLVFGKYLVHIGAKFMFVAGMFVSGAVTVLFGLLDQVPEGPVFIAMCFLLRITDAI
+SFEAAITASSSIVAKAFPNNVATVLGSLETFSGLGLVLGPPLGGFLYQSFGYEVPFIFLG
+CIVLLMVPLNMCILPNYESDKGKHSFWKLITLPKVAFLSFVITSFSSCFGFLDPTLSLFV
+LEKFNLPAGYVGLVFLGLALSYAISSPLVGLLSDKMPHLRKWLLVFGNLTLAGCYMLLGP
+APFLHIKSQLWLLVLILVINGISAGIGLIPTFPEILSCAHENGFEEGLSTLGLVSGLFGA
+MWSVGAFVGPTLGGFLYEKIGFEWAAALQGLWALTSGLAMGLFYLLEHLRRRRRYGQLWT
+FFPPFKIWLVGDQNNILYPFFQSEDLWVLCASLLDRHIYGNSWVVT
+>tr|F1MKG2|F1MKG2_BOVIN Collagen type VI alpha 2 chain OS=Bos taurus OX=9913 GN=COL6A2 PE=1 SV=3
+MLRRKGAAKMLRSPCSALLLWGLLGAVHAQQQEVISPGPSDRNSCPEKADCPVHVYFVLD
+TSESITMQSPTDSLLYHMQQFVLQFISQLQDELYLDQVALSWRYGGLHFSDLVEVFSPPG
+SDRASFTKSLQSISSFRRGTFTDCMLANMTQEVRRHVGKGVVNFAVVITDGHVTGSPCGG
+IKLQAERAREEGIRLFAVPPNLKLNEQGLRDIANTPHELYRNNYATMRPDSTEIDQDTIN
+RIIKVMVSGRAGCYKVSCLEIPGPPGPKGYRGQKGAKGNMGEPGEPGQKGRQGDPGIEGP
+IGFPGPKGKLGRIGPPGCKGDTGDRGPDGYVGEAGSPGERGDQGSKVDRLAMARAGQSRW
+GHHPSRAFSLPTAPGLDAQEALSLKTRPGARGCPHMGGRRGDPGTKGGPGSDGPKGEKGD
+PGPEGPRGLAGEVGNKGAKGDRGLPGPRGPQGTVGEPGKQGSRGDPGDAGPRGDSGQPGP
+KVCPLPTLQDGAGRCPVSQGGRGDFGSKGEPGRKGQKGEPVSTSMPRAEVSPWAGGQRGD
+PPAPRSPGSALLPGSADPTTLPAPADCEKRCGALDVVFVIDSSESIGYTNFTLEKNFVIN
+VVNRLGAIAKDPKSETGTRVGVVQYSHEGTFEAIQLDDERIDSLSSFKEAVKNLEWIAGG
+TWTPSALKFAYNKLIKESRRQKTRVFAVVITDGRHDPRDDDLNLRALCNHEVTVTAIGIG
+DMFHEKHESENLYSIACDKPQQVRNMTLFSDLVAEKFIDDMEDVLCPDPQIVCPDLPCQT
+ELYVAQCTQRPVDIVFLLDGSERLGEQNFHKVRRFVEEVSRRLTLARKDDDPLNARVALL
+QFGGPREQQVAFPLTSNLTVIQEALASARYLNSFSHVGTGIVQAINQVVQGARAGARRHA
+ELSFVFLTDGVTGNDSLDEAVHSMRKQNVVPTVVAVGSDVDTDVLSKISLGDPAAVFREK
+DYDSLAQPGFFDRFIRWIC
+>tr|E1BG29|E1BG29_BOVIN Glycoprotein V platelet OS=Bos taurus OX=9913 GN=GP5 PE=4 SV=3
+MLRSALLCAALWLLRAQPFPCPPTCRCAFRDAAQCSRGTVAGIAALGLPTNLTHILLFQM
+GRGTLQNNSFSDMTVLQRLLLSDSHVSAIAPGTFNDPIKLKTLRLSRNKITHLPSALLDN
+LVLLEQLFLDGNELKSLDQNLFQKLVHLQELFLNQNQLAFLPASLFTHLGNLKLLDLSGN
+NLTHLPEGLFGVQVKLQKLLLHSNRLASLESGLLDSLRALTELQLHTNHLRSIVPGAFDR
+LRSLSSLTLSENRLEFLPSALFLHSHNLTFLTLSENPLEELPKVLFGEIGGLRELRLKST
+QLRTLPAAAFRNLTGLRVLEVSLSPRLSALPEDAFRGLGELQVLALSSTGLASLPAGLLR
+GLCRLRHVSLRSNRLRALPSALFRNLSSLEEVQLDHNQLETLPGDAFEALPRLAGVLLGH
+NPWRCDCGLGPFLAWLRRHAGLVGRAEPPRCHGPGPHAGRLLWTLQAGDLGCPRPESWTR
+LVAEGQSQDHNLFWGLYFLLLAAQALITGIIVFAMIKLCRLFRKLITELWFEAVRKPCN
+>tr|A0A3Q1NHP5|A0A3Q1NHP5_BOVIN Adenylate kinase 8 OS=Bos taurus OX=9913 GN=AK8 PE=3 SV=1
+MTRGPSDSGPQGAAALRGSMDATTAPHRIPPQMPQYGEANHIFELMQNMLEQLLIHQPKD
+PIPFMIDHLQRDNDYVPKIVILGPPASGKTTIAMWLAKHLSTNLLSVESLIAKEYSPLAA
+DARMHYQKFKMVPDMLLIRLMQERLKEEDCVRRGWILDGIPETREQALTIQTLGISPRHV
+IVLSAPDTVLIERNLGKRIDPQTGEIYHTTFDWPPESEIQNRLMVPAGIFEGETARKLLE
+YHRNIVRILPSYPKILKVISADQPCVDVFYQALTYVQTSHRSNAPFTPRVLLCGPVGSGK
+SLQAALLAQKYGLINVPDNIIMKVLKQRLDQQDCVERGWVLHGFPRDLDQAHLMDNLGYK
+PNRVFFLNVPFDSVIERLSLRRTDPITGERYHLMYKPPPTIEVQARLLQNPKDSEEQIKL
+KMDLFYRNSAELEQFYGDAITLNGDQDPYTVFEYIESGIINRLPKKVP
+>tr|F1N437|F1N437_BOVIN DNA oxidative demethylase ALKBH2 OS=Bos taurus OX=9913 GN=ALKBH2 PE=4 SV=1
+MDRFLVKGAVGSLKRRMEQEQTGGGPAGLAEEEGNSKKNPRRAAPGNGVDSAGLTWGRIR
+AEGLNCDYTILFGKAEADEIFQELEKEVEYFTGALARVQVFGKWHSVPRKQATYGDTGLT
+YTFSGLTLSPKPWIPVLERVRDRVSLVTGQTFNFVLINRYKDGQDHIGEHRDDERELAPG
+SPIASVSFGACRDFVFRHKDSRGKHPSRRLEVVRLQLAHGSLLMMNHPTNTHWYHSLPVR
+KKVLAPRVNLTFRKILPTTKRTTLLTASASVGSFALHS
+>tr|E1BAL6|E1BAL6_BOVIN Eukaryotic translation initiation factor 2 alpha kinase 4 OS=Bos taurus OX=9913 GN=EIF2AK4 PE=4 SV=2
+MAGGRGAPGRGRDEPPESYPQRQDHELQALEAIYGADFQDLRPDARGRVKEPPEINLVLY
+PQGLTGEEVYVKVDLRVKCPPTYPDVVPEIELKNAKGLSNESVNLLKSRLEEVAKKHCGE
+VMIFELAYYVQSFLCEHNKPPPKSFHEEMLERRAQEEQRRQLEAKRKEEEEQREILHEIQ
+KRKEEIKEEKKRKEMAKQERLEIAILSNQDHTSRKDPGGHRTAAILHGGSPDFVGNGKHR
+TNSSGRSKRERQYSMCNSEDSPGSCEILYFSVGSPDQLMVHKGKCIGSDEQLGKLVYNAL
+ETATGSFVLLHEWVLQWHKKMGPFLTSQEKEKIDKCKKQIQGAETEFSSLVKLSHPNIVR
+YFAMNLKEQDDSIVVDILVEHTNGISLAAHLGHSGPIPVHQLRKYAAQLLSGLDYLHSNS
+VVHKVLSALNVLVDAEGTIKITDYSISKRLADICKEDVFEQTRVRFSDSALPYKTGKKGD
+IWRLGLLLLSLSQGQECEEYPVTIPSDLPADFQDFLKKCVCLDDKERWSPQQLLKHSFIN
+PQSKIPLLEQSPEDSGGQDYVETVIPSNQLPSAAFFSETQRQFSRYFIEFEELQLLGKGA
+FGAVIKVQNKLDGCCYAVKRIPINPASRHFRRIKGEVTLLSRLHHENIVRYYNAWIERHE
+RPACPATPPPNSGPQAQDGQALAQPAGDSDPDGPGSVEAAAPPPILSSSVEWSTSGERSA
+STRFPAAGPDSSSDEDDDEEQGVFSQSFLPASDSDSDIIFDNEDENSKSQNQDEDCNGKN
+SCHESEPPATTEAVHYLYIQMEYCEKSTLRDTIDQGLYRDTVRLWRLFREILDGLAYIHE
+KGMIHRDLKPVNIFLDSDDHVKIGDFGLATDHLAFAADGKQDDTSGDHLIKSDPSGHLTG
+MVGTALYVSPEVQGSTKSAYNQKVDLFSLGIIFFEMSYHPMVTASERIFVLNQLRDPTSP
+KFPEDFDDGEHTKQKSVISWLLNHDPAKRPTATELLKSELLPPPQMEESELHEVLHHTLA
+NVDGKAYRTMMGQIFAQRISPAIDYTYDSDILKGSFSIRTAKIQQHVCETIIRIFKRHGA
+VQLCTPLLLPRNRQIYEHNEAALFMDHSGMLVMLPFDLRVPFARYVARNNILNLKRYCIE
+RVFRPRKLDRFHPKELLECAFDIVTSTTNSSLPTAEIIYTIYEIIQEFPALQERNYSIYL
+NHTMLLKAILLHCGIPEDKLSQVYVILYDAVTEKLTRREVEAKFCNLSLSSSSLCRLYKF
+IEQKGELQDLTPTINSLIKQKTGVAQLVKYGLKDLEEVVGLLKKFGIKLQVLINLGLVYK
+VQQHSGIIFQFVAFIRRRQRAISEILAAGGRYDLLIPQFRGPQALGPVPTAIGVSIAIDK
+ITTAVLNMEEPVTVSSCDLLVVSVGQMSMSRAINLTQKLWSAGITAEIMYDWSQSQEELQ
+EYCRHHEITYVALVSDKEGSHVKVKSFEKDRQTEKRVPESDLVDHVVQKLRTKVSDERTT
+REASDNLTVQNLKGSFSNASGLFEIHGATVVPIVSVVAPEKLSASTRRRYETQVQTRLQT
+SLANLHQKSSEIEILAVDLPKETILQFLSLEWDADEQAFNTTVKQLLSRLPKQRYLKLVC
+DEIYNIKVEKKVSVLFLYSYRDDYYRILF
+>tr|A0A3Q1MQY2|A0A3Q1MQY2_BOVIN Integrator complex subunit 9 OS=Bos taurus OX=9913 GN=INTS9 PE=4 SV=1
+MKIKEIWHPTLPCNVLKFKSTTIMLDCGLDMTSTLNFLPLPLVQSPRLSNLPGWSLKDGN
+AFLDKPHHADLCAAHRYVSLPPLFFQTELIDLSTVDVILISNYHCMMALPYITEHTGFTG
+TVYATEPTVQIGRLLMEELVNFIERVPKAQSASLWKNKDIQRLLPSPLKDAVEVSTWRRC
+YTMQEVNSALSKIQLVGYSQKIELFGAVQVTPLSSGYALGSSNWIIQSHYEKVSYVSGSS
+LLTTHPQPMDQASLKNSDVLILTGLTQIPTANPDSMVGEFCSNLALTVRNGGNVLVPCYP
+SGVIYDLLECLYQYIDSAGLSSIPFYFISPVANSSLEFSQIFAEWLCHNKQTKVYLPEPP
+FPHAELIQTNKLKHYPSIHGDFSNDFRQPCVVFTGHPSLRFGDVVHFMELWGKSSLNTVI
+FTEPDFSYLEALAPYQPLAMKCIYCPIDTRLNFIQVSKLLKEVQPLHVVCPEQYTQPPPA
+QSHRMDLMVDCQPPAMSYRRAEVLALPFKRRYEKIEIMPELADSLVPMEIKPGISLATVS
+AVLHTKDNKHVLQPPPRPTQPTGGKKRKRASDDIPDCKVLKPLLSGSIPVDQFVQTLEKH
+GFSDIKVEDTAKGHIVLLQEAETLIQIEEDSTHIICDDDEVLRVRLRDLVLKFLQKF
+>tr|A0A3Q1MWU0|A0A3Q1MWU0_BOVIN Ral GEF with PH domain and SH3 binding motif 2 OS=Bos taurus OX=9913 GN=RALGPS2 PE=4 SV=1
+MDLMNGQASNVNIAATASEKSSSSESLSDKGSELKKSFDAVVFDVLKVTPEEYAGQITLM
+DVPVFKAIQPDELASCGWNKKEKYSSAPNAVAFTRRFNHVSFWVVREILHAQTLKIRAEV
+LSHYIKTAKKLYELNNLHALMAVVSGLQSAPIFRLTKTWALLSRKDKTTFEKLEYVMSKE
+DNYKRLRDYISSLKMTPCIPYLGIYLSDLTYIDSAYPSTGSILENEQRSNLMNNILRIIS
+DLQQSCEYDIPMLPHVQKYLNSVQYIEELQKFVEDDNYKLSLKIEPGTSTPRSAASREDL
+VGPEVGASPQSGRKSMAAEGALLPQTPPSPRNLIQHGHRKCHSLGYNFIHKMNTAEFKSA
+TFPNAGPRHLLDDSVMEPHAPSRGQAESSTLSSGISIGSSDGSELSEETSWPAFESSAES
+EDLAVHLYPGAVTIQGVLRRKTLLKEGKKPTVASWTKYWAALCGTQLFYYAAKSLKATER
+KHFKSTSNKNVSVVGWMVMMADDPEHPDLFLLTDSEKGNSYKFQAGSRMNAMLWFKHLSA
+ACQSNKQQVPTNLMTFE
+>tr|A0A3Q1LSG3|A0A3Q1LSG3_BOVIN Casein kinase II subunit alpha OS=Bos taurus OX=9913 GN=CSNK2A1 PE=3 SV=1
+MPSILRRSSELNPWGFNETSQHGRTLRDSAGGGVGSGPSPPPGRRGVSASGSEGCSLALV
+PAAGGRAVCLLLRRRHIVCVRRGESGRRRCRFHPRNQDDYQLVRKLGRGKYSEVFEAINI
+TNNEKVVVKILKPVKKKKIKREIKILENLRGGPNIITLADIVKDPVSRTPALVFEHVNNT
+DFKQLYQTLTDYDIRFYMYEILKALDYCHSMGIMHRDVKPHNVMIDHEHRKLRLIDWGLA
+EFYHPGQEYNVRVASRYFKGPELLVDYQMYDYSLDMWSLGCMLASMIFRKEPFFHGHDNY
+DQLVRIAKVLGTEDLYDYIDKYNIELDPRFNDILGRHSRKRWERFVHSENQHLVSPEALD
+FLDKLLRYDHQSRLTAREAMEHPYFYTVVKDQARMGSSSMPGGSTPVSSANMMSGISSVP
+TPSPLGPLAGSPVIAAANPLGMPVPAAAGAQQ
+>tr|E1BE31|E1BE31_BOVIN Junction mediating and regulatory protein, p53 cofactor OS=Bos taurus OX=9913 GN=JMY PE=4 SV=2
+MSFALEETVESDWVAVRPHVFDEREKHKFVFIVAWNEIEGKFAITCHNRTAQRQRSGTRD
+QAGARGGAESGALASDGTRGPGSPAGKGRPEATASATLGRSPGSRRRPAWPEGSSPRSAC
+SLRGDPPLRSPAGKGAESPLRSPVRANPSPVRRASGGRDVAGSAAAAAPPAAKEAPMSVR
+VVSACGTVTEEIEVLEMVREDEVDPAALALSAAEQPPAAAELESPAEECSWAGLFSFQDL
+RAVHQQLCSVNSQLEPCLPVFPEEPSGMWTVLFGGAPEMSEQEIDTLCYQLQIYLGHGLD
+TCGWKILSQVLFTETDDPEEYYESLSELRQKGYEEVLQRARKRIQELLDKHKNTESMVEL
+LDLYQMEDEAYSSLAEATTELYQYLLQPFRDMRELAMLRRQQIKISMENDYLGPRRIESL
+QKEDADWQRKAHMAVLSIQDLTVKYFEITAKAQKAVYDRMRADQKKFGKASWAAAAERME
+KLQYAVSKETLQMMRAKEICLEQKKHALKEEMQSLQGGTEAIARLDQLEGDYYDLQLQLY
+EVQFEILKCEELLLTAQLESIKRLISEKRDEVVYYDTYESMEAMLEKEEMAASMHFQREE
+LQKLQQKARQLEARRGRVSAKKAYLRNKKEICIAKHNEKFQQRLRSEDEYRTHHTVQLKR
+DKLHDEEERKSAWVSQERQKTLDRLRNFKQRYPGQVILKSTRLRLAHARRRSTASPVSCE
+DQCDSLPGVLQVEEKREGLDEGKAKLGSSQAADAQSLTLPEGHPSAQLEATSSLPSGFTA
+DLPPPVSCPLLNTVDCKAGSVPAGPLPPPLPPTPPPPPPAPETLEKGLPQMEGSEKRIPK
+SASAPSAHLFDSSQLVSARKKLRKTAEGLQRRRVSSPMDEVLASLKRGSFHLKKVEQRTL
+PPFPDEDDSNNILAQIRKGVKLKKVQKEVLRESFTLLPDTDPLTRSIHEALRRIKEASPE
+SEDEEEALPCTDWEN
+>tr|G5E5U3|G5E5U3_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=OR5AS1 PE=3 SV=2
+MLENNCTMPIEFLLVGFTDYLPLRVTLFLVFLIVYTLTMVGNVGLIILININSSLQTPMY
+YFLSNLSFLDISYSTAITPKMLVNFLASKKSISLSGCVLQMFFFGCFADAECLILAAMAY
+DRYAAICNPLLYSALVSQRVCVCCIVLAYFSGGVTSMVHVCLTFRLPFCGSNIVNHFFCD
+IPPLLALSCTDTYINELLLFALCGFIQTSTFVVIFISYFCILLTVLSIKSSGGRSKTFST
+CASHLLAITLFYGTLLFMYLRPTTSYSPDTDKVVAVFYTVVFPMFNPIIYSFRNKDVKYA
+LRKLLDRNGTFK
+>tr|A0A3Q1LME6|A0A3Q1LME6_BOVIN RRM domain-containing protein OS=Bos taurus OX=9913 GN=LOC534630 PE=4 SV=1
+MNPLTKVKLINELNEREVQLGVPEKVSWHNEYKDSAWIFLGGLPYELTEGDIICVFSQYG
+EIVNINLVWDKKTGKSKGFCFLCYEDQRSTVLAVDNFNGIKIKGRTIRVDHVSNYRLPED
+SEEMDEVTRELQERGCGTHTPPPSSSEGSEDDKPTKKHKKDKKEKKKKKKDKEKTGQEVQ
+AEQPASSSLPRSKMIKEKDDPGSKNHSGKHSEKAEKGQKSESREVRKSHPSSPEVRMTCH
+GGTEDREKEPRKEKSKHEHKSSSRREEREDRHRERDRGRSSDIHSRRHDRRSEGRTHRSR
+SRSRDKSHRHKRSRHSRDRESSNPREHRHQ
+>tr|E1BLI4|E1BLI4_BOVIN CUB domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=3
+MKLPSVIPWALLLSTDARKCGGVRRNFSGRISSYSSWEPKCTWTILLERGYRIVLTIPFL
+SLNCNEEYVEITDGLPDSTTFGKFCSGGHLVFRSSSNVMTVKYYRSSNQPVSSFDIFYYG
+RPSGR
+>tr|F6RA94|F6RA94_BOVIN Diacylglycerol lipase beta OS=Bos taurus OX=9913 GN=DAGLB PE=4 SV=1
+MKCTCAPGRASTQAQRPSGDRAGLSARAPGPAPACACAESRGGGRVARAPGSGRLRAPRA
+AGPPSAMPGMVLFGRRWAIASDDLVFPGVFELLLRVLWWVGILMLYLMHRGKLDCPGGVL
+LSSYLIVLLVLLAIIIGTVSAIVCVSMKGTICNPGPRKSMSKLLYVRLALFLPEMVWASL
+GAAWIADGIQCDRTVGNGIIATVVVSWLIIASTVLTILIVFDPLGGKAAPGAPLGPQPLD
+SRESSQLLSGLRTAATGVWETRVRLLCCCIGRDDHTRVAFSSTAELFSTYFSDTDLVPSD
+IAAGLALLHQQQDHARSPPEPTEVVTHSPGPFQEADLDAELENCHHYMQFAAAAYGWPLY
+VYRNPFTGLCRVGGDCCRCRTTDYDLVGGDQLNCHFSSILQTTGLQHRDFIHISFHDKVY
+ELPFLVALDHRKESVVVAIRGTMSLQDILTDLSAESEPLDIECEAQDCWAHKGISQAARY
+VYRRLVNDGILSQAFSIAPEYRLVVVGHSLGAGAAALLALMLKSPYPQVRCYAFSPPRGL
+LSKSLYEYSKTFIVSLVLGKDVIPRLSVTNLEDLKRRILRVIAHCNKPKYKILLRGCWYE
+LFGGSPEDLPTELDGGDLTQPLLGEHSLLVHGSPAYSFSSESPLESPTKYPPLYPPGRII
+HLEEEGTSGSCSCSPAARYTVRWAHESEFSRILIGPKMLTDHMPDILMRVLDHVVSDRAA
+CVSCPAQGGSSVDQA
+>tr|A0A3Q1N3C1|A0A3Q1N3C1_BOVIN Epidermal growth factor receptor pathway substrate 15 like 1 OS=Bos taurus OX=9913 GN=EPS15L1 PE=4 SV=1
+MKAGIGADGLSPERIRKPSPQGFPSKAVLPCSSSEAAFPQIPAGNPLYESYYKQVDPAYT
+GRVGASEAALFLKKSGLSDIILGKIWDLADPEGKGYLDKQGFYVALRLVACAQSGHEVTL
+SNLNLNMPPPKFHDSSSPLMVTAPSAEAHWAVRVEEKAKFDGIFESLLPVNGLLSGDKVK
+PVLMNSKLPLDVLGRVWDLSDIDKDGHLDRDEFAVAMHLVYRALEKEPVPSVLPPSLIPP
+SKRKKTMFAGAVPVLPASPPPKDSLRSTPSHGSVSSLNSTGSLSPKHSIKQAQPTVSWVV
+PVADKMRFDEIFLKTDLDLDGYVSGQEVKEIFMHSGLTQNLLAHIWALADTRQTGKLSKD
+QFALAMYFIQQKVSKGIDPPQVLSPDMVPPSERGTPIPDSSSTLGSGEFTGVKELDDISQ
+EISQLQREKYSLEQDIREKEEAIRQKTNEVQELQNDLDRETSSLQELEAQKQDAQDRLDE
+MDQQKAKLRDMLSDVRQKCQDETQMISSLKTQIQSQESDLKSQEDDLNRAKSELNRLQQE
+ETQLEQSIQAGKVQLETIIKSLKSTQDEINQARSRLSQLHESHREAQRSLEQHDEMLDGA
+PGVSLSSLADLSTGVSLTERGGFGAMDDPFKNKALLFSNNAQELHPDPFQAEDPFKSDPF
+KGADPFKGDPFQSDPFAEQQTASTDPFGGDPFKESDPFRGSTPDDFFKKQTKSDPFTSDP
+FTKNPSLPSKLDPFESSDPFSSSTVSSKGSGPFGALDPFGSGSFSSAEGFADFSQMSKFG
+NEEQQLAWAKRESEKAEQERLARLRRQEQEDLELAIALSKADMPAA
+>tr|A0A3Q1MCS5|A0A3Q1MCS5_BOVIN Peptidase S1 domain-containing protein OS=Bos taurus OX=9913 GN=LOC529047 PE=3 SV=1
+SDKYIISQQKAKGLMAGTVSTACGKTAVTGKIIGGKNTVDKRWPWQAGLLYQGMFICGAS
+LISDYWVISAAHCFQMSHKPSDYKILLGYYQLEKPTSYSQLAAVYRLFIHADYNKHYYQE
+SDITLLQLYRPAKFSDSIRTVCLPEVNIQLLDLILCWITGWGMVNDQGEWGQELLPTPRT
+LQEAQVGFLDNSFCESILKPPETINQTIAIQDGMLCAADFLAGKSVCRGDSGGPLVCKLN
+DTWYLMGLASFSTPCEKPIGPGIFTKVSYFNQWITEKQKSSPNPDPSTAPPEEKPPALFS
+FNSLGNVHKPRNFLVLVASQTFLLLLIFLWTMGL
+>tr|A0A3Q1LN14|A0A3Q1LN14_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MKNPRVSRRKEMLKIRAEINAKETKETIAKINKAKSWLFERINKIDKPLARLIKKQREKN
+QINKLRNENGETTTDNTEIQTITRDYYQQLYANKIDNVEEMDKFLEKYNFPKLDQEEIEN
+LNRPITSSEIETGIRNLPANKSPGPDGFTAEFYQKFREELTPILLKLFQKIAEEGKLPNS
+FYEATITLIPKPDKDATKKENYRPMSLMNIDAKILNKILAIRIQQHIKKIIHHDQVGFIP
+GMQGFFNIRKSINVIQHINKLKNKNHMIISIDAEKAFDKIQHPFMIKTLQKAGIEGTYLN
+IIKAIHDKPTANITLNGEKLKAFPLKLGTRQGCPLSPLLFNIVLEVLATGIRAEKEIKGI
+QIGKEVKLSLFADDMILYIENPKDSIRKLLELINEYSKVAGYKINTQKSLDFLYTNNEKI
+EREIKETIPFTIATKRIKYLGMYLPQETKDLYIENYKTLVKEIKEDTNRWRNIPCSWIGR
+INIVKMSILPKAIYRFNAIPIKLPTVFFTELEQIISQFVWKYKKPRIAKAILRKKNGTGG
+INLPDFRLYYKATVIKTVWYWHKDRNIDQWNKIESPEINPHTYGHLIFDKGGKNIQWIKD
+NLFNKWCWENWSTTCKRMKLEHFLTPYTKINSKWIKDLNIRPETIKLLEENIGKTLSDIH
+HIRILYDPPPRILEIKPKINKWDLIKLKSFCTSKETISKVKRQPSEWEKIIANEATDKQL
+ISKIYKQLLQLNSRKINDPIKKWAKELNRHFSKEDIQMANKHMKRCSTSLIIREMQIKTT
+MRYHFTPVRMAVIQKSTSNKC
+>tr|A0A3Q1LR00|A0A3Q1LR00_BOVIN Trophinin associated protein OS=Bos taurus OX=9913 GN=TROAP PE=4 SV=1
+MTTLQAPKDPLLRGVSPTPSKIPVRSQRRPPLPTVKPSALDQENQDPKRLGQKLSIQRPL
+ADSAGPRLKATRQTEQSEKLVGSTQLRNPLEELRPSHGGQNVGPRPPPQTEAPGTIEFVA
+DPAALATILSGEGVKSCRLGRQPSLAQRVLVRGTQGGTIRKGQDARASAYLAPRTPTHRL
+DPVRASCFSRLEGPGPRGRTLCPQRLEALIPPSGSSSHPSALPCFQELRRETSGSTSSLP
+EGEHEVVTQSDEGGGGPLGLAQRIPLKETRDKTHTRDGCDSCLMPSPGQAVPPAITRPSP
+FGRAQRVPSPGPSAPISYSVLRRLATRPRTQFTPLPSASRVQQARGLSGLSPQPCPEEPA
+LPWEQIAVRLFDQESGIRLQEGPGKPPVSTSYGPHPSRTPNLQELKMQRISILQQLLRQE
+VEGLAEGKCAPLNESSSLDMVELQPLLAEISRTLNAPEKIPGAFHLSGLLQHPELPKPYF
+PEECGKPDPCPRAEPEIAPPCPPAEQGPPESCHGRGPEKPELSTQEQPEASGPSPPAEPG
+PLQACPQGQIGLPEPSTRVDPGVSEACSLELRNPESSPRPRTSQWAPATTSLTFSSQRPL
+CASPPIRSLQSLKPSPGPAGASHPAPRTMALRQRLKACLTAIHGFHEACLDDECAFYTSR
+APPSGLTRVCTNPVAMLLEWQDALVSLPSTASCTCSPSPFWSCCCRSPRAASPLPAPLFL
+SRGGWLLTH
+>tr|A0A3Q1M475|A0A3Q1M475_BOVIN Proline rich coiled-coil 2C OS=Bos taurus OX=9913 GN=PRRC2C PE=4 SV=1
+MSEKSGQSTKAKDGKKYATLSLFNTYKGKSLETQKTTVAARHGLQSLGKVGISRRMPPPA
+NLPSLKAENKGNDPNVNIVPKDGTGWASKQEQHEEEKAPEVPPAQPKPGVAAPPEVAPAP
+KSWASNKQGGQGDGIQVNSHFQQEFPSLQAAGDQEKKEKEANDDNYGPGPSLRPPNVACW
+RDGGKSASSSLTSDQDEKPGQDESTAGTSEQSDILKVVEKRIACGPPQAKLNGQQPALAS
+QYRAMMPPYMFQQYPRMAYPPLHGPMRFPPSLSETNKGLRGRGPPPSWASEPERPSILSA
+SELKELDKFDNLDAEADEGWAGAQMEVDYTEQLNFSDDDEQGSSSPKENSSEDQSSKASE
+NTENRKEPDEVSNTKSSSQIPTQPSVAKGPYGKGPPFNQERGPSSHLPPPPKLLAQQHPP
+PDRQAVPGRPGPFPPKQQVPDEDEIWKQRRRQQSELSAAVERARKRREEEERRMEEQRKA
+ACAEKLKRLDEKLGIVDKQPSPEEIREREREKEREKEWEREKELEKEQEREQEKEREKER
+ERQQEKEKELEREQEKQREMEKERKQEKEKELERQQEKEKELQKTKEQEKECELEKRDRE
+KVDEKTEHKEPTSEPMVEKPESENSCNKEDDPVFTRQDSNRSEKETTQVAHEPEPESGSQ
+PRPAVLSGYFKQFQKSLPPRFQRQQEQMKQQQWQQQQQQGVLPQTVPSQPSGSAVPPPPH
+RPLYQPMQPHPQHLASMGFDPRWLMMQSYMDPRMISGRPAMDIPPIHPGMIPPKPLMRRD
+QMEGSPNNSESFEHLARSARDHAISLSEPRMMWGSDPYPHTEPQQATTPKAAEEPEDVRP
+EAPLDQEQITAAYPVEHGQLEVHPKPDFVRESSETDVQKFLSRSVEDIRPRHTDTNSQST
+CFDVPDQKTITTPQEERISAGESQPARKRSVCHGSNHTQKSEELRNEPSANIPKVTSRGI
+DSKEPAERPEEKPKKEGFIRSSDGPKPEKLYKSKSETRWGPRPSSNRREEGNDRPVRRSG
+PIKKPILRDMKEEREQRKEKEGEKGEKVTEKVVKPEKTEKKEPLPPPPAPPAAPVQPQSV
+PPQPQPEPEKLPSVETSPSTLVQKPPQDTEKPLESVSSVEVEPAVKTVNQQAVTAPVVKE
+EKQPEKVISKDLVTERTRPDSRPTAKKESTLPPRTYWKEARDRDWFPDQGYRGRGRGEYY
+SRGRSYRGSYGGRGRGGRGHTRDYPQYRDSKPRAEHVAPGPLRQREESETRSESSDFEVV
+PKRRRQRGSETDTDSEIHESASDKDSLSKSKLPKREERPESKKPIKPQSSFKPENHVRID
+NRPLEKSFVRDDDKSKPGFLPKGEPTRRGRGGTFRRGGRDPGGRPSRPSTLRRPAYRDNQ
+WNPRQTEAPKPDSGEPPRRHEQFIPIPADKRPPKFERKFDPARERPRRQRPTRPPRQDKP
+PRFRRLREREAASKTNEVAVPTNGTVNNVVQEPVNSAGDISGNKTPDLSNQNSSDQANEE
+WETASESSDFNERRERDEKKNADLNAQVVVKTGENVVPPKREIAKRSFSSQRPGIDRQNR
+RGNNGPPKSGRNFSGPRNERRSGPPSKGGKRGPFDDQSAGTSGADPVNGSSAHHQEGAPN
+GTGQKNSKDSTGKKREDPKPGPKKPKEKVDALSQFDLNNYASVVIIDDHPEVTVVEDPQS
+NLNDDGFTEVVSKKQQKRLQDEERRKKEEQIIQVWNKKNANEKGRNQTSKLPPRFAKKQA
+TGTQQVQPPASALTSAPGPGSTSAPVPATTPAPVPASTPAPLLASPVASVPAPTTVPVLT
+STSAPLPALASASVPVPASTPTTAIASSPAPAPTPILASASSPASVPILTSASIPILASA
+LAPASAPPPAISAAAVPASAPTAPTSAPASAPTPTLVQVPVPAPTAPGQTQGQTHKPVQS
+PLQTTTQSSKQPPPSIRLPSAQTPNGTDYVATGKPIQTSQSHGTLTAELWENKVAPPAVL
+NDISKKLGPISPPQPPSVSAWNKPLTSFGSATSSEGTKNSQESGVEIGIDTIQFGAPASN
+GNENEIVPVLSEKTTDKISEPKEQRQKQPRAGPIKAQKLPDLSPVENKEHKPGPIGKERS
+LKNRKVKDAQQVEPEGQEKPSPATVRSTDPVTTKETKAVSEMSTEIGTMISVSSTEYGTN
+VKESVTDYTTPSSSLPNTVATNNAKMEDTLVNNVPLPSTLPLPKRETIQQSSSLTSVPPT
+TFSLTFKMESARKAWENSPNVREKGSPVTSTAPPIASGVSSSASGPSTANYSSFSSASVP
+QIPVASVTPTTSLSGAGTYTTSSLSTKSTTTSDPPNICKVKPQQLQTSSLPSASHFSQLS
+CMPSLIAQQQQSPQVYVSQSAAAQIPAFYMDTSHLFNTQHARLAPPSLAQQQGFQPGLSQ
+PTSVQQIPIPIYAPLQGQHQAQLSLGAGPAVSQAQELFSSSLQPYRSQPAFMQSSLSQPS
+MVLSGTAIHNFPAVQHQELAKAQSGLAFQQTSNTQPIPILYEHQLGQASGLGGSQLIDTH
+LLQARANLTQASNLYSGQVQQPGQTNFYNTAQSPSALQQVTVPLPASQLSLPNFGSTGQP
+LIALPQTLQPPLQHTAPQAQAQSMSRTAQVSQPFRGLIPAGTQHSMIATTGKMSEMELKA
+FGSGIDIKPGTPPISGRSTTPTSSPFRATSTSPNSQSSKMNSIVYQKQFQSAPATVRMAQ
+PFPTQFAPQAKQRAEVLQSTQRFFSEQQQNKQIGGKAQKVDSDSSKPPETLTDPPGVCQE
+KVEEKPPPAPTIATKPIRTGPIKPQAIKTEETKS
+>tr|A0A3Q1MH24|A0A3Q1MH24_BOVIN Rho GTPase activating protein 12 OS=Bos taurus OX=9913 GN=ARHGAP12 PE=4 SV=1
+MADRGGKALPGPVYIEVEYDYEYEAKDRKIVIKQGERYLLVKKTNDDWWQVKPDESSKAF
+YVPAQYVKEVGRRALMPPVKPAAGLPNHSVKTAQSLHLQRSSENVNRLPELSSFGKTSSS
+SVQGTGLVRDANQNFGPTYIPGQTINLSLDLTHNNGKLNSDSHSPKVPGQNRTRLFGHFP
+GPEFLEVEKPGFPQEQSCDSVGEGSERIQQDSESGDELSSSSTEQIRATTPPNQGRPDSP
+VYANLQELKISQSALPPLPGSPAIQINGEWETHKDSSGRCYYYNRGTQERTWKPPRWTRD
+TNTSKGDSQSPGDQELFSSEENYHSICHSQSDSQCGSPPRGWSEELDERGHTLYTSDYTN
+EKWLKHVDDQGRQYYYSADGSRSEWELPKYNASSQQQREIIKSRSLDRRLQEPIVLSKWR
+HSTIVLDANDKGQEKYGLLNVTKITENGKKVRKNWLSSWAVLQGSSLLFTKTQGSSTSWF
+GSNQSKPEFTVDLKGATIEMASKDKSSKKNVFELKTRQGTELLIQSDNDTVINDWFKVLS
+STISNQTVEPDEAIEEEIPDSPGIEKHDKEKDQKEPKKLRSAKVSSIDSSEQKKTKKNLK
+KFLTRRPTLQAVREKGYIKDQVFGANLSNLCQRENSTVPKFVKLCIEHVEQYGLDVDGIY
+RVSGNLAVIQKLRFAVNHDEKLDLKDSKWEDIHVITGALKMFFRELPEPLFTFNHFNDFV
+NAIKQEPRQRVSAVKDLIKQLPKPNQDTMQILFRHLKRVIENGEKNRMTYQSIAIVFGPT
+LLKPEKETGNIAVHTVYQNQIVELILLEINSIFGR
+>tr|A0A3Q1N3P1|A0A3Q1N3P1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=ZNF582 PE=4 SV=1
+MIALSPPGLSSQDSALPQETNQEEAAAAHEILTVMSLGSDLFRDVAVVFSQEEWEQLAPT
+QRDLYRDVMLETYSNLVSLGLAISKPDVISFLEQGKEPWTVEEVVTEGLCPVLKSRYDTK
+VFSPKQQSYEVQSPPWEIMESLTTYGLECSSFQDDWECRSHADREEGNPDGHLSQMMIEN
+EEIPTFDQPAPLTFYQKIHTGEKPYGYNQCTKDFWQEDFLINHQGIQTNEKPYKCKECGK
+AFKYGSRLIQHENIHSGKKPYECKECGKAFNSGSNFIQHQQVHTGEKPYECKDCAKAFSR
+SSQLIEHQRIHTGEKPYQCKECSKAFNRISHLKVHYRIHTGEKPYACKECGKTFSHRSQL
+IQHQTVHTGKKLYECKECGKAFNQGSTLTRHQRIHTGEKPYECKACGKAFRVSSQLKQHQ
+RIHTGEKPYQCKVCGRAFKRVSHLTVHYRIHTGEKPYECRECGKAFSHCSQLIQHQVIHT
+EEKPYEYKKHGRTLSHDPAAVQHQRMHNVETQVNLINVEKPSISTYPLLIIREFMLASNH
+MNGSNGKSPLA
+>tr|F1MLY7|F1MLY7_BOVIN ATR serine/threonine kinase OS=Bos taurus OX=9913 GN=ATR PE=3 SV=3
+MAESEEELKTFYLCFSASPEEYNTVVQKPRQILCQFIDRILTDVNVVALELVKKTDSQPT
+SVMLLDFIQHIMKSSPLMFVNVNGSHGQNEAKGSCIEFSNWIITRLLRIAATPSCHMLHK
+KICEVICALLFLFKSKSPAIFGVLMKELLHLFEDLIYFHKRYAVEHFVEWPVVVHRFLSQ
+FDEHVGYLQPAPLQLMNMQNLEFIEVTLLTVLIRIIAIVFFRRQELLLWQIGCVLLEYGS
+PKVKSLAISLLTELFELGGLPAQPASTFFSSFFELLKQLVEMDADQLKLYEEPLSKLIKT
+LFSSETEAYRNIEPVYLNMLLEKLCVMFEDGVLLQLKSDLLKAALCHLLQYFLKYVPAGY
+ESALQVRKVYMRNICKALVDVLGVQADVEYLLGPLYAALKMESMEIIDEVQCQIQQEDLS
+SNSNGLSPKRRRLSSSLNASKRAPKQTEEIKHVDINKKSILWSALKQKAEDLQIFLESNN
+VKNPIIETLEGIAVILQLTALCTVHCSHQNMDCYNFKDCQQKCKKKPSVMITWMSVDFYT
+KVLKSCRTLLESVQKPDLETVIDKVVKIYDALMYIQVNTSFEDHILEDLCGMLSLPWICL
+HSDDDSLKLTTFALSLLTLSQRISDSYSPQAQSRCVFLLTLFPRRIHLEWRTAVYSWALQ
+SSHEVIRTSCINGFFILLQQQNPYNRVPKILVDKVKDDSDIVKKEFASVLGQLVCTLHGK
+FYLTSSLTEPLSEYGHIDLLCKSLKVASQPECSSSRLKASVCKPFLFLLKKKTPSPVKLA
+FIENLHHLCKHLDFREDETDIKTVLGTLLNLMEDPDKDVRVAFSGNIKHILESLDAEDGF
+IKELFVLRMKEAYTHAQISRNNELKDTLILTTGDIGRAAKGDLVPFALLHLLHCLLSKSA
+SVSGAAYTEIRALVAAKSVKLQNFFSQYKKPICQFLVESLHSSQMTALPSTPCQNAEMRK
+QDVAHQREMALNTLSEIANVFDFPDLNRFLTRTLQVLLPDLAAKASPAASALIRTLGKQL
+NVNRREILINNFKYIFSHLVCSCSKDELERALHYLKNETEIELGSLLRQDFQGLHNELLL
+RIGEHYQQVFNGLSILASFASSDDPYQGPRDITSPELMADYLQPKLLGILAFFNMQLLSS
+SVGIEDKKMALNSLMSLMKLMGPKHVSSVRVKMMTTLRTGLRFKDDFPELCCRAWDCFVR
+CLDHAYLGSLLSHVIVALLPLIHIQPKETAAIFHYLIIENRDAVQDFLHEIYFLPDHQEL
+KKIKAVLQEYRKETSESTDLQTTLQLSMKAIQHENVDVRIHALTSLKETLYKNQEKLIRY
+ATDSETVEPVISQLVTVLLKGCQDANSQARLLCGECLGELGAIDPGRLDFSTTDTQGKDF
+TFVTGVEDSNFAYGLLMELTRAYLAYADNSRAQDSAAYAIQELLSIYDCREMQTDGPGHQ
+LWRRFPEHVREILEPHLNTRYKSSQKSTDWSGVKKPIYLSKLGNNFAEWSASWAGYLITK
+VRHDLASKIFTCCSIMMKHDFKVTIYLLPHILVYVLLGCNQEDRQEVYAEIMAVLKHDDH
+HTLSTQDSASDLCQLSTQTVFSMLDHLTQWARHKFQALNAEKFAQGKSHRDKVDSMVSSV
+DYEDYQSVTRFLDLIPQDTLAVASFRSKAYTRAVMHFESFITEKKQNIQEHLGFLQKLYA
+AMHEPDGVAGVSAIRKAEPSLKEQILEHESIGLLRDATACYDRAIQLEPDQIIHYHGVVK
+SMLGLGQLSTVITQVNGVHANRSEWTDELNTYRVEAAWKLSQWDLVENYLAADGKSTTWS
+VRLGQLLLSAKKRDTTAFYDTLKLVRAEQIVPLSAASFERGSYQRGYEYIVRLHMLCELE
+HSIKPLFHQSPADSIQEDSLNWVARLEMTQNSYRAKEPILALRRALLSLNKRPDYSEMVG
+ECWLQSARVARKAGHHQTAYNALLNAGDSRLAELYVERAKWLWSKGDVHQALIVLQKGVE
+LCFPENKTPPEGKNMLIHGRATLLVGRFMEETANFESNAVMKKYKDVTLFLPEWEDGHFY
+LAKYYDKLMPMVTDNKMEKQGDLIRYILLHFGRSLQYGNQFIYQSMPRMLSLWLDFGAKA
+YEWEKAGRSDRVQMRNDLVKINKVITEHTNQLAPYQFLTAFSQLISRICHSHDEVFVVLM
+EIIAKVLLAYPQQAMWMMTAVSKSSYPMRVNRCKEILNKAIQMKKSLEKFVGDATRLTDK
+LLELCNKSVDGSSSTLSMSTHFKMLKKLVEEATFSEILIPLQSVMIPTLPSIPGAHANHE
+PFPGHWAYIAGFDDTVEILASLQKPKKISLKGSDGKFYIMMCKPKDDLRKDCRLMEFNSL
+INKCLRKDAESRRRELHIRTYAVIPLNDECGIIEWVNNTAGLRPILTKLYKEKGVYMTGK
+ELRQCMLPKSAALSEKLKVFQEFLLPRHPPVFHEWFLRTFPDPTSWYSSRSAYCRSTAVM
+SMVGYILGLGDRHGENILFDSLTGECVHVDFNCLFNKGETFEVPEIVPFRLTHNMVNGMG
+PMGTEGLFRRACEVTMRLMRDQREPLMSVLKTFLHDPLVEWSKPVKGHSKAALNETGEVV
+NEKAKTHVLDIEQRLRGVIKTRNRVTGLPLSIEGHVHYLIQEATDENLLCQMYLGWTPYM
+>tr|F6QXG7|F6QXG7_BOVIN GRB10 interacting GYF protein 2 OS=Bos taurus OX=9913 GN=GIGYF2 PE=4 SV=1
+MAAETQTLNFGPEWLRALSSGGSITSPPLSPALPKYKLADYRYGREEMLALFLKDNKIPS
+DLLDKEFLPILQEEPLLPLALVPFTEEEQRNFSMSVNSAAVLRLTGRGGGGTVVGAPRGR
+SSSRGRGRGRGECGFYQRSFDEVEGVFVRGGGREMHRSQSWEERGDRRFDKPGRKDVGRP
+NFEEGGPVSVGRKHEFIRSESGNWRIFREEQNGEDEDGGWRLTGSRRDGERWRPHSPDGP
+RSAGWREHMERRRRFEFDFRDRDDERGYRRVRSGSGSIDDDRDSLPEWCLEDAEEEMGTF
+DSSGAFLSLKKVQKEPIPEEQEMDFRPVEEGEECSDSEGSHNEEAKEPDKTNKKEAEKTD
+RTGVEASEETTQTSSSSARPGSPSDQPQEAPQFERKEESKTEQMEKAEEESRTENSLSTK
+VSSRGDEVLPAVQQPLSQIPSDTASPLLILPPPVPSPSPALRPVETPVVGAPGMGSVPTE
+PDDEEGLKHLEQQAEKMVAYLQDSALDDERLASKLQEHRAKGVSIPLMHEAMQKWYYKDP
+QGEIQGPFNNQEMAEWFQAGYFTMSLLVKRACDESFQPLGDIMKMWGRVPFSPGPAPPPH
+MGELDQERLTRQQELTALYQMQHLQYQQFLIQQQYAQVLAQQQKAALSSQQQQQLALLLQ
+QFQALKMRISDQNIIPSVTRSVSVPDTGSIWELQPAPSQPTVWEGGSVWDLPLDPATPAP
+ALEQLQQMEKAKAAKLEQERREAEMRAKREEEERKRQEELRRQQEEILRRQQEEERKRRE
+EEELARRKQEEALRRQREQEIALRRQREEEERQQQEEALRRLEERRREEEERRKQEELLR
+KQEEEAAKWAREEEEAQRRLEENRLRMEEEAARLRHEEEERKRKELELQRQKELLRQRQQ
+QQEALRRLQQQQQQQQLAQMKLPSSSTWGQQSNTTACQSQATLSLAEIQKLEEERERQLR
+EEQRRQQRELMKALQQQQQQQQQKLSGWGNVSKPAGTAKSLLEIQQEEARQMQKQQQQQQ
+QHQQPNRARNNTHSNLHTSIGNSVWGSINTGPPNQWASDLVSSIWSSADTKNSNMGFWDD
+AVKEVGPRNSTNKNKNASLSKSVGVSNRQNKKVEEEEKLLKLFQGVNKAQDGFTQWCEQM
+LHALNTANNLDVPTFVSFLKEVESPYEVHDYIRAYLGDTSEAKEFAKQFLERRAKQKANQ
+RQQQQQQQQQQQQQQQQQQQDSVWGMNHSALHSVFQTNQTNNQQSSFEAVQSGKKKKKQK
+MVRADPSLLGFSVNASSERLNMGEIETVDDY
+>tr|A0A3Q1MI69|A0A3Q1MI69_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=ACOT7 PE=4 SV=1
+MRGGASREAAFDSPSATRPRLSGAMAPPLPSPSMAPPGLIHSAPGLLDTCALPQPPAAAT
+MSGPAAETPSAIQICRIMRPDDANVAGNVHGGTVLKMIEEAGAIISTRHCNSQNGERCVA
+ALARVERTDFLSPMCIGEVAHVSAEITYTSKHSVEVQVHVMSENILTGTKKLTNKATLWY
+VPLSLKNVDKVLEVPPVVYSRQEQEEEGRKRYEAQKLERMETKWRNGDIIQPVLNPEPNT
+VSYSQSSLIHLVGPSDCTLHGFVHGGVTMKLMDEVAGIVAARHCKTNIVTASVDAINFHD
+KIRKGCVITISGRMTFTSNKSMEIEVLVDADPVVNNFVKRYRAASAFFTYVSLSPEGKSL
+PVPQLVPETEDEKKRFEEGKGRYLQMKAKRQGQAETQA
+>tr|A0A3Q1LJX5|A0A3Q1LJX5_BOVIN TM2 domain containing 3 OS=Bos taurus OX=9913 GN=TM2D3 PE=4 SV=1
+MGGPGVSAGGVGLCPGPALGPRCSQTGTNVDLVGFPLQFVGDQASRFAQAAEAWSAAAPP
+EVSVSPVAGSFSEEPSQPLPQAIKDPGPTRTFTAVPRAAESTDIPPYVMKCPSNGLCSRL
+PADCIDCKTNFSCVYGKPVTFDCTVKPSVTCVDPDFKSQKSFVLNMTCRFCWQLPEADYE
+CFSSSDCRAVSCPRQRYPTNCTVRDHVHCLGNRTFPKMLYCNWTGGYKWSTALALSITLG
+GFGADRFYLGQWREGLGKLFSFGGLGIWTLIDVLLIGVGYVGPADGSLYI
+>tr|A0A3Q1LMA9|A0A3Q1LMA9_BOVIN Zinc finger MYM-type containing 1 OS=Bos taurus OX=9913 GN=ZMYM1 PE=4 SV=1
+MKESPTNGDCDKAAVTQVKRPEEIKVEPDNTQEYCQAQQPKTQENDLKINSAFSDSAPQI
+TTGIQLSLASSGMNKMLPSISTTAVQVSCSGCKKVFQKGQTAYQRKGSTELFCSTPCITE
+YISSGSSPALPKRTCSNCSKDILNLKDVISIQLEDTTTSKTFCSQSCLSSYEEKRKPVVT
+ICANSVSAKCSMCQTTTAIQYEVKYQSVKHSLCCNACFSKFHSANNLIMNCCENCGAYCS
+TSSSMFHILQMEGQSHYFNSSKSITTHKQKPAKSLPPVLCKSLKPTDEMIETTSDLGKTE
+LFCSINCFSAYSKAKMESSTVVRDASTNLPSPKKDATPVISNIVSLADTHDALPIVNSDV
+LQGTVSSVTANVIEDISPSESSNSVQQPSLSPPSSVVSQHTVALNTEEQKGHMLNQNATN
+NMKSMKKSDRLRHPKFTSKIQKVKVVLPEKI
+>tr|A0A3Q1M871|A0A3Q1M871_BOVIN Phosphodiesterase OS=Bos taurus OX=9913 GN=PDE1C PE=3 SV=1
+QKSGNGLGKWILDNNLKYPDRMTLLLRSLVKQLERGEASVVDLKKNLEYAASVLESVYID
+ETRRLLDTEDELSDIQSDAVPSEVRDWLASTFTRQMGMMLRRSEEKPRFKSIVHAVQAGI
+FVERMYRRTSNMVGLSYPPAVIEALKVIPIKNSFIPINLATLFQIPISALVSFVEALEVG
+YSKHKNPYHNLMHAADVTQTVHYLLYKTGVANWLTELEIFAIIFSAAIHDYEHTGTTNNF
+HIQTRSDPAILYNDRSVLENHHLSAAYRLLQEDEEMNILINLSKDDWREFRTLVIEMVMA
+TDMSCHFQQIKAMKTALQQPEAIEKPKALSLMLHTADISHPAKAWELHHRWTMSLLEEFF
+RQGDREAELGLPFSPLCDRKSTMVAQSQVGFIDFIVEPTFTVLTDMTEKIVSPLIDEPSQ
+TGGTGQRRSSLNNISSTDAKRSGVKSSGSEGSAPINNPVIPVDYKSFKATWTEVVHINRE
+RWRAKVPKEEKAKKEAEEKARLAAEEKQKEMEAKSQAEESASGKAEKKTSGEAKNQVNGT
+RTNKSNNPHGKNSKTEKSSGEKQQNGDLKDGKNKTGKKDQSDVGNDSKKTDESQELVVKN
+LPANTD
+>tr|A0A3Q1MIY2|A0A3Q1MIY2_BOVIN Oxidative stress induced growth inhibitor 1 OS=Bos taurus OX=9913 GN=OSGIN1 PE=4 SV=1
+MKVIFLAPPSARLFPAPEIPGLPGLSHPLGSPRGNVRPAPSAGSLTPGSPRGLTALPSVL
+DPGRSGRLPAPHPPPATGMSNQRKDHLGASSSEPLRVIIIGNGPSGICLSYLLSGYTPYV
+RPDAIHPHPLLQRKLSEAPGVSIMDQDLDYLSEGLEGRCQSPVALLFDALLRPDTDFGGS
+VESVLTWRHQKERAIPHLVLGRNPPGGAWHSIEGSMVTLSQGEWMGLPDLQVKDWMCKKR
+RGLRNSRATAGDIAHYYRDYVIRKGLSHNFVSSATVTAVEWGTPEPSSPGARDPSPLFQM
+TGSCPWRSSSSSLQMASSTRGNWRISFTPSTLTTPNYFVDHMGDYEDVLASLETLNHSVL
+KAMGYTKKVYEGGSNVDQFVTRFLLKETANQIQSLLSSVESAVEAIEEQTSQIRQNHSRP
+SHGAVETWSGSPTPPYAPNHKLMAAEQGKSFPPGTSDPKEDGLDAQISRLAGLIGRLENK
+TLWFDLQQHLSDEEGTNMHLQLVRQEMAVCPEQLGDFLDSLRQYVRGTAGTRNCFHIAAV
+RLADGVTFVVYEFWETEEEWKRHLQSPVCKAFRHVKVDTLSQPEAFSRISVPGTGEEVWS
+SHGGVGTPQAWQKQRHQDPDVHSLLGSLGVLPPSRV
+>tr|A0A3Q1MBF5|A0A3Q1MBF5_BOVIN 28S ribosomal protein S2, mitochondrial OS=Bos taurus OX=9913 GN=MRPS2 PE=3 SV=1
+MATGAVLPRLLGAGEGSGVAGAAGTPPGLGGRGGERALSPLSAQVSGRRPEAGPRREAGR
+WGALRPPPPASPSATAVSGPSARGVGRLGLRAAPGGAGPDPGGRAPRAARAAEVSESPPR
+RRAGQGRPAPNCCLEGVSAGGRGTTGPADTDVSRLPLLRVGTWPGSPGSEAMVRSGLPAP
+SLRTRPRGPPASAAALRRPQALGCRDPGPACSPPPRPDRSARILSEPLKHSDFFNVKELF
+SVRSLFNARVHLGHKAGCRHRFMEPYIFGSRLGQDIIDLEQTATHLQLALNFTAHVAFRG
+GIILFVSRARQFSHLIESTARSCGEYAHTRYFKGGLLTNAPLLLGARVRLPDLIIFLHTL
+NNVFEPHVAVRDAAKMSIPTVGVVDTNCNPCLITYPVPGNDDSPPAVQLFCQLFQTAVTR
+AKEKRRQLEALYRLQGAPGPHPANPAAPGAPSPGAQAQLGMGHSP
+>tr|A0A3Q1LYG0|A0A3Q1LYG0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=UTS2 PE=3 SV=1
+MYKLVSCCLLFIGSLNPLLSLPVLDSRQESLQLLAPEDVRSTLDELERASLLQMLPEMSG
+AETGEGLRNTDPITNIFYPRGNMRKAFSGQDPKLFLSDLLSRIRKQSKKRGPSSECFWKY
+CV
+>tr|F1MSV7|F1MSV7_BOVIN Forkhead box P3 OS=Bos taurus OX=9913 GN=FOXP3 PE=4 SV=1
+MPNPRPAKPLAPSLVLSPSPGASPSWRAAPKASDQLGTKSPGTTFQGRDLRSGAHTSSSS
+LNPMPPSQLQMPTVPLVMVAPSGARLGPSPHLQALLQDRPHFVHQLSTVDAHARTPVLQV
+RPLDSPAMISLPPPTAATGLFSLKARPGLPPGINVASLEWVSREPALLCTFPSPGMPRKD
+STLSTVPQGSYSLLANGVCKWPGCEKVFKEPEDFLKHCQADHLLDEKGRAQCLLQREVVQ
+SLEQQLVLEKEKLGAMQAHLAGKMAQTKAPSAASSDKGSCCIVATGTPGTTVPAWPGPQE
+APDGLFAVRRHLWGSHGNSTFPEFFHNMDYFKFHNMRPPFTYATLIRWAILEAPEKQRTL
+NEIYHWFTRMFAFFRNHPATWKNAIRHNLSLHKCFVRVESEKGAVWTVDEFEFRKKRSQR
+PSRCSNPTPGP
+>tr|F1MLS2|F1MLS2_BOVIN Trio Rho guanine nucleotide exchange factor OS=Bos taurus OX=9913 GN=TRIO PE=4 SV=3
+MCLFAGGRDKRGGPILTFPARSNHDRIRQEDLRRLISYLACIPSEEVCKRGFTVIVDMRG
+SKWDSIKPLLKILQESFPCCIHVALIIKPDNFWQKQRTNFGSSKFEFETNMVSLEGLTKV
+VDPSQLTPEFDGCLEYNHEEWIEIRLAFEDYISNATHMLSRLEELQDILAKKELPQDLEG
+ARNMIEEHSQLKKKVIKAPIEDLDLEGQKLLQRIQSSESFPKKNSGSGNADLQSLLPKVS
+AMLDRLHSTRQHLHQMWHVRKLKLDQCFQLRLFEQDAEKMFDWITHNKGLFLNSYTEIGT
+SHPHAMELQTQHNHFAMNCMNVYVNINRIMSVANRLVESGHYASQQIKQIANQLEQEWKA
+FAAALDERSTLLDMSSVFHQKAEKYMSNVDSWCKACGEVDLPSELQDLEDAIHHHQGVYE
+HVTLAYSEVSQDGKSLLDKLQRPLTPGSSDSLTASANYSKAVHHVLDVIHEVLHHQRQLE
+NIWQHRKVRLHQRLQLCVFQQDVQQVLDWIENHGEAFLSKHTGVGKSLHRARALQKRHED
+FEEVAQNTYTNADKLLEAAEQLAQTGECDPEEIYQAAHQLEDRIQDFVRRVEQRKILLDM
+SVSFHTHVKELWTWLEELQKELLDDVYAESVEAVQDLSKRFGQQQQTTLQVTVNVIKEGE
+DLIQQLRDSAISSNKTPHNSSISHIETVLQQLDEAQAQMEELFQERKIKLELFLQLRIFE
+RDAIDIISDLESWNDELSQQMNDFDTEDLTIAEQRLQHHADKALTMNNLTFDVIHQGQDL
+LQYVNEVQASGVELLCDRDVDMATRVQDLLEFLHEKQQELDLAAEQHRKHLEQCVQLRHL
+QAEVKQVLGWIRNGESMLNAGLIAASSLQEAEQLQREHEQFQHAIEKTHQSALQVQQKAE
+AMLQANHYDMDMIRDCAEKVASHWQQLMLKMEDRLKLVNASVAFYKTSEQVCSVLESLEQ
+EYKREEDWCGGADKLGPNSETDHVTPMISKHLEQKEAFLKACTLARRNADVFLKYLHRNS
+VNMPGMVTHIKAPEQQVKNILNELFQRENRVLHYWTMRKRRLDQCQQFVVFERSAKQALE
+WIHDSGEFYLSTHTSTGSSIQHTQELLKEHEDFQITAKQTKERVKLLIQLADGFCEKGHA
+HAAEIKKCVTAVDKRYRDFSLRMEKYRTSLEKALGISSDSNKSSKSLQLDIVPASIPGSE
+VKLRDAAHELNEEKRKSARRKEFIMAELIQTEKAYVRDLRECMDTYLWEMTSGVEEIPPG
+IVNKELIIFGNMQEIYEFHNNIFLKELEKYEQLPEDVGHCFVTWADKFQMYVTYCKNKPD
+STQLILEHAGSYFDEIQQRHGLANSISSYLIKPVQRITKYQLLLKELLTCCEEGKGEIKD
+GLEVMLSVPKRANDAMHLSMLEGFDENIESQGELILQESFQVWDPKTLIRKGRERHLFLF
+EMSLVFSKEVKDSSGRSKYLYKSKLFTSELGVTEHVEGDPCKFALWVGRTPTSDNKIVLK
+ASSIENKQDWIKHIREVIQERTIHLKGALKEPIHIPKTAPATRQKGRRDGEDLDSQGDGS
+SQPDTISIASRTSQNTLDSDKLSGGCELTVVIHDFTACNSTELTIRRGQTVEVLERPHDK
+PDWCLVRTTDRSPAAEGLVPCGSLCIAHSRSSMEMEGIFNHKDSLSVSSNDASPPASVAS
+LQPHMVGAQSSPGPKRPGNTLRKWLTSPVRRLSSGKADGHVKKLAHKHKKSREVRKSADA
+GSQKDSDDSAATPQDETVEERGRNEGLSSGTLSKSSSSGMQSCGEEEGEEGADAVPLPPP
+MAIQQHSLLQPDSQDDKASSRLLVRPTSSETPSAAELVSAIEELVKSKMALEDRPSSLLV
+DQGDSSSPSFNPSDNSLLSSSSPIDEMEERKSSSLKRRHYVLQELVETERDYVRDLGCVV
+EGYMALMKEDGVPDDMKGKDKIVFGNIHQIYDWHRDFFLGELEKCLEDPEKLGSLFVKHE
+RRLHMYIVYCQNKPKSEHIVSEYIDTFFEDLKQRLGHRLQLTDLLIKPVQRITKYQLLLK
+DFLKYSKKASLDTSELERAVEVMCVVPKRCNDMMNVGRLQGFDGKIVAQGKLLLQDTFLV
+TDQDTGLLPRCKERRVFLFEQIVIFSEPLDKKKGFSMPGFLFKNSIKVSCLCLEENVEND
+PCKFALTSRTGDVVETFILHSSSPSVRQTWIHEINQILENQRNFLNALTSPIEYQRNHSG
+GGGSGGGGGSSGAPSGGGGGSNHSGGGGGPGGGGSGSSSRSRPSRIPQPVRHHSPVLVSS
+AASSQAEADKMSGTCTPGPPPPPPSRSPAPEASAGPPGRAPPSSEPDGPERDTEPIPKMK
+VLESPRKSSGGVLPQDGAAKEAPRSGPGPLPLGKARPGAPSPLHSPLAAAAASPSPFGKE
+PLPPSSPLQKGGSFWSSVPASPASRPGSFTFPGDSDSLQRQARRHAAPGKDADRMSTCSS
+ASEQSVQSTQSNGSESSSGSNISTMLVTHDYTAVKEDEINVYQGEVVQILASNQQNMFLV
+FRAATDQCPAAEGWIPGFVLGHTSAVIMENPDGTLKKSTSWHTALRLRKKSEKKDKDGKR
+EGKLENGYRKSREGLSNKVSVKLLNPNYIYDVPPEFVIPLSEVTCEAGETVVLRCRVCGR
+PKASITWKGPEHNTLNNDGHYSISYSDLGEAALKIVGVAAEDDGVYTCIAVNDMGSASSS
+ASLRVLGPGSDGIVVTWKDNFDCFYSEVAELGRGRFSVVKKCDQKGTKRAVATKFVNKKL
+MKRDQVTHELGILQNLQHPLLVGLLDTFETPTSYVLVLEMADQGRLLDCVVRWGNLTEGK
+IRAYLGEVLEAVRYLHNCRIVHLDLKPENILVDQSSAKPTIKLADFGDAVQLNTTYHIHP
+LLGNPEFAAPEIILGNPVSLTSDTWSVGVLAYVLLSGVSPFLDDSVEETCLNICRLDFSF
+PEDYFQGVSQRAKDFVCFLLHEDPAKRPSAASALQERWLQAGHGHGKGAGVLDTSRLTSF
+IERRKHQNDVRPIRSMKNFLQSRLLPRV
+>tr|A0A3Q1NFA5|A0A3Q1NFA5_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MEKAKEFQKNIYFCFIDYAKAFNCMDHNKLWKILKQMGIPDHLTCLLRNLYADQEETVRT
+GHRTTDWFQIGKGVRQGCILSPCLFNLYAEYIMRNAGLEETEAGIKIAGRNINNLRYADD
+TTLMAESEEELKSLLMKVKVESQKVGLKLNIQKTNIMASGPITSWEIDGETVETVSGFIL
+GGSKITADGDCSHEIKRRLLLGRKVMTNLDSRFKSRDMTLPTKVSLVKAMVFPVVIYGCE
+SWTVKKAEHRRIDAFELWCRRRLLRVLWTARRSNQSILKEISPGISLEGMMLKLKLQYFG
+HLMRRVDSLEKTLMLGGIGGRRRRGQQRMRWLDGITDSMDVSLSELQELVMDREDWRAAI
+HGVTKIWTQLSD
+>tr|G3N2B2|G3N2B2_BOVIN Iroquois homeobox 2 OS=Bos taurus OX=9913 GN=IRX2 PE=4 SV=2
+MSYPQGYLYQAPGSLALYSCPAYGASALAAPRSEELARSASGSAFSPYPGSAAFTAQAAT
+GFGSPLQYSADAAAAAAGFPSYMGAPYDAHTTGMTGAISYHPYGSAAYPYQLNDPAYRKN
+ATRDATATLKAWLNEHRKNPYPTKGEKIMLAIITKMTLTQVSTWFANARRRLKKENKMTW
+APRNKSEDEDEDEGDAARGKEESADKAHEGTETSAEDEGISLHVDSLTDHSCSAESDGEK
+LPCRAGDPLCESGSECKEKYDDLEDDDDDDDEDGERDLAPSKPVTSSPLTGVEAPPLLSP
+PPEAAPRGGGGGKTPLGSRTSPGAPPAASKPKLWSLAEIATSDLKQPSLGPGCAPPGLPA
+AAAPASSGAPPGGSPYPASPLLGRHLYYTSPFYGSYTNYGNLNAALQGQGLLRYNSAAAA
+PGEALHAAPKAASDAGKAGAHPLPEPHYRPPGGGYEPKKDASEGCTVVGGGVQPYL
+>tr|A0A3Q1N7V3|A0A3Q1N7V3_BOVIN Serine/threonine kinase 39 OS=Bos taurus OX=9913 GN=STK39 PE=4 SV=1
+MAEPSGSPVHVQQPQQAAPVTAAAPATATAAPAPVAPVATAPAAQSIGWPICRDAYELQE
+VIGSGATAVVQAALCKPRQERVAIKRINLEKCQTSMDELLKEIQAMSQCSHPNVVTYYTS
+FVVKDELWLVMKLLSGGSMLDIIKYIVNRGEHKNGVLEEAIIATILKEVLEGLDYLHRNG
+QIHRDLKAGNILLGEDGSVQIADFGVSAFLATGGDVTRNKVRKTFVGTPCWMAPEVMEQV
+RGYDFKADMWSFGITAIELATGAAPYHKYPPMKVLMLTLQNDPPTLETGVEDKEMMKKYG
+KSFRKLLSLCLQKDPSKRPTAAELLKCKFFQKAKNREYLIEKLLTRTPDIAQRAKKVRRV
+PGSSGHLHKTEDGDWEWSDDEMDEKSEEGKAAFSQEKSRRVKEENPEMVVNASNIPEQIQ
+SLSVQDSQGLPNASEDYREASCAVNLVLRLRNSRKELNDIRFEFTPGRDTADGVSQELFS
+AGLVDGHDVVIVAANLQKIVDDPKALKTLTFKLASGCDGSEIPDEVKLIGFAQLSVS
+>tr|F6RS49|F6RS49_BOVIN Signal transducing adaptor molecule OS=Bos taurus OX=9913 GN=STAM PE=4 SV=1
+SSVVSTNPFSTSVEKATSEMNTAEDWGLILDICDKVGQSRTGPKDCLRSIMRRVNHKDPH
+VAMQALTLLGACVSNCGKIFHLEVCSRDFASEVSNVLNKGHPKVCEKLKALMVEWTDEFK
+NDPQLSLISAMIKNLKEQGVTFPAIGSQAAEQAKASPALVAKDPGTAANKKEEEDLAKAI
+ELSLKEQRQQSTTLSTLYPSTSNLLTNHQHEGRKVRAIYDFEAAEDNELTFKAGEIITVL
+DDSDPNWWKGETHQGMGLFPSNFVTADLTVEPEMIKTEKKTVQFSDEVQVETIEPEPEPA
+YIDEDKMDQLLQMLQSTDPSDDQPDLPELLHLEAMCHQMGPLIDEKLEDIDRKHSELSEL
+NVKVMEALSLYTKLMNEDPMYSMYAKLQNQQYYIQSSGVSGSQVYPPQSGAYLVAGSAQM
+SHLQSYSLPPEQLSSLSQGAVPPSANPALPTQQAQASYPSTMVSSVPGSTYPSQASVYSP
+PPAATAAPDVTIYQNTGTSLSQVPNYTLPSTLPQPGGSQQPPQPQQPYSQKALL
+>tr|E1BD08|E1BD08_BOVIN ENTH domain containing 1 OS=Bos taurus OX=9913 GN=ENTHD1 PE=4 SV=1
+MAFRRQVKNFVKNYSDAEIKVREATSNDPWGPSSSLMLDISDLTFNTISLSEIMNMLWQR
+LNDHGKNWRHVYKSLTLMDYLIKNGSKKVIQHCREGYCNLQTLKDFQHIDEAGKDQGYYI
+REKSKQVITLLMDEQLLHREREVACRTRRRTSYSMTFPKRLPGAGNSPTACASAPTPEIP
+VSEKKNKLLKIARLHNKKNTSKAGQKQEQCQDMEVPSGTVLSQETPIKINAWKSTEDLML
+FCEDDPKPVLPIIPTSIVSSMARLSEGQTDVYNLWDTDAVPVPSEKTPSLQTNVSLVKNL
+DSTITKTVTGNPLQMPLEKQPAAKSCDTLTTLQAHWPSSQEEFIGPHLRTSKSDSTFRNQ
+ASVETLYVSPSFTTFDPMKETVISKGHQKATRSGLVPMEDENLKTLATWVSAASEGTFSF
+STLSVSSPDSASPVKSVHPLPPVLAGPSFWTLSRQQSSSASVKNIDKTARIHHPFAPRSP
+LSSDEKENEHLNLLEILPDNSGSAEEKTSSISSSNWVAFSTQNVDHFTSMSCSSSQATKD
+LSREPEADSSTNVLLGEVKNAIVTLHEDLSMVIRELNVISSRLVSMSGSSPQISKSLQFP
+QYSEAGSDQV
+>tr|A0A3Q1MIQ9|A0A3Q1MIQ9_BOVIN A-Raf proto-oncogene, serine/threonine kinase OS=Bos taurus OX=9913 GN=ARAF PE=4 SV=1
+MEPPRGPPANGAEPSRSVGTVKVYLPNKQRTVVTVRDGMSVYDSLDKALKVRGLNQDCCV
+VYRLIKGRKTVTAWDTAIAPLDGEELIVEVLEDVPLTMHNFVRKTFFSLAFCDFCLKFLF
+HGFRCQTCGYKFHQHCSSKVPTVCVDMSTNRRQFYHSVQDLSGSSRQHEVPSNRPLNEPL
+TPQSPSLSPASSCTQHRDPEHFSFPAQANTPLQRIRSTSTPNVHMVSTTAPMDSGLIQVG
+VEAGAAGNRGGGDGAPRGSPSPASVSSGRKSPHSKSPSEQRERKSLADDKKKVKNLGYRD
+SGYYWEVPPSEVQLLKRIGTGSFGTVFRGRWHGDVAVKVLKVAQPTAEQAQAFKNEMQVL
+RKTRHVNILLFMGFMTRPGFAIITQWCEGSSLYHHLHVADTRFDMVQLIDVARQTAQGMD
+YLHAKNIIHRDLKSNSIYLSLWGWGLTVKIGDFGLATVKTRWSGAQPLEQPSGSVLWMAA
+EVIRMQDPNPYSFQSDVYAYGVVLYELMTGSLPYSHIGSRDQIIFMVGRGYLSPDLSKIS
+SNCPKAMRRLLSDCLKFQREERPLFPQILATIELLQRSLPKIERSASEPSLHRTQADELP
+ACLLSAARLVP
+>tr|F1MUP6|F1MUP6_BOVIN Rho family GTPase 2 OS=Bos taurus OX=9913 GN=RND2 PE=4 SV=3
+METESHDVTIVLVGVLESFPTYPQDSLPGLESQRETLNQKDSFSPLPSRAPFSSESGWVP
+PRVSSVTHRRSRVGTQKAQASCVCSSHPASQNFPRTPPQSQDGGSEVSQTTPFSGPQTRR
+GPITPRARTLFLGPGPRPSPLGPASQQRGWEAGPGARPPGWGRGGGGGRSPGRGLGAGPR
+DAGVGGPSGCSCSGGGGGSGAREGGCAGGRRVAGTMEGQSGRCKIVVVGDAECGKTALLQ
+VFAKDAYPGSYVPTVFENYTASFEIDKRRIELNMWDTSGSSYYDNVRPLAYPDSDAVLIC
+FDISRPETLDSVLKKWQGETQEFCPNAKVVLVGCKLDMRTDLATLRELSKQRLIPVTHEQ
+GTVLAKQVGAVSYVECSSRSSERSVRDVFHVATVASLGRGHRQLRRTDSRRGLQRSAQLA
+GRPDLGNGNGNGNEGEIHKDRAKSCNLM
+>tr|A0A3Q1MBT3|A0A3Q1MBT3_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MSVSPPSRILGPGYADSAVLTQPSSVSGSLGQRVSITCSGSSSNVGNGYVSWYQLIPGSA
+PRTLIYGDTSRASGVPDRFSGSRSGNTATLTISSLQAEDEADYYYITMRIVGAFTISHT
+>tr|A0A3Q1MHI8|A0A3Q1MHI8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MKRPFLKIRKGSLVISVGQGIGHTSHHLLKASLQLRGEFTAKAASQHNHQHVAQELFLRI
+HVQLITVQLTQRSKGTLEVIKLLQALTEGVQHLLAMGLHLRVSQNGIGRGQVPKGLKEPL
+SPGVDNQQPRGRKQHKVRGSQQLSESQGRLCPHTPRCISLSLNLSCRRRQCISELSIRSQ
+SSLRSPYFL
+>tr|A0A3Q1MRR4|A0A3Q1MRR4_BOVIN Membrane associated guanylate kinase, WW and PDZ domain containing 1 OS=Bos taurus OX=9913 GN=MAGI1 PE=4 SV=1
+SHGQRSLVGYSPWGHKESDTTERLHFHFHLAVYRRGKLNKDLRHFLNQRFQKGSPDHELQ
+QTIRDNLYRHAVPCTTRSPREGEVPGVDYNFLTVKEFLDLEQSGTLLEVGTYEGNYYGTP
+KPPSQPVSGKVITTDALQNLHSGSKQSTPKRTKSYNDMQNAGIVHAENEEEDDVPEMNSS
+FTADSGDQEEHSLQEAAHPPVNSSIIAAPITDPSQKFPQYLPLSAEDNLGPLPENWEMAY
+TENGEVYFIDHNTKTTSWLDPRCLNKQQKPLEECEDDELPAGWEKIEDPVYGIYYVDHIN
+RKTQYENPVLEAKRKKQLEQQQQQQQQQQQQPEEWTEDHSSLVPPAIPNHPPSNPEPARE
+APLQGKPFFTRNPSELKGKFIHTKLRKSSRGFGFTVVGGDEPDEFLQIKSLVLDGPAALD
+GKMETGDVIVSVNDTCVLGHTHAQVVKIFQSIPIGASVDLELCRGYPLPFDPDDPNTSLV
+TSVAILDKEPIIVNGQETYDSPASHSSKTGKVSSMKDARPSSPADVASNGSHGYPTDTVS
+LASSIATQPELITVHIVKGPMGFGFTIADSPGGGGQRVKQIVDSPRCRGLKEGDLIVEVN
+KKNVQALTHNQVVDMLIECPKGSEVTLLVQRGGLPVPKKSPKSQPLERKDSQNSSQHSVA
+SHRSLHTASPSHSTQVLPELPPAEAPAPDQTDNSGQKKPDPFKIWAQSRSMYENRPMSPS
+PASGLSKGEREREINSTNFGECQIPDYQEQDIFLWRKETGFGFRILGGNEPGEPIYIGHI
+VPLGAADTDGRLRSGDELICVDGTPVIGKSHQLVVQLMQQAAKQGHVNLTVRRKVVFAAP
+KTENEVPSPASSHHSSNQPASLTEEKRTPQGSQNSLNTVSSGSGSTSGIGSGGGGGSGVV
+STVVQPYDVEIRRGENEGFGFVIVSSVSRPEAGTTFGNACVAMPHKIGRIIEGSPADRCG
+KLKVGDRILAVNGCSITNKSHSDIVNLIKEAGNTVTLRIIPGDESSNATLLTNAEKIATI
+TTTHTPSQQGAQETRNTTKPKPESQFEFKAPQATQEQDFYTVELERGAKGFGFSLRGGRE
+YNMDLYVLRLAEDGPAERCGKMKVGDEILEINGETTKNMKHSRAIELIKNGGRRVRLFLK
+RGDGSVPEYDPGSDGNGPPTGPQGVPEMRAGPPDRRQHPSLESSYPPDLHKSSPHGEKRA
+HVRDPKGSREYSRQPNEHHTWNGTSRKPDSGACRPKDRAPEGRRDAQPERMVTNGPKRRS
+PEKRREGTRSADNTLERREKQEKRKEVSPERRRERSPTRRRDGSPGRRRRSLERLLDQRR
+SPERKRGSSPERRAKSTDRRRTKSPERRRERSLEKRNKEDRGSHRERDETSLRQDTGRSS
+RHPPEQRRRPYKECSTDLSI
+>tr|A0A3Q1N8C9|A0A3Q1N8C9_BOVIN AP-2 complex subunit alpha OS=Bos taurus OX=9913 GN=AP2A1 PE=1 SV=1
+MPAVSKGDGMRGLAVFISDIRNCKSKEAEIKRINKELANIRSKFKGDKALDGYSKKKYVC
+KLLFIFLLGHDIDFGHMEAVNLLSSNKYTEKQIGYLFISVLVNSNSELIRLINNAIKNDL
+ASRNPTFMCLALHCIANVGSREMGEAFAADIPRILVAGDSMDSVKQSAALCLLRLYKASP
+DLVPMGEWTARVVHLLNDQHMGVVTAAVSLITCLCKKNPDDFKTCVSLAVSRLSRIVSSA
+STDLQDYTYYFVPAPWLSVKLLRLLQCYPPPEDAAVKGRLVECLETVLNKAQEPPKSKKV
+QHSNAKNAILFETISLIIHYDSEPNLLVRACNQLGQFLQHRETNLRYLALESMCTLASSE
+FSHEAVKTHIDTVINALKTERDVSVRQRAADLLYAMCDRSNAKQIVSEMLRYLETADYAI
+REEIVLKVAILAEKYAVDYSWYVDTILNLIRIAGDYVSEEVWYRVLQIVTNRDDVQGYAA
+KTVFEALQAPACHENMVKVGGYILGEFGNLIAGDPRSSPPVQFSLLHSKFHLCSVATRAL
+LLSTYIKFINLFPETKATIQGVLRAGSQLRNADVELQQRAVEYLTLSSVASTDVLATVLE
+EMPPFPERESSILAKLKRKKGPGAGSALDDSRRDPSSHDINGGVEPTPSTVSTPSPSADL
+LGLRAAPPPAAPPPGLGPSPEEAFLRFVCRNNGVLFENQLLQIGVKSEFRQNLGRMYLFY
+GNKTSVQFQNFSPTVVHPGDLQTHILSTKRVASQVDGGAQVQQVLNIECLRDFLTPPLLS
+VRFRYGGAPQSLTLKLPVTINKFFQPTEMAAQDFFQRWKQLSLPQQEAQKIFKANHPMDA
+EVTKAKLLGFGSALLDNVDPNPENFVGAGIIQTKALQVGCLLRLEPNAQAQMYRLTLRTS
+KEPVSRHLCELLAQQF
+>tr|A0A3Q1MBZ3|A0A3Q1MBZ3_BOVIN Ribosomal_L30 domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+LSLPDKHSLAFVVHIERINGVSSLVQRTIARLCLNKIFGDVFMKVTPQTIKTLCIVEPYV
+TWGFPNLKSVQELILKRGQAKVKNKVIPLTDNIVIEKHLGKFDVICLEDLIHEIAFPGKN
+FQVIAGFLHPFQLLVADHTTKNRVGFIKEVGSPS
+>tr|A0A3Q1LR15|A0A3Q1LR15_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=UCMA PE=4 SV=1
+MAWRQLFLICLSAVALLSMLWEGAAVSVGPRQVAGQEAQEDVEEIFMQESDALNFLKKHS
+KRSPRFQDEVNVENRQKLWADELRREYHEEQRNEFENFVEEQNDEQGERSREAVEQWRQW
+HYDGLYPSYLYNRHHI
+>tr|A0A3Q1MK21|A0A3Q1MK21_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC112445426 PE=4 SV=1
+MSSSDDEVLVWGPGFGSECGEQTSGLEAGSTAPPGPGPDPGPEPGAPQSGEGEGGDGFPD
+PEGFESEREVLEAGGPVLLGCEGRPGSPADDTGYAVQLSDESVAAILRQLADLDLLGIRR
+HMSPESYGVGDVSPLRDIEACPGSRGAAAQRCGEAARAEAGPHWVGRPRAGRAWGNPKRS
+TNVAVDRQWPPSVSPARLFSDSESSDECSEIQPMRVSIYPKDGGQAKLNSPKDPRDTPRH
+SNVQGRETLPNVPGTCLSSAPRGLISVVERQGKQGDAEQEDISPPKKIQSVLWGKGGSLP
+SFPGVAAAAATAAAATGRLPRPSPRRKRVQEKKSLGGVSKPALGRTFPSWGRGISATPLD
+PATFPPISGIPLLGRSQKYALVPWGAKESKHTGAGKKSVARRARELVAATAVSGEDNDPN
+RDPVPKGQLATDRPWPSCPRVHHGEPSTANLSIRGGQDSGNSKPVAMNKGEVMPRGPGPS
+GDQKPADHHPRLKRQQQPPGRQGCPRHLFMPLPVAASMQYLAEKFRIL
+>tr|F1N0F7|F1N0F7_BOVIN Eukaryotic translation initiation factor 5 OS=Bos taurus OX=9913 GN=EIF5 PE=4 SV=1
+MSVNVNRSVSDQFYRYKMPRLIAKVEGKGNGIKTVIVNMVDVAKALNRPPTYPTKYFGCE
+LGAQTQFDVKNDRYIVNGSHEANKLQDMLDGFIKKFVLCPECENPETDLHVNPKKQTIGN
+SCKACGYRGMLDTHHKLCTFILKNPPENSDSSTGKKEKEKKNRKGKDKENGSVSSSETPP
+PPPNEISPPPHAVEEEEDDDWGEDTTEEAQRRRMDEISDHAKVLTLSDDLERTVEERVNI
+LFDFVKKKKEEGIIDSSDKEIVAEAERLDVKAMGPLVLTEVLFNEKIREQIKKYRRHFLR
+FCHNNKKAQRYLLHGLECVVAMHQAQLISKIPHILKEMYDADLLEEEVIISWSEKTSKKY
+VSKELAKEIRVKAEPFIKWLKEAEEESSGGEDDDEDENIEVVYSKTASVPKVEAVKSDNK
+DDDIDIDAI
+>tr|A0A3Q1LW18|A0A3Q1LW18_BOVIN Lysine-rich nucleolar protein 1 OS=Bos taurus OX=9913 GN=KNOP1 PE=4 SV=1
+MDDSLETVPSKRWAVRPTGPGAAMAAVSTATARPKRPRPGRNRLLRGRASESRLTSGSRR
+RGLSRGGGEHWPLGMITKAHKGEVGLGLPEKKKKKKKVVKEPKTQYSVLNSDNYFAEVCP
+RATPPLKGVIQEQAPRMPLVKKKKKKKGHSTICEEHLEPEITLRAGRTERSHSPRTQALG
+LSKSLSAEKRKSMSPGSRVKTSPDPRQDEEVTRVGKKLKKHKKEKKAKEATAFSGRDPWF
+CEAGNTVYTHSVGKDGVREQAALGQKQKQGSPREHSVKMKKKKKIHWEGDPPLGHPECSW
+SLESSPTKGSKKKPVRVEAPEYIPIGDGSRASVKKKVKSKKRVEQADTEEPALKRKKKKK
+KRQQSEVAEEPWEEGLQGAEVTERAWPMQARQGHRVRAETCEGPAELGEQQEPDTDLEVV
+LEKKGNMDEAHIDQGTQFGQWDTAGFENEEQKLKFLKLMGGFKNLPPSFSRPSPTVARPS
+MALSKKAADTLQRNLQQDYDRALSWKYSRGAGLGFSTAPAKVFYIDRNASKSIKFED
+>tr|A0A3Q1MLH9|A0A3Q1MLH9_BOVIN Amyloid beta precursor protein binding family A member 1 OS=Bos taurus OX=9913 GN=APBA1 PE=4 SV=1
+MNHLEGAAEVEVTDEAAGGEVNESVEADLEHPEVEEEQQQQQHYAGRPRRRRAVEDPRAQ
+PGQQQQQQEEDEHGECLARSASTESGFHNHTDTAEGDVIAAARDGYDAERTQDPDDESAY
+AVQYRPEAEEYTEQAEAEHAEATHRRALPNHLHFHSLEHEEAMNAAYSGYVYTHRLFHRG
+EDEPYAEPYADYGGLQEHVYEEIGDAPELDARDGLRLYEQERDEAAAYRQEALGARLHHY
+DERSDGESDSPEKEAEFAPYPRMDSYEQEEDIDQIVAEVKQSMSSQSLDKAAEDMPEAEQ
+DLERAPTPGGGRPDSPGLQAPAGPQRAAGGEAVQRYSKEKRDAISLAIKDIKEAIEEVKT
+RTIRSPYTPDEPKEPIWVMRQDISPTRDCDDQRPVDGDSPSPGSSSPLGAESLSTPLHPG
+DPAEASTNKESRKSLASFPTYVEVPGPCDPEDLIDGIIFAANYLGSTQLLSDKTPSKNVR
+MMQAQEAVSRIKMAQKLAKSRKKAPEGESQPMTEVDLFISTQRIKVLNADTQETMMDHPL
+RTISYIADIGNIVVLMARRRMPRSNSQENVEASHPSQDGKRQYKMICHVFESEDAQLIAQ
+SIGQAFSVAYQEFLRANGINPEDLSQKEYSDLLNTQDMYNDDLIHFSKSENCKDVFIEKQ
+KGEILGVVIVESGWGSILPTVIIANMMHGGPAEKSGKLNIGDQIMSINGTSLVGLPLSTC
+QSIIKGLKNQARVKLNIVRCPPVTTVLIRRPDLRYQLGFSVQNGIICSLMRGGIAERGGV
+RVGHRIIEINGQSVVATPHEKIVHILSNAVGEVGEEPGVGVPWSPSSQPGSPPESSSRS
+>tr|G3N3N2|G3N3N2_BOVIN Ca_chan_IQ domain-containing protein OS=Bos taurus OX=9913 GN=CACNA1C PE=3 SV=2
+MEFLQNHLFPPPPQNAEENSRISITFFRLFRVMRLVKLLSRGEGIRTLLWTFIKSFQALP
+YVALLIVMLFFIYAVIGMQVFGKIALNDTTEINRNNNFQTFPQAVLLLFRCATGEAWQDI
+MLACMPGKKCAPESEPGNSTEGETPCGSSFAVFYFISFYMLCAFLIINLFVAVIMDNFDY
+LTRDWSILGPHHLDEFKRIWAEYDPEAKGRIKHLDVVTLLRRIQPPLGFGKLCPHRVACK
+RLVSMNMPLNSDGTVMFNATLFALVRTALRIKTEGNLEQANEELRAIIKKIWKRTSMKLL
+DQVVPPAGDDEVTVGKFYATFLIQEYFRKFKKRKEQGLVGKPSQRNALSLQAGLRTLHDL
+GPEIRRAISGDLTAEEELDKAMKEAVSAASEDDIFRRAGGLFGNHVGYYPSDGRGAFPQT
+FTTQRPLHISKAGNSQGDTESPSHEKLVDSTFTPSSYSSTGSNANINNANNTALGRCPRP
+ALHSGAVSTLEAHGPPLSPAIRVQEAAWRHSSQRCPSRDSPIAMLCQEEASGDETCAVHV
+DENAEHSSEPSLVSTEMLSYQDDENRQLTPPEEDRRDIRLSPKRGFLRSASLGRRASFHL
+ECLKRQKNPGGDVSQNTVLPLHLVHHQALAVAGLSPLLQRSHPPGTLPPPRLTPPATPGP
+AWPPRPVPTLRLEGAESSDKLTSSFPSIHCDPHIGEPTPCGVVGTPRRARPVSLTVPSPA
+GPQGRPFHGSASSLVEAVLISEGLGQFAQDPRFLEATTQELADACDMTIEEMESAADDIL
+SGGAGQSPNGTLLPCANCRDPGPDRAGGVEDAAWAPSAEPRQGAEEPRDSRAFASGL
+>tr|A0A3Q1MYJ6|A0A3Q1MYJ6_BOVIN Semaphorin 4D OS=Bos taurus OX=9913 GN=SEMA4D PE=3 SV=1
+MRMCGPVGGLLTALAVVFGTAVAFAPVPRITWEHREVQLVQFHEPGIFNYSALLLSENED
+TLFVGAREAVFALNAHNISKKQHEAYWKVSEDKKARCAEKGKSKQTECLNYIRVLQPLST
+NALYVCGTNAFQPACDHLNLTSFKFLGKNEDGKGRCPFDPAQSYTSVMVDGELYSGTSYN
+FLGSEPIISRNSTHSPLRTEYAIPWLNEPSFVFADVVRESPEGEDLGDDRVYFFFTEVSV
+EYEFVFKLMIPRIARVCKGDQGGLRTLQKKWTSFLKARLICSRPDSNLVFNVLRDVFVLR
+SADLKEPVFYGVFTPQLNNVGLSAVCAYNLSTAEAVFSRGKYMQSATVEQSHTKWVRYNG
+AVPTPRPGACINREARAANFSSSLNLPDKTLQFVKDHPLMDDSVTPIDSRPRLIKGDVNY
+TQIVVDRARALDGTIHDVMFVSTDRGALHKAISLENDIHIIEETQLFQDFEPIQSLLLSS
+KKGRKFVYAGSNSGVVQAPVAFCGKHGTCEDCVLARDPYCAWSPAVAACVALHQTDSPSR
+YCVWQAGAQPTSSPLPFPPPGSSSLSCLGPDSSSSPRSPWPASGPGPDRRLQVTLLPPFL
+SDQAQHVHALGTFHLFCQATGPADVHFLWEKNGRELEVCVPTQTHALPDGRALVLSWLRD
+ALRESAEYRCSALSRAGNKTSKARVTVTRLEATQQERWTRELASWRAVVGEHDRMMQSWR
+KAWESCNQDAF
+>tr|E1BPA9|E1BPA9_BOVIN Syndecan binding protein 2 OS=Bos taurus OX=9913 GN=SDCBP2 PE=4 SV=3
+MGLSLSSQEVQQSLPQTPESASAAVSGPSPGQVVAPVSGNSLGALRAEIKPGVREIHLCK
+DERGKTGLRLQAIDKGIFVQLVQANTPASLVGLRFGDQILQIDGRDCAGWSTDKAHQVVK
+KASAEKIVIIVRDRPFQRTITMHKDSTGHVGFVIKKGKIISLVKGSSAARNGLLTNHYVC
+EVNGQNVIGLKDKEVTEILATAGNVITLTIIPTVIYEHMVKKLSPTLLHHTMDHSIPDV
+>tr|A0A3Q1MHF1|A0A3Q1MHF1_BOVIN CAS1 domain containing 1 OS=Bos taurus OX=9913 GN=CASD1 PE=4 SV=1
+MSLPPPPPPAAGDPVTPEDSLGSVTPEDWATGPPLQAQPLLRQEAKGKEEEGEETGVQGA
+WGKGTAEQRRRGWGEAAEAAAAEEGQVDAGGAAGSASPAGAAGGGREGWRRLLAWLRRRQ
+PQCCPCAAPLPRSAAHCCHGGTKMAALAYNLGKREINHYFSVRSAKVLALVAVLLLAVCH
+LASRRYRGNDSCEYLLSSGRFLGEKVWQPHSCMMHKYKISEAKNCLVDKHIAFIGDSRIR
+QLFYSFVKIINPQFKEEGNKHENIPFEDKIASVKVDFLWHPEVNGSMKQCIKVWTEDSVA
+KPHVIVAGAATWSIKIHNGSNEALSQYKMNITSIAPLLEKLAKTSDVYWVLQDPVYEDLL
+SENRKMITNEKIDAYNEAAVSILNSSTRNSKSHVKVFSVSKLIAQETIMESLDGLHLPES
+SRETSAMILMNVYCNKILKPVDGSCCQPRPPLTLIQKLAACFFTLSIVGYLIFYIIHRNA
+HRKNKPCTDLESGEEKKNIINPPVSPLEIFLQSFCKLGLIMAYFYMCDRANLFMKENKFY
+THSTFFIPIIYILVLGVFYNENTKETKVLNREQTDEWKGWMQLVILIYHISGASTFLPVY
+MHIRVLVAAYLFQTGYGHFSYFWVKGDFGIHRVCQVLFRLNFLVVVLCIVMDRPYQFYYF
+VPLVTVWFMVIYVTLALWPQITQKKANGNCLWHFGLLLKLAFLLLCICFLAYSQGAFEKI
+FSLWPLSKCFELKGNVYEWWFRWRLDRYVVFHGMLFAFIYLALQKRQILSEGKGEPLFSS
+KISNFLLFISVVSFLTYSIWASSCKNKAQCNELHPSVSVVQLFICQYHIWLAADTRGILV
+LIPGNPMLNIIVSTFIFVCVAHEISQITNDLAQIIIPKDNSSLLKRLACIAAFFCGLLIL
+SSIQDKSRH
+>tr|A0A3Q1MEE5|A0A3Q1MEE5_BOVIN Disco interacting protein 2 homolog A OS=Bos taurus OX=9913 GN=DIP2A PE=4 SV=1
+MAERGCPLEAVQLPAEVRESLAELELELSEGDITQKGYEKKRAKLLARCVPLIQDVHTEA
+VQAALAKHKERKMPMPSKRRSVLTHASVEAYTPPDTSSASEDEGSLQRPGQLASAPLQSH
+PSIEPWPDPAIQGSSTSSSASSTSSHPGGQPAATPSAAAALAGPAALARVDLHSAPPDVT
+TGLVQHQHAYCERPKLASVRGVPRGCRGSVLETAGGVPVNSRVSSKIQQLLNTLKRPKRP
+PLKEFFVDDFEELLEVQQPDPNQPKPEGSQMAVLRGEPLAESSWPPSLLAALQQWGTTQP
+KAPCLTALDTAGKAVYTLTYGKLWSRSLKLAYTLLNKLTNKNEPLLKPGDRVALVFPNSD
+PVMFMVAFYGCLLAELVPVPIEVPLTRKDAGSQQVGFLLGSCGVSLALTTDACQKGLPKA
+QTGEVVTFKGWPPLTWLVIDGKHLTKPPKDWNPAVQDAGPGTAYIEYKTSKEGSTVGVAV
+SHASLLAQCQALTQACGYSEAETLTNVLDFKRDAGLWHGVLTSILKRIHVVSIPYALMKA
+NPLSWIQKVCVYKAQAALVKSRDMHWSLLAQRGQRDVSLSSLRLLIVADGANPWSISSCD
+AFLNVFQARGLRPEVICPCASSPEALTVAIRRPPDLGGPPPRRAVLSMSGLSHGVIRVDA
+EEKLSVLTVQDVGQVMPGANVCVVKVEGTPHLCKTDEVGEICVDSSATATAYYGLLGITK
+NVFETVPVTAGGAPVSDRPFTRTGLLGFIGPDDLVFVVGKLDGLMVVGFRRHNADDVVAT
+ALAVEPMKFVYRGRIAVFSVTVLHDDRIVLVAEQRPDASEEDSFQWMSRVLQAIDSIHQV
+GVYCLALVPANTLPKAPLGGIHVSETKQRFLEGMLHPCNVLMCPHTCVTNLPKPRQKQPE
+VGPASMIVGNLVAGKRIAQASGRELSHLEDSEQARKFLFLPDVLQWRAHTTPEHPLFLLL
+NAKGTVTSTATCVQLHKKAERVAAALMEKARLSAGAHVALVYPPGVDLIAAFYGCLYCGC
+VPVTVRPPHPQNLATTLPTVKMIVEVSKSACVLTTQAIMRLLRSKEAAATVDARTWPTIL
+DTDDIPKKKVASVFRPPSPDVLAYLDFSVSTTGILAGVKMSHAATSALCRSIKLQCELYP
+SRQIAICLDPYCGLGFALWCLCSVYSGHQSVLVPPPELETNVSLWLWAVSQYKARVTFCS
+YSVMEMCARGLGAQTAALRMKGVNLSCVRTCMVVAEERPRIALTQSFSKLFRDLGLPARA
+VSTTFGCRVNVAICLQGTAGPDPTTVYVDMRALRHDRVRLVERGSPHSLPLTESGKILPG
+VKVIIAHTETRGPLGDSHLGEIWVSSPHNATGYYTVYGEEGLHADHFSARLSFGDTQTVW
+ARTGFLGFLRRTELTDASGERHDALYVVGSLDETLELRGMRYHPIDIETSVIRAHRSIAE
+CAVFTWTNLLVVVVELDGLEQDALDLVALVTNVVLEEHHLVVGVVVIVDPGVIPINSRGE
+KQRMHLRDGFLADQLDPIYVAYNM
+>tr|F1MKA8|F1MKA8_BOVIN Basonuclin 1 OS=Bos taurus OX=9913 GN=BNC1 PE=4 SV=3
+MYPTSQVEIVQSNVVFDISSLMLYGTQAIPVRLKILLDRLFSVLKQDEVLQILHALDWTL
+QDYIRGYVLQDASGKVLDHWSIMTSEEEVATLQQFLRFGETKSIVELMAIQEKEEQSVVI
+PPSTANVDIRAFIESCSHRSASLPTPVDKGNPSSVHPFENLINNMTFMLPFQFFNPLPPA
+LIGSLPDPYVLEQSQDQSQDPKQEVHGAFPDSSFLTSSSTPFQVEKDPCLNCPNAVTKKE
+DSTHFSDSSSYSIVTKLERTQLSPEAKVKPERNSLGAKKGRVFCTACEKTFYDKGTLKIH
+YNAVHLKIKHKCTIEGCNMVFSSLRSRNRHSANPNPRLHMPMNRNNRDKDLRSGLTLAAS
+ESAKRPGLGVTPPDCRPLPGYAGAVEDSRSQPTFPSLSHNGVLFPNLKTVQPVLPFYRSP
+ATPAELANTPGMLPSLPLLSSSIPEQLASNEMPFDTLPKKKSRKSSMPIKIEKETMETAD
+EKRQPLSSDEDMPLQVVSEDDLETCSPRSDGAPEELHTPAGSSERPRLEEESPCYLGPGV
+ESSGAIRQTPEQAMHNSERETGQKSVLNTVPRDAEEDGREPHLTTRVEPCVPFPDYIKLQ
+QHLLAGGLFGALSTQGMAFPCFEDSKEPEHLGQPASVRSKEENRFQCDICKKTFKNACGV
+KMHQKNMHSREMHTCTVEGCKASFPSRRSRDRHSSNLNLHQKVLPQEALESGEDPFRAAY
+LLKDTAQEAYQEAAFTPQASQTSVIFKGMSRMGSLVYPLAQVRSAGLQSYASGLPSEGTV
+LDLSTTSSVKSESSSHSSWDSDGASEEGAVLTEDCDGTCDGPGLVPGEDYPLCVLMGKAD
+QSLASLPSGLPLTCHLCQKTYSNKGTFRAHYKTVHLRQLHKCKVPGCNTMFSSVRSRNRH
+SQNPNLHRSLTSSPSHLR
+>tr|A0A3Q1MN96|A0A3Q1MN96_BOVIN PHD finger protein 20-like protein 1 OS=Bos taurus OX=9913 GN=PHF20L1 PE=4 SV=1
+MLFPHIWSFLNCMEHLQMYPSRIEKIDYEEGKMLVHFERWSHRYDEWIYWDSNRLRPLER
+PALRKEGLKDEEDFFDFKAGEEVLARWTDCRYYPAKIEAINKEGTFTVQFYDGVIRCLKR
+MHIKAMPEDAKGQVKAQHPLSWCCPSDPAGSCNQSMGSEDWIALVKAAAAAAAKNKTGNK
+PRTSANSNKDKEKDERKWFKVPSKKEETSTSITTPEVEKKEDLPTSSETFVGLHVENVPK
+MVFPQPESTLTNKRKNNQGNSFQAKRARLNKITGLLASKAVGVDGAEKKEDYNETAPMLE
+QAISPKPQSQKKNEADISSSANTQKPALLSSTLSSGKARSKKCKHESGDSSGCIKPPKSP
+LSPELIQVEDLTLVSQLSSSMINKTSPPQPVNPPRPFKHSERRRRSQRLATLPMPDDSVE
+KVSPPSPATDGKVFSISSQNQQESSGPEVPDVAHLSLGPCLPLDLSRGSEVTTPLAPDPS
+YHNECLRAEKEDTQMLTNLSSKAVTDARGAPAASGISKMEKKVKLEEKSSTAFGKRKEKD
+KERKEKRDKDHYKPKQKKKKKKKKKSKQHDYSDYEDSSLEFLERCSSPLTRSSGSSLALR
+SMFTEKNTTYQYPRAILSVDLSGENLSDMEFLDDSSTESLLLSGDEYNQDFDSTNFEESQ
+DEDDALNEIVRCICELDEENGFMIQCEECLCWQHSVCMGLLEESIPEQYLCYICRDPPGQ
+RWSAKYRYDKDWFNNGRMCGLSFLKENYSHLNAKKIVSTHHLLADVCGVTEVLHGLQLKI
+GILKNKHHPDLRLWACSGKKKDQDQVVAGVEKKITVQNIVNVEEKKYHVQNHKEPPRLPL
+KMEGTYITSEHSYQKPQSFGPDCKSLAEPGSSDDDDVSSFEEEQEFHTRDKNRLRYPVKE
+DGRPGKNPAERNTVFVYNDKKSTEDPGDSHLQWQLNLLTHIENVQKEVTSRMDLIEKEVD
+VLESWLDFTGELEPPDPLARLPQLKRHIKQLLIDMGKVQQIATLCSV
+>tr|A0A3Q1LZ94|A0A3Q1LZ94_BOVIN Synaptotagmin 11 OS=Bos taurus OX=9913 GN=SYT11 PE=4 SV=1
+MAEITNIRPSFDVSPVVAGLIGASVLVVCVSVTVFVWTCCHQQAEKKHKNPPYKFIHMLK
+GISIYPETLSNKKKIIKVRRDKDGPGREGGRGNLLVDAAEAGLLGQDKSPKGPSSGSCVD
+QLPIKVDYGEELRSPIASLTPGESKTTSPSSPEEDVMLGSLTFSVDYNFPKKALVVTIQE
+AHGLPVMDDQTQGSDPYIKMTILPDKRHRVKTRVLRKTLDPVFDETFTFYGIPYSQLQDL
+VLHFLVLSFDRFSRDDVIGEVMVPLAGVDPSTGKVQLTRDIIKRNIQKCISRGELQVSLS
+YQPVAQRMTVVVLKARHLPKMDITGLSGNPYVKVNVYYGRKRIAKKKTHVKKCTLNPVFN
+ESFIYDIPTDLLPDISIEFLVIDFDRTTKNEVVGRLILGAHSVTASGAEHWREAGQGRGG
+ERTERSGLKTSS
+>tr|A0A3Q1MA25|A0A3Q1MA25_BOVIN Striatin interacting protein 2 OS=Bos taurus OX=9913 GN=STRIP2 PE=4 SV=1
+MEDPAAPGAGNPPTNGNGNGGGKGKPAAPKGREAFRSQRRESEGSVDCPTLEFEYGDADG
+HAAELSELYSYTENPEFTTNRRCFEEDFKTQVQGEEWLELEEDAQKAYVMGLLDRLEVVS
+RERRLKVARAVLYLAQGTFGECDSEVDVLHWSRYNCFLLYQMGTFSAFLELLHMEIDNSQ
+ACSSALRKPAISIADSTELRVLLSVLYLMVENIRLERETDSCGWRTARETFRTELSFSTH
+NQEPFALLLFSMVTKFCSGLAPHFPIKKVLLLLWKVVMFTLGGFEHLQALKVQKRAELGL
+PPLAEDSIQVVKSMRAASPPSYTLDLGESQLAPPPSKLRGRRGSRRQLLTKQDSLDIYNE
+RDLFKTEEPATEEEEESASDGERTLDGELDLLEQDPLVPPPPSQAPLSAERVAFPKGLPW
+APKVRDTDTLVGLPRPIHESVKTLKQHKYVSIADVQIKNEEELEKCPMSLGEEVVPETPC
+EILYQGMLYSLPQYMIALLKILLAAAPTSKAKTDSINILADVLPEEMPITVLQSMKLGID
+VNRHKEIIVKSISALLLLLLKHFKLNHIYQFEYVSQHLVFANCIPLILKFFNQNILSYIT
+AKNGISVLDYPCCTIQDLPELTTESLEAGDNNQFCWRNLFSCINLLRLLNKLTKWKHSRT
+MMLVVFKSAPILKRALKVKQAMLQLYVLKLLKIQTKYLGRQWRKSNMKTMSAIYQKVRHR
+MNDDWAYGNDIDARPWDFQAEECTLRANIEAFNSRRYDRPQDSEFSPVDNCLQSVLGQRL
+DLPEDFHYSYELWLEREVFSQPICWEELLQNH
+>tr|F1N186|F1N186_BOVIN NBPF domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=3
+MAVCLPSLSDLRAERTLTEINQELRLQLAKYKQDFRDLTEKFLISQATSYSLANQLQKYK
+CEACKDIVESVLGEKLLFEVRRPAEKLAEKPPQARELTQLRQTLRDGKDDSVLLKQHLED
+LLTRNDPDSHQGQGFRESLSEGYRLAKRLACKLSPGEGATDPDSTDPLLGPQLISMELQE
+VEKREVPEESKDECGLMPSSLQGSSDSHQPYSDDKFKFNELEVDLGQDGACGCSHAKEDE
+IPTKISGSPHIISPHKLCRPKKVISEDRLHRHISVETRKKGLFWNTDIRWVRELAFFLLL
+QACLSS
+>tr|F1MAT9|F1MAT9_BOVIN KAT8 regulatory NSL complex subunit 3 OS=Bos taurus OX=9913 GN=KANSL3 PE=4 SV=3
+MAHRGGERDFQTSARRMGTSLLFQLSVHERELDLVFLDHSYAKPWSAHPDASSARPTRML
+FVTPRRQHESTIESDVPIDVETVISTPVPLYDNQKARSVMNECERHVIFARTDADAPPPP
+EDWEEHVNRTGWTLAQNKLFNKILKALQSDRLARLANEGACNEPVLRRVAVDKCARRVRQ
+ALASVSWDTKLIQWLHTTLVETLSLPMLAAYLDALQTLKGKIPTLIDRMLVSSNTKTGAA
+GAEALSLLLKRPWDPAVGVLSHNKPSKLPGSPLILIASSGPSSSVFPTSRRHRFWQSQLS
+CLGKVIPVATHLLSNGSGVGVLQCLEHMIGALRSKVLEIHSHFPHKPIILIGWNTGALVA
+CHVSVMEYVTAVVCLGFPLLTVDGPRGDVDDPLLDMKTPVLFVVGQNSLQCHPEAMEDFR
+EKIRAENSLVVVGGADDNLRISKAKKKSEGLTQSMVDRCIQDEIVDFLTGVLTRTEGHVG
+SEPRDQDAEKKKKPRDVARRDLAFEVSERGSRPASPAAKLPASPSGSEDLSSVSSSPTSS
+PKTKVTTVASSQKSSQIGSTQLLKRHVQRTEAVLTHRQAQAQFAAFLKQNMLVRKALPPG
+TSSCLFVPISSEQTEEAEKEELRVQLKRHHPSSPLAGSKTCKRPKIKVSLISQGDAAGGP
+CPPSSGGAPEAAGGKPLTMTLGQASTGATELTGLLATAKSGASEGCVSASQTSSVVSSST
+SPSALHTLQSRLVAPSPGSSLPGAASASSLLQGLSFSLQDISSKASGLPASPSPGPAPQA
+ASVKLPAPVQSLGAVTTGAGSIVRAVPVATALSSLGAAPGGKPTAIHQLLTNGGLAKLAS
+SLPGLAQISNQASGLKVPTTITLTLRGQPSRITTLSPVGTGAAPSEEPASQALPSSSQRL
+PPAP
+>tr|F1MZY6|F1MZY6_BOVIN Fidgetin, microtubule severing factor OS=Bos taurus OX=9913 GN=FIGN PE=3 SV=3
+MISSTSVYGLKMQWTPEHAQWPEQHFDITSTTRSPAHKVEAYRGHLQRTYQYAWANDDIS
+ALTASNLLKKYAEKYSGILEGPVDRPVLSNYSDAPSGLVNGRKNESEPWQPSLNSDAVYP
+MNCVPDVITASKAGVSSALPPADVSASIGSSPGVASNLTEPSYSSSTCGSHTVPSLHTGL
+PSQEYAPGYNGSYLHSTYSSQPAPALPSPHPSPLHSSGLLQPPPPPPPPPALVPGYNGTS
+NLSSYSYPSASYPPQTAVGSGYSPGGAPPPPSAYLPSGIPAPTPLPPTTVPGYTYQGHGL
+TPIAPSALTNSSASSLKRKAFYMAGQGDMDSSYGNYSYGQQRSTQSPMYRMSDSSISNSN
+RGNGFDRSAETSSLAFKPTKQLMSSEQQRKFSSQSSRALTPPSYSTAKNSLGSRSSESFG
+KYTSPVMSEHGEEHRQLLSHPMQGPGLRAATSANHSVDEQLKNTDTHLIDLVTNEIITQG
+PPVDWNDIAGLDLVKAVIKEEVLWPVLRSDAFSGLTALPRSILLFGPRGTGKTLLGRCIA
+SQLGATFFKIAGSGLIAKWLGEAEKIIHASFLVARCRQPSVIFVSDIDMLLSSQVSEEHS
+PVSRMRTEFLMQLDTVLTSAEDQIIVICATSKPEEIDESLRRYFMKRLLIPLPDSTARHQ
+IIVQLLSQHNYCLNDKEFALLVQRTEGFSGLDVAHLCQEAVVGPLHAMPATDLSAIMPSQ
+LRPVTYQDFENAFCKIQPSISQKELDMYVEWNKMFGCSQ
+>tr|E1B8G3|E1B8G3_BOVIN DENN domain containing 2C OS=Bos taurus OX=9913 GN=DENND2C PE=4 SV=2
+MDVGFSRSAVHTLSRSHCKNIKQKISQWEGRTNGISNPDKWHAKDFGVRYNNCNQESLLK
+KTPIAEGKSKKLDVTNTQNVDQGINEDAKSHNQSEDESEKREYDDTHLFKNESEPNWVCS
+RVKQIENWKEVLDPETSLPPGNFYTSQILWKKIEALSPDKVLNLALEHCGSSEKELNFRV
+LDSSYGVTKSLENIYSEPEGQECGPSINPLPKPRRTFRYLSESGPMPYKERNCDRKYCEN
+NSCAESSLASSQEPEPKKYGGKIRGRSKRKSFEFEDIQHFRNRNSQKIHEELGRNSGSAL
+YYTQSEDNIYEDIIYPTKENPYEDIPVQPLPLWRSPSAWKLPPPKSAFRTPKFVLKIDDI
+FESKRGKKKVKLHPYTGKDAPPSKGEPGGYESDAEYLPKSRHKRVAQLQQSSKRNPHYQT
+LERDLIELQEQQLFELFVVVSLQKKPSEASYVPQVIQQFPSKGDHGFKQSRDTEERLKVI
+PKFCFPDSKDWVPTSELKSETFSFVLTGEDGSRWFGYCKKLLPEGKGKRLPEVYCMVSRL
+GCFNLFSKILDEVEKRREMSPALVYPFMRSVMEAPFPAPGRTITVKSYLPGAGDGSIELC
+RPLDSRLEHVDFECLFKCLSVCHLIRVCASLLLERRVIFVANSLSTLSKCGHAAVATLYP
+FTWQHTYIPVLPASMIDIVCSPTPFLIGILSCSLPQLQDLPIEEVLIVDLCADKFLQEVS
+DEDEILPPKLQAALIQILEERNEILAQEQNFSQDVTLNSLVSEAFVRFFVELVGHYSLSM
+TVTERGERVFQREPFRKSHTSRSVRHFLDLFMETQMFAGFIQDRELRKSGVKGLFEVRAL
+QYLETIPESEPSGVNRILRSLGSKMKFLQKK
+>tr|H9GW32|H9GW32_BOVIN SH3 domain-containing YSC84-like protein 1 OS=Bos taurus OX=9913 GN=SH3YL1 PE=4 SV=1
+QVSDLVIILNYDRAVEAFAKGGNLTLGGNLTVAVGPLGRNLEGNVSLRSSAAVFTYCKSR
+GLFAGISLEGSCLIERKETNRKFYCQDIRAYDILFGDITRPAQAEDLYEVLDSFTEKYEI
+EGQRVNARRAAREQKKASAKLPPKPSSRPEQSSAQVQLSSGSQSSRNEYNLYPELSSYRE
+RVGNSNQPIEVTALYSFEGQQPGDLNFQAGDRITVISKTDSHFDWWEGKLRGRTGIFPAN
+YVTMN
+>tr|A0A3Q1N597|A0A3Q1N597_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+RSVRPVKTSESEEEDGEEASQEECIEGEGTIPSNPSKEALSKAGYNAFRNGVPLSIVPKK
+IPKKIITPAEPDDSEGGRKKRGPKHR
+>tr|G5E6A5|G5E6A5_BOVIN VLIG-type G domain-containing protein OS=Bos taurus OX=9913 GN=LOC101903126 PE=4 SV=2
+MEDTERQLNIKGELSHRKSLTDRELVRHASGGLALEGIYKTSDQRSLIKKKEELLCVPKD
+FVLRGPEQGTRMEMKEFTSSQEESKFTQIVEKLGFSVSALAKCGGWGISLKAGMDQSMHS
+ESKKTQQSHSTHSYFCSIKFIYIPLASFYFPVDQLQLSNAALEELKYIENLLSQSEDSDE
+LCLLRHRTEAFFQRYGSHANQGPLHLGGIYWWKAISEGFQKEQLAEVKQQAAEALNIYIK
+GGYSGFGVNIGTGLDMSDSHLKKASQKTTFQNLQTEVQLSVAQTGGPPEANGFFDWKAGL
+VANNQTWCVIDRGLQLVPVWDIVLSSHRSDFKDPHKLANCLKAHYTVLNELTAQIQEREL
+LSIEKEARIFLEDVKSWKVFDPEEQLKRLINFMQRLSQKTGSYTTWTNICLKDRGLQNFL
+VNMVNFCKKSSIYGTTFIKFQLSHLLDPHIYIVTNFPQAHSIMEWIFQSEPKEDNVPITQ
+FSELIEIFKKAQKGLREVKANSESTELVEEAQRKLTYEVSLSLGCFLKYLRETGKPDTHI
+LLLLIASGAGYHVVDNIFQYLLGHDELDFLLEKTQTAPNKYQELKNICNYRAQAFLVLTG
+LTATAGIKAVSQEEKTQRLALIKHHMGQSLTKEVVHVLTNHGDHDWQNLDKDLRLLIDGK
+YEDAVSSLQVEEVIKELQSVFHEKKEPHEPHDNEKKKKEVIENGAFQDLLQRLGLEHYYP
+RKMSRANFQLIHKTSVYNTQPCSERELPFYFLQKLLMLDYGLRYLIIKHEDYTENQVYSS
+TSNQEKEAFDPYEDLPEESAHPTKPSAATNARLYVHPMDVQMAILHCADDFGRQYILDKL
+SICQFALPLLIPNPCNAQIEFSLWSLRQIRRSWQQVGKSIKEEKDNYTNQQMCRVSAPIV
+SFIRVGTGFSASKSQIMNCLLSERKHDVFFHRHCNGSSRDCLLMGGVVEVAWFCPGGEEQ
+DRFDNCLTFTNLHGDAKEYEKQLAFLKEVSSIIVVLMSTSDDNKGNRKIVRDMCQSSKPL
+IFLLDDKGKITVNDSGSRVRIGIRNRNEAELIGELTTTIRRLLELCNTALSLENCAQVAR
+KQGMLIDEDQRDCKEAKEKAEAIITLLREMEISQVKENLLPLQGKLWQLWCKKDKELYHL
+REKGNRSIEQHKSETETEKQMIRLEQLRKAFPLNALMCSVLEILQNHSETHTKLYFLQWL
+NVALDNLTARHLENLNEKKKALVQKEKQEAPKSSSLKDWQNEIEAISREINDCTLGIEHI
+LREAGQIYEALEEASPMKDTLFLSLPHIAADLMISGVPIELMDGDVSYVPLKWVAAVFDK
+LSEKLGNKRLFVLSVLGLQSSGKSTLLNALFGLQFTLSAGRCTRGAYMQLLKVEETFTAE
+LGFDFVLVVDTEGLRAPELSNKSQNHDNELATFVIGLGNLTLINIMGGNPSEMQDIRQIV
+IQAFLRMKQVKISPSCLFVHQNVREVTAKDQTMEGRRQLEERLDELAAIAAAEEQCSNVT
+CFSDVIKFDVNTHIYYFAHLWDGNPPMAPPNPRYSHNVQELKSRILVTAQQESRGSIMKI
+SDVKSRVQDLWRALRNENFIFSFRNTQEVMVMSKLETMYNSWTWELRSDVQRLQDELISQ
+IQNGKIQTLETYTLEAPVTEIYEAIKQKLEKYFNEDPDSEVLVQWKGNFENKLITLKQAL
+ILDGQRKAKELISFKKNQEKPGNKKSGYEKELLEKSRKLALSLSGTELSEEELHEEFNPL
+WEKWVYDVSSSLPLAVEPEIEVDYENILLDYFKKEKDIVSILKNYSGEKFEINYDKHVKM
+NRNFWGLTTMTIETQDIHSINMTTDCIISKVNETVNKISQQKHDYNPTYFHEILRIIHEE
+MKSAPTQKRYTFTRKYEIDLSLCLFQRASVKFKEMHKAFKRANDPVHYLQSKKDDFFMSF
+KISCQGATSIKTFVDFLWKKLTPAVSSTIRKNTALKIAGDIRTTCRAFNENRANLEKHIL
+ISLAEEENFDNYCQYLHNPESFFKNYIENHVKRYLSDTGSKKIKTFLQMSLHDIKNATLS
+AIHASTAIAKDKSSTVSGWLDLFCDHLGSTLTFPRKDLISIEHQEIKDIEFIKEAMSKAL
+DPEMKKVEQNCLSKLVEEMIPEIQKMLFEHLCGCWKQCPFCKAICTNTIPTHEGDHSVPF
+HRPKALSGWGWYQTDHFVIEHCTSLVASDCDFVLNDGRRIPYKTYRQAGGEYATWSITPD
+TSTQPYWKWFVCHFRSKLEEKYHKRLFNEGEIPDAWNKITKQDVLDDLKKN
+>tr|A0A3Q1MJX1|A0A3Q1MJX1_BOVIN G patch domain-containing protein 4 OS=Bos taurus OX=9913 GN=GPATCH4 PE=4 SV=1
+MSITPEVKSRGMKFAEEQLLKHGWTQGRGMTSPEFTFLWQVGHDPAKEFTNHWWNDLFNK
+TAANLVVETRQDGVQIRRLSKETTRQDHAKPNLLYQKFVKTATLTSSGEKPDKDWESCSD
+DDSQEPKPPNVLTDEMLLQACEGRTAHKAARLGITMKAKLARLEAQEQAFLAQLKGQDPG
+VPQLQSESKSPKKKKKKRKQKEEEEPTTTERSAEKYSEHTDESIRKSKKKKRQHQEERVT
+DEREGTAIENEEETIRTGGLGELKNREHVDRSFRKKKRRGQHHEERAELAVLDNEGGKVA
+VSEVGTEEAERRVYTHPCGRSKKRRQHEEEDLNTEDEEVEEALVDSGTREAESRSCSDQK
+RGRSKKKRRQYQEEEVLDGPGVNTAQKAKKKKQKKRD
+>tr|E1B779|E1B779_BOVIN Chromosome 23 C6orf89 homolog OS=Bos taurus OX=9913 GN=C23H6orf89 PE=4 SV=1
+MDLAANELSIYDKLSETVDLVRQTGHQCGMSEKAIEKFIRQLLEKNEPQRGPPQYPLLLA
+MYKVFITLGLILLTAYFVVQPFSPLAPEPVLSGAYTWRSLIHHIRLMSLPITKKYMPENK
+GVPLRGGKEDRPFPDFDPWWTNDCEQNESDPTPANCTGCAQKLPLQVMLLEDTPRRFERL
+HPLVIKTGQSLSTEGLQDFLCQYPEVTEGFTEGFFTKWWRCFPGRWFPFPYPWRRPLNRS
+HILHELFPIFTYLPFPKEASLKKCFLLQPEPIVGSKMHRMHDLFTIGSGEAMLQLLPPFQ
+CRSHCQSVAMPLEPGDIGYAGATHWKVYIIARGVQPLVVCDGTTLSEL
+>tr|A0A3Q1LJT4|A0A3Q1LJT4_BOVIN Ion_trans domain-containing protein OS=Bos taurus OX=9913 GN=KCNC2 PE=3 SV=1
+MIYYAERVGAQPNDPSASEHTQFKNIPIGFWWAVVTMTTLGYGDMYPQTWSGMLVGALCA
+LAGVLTIAMPVPVIVNNFGMYYSLAMAKQKLPRKRKKHIPPAPQASSPSFCKTELNMACN
+SKQGDTCLGKDSRLLEHNRSVLSGDDSAGSEPPLSPPERLPIRRSSTRDKHRRGETCFLL
+TAGDYTCASDGGIRKGYEKSRSLNNIAGLAGNALRLSPVTSPYNSPCPLRRSRSPIPSIL
+>tr|F1MFD2|F1MFD2_BOVIN Lysine methyltransferase 2E OS=Bos taurus OX=9913 GN=KMT2E PE=4 SV=2
+MSIVIPLGVDTAETSYLEMAAGSEPESVEASPVVVEKSNSYPHQLYTSSSHHSHSYIGLP
+YADHNYGARPPPTPPASPPPSVLISKNEVSIFTTPNFDETSSATTISTSEDGSYGTDVTR
+CICGFTHDDGYMICCDKCSVWQHIDCMGIDRQHIPDTYLCERCQPRSLDKERAVLLQRRK
+RENMSDGDTSATESGDEVPVELYTAFQHTPTSITLTASRVSKVNDKRRKKSGEKEQNISK
+CKKAFREGSRKSSRVKGSAPEIDPSSDVSNFGWETKIKAWMDQYEEANNNQYSESVQREA
+QRIALRLGNGNDKKEIKSDLNTNNLIFKPPVESHIQKNKKILKSAKDLPPDALIIEYRGK
+FMLREQFEANGYFFKRPYPFVLFYSKFHGLEMCVDARTFGNEARFIRRSCTPNAEVRHEI
+EDGTIHLYIYSIQSIPKGTEITIAFDFDYGNCKYKVDCACLKENSECPVLKRSSESTENI
+NSGYETRRKKGKKEKDISKEKDTQNQNITLDCEGTTNKMKSPETKQRKLSPLRLSVSNNQ
+EPDFIDDIEEKTPISNEVEMESEEQIAERKRKMTREERKMEAILQAFARLEKREKRREQA
+LERISTAKTEVKTECKDAQTVSDAEVIQEQAKEETASKPTPAKVNRTKQRKSFSRSRTHI
+GQQRRRHRTVSMCSDIQPSSPDIEVTSQQNDVENTVLAIEPETETALTEIITENEVPVLN
+KCPTKYPKTKKHLVSEWLSEKNEKTGKPSDSLSERPLRITTDPEVLATQLNSLPGLTYSP
+HVYSTPKHYIRFTSPFLSEKRRRKEPPENISGSCKKRWLKQALEEENSAILHRFNSPCQE
+RSRSPTVNGENKSPLLLNDSCSLPDLTTPLKKRRLYQLLDSAYSETSTPTPSPYATPTHT
+DITPTDPSFSTPPRIKSDDEACRNGYKPIYSPVTPVTPGTPGNTMHFENISSPESSPEIK
+RRTYSQEGYDRSSTMLTLGPFRNSNLTELGLQEIKTIGYGSPRSRTEISRQCPGEKEPVS
+DLQLGLDAVEQTALHKTMEAAAHDRTEAASQLEAAHSGRGPLYSSWVKSPERTGVNFSVN
+SNLRDLTPSHQLEVGGGFRISESKCLMQDDTRGMFMETPVFCTSEDGLVSGFGRTVNDNL
+IDGSCTPQNPPQKKKVSLLEYRKRQREARKSGSKTEHFALVSVSPHTSGNLSSSTGDGCA
+HRSENGEQVESAASLPLPTPATVYHATSEETSNNSTVKEVSASEKNEPEVQWTASTSVEQ
+VRERSYQRALLLSDHRKDKDSGAESPCVPCSPNHVPSSPSSHSNHIPQLQPKGPVPSFSE
+LTEDPDPENLEPTTTNECPSPDTSQSTCKSPSKMSKPGSPGPVIPVQPHGKILTKPDSHW
+EGTVIVSEAENGVHLKTELHQKQLSSNPQALSKNHPPQPLVRCSSEQLSQKLPSAPMKLH
+CPPSPHVENPPKSSTPHTPVQHGYLSPKPPTQQLGSPYRPHHSQSPQVGTPQREPQRNFY
+PAAQNLQASTQQATSGALFTQTPSGQSSATYSQFNQTSLSSTAPPPPPPPPPSSSSYYQN
+QQPSANFQNYNQLKGSLSQQTVFTSGPNQALPGTTSQQTVPGHHVTPGHFLPSQNPTVHH
+QTAAAVVPPPPPPPPAPGPHLVQQPSSHQPHSVAHVVGPVHAVTPGSHIHSQTAGHHLPP
+PPPPPGPAPHHHPPPHPSTGLQGLQAQHQHVVNSAPPPPPPPPPSSVLASGHHTTSAQAL
+HHPPHQGPPLFPSSAHPAVPPYPSQATHHTTLGLGPQHQPSGTGPHCPLPVAGPHLQPQG
+PNSIPTPTASGFCPHPGSVALPHGVQGPQQASPVPGQIPIHRAQVPPTFQNNYHGSGWH
+>tr|E1B7H1|E1B7H1_BOVIN Protein FAM92A OS=Bos taurus OX=9913 GN=FAM92A PE=4 SV=3
+MLRRSLENRDAQTRQLQDAVTNVEKHFGELCQIFAAYVRKTARLRDKADLLVNEINVYAS
+TETPHLKQGLKNFADEFAKLQDYRQAEVERLEAKVVEPLKAYGTIVKMKRDDLKATLTAR
+NREAKQLTQLERTRQRNPSDRHILLQAETELQRATMDATRTTRHLEETIDNFEKQKIKDI
+KTIFSEFITIEMLFHGKALEVYTAAYQNIQKIDEEEDLEVFRHSLYPQDYSSRLDIVRAN
+SKSPLQRSLSAKCVSGTGQVLTCRLRKDHQTEDDDEEDEDLDVTEEEN
+>tr|A0A3Q1LR26|A0A3Q1LR26_BOVIN BHLH domain-containing protein OS=Bos taurus OX=9913 GN=USF2 PE=4 SV=1
+MGALHLGEGAGARAALIPSSSCASRSHDKGPEAEEGVELQEGGDGPGAEEQTAVAIASVQ
+QAAFGDHNIQYQFRTENNGGQVTYRVVQVTDGQLDGQGDTAGAVSVVSTAAFAGGQQAVT
+QVGVDGATQRPGPAAASVPPGPAAPFPLAVIQNPFSNGGSPAAEAVSGEARFAYFPASSV
+GDTTAVSVQTTDQSLQAGGQFYVMMTPQDVLQTGTQRTIAPRTHPYSPKIDGTRTPRDER
+RRAQHNEVERRRRDKINNWIVQLSKIIPDCNADNSKTGASKGGILSKACDYIRELRQTNQ
+RMQETFKEAERLQMDNELLRQQIEELKNENAVLRAQLQQHNLEMVGESARQ
+>tr|A0A3Q1LWI0|A0A3Q1LWI0_BOVIN SRSF protein kinase 2 OS=Bos taurus OX=9913 GN=SRPK2 PE=4 SV=1
+MSSRKVLAIQARKRRPKREKHPKKPEPQQKAPLVPPPPPPPPPPPLPDPAPPEPEEEILG
+SDDEEQEDPADYCKGGYHPVKIGDLFNGRYHVIRKLGWGHFSTVWLCWDMQGKRFVAMKV
+VKSAQHYTETALDEIKLLKCVRESDPSDPNKDMVVQLIDDFKISGMNGIHVCMVFEVLGH
+HLLKWIIKSNYQGLPVRCVKSIIRQVLQGLDYLHSKCKIIHTDIKPENILMCVDDAYVRR
+MAAEATEWQKAGAPPPSGSAVSTAPQQKPIGKISKNKKKKMKKKQKRQAELLEKRLQEIE
+ELEREAERKIIEENVTSVVPSNEQDDEYHPEVKLKTAGLEEVAEGETANNNGEAEDQEEK
+EDTEKENTEKDEDDVEQELANIDPTWIESPKTNGHIENGPFLLEQQLDDEDDDEEDCPNP
+EECNLDEPNAESDYTYSSSYEQFNGELPNGRHKIPESQFPEFSTSLFSGPLEPVACGSAL
+SEGSPLTEHEESSPSHDRSRTVSASSTGDLPKTKTRAADLLVNPLDPRNADKIRVKIADL
+GNACWVHKHFTEDIQTRQYRSIEVLIGAGYSTPADIWSTACMAFELATGDYLFEPHSGED
+YSRDEDHIAHIIELLGSIPRHFALSGKYSREFFNRRDHIALIIELLGKVPRKYAMLGKYS
+KEFFTRKGELRHITKLKPWSLFDVLVEKYGWPHEDAAQFTDFLIPMLEMVPEKRASAGEC
+LRHPWLNS
+>tr|A0A3Q1M0B6|A0A3Q1M0B6_BOVIN Galactosylgalactosylxylosylprotein 3-beta-glucuronosyltransferase OS=Bos taurus OX=9913 GN=B3GAT2 PE=3 SV=1
+GPPRQPACLHACKPELPATCADPARPAPRPRSAPPPHGVRAGRGFLSADASAVQTARWGP
+PAQPRSGRRRGRGRAHHEVCAVQPLLHAPALDPDRHHHARRGHAQAHAPAHPAPLLRALL
+RGPRGRPAPATPGRPGARPGATQRIVAAAAAAAARAAAAYHLCHHAHLQPPGAEGRADPP
+GQHVPPGGAAALDPGGGRGGAQRAGEPLPGARGAAQHSPARPHAPALQAARAAARYRAAQ
+RRPRLAAPEARAPARAARRALLRRRRQHLQSGALPGGTDLIYLAQGLALDAYEMRTTRKV
+SVWPVGLVGGRRYERPLVENGKVVGWYTGWRADRPFAIDMAGFAVSLQVILSNPKAVFKR
+RGSQPGMQESDFLRQITTVEELEPKANNCTKVLVWHTRTEKVNLANEPKYHLDTVTIEFC
+SCANCNNRSTHYTGQTGRNIIDILKPQRLH
+>tr|A0A3Q1M8J8|A0A3Q1M8J8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=SERTM1 PE=4 SV=1
+MSEPDSSSAFSGSVENGTFLQLFPTSLSTSVDPSSGHLSNVYIYVSIFLSLLAFLLLLLI
+IALQRLKNIISSSSSYPEYPSDAGSSFTNLEVCSISSQRSTFSNLSS
+>tr|A0A3Q1LS53|A0A3Q1LS53_BOVIN Importin subunit alpha OS=Bos taurus OX=9913 GN=KPNA5 PE=3 SV=1
+MASPGKDNYRMKSYKNKALNPQEMRRRREEEGIQLRKQKREEQLFKRRNVSLPRNDESML
+ENPIQDPDISSTEEVITSDMVQMIFSNNADQQLTATQKFRKLLSKEPNPPIDQVIQKPGV
+VQRFVKFLERNENCTLQFEAAWALTNIASGTFLHTKVVIETGAVPIFIKLLNSEHEDVQE
+QVNFNFLLKVLLTNSNRLTTTRNAVWALSNLCRGKNPPPNFSKVSPCLNVLSRLLFSSDP
+DVLADVCWALSYLSDGSNDKIQAVIDSGVCRRLVELLMHNDYKVVSPALRAVGNIVTGDD
+IQTQVILNCSALPCLLHLLSSSKESIRKEACWTVSNITAGNRAQIQAVIDANIFPVLIEI
+LQKAEFRTRKEAAWAITNATSGGTPEQIRYLVALGCIKPLCDLLTVMDSKIVQVALNGLE
+NILRLGEQESKQNGIGINPYCALIEEAYGLDKIEFLQSHENQEIYQKAFDLIEHYFGVED
+DDPSIVPQVDENQQQFVFQQQEAPMEGFQL
+>tr|A0A3Q1MGD9|A0A3Q1MGD9_BOVIN Peroxisome proliferator-activated receptor gamma, coactivator-related 1 OS=Bos taurus OX=9913 GN=PPRC1 PE=4 SV=1
+MEGEPTDAWQITLALLQEEGDDSGFVSLSRLGPCLRDKDLEMEELILQDGALLGTMHSYM
+DASLISLIEDFGSLGESRLSLEDQNEVSLLTALTEILDNADSENLSPFDSIPDSELLVSP
+REGSSLHRLLSLSRTPPERDLITPTDPLGPSTGSSRVEMALADPPWDFSPPSFLETSSPK
+LPSWRPPRSRTRWGQSPPPQQRSDGEEEEELAGFSSEMLAGELNNSVSSIPDFPMHLACP
+EEEEKTAAAAAEMAVQAAGDESISSLSELVRAMHPYCLPNLTHLTALEDELQEQPDDLTL
+PEDCVVLEIVGQAATAGNDLEIPVVVRQIPAGPQPVLLDDTLEVSPALQLLMPSLEVETE
+VAVPKETLCPEDEGLSLDSKEKLEAASMLEPREVMEPVAPKGPQNPPANAMLSSQRARKG
+RRKKSKAQPAACAEGYTRRLRSASRGQSTAVPEVTSQGGSLPQEDLQREVGPPHGRGKPR
+AWARAWAAALEKPSSANLESSTKQASPAKEDPVDLCPSLVDPIQANPVSTHLSLVDSAQA
+DPMPLDSLEADSTVVDPGPTATDTEPVDPVLTNIASANSELVEPLPADPVLADTAAADPT
+VVVPISDNLSPVDPVLVKSVQVDSVPNDLSPVDPVLVKSRPTDPRRGAVSSAQRNPGAQL
+LLESESSEPPKANSPEVREVVGPLKGETGTSTTTQEVRPRPLSLSEYRRRRQQRQPEAEE
+RTPQPPAGKWPSLPETPTGLADIPCLVIPLAPAKKTAPQRSPEAAPEACFGSVGPSPASP
+SPEPPATKPMASAPTEQVPSQEKLLPARPLPPAVQPMPPTMPTALPFPTGGLGMTPALPL
+PTNGQAVPNLPPPPLQPPSVPMSLGPVPPDPYTHYASVPPWPCYPPVSPSGYPCLPPPPT
+VPLVSGTPGAFAVPPTCNVPWVPPPAPVPPYNSNCTYGPLGWGPGLQHPPFWPAVPPPPL
+PLTSVGRAVPPPKVEPGGIPAGSPESVPAVPMAPPLSLGAAGQGAPQTEPTKVEVKPVPA
+SPHLKHRASSPVHSPRIKAPPCVSAENVAVEEPASERLKPELQETRPKEKPPSPVAKAVP
+TPAPRQSTTTKLPVVHPARLRKLSFLPTPRTQGPEAVVQAFISEIGIEASDLSSLLEQFE
+KSEAKKECPPPAPADSLAVGNSGSVDTPQEKRPLDRLQAPELANVAGLTPPATPPHQLWK
+PLAAVSLLAKAKSPKSTAQEGTLKPEGVTEAKHPAAARLHEGVRGPSPVHVGSGDHDYCV
+RSRTPPKKTPALVIPEVGSRWNVKRHQDITIKPVLSLGSVTSVPPGTAASQKPLDHRTSK
+EQADPQTPCLAPSALLSPEASPCRNDTNTRTLPDPSAKQQSVRCYRKACRSASPPSRGWQ
+GRRGRSSRSVSSGSTRTSEASSSSSSSSSSSSRSRSRSLSPPHKRWRRSSCSSSGRSRRC
+SSSSSSSSSSSSSSSSSSSSRSRSRSPSPRRRSDRRRRYSSYRSHDHYQRQRVLQKERAI
+EERRVVFIGKIPGRMTRSELKQRFSVFGEIEECTIHFRVQGDNYGFVTYRYAEEAFAAIE
+SGHKLRQADEQPFDLCFGGRRQFCKRSYSDLDSNREDFDPAPVKSKFDSLDFDTLLKQAQ
+KNLRR
+>tr|A0A3Q1LX35|A0A3Q1LX35_BOVIN Protein tyrosine phosphatase, non-receptor type 18 OS=Bos taurus OX=9913 GN=PTPN18 PE=4 SV=1
+MRMWTWTWEDIRARSAAWKTESVRSTEAGSRPENVRKNRYKDVLPYDQTRVILSLLQEEG
+HGDYINGNFIRGTDGSQAYIATQGPLPHTLLDFWRLIWEFGVKVILMACQEMENGRKKCE
+RYWALQQEPLQIGPFCITLTRDMWLNPDIKLRILQVTFQKESRSVFQLQYMSWPDRGVPS
+NPDNVLAMVEKARHLQGSGPNPLCVHCSAGCGRTGVLCTVDYVRQLLLTQMIPPNFSLFS
+VVLEIRKQRPLAIQTEEQYRFLYHTVSQMFLSAIQNASPHYQNLKENCVPLYDDALSLWT
+SQNLNAIARPSSGVLRSISVLGPPALSMADTYAVVQKRAAPAGTGAGAGARARGTEDTPL
+YSQVKPRAWRHQALSEDARGAQQGRVPVDESLAGPGAYEDVADRAQTGGLGFNLRIGRPK
+GPRDPPAEWTRV
+>tr|A0A3Q1M5L6|A0A3Q1M5L6_BOVIN PTPRF interacting protein alpha 2 OS=Bos taurus OX=9913 GN=PPFIA2 PE=4 SV=1
+MMCEVMPTINEDTPMSQRGSQSSGSDSDSHFEQLMVNMLDERDRLLDTLRETQESLSLAQ
+QRLQDVIYDRDSLQRQLNSALPQDIESLTGGLTGSKGADPPEFAALTKELNACREQLLEK
+EEEISELKAERNNTRLLLEHLECLVSRHERSLRMTVVKRQAQSPSGVSSEVEVLKALKSL
+FEHHKALDEKVRERLRVSLERVSALEEELAAANQEIVALREQNVHIQRKMVSSEGSTESE
+HLEGMEPGQKVHEKRLSNGSIDSTDETSQIVELQELLEKQNYEMAQMKERLAALSSRVGE
+VEQEAETARKDLIKTEEMNTKYQRDIREAMAQKEDMEERITTLEKRYLSAQRESTSIHDM
+NDKLENELANKEAILRQMEEKNRQLQERLELAEQKLQQTMRKAETLPEVEAELAQRIAAL
+TKAEERHGNIEERMRHLEGQLEEKNQELQRARQREKMNEEHNKRLSDTVDRLLTESNERL
+QLHLKERMAALEEKNVLIQESETFRKNLEESLHDKERLAEEIEKLRSELDQLKMRTGSLI
+EPTIPRTHLDTSAELRYSVGSLVDSQSDYRTTKVIRRPRRGRMGVRRDEAKVKSLGDHEW
+NRTQQIGVLSSHPFESDTEMSDIDDDDRETIFSSMDLLSPSGHSDAQTLAMMLQEQLDAI
+NKEIRLIQEEKESTELRAEEIENRVASVSLEGLNLARVHPGTSITASVTASSLASSSPPS
+GHSTPKLTPRSPAREMDRMGVMTLPSDLRKHRRKIAVVEEDGREDKATIKCETSPPPTPR
+AIRMTHTLPSSYHNDARSSLSASLEPESLGLGSANSSQDSLHKAPKKKGIKSSIGRLFGK
+KEKARLGQLRGFMETEAAAQESLGLGKLGTQAEKDRRLKKKHELLEEARRKGLPFAQWDG
+PTVVAWLELWLGMPAWYVAACRANVKSGAIMSALSDTEIQREIGISNPLHRLKLRLAIQE
+MVSLTSPSAPPTSRTPSGNVWVTHEEMENLTAPAKTKESEEGSWAQCPVFLQTLAYGDMN
+HEWIGNEWLPSLGLPQYRSYFMECLVDARMLDHLTKKDLRVHLKMVDSFHRTSLQYGIMC
+LKRLNYDRKELERRREASQHEIKDVLVWSNDRVIRWIQAIGLREYANNILESGVHGSLIA
+LDENFDYSSLALLLQIPTQNTQARQILEREYNNLLALGTERRLDESEDKNFRRGSTWRRQ
+FPPREVHGISMMPGSSETLPAGFRLTTTSGQSRKMTTDVASSRLQRLDNSTVRTYSC
+>tr|A0A3Q1MCN0|A0A3Q1MCN0_BOVIN Extended synaptotagmin 3 OS=Bos taurus OX=9913 GN=ESYT3 PE=4 SV=1
+MPSEDPCPPGARGTERAPGREPRLPSQLLPELYAFAARVLFCLAPVYLAGYLGLSITWLL
+LGVLLWMWWRRNRRGKLGRLAAAFEFLDNERQFISRELLGQHLPAWIHFPDVERVEWANK
+IISQIWPYLSMIMENKFREKLEPKIREKSMHLRTFTFTKLYFGQKCPRVNGVKTHTDQRN
+RRQVVLDLQICYIGDCEINVELQKIQAGVNGIQLQGTLRIILEPLLVDKPFVGAVTMFFL
+QKPHLQINWTGLTNLLDAPGINEMTDSLLEDLIAAHLVLPNRVTVPVKKGLDVTNLRFPL
+PCFIVYEVPGQDLEVDLYDEDPDKDDFLGSLQICLGDVMTNRVVDEWFALNNTTSGRLHL
+RLEWLSLITEPDAVTEDHGSFSTAILVVFLESACNLPRSPFEYLNGEYQAKKLSRFARNK
+VSRDPSSYVRLSVGKKTHLSKTCPCSKDPVWSQVFSFFVHNVAAEQLHLKVLDDDQECAL
+GVLEFPLCQILPHADLTLEQRFQLDHSGLDSLISMRLVLRFLHLEERELGSPYTGPEALK
+KGPLFIKKVTANQDPRAPPQGEGLTDPDPASEIKQAAKNTTSATITATKPMLEETGPEPK
+GKDSAPMGKKSPATIYLAVPGPHSPGPIKSPRPMKCPASPFAWPPKGLAPSMSSLNSLAS
+SCFDLTDISLNIEGGELGHRGLGEIQLTVRYVCLRRCLSVLINGCRNLTPCTSSGADPYV
+RVYLLPERRWASRKKTSVKRKTLEPRFDETFEFFVSMDEVQKRSLDVAVKNSRPLGSHRR
+KELGKVLIDLSKEDLIKGFSQWYELTPDGQPRS
+>tr|E1BJD0|E1BJD0_BOVIN EF-hand domain-containing protein OS=Bos taurus OX=9913 GN=LOC112442678 PE=4 SV=2
+RPPQKPQRLLTQDPGEEQASRTQKGRLQQCAAFLTRKEPAAALATGAEQASQRTRQLKGF
+LCMGSPCPPAPSPPPAFQDVFKLFSSSPTGSVDMRSMKAALSNVGVQLSPQEMCEALRQA
+DLDGDGTVSFKDFLGVLTDSHRLAQCLGEGNSWAYDPQGLQTLFLEMLFKLMSLGYVPFK
+SVREVMSYYSKKQRSLRLNASWKGRSRSHGRSGRSHAGLAFFCQAARLIGLSNAELARSL
+HGLHKAGACSPYSQIPSLNGGTQPESHTQSRTPRPDVRLPKSYQPSRPKRAPKSGRLSQG
+ESRHRQGFASQLLGCMCPSKLAPSPPTLIQKQPFSPSPAYLQRPATKKLYKYK
+>tr|A0A3Q1MKS6|A0A3Q1MKS6_BOVIN Protein strawberry notch homolog 2 OS=Bos taurus OX=9913 GN=SBNO2 PE=4 SV=1
+MWGQPGRVGSGETGGQSHPTWSLGGILGTAALAPWDQVGHGLRRALMGQDSSYLDDLSNA
+SIFSSSVDSLSDIADTPDFPPADSLSQVPTIWDVSTGPSAHDKLFPPSGTFTGLEDPVSS
+LSSTPLLISYQAQSQPEEDDEAEEDEAEELGHAETYADYVPSKSKIGRQHPDRVVETSTL
+SSVPPPDITYTLALPSSDSGALSALQLEAITYACQQHEVLLPSGQRAGFLIGDGAGVGKG
+RTVAGVILENYLRGRKKALWFSVSNDLKYDAERDLRDIAAPGIAVHALSKIKYGDNTTSE
+GVLFATYSALIGESQAGGQHRTRIRQILEWCGEAFDGVIVFDECHKAKNASSTKMGKAVL
+DLQNKLPLARVVYASATGASEPRNMIYMSRLGIWGEGTPFRTFEEFLHAIEKRGVGAMEI
+VAMDMKVSGMYIARQLSFSGVTFRIEEIPLTPAFERIYNRAALLWAEALGVFQQAADWIG
+LESRKSLWGQFWSAHQRFFKYLCVAAKVHRLVELAQEELAQDKCVVIGLQSTGEARTREV
+LGEKEGQLDGFVSAAEGVFLSLIQKHFPSTKRRRERGAGSKRKRRPRGRGAKAPRLVYEA
+AGVIRISDDSSTESDGGLDSDFHSSPESLLDDDVVIVDAIGLPADDRGPLCPPQRDLHGP
+GVLERVERLKQDLLAKVQALGRELPVNTLDELIDQLGGPECVAEMTGRKGRVVSRPDGTV
+AFESRAEQGLSIDHVNLREKERFMSGEKLVAIISEASSSGVSLQADRRVQNQRRRVHMTL
+ELPWSADRAIQQFGRTHRSNQVSAPEYIFLISELAGERRFASIVAKRLESLGALTHGDRR
+ATESRDLSKYNFENKYGARALSCVLTTILSQTESKVPLPQGYPGGDAAFFRDMKQGLLSV
+GIGGRESRSGCLDVEKDCSISKFLNRILGLEVHKQNALFQYFSDTFDHLIAADKREGKYD
+MGILDLAPGIDEIYEESQQVFLAPGHPQDGQVVFYKISVDRGLKWEEAYARSLELTGTHD
+GFYLSYKVRGNKPSCLLAQQNRGKLFTVYKPNIGRQSQLESLDSLSRRFRRVTAEEAREP
+WESSYTFSLTHCSHTTWNRHCRLVQEGKDCAQGLRLRHHYMLCGALLRVWGRIAAVMADV
+TSSSYLQIVRLKTKDKKKQVGIKIPEVCVRRVLQELQLMDADVKRKQARTRGLLAPPSTP
+RPLALPFGPGEVLDLTYSPPAQAFPAPSPFTFPALGPGPGGQLLGAPDTPDAPADPVALL
+HQGCEINFKEVLEDMLRSLNAAPPAEPPGPLGPLGAGAAGAPAGGAPERQSVIQFSPPFP
+NS
+>tr|A0A3Q1MUB7|A0A3Q1MUB7_BOVIN ATP binding cassette subfamily B member 7 OS=Bos taurus OX=9913 GN=ABCB7 PE=4 SV=1
+MALLAIHSWRWAAAAVAFEKRRHAVILIRPLVSFRGPGPQWRSHQLRSSGTAGISQKISE
+LLRHTTWRRLGKDNSRELLDATRALQAWPLIEKRTCWHGHAGGGLHTDPKEGLKDVDTRK
+IIKAMLSYVWPKDRPDLRARVAISLGFLGGAKAMNIVVPFMFKYAVDSLNQMSGNMLNLS
+DAPNTVATMATAVLIGYGVSRAGAAFFNEVRNAVFGKVAQNSIRRIAKNVFLHLHNLDLA
+FHLSRQTGALSKAIDRGTRGISFVLSALVFNLLPIMFEVTLVSGVLYYRCGAQFALVTLG
+TLGAYTAFTVAITQWRTKFRIEMNKADNDAGNAAIDSLLNYETVKYFNNENYEAQRYDGF
+LKTYEAASLKSTSTLAMLNFGQSAIFSVGLTAIMVLASQGIVAGTLTVGDLVMVNGLLFQ
+LSLPLNFLGTVYRETRQALIDMNTLFTLLKVDTRIKDKAMASPLQITPQTATVAFDNVHF
+EYIEGQKVLNGISFEVPAGKKVAIVGGSGSGKSTIVRLLFRFYEPQKGNIYLAGQNIQDV
+SLESLRRAVGVVPQDAVLFHNTIYYNLLYGNISASPEEVYAVAKLAGLHDAILRMPHGYD
+TQVGERGLKLSGGEKQRVAIARAILKNPPVILYDEATSSLDSITEETILGAMRDAVKHRT
+SIFIAHRLSTVVDADEIIVLNQGKVAERGTHHDLLANPSSIYSEMWHTQSSRVQNHDNPK
+WDAKKENVSKEEERKRLQEEIVNSVKGCGNCSC
+>tr|A0A3Q1LKA7|A0A3Q1LKA7_BOVIN Coiled-coil domain containing 88C OS=Bos taurus OX=9913 GN=CCDC88C PE=4 SV=1
+MDVTVSELMELFLQSPLVTWVKTFGPFGSGNQDNLTMYMDLADGIFLNQIMLQIDPRPTN
+QRINKHVNNDVNLRIQNLTILVRNIKTYYQEVLQQLIVMNLPNVLMIGKDPLSGKSMEEI
+KKVLLLVLGCAVQCERKEEFIERIKQLDIETQAGIVAHIQEVTHNQENVFDLQWLELPDV
+APEELEALSRNMVFHLRRLIDERDECTELIVDLTQERDYLQAQHPPSPLKSSSAESTPSP
+TSSLSSEDKQHLAVELADTKARLRRVRQELEEKTEQLVDTRHEVDQLVLELQKVKQENIQ
+LAADARSARAYRDELDSLREKANRVERLEMELVRCREKLHDVDFYKARMEELREDNIILI
+ETKAMLEEQLTAARARGDKVHELEKENLQLKSKLHDLELDRDTDKKRIEELLEENMVLEI
+AQKQSMNESAHLGWELEQLSKNADLSDASRKSFVFELNECASSRILKLEKENQSLQSTIQ
+GLRDASLALEESTLKCGELQKENQQLIKKIEKLKTQLEREKQSNQDLETLSEELIKEKEQ
+LQSDMETLKADKARQIKDLEQEKDHLNQAVWTLRERSQVSSEARAKDVEQENKALHRTVA
+ETSGRLSRLELEQQQLQRALEQAQEKAGRAEALERELGQLEEEKGRLEEEKGRLAQRASA
+LQAAGERAEAAERESHGLALENRQLRKSLDALQNVSVQLAGLEQDNRQLDEENLELRRMV
+EAMRFTGAKVAQMERENQELEREKQELRKSVELLKALGKKSERLELSYQSLSAENLRLQQ
+SLDSGSQKAQALERELGQLEAENQGLRRDLEALRLAHRQLERAEQERKALEQEVAQLEKD
+KKLLEKEARRLWQQVELKDAVLDDSSAKLSAAERESRALDKELARCRDAAGKLKELEKDN
+RDLTKQVTMHTRTLTTLREDLVQEKLKSQKLSSELDKLSQELEKVGLHKEMLLQDDNSNG
+DPKYKILESINESALKTTLSMKEEKIAFLEAQVEEKASLNHQLQNEIQVLKKECEILKQS
+QEGGTQAQNSFKHPMGTSVEGHQGKDPWAPSHKEATMELLRVKDRAIELERNNAALQAEK
+QLLKEQLQHLETQNTAFSSQILTLQKQSTFLQEHNTTLQTQTAKLQVENSTLTSQSASLS
+AQYALLQSQQTAKESENESLQKQQEQLTAAYQALLQDHEHLGALHERQSAEYEALIHQHS
+CLKTLHRNLELEHKELGERHSDMLKHKAELDELEKVLRAEREALQQEQRTSAMAASENRR
+LQEELDRVNFLHHQLKGEYEELHTHTKELKTSLNSSQLELNRWQARFDTLKEQHQSMDIS
+LTKLDNHCELLSRLKGNLEEENHHLLSQIQLLSQQNQMLLEQNLENKEQYHEEQKQYIDK
+LNALRRHKEKLEEKIMDQYKFYDPAPKKKNHWIGAKALVKLIKPKKEGSRERLKSTTDSP
+PRQLESPDPTLPSASQPLRSQPENPESTPSGSSCPEERDSHNGPLGKGPGDLKPKRGSPH
+RGSLDHKDASADPAVKPWPLELGPRPCSTSAITTTPSSASSISRHPGRTKGYNSDDSLCE
+PSLELEFLHPRQYGSSDQLHGRSESFSSEDLIPSRDTATLPRDASTPGRPALSRHEYPPP
+RNGPLPQEGVQKRSTAQPHGGARPCSTSPSSEMVTLEEFLEESNRDSPSPDAPSCRDDLL
+SDYFRKASDLPSTGGQPGPLPRKEGAKMPTSFVAPTIKMPVCTSEGKPLKPGQYVKPNFR
+ATEAEAMPSVPQRQAQPSQSLSLGRARQAAMPHASHTPASRSASLNRAFSLASADLLRAS
+GPEACKQELGGPEASGGRDPGSHNLQSSTAPGSHGLARERTPLVGKAGGSCQGPSPRSRP
+LDLRRFSLAPPKEERPPPLQQSATSPAIATGGGGSSGSSSSQLQHFSPSAAPVPRTKPQG
+PLHPGELATIPPVRGGLSPSEGDGVPGQGHSEGLPAKVPGRSPDLAPQGSRTPEDFGRGS
+SSKSTPASPEPGGDQQTVWYEYGCV
+>tr|A0A3Q1NMI9|A0A3Q1NMI9_BOVIN Family with sequence similarity 149 member A OS=Bos taurus OX=9913 GN=FAM149A PE=4 SV=1
+MKVAVLDLGSLFAKIFKTSTAPPAASSPVSSSSPSRGAAAAGAAGSRPGTSLSAARTLTV
+LPALAPDSPSSSRGPSVLLQPTPAALHPLLAAPHVRVSVAGRPAEAVETPASLPSSPGAA
+KVRSSPLPLALPPAPSSGGRSSAGSPAHLVVVARQPPGPGAVWAAYPSIGGSVGSSISSS
+VTASPRNPRPPCPGEKEPSARVPPGPGPKTLFFTLPDIGEEWASDSDSEDGRLGRGLSEG
+SGKQSFTVKSKDPLPTHFTKNVQKAIAKYSRESVSSFSSSGSPTPTEAPNSWSGSGTQSS
+TTGLSTERSSIYSWRDDEFDKASAQKVQQLFWEVEEMLFEGKVSPQTQNLQAECREWAGR
+SLHLRVLGRQLIPPADEGVEHFQSSRPASAIQRPFLDDCGHSSNIRDGEDCLEQKPAHRR
+RTWRKHRLPPVSPHDCIRDAVAAEVFDHVWMNVVEVLEKLTRKHWELTEGKKQKEKLKVA
+ENKSPPTVISRINADVSSVPPSRSSETRSVSLASHLNPQQIHRFSNNFYSDLNGVMTIQA
+KPLQQRPTCFADRTQSEQEDKPLGVGSAVLSSAQNRLARITDARGPQTSAKKTLAHRRLP
+SLTSDSQRLKIPSVYSDEVLRGTKLQTGVDHMGSPPTQTPRSRLPPIGSETGEHHVAGPG
+SRPVSLRGRHLQNHGLSALPDSVERSPLRERSLTMEQFSRPSTTHTFRSDTPRKGSLTLM
+EFAGHMWTGQGFLTGSQYPPKSFQRTTLTLRKRFQVAS
+>tr|A0A3Q1LM99|A0A3Q1LM99_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MPLKGAVTGKTHTLGQERRGLLSGGVPLTAQPWGEGGGPTEPAASFSLGPYGKPSLSALP
+SPVVMSGGNVTLQCASSQGFNRFLLTKEGEDESSRTLDGQQTPDGQTQALFPVGPVTPGH
+RWTFRCYGFYRHTPRVWSAPSDPLELLVSGWLRDSPSLSVQLGPSVAPGENVTLLCQSGE
+RTDTFLLSKEGAAHRPLRLRSQSQDGRYQAEFSLSPVTSAHGGTYRCYGSLSTDPYLLSQ
+PSEPLALVVSGEAQSVHYTVQNLTRMGLAASVLLLLGVLLCQAWKDHRGARDAAWS
+>tr|E1B8I9|E1B8I9_BOVIN Muscleblind like splicing regulator 3 OS=Bos taurus OX=9913 GN=MBNL3 PE=4 SV=3
+MTAFSIALVRDAKWLTLEVCREFQRGTCSRADADCKFAHPPRICHVENGRVVACFDSLKG
+RCARENCKYLHPPPHLKTQLEINGRNNLIQQKSAAAMFAQHMQFMFQNAQMPSVNSFPVN
+PAIAANPAMAYNPYLPHHPGMGLVPAELVQNAPVLISGNPPLPLPGPVGPKPIRSDKLEV
+CREFQRGNCTRGENDCRYAHPTDVSMIETSDNTVTICMDYIKGRCSREKCKYFHPPAHLQ
+AKLKAAHYQMNHSAATAMGLQPGTLQLIPKRSALEKVSCAPPVFNPNVFHRQQALPNVPM
+PQPQPQPQFIPTGPILCMAPASSVVPMMHSAAPTTMSAAPPPATSIPFVATAPGNQIPPL
+SVDELNNSMFVTQM
+>tr|E1BE60|E1BE60_BOVIN Protein phosphatase 1 regulatory inhibitor subunit 14A OS=Bos taurus OX=9913 GN=PPP1R14A PE=4 SV=1
+MAAQRLGKRVLSKLQAPSRARGPGGSPGGLQKRHARVTVKYDRRELQRRLDVEKWIDGRL
+EELYRGREADMPDEVNIDELLELESEEERSRKIQGLLKSCTNPTEDFVQELLAKLRGLHK
+QPGLRQPSPSGDGSLSPRQDRARTAPP
+>tr|E1B840|E1B840_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=ERICH3 PE=4 SV=3
+MFAKGKKAMMKFRNSMDNSQGMNRYQFPSINRYIMPIPPPLPPTSGKITRENRPETWRRR
+RFRPTTAPNGLEPVFTKDSRRIHKTPLHSNAAITMIYLGKSVHLAYDHSDFRDEIKVYQQ
+HCGGENLCVYTGKLLEKETFQFISKRHQGFPFSLTFFLNGIQVNRLSSCCEYKHRKGSRL
+GGKRGYFGFVCVERSSPCYKCIIAMGLDKKTTSPKPRKEKNAEKKEGQKKGEGKLRKDRE
+YLIPRRREMEGSKASASAIVSAQELHAGLSEVRTAVEEMECKEKPGQDVWEDDQENIFKY
+EYEEDFEADEEKQDGKANEEGQADDQMNEMSKSPSEDEKDHLDPERESETSLWKAPDADD
+NVKDEGDGCSESELEDDKQDGKTASSTSSRSHPYSSSSEDESAVGDQETNAENSPDESVR
+SPSSQELSENDKPGKTHLPTEDSPEIEEQEIIKTDVETKPLLIEENLENVLEQEAEKGTG
+MIAESLFEKSREHVSKEEKEKRKSKLWEESTAKVKDKKAGPHRVDKGGKYGL
+>tr|F1MJV6|F1MJV6_BOVIN Adhesion G protein-coupled receptor G6 OS=Bos taurus OX=9913 GN=ADGRG6 PE=3 SV=2
+MFHSDRMWSCHWKWKPSSLLFLFALCLVYAPLSVWACANCRVVLSNPSGTFTSPCYPNDY
+PNSQACMWTLRAPTGYIIQITFNDFDIEEAPNCIYDSLSLDNGESQTKFCGATAKGLSFN
+SSVNEMHVSFSSDFSIQKKGFNASYVRVAVSLRNQKVILPQSLDSYQVSVAKSVSIPELR
+AFTLCFEATKIGKEDSEWTAFSYSDASFPQLLSFGKTKSGYFLSFFGSKCLLNSALPVKD
+KEDIFTESFEQLCIAWSSSLGSVGVNFKRNYGTVSCNSSMGKVIPGNGKLLLGSNQHDIG
+SLKGDIYNFRLWNFTISSKILSNLSCSVKGNVVDWQNDFWNIPTLALKAESNLSCGSYLI
+PLPAAELASCADLGTLCQATVNPPSTTSPTVTTNMPVTNRTDKQRNDGIIYRISIVLQNI
+LPHPEVKVQSKVAEWLNSTFQNWNYTVYVVNISFHLSTGEDKIKVRRSVVTDQRLVILAL
+LVYNATNNPTLEGRTIQQKLLRNNESLDEGLRLHKVNVRQLGVCHADEKPKGYKWPSIQP
+SEYRTPCLGKPGFFASRTCYDDPNNTSVAIWGLPDISNCSREANEVANEILNLTSDGQTL
+SSANITSIVEKVKRIVNEKENIDITLGSTLMNIFSNILTSPDSDLLESSSEALKTIDELA
+FKIDLQSTTHMNITTQNLALGVSSVSPETNEVSNFSIGLPSNNESYFQMDFKSGQMDPLA
+SVILPPNLLKNLSEEDSVLVKRAQFTFFNKTGLFQDVGTKKGTLVSYVMACSIGNITIQG
+LKDPVQIKIKHTRTQAMAHPICAFWDLNKNESSGFWNTSGCVAHKDSDANETVCLCNHLT
+HFGVLMDLQGTASQLDAKNTKILTFITYIGCGISAIFSAATLLTYVAFEKLRRDYPSKIL
+MNLSTALLFLNLVFLLDRWITSFHVDGLCTAIAALLHFFLLAAFTWMGLEAIHMYIALVK
+VFNTYIRRYILKFCIVGWGVPILVVSIVLASRKQNEVYGKKSYGREQGDEFCWIQDPVVF
+YVTCAGYFGLMFFLNVAMFIVVMVQICGRNGKRSSRTLREEVLRNLRSVVSLTFLLGMTW
+GFSFFAWGPLNVPFMYLFSIFNSLQGLFIFIFHCAMKENVQKQWRRHLCCGRFRLADNSD
+WSKTATNIIKKSSDNLGKSLSSSSIGSNSTYLTSKSKSSSTTYFKRNSHTDNVF
+>tr|A0A3Q1MTF0|A0A3Q1MTF0_BOVIN KIAA1217 OS=Bos taurus OX=9913 GN=KIAA1217 PE=4 SV=1
+MEENESQKCEPCLPYSADSRQMPEQGKSNLQVTSLEDAECRRTKERLSNGNSRVSASKSS
+RNIPRRHTLGGPRSSKEILGMQTSEMDRKREAFLEHLKQKYPHHATAIMGHQERLRDQTR
+SPKLSHSPQPPNLGDPVEHLSEASADSLEAMSEGETPSPFSRGSRTRASLPVVRSTNQTK
+ERSLGVLYLQYGDETKQLRMPNEITSADTIRALFVSAFPQQLTMKMLESPSVAIYIKDES
+RNVYYELNDVRNIQDRSLLKVYNKDPAHAFNHTPKTVNGEMRMQREIVYARGDGPGASRP
+GPTTLPPHAIPNSPPSTPVPHSMPPSPSRIPYGGTRPMVVPGNATIPRDRLSSLPVSRSI
+SPSPSAILERRDVKPDEDMSGKNLTMYRNEGFYADPYLYHEGRMSIASSHGGHPLDVPDH
+IIAYHRTAIRSASAYCNPSLQAEMHMEQSLYRQKPRKYPESHLPTLGSKTPPASPHRVGD
+LRMVEMHGHHNAHGPPHTLQPDRASPSRQGFKKEPGTLVYIEKPRTTPGLSGIVDLGPPL
+VEKQVFAYSTATIPKDRETSEKMMKTTASKNQTDGAGTPQVSGGKTLGAVDSSGPPGQPA
+PASASAVHASLLDMRRSVAELRLQLRQMRQLQLQNQELLKAMMRKAEQEISSKVIETMKR
+LEDPVQRQRVLVEQDRQKYLHEEEKIVRKLCDLEDFVDDLKKDSTSASRVVTLKDVEDGA
+FLLRQVGEAVASLKGEFPTLQNKMRAILRIEVEAVRFLKEEPHRLDSLLQRVRGLTDTLT
+MLRRHVTDGLLKGSDAAQAAQYVAMEKATAAEVLKAQEEAPHSSGQPLPGTGVPGDVKAE
+VVPLAVHHAQSSPVVIQPSQLSSALLNPAQHAPGGPGPHPASAPAATQEAPSALPSPHMP
+GDSSSVQSLFIEEIHSVSARSRAVSIEKAERKWEEKRQNLDHYNGKEFEKLLEEAQANIM
+KSIPNLEMPPASGPQPKGDAPVDKFELSEDSPNSELDLDKLGGRSPPPPPPPPRRSYLPG
+SGLTTTRSGDVVYTGRKESATAKASSGDAGPSPQARAAKCPAEEPAAAWAPSPPPVTASA
+KEEEEEEEGDKIMAELQAFQKCSFMDVNSNSHAEQCRADSHVKDTRPGAIAPSKEKKGIF
+GSWRTKQPKNLEFFHEDVRKSDVEYENGPPVESRKVSPGALTPSDHPKWEREMENSISDA
+ARTAEYKTDISMKESSLSTKSLLRDSKNHSQKNVSKVNSSFSGVSSFECEINKGPKKSGL
+QYPASDAENQKMNYGKTKGVNQQGQEDSEKGHPPAPTRSTELTTRDVKTPDQEVPMTEFG
+QVVLRPKGAKHANTIPSEDGESTPSSPSEEKATTDNIAFMITKTAVQALSSGEVRDIVSR
+QGEDVQTVNIDAKKEPLSQQEGTESEEPVVCLDKKPVIIIFDEPMDIRAAYKRLSTIFEE
+CDEELERMMREEKIEEEEEEETGDPVVQNDTPQKFPKEGALGSPRAGQEAKSKLQPHFLS
+VETGVSGGQEVNKTEQIKFNPTNSPSSESQGDVTDDQFESPKKKFKFKFPKKQLAALTQA
+IRTGTKTGKKTLQVVVYEEEEEDGTLKQHKEAKRFEISRSPSEDTPKSLFRRLEQPSPES
+SAPVSRTDEIRKNTYRTLDSLEQTIKQLENTISEMSPKALVEAACSSHRDSVASSSQTAQ
+EAGPPSLLVLDEASTALEPPSSIPSASRKGSTGTPQTSRMPVPMSSKNRPGSLDKPGKQS
+KLQDPRQYRQANGSAKKAGGDCKPTFPSLPASKIPALSPSSGKSGSLPSSSGDGPNFPNP
+PATKPSVPSNPLSPQTGRPAPSASLIPSVSNGSLKFQSPTHTGKGHHLSFSLQTQNGRAA
+PPSFSSSPPSPASPTSLNQGAKSIRSSHTPGLTSYKAQNGSSSKATPSTAKETS
+>tr|E1BK04|E1BK04_BOVIN Nuclear receptor coactivator OS=Bos taurus OX=9913 GN=NCOA1 PE=3 SV=3
+MSGLGDSSSDPANPDSHKRKGSPCDTLASSTEKRRREQENKYLEELAELLSANISDIDSL
+SVKPDKCKILKKTVDQIQLMKRMEQEKSTTDDEVQKSDISSSSQGVIEKESLGPLLLEAL
+DGFFFVVNCEGRIVFVSENVTSYLGYNQEELMNTSVYSILHVGDHAEFVKNLLPKSLVNG
+VPWPQEATRQNSHTFNCRMLIHRPDEPGPENQEACQRYEVMQCFTVSQPKSIQEDGEDFQ
+SCLICIARRLPRPPAITGVESFMTKQDTTGKIISIDTSSLRAAGRTGWEDLVRKCIYAFF
+QPQGREPSYARQLFQEVMTRGTASSPSYRFILNDGTMLSAHTKCKLCYPQSPDMQPFIMG
+IHIIDREHSGLSPQDDTNPGMSVPRVNPSVNPSISPAHGVARSSTLPPSNSNMVPTRVNR
+QQSSDLHSSSHSNSSNSQGSFGCSPGNQIVASVALNQGQASSQSTNPPLNLNNSPMEGTG
+ISLAQFMSPRRQVSSGLATRPRMPNNSFPPNIPTLSSPVSMTSTACNNSNRSYSNIPVTP
+LQSMNEGPNNSVGFSASSPVLRQMSSQNSPSRLNIQPAKAESKDNKEIASILNEMIQSDN
+SSNDSKPLDSGLLHNNDRLSDGDNKYSQTSHKLVQLLTTTAEQQLRHADIDTSCKEVLSC
+TGTSTSASTNSSSGSCPSSHSSLTERHKILHRLLQEGSPSDITTLSVEPDKKDSASTSVS
+VTGQVQGNSSVKLELDASKKKESKDHQLLRYLLDKDEKDLRSTPNLSLDDVKVKVEKKEQ
+MDPCNTNPASMTKPAPEEIKLESQSQFTADLDQFDQLLPTLEKAPQLPGLCETERMDGAV
+SNVAIKSEILPSALQSTSARPTSRLNRLPELELEAIDNQFGQPGTGDQIPWANNTVTAVN
+QNKPEDQCISSQLDELLCPPTTVEGRNDEKALLEQLVSFLSGKDETELAELDRALGIDKL
+VQGGGLDVLSERFPPQQATPPLMMEERPNLYSQPYSSPSPTANLSSPFQGMVRQKPSLGT
+MPVQVTPPRGAFSPGMGMQPRQTLNRPPAAPNQLRLQLQQRLQGQQQLIHQNRQAILNQF
+AANAPVGINMRSGMQQQITPQPPLNAQMLAQRQRELYSQQHRQRQLIQQQRAMLMRQQSF
+GNSLPPSSGLPVQMGNPRLPQGAPQQFPYPPNYGTNPGTPPASTSPFSQLAANPEAPLAN
+RSSMVNRGMTGNMGGQFGTGINPQMQQNVFQYPGSGMVPQGEANFAPSLSPGSSMVPMPI
+PPPQSSLLQQTPPTSGYQSPDMKAWQQGAMGNNNVFSQAIQNQPTPAQPGVYNNMSITVS
+MAGGNTNVQNMNPMMGQMQMSSLQMPGMSTVCPEQINDPALRHTGLYCNQLSSTDLLKTE
+ADGTQDKKTEEFFSVVTTD
+>tr|A0A3Q1MQR8|A0A3Q1MQR8_BOVIN Pleckstrin homology domain containing A5 OS=Bos taurus OX=9913 GN=PLEKHA5 PE=4 SV=1
+MTSEEKKERPISMINEASNYNMTSDYAVHPMSPVGRTSRASKKVHNFGKRSNSIKRNPNA
+PVVRRGWLYKQDSTGMKLWKKRWFVLSDLCLFYYRDEKEEGILGSILLPSFQIALLTSED
+HINRKYAFKAAHPNMRTYYFCTDTGKEMELWMKAMLDAALVQTEPVKRVDKITSENAPAK
+EINNFPNHRVLIKPEVQNNQKNKEMSRNEEKKALEAEKYGFQKDGQDRPLTKINSVKLNS
+LSSEYESGSTCPGQTGHYRPVNLNSSENKTVNVSLADLRGGSHPNTGPLHTEADRVIQRT
+NSMQQLEQWIKIQKGRGHEEETRGVISYQTLPRNMPSHRAPVMARYPEGYRTLPRNSKTR
+PESICSITPSAHDKTAGPGAEEKRRSMRDDTMWQLYEWQQRQFYNKQGTLPRHSSLTSPK
+TMVNISDQTMHSIPTSPSHGSIAAYQGFSPQRTYRSEVSSPIQRGDVTIDRRDVTIDRRD
+VTMDRRDMTMDRRDMTMDRRHRAHHPKHMYVPDRRSMPAGLTLQSVSPQSLQGKTPEELT
+LLLIKLRRQQAELSSIREHTLAQLMQLKLEAHSPKNEILSHHLQRNTIYLDHQMKENEPI
+ITMVHTMIENSALRPQLYQQFLRQRNKISLYCLSQDECRGTLYKYRPEEVDIDAKLSRLC
+EQDKVVHALEEKLQQLHKEKYTLEQALLSASQEIEMNADNPAAIQTVVLQRDDLQNGLLS
+TCRELSRATAELERAWREYDKLEYDVTVTRNQMQEQLDRLGEVQTESAGIQRAQIQKELW
+RIQDVMEGLSKHKQQRGTSETGMIGSKPFSTVKYKNEEEEVVPPRPPLPRSYDFTDQPPI
+IPPLPSDSSSLLCYSRGPVHLPEEKKIHQVQGYPRNGSHCGPDYRLYKSEPELTTVAEVD
+ESNGEEKSEPASEIETSVKGSHFPVGVVPPRTKSPTPESSTIASYVTLRKTKKMMDSRTE
+RPRSAVEQLCLAESTRPRMTVEEQMERIRRHQQACLREKKKGLNLIGALDQSPLPSPSNL
+RDNPFRITQARRKDDNSKELDTVIRENDMKPNHDTPATDTVQLKEAEPQNTDFSGEFKKT
+ENVFSETLFTPEPNGVNSEEVMDKEGDKKEVPEHVPYSPQEESQIPNHKPEGPPAEDTKD
+DIHEQEENVLSYELTPEASRGNQTMAAQSLPPSPDSSASPVPSAQPQLTEGSHFMCV
+>tr|A0A3Q1MP59|A0A3Q1MP59_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC101907335 PE=4 SV=1
+MALGRHLSLRGLCVLLLGTVVGGQVLELRLKDGAHHCEGRVEVKHQGEWGTVDDLEWTLK
+DASVVCRQLGCGAAIGFPGGAYFGPGLGPIWLSYTSCEGVESSVSDCRHSNIKDYRNASY
+SHDEDAGVVCSGFVRLAGGDGPCSGRVEVYSGEAWIPVSDGNFTLLTAEVICAELGCGKA
+VSVQGHELFRESSAWVWAEEFRCEGEEPELWVCLRVPCPGGTCHHSGSAQVVCSAYSEVR
+LMTNGSSQCEGQVEMNISGRWRALCASHWSLANANVVCRQLGCGVAISTPGGPHLVEGGD
+QILTALFHCSGAESFLWSCPVTALGGPDCSHGNTASVICSGNQTQVLPQCNDSMAEPAGS
+WASEESAPYCSGKGAGPCAGRVEILDQGSWGTICDDGWDLDDAHVVCRQLGCGEALNATG
+SAHFGAGSGPIWLDDLNCTGKESHVWRCPSRGWGQHNCRHKQDVGVICSEFLALRMVSED
+QQCAGWLEVFYNGTWGSVCCNPMEDITVSIICRQLGCGDSATLNSSVDLREGSRPQWVDR
+IHCWKTDTSLWPCPSDPWNYTSCSPKEEAYISCAGSRQLRLVDGGGPCAGRVEILNQGSW
+GTICDYSWSMKDARVVCRQLGCGKALYATLSSSFGAGSGPIWCPSRGWGKHYCDHSEDAG
+VICSGFVGLAGGDGPCSGRVEVHSGEAWIPVSDGNFTLPTAQVICAELGCGKAVSVLGHV
+PFRESDGRVWAEEFRCEGEEPKLWWCPRVPCPGGTCHYSGAAQVVCSVYTDVWLMTNGSS
+LCEGQVEMNISGQWRALCAPHWNLANANVVCYQLGCGVAISTPNGAEGSDQLWKARFHCS
+GTESFLWKCPVTALGVPDCSHDNTASVIFAGNQTQVLPQCDHFVSEPAGSAASEDSAPYC
+SDSRQLRLVDGGGPCAGRVEILDQGSWGTICDDGWDLDDARVVCRQLGCGVALNATGSAH
+FAAGSGPIWLDDLNCTGKESHVWTCPSRGWGRHDCRHKEDAGVICSDLQFLALRMVSEDQ
+PCAGWLEVFYNGTWGSVCRSPMDDVTVSIICRQLGCGDSGSLNTSVGLREGSRPRWVDLI
+QCRKMDTSLWHCPSGPWKYSSCSPKEEAYVSCAGRRPKSCPTAASCTDREKLRLRGGDSE
+CSGRVEVWHSGSWGTVCDDSWSLAEAEVVCQQLGCGQALEAVQAAGFGPGNGSIWLDEVW
+CRGRESSLWDCAEEPWEQSDCKHEEDAGVRCSGETLPTTTAGTRTTSNSLPGIFSLPGVL
+CLILGSLLFLVLIILVTQLLRWRAEHRALSSYEDALAEAVYEELDYLLTQKEGLGSPDQR
+TDVPAENYDNAEEVPVPGTPSASQGNEEEVPPEKEDGVRSSQTGSCLNFSREAADPGEGE
+ESFWLLQGKKGDPGYDDVELSALGTSPVTFS
+>tr|A0A3Q1M0U6|A0A3Q1M0U6_BOVIN VPS37A, ESCRT-I subunit OS=Bos taurus OX=9913 GN=VPS37A PE=4 SV=1
+MSWLFPLTKSASSSAAGSPGGLTSLQQQKQRLIESLRNSHSSIAEIQKDVEYRLPFTVNN
+LTININILLPPQFPQEKPVISVYPPIRHHLVDKQGVYVTSPLVSNFTMHSDLGKIIQSLL
+DEFWKNPPVLAPASTAFPYLYSNPGGMPPYASQGFPFLPPYPPQEANRTISSLSVADTVS
+SSTTSYTTAKPAAPSFGVLSNLPLPVPTTDTSTPISQNGFAYKMPDIPDTFPELSELSVS
+QLTDMNEQEDVLLEQFVTLPQLKQIITDKDDLVKSIEELARKNLLLEPSLEAKRQTVLDK
+TVMPQYHYLVLMIFFLDFLFQSCSASALQARLKVAAHEAEEESDNIAEDFLEGKTDIDDF
+LSSFMEKRTICHCRRAKEEKLQQVIAMHSQFHAPL
+>tr|F6RGV5|F6RGV5_BOVIN Mediator of RNA polymerase II transcription subunit 20 OS=Bos taurus OX=9913 GN=MED20 PE=3 SV=1
+MGVTCVSQMPVAEGKSVQQTVELLTRKLEMLGAEKQGTFCVDCETYHTAASTLGSQGQAG
+KLMYVMHNSEYPLSCFALFENGPCLVADTNFDVLMVKLKGFFQSAKASKIETRGTRYQYC
+DFLVKVGTVTMGPSARGISVEVEYGPCVVASDCWSLLLEFLQSFLGSHTPGAPAVFGNRH
+DAVYSPADTMVQYMELFNKIRKQQQVIETRKPNQ
+>tr|F1MMY0|F1MMY0_BOVIN SSD domain-containing protein OS=Bos taurus OX=9913 GN=LOC100297820 PE=4 SV=2
+PGPPAGPGPEPEPPSAEGQDWEPERGRKPGLSAEPSPGPEAPPPPPASRSENLRAPRPRC
+HTNCLEAPLSRAFQRLGRKVGAHPWIFLLLPLALTAVLGTGLMYLPRDGEEDLEEQYTPI
+GSPAKAERRFVQTHFTANDSLIFSISRKSTEVPYASVLVVSNTETLLEPDILEEISKVDD
+AVQALTVTQDNGTQIPYSEVCTKNQGSCVPPNPLLFAWKRNKGLNLRTITFPIYSLAGQI
+VSLANILGGTVLGESMGPSQLLLQAKAMRLQYYLETGEGEENERSKAWMIHFLMKVGSLE
+ESLALKKIQVVYFSSLSRQLEFEATSMTVVPLFHLAYLLIILFAIVSCYRCDCVRNKMWV
+AVFGVISTALAVVSGFGLMLYVGVPFVLIVANSPFLILGVGVDDMFIMISAWQKTSLTDS
+ISERMSDVYSKVAVSITITTVTNVLAFYTGIMTSFRSVQYFCIYTGTTLLFCYFYSITCF
+GACMALDGKREGVCLRWLKKPETPNQECSSLKKSCCLPGSSLQDECEADIHPMNLFFRDY
+FGPFLTSTKAKICVVLLYASYIITSLYGCFRVEEGLDLRNLASDDSYITPYFNVEEEHFS
+TYGPRVMVIITEALDYWDKDARQKLEKCLADFENSEYVDENLTEFWLREYVKYMENLRQD
+INDKTAFLSNIPTFLMDFPLFAYDINITSSQEIVCSRAFVQTMGISSSTSRKLMLLQFRD
+MAEKCEVPLMVYNSAFIYFDQFSAIVENTVRNVIVASAAMFIVSLLLIPHPLCSLWVTFA
+IASVIVGVTGFMAFWNVNLDSISMINLVICIGFSFDFSAHISYAFVSSSEPSGNRKAIEA
+LYLLGYPVLQSAVSTIIGVCVLSAAKAYIFRTFFKIMFLVMVFGAAHGLIFIPVFLTFF
+>tr|A0A3Q1M8A1|A0A3Q1M8A1_BOVIN Ankyrin repeat domain 6 OS=Bos taurus OX=9913 GN=ANKRD6 PE=4 SV=1
+MSQQDAVAALSERLLIAAYKGQTENVVQLINKGAKVAVTKHGRTPLHLAANKGHLSVVQI
+LLKAGCDLDVQDDGDQTALHRATVVGNTEVIAALIQEGCALDRQDKDGNTALHEASWHGF
+SQSAKLLVKAGANVLAKNKAGNTALHLACQNSHAQSTRVLLLGGSRADLKNNAGDTCLHV
+AARYNHLSIIKLLLSAFCSVHEKNQAGDTALHIAAALNHKKVVKILLEAGADGTIVNNAG
+RTPLETARYHNNPEVALLLTKAPQVLRFSRGRSLRKKRERLKEERRAQSVPRDEVAQSKG
+SVSAGDTHSSEQAAPRKEEAREDVLSASPEPRAKDSRQRKSRPKVSAFSDPTPPADQQPG
+QQKNVHAHSHPKKRPRHRCSPPPPPHEFRAYQLYTLYRGKDGKVMQAPINGCRCEPLINK
+LENQLEATVEEIKAELVSVQDKMNVKLGHMENKTQHQMRVLDKLMVERLSAERTECLNRL
+QQHSDSEKHEGEKRQMSLVDELKTWCMLKIQNLELKLSGDSRASRTKSTPSTCVDQSVVT
+AGPVAASDSSPQVVRPKEKALSSTATHRLQQQELSSSDCTGSRLRNVKVQTASLPLKETA
+RCDPQAGPCVDRGTQTKKSGKSGQTRHRGQQPAVSTAGGQPLPSAAGGEQTAPHVRDTSQ
+ALELTQYFFEAVSTQMEKWYERKIEEARSQASQKAQQDKATLEEHIKSLEEELAKLRTKV
+QKEN
+>tr|F1MSP2|F1MSP2_BOVIN PBX homeobox 3 OS=Bos taurus OX=9913 GN=PBX3 PE=4 SV=2
+MDDQSRMLQTLAGVNLAGHSVQGGMALPPPPHGHEGADGDGRKQDIGDILHQIMTITDQS
+LDEAQAKKHALNCHRMKPALFSVLCEIKEKTGLSIRGAQEEDPPDPQLMRLDNMLLAEGV
+SGPEKGGGSAAAAAAAAASGGSSDNSIEHSDYRAKLTQIRQIYHTELEKYEQACNEFTTH
+VMNLLREQSRTRPISPKEIERMVGIIHRKFSSIQMQLKQSTCEAVMILRSRFLDARRKRR
+NFSKQATEILNEYFYSHLSNPYPSEEAKEELAKKCSITVSQVSNWFGNKRIRYKKNIGKF
+QEEANLYAAKTAVTAAHAVAAAVQNNQTNSPTTPNSGSSGSFNLPNSGDMFMNMQSLNGD
+SYQGSQVGANVQSQVDTLRHVINQTGGYSDGLGGNSLYSPHNLNANGGWQDATTPSSVTS
+PTEGPGSVHSDTSN
+>tr|A0A3Q1M850|A0A3Q1M850_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=RPGR PE=4 SV=1
+MPREWLWGSKGLSAPASELRHCLRPSRVDAADFLAEEQAFHSAITMGEPDKMVPDSGAVF
+TFGKTKFAENMPSKFWFKRDIPISLSCGDEHTAITTGNNKLFMFGSNNWGQLGLGSKATV
+NKPTCIKALKPEKVKFVACGRNHTLILTGGGQVYATGGNNEGQLGLGDTDERSAFHLISF
+FTSQHKIKQLSAGSNTSAALTEDGELFMWGDNSEGQIGLQNITNICVPHQVTIGKPISWI
+SCGYYHSAFVTTEGELYTFGEPESGKLGLPPKLLVNHKVPQLVPGISEKVIQVACGGGHT
+VVLTEKAVYTFGLGQFGQLGLGTFTFETSEPKVIESVKDKKISHVCCGENHTALITESGL
+LYTFGDGRHGKLGLGMENFTNQFVPTLCSSFLKYIVHLVACGGCHMLVFATPRLGMAEDI
+ESDEINYSYLPSAIYVPISDPPLENVLQRSLSARVRRREREKSPDSLRMTGILPPVEGTS
+PQPICFSPHLIPFSMSASNLLVRTICEDENSMPPMEPDYFQHKVTKEKDTDSLSAEDSES
+LGETADVLNMTHMLHLNSNEKLKLSPIQKQKDHELFENNLAEETDKYTEGKKEDTGDDKS
+VPKDDHSDTDNSVKKDEKVNREERAIHEYNENPKGNMCDRAKSSSSEILEDNESTPTKDI
+KKSKIFLFKRMSLMSQKIVQINNEPLPEIKPIGDQIAFKRNKKDANQNHMGQNHQDTSPP
+NMERRSKSCTIL
+>tr|A0A3Q1LWV2|A0A3Q1LWV2_BOVIN Interleukin-1 receptor-associated kinase-like 2 OS=Bos taurus OX=9913 GN=IRAK2 PE=4 SV=1
+MSCYIYQLPSWVLDDLCRNMDTLSEWDWMQFGKPVLEVKSSIPDFSDTVKPGKPLAASVR
+NTEDKQETGQPVRPPTFPGSGPAAVRVNLPAPPEDASSSLKTNQTASADSKDFSASIPKQ
+ETLSSLAGDDLFWSEVDVIQATDNFNPSHKISEGTFADVYRGHKRGTPFIFKKLREMACS
+GPGSVEKFFQAEVQICRRCCHPNVLPLLGCCTGEQFYSLIYPYMANGSLQDRLQGQGGSD
+PLPWPQRISICSGLLHAVEHLHSLEIIHGNVKSSNVLLDQNFTPKLAHSMAHPCPVNRTS
+KYTMMKTHLFQASTAYLPEDFIRVGQLTKRVDIFSCGIVLAEVLTGIPAMDNNRNPVYLK
+DLLLHEIPSSTISLCSRKMGVEKEMAKEICQKYLEKRAGRLPEAHAEALVMAACLCLRRR
+NASLAEVCSSVAAVEEQLRGQETSLPCSGLSEGTGSSFNTPEETDDVDNSSFDGSYSMRA
+APWAGATSSPPLTADEEGMLLAGGAVEADSSAEACAPPEPPQDATETSWKIEINEAKRKL
+MENILLYKEEKLDSIELFGP
+>tr|A0A3Q1M8J0|A0A3Q1M8J0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MDWGKTLSSEQPRAADLASLPLISRLSRVSRARACLSGWVVPGSGSWDLGETPGVGSASG
+PGLGGQGMQTPMGSAPAFSLRIDLSPKPLPGGLWPPVKAPSLGTRSRA
+>tr|A0A3Q1LUR7|A0A3Q1LUR7_BOVIN Katanin p60 ATPase-containing subunit A-like 2 OS=Bos taurus OX=9913 GN=KATNAL2 PE=1 SV=1
+MSRYIDAANALEQETKMGLRRFQVCDNVDLETILMEYESYYFVKFQKYPKIVKKASDTAE
+NSLPQGSGGKTRRVMTDSCQNLPKINQQRPRSKTTVGKPGDARPLHVEHPKQDVVNHTHK
+ENADFGLSISGINKGSGEENVRPQKGPIIDFRGLLTDAIKGATSELGLNSFDCNPDPSER
+LLKPLSAFIGMNSEMRELAAVVSRDIYLHNPNIKWNDIIGLDAAKQLVKEAVVYPIRYPQ
+LFTGILSPWKGLLLYGPPGTGKTLLAKAVATECKTTFFNISASTIVSKWRGDSEKLVRVL
+FELARYHAPSTIFLDELESVMSQRGTAPGGEHEGSLRMKTELLVQMDGLARSEDLVFVLA
+ASNLPWELDCAMLRRLEKRILVDLPSQEAREAMIHHWLPAVSRSSALELRADLEYSLLSR
+ETEGYSGSDIKLVCREAAMRPVRKIFNALENHQSGSSNLPGIQLDTVTTADFLDVLAHTK
+PSAKSLTQRYAAWQSEFESV
+>tr|A0A3Q1N2B0|A0A3Q1N2B0_BOVIN Slingshot protein phosphatase 2 OS=Bos taurus OX=9913 GN=SSH2 PE=4 SV=1
+MALVTVQRSPTPSTTSSPCASEADSGEEECRSQPRSISESFLTVKGAALFLPRGNGSSTP
+RVSHRRNKHAGDLQQHLQAMFILLRPEDNIRLAVRLESTYQNRTRYMVVVSTNGRQDTEE
+SIVLGMDFSSNDSSTCTMGLVLPLWSDTLIHLDGDGGFSVSTDNRVHIFKPVSVQAMWSA
+LQSLHKACEVARMHNYYPGSLFLTWVSYYESHINSDQSSVNEWNAMQDVQSHRPDSPALF
+TDIPTERERTERLIKTRLREIMMQKDLENITSKEIRTELEMQMVCNLREFKEFIDNEMIV
+ILGQMDSPTQIFEHVFLGSEWNASNLEDLQNRGVRYILNVTREIDNFFPGVFEYHNIRVY
+DEEATDLLAYWNDTYKFISKAKKHGSKCLVHCKMGVSRSASTVIAYAMKEYGWNLDRAYD
+YVKERRTVTKPNPSFMRQLEEYQGILLASKQRHNKLWRSHSDSDLSDHHEPICKPGLELN
+KKEITTSADQIAEVKTMESHPPIPPVFVEHVVPQDENQKALCTKERMICLEFTSQEFHAG
+QIEDELNLNDINGCSSGCCLNEPKFPLDNCHASKALIQPGKDPEMAHKFPDLTVEDLETD
+ALKADMNVHLLPMEELTSRLKDLPMSPDPESPSPQPSCQATVSDFSGDRIDFFSALEKFV
+ELSQETRSRSFSHSRMEEVGGGRNESCRLSVVEAAPSEATTDDQRSSSLSNTPHASEESS
+VDEEQSKAISEQVSPDIFMQPHSENAMSVKEIVTEIESISQGVGQIQVKGDVLSNPCHTP
+KKHIVHELPLERAQAAENRPGNLEQSEGSCPAQPEPAKDSGKGDPEGCPGAHSSTAEVEE
+EEPVEGEQELWGPGMPPGAKWYPGSVRRATLEFEERLRQEQEHHGAAPAGTSLSTRKNSK
+NDSSVADLAAKGKSDEAAPELSYVPKEPETGRGQGRCGGSESGSLLRPEQLAIVPAPELR
+ESHPAPATQDGPRHEGILREPRTVVSGQGPETPAAPAAFPKKIEIIEYTYTATSPDPGPG
+WERASSEKSGAQGLRTVKVEETLTVLCALDENLNRTLSPDQAPLHPRVLPPPHSSSPQHE
+HSRPVDLPPTLSSPAAPIVSPTTQPCGASPDHLHPQTVVHLEGFTGQSSTTDSEPSTEQG
+SWEESQEGPLSRASEVPYQGSQFSSEDLHLISQLGNNIGEQPEKLDPTSVAHQLPHSSSS
+DSIESPSQSPRVVKGRAKEIESRATSQVGLPKPPQLRRSASLAKLGYLDLCKDCSPEREP
+VSSESPHLKLLQPFLRTDSGMEAQEPPENPDTPQNREPTKYLIEQLRTTECIAQSRPVER
+PLAQYAKEFGSSQQCLLPRAGPGLTSSEGGLPSVPTQGLQDTSPAPGLAVAPREQHGRTH
+PLRRLRKANDKKRTTNPFYNTM
+>tr|A0A3Q1LVZ6|A0A3Q1LVZ6_BOVIN Chromosome 23 C6orf106 homolog OS=Bos taurus OX=9913 GN=ILRUN PE=4 SV=1
+MEGMDVDLDPELMQKFSCLGTTDKDVLISEFQRLLGFQLNPAGCAFFLDMTNWNLQAAIG
+AYYDFESPNISVPSMSFVEDVTIGEGESIPPDTQFIKTWRIQNSDVIWVILSVEVGGLLG
+VTQQLSSFETEFNTQPHRKVEGNFNPFASPQKNRQSDENNLKDPGGSEFDSISKNTWAPA
+PDQTEQDENRLSQNSVNLSPSSHANNLSVVTYSKGLHGPYPFGQS
+>tr|F1N1N1|F1N1N1_BOVIN Nucleolus and neural progenitor protein OS=Bos taurus OX=9913 GN=NEPRO PE=4 SV=3
+MATLLPGPEPWNRVRIPKAGSRSAVTVQNPDTALDLCIAAIIKECCRITLSLKSRTLDAE
+TDVLCAILYSNHNRMGRHKPHLALRQVEQCLKRLKNMNLEGSIQDLSESFSSNENQPINT
+KACVIPSQPVVELVLMKILGACKLLLRLLDCCCKTFLLTVKHLGLQEFIILNLVMVGLVS
+RLWVLYKDVLKRLVSLYEPLFGLLQEVSRIQPLPYFKGFTFPSDIVEFLGEPYFEVFKKK
+MPTAFVAKGVTKLLNKLFLTKEQSQRSGEETLLRISEKAKQMKINIQNNMDLGQPVKSKK
+ILKEKSSEFDVRAFCKQLKQKAIQEKSFEFKYSPSKLKATKHSSQKAIGTPCVKSLVQRF
+REAETFTQLSEEIQMAILWCRSKKLKAQTAFLGNKLLKSNRLKHVEAQGSSLPKKLQCIK
+TSICNCLLHGLGMKTSKHHLRQRRSQNKFSLKGRKQRKLQSTLLKEIQQPPQGTQSATDV
+IKGRLSDSTVRRTDLYPNNKQVNRRVSNPVIQTKETQTHEKLTESNENKTDSWTVMQGNK
+HNTSGSTKETDDIDDIFALMGV
+>tr|A0A3Q1NCG2|A0A3Q1NCG2_BOVIN Cobl domain-containing protein OS=Bos taurus OX=9913 GN=COBLL1 PE=4 SV=1
+MDQKENMTDKDIELLVVLPGDIIKSTTVNGSKPMMDLLIFLCAQYHLNPSSHTIDLLSAE
+KNPIKFKPNTPIGMLEVEKVILKPKILDKKKPTPLIPEKTVRVVINFKKTQKTIVRVSPH
+APLQELIAIICSKCEFDPLHTQLLKDYQSQEPLDLTKSLNELGLRELYAIDVSKATSVTA
+FNKSSLQESCQISQNLDLMKDKESKGFFSLFQRSKKKREQTVSAPATPLVSKHRPTFTKS
+NTISKQYISNTLPSDAPKKRRAPLPPMPGSQSSPQDPAHIQERPASCMVKSLSVDETEES
+SYGEGRVRTGSLQLSSTSAGNSSLKRTKRKAPSPPSKTVLLQSDENSHVTATQSVPAVPT
+DSGVEVSSSEGLSSPEASLGPGNEQCAVSQLPAEAPVSGCPGTPEAAATSLPSGISSDYS
+LEEIDEKEELSEMPKDEAENTSLKSQDIPTVSTDIINTLKNDPHSGPGSATGESSQNSKE
+EKQGTRNTDEQRLHIMVCNSSDKETVVDSVRNLKSLDPNQERDQHEIIVIPTNTENNMKN
+GVRRTEINVAGVAKNNNVDIEVDRLSNYQAYKTDTAGRYKENHLAVSSAPDPNLIQPSAE
+KTKMQDAAIQTIPSCGSFDGDQQDHNLSDVKVDESVQTSSNNKSTQHLSLSPQDSIDISG
+KFRSKGPLVVYTEEQLALKDPTCAHGNDNLLPTVDGTDKNPTASYVKNYPFYRQDYNPKP
+KPSNEITREYIPKIGMTTYKIVPPRSLEISKDWESETIGYKNDQEIHTLGKKDTYENVKE
+TTIQAEDLVISESPKESQADLKSKPTLRTEHQMQSDERFTRSRMVNPLKPPRMTSDTGTA
+PFAPKLEDINNILESKFKSRVSNPHSKPSAFFLQMQKRVSDHYVSSAAAKSVQAASNPTP
+KELKKEVERDTIPPPEPALSPLSKTIQSLPQPHVQNTDDDSNQKPTETSSSPVASPPPPP
+PPPPPVASPLSPPAVAFPLPPPPVASPLTPPPWPRPFPLLPWPSPFPSPRGRLPSPSSRG
+LAPSPSSRGLPPSPSPRGLAPSPSSRGRLPSPSSRGLAPSLSSRGCLPSPSSRGRLPSPS
+SRGLQTCSSAQESVSNTESENSENFWCPTTILQLCSFTVCPRCGETVTVFQQKPYRVMQ
+>tr|A0A3Q1N7A3|A0A3Q1N7A3_BOVIN IGv domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MCNRLLCCVVLLLLRVGLKDAAVTQFPRRRILETEKEFTLQCSQKMNHYAMYWYRQDPGF
+GLRLIYYSTGPDSFKKGDVPEGYHVSRDELEHFPLTLKSASPNQTSVYFCASAESTAWHG
+CFLSAQKEGQTEVLKIPDPN
+>tr|A0A3Q1LWV3|A0A3Q1LWV3_BOVIN Clusterin associated protein 1 OS=Bos taurus OX=9913 GN=CLUAP1 PE=4 SV=1
+MSFRDLRNFTEMMRALGYPRHISMENFRTPNFGLVSEVLLWLVKRYEPQNDIPSDVETEQ
+DRVFFIKAIAQFMATKAHIKLNTKKLYQADGYAVKELLKITSVLYNAMKTKGMEGSKRAE
+EDVSKFKFDLGSKIADLKAARQLASEITSKGASLYDLLGKEVELRELRTEAVARPLEINE
+TEKVMRIAIKDILAQVQKTKDLLNNVASDEANLEAKIEKRKLELERNRKRLQTLQSVRPA
+FMDEYEKIEEELQKQYEIYLEKFRNLAYLEQQLEDHHRMEQERFEETENTLRLMQNKLKE
+EGKRLLKSGSQPGVPDAALGDKPAWSVSPSERPGTGNGDSDVDIQEDDESDSELEERRLS
+QLRTAMEVLTQGQPSKHVVGTMQGGDTDDDMEAAPAFLGKCKTSSSKKQEDLEDSEIDME
+DDEDDDDLEDESVALSAAKPSRRGRKPEPLEESDSDF
+>tr|A0A3Q1MRC4|A0A3Q1MRC4_BOVIN Interleukin 2 receptor subunit beta OS=Bos taurus OX=9913 GN=IL2RB PE=4 SV=1
+MISEPAGAKALRLAWWGADGCTSGGQSPACLLGGGGGGWLLTRALSPSSSIQGSLPQPPL
+VDVMAAPALSCCLSLLILLLLLAIPQTSTAVNGLSISSPGRNRSGGGTLEGHGCPTASPT
+RHIFTAYASNITCFYNSRANVSCIWSHDEGLRATTCCLHSRQPQREVLFPTKQWNHTCEL
+QPVRPGSWACNLVLGHSPEAQKLTVVDVMKLTVMCSEGGKWRRMMTQDIKPFDYIRLVPP
+HSLQVVRIETHRCNITWTVSQVSHYIQNDVEFEARLRYADHSWEDARLLTLRQNQQWISL
+ENLAPGMEYELQVRAKPRLGSHEVWSHWSQPLAFRTVPAETKKKIPPLPWLNHIFLGVGS
+FFGLVLLVYFLGSFRCIRLWLKNVLKCHIPDPSEFFSQLSSEHGGDFQKWLSSPFPSSSF
+SRSGLDPEISPLEVLDRDAKATQLLLLQQDKGSSSSAETSGHSVTSCFTNQGYFFFHLPD
+ALEIEACQVYFAYDPCTEELDEGGPRAPEGALLPPLPTPPGDDDAYCTFPPGEDLLLFSP
+SLLSGPGPPNTAQWGTGAGEERLASSPQEGVPGDWTPQPLRPPALEAPDLVDLQSSPEHE
+LGEARERVPGPSPREGTSFPWASPPGQGQVRAPTVCLTLNTDAYLSLQELQDQDPAYLV
+>tr|E1B7J2|E1B7J2_BOVIN Activating transcription factor 7 interacting protein 2 OS=Bos taurus OX=9913 GN=ATF7IP2 PE=4 SV=2
+MASPDRSKRKILKAKKTMPVSCRKQVEILNKSRNVEALKTAAIENNISSGNQKSSTDVIS
+SKCRHSENDASSLNSIKNSVCGPKSKEFSQNLIKPLEITDSETRLEYTEEQVVYPYEKPS
+KTEFPGKLFIHKAKASQNTSENHFECQASVTRSFFEHEEDCNLKSICHLSSVLSDIVQIP
+KSTKTNTLDDKRIDKIVSYLEANSSAELYDKKQSDILVFSSDDPFVPGDKIPKLVNSVIS
+SNCADDILKSEESYRTCLSSILSCENIDAEWLSSRDTDSNNSHNQKKRMFSENKENVKRM
+KTSEQINENISVALEKQTALLEQVRHLVRQEIYSISYKLFDNKLRELTERIGKTQCKNKH
+EAIADELFAKIAKLQRRVKAVLSQRHFVEPNVVSNNTVCKVANSETMNLDKNPESVNSPQ
+ERETVKSETTNYSEKASEKINWSREPNEAVSESNNDVLLISVESPNLTIPVASNPTATGK
+IASGNSNNSADAEKRAMKKKYDSVIDLTKDDLSNCSTESPVSTLESPVKAVSVSKETTPV
+SQNAAQVCESFEHLPPLPEPPLPLSELADKVRDTLPPQKPELKVKRVLRPRGIALTWNIT
+KINPKCAPVESYHLFLCHENPSNKLIWKKIGEIKALPLPMACTLSKFLASSKYYFTVQSK
+DIFGRYGPFCDIKSIPGFSENLT
+>tr|F1N406|F1N406_BOVIN Echinoderm microtubule associated protein like 6 OS=Bos taurus OX=9913 GN=EML6 PE=4 SV=2
+MADRTAPSCQLRLEWVYGYRGHQCRNNLYYTAGKEVVYFVAGVGVVYNTREHSQKFFLGH
+NDDIISLALHPDKTLVATGQVGKEPYICIWDSYNVQTVSILKDVHTHGVACLTFDSDGQR
+LASVGLDAKNTVCIWDWRKGKLLASATGHSDRIFDISWDPYQPNRVVSCGVKHIKFWTLC
+GNALTAKRGIFGKTGDLQTILCLACAKEDITYSGALNGDIYVWKGLNLVRTIQGAHSAGI
+FSMYACEEGFATGGRDGCIRLWDTDFKPITKIDLRETEQGYKGLSIRSVCWKADRLLAGT
+QDSEIFEVIVRERDKPMLILQGHCEGELWALALHPKKPLAVTGSDDRSVRLWSLADHALI
+ARCNMEEAVRSVAFSPDGSQLALGMKDGSFIVLRVRDMTEVVHIKDRKEVIHEMKFSPDG
+SYLAVGSNDGPVDVYAVAQRYKKIGECNKSLSFITHIDWSLDSKYLQTNDGAGERLFYKM
+PSGKSLTSKEEIKGIPWASWTCVKGPEVSGIWPKYTDVTDINSVDANYNSSVLVSGDDFG
+LVKLFKFPCLKKGAKFRKYVGHSAHVTNVRWSHDFQWVLSTGGADHSVFQWRFIPEGVSN
+GLLETAPQDGGTDSYSEESDSDLSDVPELDSDIEQETQINYDRQVYKEDLPQLKQQSKEK
+NHAVPFLKREKAPEDSLKLQFIHGYRGYDCRNNLFYTQAGEVVYHIAAVAVVYNRQQHSQ
+RLYLGHDDDILSLTIHPVKDYVATGQVGRDAAIHVWDTQTLKCLSLLKGQHQRGVCALDF
+SADGKCLVSVGLDDFHSIVFWDWKKGEKIATTRGHKDKIFVVKCNPHHVDRLVTVGIKHI
+RFWQQAGGGFTSKRGIFGSVGKLETMMCVSYGRMEDLVFSGAATGDIFIWKDILLLKTVK
+AHDGPVFAMYALDKGFVTGGKDGIVELWDDMFERCLKTYAIKRAALSTSSKGLLLEDNPS
+IRAITLGHGHILVGTKNGEILEMDKSGPVTLLVQGHMEGEVWGLAAHPLLPICATVSDDK
+TLRIWELSSQHRMLAVRKLKKGGRCCAFSPDGKALAVGLNDGSFLVVNADTVEDMVSFHH
+RKEMISDIKFSKDTGKYLAVASHDNFVDIYNVLTSKRVGICKGASSYITHIDWDSRGKLL
+QVNSGAKEQLFFEAPRGKRHIIRPSEIEKIEWDTWTCVLGPTCEGIWPVHSDVTDVNAAS
+LTKDCSLLATGDDFGFIKLFSYPVKGQHARFKKYVGHSAHVTNVRWLNNDSVLLTVGGAD
+TALMIWTREFVGTQESKLVDSEESDTDAEEDGGYDSDVAREKAIDYTTKIYAVSIREMEG
+TKPHQQMKEVSVEERPPVSRAAPQPEKLQKNNITKKKKLVEELALDHVFGYRGFDCRNNL
+HYLNDGADIIFHTAAAGIVQNLSTGSQSFYLEHTDDILCLTVNQHPKYRNVVATSQIGTT
+PCIHVWDAMTKHTVSMLRCFHSKGVNYVNFSATGKLLVSVGVDPEHTITVWRWQEGAKVA
+SRGGHLERIFVVEFRPDSDTQFVSVGVKHMKFWTLAGSALLYKKGVIGSMEAAKMQTMLS
+VAFGANNLTFTGAINGDVYVWKDHFLVRLVAKAHTGPVFTMYTTLRDGLIVTGGKERPTK
+EGGAVKLWDQEMKRCRAFQLETGQLVECVRSVCRGKGKILVGTKDGEIIEVGEKNAASNI
+LIDGHMEGEIWGLATHPSKDIFISASNDGTARIWDLADKKLLNKVSLGHAAQCAAYSPDG
+EMVAIGMKNGEFVVLLVNSLKVWGKKRDRKSAIQDIRISPDSRFLAVGSSEHTVDFYDLT
+QGTSLNRIGYCKDIPSFVIQMDFSADGKYIQVSTGAYKRQVHEVPLGKQVTEAVVIEKIT
+WASWTSILGDEVIGIWPRNADKADVNCACVTHAGLNIVTGDDFGLVKLFDFPCTEKFAKH
+KRYFGHSAHVTNIRFSHDDKYVVSTGGDDCSVFVWRCL
+>tr|F1N4L8|F1N4L8_BOVIN Fatty acid desaturase 1 OS=Bos taurus OX=9913 GN=FADS1 PE=4 SV=3
+MAPETPAQGPTPRYFTWDEVAQRSGREKERWLVIDRKVYNISEFVRRHPGGSRVISHYAG
+QDATDPFVAFHINKGLVRKYMNSLLIGELSPEQPSFEPTKNKELIHEFRELRATVERMGL
+MKANPVFFLLYLLHILLLDVAAWLTLWLFGTSLVPFLLCSVLLSIVQAQAGWLQHDFGHL
+SVFGTSKWNHLLHHFVIGHLKGAPASWWNHLHFQHHAKPNCFRKDPDINMHPFFFALGKV
+LSVELGKQKKKYMPYNHQHKYFFLIGPPALLPVYFQWYIFYFVIHRKKWVDLAWMITFYV
+RIFLTYVPLLGLKGFLGLVFMVRFLESNWFVWVTQMNHIPMHIDHDRNMDWVSTQLQATC
+NVHKSAFNDWFSGHLNFQIEHHLFPTMPRHNYHKVAPLVQSLCAKHGIKYQSKPLFSAFA
+DIVHSLKESGQLWLDAYLHQ
+>tr|F1N2V1|F1N2V1_BOVIN Protein FAM71D OS=Bos taurus OX=9913 GN=FAM71D PE=4 SV=1
+MKKSNRKSPTRIDEKDDICVPDSKDPGELQNMLNGGEYAPFVSPPILESNFIQVNRRGES
+IYLHNRANWVTVGICSSNPTTKTPNVMLLAHLTPTAQKDSEPLFTSLLTSPSPENLVLTR
+FLPLQFVTLSVHDAENMRIKVKLVSGRAYYLQLCAPACKQEALFCQWVELISLLNKEKAK
+ASKVSEVSSLSEITNSTDITGSVDIMDIAAFPAIQTSHLSTCSDPNNDVESVDFSEFTDI
+TDVTDVTDIPENEVTEAPDINIVTEVTEVTDICGVTASSGVRVVFENDDILKAKQEEKEK
+MENILKSGCLRDTKSKNEFRESPKRVTISNLALTFEGERCFQTTLTPEEDETEKSKEMSD
+RPREIRTMDSESTTLKAEEPRSRRTDSDTSDKCKLLN
+>tr|F1N1D3|F1N1D3_BOVIN Family with sequence similarity 184 member B OS=Bos taurus OX=9913 GN=FAM184B PE=4 SV=2
+MASALNSKIHAPGNCPASKADARGGAGWRMDCDPEMHMKMCKKIAQLTKVIYALNTRQDE
+TEAGMEALREAHQEELQQAVAETEARLLQAQGRVGEEEALRQRIQALESALELQKQLTQE
+ALAQSASCRLETQERELRVEAEHAERVLTLSKEMLELKADYEKRLRHLSGHEAPRWDRLT
+KEGPEPKAEPGCGPEMREVLLEVERLRTENQQLSKDYARKAEELQATYERENEAVRQAMQ
+QSVSEALWQWQEKETDLRKNFQAQEAALQAQVRKLEGDLEHRGRKISDLKKYAQKLKDRI
+QDLDVQLKEARQENSELKSTAKKLGEKLAIAKDKLMLQECHVTQKTGDMKTERVSENKVL
+RKENNLEACSLHPQQDQNFAKECPCTKGGTDTQTKKEACIETEYMKQKYEEDLRKIKHQT
+EEEKKHLKDQLMKRLEDLVKKHTVEIKSVRSSVEAERKRLQKEVEAQLEEVKKKSENEIK
+QLEEEKAALSVKLQNSLLEVLRLEEFIQQNKACPPRGDEKPQELDYQHCNILETQDPCLR
+PNEPSQTLPRGEEYQDKLAAEEGTSSDEEERIEAPIKEGGDLQPPLGSLPKEKAPEIQRL
+QEEWQSQKARLQAQATEERLKKESSHSLQIQHQAHRLEMQALEEKARQELQGELERMQAQ
+QALLLESLRQELAEQRAACSEHQKDLEVLQTELRALDSMGRRQAITQCPGDSEDHAGTSE
+KEGGPGQAGSPKSKSAAELQPSEGCSLREENSQLKEAVKRLQAEVEQHQQEALQLRDQSR
+LLEEDQQAQRAREVEILRQEHRKEMQAMVADFSSAQARLQARLAALETELKDSGEKPGKG
+ASRPEDVQLIGRLQTRLKEREEIIKQLTEERRFHYAAFPSAMSHRNRSFSFNPHPGYLTP
+SMKKKKMEEVPSRVVSVPNLASYAKNFLSGDLSSRINAPPITKSPSLDPGPSCGRPYKPT
+PSLDVQTATRTQDDDTAQPKEAQQKQGSAHQEWFTKYFSF
+>tr|G3MX87|G3MX87_BOVIN RUN and FYVE domain containing 4 OS=Bos taurus OX=9913 GN=RUFY4 PE=4 SV=2
+MAKEGAVLKMTRDLKAAVSAILQGYGAGQQPVTDTSAELHRLCGCLELLLQFDQKEQKSF
+LRPRKDYWDFLCAALWQQRGDTEPVRFVHSLDKLKTPLGKGRAFIRFCLAHGQLAESLQL
+CLLNPEFTREWYGPRSPLVCPELREDILDSLYALNGMTFDLDLQRPDLDGAWPMFSESHC
+SNSSRTRGRRPRKTRESPKEISATYGNSTEVHLEEPHTSQASCLQDAPRKDGLAGLPRSQ
+QHRHHPHFLEKKKEDPRSLGCPPSMWELDGEEFQQDQEKGVPRTEICLEDSTPTIQGQGE
+GARETLKEVVGSEAEGKGALLGVEGQRTTKGTHEGEAERSHIHRLLASSPKGTIGDVVSW
+GKHDGKVPSIPGELWVLQGLGTKEDSTSEKPQEQTGVTSVTRREEQAEMALQDVVKSLRY
+GLQKTQEQAQHQEQMLKEQEGELKALQEQLSRCQEERARLQAELEQKQREAERKDAVYEE
+ELGGQRDLVRAMKSRVLELIQEKDILWQKVQHLSSMAPGCCVVCSKIFGRLSRRYPCRLC
+GGLVCHACSVDYKKRERHCPPCSQRRDAQVL
+>tr|F1MIB3|F1MIB3_BOVIN Zinc finger protein 462 OS=Bos taurus OX=9913 GN=ZNF462 PE=4 SV=3
+MMEVLQCDGCDFRAPSYEDLKAHIQDVHTAFLQPTDVAEDNANESRSGSMNASNQTEVEF
+SSIKDEFAIAEDLTGQNAAALGTGSYYGHSPGYYSQHIAPNPKPTNKFFQCKFCVRYFRS
+KNLLIEHTRKVHGAQAEGSSAGPPVPGSLNYNIMMHEGFGKVFSCQFCTYKSPRRARIIK
+HQKMYHKNNLKETTAPPPAPAPMPDPVVPPMSLQDPCKELPAEVVERSILESMVKPLTKS
+RGNFCCEWCSYQTPRRERWCDHMMKKHRSMVKILSSLRQQQEGTNVPEAQNKNDLSPTSN
+STYLSMNAASRELPNTNVSNFRGSIGNSIMRPNSSSTSKFSPMSYPQMKPKSPHNSGLVN
+LAERSRYGMPDMTNSADLDTNSMLNDSSSDEELNEIDSENGLNAMDHQTVGMSAEQLMGS
+EGNKLLETKGIPFRRFMNRFQCPFCPFLTMHRRSISRHIENIHLSGKTAVYKCDECPFTC
+KSSLKLGAHKQCHTGTTSDWDAVNSQSEGISSSLNEGVVSYESSSINGRKSGVLLDPLQQ
+QQPPPPPPPPPPPPPSQPQPPQLQSPHQVPPQPQLPPPPPPPPAQAPPLHPYKCTMCNYS
+TTTLKGLRVHQQHKHSFCDNLPKFEGQTSSLPLDSETDSHPSSSNTVKKSQTSILGLSSK
+NNFVAKASRKLANDFPLDLSPVKKRTRIDEIASNLQSKINQTKQQEDAVINVEDDEEEEE
+DNEVEIEVELDREEEPPEPILEVPTPFSAQQIWARDAPEPPKEPNFRTVAHDYNATNGAE
+IELTLSEDEEDYYGSSTNMKDHQVSNTTLLNTQTPIYASEHNNENTDFSDSGRLYYCKHC
+DFNNKSARSVSTHYQRMHPYIKFSFRYILDPNDHSAVYRCLECYIDYTNFEDLQQHYGEH
+HPEAMNVLNFDHSDLIYRCRFCSYTSPNVRSLMPHYQRMHPTVKINNAMIFSSYVVEQQE
+GLGTESQTLREILNSAPKNMATSTPMARGGGMPAPYPKNTPSKTFPPECENQKDPSVNTV
+VVYDCDVCSFASPNMHSVLVHYQKKHPEEKASYFRIQKTMRMVSVDRGSALSQLSFEVGA
+PMSPKMSTMGSPPPPQPPPPDLSTELYYCKHCSYSNRSVVGVLVHYQKRHPEIKVTAKYI
+RQAPPTAAMMRGPEGPHGSPRPPAPMQQLNRSSSERDGPPVENEMFFCQHCDYGNRTVKG
+VLIHYQKKHRDFKANADVIRQHTATIRSLCDRNQKKPASCMLVSPSGVERDKTKLRALKC
+RQCSYTSPYFYALRKHIKKDHPALKATVTSIMRWAFLDGLIEAGYHCEWCIYSHTEPSGL
+LLHYQRRHPEHYVDYTYMATKLWAGPDPSPPSLTMPAEAKTYRCRDCVFEALSIWDITNH
+YQAFHPWAMNGDESVLLDIIKEKDAVENPMSSSEDLVGTVSCENSMPGPLAEQEGECPED
+ARLSPEKTIQLASVNPAISSTPYQCTVCQSEYNNLHGLLTHYGKKHPGMKVKAADFAQDI
+DINPGAVYKCRHCPYINTRIHGVLTHYQKRHPSIKVTAEDFVHDVEQSTDIAQNDVEETS
+RIFKQGYGAYRCKLCPYTHGTLEKLKIHYEKYHNQPEFDVFSQSPPKLPMSLEPEITTEV
+SPSQVSVTEDEVGEEPVSTSHFATSHLVSHTVFRCQLCKYFCSTRKGIARHYRIKHNNVR
+AQPEGKNNLFKCALCAYTNPIRKGLAAHYQKRHDIDAYYTHCLAASRTISDKPNKVIIPS
+PPKDDSPPLSEELRRAVEKKKCSLCAFQSFSKKGIVSHYMKRHPGVFPKKQHASKLGGYF
+TAVYADEHEKPVLMEDSEERGGFEKAEVEGNEGQDIEWLPFRCIKCFKLSFSTAELLSMH
+YTDHHSRDLKRDFVILGGGPRLQGSAYQCKHCESRLQSTAELTSHLNIHNEEFQKRAKRQ
+ERRKQLLSKQKYADGAFADFKQERPFGHLEEVPKIKERKVVGYKCKFCVEVHPTLRAICN
+HLRKHVQYGSVPAVSAAVKGLRSHERSHLALAMFTREDKYSCQYCSFVSAFRHNLDRHMQ
+THHGHHKPFRCKLCSFKSSYNSRLKTHVLKAHAGEHAYKCSWCSFSTMTISQLKEHSLKV
+HGKALTLPRPRIVSLLSSHAHHSSQKATPAEEVEDSNDSSYSEPPDVQQQLNHYQSAALA
+RNNSRVSPVPLSGAAGGAEQKTEAILHCEFCEFSSGYIQSIRRHYRDKHGGKKLFKCKDC
+SFYTGFKSAFTMHVEAGHSAVPEEGPKDLRCPLCLYHTKYKRNMIDHIVLHREERVVPIE
+VCRSKLSKYLQGVVFRCDKCTFTCSSDESLQQHIEKHNELKPYKCQLCYYETKHTEELDS
+HLRDEHKVSRNFELVGRVNLDQLEQMKEKMESSSSDDEDKEEEMNSKADDRDLLRFSDRG
+AAINTEKRFPCEFCGRAFSQGSEWERHVLRHGMALNDTKQVSREEIHLKGNMEDSIKMPS
+IEEKEDDETIGIDFSLKNEPVAICVVAADKSLLENAEAKKE
+>tr|A0A3Q1M4C0|A0A3Q1M4C0_BOVIN Centrosomal protein 85 OS=Bos taurus OX=9913 GN=CEP85 PE=4 SV=1
+MQDKYPSERISHATSPGSSVIQKGSSLGTEWQTPVISEAFRSRFSRCSSLADSGDTAIGT
+SCSDIAEDFCSSSSSPSFQPIKSHVTIPTAHVIPSTLGTSPSKPNSAAGSSTKLPLSGLA
+ESVGMTRNGDLSSMKRSPGLSRDFMYLCGAAGENGVEQSWFPAVGHEREEEARKFDVPNM
+ESTLNQSAMLETLYSDPHYRVYFHNPRTDTNKELYKGLPETKKAPGSGAVCERNGPHPGS
+SGVLPLGLQPAPGLSKPLPSQVWQPNPDPWHSRERSCELSTCRQQLELIRLQMEQMQLQN
+GAVCHHPAGFSPSLPTLDPAQWMSILNSNEHLLKEKELLIDKQRKHISQLEQKVRESELQ
+VHSALLGRPAPFGDVCLLRLQELQRENTFLRAQFAQKTEALSKEKIELEKKLSASEVEVQ
+LIRESLRVALQKHSEEGKKQEERVKGRDKHINNLKKKCQKESEQNREKQQRIETLERYLA
+DLPTLEDHQKQSQQLKDSELKSTELQERVTELESLLEETQAACREKEVQLESLRQREADF
+SSTQHSLQDEQCVEDASGDGPAQQGRGPQVEMESWQKECDSLRKSLEQEVAQEEGTSQAL
+KEEAQRRETALQQLRTAVKELSVQNQDLIEKNLTLQEHLRQAQSGSPSSSDTAQLAFELH
+QELASCLQDLQAVCSIVTQRAQGHDPNLSLLLGIHSVHPGTQLDFQKPDVIRRKLEEVQQ
+LRRDIEDLRTTMSDRYAQDMGENCVTQ
+>tr|A0A3Q1LY21|A0A3Q1LY21_BOVIN Stabilizer of axonemal microtubules 2 OS=Bos taurus OX=9913 GN=SAXO2 PE=4 SV=1
+SRKEKASRGRGSTRSDYRPYEIDKQPRHAPEGYKPKQGVIDLGTTYKRDFNSYKVQPVVI
+IRPLERQQVKKGKLDTVPTYKDDYRAWDIQKSELYKPQQTYYPPTVRFGNSTTFQDDYVP
+REIKPRQSCKPSPAVERSTIPFSCNTSHRLDYVPHRPEFNLARPKDSYKPPDQPLEDLTT
+HRCDFQGLVGETAKICRPAHTRVAQDAPFEGSTEFRDSFQAWALPAPQVQKALEYVPPAG
+TMQLQSTSHLDYVPHQATRVLPIRPASRRRNHNFPFQGKSTTKQDFPAWESCRQGLIKQG
+PQIPSPSGKFDGLSTFRSHYVPHELIATESCKPADAPLKRPLPFDDITTYSLEYMPKKLE
+TCPASYPSPPGYLFETTNSQGHKFFRKIAPAVKAF
+>tr|E1BCT9|E1BCT9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=RBM27 PE=4 SV=2
+MLIEDVDALKSWLAKLLEPICDADPSALANYVVALVKKDKPEKELKAFCADQLDVFLQKE
+TSGFVDKLFESLYTKNYLPPLEPVKPEPKPPVQEKEEIKEEVFQEPAEEERDGRKKKYPS
+PQKTRSESSERRTREKKREDGKWRDYDRYYERNELYREKYDWRRGRSKSRSKSRGLSRSR
+SRSRGRSKDRDPNRSVEHRERSKFKSERNDLESSYAPVSAPAPNSSEQYSSGAQSIPSTV
+TVIAPAHHSENTTESWSNYYNNHSSSNSFGRNPPPKRRCRDYDERGFCVLGDLCQFDHGN
+DPLVVDEVALPSMIPFPPPPPGLPPPPPPGMLMPPMPGPGPGPGPGPGPGPGPGPGHSMR
+LPVPQGHGQPPPSVVLPIPRPPITQSSLINNRDQPGTSAVPNLAPVGARLPPPLPQNLLY
+TVSERQPMYSREHGAAASERLQLGTPPPLLAARLVPPRNLMGSSIGYHTSVSSPTPLVPD
+TYEPDGYNPEAPSITSSGRSQYRQFFSRTQTQRPNLIGLTSGDMDANPRAANIVIQTEPP
+VPVSINSNITRVVLEPDSRKRAMSGLEGPLTKKPWLGKQGNNNQSKPGFLRKNQYTNTKL
+EVKKIPQELNNITKLNEHFSKFGTIVNIQVAFKGDPEAALIQYLTNEEARKAISSTEAVL
+NNRFIRVLWHRENNEQPALQSPTQLLLQQQQTLSHLSQQHHHLPQHLHQQQVLVAQSPPS
+SVHGGIQKIMSKPQTSGAYVLNKVPVKHRLGHASGNQSDAAHLLNQSGGAGDDCQIFPTP
+GHPKMIYSSTNLKTPSKLCSGSKSHDVQEVLKKKQEAMKLQQDMRKKRQEMLEKQIECQK
+MLISKLEKNKNMKPEERANIMKTLKELGEKISQLKDELKTSSAVSTPSKVKTKTEAQKEL
+LDTELDLHKRLSSGEDTTELRKKLNQLQVEAARLGILPVGRGKTMSSQGRGRGRGRGGRG
+RGSLNHMVVDHRPKALTVGGFMEEEKDDLLQHFSPANQGSKFKDRRLQISWHKAKVPSVS
+TETEEEEVKEEETETSDLFLHDDDDEDEDEYESRSWRR
+>tr|A0A3Q1MTF5|A0A3Q1MTF5_BOVIN CD101 molecule OS=Bos taurus OX=9913 GN=CD101 PE=4 SV=1
+MARFPHVASFFLFLTKLSIGQREVTVQKGPLFRAEGYPVSIGCNVTGHQGPSEQHFQWSV
+YLPTAPTQEIQIISTMDDTFSYVVYANRVKSREIYVERVQGNSVFLHISKLQMKDTGEYE
+CFTPNTDEKYYGTYSAKTNLTVIPDTLSVAMAPQTLNKEEGEQLELTCEVSKATAQHTHL
+SITWYLMKDGEGSQASKIISLSKDFTLLPGPLFTERFAAGDVRLDKLGVTTFRLSMGRLQ
+PSDQGQLFCEASEWIQDPDETWTSITKKQTDRTTLRVQPTVRDFQVNIRAESTVHEGKSL
+ELVCLVMGGGQDPHLQATWFFNDVEMAHIDAGGVLDLKKDYQERASQGQLQVSKLSPKSF
+SLKIFSVGPENEGAYRCVVAEVTRAQMGSWQVLQKKQSPDSFVRLWKQAARNVVVSTKNR
+QQAVWEGEALTLLCKADGAENLLTVAWWHVPQNQTQPVFVAGMEQDGTVKLGASYAELDN
+LRNARLEKMDWATFQLEIASTTLTDSGMYECRVSERTGSQARNQSWTQKLSITVKPLESS
+LQVSLMSRLPQVKLTNTFDLSCIVRVGYSDLKVPLTVTWLFQPPRSRAFDLLLQITHNGT
+IEWGRGLPQFQRKTKISQSSFHSRLLIHDATEEEAGVYQCKVEVYDRNSLHTNSPVRASA
+SSHPLRITVTLPESKLKVNSSSQVQEISINSNTDIECSVLSQSPGNLQLVVTWYFSPIST
+DAPWLRILEVDQNHVVKYGDEFQTTRRKQKFHAEKVSQDLFQLHILNVEDSDQGRYRCAV
+EEWFWSTNSTWHKLGGQTSGLTELKLRPTGSKVRISKGSRTENASEHSEVTIYCSLEGAI
+SPASLFSVMWYRQREDSGSRMLVHLQHDGLLEYGEEGLRWRLHSYRSSAADFVLALQRVE
+MKDAGKYWCKVAEWQLHSNPSKWVSQASDESQPVVLRVLPSEPTLPSRICSSAPLVYFLF
+ICPFVILLFLLMSLLCLYCKAKKLSALRLSMQKEQSLWVNLKEAGGRTTNRMGDDDEDS
+>tr|A0A3Q1MDR6|A0A3Q1MDR6_BOVIN Chromo domain-containing protein OS=Bos taurus OX=9913 GN=CBX4 PE=4 SV=1
+MSSCAAAARAQLSQRARAGSWGGRGQRRAAGLCRTSGGGGGGGGAGGRGSSAGAAAGRRR
+EPAASAAAPAARAPARSGSAMELPAVGEHVFAVESIEKKRIRKGRVEYLVKWRGWSPKYN
+TWEPEENILDPRLLIAFQNRERQEQLMGYRKRGPKPKPLVVQVPTFARRSNVLTGLQDSS
+ADNRAKLELGGQGKGQGHQYELNSKKHHQYQPHSKERAGKPPPPGKSGKYYYQLNSKKHH
+PYQPDPKMYDLQYQGGHKEAPSPTCPDLGSKSHPPDKWPHGGGAKGYLGAVKPLAGTAGA
+PGKGSEKGPPNGMTPAPKEAVTGNGIGGKMKIVKNKNKNGRIVIVMSKYMENGMQAVKIK
+SGEAAEGEARSPSHKKRAAEERHPPADRTFKKAAGAEEKKAETPSKRREEEAPGTGDPQS
+QDAGSRKLSPTKEAFSEQPLQLTTKPDLLAWDPARSTHPPSHHHHHHHHHHHHHAVDLNL
+SHARKRCLSETHSEREPCKKRLTARSISTPTCLGGSPAAERPADVPPAAALPQPEVILLD
+SDLDEPIDLRCVKTRSEAGEPPSALPVKPEAPATAAVAAAPATAAEKPPTEAQDEPEEPL
+SEFKPFFGNIIITDVTANCLTVTFKEYVTV
+>tr|E1BGH0|E1BGH0_BOVIN ATP binding cassette subfamily F member 1 OS=Bos taurus OX=9913 GN=ABCF1 PE=1 SV=2
+MPKGPKQQPPEPEWIGDGETTSPTGKAGRQRRKKFFEELAVEDKQAGEEEKVLKEKEQQQ
+QQQQQQQQQKKKRDTRKGRRKKDVDDDGEEKELMERLKKLSVPASDEEDEVPAPVPRGGK
+KTKGGNVFAALIQDQSEDEEEEEEKHPPKPAKPEKNRINKAVSQEQQPGLKGKKTKEEKS
+KGKAKPQNKFAALESEEEEEDEEEVTKEKEPPKQGKEKAKKAEQGSEEGEEEEEEGESKA
+DDPYAHLSKKEKKKLKKQMEYERQVASLKAANAAENDFSVSQAEVSSRQAMLENASDIKL
+EKFSISAHGKELFVNADLYIVAGRRYGLVGPNGKGKTTLLKHIANRALSIPPNIDVLLCE
+QEVVADETPAVQAVLRADTKRLKLLEEERRLQGQLEQGDDTAAERLEKVYEELRATGAAA
+AEAKARRILAGLGFDPEMQNRPTQKFSGGWRMRVSLARALFMEPTLLMLDEPTNHLDLNA
+VIWLNNYLQGWRKTLLIVSHDQGFLDDVCTDIIHLDAQRLHYYRGNYMTFKKMYQQKQKE
+LLKQYEKQEKKLKELKAGGKSTKQAEKQTKEALTRKQQKCRRKNQDEESQEAPELLKRPK
+EYTVRFTFPDPPPLSPPVLGLHGVTFGYEGQKPLFKNLDFGIDMDSRICIVGPNGVGKST
+LLLLLTGKLTPTRGEMRKNHRLKIGFFNQQYAEQLRMEETPTEYLQRGFNLPYQDARKCL
+GRFGLESHAHTIQICKLSGGQKARVVFAELACREPDVLILDEPTNNLDIESIDALGEAIN
+EYKGAVIVVSHDARLITETNCQLWVVEEQSVSQIDGDFEDYKREVLEALGEVMVSRPRE
+>tr|A0A3Q1MDL2|A0A3Q1MDL2_BOVIN Histone deacetylase OS=Bos taurus OX=9913 GN=HDAC7 PE=3 SV=1
+MHSPGADGTQVSPAAPCSSPPIIGWPRPRADTPGPQPQPMDLRVGQRPPVEPPPEPTLLA
+LQHPQRLHHHLFLAGLQPQRSAEPMRLSMDTPMPELQMGQQEQELRQLLNKDKSKRSAVA
+SSVVKQKLAEVILKKQQAALERTVHPNSPSVPYRTLEPLETEGAARSMLSSFLPPVPSLP
+CDPPEHFPLRKTVSEPNLKLRYKPKKSLERRKNPLLRKESAPPSLRRRPAETLGDSSPSS
+SSTPASGCSSPNDSEHGPNPVLGSEALLGQRLRLQETSLAPFALLPTITLGLPAPARADG
+DRRTHATLGPRGPVLGNPHAHLFLPHGLEPEAGGPLPSRLQPILLLDPSVTHTPLLTVPG
+LGPLPFHFAQSLLTTERPSGSGLHRPLSRTRSEPLPPSATTPSLLGPLQPRLERLKPHVQ
+LIKRSAKPSEKPRLRQIPSAEDLETDGGSVGPLRDDGLEHRESSHGQQEARGTVPLQQHQ
+QVFLWEQQRLAGRLPRGATGDSVLLPLAPGSHRPLSRAQSSPAAPASLSTPEPASQARIL
+PSSETPARTLPFTTGLVYDSVMLKHQCSCGDNSRHPEHAGRIQSIWSRLLERGLRSQCES
+LRGRKASLEELQSVHSERHVLLYGTNPLSRLKLDNGKLAGLLAQRMFVMLPCGGVGVDTD
+TIWNELHSSNAARWAAGSVTDLAFKVASRELKNGFAVVRPPGHHADHSTAMGFCFFNSVA
+IACRQLQQQGKASKILIVDWDVHHGNGTQQTFYQDPNVLYISLHRHDDGNFFPGSGAVDE
+VGAGSGEGFNVNVAWAGGLDPPMGDPEYLAAFRIVVMPIAREFSPDLVLVSAGFDAAEGH
+PPPLGGYHVSAKCFGYMTQQLMSLAGGAVVLALEGGHDLTAICDASEACVAALLGNKVDP
+LSEEGWKQKPNLNAIRSLEAVIRVHSEYWGCMQRLASRPDSWVHRVPGADAEEVEAVTAL
+ASLSVGILAEERTSGQLVEEEEPMNL
+>tr|E1BLL5|E1BLL5_BOVIN Kynurenine formamidase OS=Bos taurus OX=9913 GN=AFMID PE=3 SV=3
+MCVWWYKAGMDVSGGNHRHEAPWKRMSKEELDNQYSPSRWVVRLGAEEAMRTYSQIGNEV
+TKRARATGRSLLNVPYGDGDGEKLDIYLPEAVSEAMPFLVFFHGGYWQSGSKDTSAFMVN
+PLTAQGVAVVIVAYDIAPKGTLDQMVDQVTQSIMFVQKQYPGNQGIYLCGHSAGAHLAAM
+MLLADWTKHGVTPNLKGFFLLSGVYDLEPIMHTSENAPLLPALEDAQRNSPLWQLETAPT
+QPADPACRILVTVGQHDSPEFHRQSREFYQTLCQGGWKASFEELHDMDHFEILWNLTQED
+YVLTQIILKTIFQES
+>tr|E1BCL5|E1BCL5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=3 SV=1
+MASVSELACIYSALILHDDEVTVMEDKINALIKAAGVNVEPFWPGLFAKALANVNIGSLI
+CNVGAGGPTPAAGAAPAGGPAPSTAAAPAEEKKVEAKKEESEESDDDMGFGLFD
+>tr|A0A3Q1LK24|A0A3Q1LK24_BOVIN Zinc finger protein 205 OS=Bos taurus OX=9913 GN=ZNF205 PE=4 SV=1
+MSADDGGIRAAQDKERARETPGHGHSCQEMLSESEGTVPLGEAWESPHIKMEPEEPHPEG
+VSQETRAEGARGWVPLSQGTKEKVCFLPGGALPAPQTPVLSREGRTRDRQMAAALLTAWS
+QMPVTFEDMALYLSREEWGRLDHTQQSFYREVLQKRSGLSLGVVEVDKEELAASLGALGD
+AKSFKSRMGRAQGEAPRCGQRAASGQNSGPAKDDVQPCPVKEAQLESAPPDTDLPKTQEG
+HFPEQPREGGTAAPESSEEGLALDSEAGKKTYKCEQCGKAFSWHSHLVTHRRTHTGEKPY
+ACTDCGKRFGRSSHLIQHQIIHTGEKPYTCPSCWKSFSHHSTLIQHQRIHTGEKPYVCDR
+CAKRFTRRSDLVTHQGTHTGAKPHKCPICGKCFTQSSALVTHQRTHTGVKPYPCPECGKC
+FSQRSNLIAHNRTHTGEKPYHCLDCGKSFSHSSHLTAHQRTHRGVRPYSCPLCGKSFSRR
+SNLHRHEKIHTAGPKALAMLMLGAAGTLAAPPPAPT
+>tr|G3N319|G3N319_BOVIN Ataxin 1 like OS=Bos taurus OX=9913 GN=ATXN1L PE=4 SV=1
+MKPVHERSQECLPPKKRDLPVTSEDMGRTTSCSTNHTPSSDASEWSRGVVVAGQSQAGAR
+VSLGGDGAEAITGLTVDQYGMLYKVAVPPATFSPTGLPSVVNMSPLPPTFNVASSLIQHP
+GIHYPPIHYAQLPSTSLQFIGSPYSLPYAVPPNFLPSPLLSPSANLATSHLPHFVPYASL
+LAEGATPPPASSPAHSFSKAPSASSPPGQLPHHSSTQPLDLAPGRMPIYYQMSRLPAGYT
+LHETAPAGASPVLTPQEGQPALEAAAANGQRPRDRNLVRRESEALDSPSNKGEGQGLAPV
+VECMVDGQLFSGSQSARVEVAVPAHRGTPDTDLEVQRVVGALASQDYRAVAAQRKDEPSP
+LNLSHHNPDHQGGGRGPARSAAEMAEKNQARGVSPHSHQEPLKHRPFPKAVVVANGNLVP
+AGTDPGLLPVGSEILVASSLDIQARATFPDKESTLPPVTSSHLPSHFMKGAIIQLATGEL
+KRVEDLQTQDFVRSAEVSGGLKIDSSTVVDIQESQWPGFVMLHFVVGEQQSKVSIEVPPE
+HPFFVYGQGWSSCSPGRTAQLFSLPCHRLQVGDVCISISLQSLNSNSVSQSSCAPPGQLG
+PPRERPERTVLGPREQCDSDGKSQPSGEGSRSVEPSQPEPGAQACWSAPSFQRYSTQGEE
+ARAALLRPSFIPQEVKLSIEGRSNAGK
+>tr|A0A3Q1NFS1|A0A3Q1NFS1_BOVIN Cytochrome b5 heme-binding domain-containing protein OS=Bos taurus OX=9913 GN=LOC521081 PE=4 SV=1
+MALENLGFPGGSDGKEANAKCGLPSKSLSTYTWQEIQRHNQKTDKWLVINRKVYDVTGWA
+DRHPGGRRVLNHCAGEDATSQLVEDFQELRRTLETMNMFNANLGFFFLHLAQVLILEALA
+WVIVWHFGSGWLITIFIYFLLTVAQAQDSFLQHDMGHLSVFKKSKWNRLMQNFVIGHLKG
+FSAKWWNNQHSQHHVKTNIYPKDPDVVFGPFFVVGDLQPVKVCLQILSTREMFQEVVLGK
+VYTFDIAWVSTFYIRYFITFGPFYGIFGTLVLIYLVKLLESLWVAYVTQMSHIPMKMSTE
+ENQDWFSSQVLATCNVEQSFFNDWFTGHLNFQIEHHLFPTMPRHNYHKVAPLVKSLCAKH
+GLPYVNKPILKAFGDIIRALKKSAALWMDAYYSHPET
+>tr|A0A3Q1LPA4|A0A3Q1LPA4_BOVIN Ras GTPase-activating protein 3 OS=Bos taurus OX=9913 GN=RASA3 PE=4 SV=1
+MAVEEEGLRVFQSVKIKIGEAKNLPTYPGPNKMRDCYCTVNLDQEEVFRTKVVEKSLCPF
+YGEDFYCEIPRSFRHLSFYIFDRDVFRRDSIIGKVAIQKEDLQKYHNRDTWFQLQHVDAD
+SEVQGKVHLELRLSEVITDSGVVCHKLATRILECQGLPIVNGQCDPYATVTLAGPCRSEP
+AARGSGRPDARSPCPQVTRPCSYSRKSHFDFEDEDVDKLEIRVDLWNASNLKFGDEFLGE
+LRVPLKVLRQSSPMKYFLQPRDNGSKSLKPGDLGSLRLNVVYTEDHVFSSDYYTDVEPVS
+ASAAHILGEVCREKQEAAIPLVRLFLHYGRVVPFISAIASAEVRRTQDPNTIFRGNSLTS
+KCIDETMKLAGMQYLHVTLKPTIEEICQSHKSCEIDPVRLKDGESLESNMENLRQFVDRV
+FSVITKSGVSCPTVMCDIFFSLREAAAKRFQDDLDVRYTAVSSFIFLRFFAPAILSPNLF
+QLTPHHTDPQTSRTLTLVSKTIQTLGSLSKSKSASFKESYMAAFYEFFNEQKYADAVKNF
+LDLISSSGRRDPKSVQQPILLKEGFMIKRAQGRKRFGMKNFKKRWFRLTNHEFTYQKSKG
+DPPLYSIPIENILAVEPLEEESFKMKNMFQVIQPERALYIQANNCVEAKAWIDILTKVSQ
+CNQKRLAVYHPSAYLNGHWLCCRASSDTAAGCSPCTGGLPANIQLDIDGDRETERIYSLF
+SSYMSKLEKMQEACGSRSVYDGPEQEEYSTFIIDDPQETYKTLKQVVAGVGALEQEHAQY
+KRDKFRRTKYGSQEHPIGDKSFQSYIRQQSETPAHSM
+>tr|A0A3S5ZPP3|A0A3S5ZPP3_BOVIN ADNP homeobox 2 OS=Bos taurus OX=9913 GN=ADNP2 PE=4 SV=1
+MKISKMFQIPVENLDNIRKVRKKVKSILVDIGLDSCKELLKDLKGFDPGEKYFYNTSWGD
+ISLWEPSGKKVRYRTKPYCCSLCKYSTKVLTSFKNHLHRYHEDEIDQELVIPCPNCVFSS
+QPRVVGRHFRMFHAPARKVQNYTVNILGETKSSRSDVISFTCLKCNFSNTLYYSMKKHVL
+VAHFHYLINSYFGLRTEEMGEHPGADDTLCAEKLPLSDRYYCKKCNANASSQDALMYHIL
+TSDIHRDLENKLRSVISEHIKKTGLLKQMHIAPKPAAHVAIPPNSSAPGIPASSPCFHLA
+LPQNGQSQTVVQPVQGAAPPATVGAGAVGSVTHSPPAVAQPHMTLVSSPLPVGQSSLTLP
+PSTPQPVFLSHGVPLNQAANPPVLPLSQPVGPVNKSVGTSILPINQTIRPGVLPLNQPVG
+PISRPVGPGVLSVNRPVGSGVLPVNPSVTPGVLQAVSPGVISVSRTVPSGVLPAGQVTPA
+GVIPSGQTATSGVLPAGQVVQSGVLPIGQTAPSGALPTGLTVPLRVLPPGQVVPPGLLPP
+NQTVSSAVLPVNQGINSGVLQLSQPVMSGVLPVGQPVRPGVLQLNQSVNTNILPANQTIR
+PGASPNTTFLTSGSILRQLIPTGKQVNGIPTYTLAPVSVTLPVPPGSVATVAPPQMPIQL
+LQSGPAAQMASSMAGMPSPPVVVNATQNMFVQASSSVAEANQVLKQAKQWKTCPVCNELF
+PSNVYQVHMEVAHKHSESKAADKLEPEKLAACAPFLKWMREKTVRCLSCKCLVSEEELIH
+HLLMHGLGCLFCPCTFHDIRGLSEHSRTMHLGKKKLPMDYSNKGFQLDIDANGNLLFPHL
+DFITILPREELGEREVYLAILAGIHSKSLVPVYIKVRPQAEGASGRPGKQVLTCPFCFGT
+FVTTEAYELHLKERHHITPTVHTILKSPAFKCIHCCGVYTGNMTLAAIAIHLLRCRSAPK
+DSSPDLQGQPGLLENSELLLVNGEVIHDPSFSVKRKLPDGHSGAEDQRDGTERPLIIDAD
+TDPAPEKAASAAPVKRQRSEGRTEGPPVSDDALQILALNPKKYEDRSYEDKKQFLRDYFH
+KRPYPSKKEIELLSSLLWVWKIDVASFFGKRRYICMKAIKNHKPSVLLGFDMCELKNVKH
+RLNFEHEPQNL
+>tr|G3N022|G3N022_BOVIN Striated muscle enriched protein kinase OS=Bos taurus OX=9913 GN=SPEG PE=4 SV=2
+MQKARGTRGEDAGTRAPPSPGVPPKRAKVGAGGGAAAAVAGAPVFLRPLKDAAVSAGSDV
+RLRVVVSGTPQPSLSWFRDGQRLPPPAPEPSCLWLRSCGPRDAGVYSCRAQNELGQASCE
+AVLTVLEVGDSETGEDDISDGQGTQRLELRDDGAFSTPTGGSDTLVGTSLDTPPTSVTGT
+SEEQVSWWGSGQTVLEQEAGSRAGTRRLPGSPRQAQATGAGPRHLGVEPLVRASRANLVG
+ASWGSEDSLSVASDLYGSAFSLYRGRALSIHVSVPQSGLHKEEPDLQPQPASEAPRRPAL
+PPPSKSALLPPPSPRVGKRPPPGAGGQPLATPTPPHRRSREPVPPEDATAEEKRGKKSKS
+SGPSLAGTAESRPQTPLSEASGRQSALGRSPRLVRAGSRILDKLQFFEERRRSLERSDSP
+PAPLRPWVPLRKARSLEQPKSEGGGPWGTPRASQEELRSPAGSVAERRRLFQQKAASLDE
+RTRQRSPASDLELRFAQELGRIRRSTSREELVRSHESLRATLQRAPPREPGEPPLFSQPS
+TPKTPRALSPAAAQPPPASVAEKPGDEPGRPRGRGPAGRTEPGEGPQQEVRRRDQFPLTR
+SRAIQECRSPVPPPTSEPPETRTKAPPGRKREPPPQAVRFLPWATPGQEGAAVPQTLEKN
+RAGPEAEKRLRRGPEEDGPWGAWDRRGARSQGRGRRARPTSPELESSDDSYVSAGEEPLE
+APVFEIPLQNAVVAPGVDVLLKCIVTANPPPQVSWQKDGSPLRSDGRLLIRAEGERHTLL
+LREARAADAGSYAATATNELGQARCAATLAVRPGGSTSPFSSPITSDEEYLSPPEEFPEP
+GETWPRAPTMKLSPGQNRRSSDTGSKAPPTFKVSLMDQSVREGQDVTMSIRVQGEPKPVV
+SWLRNRQPVRPDQRRFAEEAEDGLCRLRILAAERGDAGFYTCKAVNEYGARQCEARLEVR
+AHPESRSLAVLAPLQDVDVGAGEMALFECLVAGPTDVEVDWLCRGRLLQPALLKCKMHFD
+GRKCKLLLTSVHEDDSGVYTCKLSTAKDELTCSARLTVRPSLAPLFTRLLEDVEVLEGRA
+ARFDCKISGSPPPSVTWTHFGHPVEESENVRLRQDGGLHSLHIAHVGSEDEGLYAVSAAN
+THGQAHCSAQLYVEEPRTAATGPSSKLEKMPSIPEEPEQGELERLSMPDFLRPLQDLDVG
+LAKEAMLECQVTGLPYPTISWFHNGHRIQSSDDRRMTQYRDVHRLVFPAVGPQHAGVYKS
+VIANKLGKAACYAHLYVTDVVPGPPDGAPQVVAVTGRMITLAWNPPRSLDMAIDPDALTY
+TVQHQVLGSDQWTALVTGLRQPGWAATGLRKGVQHVFRVLSTTIKSSSKPSPPSEPTQLL
+ERGPPLEEAPAVLDKPDVVYVVEGQPTSVTVTFNHVEAQVVWRSCRGALLEARPGVFELS
+QPDDDQYSLRICRVSRRDLGALTCTARNRHGTQACSVTLELAEAPRFESIMEDVEVGAGE
+TARFAVVVDGKPLPDIMWYKDEALLTESNHVSFVYEENECSLVVLSAGAQDGGVYTCTAR
+NLAGEVSCKAELAVHSAQTAMEVEGIGEDEEQRGRRLSDFYDIQQEIGRGAFSYLRRVVE
+RSSGLEFAAKFIPSQAKPKASAWREARLLARLQHDCILYFHEAFERRRGLVIVTELCTEE
+LLERMARKPTVCESEIRAYMRQVLEGICYLHENHVLHLDVKPENLLVWDGVEGEEQVRIC
+DFGNAQELTPGEPQYCQYGTPEFVAPEIVNQTPVSGVTDIWPVGVVAFLCLTGISPFVGE
+NDRTTLMNIRNYNVAFEETTFLSLSREARGFLIKVLVRDRLRPTAEETLEHPWFKAQAKG
+AVVSTDHLKLFLSRRRWQRSQISYKCHLVLRPIPELLRAPPERVWVAVPRRPPPSGGLSS
+SSDSEEEELEELPSVPRPLQPEFSGSRVSLTDIPTEDEALGALEAGAATPMDWQEQGKAP
+SQDQEAPSPQALPSPGQESPSGPSPRRAELRRGSSAESALPRAGPREPGRGLHKAASVEL
+PQRRSPSPGATRLTRGGLGEGEYAQRLQALRQRLLRGGPDDGKVSGLRGPLLESLGGRAR
+DPRLARAASSEAAPHHQHPPEARGLQKSSSFSQGEAEPRGRHRRAGAPLEIPVARLGARK
+LQESPSLSALSEAQPPSPVRPSAAKPSTPKSSEPLVGTPSEAAQPPAPQPAREKAPESTP
+EPARGPKPTQPPTALQTLAPPLTPYAQIMQSLQLPGQAQGPPQGAVVSPTSRSPASRLEP
+KPHPAVFSRVASPPPGASEKRLLPGAGATPGPAEKARVPAVPRRPGSSLSSSIENLESEA
+VFEAKFKRSRESPLSRGLRLLSRSRSEERGPFRGAEEEDGIYRPSPAGTPLELVRRPERS
+RSVQDLRAVGEPGLVRRLSLSLSQRLRRTPPAQRHPAWEPRGGDGESSEGGSSARGSPVL
+TVRRRLSSTLERLSSRLQRSGSSEDSGGASGRSTPLFGRLRRATSESESLRRLDLAQNQL
+AAQAGAATPSAESLGSEASATSGSSAPAESRSRLRWGLSRLRKDKRLSQPNLSGSVQEDL
+GHQYVRSESDFPPVFHIKLKDQVLLEGEAATLLCLPAACPAPHISWMKDKQSLRSEPSVI
+IVSCKDGRQLLSIPRAGKRHAGLYECSATNVLGSITSSCTVAVARTPGKLAPPEVPQTYQ
+DTALVLWKPGDSKAPCTYTLERRVDGESSWHPVSSGIPDCYYNVTHLPVGMAVRFRVACA
+NRAGQGPFSNPSEKVLVRGVQDSSALPSAAHRDAPVTSGPARAPPPDAPTSLAPPLSPSP
+APAPPGPQAAPLGPSSSPAPPSQALSSLKAVGPPPQTPPRKHRGLQAAQQVEPTPPSAQD
+SPSEPKSSVPDTGTPIPASAPQVVKTASSSMPLYMVTSFVSAPPAPEPPAPEPPPEPTKV
+TVRSLSPAKEVVGSPGGGPPRAPKPEGTTLRQGPPQKPYTFLEEKARGRFGVVRACRENA
+TGRTFVAKIVPYAAEGKRQVLQEYEVLRTLHHERLMALHEAYITPRYLVLIAESCGNREL
+LCGLSDRFRYSEDDVATYVVQLLQGLDYLHGRHVLHLDIKPDNLLLAPDNALKIVDFGSA
+QPYNPQALRPLGHRTGTLEFMAPEMVKGDPIGSATDIWGAGVLTYIMLSGRSPFYEPDPQ
+ETEARIVGGRFDAFQLYPNTSQSATLFLRKVLSVHPWSRPSVQDCLAHPWLQDAYLMKLR
+RQTLTFTTNRLKEFLGEQRRRRAEAATRHKVLLRSYPGSP
+>tr|A0A3Q1LU00|A0A3Q1LU00_BOVIN Receptor protein-tyrosine kinase OS=Bos taurus OX=9913 GN=ERBB4 PE=3 SV=1
+YVLVALNQFRYLPLENLRIIRGTKLYEDRYALAIFLNYRKDGNFGLQELGLKNLTEILNG
+GVYVDQNKFLCYTDTIHWQDIVRNPWPFNLTLVSTNGSSGCGRCHKSCTGRCWGPTENHC
+QTLTRTVCAEQCDGRCYGPYVSDCCHRECAGGCSGPKDTDCFACMNFNDSGACVTQCPQT
+FVYNPTTFQLEHNFNAKYTYGAFCVKKCPHNFVVDSSSCVRACPSSKMEVEENGIKMCKP
+CTDICPKACDGIGTGSLMSAQTVDSSNIDKFINCTKINGNLIFLVTGIHGDPYNAIEAID
+PEKLNVFRTVREITGFLNIQSWPPNMTDFSVFSNLVTIGGRVLYSGLSLLILKQQGITSL
+QFQSLKEISAGNIYITDNSNLCYYHTINWTTLFSTANQRIVIRDNRKAENCTAEGMVCNH
+LCSNDGCWGPGPDQCLSCRRFSRGRTCIESYGLQGANSFIFKYADQDRECHPCHPNCTQG
+TPLIAAGVIGGLFILVIVGLTFAVYARRKSIKKKRALRRFLETELVEPLTPSGTAPNQAQ
+LRILKETELKRVKVLGSGAFGTVYKGIWVPEGETVKIPVAIKILNETTALIMASMDHPHL
+VRLLGVCLSPTIQLVTQLMPHGCLLDYVHEHKDNIGSQLLLNWCVQIAKGMMYLEERRLV
+HRDLAARNVLVKSPNHVKITDFGLARLLEGDEKEYNMPIKWMALECIHYRKFTHQSDVWS
+YGVTIWELMTFGGKPYDGIPTREIPDLLEKGERLPQPPICTIDVYMVMVKCWMIDADSRP
+KFKELAAEFSRMARDPQRYLVIQVCTFDLDQSLSGVQLLQPHESQHARPPCPSPTPGVH
+>tr|A0A3Q1LXN0|A0A3Q1LXN0_BOVIN Phosphodiesterase OS=Bos taurus OX=9913 GN=PDE4A PE=3 SV=1
+MRSSAAPRVRPRPPALALPPAGPESLVHFSFSEEDTCWYPPGRSVSLEAENGPTPSPGRS
+PLDSQASPGLVLHAGAATSQRRESFLYRSDSDYDMSPKTMSRNSSVTSEAHAEDLIVTPF
+AQVLASLRSVRSNFSLLTNVPIPSNKRSPLGGPTPVCKATLSEETCQQLARETLEELDWC
+LEQLETMQTYRSVSEMASHKFKRMLNRELTHLSEMSRSGNQVSEYISTTFLDKRNEVEIP
+SPTIKDREKQQAPRQRPCQQPPAPGPQLQPMSQITGVKKLMHSSSLNDSSMPRFGVKTDQ
+EERLAQELENLNKWGLNIFRVSDYAGGRSLSCIMYTIFQERDLLKKFRIPVDTMVTYMLT
+LEDHYHPDVAYHNSLHAADVLQSTHVLLATPALDAVFTDLEILAALFAAAIHDVDHPGVS
+NQFLINTNSELALMYNDESVLENHHLAVGFKLLQEDNCDIFQNLGKRQRQSLRKMVIDMV
+LATDMSKHMTLLADLKTMVETKKVTSSGVLLLDNYSDRIQVLRNMVHCADLSNPTKPLEL
+YRQWTDRIMAEFFQQGDRERERGMEISPMCDKHTASVEKSQVGFIDYIVHPLWETWADLV
+HPDAQEILDTLEDNRDWYYSAIRQSPSPPPEEEPGGPGHPPPPDKFQFELTLDEEEEEEV
+CTAPGAVAIQELYMAQDASPPEDPLEVDGQDPSAEVEIEEMYLTRQVDSTGSVAAGQDVS
+VPQGASVDVCVGCRNIPALSPNSLAPLALRTPPPPEDDPGLLGLPSMAAEVGAQKEHQAA
+KRACCACTGTAGEDPTPGALPAPGAWGSAGGPT
+>tr|F6RR68|F6RR68_BOVIN NAD(P)(+)--arginine ADP-ribosyltransferase OS=Bos taurus OX=9913 GN=ART1 PE=3 SV=2
+MQSPAVMSLLLVSMGLMEALQAQSHPVIRRDLLSREMPLDMALASFDDQYAGCATAMAAA
+LPELNRTEFQANKVYPDGWVQASSQWRERQAWGPEWGVSPTRLLAPLGFREEHGVALLAY
+TANSPLHKEFNAAVREAGRSRAHYLQHFSFKTLHFLLTEALQLLSSGQRPPQCHQVFRGV
+QGLRFRPAGPGATVRLGGFASASLQDAAAQHFGEDTFFGIWTCLGAPIKGYSFFPGEEEV
+LIPPFETFQVINASRPAQGPSRIYLRAVGKRSTYNCEYIKDKQCKSERCRLGNSAVGQSP
+LSAVWSLLLLLWFLVGEVFPESPGFL
+>tr|F1MY84|F1MY84_BOVIN Chondroitin sulfate proteoglycan 4 OS=Bos taurus OX=9913 GN=CSPG4 PE=4 SV=3
+MRWGPRLPLPALALALALTFAVLVGPASTASFFGENHLEVPVATALTDIDLQLEFSTAQP
+EALLLLAAGPADYLLLQLYSGRLQVRLLLGQEEVRVQTPAEMLLSDSVPHIVELTVSDSW
+ALLSVDGLLNDSAPVQGAPLEVPYGLFLGGTGSLDLPYLTRASRPLRGCLHSATLNGRSL
+LRPLTADVPEGCAEEFSAGDDVAVGFSGPYSLAAFPAWGTRHEGTLEFTLTTRSQKAPLA
+FQAGGRQGDFIYVDIFEGHLRAVVEKGQGTVLLHNSMPVADGQPHEVSVHVDVHRLEISV
+DQYPTRTSNRGVLSYLEPRGNLLLGGLDAEASRHLQEHRLGLAVNVSLLGCMEDLSVNGQ
+RQGLREALLTRNMAAGCRLEEDEYEEDAYGPYEAFSTLAPEVWSAMELPVPCVPEPGLPP
+VFANFTQLLTVSPLVVAEGGTAWLEWRHVQPTLDLNEAELRKSQVLFSVSRGARHGELEL
+DIPGAQARKMFTLLDVVNRKARFVHDGSEDPSDQLVLEVSVTSRGAVPSCLRRGQTYILP
+IQVNPVNDPPRVIFPHGSLMVILEHTQKPLGPEVFQAYDPDSVCEGLTFQLLGAPAGLPV
+ERRDQPGEPATEFSCRELEAGSLVYVHRGGPAPDLTFRVSDGLQASPPVTLKVVAVRPAI
+QVLHNTGLRLAQGFAAPVLPANLSVETNAVGQDVSVLFRVTGALRLGELQKQGAGGAEGT
+EWRSTRAFHQRDVEQGRIRYLSTDPQHHAEDTVESVALEVQVGQEALSNLTFPVMIQRAT
+VRLLRLEPLHTQNTQQEALTTAHLEATLEEAGPNPTTFHYEVVQAPRKGNLQLQGTRLSD
+GQSFTQDDLRAGRVTYGATARASEAVEDAFRFRVTAPPHFSPLYTFPIHIGGDPDAPVLT
+NVLLSVPEGGKGILSADHLFVKSLNSANYLYEVMERPRHGRLVWRDTQDEATMVTSFTNE
+DLLHGRLVYQHDNSETTEDDIPFVATRQGEGSSDMAWEEVRGVFRVAIQPVNDHAPVQTI
+SRIFHVARGGQRLLTTDDVAFSDADSGFADAQLVLTRKDLLFGSIVAVDEPSRPIYRFTQ
+EDLRNRRVLFVHSGADRGWIQLQVSDGQHQATALLEVQASEPYLRVTNGSGLVVPQGGQG
+TIDTDVLPLDTNLDIRSGDEVHYQVTAGPRWGQLLRAGQPVTSFTQQDLLERAILYNHNG
+SLSPRDTLAFSVESGPVHTEATLQVTIAVEGPVAPLHLAQHKKIYVFQGEAAEIRRDLLE
+AAQEAVPPADIVFSVKTQPSAGYLVMLSPGAVAAEPPSLDPVNRFSQEAVDAGRVLYLHS
+RPEVWSDTFSLDVSSGLGAPLEGVRVELEVLPAAIPLEAQNFSVPEGGARTLAPPLLRIA
+GSYFPTLPALLLQVLEPPRHGALQREEGPQDGSLSAFSWREVEQQLIRYVHDGSETVEDS
+FVLVANASEMDRQSHPVVLTITILPVNDQPPILTTNTGLQMWEGATVPIPAEALRGTDSD
+SGPEDLVYTLEQPSNGRVVLSTAPGTETHSFTQAQLDGGLVLFSHRGALDGGFRFSLSDG
+EHSSAGHFFRVTAQKQLLLSLEGSRTLTVCPGSVQPLSSQSLRASSSAGTDPHHLLYRVL
+RGPQLGRLFHTQRGSTREALENFTQAEVYAGNILYEHEMPSEPFWEAHDALELQLSSPPA
+PDMVATLAVTVTFEAACPQRPSRLWRNKGLWVSEGQRAEITTAALDAANLLASIPSPRRL
+EHDVLFQITQFPTRGQLLVSEEPLHAGRPHFLQSELATGQLVYAHGGGGTQQDGFRFRAH
+LQGPAGASVVGPQTSEVFAITVRDVNERPPKPQASIPLRLTRGSRSPVSRAQLSVVDPDS
+APGEIEYEVQRAPHNGFLSLAGASPGPVTRFTQADVDAGRLVFVANGSSVVGVFQLSVSD
+GASPPLLMSLAVDVLPSAIEVQLRAPLEVPQALGRSSLSRQQLRVVSDREEPDAAYRLTQ
+GPRYGHLLVGGQPATAFSQLQVDQGDVVFAFTNFSSSQDQFSILALARGANASATVNVTV
+RALLHVWTGGPWPQGATLRLDPTVLDAGELANRTGSVPRFRLLAGPRHGRVVRVPRARTE
+PRGGQLVEQFTQRDLEDGRLGLEVGRPEGSSPGPTGDSLTLELWARGVPPAVASLDFATE
+PFNAARTYRVALLSLPEAARTEAGEPESGTPTGGPSPATPSPVPPVASGGFLGFLEANMF
+SIIIPICLVLLLLALIVPLLFYLRKRNKTGKHNVQVLTAKPRNGLASDAETFRKVEPGQA
+IPLTAVPGQGPPPGGQPDPELLQFCRTANPALKNGQYWV
+>tr|A0A3Q1M4W4|A0A3Q1M4W4_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MQKSKMAVWGGLKIAVKRREAKSKGEKERYKHLNAEFQRIAKRDKKAFLSNQCKEIEENN
+RMGKTRDLFKKIRDTKGIFHTKMSSIKDRNGMDLTEAEDIKKRWQEYTEELYKKDLHEPD
+NHDGVITDLEPDILECEVKWALESITMNKAELFQILKDDAVKVLHSICQQIWKTQQWPQD
+WKRSVFTPIPKKGNAKECSNYCTIALISHASQVMLKILQARLQQYVNRELPDVQAGFRKG
+RGTRDQIANISWIMEKAREFQKNIYFCFIDYAKAYDCVDHNKLWKILKEMGISDHLICLL
+RNLYAGQEATVRTGHGTTDWFQIGKGVRQGCILSPCLFNLYAEYIMRNGGLEEPQAGIKI
+AGRNINNLRYADDTTLMAESEEELKSLLMKVKEESEKAGLKLNIQKMKIMASGPTTSWEI
+DGEIVETVSDFIFLGSKITADGDCSHEIKRRLLLGRKVMTNLDSIFKSRDITLPTKVPLV
+KAMVFPVVMYGCESWTVKKAEH
+>tr|F1N145|F1N145_BOVIN Somatostatin OS=Bos taurus OX=9913 GN=SST PE=4 SV=1
+MLSCRLQCALAALSIVLALGGVTGAPSDPRLRQFLQKSLAAAAGKQKLAKYFLAELLSEP
+NQTENDALEPEDLSQAAEQDEMRLELQRSANSNPAMAPRERKAGCKNFFWKTFTSC
+>tr|A0A3Q1M6Q4|A0A3Q1M6Q4_BOVIN DAZ interacting zinc finger protein 1 OS=Bos taurus OX=9913 GN=DZIP1 PE=4 SV=1
+MPAEAADLLPSMVRSREPGDARLPMRRGGVGETMAERRDPQLPPPPRASVSFPQPFQKHV
+YYPLASAGGLEEPDASAAAAAGGAAMACGPPSAASGPLPFFQFRPRLESVDWRRLSAIDV
+DKVAGAVDVLTLQENIMNITFCKLEDEKCPHCQSGVDPVLLKLIRLAQLTIEYLLHSQEF
+LTSQLHGLEERLRRSLAEGEHSKKLLTKQAGEIKLLKEECKRRKKLISTQQLMIEAKASY
+YQCHFCDKAFMNQAFLQSHIQRRHPEDSHLEYKTRAQTDKLQSEIDMLKEQLQLTKSQLE
+AAQHAHAIRLSKEYEMQKTKEEEFLKLFDRWKEEEKEKLVDEMEKVKEMFMKEFKELTSK
+NSALEYQLSEIQKSNMQIKSNIGTLKDAHEFKEERPQHPQDFQNVMQLLDNQESKWTARV
+QALHQEHKKEKSRLLSHIEKLRTSMIDDLNASNVFYKKRIEELGQRLQEQNELIITQRQQ
+IKEFTSKPLNSVSEPKGNLLTWQTFESKPTAPTLPMNAPATQTLDAKSSLPMAHGRENEQ
+KLYDNKIHIRKTLKNNPSLTKEIRTVLEQSLVEKLETLGINADIRGIPSDHLNRVLRTVE
+STRHERERQIPNIQQIREFLEHQVSCKIEERTLLSTDRYGASQMDTLSAGELPKAIHLPP
+KNRQLIRQRPVFSDRTSVPKVKKNATEDHFPRRSSTIVTPPFSSEEELDADDLVQAFTSP
+DVLPVLSSKSNKSSFGKSTVVKSDTDWTEGSEIEDSDISPKPTGTSIKTLTGKVEKTVSN
+HRNMNKPVGGINVADAFIKKELKEDLKCTDVDDDDWDISSLEEDRSIGRKAGREQKEPPP
+PVKNESNSTQVPNAWVAANPKGPKGEGPQDESSTLKSSLVTVTEWSDSSDV
+>tr|A0A3Q1LVG1|A0A3Q1LVG1_BOVIN Protein Wnt OS=Bos taurus OX=9913 GN=WNT5B PE=3 SV=1
+MPSLPALLALLFACWAPLRATASSWWSLAMSPVQRPEMFIIGAQPVCSQLPGLSAGQRKL
+CQLYQEHMAYIGEGARTGIRECQHQFRQRRWNCSTVDDASVFGRVLQIGSRETAFTYAVS
+AAGVVNAISRACREGELSTCGCSRAARPKDLPRDWLWGGCGDNVDYGYRFAKEFVDARER
+EKNFAKGSEEQGRVLMNLQNNEAGRRAVYKTADVACKCHGVSGSCSLKTCWLQLAEFRKV
+GDQLKEKYDSAAAMRITRRGKLELVNSRFKPPTPEDLVYVDPSPDYCLRDESTGSLGTRG
+RLCNKTSEGLDGCALMCCGRGYNQFKSVRTERCHCKFHWCCFVRCKKCTQVVDQFVCK
+>tr|E1BDZ8|E1BDZ8_BOVIN Enhancer of polycomb homolog OS=Bos taurus OX=9913 GN=EPC2 PE=3 SV=1
+MSKLSFRARALDAAKPLPIYRGKDMPDLNDCVSINRAVPQMPTGMEKEEESEHHLQRAIS
+AQQVFREKKESMVIPVPEAESNVNYYNRLYKGEFKQPKQFIHIQPFNLDNEQPDYDMDSE
+DETLLNRLNRKMEIKPLQFEIMIDRLEKASSNQLVTLQEAKLLLNEDDYLIKAVYDYWVR
+KRKNCRGPSLIPQIKQEKRDGSTNNDPYVAFRRRTEKMQTRKNRKNDEASYEKMLKLRRE
+FSRAITILEMIKRREKTKRELLHLTLEVVEKRYHLGDYGGEILNEVKINRSEKELYTTPA
+TLHNGNHHKVQECKTKHPHHLSLKEEASDVVRQKKKYPKKPKAEAVLTSQQPTPETLPVI
+NKSDLKQYDFHSSEEDEFPQVPSPASEPEEENDPDGPCAFRRRAGCQYYAPRLDQTNRSF
+GNSELADLDKLRYRHCLTTLTVPRRCIGFARRRIGRGGRVIMDRIATEHDPVLKQIDPEM
+LNGFSSASQNIDFSSNFSRTNASNKHCENRLSLSEILSNIRSCRLQCFQPRLLNLQDSDS
+EECTSRKPGQTVNNRRVSVASVALLNTSKNGISVSGGITEEQFQTHQQQLVQMQRQQLAQ
+LQQKQQSQHSSQQTHPKAQGSSTSDCMSKTLDSASAHFAASAVVSAPVPSRSEVAKEQNT
+GHSNINGVVQPSGTSKTLYSTNMALSSSPGISAVQLVRTVGHTTTNHLIPALCTSSPQTL
+PMNNSCLTNAVHLNNVSVVSPVNVHINTRTSAPSPTALKLATVAASMDRVPKVTPSSAIS
+SIARENHEPERLGLNGIAETTVAMEVT
+>tr|E1BP94|E1BP94_BOVIN Retinal degeneration 3, GUCY2D regulator OS=Bos taurus OX=9913 GN=RD3 PE=4 SV=1
+MSLLPWLRGNEAPPRPSPRSPAEMVLETLMVELAGQMREAERQQRERSHAVRKVCTRVDY
+SWLASAPRPAYDLSPGERLQLEDVCAKIHPAYCGPAILRFRQLVAEQEPEVQEVSRLFRS
+VLQEVLERMEEEEEAQRLTRQWSLRAPRGTLTTFRSRARIAPFASDIHTISEDVERDTPP
+PRAWSLPEFRARKED
+>tr|G3X6U5|G3X6U5_BOVIN SH3 domain-binding glutamic acid-rich-like protein OS=Bos taurus OX=9913 GN=SH3BGRL PE=3 SV=1
+MAIKKKQQDVLGFLEANKIGFEEKDIAANEENRKWMRENVPENSRPATGYPLPPQIFNES
+QYRGDYDAFFEARENNAVYAFLGLTAPPGSKEAEAQAKQQA
+>tr|A0A3Q1N0J8|A0A3Q1N0J8_BOVIN YTH domain containing 2 OS=Bos taurus OX=9913 GN=YTHDC2 PE=4 SV=1
+MEFPSSLTSTERAFIHRLSQSLGLVSKSKGKGANRYLTVKKKDGSETAHAMMTCNLTHNT
+KHAVRSLIQRFPVTNKERTELLPKTERGNVFAVEAENREMSKTSGRLNNGIPQIPVKRGE
+SEFDSFRQSLPVFEKQEEIVKIIKENKVVLIVGETGSGKTTQIPQFLLDDCFKNGIPCRI
+FCTQPRRLAAIAVAERVAAERRERIGQTIGYQIRLESRVSPKTLLTFCTNGVLLRTLMAG
+DSTLSTVTHVIVDEVHERDRFSDFLLTKLRDLLQKHPTLKLILSSAALDVNLFIRYFGSC
+PVIYIQGRPFEVKEMFLEDILRTTGYTNKEMLKYKKEKQREEKQQTTLTEWYSAQENSFK
+PESQRQRAIPNVTEEYDLLDDGGDAVFSQLTEKDVNCLEPWLIKEMDACLSDIWLHKDVD
+AFAQVFHLILTENVSVDYRHSETSATALMVAAGRGFSSQVEQLISMGANVHSKASNGWMA
+LDWAKHFGQTEIVDLLESYSASLEFGNLDESSLVQTSGSDLSAEDRELLKAYHHSFDDEK
+VDLDLIMHLLYNICHSCEAGAILIFLPGYDEIVGLRDRILFDDKRFAENAHRYQVFMLHS
+NMQTSDQKKVLKNPPAGVRKIILSTNIAETSITVNDVVFVIDSGKVKEKSFDALNFVTML
+KMVWISKASAIQRKGRAGRCRPGICFRLFSRLRFQNMLEFQTPELLRMPLQELCLHTKLL
+APVNCAIADFLMKAPEPPPALIVRNAVQMLKTIDAMDAWEDLTELGYHLADLPVEPHLGK
+MVLCAVVLKCLDPILTIACTLAYRDPFVLPTQASQKRAAMLCRKRFTAGTFSDHMALLRA
+FQAWQKARSDGWERAFCEKNFLSQATMEIIIGMRTQLLGQLRASGFVRARGGGDIRDVNT
+NSENWAVVKAALVAGMYPHLVHVDRENVVLAGPKEKKVRFHPTSVLSQPQYKKIPPANGQ
+TAAIQALPTDWLIYDEMTRAHRIANIRCCSAVTPVTVLVFCGPARLASNALQEPSSFRAD
+GIPNDSSDSEMEDRTTANLATLKLDEWLSFKLEPEAASLLLQLRQKWHSLFLRRMRAPSK
+PWSQVDEATIRAIIAVLSTEEQSAGLQQPSGIGQRPRPMSSEELPLASSWRSNNSRKSSA
+DTEFSDESTTAERVLMKSPAPVLHQPQKYKDRGILHPKRSTEDRSDQSSVKSTDSSNYPS
+PCASPSPPSSAKGSKSPSPKPNMPIRYFIMKSSNLRNLEISQQKGIWSTTPSNERKLNRA
+FWESSMVYLVFSVQGSGHFQGFSRMSSEIGREKSQDWGSAGLGGVFKVEWIRKESLPFQF
+AHHLLNPWNDNKKVQISRDGQELEPQVGEQLLQLWERLPLGEKTTTD
+>tr|G5E626|G5E626_BOVIN ATP binding cassette subfamily C member 6 OS=Bos taurus OX=9913 GN=ABCC6 PE=4 SV=1
+MAGQGEPCAGPGVWNQTEPEPAAARLLSLCFLKTAGVWVPPMYLWVLGPIHLLYIHRHDK
+GYIQMSRLFKAKMVLGFALIILCTSSVSVTLWRIQQGTPQALEFLIHPTVWLTTMSFAVF
+LIHAERKKGVQASGVLFGYWLLCFLFPATSATQQASRGDFQSDPFRHLSPYLYLSLVMAQ
+FALSCLADQCPLFRKRPPQANPCPKAGASFPSKAMFWWVSGLVWKGYRRPLGPKDLWSLG
+SKNSSEELVSQLEKEWTRNRSATQRHTKATAFKRKGSHNKEAPETETLLPQQRGKRGPLL
+RAIWQVGRSAFLLGTLSLIVSDVFRFTVPKLLSLFLEFIGDPNTPAWKGYLLAVLMFLSA
+CLQTLFEQQHMYRLKVLQLRLRTAIIGLVYRKVLALSSSSRKSSAVGDVVNLVSVDVQRL
+TESVTYLNGLWLPLIWIVVCFVYLWQLLGPSALTAIAVFVSLLPLNFFITKKRNHHQQEQ
+MRQKDCRARLTSCILRNVRTVKYHGWEGAFLDRVLHIRAQELGALKTSSLLFSVSLVSFQ
+VSTFLVALVVFAVHTLVAEENAMDAEKAFVTLTVLNILNKAQAFLPFSIHSIVQARVSFD
+RLAAFLSLEETDPGAVDSSPSRCAAGEDCISIQEGTFTWSQESAPCLRRINLTVPQGCLL
+AVVGPVGAGKSSLLSALLGELSKVEGSVSIKGPVAYVPQEAWVQNMSVVDNVCFGQELDA
+PWLETVLEACALWPDVDGFPAGVHTRTGEQGMNLSGGQKQRLSLARAVYRKAAVYLLDDP
+LAALDAQVGQHVFNRVIGPDGLLQGTTRILVTHALHILPQADWIVVLEDGAIAEMGSFQE
+LLHRKGALVGLLDGASQPGDGGEGDTEPPAGAKDPRGSAAGGRPEGRSERFMKLVPEKDS
+AASEAQTGLPLDDPEGPGQPKGKDGTQYGRVKATMYLTYLRAVGTPLCLYALFLFLCQQV
+ASFCRGYWLSLWADDPIVDGQQTHVALRGWVFGLLGCLQAIGLFASMATVLLGGIRASSL
+LFRGLLWDVARSPIGFFERTPVGNLLNRFSKETDIVDVDIPDKLRSLLMYAFGLLEVGLV
+VTVTTPLAVVAILPLLLLYAGFQSLYVASSCQLRRLESARYSYVCSHVAETFQGGPVVRA
+FRVQGPFTAQNDAHVDESQRVSFPRLVADRWLAANLELVGNGLVFVAALCAVLSKAHLSP
+GLVGFSVSAALQVTQMLQWAVRSWTDLESSIVSVERLKDYAQTPKEAPWKPLTCAAHPPW
+PRRGQIEFRDLGLRYRPELPLAVRGVSFKINAGEKVGIVGRTGAGKSSLAGGLLRLVEAA
+EGGIWIDGVPIAQVGLHTLRSRVTIIPQDPILFPGSLRMNLDMLQEHTDEAIWEVLETVQ
+LRATVASLPGQLHYECTDQGDNLSVGQKQLLCLARALLRKTQILILDEATAAVDPGTERQ
+MQAALGSWFAQCTVLLIAHRLRSVLDCARVLVMDEGQVAESGSPAQLLAQKGLFYRLAQE
+SGLV
+>tr|A0A3Q1MSF7|A0A3Q1MSF7_BOVIN M-phase phosphoprotein 9 OS=Bos taurus OX=9913 GN=MPHOSPH9 PE=4 SV=1
+MEDFDLGKPSQKASSSIESDIKNSPHSLGLNLNTNRSSPHLSTNGVSSFSGKTRPSVIQG
+TVEVLTSLMQELQNSGKTDSELWKNCETRWLQLFNLVEKQCQEQIVAQQEQFHNQIQRIQ
+EEIKNLVKLQTSNASWASYDRSSLSKQRSSESQMGFFSENGERNEYAISYPKSEESEMQQ
+EASLSQPDCNVDSSSVSSGYGTFCVSELNTYKSKDAQDFMEHIEASEGHFGAPVLPAEPL
+GDGVRTQSFYIHPNEEFCASLKEDTPIFPSEFEHSFLGESKISEVSSGKTNNKLITSWAQ
+RLKQNQPKRAHKEEGYSKSMQGDEQTKKSPIEKSDFTADTHSRAFYFNKPDEGPKSWMSD
+SGTGLTYWKLEEKDMYHSLPETLEKTFAPASSTDISPSQSNTSNEMKPPSLKDIYHKKQR
+ENKQLPERNLTSASNPNHPPEVLTLDPTLHMKPNQQISGIQPHSFLNALDDRISFSPDSV
+LDPSLSSHSDIDLFSQASHITSQLSGFPKYPTDAKVSPVDPWKNQAFRNESRTSSTFPSV
+YTVGSNNISVSTVDEENPVLGPPVSVSQSQLPGTANGVPECISLTSLEDPVVLSKIRQNL
+KEKHARHIADLRAYYESEINSLKQKLEEKEISVAEDWKKTNQILADRCGQLESALNEATS
+RVRTLENKNNLLEREVSDFRERFNAASSASKILQERIEEMRTSNKEKDNTIIRLKSRLQD
+LEEAFENAYKLSDDKEARLKQENKMFQDLLGEYESLGKEHERVKDTLNITENKLLDAHTQ
+ISDLKRTISKLEAQVKQVEHENMLSLRHNSKTPMRPSHANTLAGSDVSRRKWLIPGAEHS
+IFTGQPLDTKLDSQPEETCLPGYHSSLEKDLSPGSSPSLLIKKQRETLDTPIMKALKELD
+EEKVFKNWGTQTEKEDTSNKLVNPRPADSSVNASGSPERGAQQRQKRFNSASQRSSSLPP
+SNRKSNTPTKREIMLTPVTMAYSPKRSPKENLSPGFSHLLSKNESSPIRFDILLDDLDTV
+PVSTVQRSNPRKQLQFLPLDDSEEKKYSEKAPDIHVNHSSSPELLPNGAKKVSVRPAWEK
+NKSFSYEQCQPVSTAPQGNNFEYTAKIRTLAETERFFDELTKEKDQIEAALSRMPSTGGR
+VTLQTRLNQEALEDRLEEINRELGSVRMTLKKFHVLRTSANL
+>tr|A0A3Q1LWE0|A0A3Q1LWE0_BOVIN Exportin 5 OS=Bos taurus OX=9913 GN=XPO5 PE=4 SV=1
+MAMDRVNALCEQLVKAVTIMMDPSSTQRYRLEALKFCEEFKEKCPVCVPCGLRLAEKTQI
+AIVRHFGLQILEHVVKFRWNNMSRLEKVYLKNSVMELIANGTLNILEEENHIKDVLSRIV
+VEMIKREWPQHWPDMLVELDTLSKQGETQTELVMFILLRLAEDVVTFQTLPPQRRRDIQQ
+TLTQNMERIFSFLLNTLQENVNKYQQVKTDNSQESKAQANCRVGVAALNTLAGYIDWVPM
+SHVTAENCKLLEVLCLLLNEQELQLGAAECLLIAVSRKGKLEDRKPLMVLFGDVAMHYIL
+SAAQTADGGGLVEKHYVFLKRLCQVLRALGNQLCALLGVDSDVEIPANFGKYLESFLAFT
+THPSQFLRSSTQMTWGALFRHEILSRDPLLLAIIPKYLRASMTNLVKMGFPSKTDSPSCE
+YSRFDFDSDEDFNAFFNSSRAQQGEVIRLACRLDPKTSFQMAGEWLKYQLSTSIDPGSMN
+SGTGESSLCSIFSPSFVQWEAMTFFLESVINQMFRTLDKEEIPVTDGVELLQMVLNFDTK
+DPLILSCVLTNVSALFPFVTYRPEFLPQVFSKLFSSVTFEIVEESKAPRTRAVRNVRRHA
+CSSIIKMCRDYPQLVLPSFDMLYNHVKQLLSNELLLTQMEKCALMEALVLISNQFKSYER
+QKVFLEELMAPVASIWLSENMHRVLSDVDAFIAYVGADRKNCDPGLEDPCGLNRARMSFC
+VYSILGVMKRTCWPTDLEEAKAGGFVVAYTPTGNPIFRNPCTEQILKLLDNLLALIRVLV
+GLPQPLLELNDSPVYKTVLERMQRFFCTLYENCFHILGKAGPSMQQDFYTVEHLATQLLS
+SAFVNLNNIPDYRLRPMLHILSKPLVLFCPPEHYEALVSPILGPLFTYLHVRLSQKWQVI
+NQRSLLCGEDETTDENPESQEMLEEQLVRMLTREVMDLITVCCVSKKGADHTAAPPADGD
+DEEMMATEVAPSAMAELTDLGKCLMKHEDVCTALLITAFNSLAWKDTLSCQRTTTQLCWP
+LLKQVLSGTLLADAVTWLFTSVLKGLQTHGQHDGCMASLVHLAFQIYEALRPRYLEIRAV
+MEQIPEIQKDCLDQFDCKLLNPSLQKVADKRRKDQFKRLIAGCIGKPLGEQFRKEVHIKN
+LPSLFKKPKPMPETDALDEEGGLATIFEP
+>tr|A0A3Q1LRD2|A0A3Q1LRD2_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MGSIKDRNGMDLTEAEDIKKRWQEYTEELYKKDLHDPDNHDGVITDLEPDILECEVKWAL
+ESITTNKASGGDGIPVVLFQSLKDDAVKVLHSICQKIWKTQQWPQDWKRLVFIPIPKKGN
+AKECSNYSTIALISHASKVMLKILQARLQQYVNRELPDVQAGFRKGRGTRDQIANIRWIM
+EKPRALQKNIYFFFIEYAKAFDCVDHNKLWKTLKEMGISDHLTCLLRNMYASQEATVRTG
+HGTTDWFQIGKGVCQGCILSPCLFNFYAEYIMRNAGLEETQARIKTAGRNINNLRYADDT
+TLMAESEEELKSLLMKVKVKSEKAGLKLNIQKTKIMASGPSTSWEIDGETVETVSDFILG
+GSKITADGDCSHEIKRRLLLGRKVMTNLDSIFKSRDITLPTKVRLVKAMVFPVVMYGCES
+WTVKKAERRRIDAFELWCWRRLLRVPWTARRSNQSILKEISPGISLEGMMLKLKLQYFGH
+LMRRVDSLEKTLMLGGIGGRRRRGRQRMR
+>tr|A0A3Q1MLS2|A0A3Q1MLS2_BOVIN Sentrin-specific protease 7 OS=Bos taurus OX=9913 GN=SENP7 PE=4 SV=1
+MDKGKAGRRRSSAEIVTEGKRKKPSSSELHKITKILNAKPEDVHVQSPLSKLRSSEHWDV
+SLQGWKRSLRNKVLSLDHKSKKGVRGHPVTSKISPERQLKVMLTNVLWTDLGRKFRKTLP
+RNDANLCDANKVQSDSLPSTSVDSLETCQKLEPLHQSLNLSESSSQSSKTDNYAKQTSYT
+KEKRRDDDGISFMSDTQPKDLSSGSRDCDHLEVGSRNKDDTNSVSRMEPTLISRKRKKRL
+RSNLPDTHNPASLYKSAEQTKEQENDPAVFTELEKSSENYHEDPKLFEEVTYESIESDFA
+QLPSDCGQELALSASPQMTSGCSAMETFESSISSDTVGNSTLVVKDENELNTIEKPVLSE
+HSEGNLSFISAEPIVVSSDEEGPTEQKSSEILKLQPKQDDAISHESESTSEPVVSELPLI
+TCESVQTSSELCSYNPVMENISCLIPKNEMDLQLDFIFTSVYIGKIKGASKGCVTFTTKY
+IKIPFQVSVHEISLLVDTTHLKRFGLWKNKDDDHSKKSHAILFLWVSSNYLQEIQTQLEN
+SVFSQQSKSTEFIFLELHSSISQREELKLKDIMTEISTTNGELELSYPLSWVQALPLFQN
+LSSKESSFIHYYCASTCSFPAATTEEMKMKSVSQPSNTDTAKPTYTLLQKQSSGCYSLSI
+TSNPDEEWREVRHTGPVQKLIVYPPPPTKGGLGVTNEDLECLEEGEFLNDVIIDFYLKYL
+ILEKASDELVERSHIFSSFFYKCLTRKENNLTEDNPNLSMAQRRHKRVRTWTRHINIFNK
+DYIFVPVNESSHWYLAVICFPWLEEVVYEDFPQTIPQYSQAEESHHDSRTIDNDLHTSSA
+LSSGTEDSQSPEMNVTVPKKMCKRPCILILDSLKAASIQNTVQNLREYLEVEWEVKRKTH
+REFSKTNMVDLCPKVPKQDNSSDCGVYLLQYVESFFKDPIVNFELPIHLEKWFPRHVIKT
+KREDIRELILKLHLQQQKGSSS
+>tr|F1MVW6|F1MVW6_BOVIN Mitochondrial import inner membrane translocase subunit TIM14 OS=Bos taurus OX=9913 GN=DNAJC19 PE=4 SV=1
+MASTVVAVGLTIAAAGFAGRYALQAMKHMEPQVKQVFQSLPKTAFSGGYYRGGFEPKMTK
+REAALILGISPTANKAKIRDAHRRIMLLNHPDKGGSPYIAAKINEAKDLLEGQAKK
+>tr|A0A3Q1MTC3|A0A3Q1MTC3_BOVIN Phosphoinositide phospholipase C OS=Bos taurus OX=9913 GN=PLCL1 PE=4 SV=1
+MERLTSSQPLLSTRKKVIADPANQKCGGRKKTVSFSSMPSEKKISSASDCITFMQAGCEL
+KKVRPNSRIYNRFFTLDTDLQALRWEPSKKDLEKAKLDISAIKEIRLGKNTETFRNNGLA
+DQICEDCAFSILHGENYESLDLVANSADVANIWVSGLRYLVSRSKQPLDFMEGNQNTPRF
+MWLKTVFEAADIDGNGIMLEDTSVELIKQLNPTLKESKIRLKFKEIQKSKEKLTTRVTEE
+EFCEAFCELCTRPEVYFLLVQISKNKEYLDANDLMLFLEAEQGVTHITEDMCLDIIRRYE
+LSEEGRQKGFLAIDGFTQYLLSSECNIFDPEQSKVAQDMTQPLSHYYINASHNTYLIEDQ
+FRGPADINGYVRALKMGCRSIELDVNDGSDNEPILCNRNNMTTHLSFRSVIEVINKFAFV
+ASEYPLILCLGNHCSLPQQKVMVQQMKKVFGSKLYTEAPLLSESYLPSPEKLKRMIIVKG
+KKLPSDTDVLEGEVTDEDEEAEMSRRMSVDYNGEQKQILLCRELSDLVSICKSVQYRDFE
+LSMKSQNYWEICSFSETEASRIANEYPEDFVNYNKKFLSRIYPSAMRIDSSNLNPQDFWN
+CGCQIVAMNFQTPGPMMDLHTGWFLQNGGCGYVLRPSIMRDEVSYFSANTKGIVPGVSPL
+VLHIKIISGQNFPKPKGACAKGDVIDPYVCIEIHGIPADCSEQRTKTVQQNSDNPIFDET
+FEFQVNLPELAMIRFVVLDDDYIGDEFIGQYTIPFECLQPGYRHVPLRSFVGDIMEHVTL
+FVHIAITNRSGGGKPQKRSLSVRMGKKVRECTMLRNIGLKNIDDIFKVAVHPLREAIDMR
+ENMQNAIVSVKELCGLPPIASLKQCLLTLSSRLITSDNTPSVSLVMKDNFPYLEPLGAIP
+DMQKKMLAAYDLMIQESRFLIEMADTVQEKIVQCQKAGMEFHEELHNLGAKEGLKGRKLN
+KATESFAWNITVLKGQGDLLKNAKNEAIENMKQIQLACLSCGLSKAPSSGAEVKSKRSLE
+AIEEKESCEDNGKL
+>tr|F1MLQ4|F1MLQ4_BOVIN AA_permease_C domain-containing protein OS=Bos taurus OX=9913 GN=LOC789418 PE=4 SV=4
+MLHQYVRQFSQKLVHRRPLEVGAESESPEAHLNTLDLVALGVGRTLGAGVYILVGAVAKV
+RAGPATVICFLMAGLSCVLSGLCYAEFGARVPGSSSAYLYSYITMGQLCAFITGWNLILS
+LVIGTACIARGWSSTLDSLTGNHISQGLQGTLSLHVPYFLPTYADFVALGLVLLLTGVLV
+LGARESTLVNKVFTVINILVLSFIILSGFIKGDLHNWELTEQDYKLNASGSTDIYSLERL
+DPLGSGGFMPFGFEGILHGAATCFYAFLGFDSIAMRGEEALNPQHSIPFSIMISLFICFL
+AYFGVSAALTLMVPYYQIQPKSPLPQAFLHVGWGPARYVVAVGTLCALTSSLLGTMFTMP
+RLIYAMAKDGLLFQGLAWIYDRTGTPVMAIMASGNIAGVMALLFEFMDLVDLVSVGTLLA
+YSLVIFSMLVLTSLSSESSLFSSSLTLAVLLLTILSLLLAQWSSQVFSGDPGLTTVAVLL
+LLLITGVTVIIWRQPQDPTYLYFKVPALPVLPLVSIFVNIYLMMQMTSGTWALFGIWAAI
+GSVIYFGYGIRHSLEENNEQQPPASTSQTLDKNILGAGSS
+>tr|A0A3Q1MLL0|A0A3Q1MLL0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC785168 PE=4 SV=1
+MSEEVTYATLKFSNPKTKKPQESHSLKRTDSHETPDLELGGEAETGAGSIRGTAEVTESR
+AMKGHSAPSKVWYPIAFVSLSLNLLVLAGLGALGLMHYYKLIFNSKTVYDVQLNVTDHME
+TTTLPTDMPTTVSDCPYSDPALHLCSEIWIQYGSNFYNFSTKIICNTCDSDCEKNHSSLM
+NMDDGENRNRTKLFLRCRPLYIFQTLLNSVSNNTNQTERNVSDISILTCLVPP
+>tr|F1MZC5|F1MZC5_BOVIN Phosphatidate cytidylyltransferase, mitochondrial OS=Bos taurus OX=9913 GN=TAMM41 PE=3 SV=1
+MALQALQSSGVAFRKILSHFPEELSLAFAYGSGVYRQAGPSSDQKNAMLDFVFTVDDPVA
+WHSKNLKRNWNHYSFLKVLGPRIITAVQNNYGAGVYYNTLITCDGRLIKYGVISTSVLIE
+DLLNWNNLYIAGRLQKPVKIVAMNEDVALRSALDQNLKSAVTAAFLMLPESFSEEDLFTE
+IAGLSYSGDFRMVVGEDKAKVLNIVKPNMAHFRELYGSILQESPQVVYKAQQGSLEIDKS
+PEGQFTQLMTLPKTLQQQINHIMDPPGKNRDVEETLLQVAHDPDCGDVVRLGLAAIVRPS
+SMRQSTKGIFTAGLKKSVVYSSLKLHKMWKGWVRKTS
+>tr|A0A3Q1M139|A0A3Q1M139_BOVIN IF rod domain-containing protein OS=Bos taurus OX=9913 GN=LOC618455 PE=3 SV=1
+MSYSCCLPNLSFRSSCSSRPCVPSSCCGTTLPGACNIPANVGSCNWFCEGSFNGSEKETM
+QFLNDRLASYLEKVRQLERDNAELESRILERSQQQEPLVCPNYQSYFRTIEELQQKILAN
+KAENARLVVQIDNAKLAADDFRTKYQTELGLRQLVESDINGLRRILDELTLCKSDLEAQV
+ESLKEELICLKQNHEQEVNTLRSQLGDRLNVEVDAAPTVDLNRVLNETRAQYEALVETNR
+RDVEEWYIRQTEELNKQVVSSSEQLQSYQAEIIELRRTVNALEVELQAQHNLGHWDRDSL
+ENTLTETEARYSCQLAQVQGLIGNVESQLAEIRSDLERQNQEYQVLLDVRARLECEINTY
+RGLLDSEDCKLPCNPCATTNASSVGSCVTNPCTPCGPRSRFGPCNTFGC
+>tr|E1B9A1|E1B9A1_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=OR4C46 PE=3 SV=3
+MNRNNVTEFFLLGLTQNPKMQNIIFAVFLVIYIITVVGNMLIVVTVATSSLLESAMYFFL
+AYLSFIDASYSSVNTPKLIVDSLHESKTILFNGCMTQIFGEHFFGGAEGILLTVMAYDRY
+VAICKPLHYTSIMNRRVCGLLVGVSWVGGFLHATIQILFIFHLPFCGPNVIDHFMCDLNP
+LLSLACTDTHTLGLFVAANSGFICLLNFLLLMVSYVVILRSLRTHSLEARRKALSTCVSH
+ITVVVLFFVPCVFVYMRPAATLSIDKAVALFYTIITPMLNPLIYTLRNAQMKNAIRKL
+>tr|A0A3Q1MHB4|A0A3Q1MHB4_BOVIN Zinc finger and AT-hook domain containing OS=Bos taurus OX=9913 GN=ZFAT PE=4 SV=1
+SESRTPDVGPPPIFMCKCCNLFSPSQSELLSHVSEKHAEEGVNADEIIIPLRPLSTPEPT
+NPGKPGDEFSVMKRKRGRPKGSTKKPSPEEELAERSALPGVDGVRAPEEGSSLECSKCCR
+KFSNPRQLRKHICIIVLNLGEAEGDAGNESDLELEKKYKEDDREKAPKRPRAQRAEKIQK
+VCSGKEAPQMSGAKKPIISVVLTAHEAIPGATKIVPVEAGPPETGATDPEATAADLAPRR
+GYQEYAIQQTAYEQPMKSSRLGPTQLKIFTCEYCNKVFKFKHSLQAHLRIHTKEKPYKCS
+QCSYASAIKANLNVHLRKHTGEKFACDCCSFTCLSKGHLKVHVERVHKKIKQHCRFCKKK
+YSDVKNLIKHIRDAHDPQDKPVQEALDELCLMTREGKRQLLYDCHICERKFKNELDRDRH
+MLVHGDKWPFACELCGHGATKYQALELHVRKHPFVYVCALCPKKFVSSIRLRAHIKEAHG
+AAQETLVFTSSINQSFCLLEPGGDIQQEALGGQLQLAEEEFVFQGVNVPKEAASPGQARP
+AVEPRAPAEVPASPVRLAAAQPNGTAPAPPPSELAASAMSPDLRPHAGLSSEFLMKSDPP
+TAEAPAATPEKTGDTQQGGAAPIQGQDVAPLLSKAKGMEANSEAPGAKSPPAEGQKVAAF
+SCDGPDPSRGLRSNPAQASDPPPGAGGREAALCQPASCTPAPEHRAGLTAFMKILDSLQK
+RRMNTGLCERIRKVYGDLECEYCGKLFWYQVHFDMHVRTHTREHLYYCSQCHYSSITKNC
+LKRHVIQKHSNILLKCPTDGCDYSTPDKYKLQAHLKVHTELDKRSYSCPVCEKSFSEDRL
+IKSHIKTNHPEVSMSTISEVLGRRVQLKGLIGKRAMKCPYCDFYFMKNGSDLQRHIWAHE
+GVKPFKCSLCEYATRSKSNLKAHMNRHSTEKTHLCDMCGKKFKSKGTLKSHKLLHTADGK
+QFKCTVCDYTAAQKPQLLRHMEQHASFKPFRCAHCHYSCNISGSLKRHYNRKHPNEEYSN
+VGTGELAADALIQQGGLKCPVCSFVYGTKWEFNRHLKNKHGLKLVESEGDPKWEPATEAP
+EEPSTQYLHIAEAEEDVQGTQAAVAALQDLRYTSESGDRLDPTAVNILQQIIELGTETHD
+ATAVASVVAMAPGTVTVVKQVTDEEPSSNHTVMIQETLQQASVELAEQHHLVVSSDDVEG
+IETVTVYTQGGEASEFIVYVQEAVQPVEEQASGPPAPEL
+>tr|G3MYC8|G3MYC8_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC508392 PE=3 SV=2
+FFKPCHNSPNQRRMAAQNHSTVTEFILGGLINQPELQLPFFFFFLFLGIYSVTMIGNLGV
+ITLICLNAQLHIPMYYFLSSLSLLDLCYSSVITPKMLVNFVSEKNIISYAGCMAQLYFFL
+VFVIAECYMLTVMAYDRYVAICRPLLYNINMSHGVCSLLVAAVYTMGLIGSTIEIGLMLK
+LSYCEHLISYYFCDVVPLMKLSCSSTYHIEITTFFLAGFNIIVTSLTIFVSYAFILSSIL
+CIHSTEGRSKAFSTCSSHLAAVGLFYGSTTFLYLKPPTGSSLARENVVSLFYTTGIPMLN
+PLIYSLRNKEVKAAMQKTLRRKLFGCKCHYSFSG
+>tr|A0A3Q1LN95|A0A3Q1LN95_BOVIN G_PROTEIN_RECEP_F1_2 domain-containing protein OS=Bos taurus OX=9913 GN=LOC510293 PE=3 SV=1
+MTNMSLVTTFILTGLPHAPELDTFLFGIFLVIYVLTVVGNFLILLVIIVNPHLHTPMYYF
+LSNLSFIDMWYSTVTTPKMLMTLVSPEGSPISFHSCVAQFYSFDFLGSTECFLYTVMSYD
+RFLAISYPLRYASMMSGRTCAILATTTWLSGSLHSAAQTTPTFRLPFCGPNQIQHYICDA
+PSVLKLACADTSTVEMVIFVNIGVVALGCFFLIVLSYVSIVHSILKIRTSEGRWRAFQTC
+ASHCIVVLCFYVPLVFIYLRPGSKEVVDRIVAVFYTVMTPLLNPVVYTLRNKDVKKALLK
+LKDKVMYSQSKWSLQCRLAH
+>tr|F1N390|F1N390_BOVIN Cation channel sperm associated 3 OS=Bos taurus OX=9913 GN=CATSPER3 PE=4 SV=2
+MSQHFYHQDHARILSNSLLENASHMFYEKLWKFQRKDTQFQAFVKKVIMSHLFKIIMIST
+ISMNAFFMVLWTDYKTRYNLFRLFEVSELIFVSIYTSEFCMKLYVDPISYWKDGYNLLDV
+VIIIIIFIPYSLRKIKGKHYPYLNIADGVQSLRILKLITYSRGIRTLITAMGQTAYTVAS
+VLILFFVLMYIFAILGFCLFGLPEGGDMNNWGNLALAFFTLFSLATVDGWTDLQEQLDAR
+NLILSRSFTIIFILLASFVFLSMFVGVMIIHTEDSIKKFERELMLERRMNLMEEKQVILK
+RQQEEVSKLMQTQKDLDHKSFTELVEKFKKTLRHTDPMVLDDFGTSLPFIDIYLSTLDNQ
+DATIYKLQELYYEIVHVLSLMLEDLPQKKQSKSSEKVDEK
+>tr|F1N547|F1N547_BOVIN Centrin 3 OS=Bos taurus OX=9913 GN=CETN3 PE=4 SV=1
+MSLALRNDLVVDKTKRKKRRELSEEQKQEIKDAFELFDTDKDEAIDYHELKVAMRALGFD
+VKKADVLKILKDYDREATGKITFEDFNEVVTDWILERDPHEEILKAFKLFDDDDSGKISL
+RNLRRVARELGENMSDEELRAMIEEFDKDGDGEINQEEFIAIMTGDI
+>tr|E1BIA4|E1BIA4_BOVIN DLEC1, cilia and flagella associated protein OS=Bos taurus OX=9913 GN=DLEC1 PE=4 SV=3
+METGKRERQSAESGSYAFAGATWTPSPRLARIRSPSQSTWLSSLAYSESFHHGFGGRRRF
+VAQLSLPPLPEPQLLRLRPTSLRTQDISYLLTGVFRNLYTAEVIGEEVSSNLIKARGSED
+ARHEEFVDQLQQIRELYKQRLDEVEMLERHIIQARARALAEKERAMHQAKVQFLEPFIKM
+PPVKTIFRWCVDNELLRKHHLICPNDYYSDTVPFCSAPKGVSVPGYSKPTFSSEKRSIPK
+KELTKKPACRKMSSQSAEETDYTMDSLTWDSSFKAKLRSRAAIKKTGLPKNKNWMNHLRV
+PQRQLERLQLARMESRNRFLRNPRFFPPNTPLGGKSLLFPPKKPARVGAFQDGELEESCA
+DTPVFLAKPSIGFFTDYEIGPVYEMVISLQNTTSTSRHLRVLPPSTPYFALGLGMFPGEG
+GMVAPGMTCQYIVQFFPDCLGDFDDFILVETQSAHTLLIPLQARRPPPVLTLSPVLDCGY
+CLIGGMKITRFICKNVGFSVGKFCIMPKKSWPPPSFRAVVTIGFVEQPPFGILPSVFELV
+PGQAVFVEVLFLPTSLGKAEQTFVIVCDNCQIKELVTTGVGQLVALDLIYISGEKSQPEP
+GELTDLTAQYFIRFEPENLQSTASKLLIIRNATHVELAFHWQIMKPNLQSLMPGETYSTD
+SIKYHPDRETAFCITPKMGVLSPHTDHEFILSFSPQEPRDFHSVLQMVLEEVPEPVSLDL
+ENSKDPSYCMDDVIVLEIEVKGSVEPFQVLLEPYALIIPGENYIGINVKKNFKMWNNSKS
+TIRYTWGKISDCHIIEVEPCTGTIEPSEVEDFELNFTGGVPGPTSQNLLCEIKDSPTPVV
+LHIEAAFKGPALVIDVSALQFGLLRLGQKATKFIQIRNLSQLPATWAMKESQVSLQERQE
+GVSPFDIEPSSGQVQPLGECRVSITLEAQHCQRLQTVLELEVVDGTWSHLPVYAEVQEPY
+VYLQSSQVEVPNLYLGVPTKMAITLINGTLLPTRFHWGKLLGLQADVCTVKVSPRRGTLG
+PSEERQLSLELTAHTLEAVTDLALPCDVSGMREPLVLGISGKPRGLQVAIGISKEDSDDS
+APSTELWPGHPETLHLDFGSAVPLWTRVNRRLFLTNCSPIQTPFTLEFEYFGSPVNSLSQ
+KPSPPDMPPALLKTARMRELLAKREQWEFMESMLSHGKGAAFFPHLSQGVLGAHQKLSID
+LTACANMWGEYWDSLICTVGGLPPAVIPVRMAVVGCPISSQRTTYYTTDQTQREPIIRFG
+TRVSGGDPVTRILRLNNSSPCDIRLDWETYTPEDREDRLLELLVFYGPPFPLRDHAGNDL
+LYPETPESSGSFWSPSPSESESSREATPSAEGSSSAGAPQKIISVILRGHEGVPSDHLFS
+ISPKQVVVPARGSSTIHISFTPVVLGPGVLHKVECVGYALGFLSLDDEVERELPGRRRRL
+QDFAMGPMRLDLHGYVRPAQLSVDWDYGGSMVFRQQASDLIPEQPCAGVLSELVTTRHLK
+LTNTTEIPHYFWLLVSKPFSISQDGASRSHRALGWKQEGEEIAARGKQLVLHPQENMLVN
+VSFSLSLELLSYQKLPADQMLPGVDIQQSPSGEKQMVFTQNLLLEYSNQTSQVVPLRAVV
+AVPELQLSTSWVDFGPCFVNQGRAREVYLMNLSGCRSYWAVLTGQQEPDRDPVAFKVSPS
+SGLLEARPVNAPPTSVTLQVSFTARSCELYESTLVVEGVLGEKPCTLRLRGRGSYDERHA
+SPQHQL
+>tr|A0A3Q1MFN0|A0A3Q1MFN0_BOVIN ATR serine/threonine kinase OS=Bos taurus OX=9913 GN=ATR PE=4 SV=1
+MGEHGLELASMIPALRELGSASPEEYNTVVQKPRQILCQFIDRILTDVNVVALELVKKTD
+SQPTSVMLLDFIQHIMKSSPLMFVNVNGSHGQNEAKGSCIGKFCCFVHLFLISFMNSASS
+ALLKCHKHIVMCILVKFAIKLREQNGFISCILVFFYYELDTTNGAEVTEYVYTPLNI
+>tr|A0A3Q1NG79|A0A3Q1NG79_BOVIN SON DNA binding protein OS=Bos taurus OX=9913 GN=SON PE=4 SV=1
+MATNIEQIFRSFVVSKFREIQQELSSGRSEGQLNGETNTPIEGNQAGDAAASARNLPNED
+IVQKIEEVLSGVLDTELRYKPDLKEASRKSRCVSVQTDPTDEIPTKKSKKHKKHKNKKKK
+KKKEKEKKYKRQSEESESKPKSHHDGNIESDSFLKFDSEPSEMALEHSVRAFGLYDTSES
+PAVVLEPPVVSMEVSEPHILETLTPATKHTELSVASTSVVSMPSEQSVAVMLEPSTTKIL
+DSFATAPVPTTTVVLKSSEPVVTVSVECQMKSVQKSLESTPPEPSKIMLLEPPVAKVLEL
+SETLVSSETPTEVHPEPSTSTAMDFPESSATEVLRLPEQPVEGPSEIADSSMTRPQEMLE
+LPKTTALELQESSVASVMELPGPPATSMPELQGPPVTPVLELPGPSATPAPELPGPLSTP
+VPELLGPPATAVPELAGPSVTSVPQLSQELSGLPAPSMGLEPPQEVPEPPVMAQELPGLP
+AVTTAVELPGQPVVTVAMELTEQPVTTTELEQPVGMTAVEHPGQPEVTTATGLLGQPEAA
+MVLELPGQPVATTALELSGQPSVTGVPELPGLPSATRALELSGQPVATGALELPGQLMAA
+GALEFSGQSGAAGALELLGQPLATGVLELPGQPGAPELPGQPVATVALEISVQSVVTTEL
+STMTVSQSLEVPSTTALESYNTVAQELPTTLVGETSVTVGVDPLMAQESHMLASNTMETH
+MLASNTMDSQMLASNTMDSQMLASNTMDSQMLASSTMDSQMLATSSMDSQMLATSSMDSQ
+MLATSSMDSQMLATSSMDSQMLATSSMDSQMLATSSMDSQMLATSSMDSQMLATSSMDSQ
+MLATSTMDSQMLATSSMDSQMLASGTMDSQMLASGSMDAQMLASGTMDAQMLASSTQDSA
+MLGSKSPDPYRLAQDPYRLAQDPYRLGHDPYRLGHDAYRLGQDPYRLGHDPYRLTPDPYR
+MSPRPYRIAPRSYRIAPRPYRLAPRPLMLASRRSMMMSYAAERSMMSSYERSMMSYERSM
+MSPMAERSMMSAYERSMMSAYERSMMSPMAERSMMSAYERSMMSAYERSMMSPMADRSMM
+SMGADRSMMSSYSAADRSMMSSYSAADRSMMSSYTADRSMMSMAADSYTDSYTDTYTEAY
+MVPPLPPEEPPTMPPLPPEEPPMTPPLPPEEPPEGPVLAAEQSALTAENTWSAEVPALAP
+EESVSLPEPPVSQSEIAEPLAVTANYSVSASEPSVLASEADVTVPEPQLEPESSVMSTPV
+ESAAGAEEHEMVAERPVTYMVSETPTTSAEPTVLTSEPSVISETAETYDSMRASGQTASE
+VSMSLLEPAVPIAEPSQSTVDLPAMAVPEHPAGIVSETSTVAVPEPQAEAVLDPPAAAVQ
+DPPAVAVLDPPAEAVPEPLALSEPEHVTVAVPVVSTLEPTVPILEPVVSILQPNVIVSEP
+SSCVQESTVTISEPPVTVSEQTQVIPAETVVESTAVILESSVIKGMNLLSGDQSIASEIG
+LQEIAMHSEEEPRAEGLLKSGSNETENCISTDLNINNHLIAQEMECSTVSAASTGAIGEM
+GEEAVLPTSETKQCTVLDTCPSVSETELGGTLSSVGPLVLESEAVGTGKDLEFGTASALS
+SVSKYDGEVSLTTQDTEHDMVISTSPSGGSEADIEGPLPAQDIHPDLSNNFINKDAEGSL
+PVQESDQMLAAAISPKESSGEDKEVSLTTKEILSDSGFPASIDDINEADLVRPLLPKDME
+RLTNLRAGIEGPLLPSEVERDKSAASPVVISIPEKASESSSEEKDDYEIFVKVKDTHEKS
+KKNKNRDKGEKEKKRDSSLRSRSKRSKSSEHKSRKRTSESRSRARKRSSKSKSHRSQTRS
+RSRSRRRRRSSRSRSKSRGRRSVSKEKRKRSPKHRSKSRERKRKRSSSRDNRKTGRARSR
+TPSRRSRSHTPSRRRRSRSGGRRSFSISPSRRSRTPSRRSRTPSRRSRTPSRRSRTPSRR
+SRTPSRRSRTPSRRRRSRSVVRRRSFSISPVRLRRSRTPLRRRFSRSPIRRKRSRSSERG
+RSPKRLTDLNKAQLLEIAKANAAAMCAKAGVPLPPNLKPAPPPTIEEKVAKKSGGATIEE
+LTEKCKQIAQSKEDDDVIVNKPHVSDEEEEEPPFYHHPFKLSEPKPIFFNLNIAAAKPTP
+PKSQVTLTKEFPVSSGSQHRKKEADSVYGEWVPVEKNGEENKDDDNVFSSNLPSEGRVKR
+QGRVRRQMKQPAASHLTVTRCNSLCGTKPQSEKHRIAENSVITSLPNIGPSLHLWEGSPR
+YNYLASRFASRLYSSRFWW
+>tr|E1BP81|E1BP81_BOVIN Semaphorin 6A OS=Bos taurus OX=9913 GN=SEMA6A PE=3 SV=1
+MRSEALLLYFTLLHCAGAAFPEDSEPISISHGNYTKQYPVFVGHKPGRNTTQRHRLDIQM
+IVIMNRTLYIAARDHIYTVDIDTSHTEEIYCSKKLTWKSRQADVDTCRMKGKHKDECHNF
+IKVLLKKNDDTLFVCGTNAFNPSCRNYKMDTLEPVGDEFSGMARCPYDAKHANIALFADG
+KLYSATVTDFLAIDAVIYRSLGDSPTLRTVKHDSKWLKEPYFVQAVDYGDYIYFFFREIA
+VEYNSMGKVVFPRVAQVCKNDMGGSQRVLEKQWTSFLKARLNCSVPGDSHFYFNILQAVT
+DVIHIHGRDVVLATFSTPYNSIPGSAVCAYDMHDIANVFTGRFKEQKSPDSTWTPVPDER
+VPKPRPGCCAGSTSLEKYTTSNEFPDDTLNFIKTHPLMDEAVPSIINRPWFLRTMVRYRL
+TKIAVDTAAGPYQNHTVVFLGSEKGIILKFLARTGNSGFLNDSLFLEEMSVYNPEKCSYD
+GVEDKRIMGMQLDKASSFLYVAFSTCVIKVPLGRCERHGKCKKTCIASRDPYCGWVKEAG
+ACAHLSPSSRLTFEQDIERGNTDGLGDCHNSFVALNGHSSSLLPSTTTSDSAAQEGYESR
+GGMMDWKESPDSTDSLGAVSSHNHQDKKGVIRESYLKGHDQLVPVTLLAIAVILAFVMGA
+VFSGIIVYCVCDHRRKDVSSVQRKEKELTHSRRGSMSSVTKLSGLFGDTQSKDPKPEAIL
+TPLMHNGKLATPSNTAKMLIKADQHHLDLAALPTPESTPTLQQKRKPSRGSREWERNQNL
+INACTKDMPPMGSPVIPTDLPLRASPSHIPSVVVLPTTRQGYQHEYVDQPKMSEVAQMAL
+EDQAATLEYKTIKEHLSSKSPNHGVNLVENLDSLPPKVPQREASLGPPGASLSQNGLSKR
+LEMRPSSSYGLDYKRSYPTNSLTRSHQAATLKRNNTNSSNSSHLSRNQSFGRGDNPPPAP
+QRVDSIQAHSSPQPSGQAVTVSRQPSLNSAYNSLTRAGLKRTPSLKPDVPPKPSFAPLST
+SMKPNDACT
+>tr|F1MXC3|F1MXC3_BOVIN Telomerase reverse transcriptase OS=Bos taurus OX=9913 GN=TERT PE=3 SV=3
+MPRAPRCRAVRALLRASYRQVLPLAAFVRRLRPQGHRLVRRGDPAAFRALVAQCLVCVPW
+DAQPPPAAPSFRQVSCLKELVARVVQRLCERGARNVLAFGFTLLAGARGGPPVAFTTSVR
+SYLPNTVTDTLRGSGAWGLLLHRVGDDVLTHLLSRCALYLLVPPTCAYQVCGPPLYDLRA
+AAAAARRPTRQVGGTRAGFGLPRPASSNGGHGEAEGLLEARAQGARRRRSSARGRLPPAK
+RPRRGLEPGRDLEGQVARSPPRVVTPTRDAAEAKSRKGDVPGPCRLFPGGERGVGSASWR
+LSPSEGEPGAGACAETKRFLYCSGGGEQLRRSFLLCSLPPSLAGARTLVETIFLDSKPGP
+PGAPRRPRRLPARYWQMRPLFRKLLGNHARCPYGALLRAHCPLPASAPRAGPDHQKCPGV
+GGCPSERPAAAPEGEANSGRLVQLLRQHSSPWQVYGLLRACLRRLVPAGLWGSRHNERRF
+LRNVKKLLSLGKHGRLSQQELTWKMKVQDCAWLRASPGARCVPAAEHRQREAVLGRFLHW
+LMGAYVVELLRSFFYVTETTFQKNRLFFFRKRIWSQLQRLGVRQHLDRVRLRELSEAEVR
+QHQEARPALLTSRLRFVPKPGGLRPIVNVGCVEGAPAPPRDKKVQHLSSRVKTLFAVLNY
+ERARRPGLLGASVLGMDDIHRAWRAFVLPLRARGPAPPLYFVKVDVVGAYDALPQDKLAE
+VIANVLQPQENTYCVRHCAMVRTARGRMRKSFKRHVSTFSDFQPYLRQLVEHLQAMGSLR
+DAVVIEQSCSLNEPGSSLFNLFLHLVRSHVIRIGGRSYIQCQGIPQGSILSTLLCSFCYG
+DMENKLFPGVQQDGVLLRLVDDFLLVTPHLTRARDFLRTLVRGVPEYGCQVNLRKTVVNF
+PVEPGALGGAAPLQLPAHCLFPWCGLLLDTRTLEVHGDHSSYARTSIRASLTFTQGFKPG
+RNMRRKLLAVLQLKCHGLFLDLQVNSLQTVFTNVYKIFLLQAYRFHACVLQLPFSQPVRS
+SPAFFLQVIADTASRGYALLKARNAGASLGARGAAGLFPSEAAQWLCLHAFLLKLAHHRV
+TYSRLLGALRTAQARLHRQLPGPTRAALEAAADPALTADFKTILD
+>tr|A0A3Q1M2E5|A0A3Q1M2E5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=SORBS2 PE=4 SV=1
+MSVTLTSVKRVQSSPNLLAAGRDSQSPDSAWRCFNDRNQETLNGDATCSSLAAKGFRSVR
+PNLQEKKSPTQSQITVNGNSGGTVSPMSYYQRPFSPSAYSLPGSLNSSMIMQHGRSLDSS
+EAYPQHAQSLDGSVGSSIPLYRSSEEEKRVTVIKAPHYPGIGPVDESGIPTAIRTTVDRP
+KDWYKTMFKQIHMVHKPDDDTDMYNTPYTYNAGLYNSPYSTQSHPAAKTQTYRPLSKSHS
+DNGTNAFKDASSPVPPPPIPPPVPPFRARDRSSTEKHDWDPPDRKVDTRKFRSEPRSIFE
+YEPGKSSILQHERPASLYQSSIDRSLERPTSSASMASDFRKRRRSEPAVGQPRGLGDPSA
+RSTSPGRADLPGSSTTLTKSFISSSPSSPSRAKDHESPRSYSATLTDLGRTTPRERRGTP
+EKEKLPAKAVYDFKAQTSKELSFKKGDTVYILRKIDQNWYEGEHHGRVGIFPISYVEKLT
+PPEKAQPARPPPPAQPGEIGEAVAKYNFSADTNVELSLRKGDRIILLKRVDQNWYEGKIP
+GTNRQGIFPVSYVEVVKKHTTKGAEDYPELPIPHSYSSDRIHSLSSNKPQRPVFTHENIQ
+GGGEPFQALYNYTPRNEDELELRESDVIDVMEKCDDGWFVGTSRRTRFFGTFPGNYVKRL
+>tr|F1N1W8|F1N1W8_BOVIN G_PROTEIN_RECEP_F1_2 domain-containing protein OS=Bos taurus OX=9913 PE=3 SV=2
+MLAPSRAVMNQTLVTEFFILGFSETPGLRTLLFSAFLLLYTVALSGNLLIVVVINSSPAL
+HTPMYFFLVNLAVVDILCTSTILPELLVGMVASKTISYGGCMAQLFFFTWSMGAELLLFS
+AMAYDRYVAICRPLHYSALMGPRVCALLAGVVWTVSLINTGVHTGLMLRLPFCRSNVVEH
+FFCEIPPLLKLSCAPTQLNETMAFTADIFLAVGNFSVITLSYGCIIGSILRMRSAVGKRR
+AFSTCSSHLLVVTLYYSTVIYTYIRPASSYSLDKDKLVSVIYTSVAPSLNPLIYSLRNME
+VKAALRRLLSCS
+>tr|A0A3Q1NIK5|A0A3Q1NIK5_BOVIN Membrane-associated progesterone receptor component 1 OS=Bos taurus OX=9913 GN=PGRMC1 PE=3 SV=1
+MAAEDVAATGADTSELESGGLLQEIFTSPLNLLLLGLCIFLLYKIVRGDQPAASDSDDDE
+PPPLPRLKRRDFTPAELRRFDGVQDPRILMAINGKVFDVTKGRKFYGPEGPYGVFAGRDA
+SRGLATFCLDKEALKDEYDDLSDLTPAQQETLSDWDSQFTFKYHHVGKLLKDGEEPTVYS
+DEEEPKDESTRKND
+>tr|G3MYH1|G3MYH1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=3 SV=2
+MKVKEESKKVGLKLNIQKTKIMASVHYLTKENINQDSESHMVSFLQPNGAIFEPSLSVGT
+EDDKFTILNLAVAAVSHLYPDTFKEYLVHLPKSQILLFQKRTLKELLWGYTDPFLNLIPY
+SIPTEVDVFYPVIGSFYPYNNTADGVYKVFNGKDDISKVARIDTYKGKKHKLHLIPSSFP
+PFIEKIRVLQFFSLDIFRSIYVVFTAETNLKGIPVYRFTLPSLAFASPLQNPDNHCFYIG
+KCQEGKKPVYISLPHFLYASPEIAEPIEGVSPNEEEHSTYLDVEPITGFTLQFAKQLQIN
+ILVKPARKIEALKHLEQNYLVPILWLNETATIGDEKAAMFIDQVTGKINLLRLVQIILWS
+IGTVMFVAFMISYCACRVEC
+>tr|F1MZP8|F1MZP8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=ADH1C PE=1 SV=2
+RTSVGKVIKCKAAVLWELNKPFSIEEVEVAPPKAHEVRIKKETKEYVQYNPSETKKNLCG
+GSAVSPLNIWLVDLTSRLTKHLKESVLSSSPGDKVIPLFIPQCGKCNVCKHPEANLCLKF
+QLKEPRGTLNDGTCRFTCRGKPIHHFLGTSTFTQYTVVDEMSVAKIHADSPLEKVCLIGC
+GFSTGYGSAVKIAKKTQVTQGSTCAVFGLGGVGLSVIMGCKAAGAARIIAVDINKDKFAR
+AKQVGATECINPQDYEKPIEEVLKEVSGGGVDFSFEVIGRLDTMMSALLCCQEAYGVSVI
+VGVPPHAQNISMNPMLLLTGRTWKGAIFGGSFKSKESVPKLVTDFMAKKFSLDQLITHVL
+PLEKINEGFDLLRSGMSVRTILTF
+>tr|E1BC49|E1BC49_BOVIN Phospholipase A2 OS=Bos taurus OX=9913 GN=PLA2G4B PE=4 SV=2
+MALAKVPGTCLLTIRVLQAHGLPSKDLVTSSDCYVTLWLPTASSHQLQTRTVKNCRNPVW
+NQSFHFRIHSQIKNIVYLRVFDQDLLTTDDPLLSVLFDVGTLRAGESRRESFSLSPQGEE
+RLEVEFRLQNLTDGAERLFSNGILVARELSCLHVRLEKAGDLQESEGRVQLVVPGSCEGP
+QEAFVGAGSFRFHWPACWEQELSIHLQDAPQEQLKVPLRALPSGQVVQLVFPTSQEPLMK
+VELKNEEGPRDLAVRLGCGPCAEEQAFLSRRKQVVAKALQQALHLDRDLREDEIPVVAVM
+ATGGGIRAMTSLYGQLAGLKALGLLDCVSYITGASGSTWALANLYEDPEWSQKDLAGPIE
+SLKTQVAKSKLGVLAPRQLLRYQQELAERARLGHPACFTNLWALINEALLHDEPHDHKLS
+DQREALSRGQNPLPIYCALNTKEQNLTTFEFGEWCEFSPYEVGFPKYGAFIPSELFGSEF
+FMGRLTKQLPESRICFLEGIWSNLYAANLQDSLYWSSEPSQFWDRWAQARASLDKEQVPL
+LKIEEPPTVAGRIAEVFTDLLTRRPLAQATHNFLRGFSFHKDYFQHPHFSAWKATKLDGL
+PNELTPAEPYLCLLDVGYLVNTSCPPLLPPTRDVDLILSLDYNLHGAFQQLQLLGRFCQE
+QGIPFPPISPSPEEQCQPQECHLFSDPARPDIPAVLHFPLVNASFRDHSAPGVRRTAEEQ
+AAGEVNLSASDSPYHYSKVTYSLEDTDKLLHLTQYNICNNQERLLEALREAMQRRRQRTQ
+RRPE
+>tr|E1BDS6|E1BDS6_BOVIN Tumor protein p53 binding protein 1 OS=Bos taurus OX=9913 GN=TP53BP1 PE=4 SV=2
+MPGEQMDPTGSQLDSDFSQQDTPCLIIEDSQPESQVLEDDSGSHFSVLARHLPNLQTHKE
+NPVLDVESNTEQTAEEEQGDSKSGFNEHLKENKAADSLDSSHLDTRGSISQVIEQLPQPN
+RKSSVLGMSVESAPPVSEEKEKEVEEKEKEEKEEDIPDDTTHSLSAEDPASSQMGFGVLE
+LSQSQDIEEQTVPYEIDREPLQSVTTNSGYTRLSGVDANIAIKHEEQSNEDTRVAEQPSK
+DVPVVEEQNAPPERSEDMPSSPTVSAAMEAKEQTSAQELPEGGMQVQKSPEPEVLSTQED
+LFDQSNKTVASDGCSTPLREEGGCSLASTPATTLHLLQLSGQRSLVQESLSTNSSDLVAP
+SPDAFRSTPFIVPSSPTEQEGRKDEPMDMSVLSEGGESFQKKLQGDEPAEMENPPLPTEP
+TISPQASTPVSQSTPVFTPGSLPIPSQPEFSHDIFIPSPSLEERSNDEKKGRELHSSTLT
+VECSKASETEPKNSTEDLGLSLTGDSCKLMLSTSEYSQSPKMESLSSHRLDEDGENTQIE
+DTEPMSPVLNSKLVPAENDSILLDPAQEGQVELNQNDDKAKGDNIGTRVDISILSAACKG
+REEPVAEDVCIDLTCDSGSQAVPSPATRSEALSSVLDQEEAIEIKEHHPEEGSSGSEVEE
+IPETPCESQGEEPKEENMESVTLHLSLTETQSQGLGLRKEVPKQECQEAMEVETSVISID
+SPQKLPVLEQELEHKDQEAWEEATSEDSSVVIVDVKEPSPRVDVSSEPLEGVEKCSDSQS
+WEDDAPEIEPCAENRVDIQEEKSAECEGDRKSVTAEKESVEADSPQPPLTLVRADGTLGP
+EQEMQQTQPQERTDSTLREDSKVANAEHVDSGVEAQQLEKASAQASQSFCESSSETPFHF
+TLPKEGDIIPPLTGATPPLIGHLKLEPKRHSTPIGISNYPESTITTSDVMSESMVETNDP
+IPGNEKGDSGAAPEMGDQLCLRMKLVSPETEASEESLQFSLEKPAAGERKNGSTAVAEAV
+ASSQKTMSVFSCVCEAGQEDEARNQNSSSAPIRGNLLHFPSTQDDEEKGKFEGDQGTRQS
+QQPTKPSSPVKEPAAPISQQMATQEPSSPQGEAMEIDTPEGQKGAWSTSQEKPSKALIER
+PSQNNVGIQTIEHSLWVPETVSAATQTVQNVCEQGTSTVDQNSGRQDATVQTEKGTGERA
+VSAPGDDTESLHSQGEEEFDMPQPPHGHVLHRHMRTIREVRTLVTRVITDVYYVDGTEVE
+RKVTEETEEPIVECQECETEVSPSQTGGSSGDLGDISSFSSKASSLHRTSSGTSLSAMHS
+SGSSGRGAGQLKGKTSGTEPAEFALPSSRGGPGKLSPRKGVSQTGTPVCEEDGDAGLGIR
+QAGKAPVTPRGRGRRGRPPSRTTGTRETAVPGPLGIEDISPSMSPDDKSFTRIVPRAPDS
+SRRADSGAGALRRSDSPEIPFQTAAGPSDGLDASSPGNSFVGLRVVAKWSSNGYFYSGKI
+TRDVGAGKYKLLFDDGYECDVLGKDILLCDPIPLDTEVTALSEDEYFSAGVVKGHRKESG
+ELYYSIEKEGQRKWYKRMAVILSLEQGNRLREQYGLGPYEAVTPLTKAADISLDNLVEGK
+RKRRSNINSPATPTTSSSSSTTPTRKVTESPRAATGVPSGKRKLVTPEEERSPAKRGRKS
+ATVKPGAVGAGEFVSPCESGDNLGEPSALEEQRGPLPLNKTLFLGYAFLLTMATTSDKLA
+SRSKLPDGPTGSSEEEEEYLEIPPFNKQYTECQLRAGAGYILEDFNEAQCNTAYQCLLIA
+DQHCRTRKYFLCLASGIPCVSHVWVHDSCHANQLQNYRNYLLPAGYSLEEQRILDWQPRE
+NPFQNLKVLLVSDQQQNFLELWSEILMTGGAASVKQHHSSAHNKDIALGVFDVVVTDPSC
+PASVLKCAEALQLPVVSQEWVIQCLIVGERIGFKQHPKYKHDYVSH
+>tr|A0A3Q1LG69|A0A3Q1LG69_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=3 SV=1
+AQLESKSPRRSTCPEAVYPKGGFTMAIHDKAGSKQNAMTDVTTEAVSVGIAGPPIEGEAN
+VELCCCLSKILELRTSDVVLDKGSKSHEKVVKLLACTPPEEILEKLKKQVEKKKIKARNE
+KCVLEKKKCFYC
+>tr|A0A3Q1LTL3|A0A3Q1LTL3_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MATGTYLSVITLNVNGLNAPTKRQRLAEWIQKQDPYICCLQETHLKTGDTYRLKVKGWKK
+IFHANRDQKKAGVAILISDKIDFKTKAVKRDKEGHYIMIKGSIQEEDITIINIYAPNTGA
+PQYVRQMLTSMKGEINNNTIIVGDFNTPLTPMDRSTKQKINKETQTLNDTIDQLDLIDIY
+RSFHPKTMNFTFFSSAHGTFSRIDHILGHKASLGKFKKIEIIPSIFSDHNAVRLDLNYRR
+KTIKNSNIWRLNNTLLNNQQITEEIKKEIKICIETNENENTTTQNLWDTVKAVLRGKFIA
+IQAHLKKQEKSQINNLTLHLKQLEKEEMKNPRVSRRKEILKIRAEINAKETKETIAKINK
+TKSWFFERINKIDKPLARLIKKQREKNQINKIRNENGEITTDNTEIQRIIREYYQQLYAN
+KMDNVEEMDKFLEKYNFPKLDQEEIENLNRPITSMEIETVIKNLPANKSPGPDGFTAEFY
+QKLREELTPILLKLFQKIAEEGKLPNSFYEATITLIPKPDKDPTKKENYRPISLMNIDAK
+ILNKILAIRIQQHIKKIIHHDQVGFIPGMQGFFNIRKSINVIHHINKLKNKNHMIISIDA
+EKAFDKIQHPFMIKTLQKAGIEGTYLNIIKAIYDKPTANIILNGEKLKAFPLKSGTRQGC
+PLSPLLFNIVLEVLATAIRAEKEIKGIQIGKEEVKLSLFADDMILYIENPKESTRKLLEI
+INDYSKVAGYKINTQKSLAFLYTNNEKTEREIKETIPFTIA
+>tr|A0A3Q1LSP0|A0A3Q1LSP0_BOVIN Leucine-rich repeat-containing protein 7 OS=Bos taurus OX=9913 GN=LRRC7 PE=4 SV=1
+MMENLIRGRNPPQYQRSPCKEVRAALRKRPEEELQCLEMTTKRKIIGRLVPCRCFRGEEE
+IISVLDYSHCSLQQVPKEVFNFERTLEELYLDANQIEELPKQLFNCQALKKLSIPDNDLS
+NLPTTIASLVNLKELDISKNGVQEFPENIKCCKCLTIIEASVNPISKLPDGFTQLLNLTQ
+LYLNDAFLEFLPANFGRLAKLRILELRENHLKTLPKSMHKLAQLERLDLGNNEFSELPEV
+LDQIQNLRELWMDNNALQVLPGSIGKLKMLVYLDMSKNRIETVDMDISGCEALEDLLLSS
+NMLQQLPDSIGLLKKLTTLKVDDNQLTILPNTIGNLSLLEEFDCSCNELESLPSTIGYLH
+SLRTLAVDENFLPELPREIGSCKNVTVMSLRSNKLEFLPEEIGQMQKLRVLNLSDNRLKN
+LPFSFTKLKELAALWLSDNQSKALIPLQTEAHPETKQRVLTNYMFPQQPRGDEDFQSDSD
+SFNPTLWEEQRQQRMTVAFEFEEKKEDDENAGKVKDSFVHPANEMRIGELHPSLAETPLY
+PPKLVLLGKDKKESTDESEVDKTHCLNNSVSSGTYSDYSPSQASSGSSNTRVKVGSLQTT
+AKDAVHNSLWGNRIAQSFPQPLDAKPLLSQREAVPTGNLPQRPDRLPISDAFTDNWTDGS
+HYDNTGFVAEETTGENANNNPLLSSKARSTSSHGRRPLIRQDRIVGVPLELEQSTHRHTP
+ETEVPPSNPWQNWTRTPSPFEDRTAFPSKLETTPTTSPLPERKEHIKESTEIPSPFSPGV
+PWEYHDSNPNRSLSNVFSQIHCRPDSSKGVISISKSTERLSPLMKDIKSNKFKKSQSIDE
+IDIGTYKVYNIPLENYATGSDHLGSHERPDKMLGPEHGMSSMSRSQSVPMLDDEMLTYGS
+SKGPQQQKASMTKKVYQFDQSFNPQGAVEVKAEKRIPPPFQHNSEYVQQPGKNIAKDLVS
+PRAYRGYPPVEQMFSFSQPSVNEDAVVNAQFASQGARAGFLRRADSLASSTEMAMFRRVS
+EPHELTPSDRYGRPPYRGGLDRQSSVSVTESQFLKRNGRYEDEHPSYQEVKAQAGSFPVK
+NLTQRRPLSARSYSTESYGASQTRPVSARPTMAALLEKIPSDYNLGNYGDKPSDNSDIKT
+RPTPVKGEESCGKMPADWRQQLLRHIEARRLDRNAAYKHNTVNLGMLPYGGISAMHAGRS
+MTLNLQTKSKFDLQELPLQKTPSQQSNILDNGQEDVSPSGQWNPYPLGRRDVPPDTITKK
+AGSHIQTLMGSQSLQHRSREQQPYEGNVNKVTIQQFQSPLPIQIPSSQATRGPQPGRCLI
+QTKGQRSMDGYPEQFCVRIEKNPGLGFSISGGISGQGNPFKPSDKGIFVTRVQPDGPASN
+LLQPGDKILQANGHSFVHMEHEKAVLLLKSFQNTVDLVIQRELTV
+>tr|F1MQQ9|F1MQQ9_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=OR52L1 PE=3 SV=3
+MTTLSNSSWRLIEPSFFLIGIPGLEESQHWIALPLCVLYLLALLGNVTVLFTIWTDPSLH
+QPMYLFLAMLSGTDLVLASSTAPKTLAVLLVHAHEIGYTVCLIQMFFIHAFSSMESGVLV
+AMALDRYVAICHPLHHSTILHPRVIGRIGMAVLVRGILLLLPFPILLRRLVFCQATVIGH
+AYCEHMAVVKLSCSDSTVNRAYGLAVALLVVGLDVLAIGVSYALILQAVLKVPGGEARHK
+AFSTCGSHICVILVFYVPGMFSFLTHRFGRQVPHHVHVLLATLYLLVPPALNPLVYGVKT
+QQIRQRVLRVFDIKGQI
+>tr|A0A3Q1N293|A0A3Q1N293_BOVIN PITPNM family member 3 OS=Bos taurus OX=9913 GN=PITPNM3 PE=4 SV=1
+MSQWNSNDLVEQIETMGKLEEHQGEGSTPCTSSLLQEKQRELYRVSLRRQRFPAQGSIEI
+HEDNEEGCPQRSCKTHVLLLVLHGGNVLDTGSGDPSCKVADIHTFSSVLEKVTRAHFPAA
+LGHILVKFVPCPAICSEAFSLVSNLNPFSHDVGCLSNSQDHVPLAALPLLAISSPQYQDA
+VATVIERANQVYAEFLKSPDGIGFSGQVCLIGDCVGGLLAFDAICYSAGPTGDSPGSSSR
+KGSFSSTQDAPVVVEEDCSLAGSKRLSKSSIDVSSTLEDEEPKRPLPRKQSDSSTYDCEA
+ITQHHAFLSSIHSSVLKDEADSPAAGGPQVPEVSLGRLDFEVSDFFLFGSPLGLVLAMRR
+TVLPGLDGFQVRPACSQVYSFFHCADPSASRLEPLLEPKFHLVPPVSVPRYQRFPLGDGQ
+PLLLADALHTHSALFLEGSSRGSPPLLDAPASPPQAPRSQRQARRISQGSSSESSESSDS
+LAPVGASRITAKWWGSKRIDYALYCPDVLTAFPTVALPHLFHASYWESTDVVAFILRQVM
+RYESVNVKESAGLDPAALSPENPREKWLRKRTQVKLRNVTANHRANDVIAAEDGPQVLVG
+RFMYGPLDMVALTGEKVDILVMAEPSSGRWVHLDTEITNSSGRITYSVPRPRRLGVGVYP
+VKMVVRGDQTCAMSYLTVLPRGMECVVFSIDGSFAASVSIMGSDPKVRPGAVDVVRHWQD
+LGYMILYITGRPDMQKQRVVSWLSQHNFPQGMIFFSDGLVHDPLRQKAIFLRNLMQECFI
+KISAAYGSTKDISVYSVLGLPPSQIFIVGRPTKKYQTQCQFLSEGYAAHLAALEAGHRSR
+PKKNSSRMILRKGSFGLHAQPDFLRKRNHLRRTMSVQQPDPPANPKPERAQSQPESDKDH
+ERPLPALSWARGPPKFESVP
+>tr|F1N3W3|F1N3W3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC100124497 PE=4 SV=2
+MYFSWEEWCLLDEAQIQLYLDVMLENFALVCMLGSWRGVQDKETPSEEIKPAGVLQFRTP
+RAGLSPEKTQPCAMCIPVLRDILHLAEEQGTNGEQKAYTCAACGKAFYFTANIQQHQKQH
+VRENPFLCNTERPSFLKTCTVHSAGNFSTYMEIGNDFMASMGVQPQATNTGKKLNNSTEC
+EVVFHSGESHHSLGEGKIVSSHTDILVEHERVLISEAVCEVNKCEKAGTQRSNLIQHVQV
+HTGEKSYQCSRCEEFFSHKSQILSHQSFNCGGMLYDCSECGKSFSRRKYLISHRRIHTGE
+KPYECKECNKSFRRKGNLIEHQRVHTREKPYQCKQCGKFFARKSIFLAHERIHTGENPYE
+CNKCGKALTTISSFYYHLRVHTGERPYECNECGKSFTTLSTLSNHQRVHSGERPFKCSEC
+EKFFSRKEHLSAHMNVHTGEKPYECNKCGKSFTSRSNLCNHWRVHIGERPFKCSECGKCF
+TSSSSFLRHQRVHTGERPYECSECGKSFVASSGLRYHQRVHNGERPYECSECGKNFTARS
+TLRDHQRVHTGEKPYKCSECGKYFTSRSSLLRHQRVHTEERPYECSQCGRSFTTQTYLYD
+HHRVHIGKGL
+>tr|E1BPD5|E1BPD5_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=OR5B3 PE=3 SV=3
+MPFMENSTEVNEFRLLGLTDAPELQVPLFIIFTFIYLITLTGNLGMITLILLDSRLHTPM
+YFLLSNLSLVDCVYSSAVTPKVMAGLLTGDKVISYGGCVAQMFFFVAFASVDCLLLAVMA
+YDRHAAVCKPLHYASTVTPCVCAQTVTACYVWGFAESAIHTGFTFCLSFCHSNVVHHFFC
+DIPPILALSCSDIYVNEMVLFILAAFNVFFALMVIVSSYLFIFFAILRMRSAEGRKKAFS
+TCSSHLTAVTIFYGTVIFMYLQPSSNHSMDTDQMASVFYTIIVPMLNPLVYSLRNKEVSN
+AFRRAIEKMKLLFSTQI
+>tr|F1MNR4|F1MNR4_BOVIN DUF667 domain-containing protein OS=Bos taurus OX=9913 GN=C3orf67 PE=4 SV=3
+MFKNEYQGGAFVEIFSAQGKNPGAKWKILGSPSVIWKEFDKEVKSFVFVLEGSSQTNKIQ
+LPKENKQILGLIQRFLVLQIYIPLGQDFSTELLITDLRNIKRRLYLSTVHKELSSTPLHA
+KIPLFMIKRKIWCNLCIDLVAFTNEIFKGAVFQSLDGITVSANCKLRKIFTLKYKPQDTA
+DKDAGQDAPFPIDEPIDIIPRSCQLTTDVPQVTQLLNMTKLRQTEIKFGGHPLNSTESDQ
+FINRGTVSMRNSKHQDVCHIAFGSKVLGPPPLSGRRNNMRLSNETVRSVGSKSNRLHQQS
+TVEKCVNGAEMSALLLSESEAQGDKENIGQIKQTVPVLASLHIMHPHPPQEPSADKNNNR
+RRLRLKSTSRERTETPSGNSSDNNRNDEHKAATVLTAMSQQRAKTMNPSSPVPQSPDQAD
+EWVFPENGDHIPYLASTPQSLLVDEDSCHTSCLWLEASKESEQDQQTEETQIVPKGVFTF
+SSRPRSAPHGKTQSMSPEGCPFTLDLKEDTSVTRSDTETEEDFYGADSSEEEYDWRNYQP
+SEISESELQMLASLRRQQNEELEDTGAPYGLSASQVDNCNVSISTSSDDTTTWNSCLPPP
+VNQGRHYQKEMNPPSPSNPRDWLNMLSPPIVPPSQESAERHQDSSGSLSVQGEEELSVEE
+DEEVLTLLYDPCLNCYFDPQTGKYYELV
+>tr|F1MYH4|F1MYH4_BOVIN TBCC domain-containing protein 1 OS=Bos taurus OX=9913 GN=TBCCD1 PE=4 SV=1
+MDQSRVLLWVKAEPFIVGALQIPPPSKFSLHYLRKISTYVRTRTIEGGYPRLSWSTWRHI
+ACGKLQLAKDLAWLYFEMFDSLAMKTPEERLEWSEILSNCMSEDEVEKQRNQLSVDTLQF
+LLFLHIQQLNKVSLRTSLIGEEWPSPRHRAQSPDLTEKSSCHNKNWNDYSHQAFVCDHLS
+DLLELLLDPEQLTASFHSTHSSLVSREAVVALSFLIEGTVSGARKIYPLYELALWHPLHA
+ETGFSKASKTFSFYKLEAWLRTCLTGNPFGTSACLKSGKKLAWAHQVEGTTKRAKIACNT
+HVAPRMHRMVVMSQVYKQTLAKSSDTLVGAHVKIHRCNESFIYLLSPLRSVTIEKCRNST
+FVLGPVQTALHLHSCDNVKVIAVCHRLSISSTTGCIFHILTPTRPLILSGNQRVTFAPFH
+THYPMLEDHMARTGLATVPNYWDNPMIVCRENSSPSVFRLLPPCEFYVFIIPFEMEGDTT
+EIPGGLPSAYQKALSQREKKIQVWQKTVKEARLTKDQRKQFQVLVENKFYEWLINTGHRQ
+QLDSLVPPAAGSKQAAG
+>tr|E1B758|E1B758_BOVIN Syntrophin gamma 1 OS=Bos taurus OX=9913 GN=SNTG1 PE=4 SV=3
+MCHQDTVSSQYALQILSLFPLQINGINVRKCRHEEVVQVLRNAGEEVTLTVSFLKRAPAF
+LKLSLNEDCACAPSDQSSGTSSPLCDSGLHLNYHPNNTDTLSCSSWPASPGLRWEKRWCD
+LRLIPLLHSRFSQYLPGTDLSRQNAFQVTAVDGVCSGVIQCLSAEDCGEWLQAIATNISS
+LTKHNIKKINRNFPVNQQIVYMGWCEMREQDPLQDRVYSPAFLALRGSCLYKFLAPPVTT
+WDWTRAEKTFSVYEIMCKILKDSDLLDRRRHCFTVQSESGEDLYFSVELDSDLAQWERAF
+QTATFLEVERIQCKTYACVLEGHLMGLTIDFSTGFICFDAATKVVLWRYKFSQLKGSSDD
+GKSKIKFLFQNPDTKQIEAKELEFSNLFAVLHCIHSFFAAKVACLDPLFLGSQAAASAAS
+SSGVPSKAKYMT
+>tr|A0A3Q1MC50|A0A3Q1MC50_BOVIN Sodium/glucose cotransporter 5 OS=Bos taurus OX=9913 GN=SLC5A10 PE=3 SV=1
+MAAVMAVSPEEGHLPPSLLLLTLIACIWKPSALVQPSVPLAQSRLCCRMAVDNSTSDAHT
+PGRQLTVVDIAIIAVYFALNVAVGIWSSCRASRNTVRGYFLAGRDMTWWPIGASLFASSE
+GSGLFIGLAGSGAAGGLAVAGFEWNATYVLLALAWVFVPIYLSSEIVTMPEYMQKRYGGQ
+RIRMYLSVLSLLLSVFTKISIDLYAGALFVHICLGWNFYLSTVIMLAITALYTIAGGLTA
+VIYTDALQTLVMVAGAVILTIKAFEQIGGYEQLAEAYAQAVPSRTISNTTCHVPRADAMH
+MFRDPYTADLPWTGMTFGLTIMAAWYWCTDQVIVQRSLSARDLNHAKGGSILASYLKMLP
+MGLMVMPGMISRVLFPDDVGCVVPAECLRACGAEIGCSNIAYPKLVMELMPTGLRGLMVA
+VMMAALMSSLTSIFNSSSTLFTMDIWRRLRPRAGERELLLVGRLVIVVLVGVSVAWIPVL
+QGSNGGQLFIYMQSVTSSLAPPVTAVFVLGIFWRRANEQGAFWGLMAGLAVGATRLVLEF
+LHPAPPCGHPDTRPPILHGVHYLHFAVALFLLSGAVVVAGSLLTPHPQGVQIQSLTWWTL
+AQDLPLGVKTGDGRASQRHAFWARVCGVNAILLMCVNIFFYTYFA
+>tr|A0A3Q1N9H8|A0A3Q1N9H8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=DNAJA3 PE=3 SV=1
+MAARHSTRWLLVAVGTPRLPAAAGRGARPPMGGVVGASLGRNLSVTAFPPSLGGRGPGAL
+LTLRPGVSFTGVKRYPFVCIASFHTSTHLAKEDYYQILGVPRNASQKEIKKAYYQLAKKY
+HPDTNKDDPKAKEKFSQLAEAYEVLSDEVKRKQYDTYGSAGFDPGAGSSGQSYWKGGPTV
+DPEELFRKIFGEFSSSSFGDFQSVFNQPQEYIMDLTFNQAAKGVNKEFTVNITDTCERCD
+GKGNEPGTKVQHCHYCGGSGMETINTGPFVMRSTCRRCGGRGSIITSPCVVCRGAGQAKQ
+KKKVVIPVPAGVEDGQTVRMPVGKREIFITFRVQKSPVFRRDGADIHSDLFISIAQAILG
+GTARTQGLYETINVTIPPGTQSDQKIRMSGKGIPRINSYGYGDHYIHIKIRVPKRLTSRQ
+QSLILSYAEDETDVEGTVNGVTNTSTGGSTMDSSAGGKARPEAGEDKEGFLSKLKRMFTS
+>tr|A0A3Q1LSQ6|A0A3Q1LSQ6_BOVIN Cation-transporting ATPase OS=Bos taurus OX=9913 GN=ATP13A3 PE=3 SV=1
+MDKEERKIINQGQEDEMEIYGYNLCRWKLAIISLGVVCTGGFLLLLLYWMPEWRVKVTCV
+RAAIKDCEVVLLRTTDEFRTWFCAKVRFLSLETPPFSSSKSLVNKVLNGHAVHLTENLAE
+ENRLEMNKYSQPQSQQIRYFTHHSMKYFWNDTLHNFDFLKGLDEGVSCTSIYEKHSAGLT
+KGMHAYRKLLYGVNEIAVKVPSVFKLLVKEVLNPFYIFQLFSVILWSTDEYYYYAIAIVI
+MSVVSIISSLYAIRKQYIMLHDMVAAHSTVRVSVCRVNEEIEEIFSTDLVPGDVMVIPLN
+GTVMPCDAVLINGTCIVNESMLTGESVPVTKTNLPNPSVDMKGTRDELYSPETHRRHTLF
+CGTTVIQTRFYTGELVKAIVVRTGFSTSKGQLVRSILYPKPTDFKLYRDAYLFLLCLVGV
+AAIGFIYTIINNILNEVEVGDIIIESLDIITITVPPALPAAMTAGIVYAQRRLKKVGIFC
+ISPQRINICGQLNLVCFDKTGTLTEDGLDLWGIQRVENARFLLPEEKVCSEMLVKSQFVA
+CMATCHSLTKIEGVLSGDPLDLKMFEAIGWILEEATEEETALHNRIMPTVVRPPKQLLPE
+SAPAGSQEMELFELPAVYEIGIVRQFPFSSALQRMCVVARVLGDRKMDAYMKGAPEVIAG
+LCKPETVPVDFEKVLEDYTKQGFRVIALAHRKLESKLTWHKVQNVGRDAIENNMDFMGLI
+IMQNKLKRETPAVLEDLHKANIRTVMVTGDNMLTAVSVARDCGMILPQDKVIIAEALPPK
+DGKVAKINWHYADTITQSNDSSAIDPEAIPIKLVHDNLEDLQVTRYHFAMNGKSFSVILE
+HFQDLVPKLMLHGTVFARMAPDQKTQLVEALQNVDYYVGMCGDGANDCGALKRAHGGISL
+SELEASVASPFTSKTPSISCVPNLIREGRAALMTSFCVFKFMALYSIIQYFSVTLLYSIL
+SNLGDFQFLFIDLAIILVVVFTMSLNPAWKELVAQRPPSGLISGALLFSVLSQIIISIGF
+QSLGFFWVKQQTWYKESHPYSYAFNTTESLDGNSSHVDDETNPDKHNIENYENTTVFFIS
+SFQYLIVAIAFSKGKPFRQPCYKNYFFVVSVIMLYAFILFIMLHPVDSIDQVLQIVCVPY
+QWRITMLIIVLVNAFVSIAVENFFLDMVLWKVVFSRDKQGEYRFTTTQPPQESMDWWEKC
+CLSWALNCRKKMPKAKYI
+>tr|E1BP70|E1BP70_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC532501 PE=3 SV=2
+MARTNNVTELIISGLFQDPEVQRACFVVFLPMYLATVVGNGLIVLTVRVGKSLHSPMYFL
+LSHLSLVEISYSSTVVPKFITDLLSKIKTISLEGCVAQIFFFHFFGVTEIFLLTVMAYDR
+YVAICKPLHYTTIMSRSLCHQLVAASWLGGFVHSMVQIIITLQLSFCGPNVIDHYFCDLH
+PLFKLACTDTSVEGVVVLANSGLFSIFSFLLLVSSYVVILVNLRNHSAEGRRKALSTCAS
+HITVVVLFFGPTIFLYMRPPSTFTEDKLVAVFYTVVTPMLNPIIYTLRNTEVKIAMRRLW
+GRKVNSGLQ
+>tr|A0A3Q1LXA7|A0A3Q1LXA7_BOVIN Muscleblind like splicing regulator 3 OS=Bos taurus OX=9913 GN=MBNL3 PE=4 SV=1
+MTAFSIALVRDAKWLTLEVCREFQRGTCSRADADCKFAHPPRICHVENGRVVACFDSLKG
+RCARENCKYLHPPPHLKTQLEINGRNNLIQQKSAAAMFAQHMQFMFQNAQMPSVNSFPVN
+PAIAANPAMAYNPYLPHHPGMGLVPAELVQNAPVLISGNPPLPLPGPVGPKPIRSDKLEV
+CREFQRGNCTRGENDCRYAHPTDVSMIETSDNTVTICMDYIKGRCSREKCKYFHPPAHLQ
+AKLKAAHYQMNHSAATAMGLQPGTLQLIPKRSALEKVSCAPPVFNPNVFHRQQALPNVPM
+PQPQPQPQFIPTVEILNNRVTDYQNLSVRTSTWPFYIHSRMSSSTNTTISVVQSIY
+>tr|A0A3Q1MC10|A0A3Q1MC10_BOVIN RING-type domain-containing protein OS=Bos taurus OX=9913 GN=LOC509283 PE=4 SV=1
+MECPSCRHISKEEAPKFCSECGQRLLPAAPEGSNLKETSVPEVEMECGQELREESSPSLA
+LGSGDWQESPDEPCSNASWQTKRVTQSNRDLKKKKEKKRNSKNASTSAELDSLSPSSLEP
+CSLSSLANSGLQDTASCQSPAQQGSPTHQPGLPPSVDKIPLEAMGHPLQGEAAGEAEAAI
+GTESLSSLAPQDQTLGGALPEGNDHQSPSPSTGEDLPTSASEGSPREKDTAQELLFPEPK
+ADTSKPRKELQTTEQLARAPASGASAAAAEPAGSVERAKKDVKDKTEEMKEPPTSVPASR
+NHRQEIATKDQMADPGGKTNENEKAKPKNLKSPEGNNGNNAAPVKNEKEQRNQSTQRAKE
+SPLSSTEGIMVYFHAIISKHFGFNPDQHKVYVRGGKELGKPAWNHDVCEMHYSKDLHENG
+SLIEGSTTISKQHVDKPIPYKYVICRGKNSVEYEFIYKEQPKKGEHVNRCLRVKSSLLDS
+GDWHQYDDIICMRPPGTLQKWINHITDGTRKELVKGKQFSAAVMLDRIFSILETWNAINL
+KNFFTQFQQFYNVIRVPMIYEGEEQPWIALQYEEKKVRKHLWECLTKKMAPFLKTGGDPL
+PEDCPVKSKLRMGMIVLLLVEKFNFFLLEKDLASLCHLLHSDATSPDAFCRDLKHILETS
+QSWRQSLVNLCQMCMDKKVDFWVCALPVLHHCMDLSPPAQGSVMQPEDTWAALEGISFSE
+NQLLQLMEKNRYLLNVDKYLFRSWFSLLPLSNLTPYMEHLIDYLSQCPPRVLDCLLGTWY
+RLHGLQEISQRNLENTFKMLLYLLDIYQEKILEEPLIQSYLTVCLKLHETTCRISKAHTF
+YEMPALSAEIVRRIIILKPVVDSAAGLGNETGKKNSVKTVFQGTLAATKSWLRKMFTMSI
+FQTGSSVTFTYSKEIEVWRRLVEINFPVEYDWKESLLGDMAGRLKQEMPLSRILAFCSSH
+WVAAGAEDSVAKCFEMCAIEAVGSVCQSQTSILGKISYNDLQKCGNLVSAVITKSWPTSR
+GEFVEDMGGVLNHLLTWPDIKHLFKLYGTNKEILANITKEGKKLMATAGSVFTEVTNDLI
+SGTILVGHLELILEHMDRFLDIWQLKSKSSLAQDKKHPMEKVLNLRKIELFTLKKERTNV
+DSLLKLCGRVKDVIKVDFGEIAERHSEDLSGKKLNQVVTVTLSATPVDSKWTTHYNLSPE
+VQEMAKNAYLLKDSHIFQIFWEEAAQELSEPEENLESEIFQPEDVYECLYCPCFKRFTKL
+YQDLKSGEVTFGEIDDIFKDFVNKYSDLTEDLQTMSALYPREQKDWIKERVQQIKEYHHL
+HQAVDSAKVILEVKENLGLTGDFSVLHTLLSFTVLDNFRHKKLDRINQQIVRAKKLLQDI
+DETRCQCLKELSRRKEFISWVQEALRGITELKVFVDLASISAGENDIDVDRVACFHDAVQ
+GYAPLLYELDTSAGFDEFMQHLKDLWKALDNDHNLPTKLHDSARNLEWLKTVKESHGSVE
+LSSLSLATAINSRGIYVIKAPTDGQKISPDTVLHLILPEGQGGHEEKRDYSLEELKELLN
+KLMLMSGKKEHSNAEVVEVFSEVFCNVQRLVQSFINLYSAGNMLFRAWSAEVYCTPLKGA
+SIQLDFCLGLMRPLVEGGPVVQLLEALCRQLEDFLGRWERFVAEKRAEHFYLNYYTAEQL
+VYLGLELGRETPSDAALTMLSFIKGNCTPRDVSEACRGPSGRANTHQERTVVEDLPLLPF
+SESRLVDKLRVIMKCSMTCMRVFLPHCLDLEALGHCLAHLARMAGPPVQRELSHGLQEGQ
+PNLIVCGHSEVLPAALAIYMQTPHQPLPTYDEVLICTPETTFEEVALLLRRCLTPGSQGL
+GVYSLLYADQLSYEVACRAEALFRRLCTQPHREHYRLVMVCDCEREHCYLPSAFSQHKVL
+VTPQAPLKDIQAYLAHHFRVPEETPSAASVFQDRMCVGIVASERAGVGRSLFVKRLHGQL
+RMQFNGAKVPLKVIRLIDPQVDENEVLRSLLPFLDAPYQRRPIIFHFDMTSSVRTGIWVF
+LFKLLILQYLMDINGKMWLRNPCHLYIIEILEGNSTLPRRPSKLNALVPQFSFLDVFPKV
+TCRSPKEVINMELDPQQSYKEPGMDQREFCSEIFQRPYQYLRRFHQKKNLDTFQYQKGSV
+EGSPGECIQHLLIYCGVINPSWSELQNFARFLNYQLRDCEASLFCDPTFVGDTLMGFKNF
+VVTFMIFMARDFATPTLHTSDQSPGKYTFTMDGVKEEDLAPFTLRKRWESEPHPYVFFNS
+DHTSMTFIGFHFQSNQNGGVDAIDHLSGKVIKKDVMTVQLYQGLLLQRVPFNIDFDQLPR
+HEKIEKLCLALGIQWATDPDETYELTTDNMLKILAIEMRFRCGIPVIIMGETGCGKTRLI
+KFLSDLRRGGADAETMKLVKVHGGTTADMIYSKVREAEELARFNKAQYQLDTILFFDEAN
+TTEAISCIKEVLCDHTVGGQPLAKNSGLHIIAACNPYRKHTQEMICRLESAGLGYRVSAE
+ETAERLGSIPLRQLVYRVHALPPSLIPLVWDFGQLNDSAEKLYIHQIVQRLVKSIKVVQS
+ETHVITEVLSASQGFMRERQNECSFVSLRDVERCVKVFKWFYDHSEMLLSKLDSFLSETE
+VIQNDFERDPVLWSLVLAIGVCYHASLEKKESYRKAICKFFPAPYNDSRVILDEITRTQD
+LFLNGVSLRKTIARNLALKENVFMMVICIELKIPLFLVGKPGSSKSLAKTIVADAMQGQA
+ARSDLFRHLKEVHLVSFQCSPHSTPQGIIGTFKQCARFQQGKDLEQYVSVVVLDEVGLAE
+DSPKMPLKALHPLLEDGCIEDDPAPHKKVGFIGISNWALDPAKMNRGIFVSRGSPDKGEL
+IESARGICASDRLVQARIQGYFTSFAKAYETVCRRQDKEFFGLRDYYSLIKMVFAAAKAS
+NKEPSPQDIAQAVLRNFSGKDDIHALDIFSANLPEAKYTEEVSTMQLIHQNIYGHLQKMH
+GRELDDSESRYLLVLTRNYVALRILQQKFFITDQPEIIFGSSFPKDQEYTQICRNINRVK
+ICMETGKMVVLLNLQNLYESLYDALNQYYVYLGGQKYVDLGLGTHRVKCRVHSDFRLIVI
+EEKDVVYKHFPIPLINRLEKHYLDINTVLEEWQKNIVEELKVWVEKFIDVKAEQFLARPK
+YSPSDVFIGYHSDTCASVVLQVLEQLGHEALTDELYQKVSEQAKSVLLDCATPDAVVRLN
+ASSLGQFTAQSLSEEYYYKQHHNSFADFLQAHLCTMDSERHAIFTEITTFSRLLTSHDCE
+LLESEVKDWAVKLTILSLQQFDTECSFLKEVRNCLAHTASCKILVIQTDFEDGSQSAQLI
+ASAKYSAINEINKIQGDKNYILVYFITKLSRMGSGTSYVGFHGGLWHSVHIDDLRRSTVM
+VSDITKLQDVAISQLFKPEDAPKVEVGRRAQEDGEEAMETEAVTSQQVADVEMETESPEK
+NTSPTSGPEHDMQILDTTRLLRSCIQGAVGMLRDQNEGCQRSVRRVSILLRLLDEDGEYR
+ATFLLVAKMRLYVLLMKQEENAICNVKDWVVREASNQDALQEAGTFRQTLWKRVQGAVTP
+LLASVISCVDRDGNLELLVSPHSPSWARDLWMFIFRDVKLLNIPLVTIDTRSKGEMSYIV
+VQNYMNLPEKASNSVPFSWRIKDYLEELWVHTQYITGDAGLAEKLLELFQKTPLGSFLAQ
+LTVDQRQELLQCYLKDFLLLTMRVSTWEQLNVLQMALWSCINQLNAGRPEEEVSLPLVHL
+AYHRFRSRLQNFSRILTIHPPILLSLTKGTQNHTWAGREMSLDVLAAVACAEMLTENLLK
+PSPQAWLQTVKNLSMPLELLCSEGYMQDCGMMTRTLIRDIRTHWNRIFSISLFVEHVLLE
+TESQIPELRKLVTNYVSLLNKCLLENSDMKTHRPFMAVMTTLRECKDQVSESFTRFGVQP
+CPICLGDAQDPVCLPCDHVFCLRCIEVNLRLGHMGCPLCLTDLPDGYSPTVSQEHREVIE
+KHARFRQMCNSFFVDLVSTMCFKDNSPPQKEVVKDLLSLLFVEKELLREAPQRRREHTKS
+LSPFDDVVDKTPVIRSVVLKLLLKYSFCDVKEYIQIYLSELEKKPFLAEDKTELYMLFSN
+CLEDSIHEKNNALFIRDEFKYLREEGQFLRTYQPVGCSRWLAGEASVEHLQKMARIRLCL
+DKASEILAELQDGSEVAEEKHRYLQQVERFCARVQNDWYRVYLVRKLTSQQGMEFVQSLS
+KEGHPAHWVFPKEVIAQQLQRDHPGQMDRYLVHGNDYKVVRDAVGKAILESKPLAVEAAL
+EACRSATSQEAVYLLLALFREVATLYRSHNVDLHPKPQQCEAMKKFIEKSNTLSPDISAF
+AISLVNNELPLLRTGPGVSNLEGTVIEMAVHAATVLLCGQSQVLGPLKNLAFFPHLMVNA
+FLPTMPEDLLAQARNWKGLEGVTWYTCPNGHVCSVGECGKPMQQSFCIDCRAPIGGINHL
+PKEGFRVIKDTMDRTQTGHVLGNPPPRGAPVVSDRQMSPVVFLLIRLLTHLAMLLGATQS
+PQDLMNIIKPLVWDPKGFLQQHIQRDLEQLMNTLGRSADETASVVHLVLCRLLREQGHRS
+LNFDAQLSTRIQRNSWENLVQTLILRELEHLDKTLLAVNAQISQDERISSNPVAKIVYGD
+PVTFLPHLPKNSMVHCSKMWSCRKRITIEYLQHIVEQKNGKESVPILWKFLQKEAELRLV
+KFLPEILALQRNLVKRFQNVSEAEYRSIQSFISSHDSDGLKKLTRSRITIFLSTWNKLRR
+SLETNGEIKLPKDYCSSDLDLDTDLEVILPRRKGRGLCSTALVSYLIKLHNEIVYAVEKF
+SREDNSYSVDASEIADLHVISYEVERDLIPLILSNCQYQVEQGGETLQEFDLEKIQRQII
+SRFLQGKPRLTLKGLPTLVYRRDWNYEHLFMDIKNKMPQSPLPNSAITALRGQLQSYSDA
+CEALSAIEVTLGFLSTAGGDPDMHLNVYIQDMLKMGDLTSLVSKALNRCKLKHTIALWQF
+LSAYKSEQLLRLGKKPFGEIDSRYKADLSPENAKLLNTFLNQIGLDAFLLELHEMMILKL
+KNPKIAESFNPEWSLRDTLVSYMETKDSEIPPEMESQFPEEILLSNCVAVWKMAAELKRN
+RQMR
+>tr|F1MRD0|F1MRD0_BOVIN Actin, cytoplasmic 1 OS=Bos taurus OX=9913 GN=ACTB PE=3 SV=2
+MDDDIAALVVDNGSGMCKAGFAGDDAPRAVFPSIVGRPRHQGVMVGMGQKDSYVGDEAQS
+KRGILTLKYPIEHGIVTNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMT
+QIMFETFNTPAMYVAIQAVLSLYASGRTTGIVMDSGDGVTHTVPIYEGYALPHAILRLDL
+AGRDLTDYLMKILTERGYSFTTTAEREIVRDIKEKLCYVALDFEQEMATAASSSSLEKSY
+ELPDGQVITIGNERFRCPEALFQPSFLGMESCGIHETTFNSIMKCDVDIRKDLYANTVLS
+GGTTMYPGIEDRMQKEINDLAPSTMKIKIIAPPERKYSVWIGGSILASLSTFQQMWISKQ
+EYDESGPSIVHRKCF
+>tr|F1ML57|F1ML57_BOVIN Coiled-coil domain containing 122 OS=Bos taurus OX=9913 GN=CCDC122 PE=4 SV=2
+MSENERKSQGVPKEALAKQDTSSLTDAVEQVAKQQQSQTSEIERNRKVLFHLQNELHELE
+TQIASVSAETKETERQIYQQDAAIQNTRLQCGDLENQIKSLHVENVKLKSDIETAQENFE
+EQMIKYNEYYAKIKAHKDSLREVENKWSFMTELNEKRDLVKKLKTMKEELKLDLQNPEGN
+LMRQAQEDITKLKDEITSVEESISEQTCFLEEEIKTHEKLRKEIEVQHKRYGAILKRLHC
+QVNKLHSNRRQWQWNIQQLEKTAAELRKRIGMKD
+>tr|A0A3Q1N285|A0A3Q1N285_BOVIN SECIS binding protein 2 OS=Bos taurus OX=9913 GN=SECISBP2 PE=4 SV=1
+MASEGRREPAAEGIKLSADVKPFVPKFAGLNVGWSESSEARVFPSCAATPYYPCVQELAV
+PEQKLYTDDMAFGASAFPSQYFSAEIPLHPYAYSPYTLQSPQNVCPVPGSPYDDNQPSCF
+GGFQRLKPRSEHTCPLSQDTKAVFKKKTYDEQKFDSKKTDGPVSSDLKSVKDSPRVSIHA
+ENSLKSDGYYKRTDRKSRIIEKSGSASKPEFGFTRLDFPELQSPENSEIPKIQKQPKWGP
+LRSTSTDISLLREMGTPSAVLSESKASQGGNPEQNEASRKNKKKKEKSKSKYEVLTVQEP
+PRIEDAEEFPNLAVASERRDRVESPKFQSKQQPQNNFKSSRKKSQIPVQLDLGGMLTALE
+KKQHSPNAKQSSKPVVFSVGAVPVLSKDSMSGKKGHRLAQVKTPHNPLDSTSPMMKKGKQ
+REVPKAKKPTPLKKIILKERQERKQQRLQENALSPAFPSDDVQDVQDEESGGDDQVLRPP
+DMLGETKESVSCVPVVESKSEEQPGAEPQKETEACPKIHSRRFRDYCSQMLSKEVDACVT
+DLLKELVRFQDRMYQKDPVKAKAKRRLVLGLREVLKHLKLRKLKCIIISPNCEKIQSKGG
+LDDTLHTIIDYACDQNIPFVFALNRKALGRSLNKAVPVSVVGIFSYDGAQDQFHKMVELT
+MAARQAYRTMLENARQELPGELGPCAPVGPPSQGPGCPVEDSPLAPTEKEEPHYIQVWRN
+HVEAYSRCGLELEESLEASTSQMMNLNL
+>tr|A0A3Q1LR02|A0A3Q1LR02_BOVIN Interleukin 2 receptor subunit beta OS=Bos taurus OX=9913 GN=IL2RB PE=4 SV=1
+MISEPAGAKALRLAWWGADGCTSGGQSPACLLGGGGGGWLLTRALSPSSSIQGSLPQPPL
+VDVMAAPALSCCLSLLILLLLLAIPQTSTAVNGLSISSPGRNRSGGGTLEGHGCPTASPT
+RRIFTAYASNITCFYNSRANVSCIWSHDEGLRATTCCLHSRQPQREVLFPTKQWNHTCEL
+QPVRPGSWACNLVLGHSPEAQKLTIVDIMKLTVMCSEGGKWRRMMTQDIKPFDYIRLVPP
+HSLQVVRIETHRCNITWTVSQVSHYIQNDVEFEARLRYADHSWEDARLLTLRQNQQWISL
+ENLAPGMEYELQVRAKPRLGSHEVWSHWSQPLAFRTVPAETKKKIPPLPWLNHIFLGVGS
+FFGLVLLVYFLGSFRCIRLWLKNVLKCHIPDPSEFFSQLSSEHGGDFQKWLSSPFPSSSF
+SRSGLDPEISPLEVLDRDAKATQLLLLQQDKGSSSSAETSGHSVTSCFTNQGYFFFHLPD
+ALEIEACQVYFAYDPCTEELDEGGPRAPEGALLPPLPTPPGDDDAYCTFPPGEDLLLFSP
+SLLSGPGPPNTAQWGTGAGEERLASSPQEGVPGDWTPQPLRPPALEAPDLVDLQSSPEHE
+LGEARERVPGPSPREGTSFPWASPPGQGQVRAPTVCLTLNTDAYLSLQELQDQDPAYLV
+>tr|A0A3Q1MIQ4|A0A3Q1MIQ4_BOVIN Regulator of G-protein-signaling 19 OS=Bos taurus OX=9913 GN=RGS19 PE=4 SV=1
+MPLRAEGTPRLLPAREQDAPPPACGKAWGRSGFRSLGVGRGREAGRPGGASRRLGAERRL
+GAEPASGLKRRWCLAALSPLQPCLLHCPHLQDVLLQPQVLLPCSVPQAWSAPSAESLGAD
+PQAVVTETGVLEQAPRSPGNLLHPSMPTPPEAEKQQTGPEEADQPPSMSSHDAAPPAPPR
+RNPCCLCWCCCCSCSWNEERRRAWRASRESRLQPLPSCEVCATPTPTPTPTPEEVRSWAQ
+SFDKLMHSPAGRSVFREFLRTEYSEENMLFWLACEELKAEANQHVVDEKARLIYEDYVSI
+LSPKEVSLDSRVREGINKKMQEPSAHTFDDAQLQIYTLMHRDSYPRFLSSPAYRALLLQG
+ASQSSSEA
+>tr|F1MFY2|F1MFY2_BOVIN B and T lymphocyte associated OS=Bos taurus OX=9913 GN=BTLA PE=4 SV=3
+MKASLTVLGTGKLFWGFFLIPHVGIWSINGDKSCDIQLYIKKYSMYVVSSGDPFELECPM
+KYCADRPTVSWCKLEGNNCLHLGDRLQGSMGWEERKLISVFILHFNQVLASDSGSYRCFV
+NSSSGFIESHSITINVTERTQNNSEHPLINTKNASRPLSKEEMVDRQWILYSLLPLGALP
+LLITCFYLFCCLRRHRGRQKKLSNSTGRDTNLVDVPQFFRSEPTEIDIMESSQTLHLETG
+IYDNDPWFRVRRESGVYFNPSLEENKQGIIYASLKHSVIGMNPRQATHVQEAPTEYATIC
+VRS
+>tr|A0A3Q1MZC4|A0A3Q1MZC4_BOVIN NAD(P)(+)--arginine ADP-ribosyltransferase OS=Bos taurus OX=9913 PE=3 SV=1
+MNQFHFKALHFYLTRALQLLRGGGGCSREPGQMVFRGVRTIRFEPKRLWDSIHFGQFASS
+SLNEAVARSFGNTTFFSLRTCFGAPIQDLSVFPEQHEVLIPPHEVFVVTDFFQNETHSLV
+TLSSTNHICSHFNCAYLGGRKRPRCESVPSESPSCPSFPCFDSPGPADHSLPFLLSLAGG
+QADSLSKGAFSLLSWQTLLLASWGFWLLETRI
+>tr|A0A3Q1M2P9|A0A3Q1M2P9_BOVIN UV excision repair protein RAD23 homolog A OS=Bos taurus OX=9913 GN=RAD23A PE=4 SV=1
+MAVTITLKTLQQQTFKIRMEPDETVKVLKEKIEAEKGRDAFPVAGQKLIYAGKILSDDVP
+IRDYRIDEKNFVVVMVTKAKTSPGTSVPSEASPTATPESSTSFPSAPASGMSHPPPTARE
+DKSPSEESAPTTSPESVAGKLPFLLGDPAFVAPSTVTGSEYETMLTEIMSMGYERERVVA
+ALRASYNNPHRAVEYLLTGIPGSPEPEHGSVQESQVSEQPSTEAGENPLEFLRDQPQFQN
+MRQVIQQNPALLPALLQQLGQENPQLLQQISRHQEQFIQMLNEPPGELVDISDVEGEVGA
+IGEEAPQMNYIQVTPQEKEAIERLKALGFPESLVIQAYFACEKNENLAANFLLSQNFDDE
+>tr|A0A3Q1LYV9|A0A3Q1LYV9_BOVIN PDZ and LIM domain protein 3 OS=Bos taurus OX=9913 GN=PDLIM3 PE=1 SV=1
+MPQNVVLPGPAPWGFRLSGGIDFNQPLVITRITPGSKAAAANLCPGDVILAIDGFGTESM
+THADAQDRIKAAGHQLCLKIDRAEARLWSPQVTEDGKAHPFKINLESEPQDVNYFEHKHN
+VRPKPFIIPGRSSEPTASVPPESDVYRMLHDNRNEPTQPRQSGSFRVLQELVNDGADDRP
+AGTRSVRAPVTKVHGGAGGTQKMPFCDKCGSGIVGAVVKARDKYRHPECFVCADCNLNLK
+QKGYFFVEGELYCETHARARMRPPEGYDTVTLYPKA
+>tr|A0A3Q1MDZ3|A0A3Q1MDZ3_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MFKLVLEKAEEPEIKLPTSAGSWKKQESSRKTSISALLTMPKPLSVRITINWKILKDMGI
+PDHLTCLLRNLFAGQEAKVRTGHRTTDWFQIGKGVRQGCILSPCLFNVYAEYIMRNAGLE
+ETQAGIKIAGRNINHLRYADDTTLMAESEEELKSLLMKVKEESEKVGLKLNIQKTKIMAS
+GPITSWETDGETVETVSDFIFLGSKITADGDCSHEIKRHLLLGRKVMTNLDSIFKSRDVT
+LPTKVHLVKAMVFPVVMYGCESWTVKKAERRRTDAFELWCWRRLLRVPWAARRSNQSILK
+EISPGISLEGMMLKLKLQYFGHLTQRVDSLEKTLMLGGIGGRRRRG
+>tr|A0A452DI83|A0A452DI83_BOVIN 28S ribosomal protein S5, mitochondrial OS=Bos taurus OX=9913 GN=MRPS5 PE=3 SV=1
+MAAAVRAAGFLPALCGASAGRLWSRQLYLNTFPTASIWALKAVPSNGPSSSAGARGRCRS
+THLGPALQTQCCTPAPGNVTAQQYRSYSFFTKLTADELWKGALAETGAGARKGRGKRTKR
+KRRKDLNRGQIIGEGRRGFLWPGLNAPLMKSGAIQTITQRSKEEQEKVEADMVQQREEWD
+RKRKMKVKRERGWSGNSWGGISLGPPDPGPNGGSRVLVSLTGNIYGHFGRKRSVRVLVAV
+GNGRGAAGFAIGKATERADAFRKAKNRAVHYLHYIERYEDHTIYHDISLTFKRTHIKMKK
+QPRGYGLRCHRAITTICRLIGIKDMYAKVSGSVNMLSLTRGLFQGLSRQETHQQLADKKS
+LHVVEFREECGPLPIVVASPQGALRKDPEPEDEVPDIKLDWDDVKAVQGMKRSVWSGLKR
+AAT
+>tr|A0A3Q1M4K6|A0A3Q1M4K6_BOVIN Fibronectin OS=Bos taurus OX=9913 GN=FN1 PE=4 SV=1
+MGARSAAAAAAGGWEGVRPVPPPRRPGPRGGREGTVPYKPWFSGLGRSRRLRFARGGGGA
+LVASGDEGTCSHNFFRPLLPLCPSTLPLPHHPALTLLEVLEGDSARRLRREPNLSGKLPH
+RLKMLGGPGPGLLLLLAVLSLGTAVPSAGASKSRRQAQQIVQPQSPLTVSQSKPGCYDNG
+KHYQINQQWERTYLGSALVCTCYGGSRGFNCESKPEPEETCFDKYTGNTYRVGDTYERPK
+DSMIWDCTCIGAGRGRISCTIANRCHEGGQSYKIGDTWRRPHETGGYMLECVCLGNGKGE
+WTCKPIAEKCFDQAAGTSYVVGETWEKPYQGWMMVDCTCLGEGSGRITCTSRNRCNDQDT
+RTSYRIGDTWSKKDNRGNLLQCICTGNGRGEWKCERHTSLQTTSAGSGSFTDVRTAIYQP
+QPHPQPPPYGHCVTDSGVVYSVGMQWLKTQGNKQMLCTCLGNGVSCQETAVTQTYGGNSN
+GEPCVLPFTYNGKTFYSCTTEGRQDGHLWCSTTSNYEQDQKYSFCTDHTVLVQTRGGNSN
+GALCHFPFLYNNHNYTDCTSEGRRDNMKWCGTTQNYDADQKFGFCPMAAHEEICTTNEGV
+MYRIGDQWDKQHDMGHMMRCTCVGNGRGEWTCVAYSQLRDQCIVDGITYNVNDTFHKRHE
+EGHMLNCTCFGQGRGRWKCDPVDQCQDSETRTFYQIGDSWEKYLQGVRYQCYCYGRGIGE
+WACQPLQTYPDTSGPVQVIITETPSQPNSHPIQWSAPESSHISKYILRWKPKNSPNRWKE
+ATIPGHLNSYTIKGLRPGVVYEGQLISVQHYGQREVTRFDFTTTSTSPAVTSNTVTGETT
+PLSPVVATSESVTEITASSFVVSWVSASDTVSGFRVEYELSEEGDEPQYLDLPSTATSVN
+IPDLLPGRKYTVNVYEISEEGEQNLILSTSQTTAPDAPPDPTVDQVDDTSIVVRWSRPRA
+PITGYRIVYSPSVEGSSTELNLPETANSVTLSDLQPGVQYNITIYAVEENQESTPVFIQQ
+ETTGVPRSDKVPPPRDLQFVEVTDVKITIMWTPPESPVTGYRVDVIPVNLPGEHGQRLPV
+SRNTFAEVTGLSPGVTYHFKVFAVNQGRESKPLTAQQATKLDAPTNLQFINETDTTVIVT
+WTPPRARIVGYRLTVGLTRGGQPKQYNVGPAASQYPLRNLQPGSEYAVSLVAVKGNQQSP
+RVTGVFTTLQPLGSIPHYNTEVTETTIVITWTPAPRIGFKLGVRPSQGGEAPREVTSESG
+SIVVSGLTPGVEYVYTISVLRDGQERDAPIVKKVVTPLSPPTNLHLEANPDTGVLTVSWE
+RSTTPDITGYRITTTPTNGQQGYSLEEVVHADQSSCTFENLSPGLEYNVSVYTVKDDKES
+VPISDTIIPAVPPPTDLRFTNVGPDTMRVTWAPPSSIELTNLLVRYSPVKNEEDVAELSI
+SPSDNAVVLTNLLPGTEYLVSVSSVYEQHESIPLRGRQKTALDSPSGIDFSDITANSFTV
+HWIAPRATITGYRIRHHPENMGGRPREDRVPPSRNSITLTNLNPGTEYVVSIVALNSKEE
+SLPLVGQQSTVSDVPRDLEVIAATPTSLLISWDAPAVTVRYYRITYGETGGSSPVQEFTV
+PGSKSTATISGLKPGVDYTITVYAVTGRGDSPASSKPVSINYRTEIDKPSQMQVTDVQDN
+SISVRWLPSSSPVTGYRVTTAPKNGPGPSKTKTVGPDQTEMTIEGLQPTVEYVVSVYAQN
+QNGESQPLVQTAVTTIPAPTNLKFTQVTPTSLTAQWTAPNVQLTGYRVRVTPKEKTGPMK
+EINLAPDSSSVVVSGLMVATKYEVSVYALKDTLTSRPAQGVVTTLENVSPPRRARVTDAT
+ETTITISWRTKTETITGFQVDAIPANGQTPIQRTIRPDVRSYTITGLQPGTDYKIHLYTL
+NDNARSSPVVIDASTAIDAPSNLRFLATTPNSLLVSWQPPRARITGYIIKYEKPGSPPRE
+VVPRPRPGVTEATITGLEPGTEYTIQVIALKNNQKSEPLIGRKKTEWCHDNGVNYKIGEK
+WDRQGENGQMMSCTCLGNGKGEFKCDPHEATCYDDGKTYHVGEQWQKEYLGAICSCTCFG
+GQRGWRCDNCRRPGAEPGNEGSTAHSYNQYSQRYHQRTNTNVNCPIECFMPLDVQADRED
+SRE
+>tr|A0A3Q1N4N1|A0A3Q1N4N1_BOVIN ABO, alpha 1-3-N-acetylgalactosaminyltransferase and alpha 1-3-galactosyltransferase OS=Bos taurus OX=9913 GN=ABO PE=4 SV=1
+MKEDGRMEGRKPLWLSAASWQSCPLNWEEAPGSSGIGDVSVCSHACLVCRLLYPKAQLLK
+PLRVDVLVMTPWFAPVVWDGTFDSALLDVQFRNTTIGLTVFAIKKYVVFLRLFLETAEKY
+FMVGHKVIYYVFTDRPADVPQIALQDGRQVVVLHVGNYRRWQDISMHRMEMISNFSRRRF
+LREVDYLVCLYVDMKFSDHVGVEILAPLFGTLHPGFYAADRQSFTYERRPLSRAYIPRDE
+GDFYYAGGFFGGSVPEVYRLTTACHQAMTADQAQGIEAVWHDESHLNRYLLSHKPSKVLS
+PEYLWDEQMLQRPPLLRKLRYVAVPMNHTEIRSR
+>tr|E1BF10|E1BF10_BOVIN Interleukin 9 OS=Bos taurus OX=9913 GN=IL9 PE=4 SV=2
+MLLAVVLASALFLCSSASQGCFTLTGIRNAVYLIDNLQKDTSSKCSCSTNATDCLCLPIS
+SGDCSTACFQEGLSQMSSSTVSTRFHLIVNQLKKIVVTLKSNKCGSFACEQPCNRTTTGN
+TLTFLKALLESFQNDRMRGKA
+>tr|F1N1I2|F1N1I2_BOVIN Secretagogin OS=Bos taurus OX=9913 GN=SCGN PE=4 SV=1
+MDSAREPTQGSLDAAGFWQVWQRFDVEEKGYIEEKELDAFFYHMLTKLGVDDAVKEENVQ
+KMKQQFMAPHNVSKDGCIQMKELAGMFLSEDENFLLLFRQETPLDSSVEFMRIWRKYDAD
+SSGFISAAELCNFLRDLFLHHKKAISEAKLEEYTGTMMKIFDKNKDGRLDLNDLARILAL
+QENFLLQFKMDACSSEERKRDFEKIFAHYDVSKTGALEGPEVDGFVKDMMELVQPSIRGV
+DLDKFREILLRHCDVNKDGKIQKSELALCLGLKINP
+>tr|A0A3Q1NDE6|A0A3Q1NDE6_BOVIN Fer-1 like family member 6 OS=Bos taurus OX=9913 GN=FER1L6 PE=4 SV=1
+QEGPSHQEGLGGDLISDNTSITPVPSSPPKRRYSVAQIAITITEARQLVGENIDPVVIIE
+VGDEKKQSTVKEGTNSPFYNEYFVFDFIGPQLHLFDKIIKISVFHHKLIGSVLIGSFKVD
+LGTVYNQPGHQFCDKWALLTDPGDIRTGTKGYLKCDISVTGKGDVLKPNPKTSDAEEQIE
+KNLLIPQGFPSERPWARFYVRLYKAEGLPKVNSSIMANVTKAFVGDSKDLVDPFVEVSFA
+GQTGRTTVQKNCADPVWHEQVVFKEMFPPLCRRVKIQVWDEGSMNDIVLATHFIDLKKIS
+NEQDGDKGFLPTFGPAWINLYGSPRNHSLMDDYQELNEGFGEGVSYRGRILVEIAVEILS
+GGSQESKFSKALKEFKLSPKDKDGKSSKGVSKDKGDKTDDGKPQQASDKTNSTEVEVEPF
+DVPPEIVPEKHEEFLLFGAFFEATMIDRRIGDKPISFEVSIGNFGNLIDGGLHHRSRKKS
+ADSAEEDTLPLLHEGEGDAAHDAAIPLVSTTHPEKPLVTEGNRNYNYLPFEAKKPCVYFV
+SSWGDQTFRLHWSNMLEKMADLLEEGIEEVKELIKISEEAPEEKMKMVLSDFISQSSAFI
+SEAEKKPKMLNQTNLDKKRLTLCWQELEAIAKEAKGLTQQQKKKLSLEEMIQTAQNFVEK
+IRFLVDEPQHTIPDVFIWMLSNNKRVAYARIAAKDLLYSPVRDQMGQHCGKIKTHFLKLP
+GKRPAGWSVQAKIDVYLWLGSTKHSSTILSNLPAGYEAEMSSKAAGIHHPPANLLYQDRH
+VFQLRAHVYQARGLIAADSNGLSDPFAKVTFLSHCQTTKVIPETLSPTWNQMLLFNDLVL
+HGDQKELAESPPLVVVELYDSDAVGKPEYLGATVAAPVVKLADQDYEPPRLCYHPIFCGN
+LSGGDLLAVFELLQVPASGLQGLPPFDPPDVTQIYPVPASIRPVLSKYRVEVLFWGVREM
+KKVQLLSVDRPQVLIECAGRGVKSCVIQSYKNNPNFNVQADAFEVELPENELLHPPLSIC
+VVDWRAFGRSTLVGTYTINYLKQFLCKSREPLSLTSQVDISDSSVATEPSETLSSVQEEP
+KDHVFVDIEPPPTVVPDSAQVQAANLIDIPDSSPMLEPEHKPAAQEPPKDGKAKDSRKPS
+RRSTKRRKRTIADESAENVIDWWSKYYASLKKAQKVGSFLAVTVTSLSPPFFLKIYDGDL
+ESEFNNFEDWVKTFDLLRGKSMEDDQGLDGDRVVGKFKGSFCIYKSLEDSSIEDSGQLRI
+QQGIPPNHPIKVLIRVYIVAAFNLSPADPDGKSDPYIVIKLGKTEIKDRDKYIPKQLNPV
+FGRSFEIQATFPKESLLSVLIYDHDLIGTDDLIGETRIDLENRFYSKHRAICGLQSQYEI
+EGYNAWRDTSKPTEILTKLCKDNKLDGPYFRPGEIQIGNQVFSGKTTFTKEDTDETVESY
+EHLALRVLHSWENIPEVGCRLVPEHIETRPLYHKDKPGMEQGRLQMWVDMFPEDMPQPGP
+PVDISPRKPKGYELRVTIWNTEDVILEDENIFTGQKSSDIYVKGWLKGLEDDKQETDVHY
+NSLTGEGNFNWRFLFPFQYLPAEKQMVISKREHIFSLEKMECKTPAVLVLQVWDFERLSS
+DDFLGSLEMNLNSFPRAAKSAKACDLTKFENASEENMISIFQQKRVRGWWPFAKSKELTG
+KVEAEFHLVTAEEAEKNPVGKARKEPEPLDKPNRPNTSFSWFVSPFKCLYHLIWRNYKKY
+IIIGFILIILIIFLVLFIYTLPGAISQRIVGGS
+>tr|A0A3Q1MD15|A0A3Q1MD15_BOVIN Ankyrin repeat and FYVE domain containing 1 OS=Bos taurus OX=9913 GN=ANKFY1 PE=4 SV=1
+MALRAVSRFGVSVVGSRGVNEVAKLEKHLMLLRQEYVKLQKKLAETEKRCTLLAAQAKKE
+SSSESFISRLLTIVADLYEQEQYSDLKIKVGSRHINAHKFVLAARSDSWSLASLSSTEEL
+DLSDANPEVTMTMLRWIYTDELEFKEDDVFLTELMKLANRFQLQLLRERCEKGVMSLVNV
+RNCIRFYQTAEELNASTLMNYCAEIIASHWDDLRKEDFSSMSAQLLYKMIKSKTEYPLHK
+AIKVEREDVVFLYLIEMDSQLPGKLNELDHNGDLALDLALSRRLESIATTLVNHKADVDM
+VDKNGWSLLHKGIQRGDLFAATFLIKNGALVNAATLGAQETPLHLVASYNSKKHSAAVMS
+EMAQLTEALLQAGANPNMQDSKGRTPLHLSIMARNEYVFNQLLQCKQLDLELKDHEGSTA
+LWLAVQYITVSSDQSVNPFEDLPVVNGTSFDENSFAARLIQRGSNTNAPDTVTGNCLLQR
+AAEAGNEAAALFLATNGAHVNHRNKWGETPLHTACRHGLANLTAELLQQGANPNLQTEEA
+LPSPKEAMSLPSSADSSVYLQTPLHMAIAYNHPDVVSVILEQKANALHATNNLQIIPDFS
+LKDSRDQTVLGLALWTGMHTIAAQLLGSGACINDTMSDGQTLLHMAMQRQDSKSALFLLE
+HQADINVRTQDGETALQLAIRNQLPLVVDAICTRGADMSVPDEQGNPPLWLALANNLEDI
+ASTLVRHGCDATCWGPGPSGCLQTLLHRAIDENNEPITCFLIRSGCDVNSPRQPGANGEG
+EEEARDGQTPLHLAASWGLEETVQCLLEFGANVNAQDAEGRTPVHVAISNQHSVIIQLLI
+SHPDIHLNVRDRQGLTPFACAMTYKNNKAAEAILKRESGAAEQVDNKGRNFLHVAVQNSD
+IESVLFLISVQANVNSRVQDASKLTPLHLAVQAGSEIIVRNLLLAGAKVNELTKHRQTAL
+HLAAQQDLPTICSVLLENGVDFAAVDENGNNALHLAVMHGRLNNIRVLLTECTVDAEAFN
+LRGQSPLHILGQYGKENAAAIFDLFLECMPEYPLDKPDAEGNTVLLLAYMKGNANLCRAI
+VRSGARLGVNNNQGVNIFNYQVATKQLLFRLLDMLSKEPPWCDGSNCYECTAKFGVTTRK
+HHCRHCGRLLCHKCSTKEIPIIKFDLNKPVRVCNICFDVLTLGGVS
+>tr|A0A3Q1LKA4|A0A3Q1LKA4_BOVIN Ecm29 proteasome adaptor and scaffold OS=Bos taurus OX=9913 GN=ECPAS PE=4 SV=1
+MLRCFSVAFLVEIYLSQSGWLILVVVGSDSVFLERVFLRLGHAETDEQLQNIISKFLPPV
+LLKLSSTQEGVRKKVMELLVHLNKRIKSRPKIQLPVETLLVQYQDPAAVSFVTNFTIIYV
+KMGYPRLPVEKQCELAPTLLTAMEGKPQPQQDSLMHLLIPTLFHMKYPVESSKSASPFNL
+AEKPKTVQLLLDFMLDVLLMPYGYVLNESQSRQNSSSAQGSSSNSGGGSGIPQPPPGMSF
+YAAKRVIGDNPWTPEQLEQCKLGIVKFIEAEQVPELEAVLHLVIASSDTRHSVATAADLE
+LKSKQSLIDWNNPAIINKMYKVYLGDIPLKTKEGAVLKPELKRDPVSTRVKLKIVPHLLR
+SRQAAETFPANIQVVYDGLFGTNTNSKLRTLSLQFVHHICVTCPEIKIKPLGPMLLNGLT
+KLINEYKEVRTCVFSFVLFCLMEMFYSNDGLTFFCSRMPHLFTKDIALVQQLFEALCKEE
+PETRLAIQEALSMMVGAYSTLEGAQRTLMEALVASYLIKPEVQVRQVAVKFASTVFPSDH
+IPSRYLLLLAAGDPREEVHGEAQRVLRCLPGRNRKDSASKQMPSFPEMVYYIQEKASHRM
+KTPAKYMTGTTVLPFNPAAFGEIVLYLRMCLAHSAGVVPTSQSLADMQDHAPAIGHYIRT
+LMSGSQATPSSSSSKSGETNPVQIYIGLLQQLLAGVGGLPVMYCLLEAVSVYPEKLATKF
+VDKTEWIKSLMNSSKEEMRELAALFYSVVVSTVSGNELKSMIEQLIKTTKDNHSPEIQHG
+SLLALGFTVGRYLAKKKMKMAEQPNVETHADFLPEQEELIQSATETIGSFLDSTSPLLAI
+AACTALGEIGRNGPLPVPSEGSGFTKLHLVESLLNRIPSSKETNKMKERAIQTLGYFPVG
+DGDFPHQKLLLQGLMDSVEAKQIELQFTIGEAITSAATGTSSVAARDAWLVTEEEYTPPA
+GAKVNDVVPWVLDVILNKHIISPNPHVRQAACIWLLSLVRKLSTHSEVKSHLKEIQSAFV
+SVLSENDELSQDVASKGLGLVYELGNEQDQQELVSTLVETLMTGKRAKHEVSGETVVFQG
+GSLGKTPDGQGLSTYKELCSLASDLSQPDLVYKFMNLANHHAMWNSRKGAAFGFNVIATR
+AGEQLAPFLPQLVPRLYRYQFDPNLGIRQAMTSIWNALVTDKSMVDKYLKEILQDLVKNL
+TSNMWRVRESSCLALNDLLRGRPLDDIIDKLPEIWETLFRVQDDIKESVRKAAELALKTL
+SKVCVKMCDPAKGAAGQRTIAVLLPCLLDKGMMSPVTEVRALSINTLVKISKSAGAMLKP
+HAPKLIPALLESLSVLEPQVLNYLSLRATDQEKAAMDSARLSAAKSSPMMETINMCLQYL
+DVSVLGELVPRLCELIRSGVGLGTKGGCASVIVSLTTQCPQDLTPYSGKLMSALLSGLTD
+RNSVIQKSCAFAMGHLVRTSRDSSTEKLLQKLNGWYMEKEEPIYKTSCALTIHAIGRYSP
+DVLKNHAKEVLPLAFLGMHEIADEEKAEKEECNLWTEVWQENVPGSFGGIRLYLQELITI
+TQKALQSQSWKMKAQGAIAMASIAKQTSSLVPPYLGMILTALLQGLAGRTWAGKEELLKA
+IACVVTACSAELEKPVPGQPSTNEILQAVLKECGRENPKYKMVAISCAGDVLKATKEDRF
+QEFADIVIPLIKKNSPESIGVRTTKNEDENEKEKELQLESLLGAFESLGKAWPRSVETQR
+CYRQELCKLMCERLKLSTWKVQLGVLQAMNAFFQGLMLLEEEHADPEALAEILLETCKSI
+TYSLESKQWASLTPECRVVLIESLGAMETDSRPELQEKASLLKKTLESLQ
+>tr|A0A3Q1MB78|A0A3Q1MB78_BOVIN Cadherin 15 OS=Bos taurus OX=9913 GN=CDH15 PE=4 SV=1
+MRENVRSLSDRPPLPHLTWSPAFGSAPDTCCHSAAGPAQAPPLLPPHTCYHSLARTLVLS
+LTRVLSQQKAPLPPPDTLPAACYRPMDAALLLALGLLAQSLFPSAGVPRLRRPRTLYPWR
+QAPTLGRVRRAWVIPPISVSENHKRLPFPLVQIKSDKQQLGSVIYSIQGPGVDEEPRGVF
+SIDKFTGKVSLNAMLDREKTDRFRLRAFALDLGGATLEEPTDLEIVVVDQNDNRPVFRQE
+VFTGRVLEGAVPGTYVTRAEATDADDPETDNAALRYSILEQGGPQLFSIDPLTGEIRTVQ
+VGLDREVVAVYNLTLQVADMSGDGLTATASAIITLEDINDNAPEFTGDQFFMEAAEAVSG
+VDVGRLEVEDRDLPGSPNWAARFTILEGDPDGQFTIRTDPRTNEGVLSVVKPLDYESREW
+YDLKVAVQNEAPLQAAAPRAERGQARVSVQVRDVNEAPVFQENPLRTSLAEGAAPGTPVA
+TFSARDPDTQQLQRLSYSKDYDPEDWLQVDGATGRVQTQRVLSPSSPFLKDGWYRAIILA
+RDDASPPSTATGTLSIEILEVNDHAPELSPPWGSLCSTPDRGSGLLLGATDEDLPPHGAP
+FHFQLSPRVPELARNWSLSQVNVSHARLRPKLRVPEGLHRLSLLLQDSGQPPQQREQPLN
+VTVCRCGQDGACLPGAAALRAGGAGISLGALVIMLASVILLLLLALLVALLARFRQQSWD
+KRLLHGLQDDLRDNILNYDEQGGGEEDQDAYDIDQLRHPAELAAFGAPLGRPPLRRDAPF
+GRARPQVTRALPTSPADIADFINDGLEAADCDPSVPPYDTALIYDYEGDGSLAGTLSSIL
+SSLDDEDQDYSCLREWGPRFSRLADLYGPP
+>tr|F1MZF1|F1MZF1_BOVIN Pleckstrin homology and coiled-coil domain containing D1 OS=Bos taurus OX=9913 GN=PLEKHD1 PE=4 SV=2
+MFTSKSNSVSPSPSLEQADSDALDISTKVQLYGVLWKRSFGRPSAKWSRRFCIIKESFLL
+YYSESEKKSFETNKYFNIHPKGVIPLGGCLVEAKEEPSMPYAMKISHQDFHGTILLAAES
+EFEQTQWLEMLQESGKVTWKNAQLGEAMIKSLEAQGLQLAKEKQEYLDKLMEETEELCLQ
+REQREALERLNQVLEAEKQQFEEVVQELRMEQEQIKRELELTARCLKGVEQEKKELRHFT
+ESLQKTLEELSIEKKKTLEMLEENENQLQTLANQSEQPPPSGGLHSNLRQIEEKMQQLLE
+EKLLAEKRMKENEERSRALEEEREFYSSQSQALQNSLQELTVEKQQAERELKAEVKVRMD
+LERRLREAEGALRSLEQGLNSKVRNKEKEERMRADVSHLKRFFEECIRNAELEAKMPVIM
+KNSVYIHKAATRRIKSCRFHRRRSSTSWNDVKPSQSFMTSQLEANNMEELKEVAKRLSRD
+QRFRESIYHIMATQPGAPSALPRGGK
+>tr|A0A3Q1MA82|A0A3Q1MA82_BOVIN TAFII28 domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+SASGRAQGSSFLSVPLGPEPSNPGRILPRDPAQNARKASDEEGGELVHQDCPQPRAGEEA
+DSSSRSPVAKRRKVDTEGKRGRQREVGEEEAQKMRTLVAAMSKEQLNRYEVFRRSAFPKS
+TIKHLIQAVAGTPVSQNVVIAMSGMAKVFVGEVVEEALDVCEKWGEKPPLQPKHLREAVR
+RLREKGQMPSTKHKILFF
+>tr|A0A3Q1MK31|A0A3Q1MK31_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC521224 PE=4 SV=1
+MAKRSSLSIRIVEGKNLPSLPSLAAPAAATPYCIVKVDNEPIIRTATVWKTLCPFWGEEY
+EVHLPPTFHSVAFYVMDEDALSRDDVIGKVCLTRDTLAAHPKGFSGWAHLTEVDPDEEVQ
+GEIHLRLEVVPGTRACRLRCSVLEARDLAPKDRNGASDPFVRVRYNGRTQETSIVKKSCY
+PRWNETFEFELEEGAAEVLCVEAWDWDLVSRNDFLGKVVVNVQRLWAAQREEGWFRLQPD
+QSKSRREEGHLGSLQLEVRLRDETVLPSSCYQPLVQLLCREVKPGTQGPGQLISLIEETT
+STECRQEVATTLLKLFLGQGLAKDFLDLLFQLELSRTSEANTLFRSNSLASKSMESFLKV
+AGMRYLHGVLGPIIDRVFEEKKYVELDPSKVEVKDVGCSGLHRPQTEAEVLEQSVQTLCA
+HLGALLSALSRSVRACPAVVRATFRQLFRRVRERFPSAQDENVPFIAVTSFLCLRFVSPA
+ILAPKLFHLRERHADARTSRTLLLLAKAVQNVGNMDTPASRAKEAWMEPLQPTVRQGVAQ
+LKDFITKLVDIQEKDGEPFRCLSLLHPHLQAPPVKEGPLFIHRTKGKGPLTSSSFKKLHF
+SLTTEALSFAKTPSSKKSTLIKLANIRAAEKVEEKSFSSSHVMQVIYTDDAGKLQTAYLQ
+CKVVNELNQWLSALRKVCINNTGLLGSYHPGIFRGDKWSCCHQRDKTDLGCNKTRSWVTL
+QEWNDPLDHDLEAQLIYRHLLGVEAALRGALSSLTPHLPPAPRDPLAELLQVLGDLQEAH
+RSSPAGSPPS
+>tr|A0A3Q1LQK3|A0A3Q1LQK3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+PLLWELFLQAGPVVNTHMPKDRVTGQHQGYGFVEFLSEEDADYAIKIMNMIKLYGKPIRV
+NKASAHNKNLDVGPNIFIGNLDPEIDEKLLYDTFSAFGAILQTPKIMRDPDTGNSKGYAF
+INFASFDASDAAIEAMNGQYLCNRPITVSYAFKKDSKGERHGSAAERLLAAQNPLSQPDR
+PHQLFADAPPPPSAPNPVVSSLGSGLPPPGMPPPGSFPPPVPPPGALPPGIPPAMPPPSM
+PPGAGGHGPPSVGTPGAGHPGHGHSHPHPFPPGGMPHPGMSQMQLAHHGPHGLGHPHAGP
+PGSGGQPPPRPPPGMPHPGPPPMGMPPRGPPFGSPMGHPGPMPPHGMRGPPPLMPPHGYT
+GPPRPPPYGYHAPSRPTSRPSPSVISHSPGILQARTLEWVAISFSNA
+>tr|G3MYI1|G3MYI1_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC788640 PE=3 SV=2
+RIFSRMSFVNDTTSHPSAFLLLGVPGLEDFHIWIAFPFFVVYLIALVGNVTILFVIKTDQ
+SLHQPMFYFLALLSFIDLGLSTSTIPKMLAIFWFNRRKVSFEACLIQMFFIHTYTGMESV
+VLLAMAIDRFVAICYPLMYTTILTNKMVAIMASVVVGRPVLLVIPFCPLLKRLPFCGRYI
+IPHTYCEHMGIAHLACANIRVNIIYGLFTIAALIFDLLLISLSYVQILQTVFHLPSRDAR
+LKAFSTCGSHVCVILAFYTPAFFSFMTHRFGRNVPLYIHILLANLYVVVPPCLNPVIYGV
+RTKQIWGRVVRIFFKKE
+>tr|E1BMG9|E1BMG9_BOVIN 10-formyltetrahydrofolate dehydrogenase OS=Bos taurus OX=9913 GN=ALDH1L1 PE=1 SV=3
+MKIAVIGQSLFGQEVYCRLREEGHEVVGVFTVPDKDGKADPLGLQAEQDGVPVFKFPRWR
+AKGRALPDVVAQYQALGAELNVLPFCSQFIPMEVISAPRHGSIIYHPSLLPRHRGASAIN
+WTLIHGDKKGGFTIFWADDGLDTGDLLLQKECEVLPDDTVSSLYNRFLFPEGVKGMVQAV
+KLIAEGKAPRLPQPEEGATYEGIQRKETARIDWDQPAEAIHNWIRGNDKVPGAWTEACGQ
+KLTFFNSTLSTAGLEPEGEDLPIPGAHRPGVITKAGLILFGNDGRMLLVKNIQLEDGKMI
+PAAHFFEKVESSALELTEEELGTAEAVRGAWKRILPSVPEVDESTDFFKSGAASVDVVRL
+VEEVKELCDGLELENEDVYMATTFGDFIQLLVRRLRGGDKETECAIDYVEKAVNKLTLRM
+PHQLFIGGTFVDAEGGKTYETINPTDGSALCQVSLAQVSDVDKAVAAAKDAFENGLWGKI
+SARDRGRLLYRLAELMEQHQEELATIEALDAGAVYTLALKTHVGMSIQTFRYFAGWCDKI
+QGSTIPINQARPNRNLTMTRREPIGVCGIIIPWNYPLMMLSWKTAACLAAGNTVVIKPAQ
+VTPLTALKFAELTLKAGIPKGVVNVLPGSGSLVGQRLSDHPDVRKIGFTGSTEVGKHIMK
+SCALSNVKKVSLELGGKSPLLIFADCDLGKAVQMGMSSVFFNKGENCIAAGRLFVEDSIH
+DQFVRRVVEEVGKMKIGNPLDRDTNHGPQNHLAHLQKLLEYCQRGVEEGATLVCGGKQVP
+RPGFFFEPTVFTDVQDHMFIAREESFGPIMIISRFADGDVDAVLTRANATEFGLASGVFT
+RDINKALYVSDKLEAGTVFVNTYNKTDVAAPFGGFKQSGFGKDLGEAALNEYLRVKTVTF
+EY
+>tr|F1N167|F1N167_BOVIN Calcium voltage-gated channel auxiliary subunit beta 4 OS=Bos taurus OX=9913 GN=CACNB4 PE=4 SV=2
+MSSSSYAKNGSADRPHSPTSQVARGTTTRRSRLKRSDGSTTSTSFILRQGSADSYTSRPS
+DSDVSLEEDREAIRQEREQQAAIQLERAKSKPVAFAVKTNVSYCGALDEDVPVPSTAISF
+DAKDFLHIKEKYNNDWWIGRLVKEGCEIGFIPSPLRLENIRIQQEQKRGRFHGGKSSGNS
+SSSLGEMVSGTFRATPTSTAKQKQKVTEHIPPYDVVPSMRPVVLVGPSLKGYEVTDMMQK
+ALFDFLKHRFDGRISITRVTADISLAKRSVLNNPSKRAIIERSNTRSSLAEVQSEIERIF
+ELARSLQLVVLDADTINHPAQLIKTSLAPIIVHVKVSSPKVLQRLIKSRGKSQSKHLNVQ
+LVAADKLAQCPPEMFDVILDENQLEDACEHLGEYLEAYWRATHTTSSTPMTPLLGRNLGS
+TALSPYPTAISGLQSQRLRHSNHSTENSPVERRSLMTSDENYHNERARKSRNRLSSSSQH
+SRDHYPLVEEDYPDSYQDTYKPHRNRGSPGGYSHDSRHRL
+>tr|F1ML89|F1ML89_BOVIN Carbamoyl-phosphate synthase 1 OS=Bos taurus OX=9913 GN=CPS1 PE=1 SV=2
+MTRILTACKVVKTLKTSFGLTNVTAHHQWKFARPGIRLLSVKAQTAHIVLEDGTKMKGYS
+FGHPSSVAGEVVFNTGLAGYPEALTDPAYKGQILTMANPIVGNGGAPDTAALDELGLSKY
+LESDGIKVAGLLVLNYSDDYHHWLATKSLGQWLREEKVPAIYGVDTRMLTKIIRDKGTML
+GKIEFEGQSVDFVDPNKQNLIAEISTKDVKVYGKGNPMKVVAVDCGIKNSVIRLLVKRGA
+EVHVVPWNHDFTKMEYDGLLIAGGPGNPALAQPLIQNVKKILESDRKEPLFGISTGNLIT
+GLAAGAQVYKMSMANRGQNQPVLNITNRQAFITAQNHGYALDSTLPAGWKPLFVNVNDQT
+NEGIMHESKPFFGVQFHPEVGPGPTDTEYLFDSFVSLIKKEKGTTITSVLPKPGLVASRV
+EVSKVLILGSGGLSIGQAGEFDYSGSQAVKAMKEENVKTVLMNPNIASVQTNEVGLKQAD
+TVYFLPITPQFVTEVIKAERPDGLILGMGGQTALNCGVELFRRGVLKEYGVKVLGTSVES
+IMATEDRQLFSDKLNEINEKIAPSFAVESIEDALKAADNIGYPVMIRSAYALGGLGSGLC
+PNRETLMDLSTKAFAMTNQILVEKSVTGWKEIEYEVVRDANDNCVTVCNMENVDAMGVHT
+GDSVVVAPAQTLSNAEFQLLRRVSINVVRHLGIVGECNIQFALHPTSMEYCIIEVNARLS
+RSSALASKATGYPLAFIAAKIALGIPLPEIKNVVSGKTSACFEPSLDYMVTKIPRWDLDR
+FHGTSSRIGSSMKSVGEVMAIGRTFEESFQKALRMCHPSIDGFTSRLPMNKEWPSDIDLR
+RELADPSSIRIYAIAKALEDNMSLDEIMKLTSIDKWFLYKMRDILNMEKTLKGLNSESIT
+EETLKKAKEIGFSDKQISKCLGLTEAQTRELRLKKNIHPWVKQIDTLAAEYPSVTNYLYV
+TYNGQEHDINFDDHGMMVLGCGPYHIGSSVEFDWCAVSSIRTLRQLGKKTVVVNCNPETV
+STDFDECDKLYFEELSLERILDIYHQEACGGCIISVGGQIPNNLAVPLYKNGVKIMGTSP
+LQIDRAEDRSIFSAVLDELKVAQAPWKAVNTLNEALEFAKSVGFPCLLRPSYVLSGSAMN
+VVFSEDEMKKFLEEATRVSQEHPVVLTKFIEGAREVEMDAVGKDGRVISHAISEHVEDAG
+VHSGDATLMLPTQTISQGAIEKVKDATRKIAKAFAISGPFNVQFLVKGNDVLVIECNLRA
+SRSFPFVSKTLGIDFIDVATKVMIGEHIDEKPLPTLEHPIIPADYVAIKAPMFSWPRLRD
+ADPILRCEMASTGEVACFGEGIHTAFLKAMLSTGFKLPQKGILIGIQQSFRPQFLGVAEQ
+LHNEGFKLFATEATSDWLNANNVPATPVAWPSQEGQNPSLSPIRKLIRDGSIDLVINLPN
+NNTKFVHDNYVIRRTAVDSGIALLTNFQVTKLFAEAVKKARNVDSKSLFHYRQYRAGKEL
+>tr|A0A3Q1LVK8|A0A3Q1LVK8_BOVIN Epoxide hydrolase 4 OS=Bos taurus OX=9913 GN=EPHX4 PE=4 SV=1
+MAKLRDCLPRLMVTIRSLLFWCLIYFYCGLCSSIYLLKLLWSICKGPSQTFRRVAREHPP
+ACLNDPSLGTHCYVRIKDSGLRFHYVAAGERGKPLMLLLHGFPEFWYSWRHQLREFKSEY
+RVVALDLRGYGESDAPVHRENYKLDCLITDIKDILESLGYSKCVLIGHDWGGMIAWLIAI
+CYPEMVMKLIVINFPHPNVFTEYILRHPAQLFKSSYYYFFQIPWFPEFMFSINDFKALKH
+LFTSHSTGIGRKGCRLTTEDLEAYIYVFSQPGALSGPINHYRNIFSCLPLKHHMVITPTL
+LLWGEKDAFMEVEMAEVTKIYVKNYFRLTILSETSHWLQQEQPDIVNKLIWTFLKEETRR
+KD
+>tr|E1B7V2|E1B7V2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=RIMS2 PE=4 SV=3
+MYLSRHLTEEERKIILAVMDRQKKEEEKEQSVLKVKEEHKPQTTQWFPFSGITELVNNVL
+QPQQKQQNEKEPQTKLHQQFEMYKEQVKKMGEESQQQQEQKGDAPTCGICHKTKFADGCG
+HNCSYCQTKFCARCGGRVSLRSNKVMWVCNLCRKQQEILTKSGAWFYNSGSNTPQQPDQK
+VLRGLRNEEAPQEKKAKLHEQAQFQGPSGDLSVPAVEKSRSHGLTRQDSIKNGSGVKHQI
+ASDVASDRKRSPSVSRDQNRRYDQREEREEYSQYATSDSAMPRSPSDYADRRSQPEPQFY
+EESDHINYRDSNRRSHRHSKEYIVDDKDVESRDEYERQRREEEYQARYRSDPNLARYPVK
+PQPYEEQMRIHAEVSRARHERRHSDVSLANAELEDSRISMLRMERPSRQRSISERRAAME
+NQRSYSMERTREAQGPSSYPQRTTNHSPPTPRRSPIPIDRPDVRRTDSLRKQHHLDPSSA
+VRKTKREKMETMLRNDSLSSDQSESVRPPPPKPHKSKKGGKMRQVSLSSSEEELASTPEY
+TSCDDVEIESESVSEKGDSQKGKRKTSEQAVLSDSNTRSERQKKMMYFGGHSLEEDLEWS
+EPQIKDSGVDTCSSTTLNEEHSHSDKHPVTWQPSKDGDRLIGRILLNKRLKDGSVPRDSG
+AMLGLKVVGGKMTESGRLCAFITKVKRGSLADTVGHLRPGDEVLEWNGRLLQGATFEEVY
+NIILESKPEPQVELVVSRPIGDIPRIPDSTHTQLESSSSSFESQKMDRPSISVTSPMSPG
+MLRDVPQFLSGQLSSQSLSRRTTPFVPRVQIKLWFDKVGHQLIVTILGAKDLPSREDGRP
+RNPYVKIYFLPDRSDKNKRRTKTVKKTLEPKWNQTFIYSPVHRREFRERMLEITLWDQAR
+VREEESEFLGEILIELETALLDDEPHWYKLQTHDVSSLPLPHPSPYMPRRQLHGESPTRR
+LQRSKRISDSEVSDYDCDDGIGVVSDYRHNGRDLQSSTLSVPEQVMSSNHCSPSGSPHRV
+DVIGRTRSWSPSVPPPQSRNVEQGLRGTRSAIGHYNTISRMDRHRVMDDHYSPDRDRDCE
+AADRQPYHRSRSTEQRPLLERTTTRSRSTERPDTNLMRSMPSLMTGRSAPPSPALSR
+>tr|E1BJE1|E1BJE1_BOVIN DENN domain containing 4A OS=Bos taurus OX=9913 GN=DENND4A PE=4 SV=3
+MIEDKGPRVADYFVVAGLTDVSKPLEEEIHFNDACHKVARPKEPITDVSVIIKSLGEEVP
+RDYTCIDITPTGLSADLNNGSLVGPQIFLCYRRGRDKPPLTDLGVLYDWKERLKQGCEII
+QSTPYGRPANISGSTSSQRIYITYRRASENMTQNTLAVTDICIIIPSKGESPPHTFCKVD
+KNLNNSMWGSAVYLCYKKSVAKTNTISYKAGLICRYPQEDYESFSLPESVPLFCLPMGAT
+IECWPSNSKYPLPVFSTFVLTGASAEKVYGAAIQFYEPYSEENLTEKQRFLLGLASSANE
+KSDSSKTIHTNKCICLLSHWPFFDAFRKFLTFLYRYSISGPHVLPIEKHISHFMHKVPFP
+SPQRPRILVQLSPHDNLILSQPVSSPLPLSGGKFSTLLQNLGPENAVTLLVFAVTEHKIL
+IHSLRPSVLTSVTEALVSMIFPFHWPCPYVPLCPLALADVLSAPCPFIVGIDSRYFDLYD
+PPPDVSCVDLDTNTISQTGDKKNVAWKILPKKPCKNLMNTLNNLHQQLAKLQQRPRDDGL
+MDLAMNDYDFNSGKRLHMIDLEIQEAFLCFMASILKGYRSYLRPITQAPSETATDATSLF
+ALQAFLRSRDRSHQKFYNMMTKTQMFIRFIEECSFVSDKDASLAFFDDCVDKVDMDKSGE
+VRLIELDESFKSEHTVFVTPPEIPHLPNGEEPPLQYSYNGFPVLRNNLFERPEGFLQTRK
+SKLPSKSSSPSSPSPMFRRTKQEIKSAHKIAKRYSSIPQMWSRCLLRHCYGLWFICLPAY
+VKVCHSKVRALKTAYDVLKKMQSKKMDPPDEVCYRILMQLCGQYDQPVLAVRVLFEMQKA
+GIDPNAITYGYYNKAVLESTWPSRSRSGYFLWTKVRNVVLGVAQFKRALKKHANLSQTAL
+SDGSDLDAVSHGSMDSGHGTHAVEQAPCSTGSIKAYASDDRSSTGGQSDLGYNSLSKDEV
+RRGDTSTEDIQEEKDKKGSDSSSLSECESAKGSADCLPKLGYQSSSSIVRLNGTSNSADK
+ISGESTESTSEPLLISSFEDANETGNIQSRCFRKRHKSDNEPDLQQQMSWVNRSRNLSGG
+VLMGLMLSRINQEANPGNMVEKLGADAKILSNVISKSTRPNSLNIGKPSLRSKRDSVEKE
+SSDDDTPFDGSNCLTDKVDSPVIFDLEDLDNETDISKARYIVPQNPKRSQRLNSSFSIKP
+FEKTDVATGFDPLSLLVAETEQQQKEEEEEEEDDSKSVSTPSARRDLAEEIEMYMNNMSS
+PLTSRTPSIDLQRACDDKVTTKKSPTLVKACRRSSLPPNSPRPVRLTKSKSYSKNEDRPR
+DRLWSSPAFSPTCPFREESQDALTHSSPSFNLDTLLVPKLDVLRNSMFTAGKGVAEKASK
+WYSRFTMYTTSSKDQSSDRTSLSSVGAQDSESTSLTDEDVCHELEGATSQESSATSGTKG
+VDFSRTSLEGSASLEGSLSKFALPGKSEVASSCNTSNTNIFQNYAMEVLISSCSRCKTCD
+CLVHDEEIMAGWTADDSNLNTTCPFCGNLFLPFLNIEIRDLRRPGRYFLKSSPSTENIHF
+PSSFSSQTRQSCISTSTSGLDTSLLSVHGSFDLNSKSKPLENTCARSIQIPADRSKAAMS
+KCPLFPMARSVSTYGTLDQEDIGGQKLVPTGSLPTTLQGAADSLGLEWHLPSPDPITVPY
+LSPLVVWKELESLLENEGDRAITVADFVDHHPIVFWNLVWYFRRLDLPSNLPGLILSSEH
+CNKYSKIPRHCMSEDSKYVLIQMLWDNMKLHQDPGQPLYILWNAHTQKYPMVHLLQKGDN
+SFNQELLKNMVKSIKMNDVYGPMSQILDTLNKCPHFKRQRSLYREILFLSLVALGRENID
+IDAFDKEYKMAYDRLTPSQVKSTHNCDRPPSTGVMECRKTFGEPYL
+>tr|F1MM86|F1MM86_BOVIN Complement component C6 OS=Bos taurus OX=9913 GN=C6 PE=4 SV=2
+MARHSVLYFILLSALIDKSQACFCDHYPWSQWSSCSKTCNSGTQTRQRRIVTDKYYFENF
+CGQLCTKQESRECNWQTCPINCRLGDYGPWSDCDPCVQKRFKVRSILRPSQFGGQPCTEP
+LMTFQPCIPSKLCKIEEIDCKNKFRCDSGRCIASKLECNGENDCGDNSDERNCGRKKTVC
+SRSHNPIPGVQLMGMGFHFLAGEPRGEVLDNSFTGGVCRTVKSSRASNPYRVPANLENVN
+FEVQTKEDDLEADFYDDLIPLEDNKDQEALGSGLATSSFRVPIFYSSKRSQSSSHSSAFK
+QAIQASQKKASSFIRIHKVIKVLNFTMKTKDLQLSDVFLKALNHLPLEYNSALYSRIFDD
+FGTHYFTSGSLGGVYDLLYQFSKEELKNSGLTKEEAKNCIRIETKKRFLFVKKTKVEHRC
+TTNKLSEKYEGSFMQGSEKSISLVQGGRSAYAAALAWEKGSPVPEERVFSDWLESVKENP
+SVIDFALAPITDLVRNIPCAVTRRNNLRRAFREYAAKFDPCQCARCPNSGRPVLSGTECL
+CVCQSGTYGENCERRSPDYKSNAVDGNWGCWSSWSSCDATYRRSRTRECNNPAPQQGGKR
+CEGERRQEEHCTFSIMQNDGQPCISDDEDMKETDLPELESDSGCPQPVPPENGFIRNEKK
+QYSVGEEVEILCFTGFKAVGYQYFRCLPDRSWRQGDVECQRTECLKPIVPEGLTLSPFQT
+LYKIGDSIELTCPRGLVVNGPSRYTCSGDSWTPPISDSLSCEKDVLTGLRGHCQPGQKQL
+GSECVCMSPEEDCGHYSEEICVLDTTSSDYFTSSACKLLAEKCLNNQQLHFLHIGSCEEG
+PQLKWGLERIKLSSSSTKNESCGYDTCYNWEKCSATTSKCVCLLPSQCTKGGDQLFCVQI
+GSSANGKTMNICEVGAVKCAKREMEILHSGRC
+>tr|E1BMM0|E1BMM0_BOVIN Nuclear cap binding protein subunit 1 OS=Bos taurus OX=9913 GN=NCBP1 PE=4 SV=3
+MSRRRHSDENDGGQPHKRRKTSDANETEDHLESLICKVGEKSACSLESNLEGLAGVLEAD
+LPNYKSKILRLLCTVARLLPEKLTIYTTLVGLLNARNYNFGGEFVEAMIRQLKESLKSNN
+YNEAVYLVRFLSDLVNCHVIAAPSMVAMFENFVSVTQEEDVPQVRRDWYVYAFLSSLPWV
+GKELYEKKDAEMDRIFASTENYLKRRQKTHVPMLQVWTAEKPHPQEEYLDCLWAQIQKLK
+KDRWQERHILRPYLAFDSILCEALQHNLPPFTPPPHTEDSVYPMPRVIFRMFDYTDDPEG
+PVMPGSHSVERFVIEENLHCIIKSHWKERKTCAAQLVSYPGKNKIPLNYHIVEVIFAELF
+QLPAPPHIDVMYTTLLIELCKLQPGSLPQVLAQATEMLYMRLDTMNTTCVDRFINWFSHH
+LSNFQFRWSWEDWSDCLTQDPESPKPKFVREVLEKCMRLSYHQRILDFVPPTFSALCPAN
+PTCIYKYGDESSNSLPGHSVALCLAVAFKSKATNDEIFSILKDVPNPNQDDDDDEGFSFN
+PLKIEVFVQTLLHLAAKSFSHSFSALAKFHEVFKTLAESDEGKLHVLRVMFEVWRNHPQM
+IAVLVDKMIRTQIVDCAAVANWIFSSELSRDFTRLFVWEILHSTIRKMNKHVLKIQKELE
+EAKEKLARQHKRRSDDDDRSSDRKDGALEEQIERLQEKVESAQSEQKNLFLVIFQRFIMI
+LTEHLVRCETDGTSVLTPWYKNCIERLQQIFLQHHQIIQQYMVTLENLLFTAELDPHILA
+VFQQFCALQA
+>tr|A0A3Q1M544|A0A3Q1M544_BOVIN Phosphatidylethanolamine N-methyltransferase OS=Bos taurus OX=9913 GN=PEMT PE=4 SV=1
+MGPVLGVGGFLEARARSLQGNPRPTSPGRAGPVRSSVAAPDCCGGLGNLDFRKADLCIMT
+RLLGYVDLSEPHFVAAVLAIVFNPLFWNVVARWEHKTRKLSKAFGSPRLACYTLGGAILL
+LNVLRSHCFTQAMLSQPRMQSLDNPAVYHVGLALLGVGGVFVLSSFLALGFTGTFLGKTL
+SVGGQGPAPPNSRPQPALLSAGGQTLKPQPAAWPPGWAGLLFLCSGLGLATGGGAGPSPC
+PWLRSFGAENPVLAGLDVLQTPTPSLGLWGEGAGRLMCAGQWGQSRRPARDHITQVPEGH
+AGDWGVLPGGGSHRGGPLGAQEQVGIIVWASQGWGGEGSQGREGWWCSQPQETRG
+>tr|A0A3Q1LV26|A0A3Q1LV26_BOVIN Mitogen-activated protein kinase kinase kinase kinase 4 OS=Bos taurus OX=9913 GN=MAP4K4 PE=4 SV=1
+MLLDRSGQGKVYPLINRRRFQQMDVLEGLNVLVTISGKKDKLRVYYLSWLRNKILHNDPE
+VEKKQGWTTVGDLEGCVHYKVVKYERIKFLVIALKNSVEVYAWAPKPYHKFMAFKSFGEL
+VHKPLLVDLTVEEGQRLKVIYGSCAGFHAVDVDSGSVYDIYLPTHIQCSIKPHAIIILPN
+TDGMELLVCYEDEGVYVNTYGRITKDVVLQWGEMPTSVAYIRSNQTMGWGEKAIEIRSVE
+TGHLDGVFMHKRAQRLKFLCERNDKVFFASVRSGGSSQVYFMTLGRTSLLSW
+>tr|A0A3Q1MPD7|A0A3Q1MPD7_BOVIN Neural cell adhesion molecule 2 OS=Bos taurus OX=9913 GN=NCAM2 PE=4 SV=1
+MEQLSKYEFSQHFNRALIHLLFALVVKSLLQVTISLSKVELSVGESKFFTCTAIGEPESI
+DWYNPQGEKIISTQRVSVQKEGIRSRLTIYNANIEDAGIYRCQATDAKGQTQEATVVLEI
+YQKLTFREVVSPQEFKQGENAEVVCRVSSSPAPVVSWLYHNEEVTAISDSRFAMLANNNL
+QILNINKSDEGIYRCEGRVEARGEIDFRDIIVIVNVPPLITMPQKSFNATAERGEEMTFS
+CRASGSPEPTISWYRNGKFIEENEKYSLRGSNTELTVRNIINSDGGPYVCKATNKAGEDE
+KQVLLQVFVQPHIIQLKNETTYENGQVTLICEAEGEPIPAITWKRAVDGITFSEGDKSPD
+GRIEVKGQHGSSSLHIKDVDLSDSGRYDCEAASRIGGHQKSMYLDIEYAPKFISNQTIYY
+SWEGNPINISCDVKSNPPASVHWRREKLVLPAKNTTNLKTYSTGRKIILEIAPTSDNDFG
+RYNCTATNRIGTRFQEYILALADVPSSPYGVKIIELSQTTAKVSFSKPDSHGGVPINHYQ
+VDVKEVASETWKIVRSHGVQTMVVLSNLEPNTTYEIRVAAVNGKGQGDYSKIEIFQTLPV
+REPSPPSIHGQPSSGKSFKLSITKQDDGGAPILEYIVKYRSKDKEDQWLEKKVQGNKDHI
+ILEHLQWTMGYEVQITAANRLGYSEPTVYEFSMPPKPNIIKDTLFNGLGLGAVIGLGVAA
+LLLILVVTDVSCFFIRQCGLLMCITRRMCGKKSGSSGKSKELEEGKAAYLKLPLKEENGK
+EVLNPETIEIKVSNDIIQSKEDDSKA
+>tr|E1BK38|E1BK38_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=ABCA13 PE=4 SV=3
+MCSPGHTGDQEEGLLELLGKGWDQSLGCRVLSLAEFFWPCILFMILTVLRFQEPPRHRDN
+CYLQPRDLPSRGVFPFVQGLLCNTGSRCRNTSYEGAMEQRFRSPRFPAAAAPHQKVDNLA
+FLKEIQDLAQEVYEVMDKARNLQKLWAERSKTPGNCCGPAPPRLPHGVLFHQMDLNKTEE
+VIEKLESLHQQPHLWDFLLLLPRLYTNTFHVADGVLAGGHLLHAVLTSLSALEDLDWLPL
+NHTFFRVSEMVLKAAVSTLASLQESGAVATESGYSLSVKELMWDPQKVQADLKSQFGFDD
+LHAERVLNYSADLDEIPTDGSLEQMLCSALSGSSEDEDDGEGRPADCDPQWSAAKDYLVQ
+AVSRLHLYRQVFDQQWKGSLFQQVLAGIGRGLEALRTQSGEGSQPWKVVRALQAAFLLLN
+DSMAADGPEGSHTPTRILLYMQRLQRVLRKLPPGPALMRLLQLDGALRNAGAQDLHLVRE
+ILICLEASANNSRSHGPGQSKLEKDVLFKELKQNTRENQVLFPFAPAFLANHLLQAAITG
+HTSMPVSIPEDAPDWQDLGAQLQEASLPCTRLLRLLRADVSAADCADQLFSTVMFHTLEK
+AQSFLEHTHFWKAFMGFIRKTCEVARYVNKQEGIQNSSLGFSEESPCYKENMDWKSISGN
+YFAFLNNLFRTPTASMSRVLNYTKELLVMEKKLQTHKDEQINFLLSFMEFLEKLLPNPFN
+LSSILKFYNLPSVPEMTLNTSHLWLNHSASLGRDIPGMDTQKLLEVSKEMIEKIETHESF
+WIRKESINILRFVELMLLGMNPKFLAFWMHGASKEKRAELGLLPALANLSDSENEMIHRK
+SFNFSQLFHSDWPQSPAMKMDFVHVIEVIINSLYEFGFLSQEQVSETVDTLYAMRNASSL
+FLALSEPQKQDIEKILTCVYLHVFQDKDSALLLQIYSSFHQYMYKFMSIQSRESLLFLLM
+QISKHILDILKQFNFQNINKAFSFLYETTGVLGRISEVPYCQQLLSIFNFLELQAQSLVS
+TEGPAMEVIHATLRGLKHLFMVDDGFRNSVFQYVSQLFNGSAEALLGDECFVLDNKSISS
+ANYSPAEGSPFILPWAQILSNFPADGSEFHEFTALHCTTLWLHTWTEVCGHIAQIFQLDT
+NVFTPLRVGLSQLLDELESDEKIPTSCQEVIPNRHPARLILHLFKNVTQTDGFRDWNDFL
+NLRDLWVALGDGFVRVKLLNLDQIEKSLFTMETTLSQLKSLDTNTSREFLYSLLNIFIEL
+SNTSASIDRSVHLMNHFLLRNLTNYEVKFASVITDLRDTVLFLKNMSHNQDLLSCADIFQ
+NVTEFILEDGLLYENSSQRAFHILAMLNSTFSSVDIVSRLKGCATWVSAINHLCAVYNSS
+FSQGHLHGVLQSLREVKDKLNSTLKLVTGMLTIMMEPCSLNRSHINCVNVYLKNVTDFLN
+ILLTAVFGKEKVPHFEILLTLLNDSTNQVRMIINNVSRDFDFVPQSNWTHFTELILKPIE
+MSDEMPLQFQNIWLQLVALGKEIQNLVKDISPNNLENNTSYKAEKNLNIIATSPKEKDIH
+SLGNSFYQLASYLTFNLSHDLQSSPKIISQEVMKAVGLSIQLMKDLFNSLKPSAYHNIPQ
+EPGDLQILKKMTSVLHTVKKTDIYLLVDQLEHISESMMDFFKNISRLGASNLGIGFFVDL
+MEKFVNSSHSWSINHLLRLSRLFPKKGADSLVDVYYMLPHAMRLLRGMGNKNITEALRDV
+YNFTLLHGIHLSDVTKEDFTAAIKTLMDTIESVSAQPGILSETLACLPVFWCWNHTTSGF
+QQNPRSDACNAHKLTSSFYSKVASILDHLHLSPHGDDSQCLNESSQMEITRKVACVIHEL
+MDWSSILLELCEVFHIKTPLVKSVQEFWHKVLPFVQLSGNQSSGGISELCPGHLIKQVAL
+PIIEKFKNFNFTKVTSDENLLEKLVSLNKVLNVDEGADTSVRYNISFRLKRITDFLSRKE
+NLVNSTNSLVSPFMTLLNANLTGSSLEALSCFIKKSKAAYNLEKQWLEFEQIMKDITHNF
+SIRPLYSKIDNMIQRTSSVALQNITLPLAHFLESLDLSSLKTLEIIEDFLLVIKNWFHKF
+ANEDYSKMIQTLFLLLANKSSTDDIALVTKDIATFLGYLKNVSREGNFDVALLTRLLSQE
+QLTNFSVVQLLFESFLINSVNDLAERSQGAALNLSDADLQIMNLINLVLNHTQSENGERV
+VFPPRSRMGFMEQLLKTFFFLLKENSGKKIFLLLKDIHKDLFAEMSFVPKDKILENLKLN
+HFLTSMKEDRLVSAFSTLKETVYHLIKNSFTLDDGELYFDNHQGLQLLGDLFHTLLRETS
+MKNETENDLEFLMVLNQLLSHMNSSEDLFKLNQDLRSALHLVRETSIVIAHFMDTLLSSP
+VKDFRVLYPSLQEVILANLTDLLSFVNNSFPLRNRATLEITRHLLGVISGAGGGNCTPDP
+LLEMSDTLTMLADDGVEMKGLATSVTSTVKFLKLAKKIARKVATMFQTHLISIANDTMKF
+FDTLYFLLLQSVRNVVNEMITLKKADHLIFENIDDLLMLFLDLAFGMIGVKPNISQDSDI
+LNMSSGIFTYMNQSKHLYDIREEIADFLTSVKINLGDVERLLAAFKNGAQIFSVDSVNLW
+EEILDCLVLINNITSHIDFLYSNPTSTHNFPRDTKWEITHEVILFLREILSQNRTELGSY
+LRTVINLISVTLWNDTGKDHRGVLNLLLTLAPHPDDLLKLIRTAAEASCEASLFNTSWIQ
+NVTEQQLQEAIQTLLRKIALVKKELLLNSSQWTHSMSTLLQPFFEIFIHATTGTHEKTQK
+ELVDIPNILKPLSHFEKYRKALIALVEYWQRVSLIDQRAEENCILMASLCVSSAENHSLT
+KDVLCAALHCEQSVARLLLLAALRAVVLGHDHYQELEKIWTSPHQLSCEGLHRNLSRALE
+SFRSSLEDASEQDCESLIFCHFRLAQSLEKTLLLSGNPIMTFLSNFTVAEDVKVKDLMQN
+ISKLTEELRSSLHISDGTINSILEANISHSKVLPSVLTVALSGSCNRDILQLLLQFPEDG
+KSESAARELCGLPRAQVFSMIVVLARNLDLRNFVYKTLLPAEASSLLRALLDVVSSLSHL
+LPRAGRVLERLPAFLHEFKITALLDAPDFQQVGSNQARSSAIGSFQSLMKMVCKDQASFF
+SSSDTFLNLPRVHELLGDDKEKFNIPEDSTPFCLKLYQEILQSPNGALVWSFLKPVLHGK
+ILYTPNTPEINKVIQKVNHTFDFVGKLKTLSETLLSASSIFQSSGNGQMLHQLQEALKNK
+FIRSFIKSQLHIDVGKLTEKLQIFGGKLDAMLNHTGAGRLRSLGRVLVDLASCVRLNRFR
+GLASAAALEATAQALLRKNTLLASIIFNSSLVGKNLSSESLQLPPQVTYSIRTSILYSMR
+TDLVKNPFWKFHPQSLPADGFKYNYIFVPLQDMIERAIISVQTGQEALDPATQAQAIPYP
+CHTSDLFLNNVGFFFPLIMMLTWMVSVASMVRKLVYEREIQLEEYMRMMGVHPAVHFLAW
+FLENVAVLTVSSAALALVLKVSGIFTYSSAWIVFLFLLDFAVSVVTLSYLLSALFSRASM
+AALCSSLLYTASFLPYIVLLVLHDQMGATLQTLLCLLSTTAFGQGVFFITFLEGQEAGIQ
+WDNMYQSPEVAGMTFGWVCWMILFDSGLYFLCGWYLNSLIPGTFGLRKPWYFPFTASYWK
+DVCGLVMKRQSPASSKLFSSSENLDGQGSSLQNGKGELEGGSPGVVLLSVTKEHDRHKAG
+VWDLTLTFHRDQITALLGTNGAGKTTVISLLTGLYPPTSGTITVNGRSLQKDPSVVRAEL
+GVCLQWDILFDRLTVLEHLMLFASLKALRGTRQELREQVHRTLQDVGLTPHQHQQARVLS
+GGTRRKLSIGIAFLGASGTVVLDEPTSGVDPCSRRGIWDILLKYRQGRTIIFTTHHLDEA
+EALSDHVAVLQRGRLRCSGPPASLTQAHAQGFRLTLSRQPSVPEADDLKDTACATSLIQT
+YLPQASLQSSSQGELSYVIPEGADRACFRGLFQALDQNLHQLCLTGYGLSDTTLEEVFLH
+LLQDSKKQPDTALSLESAPPEPGPGHHLPHLPLLADRTPAGTPLVRGGPLLLAQTTALLR
+KRLLHARRAWKGTISDLLLPVLFVALAMALFMVRPLAINYPPLKLALGHYDKAETYFFSS
+ENDGLELTRVLLRKFGDQDPPCADLYPDLWRRIGWQDLLKCPNASTRAPYLTNRLGHRLL
+NLSGLPLEEYLLAPMEKPRLGGWSFGVQIPNQVQDTNSNMSKPQNLAKVWYNQKGFHSLP
+SYLNHLNNLILWRRLPPTADWRQYGITLYSHPYGGALLNEDKILESIRQCGVALCIVLGF
+SILSASIGSSVVQDRVTGAKRLQHVSGLGYRTYWLTHFLFDMLLYLVSVCLCVSVIMAFQ
+LTAFTFRENLAATALLLVLFGYATLPWMYLVSRIFSSSDVAFISYISLNFIFGLCTMLMT
+VMPRLLAIVSKAQNLQNIYDVLKWVFTIFPQFCLGQGLIELCYNQIRYDLTHNFGVDSYV
+SPFEMNFLGWIFVQLAVQGSVLLLLRVLLHRDLWLQPRGHSAIQGTVTSSKDIDVEKEQV
+RVLKGRTSGDTLVLYNLSKSYRGFFKSTTAVQDISLGVRRGECFGLLGVNGAGKTTTFKM
+LTGDLPPSSGHAVVRTPTGEDVNLSLAGAAGIRIGYCPQQDALDELLTGWEHLHYYCCLH
+GIPKQSIPKVAGDLVRRLHLEAHVDKPVATYSGGTKRKLSTALALLGKPDLLLLDEPSSG
+MDPCSKRHLWEALRKEAEEGCAVVLSSHSMEECEAVCTRLAIMVHGSFRCLGSPQHIKNR
+FGDGYTVKIWLHKEVDQHSAVSDCLKLYFPGIQFKGQRLNLLEYHMPKRWVCLANLFRVL
+EDNKSFLNIRHYSINQTTLEQIFVNFATEQWQTPSPSELSAKCDHSRHLPV
+>tr|F1N120|F1N120_BOVIN Latexin OS=Bos taurus OX=9913 GN=LXN PE=4 SV=2
+MEIPPTHYPAARAASVVESCINYQQGTPHKLFLVQTVEQASLEDIPGRGHKYRLKFSVEE
+IIQKEVTVNCTAEVLYPPTGQDTAPEVNFTFEGEIGKNPDEEDNTFYQRLKSMKEPLDAQ
+NIPDSFGNVPPEMKPVRHLAWVASGYIIWQNSTENTWYKMAKIQTVKQVQRNDDFIELDY
+TILLHDIASQEIIPWQMQVLWHPQYGTKVKHNSRLPKEAQLE
+>tr|A0A3Q1MSH0|A0A3Q1MSH0_BOVIN Endo/exonuclease/phosphatase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MTRVNVDILGISELKWTGMGEFNSDDHYIYYCGQESLRRNGVAIMVNKRVQNAVLGCNLK
+NDRMISVRFQGKPFNITVIQVYAPTSNTEEAEVERFYEDLQDLLKLTPKKDVLFIIGDWN
+AKVGSQETPRVTGKFGLGIRNEAGQRLIEFCQENALVITNTIFQQHKRRLYTWTSPDGQH
+RNQIDYILCSQRWRSSIESAKTRPGADCGSDHELLIAKFRLKLKKVGKTTRPFRYDLNQI
+PYDYTVEVRNRFKGLDLIDRVPDELWNEVCDNVQETGIKIIPMEKKCKKAKWLSGEALQI
+AVKRREAKSKGEKERYKHLNAEFQRIARRDKKAFFSNQCKELEENNRMGKTRDLFKKIRD
+TKGTFHAKMGSIKDRNGMDLTEAEDIKKRWQECTEELCKKDLHDPDNHNGVITDLEPDIL
+ECEVKWALESITTNKASGDD
+>tr|F1MV07|F1MV07_BOVIN SEC24 homolog D, COPII coat complex component OS=Bos taurus OX=9913 GN=SEC24D PE=4 SV=2
+MSQQVYVATPPYSQSQPGIGLSPPHYGHYGDPSHAGSPPGMIKPAGPLGAAPPGGMLPQG
+HPPPGPHQFGQNGAHAQGPPPQRFPGPPPVNSAAPAYPPYSPSTQSSYPSPGSTSSVAQL
+GSQLNAMHINSYGSGVAPPSQGPPGPVSAASFQGPPQPPQPSILQPGSQILPPPPTALNG
+PGASPLPPSTHRADGLPGPAPPNAQYQPTPLPGQTLGPGYPAQQANYGPPVAGAQLSYPG
+GFPGGPAQMAGPPQPQKKLDPDSVPSPIQVIESDRATRGGQVYATNARGQIPPLVTTDCV
+IQDQGNASPRYIRCTTYCFPCTSDMAKQAQIPLAAIIKPFATIPSNETPLYLVNHGEAGP
+VRCNRCKAYMCPFMQFIEGGRRYQCGFCSCVNDVPPFYFQHLDHIGRRLDHYEKPELSLG
+SYEYVATLDYCRKNKPPNPPAFIFMIDVSYSNIKNGLVKLICEELKTVLEKLPKEDQEET
+SAIRVGFITYNKVLHFFNVKSNLAQPQMMVVTDVSEVFVPLLDGFLVSYRESQSVIHNLL
+DQIPEMFADSNENETVFAPVIQAGMEALKAADCPGKLFIFHSSLPTAEAPGKLKNRDDKK
+MINTDKEKILFQPQTNIYDSLAKDCVAHGCCVTLFLFPSQYVDVASLGLVPQLTGGTLYK
+YNSFQMHLDSQQFLNDLRNDIEKKIGFDAIMRVRTSTGFRATDFFGGIYMNNTTDVEMAA
+IDCDKAVTVEFKHDDKLSEDTGALIQCAVLYTTVSGQRRLRIHNLGLNCSSQLADLYKSC
+ETDALINFFAKSAFKAVLHQPLKVIREILVNQTAHMLACYRKNCASPSAASQLILPDSMK
+VLPVYMNCLLKNCVLLGRPEISTDERAYQRQLVMTMGVADSQLFFYPQLLPIHTLDVKST
+TLPAAIRCSETRLSEEGIFLLANGLNMFLWLGVSSPPELIQGIFNVPSLAHVNTDMTLLP
+ELGNPYSQTLRMIMGIIQQKQPYSMKLTIVKQREQPEMVFRQFLVEDKGLYGGSSYVDFL
+CCVHKEICQLLN
+>tr|G3N0G3|G3N0G3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=2
+MASRLLHGAGVLASQALRARGPNGVSVVRSMASGGGAPTDEEQATGLEREVMLAARKGQD
+PYNMLAPKATSGTKEDPNVPRKILI
+>tr|E1BLG0|E1BLG0_BOVIN Adenylate kinase 8 OS=Bos taurus OX=9913 GN=AK8 PE=3 SV=2
+MTRGPSDSGPQGAAALRGSMDATTAPHRIPPQMPQYGEANHIFELMQNMLEQLLIHQPKD
+PIPFMIDHLQRDNDYVPKIVILGPPASGKTTIAMWLAKHLSTNLLSVESLIAKEYSPLAA
+DARMHYQKFKMVPDMLLIRLMQERLKEEDCVRRGWILDGIPETREQALTIQTLGISPRHV
+IVLSAPDTVLIERNLGKRIDPQTGEIYHTTFDWPPESEIQNRLMVPAGIFEGETARKLLE
+YHRNIVRILPSYPKILKVISADQPCVDVFYQALTYVQTSHRSNAPFTPRVLLCGPVGSGK
+SLQAALLAQKYGLINVCCGQLLKEAVADKSKYSDLIQPFFEKEIAVPDNIIMKVLKQRLD
+QQDCVERGWVLHGFPRDLDQAHLMDNLGYKPNRVFFLNVPFDSVIERLSLRRTDPITGER
+YHLMYKPPPTIEVQARLLQNPKDSEEQIKLKMDLFYRNSAELEQFYGDAITLNGDQDPYT
+VFEYIESGIINRLPKKVP
+>tr|A0A3Q1MBW6|A0A3Q1MBW6_BOVIN Katanin regulatory subunit B1 like 1 OS=Bos taurus OX=9913 GN=KATNBL1 PE=4 SV=1
+MASETHNVKKRNFCNHTEDHSIDLPRKRISNFTNKNMKEEEADLLPLVKGKLCFIRKHLN
+FSSFDLVPTSLRFFI
+>tr|E1B7M1|E1B7M1_BOVIN Rho guanine nucleotide exchange factor 26 OS=Bos taurus OX=9913 GN=ARHGEF26 PE=4 SV=1
+MDTESEVDFSSNSLTPLWRRRSTPQLQLLGRSKPRPQSYQSPSGLLITDFPVEDRETLPA
+AQTLAEVPGASDGRTVQRSPLLLCAHRRAVTNGRTVSQEYRAVSPRLRRPKSPQVPRVAS
+GGSPKSPANGTVTKPAPQQLHPPRTPRAPSPCSPEGDKTGFPTSPLPSPAANGLSPNISS
+QGAGSLSGSMAKDPERGPSRLSPSLPKRSLEQKLPLQRLPSPENELLENPSVVLSTNSPA
+ALKVGKQQIIPKSLASEIKISKSNSLNVEPHKRLLKVRSMVEGLGAPLGPAEDEGEAEHD
+LDSPGSLRRGLRSTSYRRAVVSGVDFDSPGTSKKKNRMSQPVLKAVMEDKEKFSSLGRIK
+KKMLKGQGTFDGEENAVLYQNYKEKALDIDSDEESEPKEQKSDEKIVIHHKPLRSTWSQL
+SAVKRNGLSQTVSQEERKRQEAIFEVISSEHSYLLSLEILIRMFKNSKALSDTMTKTESH
+HLFSNITDVCEASKKFFTELEARHQNNIFIEDISDIVEKHTTSTFDPYVKYCTNEVYQQR
+TLQKLLATNPSFKEVLSRIESHEDCRNLPMISFLILPMQRVTRLPLLMDTICQKTPKDSP
+KYEVCKRALKEVSKLVRLCNEGARKMERTEMMYTINSQLEFKIKPFPLVSSSRWLVKRGE
+LTAYVEDTVLFSKRTSKQQVYFFLFNDVLIITKKKSEESYNVNDYSLRDQLLVESCDSEE
+LNSSPGKNSSTMLYSRQNSASHLFTLTVLSNHANEKVEMLLGAETQSERARWITALGHSS
+GKHPPDRTSLTQVEIIRSFTAKQPDELSLQVADVVLIYQHVSDGWYEGERLRDGERGWFP
+MECAKEITCQATIDKNVERMGRLLGLETNV
+>tr|A0A3Q1LZ84|A0A3Q1LZ84_BOVIN Catenin delta 1 OS=Bos taurus OX=9913 GN=CTNND1 PE=1 SV=1
+MDDSEVESPASILASVKEQEAQFEKLTRALEEERRHVSAQLERVRVSPQDASPLLANGTL
+TRRHQNGRFVGDADLERQKFSDLSLNGPQDHSHLLYSTVPRMQEPGQIVETYTEEDPEGA
+MSVVSVETSDDGTTRRTETTVKKVVKTVTTRTVQPVPMGPDGLPVDASAVSNSYIQTLGR
+DFRKNGNGGPGPYVGQAGTATLPRNFHYPPDGYSRHYEDGYPGSGDNYGSLSRVTRIEER
+YRPSMEGYRAPSRQDVYGPQPQVRVGGSSVDLHRFHPEPYGLEDDQRSMGYDDVDYGMMS
+DYGTGRRTGTPSDPRRRLRSYEDMIGEEVPSDQYYWAPLAQHERGSLASLDSLRKGGPPP
+PNWRQPELPEVIAMLGFRLDAVKSNAAAYLQHLCYRNDKENKIAIKNCDGVPALVRLLRK
+ARDMDLTEVITGTLWNLSSHDSIKMEIVDHALHALTDEVIIPHSGWEREPSEDCKPRHVE
+WESVLTNTAGCLRNVSSERSEARRKLRECDGLVDALIFIVQAEIGQKDSDSKLVENCVCL
+LRNLSYQVHREIPQAERYQEAPPSVANSTGPHAASCFGAKKGKGKKPTEDPTNDTVDFPK
+RTSPARGYELLFQPEVVRIYISLLKESKNPAILEASAGAIQNLCAGRWTYGRYIRSALRQ
+EKALSAIADLLTNEHERVVKAASGALRNLAVDARNKELIGKHAIPNLVKNLPGGQQSSSQ
+NFSEDTVVSILNTINEVIAENLEAAKKLRETQGIEKLVLINKSGNRSEKEVRAAALVLQT
+IWGYKELRKPLEKEGWKKSDFQVSLNNASRSQSSHSYDDSTLPLIDRSQRSDKKPDREEI
+QMSSMGSNTKSLDNNYSTLNERGDHNRTLDRPGDLGEMEPLKGAPLMQKI
+>tr|E1BJU5|E1BJU5_BOVIN Neutral ceramidase OS=Bos taurus OX=9913 GN=ASAH2 PE=3 SV=3
+MAKRTFSSFEIFLIFLLVITTGITVALLSLLFITSGTIENHTGFPSTQGPPATQSPPATQ
+GSAAPQNSTPSRGPTTTQASPTPSTPEPTLFQNFSGYHIGVGRADCTGQVSDINLMGYAK
+TGQYARGILTRLYSRAFIMAEPDGSNRIVFVSIDIGMVSQRLRLEVLSRLQNKYGSLYRR
+DNVILSGTHTHSGPAGYFQYTTFVIASEGFSNRTFEYMVTGIVESVEIAHKNMKPGKIFI
+NKGTVDGAQINRSPTSYLWNPQSERARYSSNTDKEMVLLKMVDLNGEELGLISWFAIHPV
+SMKNTNHLVNSDNVGYASYLFEQEKNKGYLPGEGPYVAAFASSNLGDVSPNVLGPQCTNT
+GESCDNANSSCPIGGPSMCVAMGPGQDMFESTQIIGRIIYDKAKELYESASQEVTGPLAS
+AHQWVNMTDVTVWLNSTHTVKTCKPALGYSFAAGTIDGFGTVSFTQGMTEGDSFWDTLRD
+QVLGKPSEEIKECHKPKPVLLQTGELLNPHPWHPDIVDVQIVTLGFLAITAIPGEFTTMS
+GRRLRQAVREEFEAYGMLNMTVVISGLCNVYTHYITTYEEYQAQRYEAASTIYGPHTLSA
+YIQLFRVLAKAIATDTVANLSSGPKPPFFEELMSPLIPNIVDRAPEGTTFGDVLLPANTI
+YRVGEVVEVTFVGANPKNSAENRTHQTFLTVEKYEATSATWQIMHNDASWETRFYWHKGS
+LGLSNATIQWHIPDTAQPGTYRIKYFGHSRKQDLLKPAVLLSFESTPSVFEVTTTW
+>tr|F1MM83|F1MM83_BOVIN 6-phosphogluconolactonase OS=Bos taurus OX=9913 GN=PGLS PE=3 SV=1
+MAAPAPRLISVFSSPQELGASLAQLVVQQAACCLADAGARFTLGLSGGSLVSMLARELPA
+AAAPAGPASLARWTLGFCDERLVPFEHAESTYGLYRTHLLSKLPIFDSQVITINPALPVE
+EAAEDYAKKLRQAFQGDSIPVFDLLILGVGPDGHTCSLFPDHPLLQEREKIVAPISDSPK
+PPPQRVTLTLPVLNAARTVIFVATGEGKAAILKRILEDKEENPLPAALVQPSAGKLCWFL
+DEAAARLLTVPFEKHSTL
+>tr|A0A3Q1LZI0|A0A3Q1LZI0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC100124497 PE=4 SV=1
+MYFSWEEWCLLDEAQIQLYLDVMLENFALVCMLGSWHGVQDEETPSEQSKSARVSQFCIP
+RTCLSPEKTQPCKMCIPVLRDILHLAEEQGTNGEQKVYTCGACGKEFYFTANIQQHQKQH
+VRENRFLCNTERPSFLKTCTVHPAGNFSTYMEIGNDFMASMGVQSQATNTGKKLNNSTEC
+EVVFHSGESHHSLGEGKIVSSHTDILVAHERVLISEAVCEVNKCEKAGTQRSNLIQHVQV
+HTGEKSYKCSQCGKFFAYKSSCLAHQRVHTGERPYECPECGKSLANRSTLSYHLRLHTGE
+KPFKCSECGKSFPARSSLCHHQRVHTGEKPCECSECGKLFSRNEHLRDHKNIHSGEKPFG
+CNKCEKSFTSSSSLRHHQRVHAEERSYECNKCWKSFTSRSGLRYHQRVHTGERPYECSEC
+AKSFTTQSTLSNHQRIHSGERPFKCSACGKFFSQKVHLSTHMNVHTGEKPYECNKCGKSF
+TSRSKLCTHWKVHIGERPFKCSECGKCFTSTSSLLCHQRVHNGENPYECSECGISFVGSS
+SLRYHQRVHNGEKPYECSECGKNFTARWTLRDHQRVHTGERPYKCSECGKYFSNSSSLLR
+HHRVHTGERPYKCTECGRSFTTQTHLYDHHRVHTGKGL
+>tr|A0A3Q1N1W0|A0A3Q1N1W0_BOVIN CUB and Sushi multiple domains 2 OS=Bos taurus OX=9913 GN=CSMD2 PE=4 SV=1
+MPRSRGRELRRCGCPAGRARAEAEISALVPGAGSRWGRQPPPPLTPPPLLLLLGWGLLSA
+SAAAGQNCTFQLQGPNGTVESPGFPYGYPNYANCTWTITAEDQHRIQLVFQSFALEEDFD
+VLSVFDGPPQPENLRTRLTGFQLPATIVSAATTLSLRLISDYAVSAQGFHASYEVLPSHT
+CGNPGRLPNGVQQGSTFNLGDKVRYSCNPGFFLEGHAVLTCHAGSENSATWDFPLPSCRA
+DDACGGTLRGQSGIISSPHFPSEYHNNADCTWTILAELGDTIALVFIDFQLEDGYDFLEV
+TGTEGSSLWFTGASLPAPVISSKNWLRLHFTSDGNHRQRGFSAQYQVKKQIELKSRGVKL
+MPSKDNSQKTSVFSCFFNFTSPSGIVLSPNYPEDYGNHLHCVWLILARPESRIHLAFNDI
+DVEPQFDFLVIKDGATAEAPVLGTFSGNQLPSSITSSGHVARLEFQTDHSTGKRGFNITF
+TTFRHNECPDPGVPVNGKRFGDSLQLGSSISFLCDEGFLGTQGSETITCVLKEGSVVWNS
+AVLRCEAPCGGHLTSPSGTILSPGWPGFYKDALSCAWVIEAQPGYPIKITFDRFKTEVNY
+DTLEVRDGRTYSAPLIGVYHGTQVPQFLISTSNHLYLLFSTDKSHSDIGFQLRYETITLQ
+SDHCLDPGIPVNGQRHGNDFYVGALVTFSCDSGYTLSDGEPLECEPNFQWSRALPSCEAL
+CGGFIQGSSGTILSPGFPDFYPNNLNCTWIIETSHGKGVFFTFHTFHLESGHDYLLITEN
+GSFTQPLRQLTGSRLPAPISAGLYGNFTAQVRFISDFSMSYEGFNITFSEYDLEPCEEPE
+VPAYSIRKGLQFGVGDTLTFSCFPGYRLEGTARITCLGGRRRLWSSPLPRCVAECGNSVT
+GTQGTLLSPNFPVNYNNNHECIYSIQTQPGKGIQLKAKAFELSEGDVLKVYDGNNNSARL
+LGVFSRTELLGVTLNSTSSSLWLDFITDAENTSKGFELHFSSFELIKCEDPGTPQFGYKV
+HDGGHFAGSSVSFSCDPGYSLRGSEELLCLSGERRAWDRPLPTCVAECGGTVRGEVSGQV
+LSPGYPAPYEHNLNCVWTIEADAGCTIGLHFLVFDTEEVHDVLRIWDGPVESGVLLKELS
+GPALPKDLHSTFNSVVLQFSTDFFTSKQGFAIQFSVSTATSCNDPGVPQNGSRSGDSWEA
+GDSTVFQCDPGYALQGSAEISCVKIENRFFWQPSPPTCIAPCGGDLTGPSGVILSPNYPE
+PYPPGKECDWKVTVSPDYVIALVFNIFNLEPGYDFLHIYDGRDSLSPLIGSFYGSQLPGR
+IESSSNSLFLAFRSDASVSNTGFVIDYTENPRESCFDPGSIKNGTRVGSDLKLGSSITYY
+CHGGYEVEGSSTLSCILGPDGKPVWNHPRPVCTAPCGGQYVGSDGVVLSPNYPQNYTSGQ
+ICLYFVTVPKDYVVFGQFAFFHTALNDVVEVHDGHNQHSRLLSSLSGSHTGESLPLATSN
+QVLVKFSAKGQVPARGFHFVYQAVPRTSATQCSSVPEPRYGRRLGSDFSVGAIVRFECNS
+GYALQGSPEIECLPVPGALAQWNVSAPTCVVPCGGNLTERRGTILSPGFPEPYLNSLNCV
+WKIMVPEGAGIQIQVVSFVTEQNWDSLEVFDGADNTVTMLGSFSGTTVPALLNSTSNQLY
+LHFYSDISVSAAGFHLEYKTVGLSSCPEPAVPSNGVKTGERYLVNDVVSFQCEPGYALQG
+HAHISCMPGTVRRWNYPPPLCIAQCGGTVEDMEGVILSPGFPGNYPSNMDCSWKIALPVG
+FGAHIQFLNFSTEPNHDFIEIRNGPYETSRMMGRFSGSELPSALLSTSHETTVYFHSDHS
+QNRPGFKLEYQAYELQECPDPEPFANGIVRGAGYNVGQSVTFECLPGYQLMGHPVLTCQH
+GTNRNWDHPLPRCEVPCGSNITSFNGTVYSPGFPSPYSSSQDCIWLITVPIGHGVRLNLS
+LLQTEPSGDFITVWDGPQKTAPQLGAFSRSFAKKTMYSSSNQVLLQFHHESAMGGIFAIA
+FSAYPLTKCPPPTILPNAEVVTENEEFNIGDIVRYRCLPGFTLVGNEILTCKLGTYLQFE
+GPPPICEVHCPTNELLTDSTGVILSQSYPGSYPQFQICSWLVRVEPEYNISITVEYFLSE
+KQYDEFEIFDGPSGQSPLLKALSGNYSAPLVVTSSSNSVYLRWSSDHAYNRKGFKIRYSA
+PYCSLPRAPLHGFLLGQTSTQPGGSIHFGCNAGYRLVGHSMAICTRHPQGYHLWSEAIPL
+CQALSCGLPEAPKNGMVFGKEYTVGTKAVYSCSEGYHLQAGAEATTECLETGLWSNRNVP
+PQCVPVTCPDISSVSVEHGRWRLIFETQYQFQAQLMLICDPGYYYTGQRVIHCQANGKWS
+LDNSMPTCQIISCGELPVPPNGHRIGTLSVYGATAIFSCNSGYTLVGSRVRECMANGLWS
+GSEVRCLAGHCGTPEPIVNGHINGENFSYRGSVVYQCNAGFRLIGMSVRICQQDHHWSGK
+TPFCVPITCGHPGNPINGLTQGSQFNLNDVVKFVCNPGYVAEGAARSQCLASGQWSDVLP
+TCRIINCTDPGHQENSVRQIHASGPHRFSYGTTVSYQCTHGFYLLGTPVLSCQGDGTWDR
+PRPQCLLVSCGHPGSPPHSQMSGDSYTVGAVVRYSCTGKRTLVGNATRMCGLGGHWTGSL
+PHCSGTSIGVCGDPGIPAHGIRLGDSFAPGSLMRFSCEAGHVLRGSSERTCQANGLWSGV
+QPECGVISCGNPGTPSNARVLFSDGLVFSSSIVYECREGYYATGLLSRHCSVNGTWTGSD
+PECTVINCGDPGVPANGLRLGSDFRYNRTVTYQCVPGYTMESHRVSVLSCTKDRTWNGTK
+PVCKAIMCKPPQLIPNGKVVGSDFMWGSSVTYACLEGYQLSLPAVLTCEGNGSWTGELPQ
+CFPVFCGDPGVPARGRREDRGFSYRSSVSFSCQPPLVLVGSPRRFCQSDGTWSGTQPSCI
+DPTLTTCVDPGVPQFGIQNNSQGYQVGSTVLFRCQKGYLLQGSTSRTCLPNLTWSGTPPD
+CVPHHCKQPETPSHANVGALDLPSMGYTLIYSCQEGFSLKGGSEHRTCKADGSWTGKPPI
+CLAEVRPSGRPINTAREPPLTQASGIYKKEDFHLLLQVYQITGPVEIFVNKFKDDHWALD
+GHVSSESSGGTFVYQGSVKGQGFGQFGFQRLDLRLLESDPESIGRHFASNSSSVAAAILV
+PFIALIIAGFVLYLYKHRRRPKVPFNGYAGHENTNVRATFENPMYDRNIQPTDIMATEAE
+FTVSTVCTAV
+>tr|A0A3Q1N4F8|A0A3Q1N4F8_BOVIN Cytochrome c oxidase subunit 6B1 OS=Bos taurus OX=9913 GN=COX6B1 PE=4 SV=1
+MLKGRGYWLIHTQPILLCQASTGPGWADSPVSRPSGPLQNPLSFPPGVSTMAEDIQAKIK
+NYQTAPFDSRFPNQNQTRNCWQNYLDFHRCEKAMTAKGGDVSVCEWYRRVYKSLCPISWV
+STWDDRRAEGTFPGKI
+>tr|F1MAX6|F1MAX6_BOVIN Multifunctional fusion protein OS=Bos taurus OX=9913 GN=KATNAL1 PE=3 SV=3
+MNLAEICDNAKKGREYALLGNYDSSMVYYQGVIQQIQRHCQSVRDPAVKGRWQQVRQELL
+EEYEQVKSIVSTLESFKIDRPPDFPVSCQDEPFRDPAVWPPPVPAEHKAPPQIRRPNREV
+RPLRKEMPGGGARGPVGRAHPISKSEKPSTSRDKDCRARGRDDKGRKNMQDGTSDGEIPK
+FDGAAYDKDLVEALERDIVSRNPSVHWDDIADLEEAKKLLREAVVLPMWMPDFFKGIRRP
+WKGVLMVGPPGTGKTMLAKAVATECGTTFFNVSSSTLTSKYRGESEKLVRLLFEMARFYA
+PTTIFIDEIDSICSRRGTSDEHEASRRVKSELLIQMDGVGGALENDDPSKMVMVLAATNF
+PWDIDEALRRRLEKRIYIPLPTAKGRTELLKINLREVELDPDIQLEDIAEKIEGYSGADI
+TNVCRDASLMAMRRRINGLSPEEIRALSKEELQMPVTRGDFELALKKIAKSVSAADLEKY
+EKWMVEFGSA
+>tr|A0A3Q1M1B1|A0A3Q1M1B1_BOVIN Phosphatidylinositol transfer protein beta isoform OS=Bos taurus OX=9913 GN=PITPNB PE=4 SV=1
+VYQVGQLYSVAEASKNETGGGEGIEVLKNEPYEKDGEKGQYTHKIYHLKSKVPAFVRMIA
+PEGSLVFHEKAWNAYPYCRTSKSDDFFIKIETWHKPDLGTLENVHGLDPNTWKTVEIVHI
+DIADRSQVEPADYKADEDPALFQSVKTKRGPLGPNWKKELANNPDCPQMCAYKLVTIKFK
+WWGLQSKVENFIQKQEKRIFTNFHRQLFCWIDKWIDLTMEDIRRMEDETQKELETMRKKG
+SVRGTSAADV
+>tr|F1MSF3|F1MSF3_BOVIN GPI transamidase component PIG-S OS=Bos taurus OX=9913 GN=PIGS PE=4 SV=2
+MAATGAAATDLEVVRGKRAALFFATVVIVLGLPLWWKTTETYRAPLPYSQISGLNSLKLR
+LMVPVTVVFTQESVPLDDQEKLPFTVVHEREIPLKYKLKIKCRFQKAYRRALDHEEAALS
+LGNIQEAEAMLAEPSEQAEGSLTVYVISERCSLLPQDMMSYIGPKRMAVVRGITHREAFN
+IIGRRIIQVVQAMSLTEDVLAAALADHLPEDKWSSDKRRPLKSSLGYEITFSLLNPDPKS
+HDVHWDIEGAVQRYVQPFLSALSAAGNFSVDSQILYYAVLGVNPRFDSASSSYYLAAHSL
+PHVINPVESRLGSSAASLYPVLNFLLYVPELAHSPLYIQDKDGAPVATNAFHSPRWGGIM
+VYNVDPKAYNGSQLPVRVEVDMMRVMEVFLAQLRLLFGIAQPQLPPKCLFFGPKSEGIMT
+WELDRLLWARSVENLATATTTLTSLAQLLGKISNIVIKDDVASEVYRAVAAVQKAAEELS
+SGHLASAFAASQEAVTSSERAFFDPSLLHLLYFPDDQKFAIYIPLFLPMAVPILLSLFKI
+FLETRKSWKKPEKTD
+>tr|A0A3Q1MUY5|A0A3Q1MUY5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=IFI16 PE=4 SV=1
+MGNDYKKIVLLKGFQHINDKCFNMIKSLLAHDLKLTRKMQDEYDRVKIADLMELKFRGPD
+CVDKLIELVKEIDEIKDLAKVLRKEKLKVIKKNKAKGATPAQNQNTSSLGQSTSTANEAL
+GSESVKDTPVKKKKTTKTNESKRRKLTEEQSQLPGSSVMSIESTKGLLQTPQMPPSKPSS
+SSSTKKKSDTATKPKDVKRKIIPYNQSQLPGTSATSLYPTVSSDQRTPIVLPKEFSNFSI
+KKEENAHLSVGKIEDMTTRTADPRMKLSLEQSQVLGIPAYSTIPTENCLQTPQKLPPTTS
+SSSSTKKPRLKSVPKEASREEGFQRGPKEVMVLKATEPFTYDVIGGKRMFHATVATESQF
+FQVKIFNAALKEKFIPNKAIAISDYIGRNGFLEVFNVISVSDVKPDRKMEISKSLIQKAK
+ATPKISHLYLQDLGTFVNGVFVVHKKSVYNEFIYYEIKDDTGVMEVVVYGQRLTSVNCDE
+GDRLTLVCFELGESGPKRQLRSVIHSFIKVTKVKQRTTTQS
+>tr|A0A3Q1M713|A0A3Q1M713_BOVIN Membrane bound transcription factor peptidase, site 1 OS=Bos taurus OX=9913 GN=MBTPS1 PE=4 SV=1
+MKLVNIWLLLLVVLLCGKKHLGDRLEKKPFEKAPCPGCSHLALKVEFSSTVVEYEYIVAF
+NGYFTAKARNSFISSALKSSAIDNWRIIPRNNPSSDYPSDFEKLLSIDLDKVVLPNFRSN
+RPQVRPLSPGESGAWDIPGGIMPGRYNQEVGQTIPVFAFLGAMVVLAFFVVQINKAKSRP
+KRRKPRVKRPQLVQQVHPPKAPSV
+>tr|A0A3Q1NG49|A0A3Q1NG49_BOVIN Putative RNA polymerase II subunit B1 CTD phosphatase RPAP2 OS=Bos taurus OX=9913 GN=RPAP2 PE=4 SV=1
+MADWVGPCSAGRKARRSRASRDVAGTKQTSALIQEDASQRKAELEAAVRKKIEFERKALH
+IVEQLLEENISEEFLRECGKFITPAHYSDVVDERSIIKLCGYPLCQNKLGIVPKQKYKIS
+TKTNKVYDITERKCFCSNFCYKASKFFEAQIPKSPVWIREEERHPDFQLLQDGQSGPSGE
+EIQLCSKAIKTSDIDSPGHFEKHYESSSSSSHSDSSSDNEQDFVSSILPGNRPNATRPQL
+HEKSIMKKKAGQKVNSQHESKEQTVVDVIEQLGNCRLDNQEKATACELPLQNVNTQISSN
+SSLQKKLEASEISDIKYSSSKVTLVGISKKSAEHFKRKFAKSNQVSGSASSSLQVCPEIA
+KANLLKALKETLIEWKTEETLRFLYGQNYASVCLKSSSTPLVKEEELDEDDMNSDPDSHS
+PALQELNSLDESLPFRASDTAIKPLPSYENLKKETETLNLRIREFYRGRYVLNEETTKSQ
+DSEEHDPTFPLIDSSSQNQIRKRIVLEKLNKVLPGLLGPLQITLGDIYTQLKNLVHTFRL
+TNRNIIHKPAEWTLIALVLLSIDEEAALDAKGNSEVS
+>tr|A0A3S5ZPA1|A0A3S5ZPA1_BOVIN Carboxylic ester hydrolase OS=Bos taurus OX=9913 GN=CES1 PE=1 SV=1
+MWLLALVLTSLGSFTAWGLAPSPPIVDTAQGRVLGKHVSLKGFAQPVAVFLGVPFAKPPL
+GSLRFAPPQPAEPWTFVKNTISYPPMCSQDPVGAQLLSDLFTNRKENISLTFSEDCLYLN
+IYTPADLTKRSRLPVMVWIHGGGLMVGGASTYDGLVLSAHENVVVVTIQYRLGIWGFFST
+GDEHSRGNWGHLDQVAALHWVQENIANFGGDPGSVTIFGESAGAESVSILVLSPLARNLF
+HRAISESGVALISTLVKRDSKAAAEQIAVIAGCKTTTSAVLVHCLRQKTEDELLEITLKM
+VGASTLLETQSHPFLPTVVDGMLLPKMPEEMLAEKNFNNVPYMVGINKQEFGWIIPLFMS
+YPLPEDKLDQKTATSLLWQSYSLLSIPEELSPVATDKYLGGTDDPVKKKDLFLDLIADVL
+FGVPSVNVARRHRDAGAPTYMYEFQYRPSFSSELKPKTVIGDHGDELFSVFGAPFLKDGA
+SEEEINLSKMVMKFWANFARNGNPNGEGLPHWPAYDHKEGYLQIGVNTRAAEKLKDKEVA
+FWNELLSREVAKKAPHLKHVEL
+>tr|A0A3Q1NGZ9|A0A3Q1NGZ9_BOVIN Ferritin OS=Bos taurus OX=9913 PE=3 SV=1
+MTTASLSQVRQNYHQDSEAAINRQINLRLYASYVYLSMSYYFDRDDVALKNFAKYFLHQS
+HEEREHAERLMKLQNQRGGRIFLQDIKKPDRDDWENGLTAMECALCLERRVNQSLLELYK
+LATEKNDPHLCDFIETHYLNEQVEAIKELGDHITNLSKMGAPGSGMAEYLFDKHTLGHSE
+S
+>tr|A0A452DJK0|A0A452DJK0_BOVIN Cysteine-rich with EGF-like domain protein 2 OS=Bos taurus OX=9913 GN=CRELD2 PE=4 SV=1
+MRPPAPAVLGLLLLLLPTGEATKKPTPCKRCRELVDKFNQGMVDTAKKNFGGGNTAWEEK
+TLSKYEFSEVRLLEIVEGLCEASDFECNQLLEEQEELLEAWWLRLKKKHPDLFEWFCVQT
+LKACCSPGTYGPDCLACQGGSERPCSGNGHCVGDGTREGDGLCQCHLGYQGPLCSDCMDG
+YFRSPTSETHSICSACDEACKTCVGPTNRDCGQCEVGWVRQDDACVDVDECAAEPPPCED
+TQYCENVNGSFVCEECDPTCMGCTGKGPTQCRECIAGYSKESGQCEDIDECSLAEKPCLR
+DNENCYNTPGSFVCVCPDGFEEAEDTCVQTRPAGAEATEASPTQPPSREDL
+>tr|F1MB84|F1MB84_BOVIN Glyoxylate and hydroxypyruvate reductase OS=Bos taurus OX=9913 GN=GRHPR PE=1 SV=2
+MRPVRLMKVFITRRIPPEGSAALARAADCEVEQWDSDEPIPREDLEQRMAGAQGLLCLLS
+DRIDKKLLDAAGANLKVISTMSVGVDHLALDEIKKRGIRVGYTPGVLTDATAELAVSLLL
+TTCRRLPEAIEEVKNGGWTSWKPLWMCGHGLSQSTVGIVGLGRIGQAIARRLKPFGVRRF
+LYTGRQPRPQEAAEFQAEFVSTPKLAAESDFIVVACSLTPATRGLCNKDFFQWMKKTAVF
+VNISRGEVVDQDDLYQALASGQIAAAGLDVTTPEPLPTNHPLLTLKNCVILPHIGSATHR
+TRNIMSVLAADNLLAGLRGEPMPSELKL
+>tr|E1BGH5|E1BGH5_BOVIN Sucrase-isomaltase OS=Bos taurus OX=9913 GN=SI PE=4 SV=3
+MAKQKFSGLEITLIVLFAIVTIIAIALIVVLATKTPAVEEISDSTRGTCPSVLNDPINHR
+INCIPERSPTQTLCAARGCCWRPWNDSVIPWCFFVNNHGYNAEKVTSTNAGVEAKLKRKA
+SPTLFGNDINSVLLTTQSQTRNRVRFKITDPNNRRYEVPHQFVKEFSGTAASDPLYNVEV
+IHDPFSIKISRRSNSKILFDTSIGPLVYSDQYLQISARLPNEYIYGLGEHIHKRFRHDLY
+WKTWPIFTRDQLPGDNNNNLYGHQTFFMCIEDTSGKSFGVFLMNSNAMEIFIQPTPIVTY
+RVIGGILDFYIFLGDTPEQVVQQYQELIGRPAMPAYWNLGFQLSRWNYKTLDVVKAVVQR
+NRDAGIPFDTQITDIDYMEDKKIFTYDQVTFNGLPEFVQDLHAHGQKYVIILDPAVSIEK
+RANGAAYESYDRGTAQKVWVNESDGTTAIIGEVWPGLTVYPDFTNPSCIEWWANECYLFH
+QQVNYDGLWIDMNEVSSFVQGSKKGCSANNLNYPPFTPDILDKLMYSKTICMDAMQYWGK
+QYDVHSLYGYSMSIATEKAIEKVLPNKRSFILTRSTFAGSGSHSAHWLGDNTASWEQMEW
+SITGMLEFGLFGIPLVGADICGFVAETTEELCRRWMQLGAFYPYARNHNADGYEHQDPAF
+FGKDSLLVKSSKHYLNIRYALLPFLYTLFYKAHMFGETVARPFLHEFYEDTNSWIEDTQF
+LWGPSLLITPVLRQGAETVSAYIPDATWYDFETGVKKPWRKQRVDMQLPADKIGLHLRGG
+YIIPFQQPAVTTTASRKNPLGLIIALNDNNTAKGDFFWDDGETKDTIQKGNYILYTFSVS
+NNKLDITCMHSSYPEGTALAFETIKVLGLINTVTEVSVIEDNQPLRAHYNFSYDASNQIL
+LIHNLTFNLGGNFTVQWDQTFLEVEKFTCYPDSNTATKELCEERGCLWQTVHLGSKAPEC
+YFPKEDNSYLVHSTQYSSVGITADLQLNTANRIKLPSDSISSLRVEVKYHKDDMLQFKIY
+DAQNKRYEVPVPLNIPNTPTSTYETRLYDVEIKENPFGIQIRRRSTRRVIWDSRLPGFAF
+NNQFIQISTRLPSEYVYGFGEMEHTTFKRDLNWHTWGMFTRDQPPGYKLNSYGFHPYYMA
+LEDENNAHGVLLLNSNAMDVTFQPMPALTYRMIGGILDFYMFLGPSPEVTTKQYHEVIGQ
+PVMPPYWALGFQLCRYGYRNTSQVEEVYNDMVAAQIPYDVQYTDIDYMERQLDFTIDDEF
+HDLPQFVDKIRSEGMRYIIILDPAISGNETKPYPAFERGQEKDVFVKWPNTSDICWAKVR
+PDLPNVTIDQSLTEDEAANATKAHAAFPDFFRNSTAEWWAREIIDFYNNQMKFDGLWIDM
+NEPSSFVNGTTTNQCRNAELNYPPYFPELTKRTDGLHFRTVCMETEQILSDGSSVLHYDV
+HNLYGWSQLKPTYDALQKATGKRGIIISRSTYPSAGRWSGHWLGDNYATWDNLEKSIIGM
+MEFSLFGMSYTGADICGFFNNSEYQLCARWMQLGAFYPYARNHNSAFTRRQDPASWNKTF
+SAMSRDILNIRYTLLPYFYTQMYEIHAHGGTVIRPLLHEFLNEKPTWDIFKQFLWGPAFM
+VTPVLEPYVDTVEGYVPNARWFDYHTGKDIGVRETFHTFAAPLYEINLHVRGGYILPCQE
+PANNTFYSRQNYMKLIVAADDNQMAQGSLFWDDGETIDTYERDLYSLVQFNLNKTILTST
+ILKNGYINRNEMRLGYIHIWGKEKMRVSEVTLTHNGNKESVNFTEDPNLEILDIDLTGNN
+VTLDEPIEINWS
+>tr|G3X7C9|G3X7C9_BOVIN Zinc finger protein 449 OS=Bos taurus OX=9913 GN=ZNF449 PE=4 SV=2
+MGPAPEVPVAEAWIPQAGPQELSFSAAGEGQPFLDPGYPIPKLDVSFPLEHREEAWVKEL
+QDSKEIKQLLDSKLGFEIGIENEEDTSEKQKKLENMYPFIVTLEGNALHGPILQKDYVQL
+ENQWETPPEDLQGDLTKLVEHQNPSAGEKPESSNLEEPLNPRPHKKKSPGDKPHRCSQCG
+KCFARKSQLTGHQRIHSGEEPHKCPECGKRFLRSSDLYRHQRLHTGERPYECTVCKKRFT
+RRSHLIGHQRTHSEEETYKCLECGKSFCHGSSLKRHLKTHSGEKPHRCHNCGKSFSRLTA
+LTLHQRTHTEERPFKCNYCGKSFRQRPSLVIHLRIHTGEKPYKCSHCSKSFRQRAGLIMH
+QVTHFRGLL
+>tr|E1BKM5|E1BKM5_BOVIN ATP-dependent DNA helicase OS=Bos taurus OX=9913 GN=RECQL5 PE=3 SV=1
+MSTHPSSPFDPERRVRSTLKKVFGFDSFKTPLQEKAIMAVVKGDKDVFVCMPTGAGKSLC
+YQLPALLAKGITIVVSPLIALIQDQVDHLLALKVRVSSLNSKLSVQERKELLSDLEQEKP
+QTKLLYITPEMAASTSFQPTLNSLVSRHLLSYLVVDEAHCVSQWGHDFRPDYLRLGALRS
+RLADAPCVALTATATPQVREDVFAALHLKQPVATFKTPCFRANLFYDVQFKELLPDPYGN
+LRDFCLKALGQKADKGLSGCGIIYCRTREACEQLATELSYRGVNAKAYHAGLKAPERTLV
+QNEWMEEKVPVIVATISFGMGVDKANVRFVAHWNIAKSMAGYYQESGRAGRDGKPSWCRL
+YYSRSDRDQVSFLIRKEVAKLQEKRGNKASDKAAVLAFDALVAFCEESGCRHAAIAKYFG
+DSPPACTKGCDCCQNPTGVRKQLDALEQRSSWNKTCIGPSQGNGYDPELYEGGRRGYGGF
+SRYDEGSGGSGDEGRDEAQKREWNLFYQKQMRLRKGKDPKTEEFVPPDEDCPLREAASRK
+IPRLTVKAREHCLGLLEEALSSNRQAAGSTDGLDLQAKAVELEHETFRNAKVANLYKASV
+LKKVAEIHRASKDGQLYRVGGGASSCSAQAEPPEPTEHDILPASQVYSLKPKRVGAGFPK
+GSCLFQTATELMEKTWVPEQEPRPKQGGERGAPSQPCDCQGAEPAKSLPGPQGEAPRSGA
+VCRGPSPEEERGPARGSPTAKARVNKKQQLLAAAALKDSQNIARFFCRRTKSPPPVTAAP
+GADGTSPSRDGARGPLTFLEKGSGQEDGAVGHLAARPETKECAEEGPSACPLRDQRPPEG
+QPSPTEEAQRGKRPRPQQESPGSQAQKRPRPSAKASILAEAKGSVSASDQRPSIPQGSCQ
+LLAPSISLKEAANVVVKCLTPFYKEGKFASKELFKAFARHLSHSLTQNPCPGRSVKEEAQ
+DVIKQLFHGRARCESEADWHGLCGPQR
+>tr|A0A3Q1MQE1|A0A3Q1MQE1_BOVIN Voltage-dependent L-type calcium channel subunit alpha OS=Bos taurus OX=9913 GN=CACNA1S PE=3 SV=1
+VAPLGRPAPGLGSRLCAADSGRGAGKAEAAGAMEPAPAQDEGLRRKQAKKPVPEVLPRPP
+RALFCLTLQNPVRKACISIVEWKPFETIILLTIFANCVALAVYLPMPEDDNNRLNLGLEK
+LEYFFLIVFSIEAAMKIIAYGFLFHQDAYLRSGWNVLDFIIVFLGVFTVILEQVNLIQSN
+TAPMSSKGAGLDVKALRAFRVLRPLRLVSGVPSLQVVLNSIFKAMLPLFHIALLVLFMVV
+IYAIIGLELFKGKMHKTCYFIDIVATVENEKPSPCARTGSGRPCTISGSECRGGWPGPNH
+GITHFDNFGFSMLTVYQCITMEGWTDVLYWVNDAIGNEWPWIYFVTLILLGSFFILNLVL
+GVLSGEFTKEREKAKSRGTFQKLREKQQLEEDLRGYLSWITQGEVMDVDDLREGGSHGQH
+CPKKPLLLPIHTSTSHPWQPTTELTISKVFGLKAAPGEVGALVTQPFPLPPCLLLSRHWR
+QWNRVFRWKCHDVVKSRVFYWLVILIVALNTLSIASEHHHQPLWLTHLQDVANRVLLALF
+TVEMLMKMYGLGLRQYFMSIFNRFDCFVVCSGLLEILLVESGAMSPLGISVLRCIRLLRI
+FKITKYWTSLSNLVASLLNSIRSIASLLLLLFLFIVIFALLGMQLFGGRYDFEDTEVRRS
+NFDSFPQALISVFQVLTGEDWNSVMYNGIMAYGGPSYPGVLVCIYFIILFVCGNYILLNV
+FLAIAVDNLAEAESLTSAQKAKAEERRRRKMAGCAGVAPHAGCSPPRTRMRVCGTRLRER
+EAQSPFISTGLLPSMEDEPEVPISPRPRPLAELQLKEKAVPIPEASAFFIFSPTNKIRVL
+CHRIVNATWFTNFILLFILLSSAALAAEDPLRAESVRNQILGYFDIGFTSVFTVEIVLKM
+TTYGAFLHKGSFCRNYFNILDLVVVAVSLISMGLESSTISVVKILRVLRVLRPLRAINRA
+KGLKHVVQCVFVAIRTIGNIVLVTTLLQFMFACIGVQLFKGKFFSCNDLSKMTEEECRGY
+YYVYKDGDPTQIEVRPRQWVHNAFHFDNVLSAMMSLFTVSTFEGWPELLYKAIDSHEEDK
+GPVYNHRVEMAIFFIIYIILIAFFMMNIFVGFVIVTFQEQGETEYKNCELDKNQRQCVQY
+ALKARPLRCYIPKNPYQYRVWYIVTSSYFEYLMFALIMLNTICLGMQHYNQSEQMNHISD
+ILNVAFTIIFTLEMVLKLMAFKARGYFGDPWNVFDFLIVIGSIIDARGSAHIQSSLLFLF
+LPTPTNRRRGSSPPPPCFVLSVTLCGLSSLSARISSAFFRLFRVMRLIKLLSRAEGVRTL
+LWTFIKSFQALPYVALLIVMLFFIYAVIGMQMFGKIALVDGTQINRNNNFQTFPQAVLLL
+FRCATGEAWQEILLACSYGQLCDPESDYAPGEEYTCGTDFAYYYFLSFYMLCAFLIINLF
+VAVIMDNFDYLTRDWSILGPHHLDEFKAIWAEYDPEATGRIKHLDVVTLLRRIQPPLGFG
+KFCPHRVACKRLVGMNMPLNSDGTVTFNATLFALVRTALKIKTEGNFEQANEELRAIIKK
+VWKRTSMKLLDQVIPPIGDDEVTVGKFYATFLIQEHFRKFMRRQEEYYGAPESLLRPQAG
+LRTIEEEAAPEIRRTISGDLMAEEELERAMVEAAMEEGIFRVSKAVAPQCVWSRKEAGSP
+ATYAGLGTPAARGSRDAREDRKQAPGREGVAVTQQKGRDPCPPRPGILAGPSPSPWALAS
+LRGLCLGALQTARGSRVPGARGEEPHPRDRGRNPWGSSSSHGPADPRGEGAASGVALLRP
+AVERVGGGPPPRDHPPGLLSMQALVRGGLDSLAADANFIMATGQALAEACRMEPREVEVA
+AAELLQGREALEGVPGARGCPGLGSSLGSLDLREGSQETLIPRRP
+>tr|G3X7V1|G3X7V1_BOVIN Tetratricopeptide repeat protein 23-like OS=Bos taurus OX=9913 GN=TTC23L PE=4 SV=2
+MRASPIRIPTVTSDTDWDFCFHLSQQTKIPEHQRTDESSPTSGSEESEEDTKAKEKVIDH
+MSHPREKLAQSQKKIAQLIKGKKNIEANKELIRCVTLSRIIFGEEHWKCAQALATLAYGY
+LTLRGLPAQAKKHAESAKNVLLTWKGTTTSDKEEKQILETLVMLYYTLGVGPFCLLTNSA
+LVKAYFNLQKSERNMKELRESYKGGTCGLQVSEKDLTVALGRASLANCRLNLALVYFEKA
+VDNVIANKGDSTSELVSLYQEIAQIEQLRRNHEQAIQYLHQAHSICVSLYTEVSPQAAEA
+SALLAKAYAMSGEVQHKGRYKR
+>tr|A0A3Q1M8N8|A0A3Q1M8N8_BOVIN NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 12 OS=Bos taurus OX=9913 GN=LOC101906178 PE=3 SV=1
+MELLQVLKRGLQQVSGHGGLCGYLRVLFRANDVRVGTLVGEDKYGNKYYEDNKQFFGRHR
+WVIYTTEMNGKNTFWDVDGSMVPPEWHRWLHCMTDDPPTVKPPTARKFIWTNHKFNLSGT
+PQQYVPYSTTRKKIQEWVPPSTPYK
+>tr|F1MYT2|F1MYT2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=3
+MFQTDVMHQNIYDYIHVDDRQDFCRQLHWAMDPPQAGCGQPLLSETGEDAILGRLLRAQE
+GGTDPPTEYSAFLTRCFICRVRCLLDSTSGFLTMQFQGRLKFLFGQNRRAPSGAALLPRL
+ALFCVVAPLALPPGVEVRVKTVYLRAKHRADVPASTDAKLCSASLSQILTGRSNGENGLS
+LFRTPEDACRWGRVTARGPCLCLRGGPDLVLDPDGTSGAEEGEELGGLPRDAPPYSCRLE
+SLGPVKHLDWAAGRHGQDDGSAKLKLEPGKADPFPTAPAHGACLPYLGAQGTVHSLRSSP
+SSHPPRPPPGACASRTSRALRDGHQGPAPPPTPGPFPQGGLDSRVPRPAVQRLPVGGYPA
+EDKLRGLLMPPGAPSHPALSLDVPIKMESDSGSEDAADGYRMSPAQVWLGAGNPAKRQLV
+TFPTRMHLKTEPGARLPLYTPPPGPGLLGAPPRPGRGLAPLHPTSCACLEPPPRLCMRGH
+QPFNLGCNCRAPGPAPAVKLEPLDSPLWAAHGQGGPPGLFSKSAPAAGMPPRDTQCAFLP
+>tr|A0A3Q1M489|A0A3Q1M489_BOVIN Small nuclear ribonucleoprotein-associated protein OS=Bos taurus OX=9913 GN=SNRPB PE=3 SV=1
+MTVGKSSKMLQHIDYRMRCILQDGRIFIGTFKAFDKHMNLILCDCDEFRKIKPKNSKQAE
+REEKRVLGLVLLRGENLVSMTVEGPPPKDTGIARVPLAGAAGGPGIGRAAGRGIPAGVPM
+PQAPAGLAGPVRGVGGPSQQVMTPQGRGTVAAAAAAATASIAGAPTQYPPGRAGPPPPMG
+RGAPPPGEEHDGPTSWYEASHGSPNGDPTWTRNSYGHAATGDAASSPRDARPSLTLATEL
+WK
+>tr|F1MZX6|F1MZX6_BOVIN Myosin heavy chain 13 OS=Bos taurus OX=9913 GN=MYH13 PE=3 SV=3
+MSSDAEMAIFGEAAPYLRKSEKERIEAQNRPFDSKKACFAVDDKELYVKGMIQGRENDKV
+TVKTLDDRTLTLNSEQVFPMNPPKFDKIEDMAMMTHLHEPAVLYNLKERYAAWMIYTYSG
+LFCVTVNPYKWLPVYNPEVVAAYRGKKRQEAPPHIFSISDNAYQFMLTDRDNQSILITGE
+SGAGKTVNTKRVIQYFATIAVTGDKKKEQQPGKMQGTLEDQIIQANPLLEAFGNAKTVRN
+DNSSRFGKFIRIHFGATGKLASADIETYLLEKSRVTFQLSSERSYHIFYQIMSNKKPELI
+DLLLISTNPFDFPFVSQGEVTVASIDDSEELLATDNAIDILGFSSEEKVGIYKLTGAVMH
+YGNMKFKQKQREEQAEPDGTEVADKAGYLMGLNSAEMLKGLCCPRVKVGNEYVTKGQNVQ
+QVTNSVGALAKAVYEKMFLWMVTRINQQLDTKQPRQYFIGVLDIAGFEIFDFNSLEQLCI
+NFTNEKLQQFFNHHMFVLEQEEYKKEGIEWEFIDFGMDLAACIELIEKPMGIFSILEEEC
+MFPKATDTSFKNKLYDQHLGKSNNFQKPKPAKGKAEAHFSLVHYAGTVDYNIAGWLDKNK
+DPLNETVVGLYQKSSLKLLSFLFSNYAGDSGASKKGGKKKGSSFQTVSAVFRENLNKLMT
+NLRSTHPHFVRCLIPNETKTPGVMDHHLVLHQLRCNGVLEGIRICRKGFPSRILYGDFKQ
+RYRILNASAIPEGQFIDSKNASEKLLNSIDVDREQYRFGHTKVFFKAGLLGLLEEMRDEK
+LVTLMTRTQALCRGYLMRVEFKKMMERRDSIFCIQYNIRSFMNVKHWPWMNLFFKIKPLL
+KSAEAEKEIATMKEDFERAKEELARSEARRKELEEKMVSLLQEKNDLQLQVQTETENLMD
+AEERCEGLIKSKIQLEAKVKELNERLEEEEEMNSELVAKKRNLEDKCSSLKRDIDDLELT
+LTKVEKEKHATENKVKNLSEEMTALEENISKLTREKKSLQETHQQTLDDLQVEEDKVNGL
+IKINAKLEQQTDDLEGCLEQEKKLRADLERAKRKLEGDLKLCQESIMDLENDKQQMEEKL
+QKKDFEISQLQTKIEDKQVHSLQLQKKIKELQARTEELEEEVEAEHTLRGKIEKQRADLS
+RELEEISERLEEASGATSVQIEMNKKREAEFQKLRRDLEEATLQHEATTTTLRKKHADSV
+AELGEQIDNLQRVKQKLEKEKSELKMEIDDMASNIETVSKSKSNVERMCRTVEDQFNEIK
+AKDDQQTQLIHDLNMQKARLQTQNGELSHQVEEKAALISQLTKGKQVLTQQLEDLKRQLE
+EETKAKSALAHALKSARHDCDLLREQYEEEQEGKAELQRAMSKANSEVAQWRTKYETDAI
+QRTEELEEAKKKLAQRLQEAEENTEAASSKCASLEKTKQRLQGEVDDLMLDLERANTACA
+CLDKKQRNFDKVISEWKQKLDESQAELEAAQKESRSHSTEVFKMRNAYEEVVDQLETLRR
+ENKNLQEEISDLTMQIAETNKNLQEVEKTKKQAEQEKSDLQAALEEVEGSLEHEESKILR
+VQLELNQVKSELDRRLTEKDEEIEQLKRSSQRAAEAMQSILDAEIRSRNDALRLKKKMEG
+DLNEMEIQLGHSNRQMAETQKHLRSVQGQLKDSQLHLDDALRSNEDLKEQLAMVERRNGL
+LQEELEEMKVALEQTERTRKLSEQELLDASDRMQLLHSQNTSLINTKKKLEADIAQCQAE
+VENSIQESKNAEEKAKKAITDAAMMAEELKKEQDTSAHLERMKKNLEQTVKDLQHRLDEA
+EQLALKGGKKQIHKLENRVRELESELDAEQKRGAEALKGAHRYERKVKEMTYQVEEDRKN
+ILRLQDLVDKLQAKVKAYKRQAEEAEEQANTQLSRCRRVQHELQEAEERADIAESQVNKL
+RAKSRDVGAQVRADSPLQTP
+>tr|A0A3Q1ML74|A0A3Q1ML74_BOVIN Transmembrane protein 132C OS=Bos taurus OX=9913 GN=TMEM132C PE=4 SV=1
+MSTSNFLGPTDKVSFNWKLKAHILRDKIYLSRPRVQVLFHLVGRDWDDPSPAQSLPCLRV
+FAFRETREVRGSCRLRGALGLCVAQLELPASWFGTPTVVAGRKKAPEPPEGSPVELYYAV
+QPGDERGDCSGGDVRKGNAIRPGKDGLQESTSHLQRISAVGLYRAQDSAQLSELRLDGNV
+AIWLPSRPVKQGDVVTAYVTVASNSTVDFFILRAKVKKGVNILSTRTSEPRQWDVKQEMG
+NGGKHATTAVVCQRLAPGARNRSSSLFNEVVQMNFEIASFSSLSGSQPITWQVEYPRRGT
+TDIAVSEIFISQKDLVGIVPLAMDTEILNTAILTGKTVAMPIKVVTVEENSIVTDISESV
+ECKSTDEEVIKVSDHCDYVFVNGKEMKGKVDVVVNFTYQHLSAPLHVTVWVPRLPLQIEV
+SDTELSQIKGWRVPIVASKRPTRDSEDEDEEERRGRGCALQYQHAMVRVLTQFVSEGAGP
+WGQPSHLLSPDWQFDITHLVADFMKLEEPHVATLQDSRILVGREVGMTTIQVLSPLSDSI
+LAEKTVTVLDDKVAVSDLAIQLVAGLSVTLHPSTENSRAITAVATAEELLRAPKQEAVVS
+TWLQFSDGSVTPLDIYDTKDFTLTATSLDEAIVSVPQPRSPRWPTVMAEGEGQGPLVRVD
+LTIAEACQKSKRKSVLAVGVGSVRVKFGQNDANSSPGVDYEEGEIKNHASDRRQKAQEGP
+FYGSSSAEREEGVLRRGNPTAKSLLDNKVGKNSRLDGGRLAGEGQLQTIPIDFANFPAQV
+DLPQAGSGRGASDLVQTPRGLSDLEIGMYALLGVFCLAILVFLINCATFALKYRHKQVPL
+EGQASVTHSHDWVWLGNEAELLENVGDSSPPQDEHTTIIDRGPGGFEESNRLLLNGGSQK
+QGQSQIPRPADSGGKQGRDQKHEPLHSPTSKRKKVKFTTFTTIPADDGCPTVNSILGGTE
+EDIKWVCQDVGVGAPKELRDYLEKFKDNV
+>tr|A0A3Q1MMN7|A0A3Q1MMN7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+VLGLGQRLGHQRSLAALGAALARALGRLGHVVAPLGLIQLHLLLRVRKEREVGERLLLAQ
+VGGGRPAGDSAVHAAGLEDLELRRAGLGRAAAAGRLGALGQHGSAVRRRLPSRAPRLVRA
+LAAAAAQLHLGLRALDAAAQHSAREERMDDPGVCRLLILTILSAKTRQSLQWGWGEWADR
+IC
+>tr|E1BH42|E1BH42_BOVIN E4F transcription factor 1 OS=Bos taurus OX=9913 GN=E4F1 PE=4 SV=2
+MEGAMAVRVTAAHTAEARAEAGREAGEGGVAAAAAALAPGGFLGLPAPFSEEDEDDVHRC
+GRCQAEFTALEDFVQHKLQKACQRAPQEALPATPAAALLGREQVAPGAASSEEPITVAHI
+VVEAAALAGDINHSPDIVEAWSSSGLPRGFGASLPAIPSGRAGGGHIKEVIVASEAEPGD
+SEMAEGPGSSSERGPRLAAEGEQAQVKLLVNKDGRYVCMLCHKTFKTGSILKAHMVTHSS
+RKDHECKLCGASFRTKGSLIRHHRRHTDERPYKCAKCGKSFRESGALTRHLKSLTPCTEK
+IRFSMSKDVVVGKEDVPAGSGASTVGPVTPSSAGEPMETSPVIHLVTDAKGTVIHEVHVQ
+MQELPLGMKALAPEPTSPQELPCSSEGGRENLLHQAMQNSGIVLERAPGEEGALGPATPT
+ASSPQSPGDAAPELPLLEVEQAETQVAGEASSVPRTHPCPQCSETFPTAATLEAHKRGHA
+GPRPFTCPQCGKAFPKAYLLKKHQEVHVHERRFRCGDCGKLYKTIAHVRGHRRVHSDERP
+YPCPECGKCYKTKNAQQVHFRTHLEEKPHVCPFCSRGFREKGSLVRHVRHHTGEKPFKCY
+KCGRGFAEHGTLNRHLRTKGGCLLEVEELLVSEESPTAAAAVLTEDPHTVLVEFSSVVAD
+TQEYIIEATADDAETSEATEIIEGTQTEVDSHIMKVVQQIVHQASAGHQIIVQNVTMDQE
+ARLGPEAAAADTITIATPESLTEQVAMTLASAISEGTVLTARSGTGGAEQATVTMVSSED
+IEILEHAGELVIASPEGQLEVQTVIV
+>tr|F1MHL9|F1MHL9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=XPR1 PE=4 SV=2
+MKFAEHLSAHITPEWRKQYIQYEAFKDMLYSAQDQAPSVEVTDEDTVKRYFAKFEEKFFQ
+TCEKELAKINTFYSEKLAEAQRRFATLQNELQSSLDAQKESTGVTTLRQRRKPVFHLSHE
+ERVQHRNIKDLKLAFSEFYLSLILLQNYQNLNFTGFRKILKKHDKILETSRGADWRVAHV
+EVAPFYTCKKINQLISETEAVVTNELEDGDRQKAMKRLRVPPLGAAQPAPAWTTFRVGLF
+CGIFIVLNITLVLAAIFKLEAGRNIWPLIRIYRGGFLLIEFLFLLGINTYGWRQAGVNHV
+LIFELNPRSNLSHQHLFEIAGFLGILWCLSLLACFFAPISVIPTYVYPLALYGFMVFFLI
+NPTKTFYYKSRFWLLKLLFRVFTAPFHKVGFADFWLADQLNSLSVILMDLEYMICFYSFE
+LKWEDSEGLLPKDSQEPEICHKYSYGVRAVVQCIPAWLRFIQCLRRYRDTKRAFPHLINA
+GKYSTTFFTVTFAALYSTHKEQRHSDTMVFFYLWIVFCIISSCYTLIWDLKMDWGLFDKN
+AGENTFLREEIVYPQKAYYYSAIIEDVILRFAWTIQISITSMTSLPHSGDIIATVFAPLE
+VFRRFVWNFFRLENEHLNNCGEFRAVRDISVAPLNADDQTLLEQMMDQEDGVRNRQKNRP
+WKYSQSISLRRPRLASQSKARDTKVLIEDTDDEANT
+>tr|F1N018|F1N018_BOVIN Atypical chemokine receptor 1 OS=Bos taurus OX=9913 GN=ACKR1 PE=4 SV=2
+MNDTQGAPTQTHTSQVAGITWIQPTSTTNTPSHKHVTFELSFLGSSSRGWRNSESHQLPF
+PSNFPAHLLPPSHTSPLAPSSFLVLGESLCAGKPPGFSASLSTFRKTWSPPFSFPECGSS
+PADSSLKTGSPWGQCRKWSWGSDRQWAWGKHFLNALPTPWPCPGLMPSLVPGAYLGTTAT
+DRTTPAHLSARPAPSSIMGNCLYPVADDNSTKLAIKEDFLIDFPEDYYPDYNETDVEAAA
+PCHSCSLLNYSSLPFFILVSILGILASGTILYALLRPLFRWQLYQDRSTLVQLAVGSALF
+SIVVPILARGLSGALITSLCHLAHLVAYGSAFAQALLIGYHACLGPQLGAGQVPGLRLGV
+TVGLWGVAALLSLPVVLGSDTSQGLCTVTFSGEWETLRYIHAAACFAIFVLLPLGLLGTK
+GLKTVLGRAPCPWVDVLWVWFIFWWPQGMTLGLDSLVRSKAIVVSTCPAQQALDMLLDVA
+EALAILHCVATPLLLAWVCYQATHTSPPSLPLPTTQTSHLDTFGGKS
+>tr|A0A3Q1LZ44|A0A3Q1LZ44_BOVIN Steroid sulfatase OS=Bos taurus OX=9913 GN=STS PE=4 SV=1
+MMTLLLLLLFLCETQSWAASKPNFVLLMADDLGIGDPGCYGNKTLRTPNIDRLARGGVKL
+TQHLAASPLCTPSRAAFMTGRYPVRSGMASQSQVGVFLFSASSGGLPPSEITFAKLLKDQ
+GYSTALIGKWHLGISCHDPGDFCHHPTSHGFDYFHGLPLTNMRDCKLGEGSVFTAAFRLL
+VLLPMQLIALALLTLVVLKWLGLFRAPPCAFLFLFLLATLLLGLLLGFLHYFRPLNCFLM
+RNRDITQQPMSYDNLTQRLTADAAHFLRRKQQKLLNFGRRALYTIIRNAETPFLLVLSFL
+HMHTALFSSKDFAGKSQHGSYGDAAEEMDWSVGQILDVLHELKLANNTLVYFSSDQGAHV
+EEVTVKGEVQGGSNGIYKGGKANNWEGGIRVPGIVRWPGVIQAGLEIDEPTSNMDIFPTV
+AKLAGSPLPQDRVIDGRDLMPLLQMRTQRSEHEFLFHYCNSYLNAVRWHPPNSTSIWKAF
+FFTPKFSPEGANGCFDTHVCFCYGQYVTYHDPPLLFEISRDPRERNPLTPTSEPRFWEIL
+EAMQEAAARHARTLQDVPNQLSLGNLMWKPWLQLCCSSLGLSCQCDREDQDGRHSP
+>tr|A0A3Q1LN79|A0A3Q1LN79_BOVIN Acetolactate synthase-like protein OS=Bos taurus OX=9913 GN=ILVBL PE=1 SV=1
+METAVAAAPAWGFFSSFLLLAFGTLVAALLGAAHRLGLFYQLMHKVDTASTRHGGENVAA
+VLKAHGVRFLFTLVGGHISPLLVACEKLGIRVVDTRHEVTAVFAADAVARLTGTVGVAAV
+TAGPGLTNTVTAVKNAQIAQSPVLLLGGAASTLLQNRGALQAIDQIALFRPLCKFCASVR
+RVRDIIPTLRAAMAAAQSGTPGPVFVELPLDVLYPYFMVQKEMVPAKPPKGLMSRAVHWY
+LANSLANLFAGAWEPQPEGPLPLDIPQASPQQVQRCVEILSRAKKPLMLIGSQALLPPTS
+SDKLRVAVETLGIPCFLAGMARGLLGRNHPLHFRQNRRAALKKADVVVLAGAVCDFRLSY
+GRVLSRSSKIIVVNRDRKEMLINSDIFWKPQEAVQGDVGSFVVKLVEGLRGQMWASDWAE
+ELRQADQQKEQAFREKALMPVAQHLNPVRVLQLVEDTLPDNSILVVDGGDFVGTAAYLVQ
+PRGPLPCTPLGLFTPCAGCGRLAVWCLFGDGAFGYSLIEFDTFVRHKIPVMALIGNDAGW
+TQISREQVPSLGSNVACGLAYTDYHKAAQGLGAQGLLLSRENEDQVVKVLRDAQQWCQDG
+HPVVVNILIGRTDFRDGSIAM
+>tr|E1BPJ0|E1BPJ0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=CFAP54 PE=4 SV=2
+MEDIDVVMVAEITLRLSEILESLGNPRRKFKKFLDVSLKRGTSEIFGGSKGSIDILPIIK
+KKPEEQLFMAYELLDKAIDGINLNCMLTALPNGSSVIDHCYATHNHYIDGDMCKPVTPNN
+FIMDLHLELIQAQHRIAVVLLDQLQVLQTPTVSKNTSTKGTEKLKKPASPDCFTELSVMN
+KIRKNKLSKAIYLMQKTLLIFEKDATCSSLQNYLTEAYSLIEKTESEQSIQYSYQKYVET
+AKRKKSRVPPPPILLSRTYRSVTLKPAPFVSDVKVCWYCILGRKAEGSYGKVRLNDNHLP
+NSGEAIPADGKSVFEVKGLETNEKYVFAVAAYCSKGKLIGDAIGETTKPILVYPPLSAVT
+TRMLLTQVAYQTGNYDLAKKVFSPVWDYFVASPPQGDQSIICLSNIMTITQRRLHSDILA
+ESSSILLYLFLRNIFVTSDIKIKEENFFCDNIKGNEIFPSQQIARLIECERVLVALELSN
+YLNDSSYALQAVTQCYGLLAPIIYHNIVLVPVVQILIKCVVVLQGLPNVIHSKKHLASFE
+SVQHMIACCIFYITKILRSWKEYDLAVMIINYGKKVLDISSGCKSLFGTTDLEEMQEEGS
+SKKSCKNKKPQQVLVPEKINEQLVLLETHLLKLTKQYITTELSGAEDPIFLYPIVLNWSV
+KGAVKEVMKFKQRPRFLEFFTQVMQKCMHDEKFQPMVEITNPVYDFLKRRNESLLGIKKL
+KYKDTLSKKVIKPVKKYRAAVVEIGKTTEMKQKKKAKRKETLREFLNKNPFISEMPEHER
+NKRADVRKVAHRFLVENLNPLILSYVKKKRFHQIFLEEMPWKAQMNLYLASAHFNLLLTK
+LGERTKIKFGSSPIMVSFRSCDPTMFSLYNSGTVLPTGRMTLENYKVMLDFLLTAKKRKA
+HLPSDAEEFLAFLNSKMSGENVSKTQTVYESDSQSGLSSKEKDRSANVGLLDHFMKIFLY
+CRRAMVLAHRGGYWTLLQNCCRALWNFTQELQILHKQAVDLYKTFPISQDSFLCISVLPF
+YLGAELLIDMLIELQNTDSIKTIDEKGEFSVPSCYGNIKNDNGGSSLTFEHPLDDVNVVD
+LKWIHDFVLKSLELVYQVEKWETLVSLAIQFNAVSHERYTEQVTPLLVYAQRQLLLQISK
+CKGPDISQQACVRYETEYKQKITCRNFIGIQLKINPPTNKGTAIGQRADVLRKLIYSEYS
+RAKELLCVPVDVTDTLRCFRETLEKSKYHNRSIRHSRKLLSLFLAQTQDVLQTSNQRSLH
+VQALHELGNLLIFAQKKRAAFKCWSQALDEIFRKEDVLHTWKEIGSSLTSATDGWSPPGS
+KDYSEELLSKGGIWGCLQGAVISAKIAQFIQTLDVEKRTNCCLLSALLFQGLLRTTLPHP
+KAERCYAQYEITQLLPGIELFSDRYRADICSVIASLYYVIRELHFAKQNLVILPLLALYQ
+YFVSVICQDLVKNLEARILKIEVLTDLGFFSEAFHELSQIFYAKNTPSSVPTSCKPTGKM
+KLFQSFDSGKPLISKENLQALDDLINKGMPVILTTVSQQHLLNKFLVVKAYFFINVAATI
+NCVPEQTMKPVGHGVMNEKSKPNLPNLKEMCLKDEGGSLCHLTKLKENFALSTLKSILLT
+EAEDRLNTLLSEMDHLSHKDLSQCSAGELEIVVEVRLQLAAIALQRHRAAYSAAIVFSTL
+KLLQDSKLFKKKVVEDDSENCTSSESYATESKDDNFLDPISLNSREYFNIHLWLRCRLAL
+VTAFVAQIRGIGIVKENDITDYVSLINEVCVEAKAAGDRELQAEFLMQAVIIGLQEKHLK
+ADIIKSLQEVIQLLEGHDFISPRSHLTLVRSMLLLDDLTKAEKFKETPFSKIEKLYLLTQ
+SHNILIEQMITFGETIELPSSNTDYASPLLPLKNIYLPHVMLLAKTKMRIGHTVAKQVYY
+TSKKKDSSKWLPALHFFEIALKLARTSGTEEHEVEAEIFFQKGKIECQILMEEKPPTMQL
+ESLFEAIQLSLRNDQNSGLIRDSYLEIALLFLHMRKLKSKLSVSPLMSIKALPRRHSSIK
+EPVVNKHEMYSLFAWIAIRAAAQVSEAVLAINLLIGKKNARTDTVSQGALSNIPEFASMD
+LLSSYTDYLLDNYQVVFQTGCTFSYVSEEIHEDVDSQKKNPIKVDITWILLLRYYIHLQR
+INNMSKLLASLKPGSGMSLPDDTLLTSLFNSGLILRQKEMHFFLKRFLQLYSSSCIDEFP
+KELFQVMEHPPVLEKVLYDSASKTGVTNASLCSDLSLKTVSSTLYGDVVPPVVATQALNK
+ELCFQWYIPPLEKPPKETEPMVLLLYAYNMKPLNISDVASAACNCVYVGSFWVPLKRVIS
+VHEKLSNLTQIAELSLPTTPKVTSNENLHEVEETEEKSIDAEMENMIIVCCNEIVSLFLG
+DEMATPLSEVPFDVSLPSIFSLERLFDLASGCIVSGGSLFNWVVSIIP
+>tr|F1MUM6|F1MUM6_BOVIN 40S ribosomal protein S21 OS=Bos taurus OX=9913 PE=3 SV=3
+MRGLRHSLNIQNDSGEFVDPYVPQKCSASNRFIGAKDHASIQMNVAEVDKVTGRFNRQFK
+TYAICGAVRRMGESDDSILWLAKANGIISENF
+>tr|F1MJB5|F1MJB5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=1 SV=3
+MATLERNTFHNTETQPSTTQRNGLHHAISEDSSRRSGTGHGQTSNASGQSRHRDSSVSDA
+SDSKGYTGDVGRHSVTSQGRSRSSSRNQHGSSYGQSTSRRSESHHGQADSHRQRESVHGD
+SGSGTSHRQGSHHEQSRDSSRRSGTGHGQTSTGSGSSRHRESSVSQASDSEGYSRDVGRH
+SVTSQGRSGSTSRNQQGSSHGQSRDTSRRSESHHGQADTHRQRESVHGDSGSGTSHRQGS
+HHEQSRDSSRRSGTGHGQTSTGSGSSRHRESSVSQASDSEGYSGDVGRHSVTSQGRSGST
+SRNQHGSSYGQSTSRRSEESVHGDSGSGTSHRQGSHHEQSRDSFRRSGTGHRQTSTGSGS
+SRHRESSVSQASDSEGYSGDVGRHSVTSQGRSGSTSRNQHGSSYGQSTSRRSESRHGQGS
+HHEQSRDSSRRSGTGHGQTSTGSGSSRHRESSVSQASDSDGHSGDVGRHSVTSQGRSGST
+SRNQHGSSHGQSGDTYRSSESHQKWTDNYRQSEPVHGDSGPSTFPEQVGHYEQSGNPY
+>tr|E1BP90|E1BP90_BOVIN Brefeldin A-inhibited guanine nucleotide-exchange protein 1 OS=Bos taurus OX=9913 GN=ARFGEF1 PE=4 SV=2
+MYEGKKTKNMFLTRALEKILADKEVKKAHHSQLRKACEVALEEIKAETEKQSPPHGEAKA
+GSSTLPPVKSKTNFIEADKYFLPFELACQSKCPRIVSTSLDCLQKLIAYGHLTGNAPDST
+TPGKKLIDRIIETICGCFQGPQTDEGVQLQIIKALLTAVTSQHIEIHEGTVLQAVRTCYN
+IYLASKNLINQTTAKATLTQMLNVIFARMENQALQEAKQMEKERHRQHHHLLQSPVSHHE
+PESPQLRYLPPQTVDHIPQEHEGDLDPQTNDVDKSLQDDTEPENGSDISSAENEQTEADQ
+ATAAETLSKNDILYDGENHDCEEKPQDIVQSIVEEMVNIVVGDTGERTTINVSADGNNGT
+IEDGSDSENIQANGIPGTPISVAYTPSLPDDRLSVSSNDTQESGNSSGPSPGAKFSHILQ
+KDAFLVFRSLCKLSMKPLSDGPPDPKSHELRSKILSLQLLLSILQNAGPIFRTNEMFINA
+IKQYLCVALSKNGVSSVPEVFELSLSIFLTLLSNFKTHLKMQIEVFFKEIFLYILETSTS
+SFDHKWMVIQTLTRICADAQSVVDIYVNYDCDLNAANIFERLVNDLSKIAQGRGSQELGM
+SNVQELSLRKKGLECLVSILKCMVEWSKDQYVNPNSQTTLGQEKPSEQETSEMKHPETIN
+RYGSLNSLESTSSSGIGSYSTQMSGTDNPEQFEVLKQQKEIIEQGIDLFTKKPKRGIQYL
+QEQGMLGTTPEDIAQFLHQEERLDSTQVGEFLGDNDKFNKEVMYAYVDQHDFSGKDFVSA
+LRMFLEGFRLPGEAQKIDRLMEKFAARYLECNQGQTLFASADTAYVLAYSIIMLTTDLHS
+PQVKNKMTKEQYIKMNRGINDSKDLPEEYLSAIYNEIAGKKISMKETKELTIPAKSSKQN
+VASEKQRRLLYNLEMEQMAKTAKALMEAVSHVQAPFTSATHLEHVRPMFKLAWTPFLAAF
+SVGLQDCDDTEVASLCLEGIRCAIRIACIFSIQLERDAYVQALARFTLLTVSSGITEMKQ
+KNIDTIKTLITVAHTDGNYLGNSWHEILKCISQLELAQLIGTGVKPRYISGTVRGREGSL
+TGAKDQAPDEFVGLGLVGGNVDWKQIASIQESIGETSSQSVVVAVDRIFTGSTRLDGNAI
+VDFVRWLCAVSMDELLSTTHPRMFSLQKIVEISYYNMGRIRLQWSRIWEVIGDHFNKVGC
+NPNEDVAIFAVDSLRQLSMKFLEKGELANFRFQKDFLRPFEHIMKRNRSPTIRDMVVRCI
+AQMVNSQAANIRSGWKNIFSVFHLAASDQDESIVELAFQTTGHIVTLVFEKHFPATIDSF
+QDAVKCLSEFACNAAFPDTSMEAIRLIRHCAKYVSDRPQAFKEYTSDDMNVAPEDRVWVR
+GWFPILFELSCIINRCKLDVRTRGLTVMFEIMKTYGYTYEKHWWQDLFRIVFRIFDNMKL
+PEQQTEKAEWMTTTCNHALYAICDVFTQYLEVLSDVLLDDIFAQLYWCVQQDNEQLARSG
+TNCLENVVILNGEKFTLEIWDKTCNCTLDIFKTTIPHALLTWRPISGETAPPTPSPVSEN
+QLDTISQKSVDIHDSIQPRSADNRQQAPLASVSTVNEEISKIKPTAKFPEQKLFAALLIK
+CVVQLELIQTIDNIVFFPATSRKEDAENLAAAQRDAVDFDVRVDTQDQGMYRFLTSQQLF
+KLLDCLLESHRFAKAFNSNNEQRTALWKAGFKGKSKPNLLKQETSSLACGLRILFRMYTD
+ESRASAWEEVQQRLLNVCSEALSYFLTLTSESHREAWTNLLLLFLTKVLKISDNRFKAHA
+SFYYPLLCEIMQFDLIPELRAVLRRFFLRIGVVFQISQPPEQELGINKQ
+>tr|A0A3Q1M304|A0A3Q1M304_BOVIN Filamin C OS=Bos taurus OX=9913 GN=FLNC PE=1 SV=1
+MMNNSGYSEAPGFGLGDEVDDMPSTEKDLAEDAPWKKIQQNTFTRWCNEHLKCVGKRLTD
+LQRDLSDGLRLIALLEVLSQKRMYRKFHPRPNFRQMKLENVSVALEFLEREHIKLVSIDS
+KAIVDGNLKLILGLIWTLILHYSISMPMWEDEDDEDARKQTPKQRLLGWIQNKVPQLPIT
+NFNRDWQDGKALGALVDNCAPGLCPDWEAWDPNQPVENAREAMQQADDWLGVPQVIAPEE
+IVDPNVDEHSVMTYLSQFPKAKLKPGAPVRSKQLNPKKAIAYGPGIEPQGNTVLQPAHFT
+VQTVDAGIGEVLVYIEDPEGHTEEAKVVPNNDKNRTYAVSYVPKVAGLHKVTVLFAGQNI
+ERSPFEVNVGMALGDANKVSARGPGLEPVGNVANKPTYFDIYTAGAGTGDVAVVIVDPQG
+RRDTVEVALEDKGDSTFRCTYRPVMEGPHTVHVAFAGAPITRSPFPVHVAEEPLPPPPAH
+SVPIVHQAKKVVPPCNPNACRASGRGLQPKGVRVKEVADFKVFTKGAGSGELKVTVKGPR
+GTEEPVKVREAGDGVFECEYYPVVPGKYVVTITWGGYAIPRSPFEVQVSPEAGIQKVRAW
+GPGLETGQVGKSADFVVEAIGTEVGTLGFSIEGPSQAKIECDDKGDGSCDVRYWPTEPGE
+YAVHVICDDEDIRDSPFIAHIQPAPPDCFPDKVKAFGPGLEPTGCIVDKPAEFTIDARAA
+GKGDLKLYAQDADGCPIDIKVIPNGDGTFRCSYVPTKPIKHTIIVSWGGVNVPKSPFRVN
+VGEGSHPERVKVYGPGVEKTGLKANEPTYFTVDCSEAGQGDVSIGIKCAPGVVGPAEADI
+DFDIIKNDNDTFTVKYTPPGAGRYTIMVLFANQEIPASPFHIKVDPSHDASKVKAEGPGL
+NRTGVEVGKPTHFTVLTKGAGKAKLDVHFAGAAKGEAVRDFEIIDNHDYSYTVKYTAVQQ
+GNMAVTVTYGGDPVPKSPFVVNVAPPLDLSKVKVQGLNSKVAVGQEQAFSVNTRGAGGQG
+QLDVRMTSPSRRPIPCKLEPGGGAETQAVRYMPPEEGPYKVDITYDGHPVPGSPFAVEGV
+LPPDPSKVCAYGPGLKGGLVGTPAPFSIDTKGAGTGGLGLTVEGPCEAKIECQDNGDGSC
+AVSYLPTEPGEYTINILFAEAHIPGSPFKATIRPVFDPSKVRASGPGLERGKAGEAATFT
+VDCSEAGEAELTIEILSDAGVKAEVLIHNNADGTYHITYSPAFPGTYTITIKYGGHPVPK
+FPTRVHVQPAVDTSGVKVSGPGVEPHGVLREVTTEFTVDARSLTATGGNHVTARVLNPSG
+AKTDTYVTDNGDGTYRVQYTAYEEGAHLVEVLYDDVAVPKSPFRVGVTEGCDPTRVRAFG
+PGLEGGLVNKANRFTVETRGAGTGGLGLAIEGPSEAKMSCKDNKDGSCTVEYIPFTPGDY
+DVNITFGGRPIPGSPFRVPVKDVVDPGKVKCSGPGLGAGVRARVPQTFTVDCSQAGRAPL
+QVAVLGPTGVAEPVEVRDNGDGTHTVHYTPATDGPYTVAVKYADQEVPRSPFKIKVLPAH
+DASKVRASGPGLNASGIPASLPVEFTIDARDAGEGLLTVQILDPEGKPKKANIRDNGDGT
+YTVSYLPDMSGRYTITIKYGGDEIPYSPFRIHALPTGDASKCLVTVSIGGHGLGACLGPR
+IQIGEETVITVDAKAAGKGKVTCTVSTPDGAELDVDVVENHDGTFDIYYTAPEPGKYVIT
+IRFGGEHIPNSPFHVLATEEPVVPAEPMESMLRPFNLVIPFTVQKGELTGEVRMPSGKTA
+RPNITDNKDGTITVRYAPTEKGLHQMGIKYDGNHIPGSPLQFYVDAINSRHVSAYGPGLS
+HGMVNKPATFTIVTKDAGEGGLSLAVEGPSKAEITCKDNKDGTCTVSYLPTAPGDYSIIV
+RFDDKHIPGSPFTAKITGDDSMRTSQLNVGTSTDVSLKITESDLSQLTASIRAPSGNEEP
+CLLKRLPNRHIGISFTPKEVGEHVVSVRKSGKHVTNSPFKILVGPSEIGDASKVRVWGKG
+LSEGHTFQVAEFIVDTRNAGYGGLGLSIEGPSKVDINCEDMEDGTCKVTYCPTEPGTYII
+NIKFADKHVPGSPFTVKVTGEGRMKESITRRRQAPSIATIGSTCDLNLKIPGNWFQMVSA
+QERLTRTFTRSSHTYTRTERTEISKTRGGETKREVRVEESTQVGGDPFPAVFGDFLGRER
+LGSFGSITRQQEGEASSQDMTAQVTSPSGKTEAAEIVEGEDSAYSVRFVPQEMGPHTVTV
+KYRGQHVPGSPFQFTVGPLGEGGAHKVRAGGTGLERGVAGVPAEFSIWTREAGAGGLSIA
+VEGPSKAEIAFEDRKDGSCGVSYVVQEPGDYEVSIKFNDEHIPDSPFVVPVASLSDDARR
+LTVTSLQETGLKVNQPASFAVQLNGARGVIDARVHTPSGAVEECYVSELDSDKHTIRFIP
+HENGVHSIDVKFNGAHIPGSPFKIRVGEQSQAGDPGLVSAYGPGLEGGTTGVSSEFIVNT
+LNAGSGALSVTIDGPSKVQLDCRECPEGHVVTYTPMAPGNYLIAIKYGGPQHIVGSPFKA
+KVTGPRLSGGHSLHETSTVLVETVTKSSSSRGSSYSSIPKFSSDASKVVTRGPGLSQAFV
+GQKNSFTVDCSKAGTNMMMVGVHGPKTPCEEVYVKHMGNRVYNVTYTVKEKGDYILIVKW
+GDESVPGSPFKVNVP
+>tr|F1N4I7|F1N4I7_BOVIN Decapping mRNA 1A OS=Bos taurus OX=9913 GN=DCP1A PE=4 SV=2
+MESLSRAGQEMSLAALKQHDPYITSIADLTGQVALYTFCPKANQWEKTDIEGTLFVYRRS
+ASPYHGFTIVNRLNMHNLVEPVNKDLEFQLHEPFLLYRNASLSIYSIWFYDKNDCHRIAK
+LMADVVEEETRRSQQAARDKQSPSQANGCSDHRPIDILEMLSRAKDEYERNQMGDSNISS
+PGLQPSTQISNLGSTETLEETPSGLQDKSAPSGHKHLTVEELFGTSLSKEQPAVVGLDSE
+EVEKLPGDASQKEPNSFLPFSFEPGGAPQSENLGVHPAAHPSVQPEVTTPVLITPASITQ
+SSEKQAPSYAIPLHSVLSPSLPAEASTGQAPPSLPRKTTMMQTVKTAPRQRSPLLSQPVP
+ELSHASLTASQSPFRAPLSVTNTTSPSLPSVDLLQKLRLTPQHDQIQPQSLGKGAAAPSF
+SPAAGQLATPESFIEPSPKATAARAPASLSNMVLAPLQSMQQNQDPEVFAQPKVLSSAIP
+VASSPLVPATTSAAPSVLLSPSVFQQTVTRSSDLERKASSPSPLTVGTTENQRKPSIILS
+KSQLQDTLIHLIKNDSSFLSTLHEVYLQVLTKNRDNHNL
+>tr|A0A3Q1MXX2|A0A3Q1MXX2_BOVIN F-box DNA helicase 1 OS=Bos taurus OX=9913 GN=FBH1 PE=4 SV=1
+MKPDFHHSTAMRFFCVPGSCSPLRRFKRKHLTAIECQHLARSHLAASQSFQRWTSRDPNH
+GLYPRPRTKRGARGQGCLRYSLDFFLAGQQPCTNDMAKSNSVGQDSSKDSEDEMIFVAGS
+PCALPPGGDGEARLHSSGSALPARKRSRSLDDERNPAPGTSPWDGVSKKTPWHHSSPSCT
+RPREARPEAEVGVGQLTVQPGEPSQEVEDLGPDPVPDSHYGLLGTLPCQEPPSHICSLPS
+EVLRHIFAFLPVEDLYWNLSLVCRLWREVISDPLFIPWKKLYHRYLMNEEQAVSKVDGIL
+SSYGIEKESDLCVLNLIRYTATTKCSPSVDPGQALWSLRDHLLLPEAEACVRQHLPDLCA
+APAGVNVWALVAAIVLLSSSVSDIQQLLFCLRRPSSTVTVPDVTETLYCIAVLLYAMREK
+GISISNRIHYNIFYCLYLQENSCAQASGDKEEPSVWPGKKTTIQLTHEQQLILSHKMEPL
+QVVKIMAFAGTGKTSTLVKYAEKWSQSRFLYVTFNKSIAKQAERVFPSNVICKTFHSMAY
+GHIGRKYQLKKKLNLFKLTPFMVNSVLTEGKGGFIRAKLVCKTLENFFASADEELTIDHV
+PIWCKNTQGQRVMVEQSEKLNGVLEASRLWDNMRKLGECREEAYHMTHDGYLKLWQLSKP
+LLASFDAIFVDEAQDCTPAIMNIVLSQPCGKIFVGDPHQQIYTFRGAVNALFTVPHTHVF
+YLTQSFRFGVEIAYVGATILDVCKRVRRKTLVGGNHQSGIRGDSKGQVALLSRTNANVFD
+EAVRVTEGEVPARIHLIGGIKSFGLDRIIDIWTLLQPEEERKKRNLIIKDKFIRRWAHKE
+GFSGFKRYVTAAEDKELEAKIAVVEKYNIRIPELVARIERCHIEDLDFAEYILGTVHKAK
+GLEFDTVHVLDDFVKVPCARHNLAQLPHFRVESFSEDEWNLLYVAVTRAKKRLIMTRSLE
+NILTLAGEYFLQAELTSNVLKTGVVRCCVGQCSNAIPVDAALTMRKLPITYSNRKENKGG
+YLCHSCVEQRIGPLAFLTASPEQVRAMVRTVENVVLPRHEALLFLVF
+>tr|F6PMQ1|F6PMQ1_BOVIN Coiled-coil domain containing 84 OS=Bos taurus OX=9913 GN=CCDC84 PE=4 SV=1
+MAPAQRCPLCRQTFFCGRGHVYSRKHQRQLKVALERLLPQVEAARKAVRAAQVERYVPEH
+ERWCWCLCCGCEVRKHLSHGNLTVLHGGLLGHLASPEHKKATNKFWWENKAEFQMKEKFL
+ISPQDFARFKKSMVKSLDSYEEKEDEVIKEMAAQIREVEQSRQEMVRSVLEPQTVPDPEE
+GSSAPGSRKGTNSQVASTSQQPSYLDLPPAPELDWMETGQPLTFIGHQDTPGIGNIHSGA
+TPPWMVQDEDCSSGNQQIGPSYEEFLKEKEKQKLKKLPPDRVGANFDHSSSTSAGWLPSF
+GRVWNNGRRWQSRHQFKTEAAARNKQQSHKGKTLMAS
+>tr|A0A3Q1M562|A0A3Q1M562_BOVIN Zinc finger protein 800 OS=Bos taurus OX=9913 GN=ZNF800 PE=4 SV=1
+MPLRDKYCQTDHHHHGCCEPGTKQLKHILLKDVDTIFECKLCRSLFRGLPNLITHKKFYC
+PPSLQMDDNLPDVNDKQSQAINDLLEAIYPSVDKREYIIKLEPIETNQNAVFQYISRTDN
+PTEVTESSSTPEQPEVQIQETSTEQSKTVPVTDTEVETVEPPPVEIVADDVAPPSDEQPQ
+ESQADLETSDNSDFGHQLICCLCRKDFNSRRGVRRHIRKVHKKKMEELKKYIETRKNPNQ
+STKGRSKNVLVPLSRSCPVCYKSFATKANVRRHFDEVHRGLRRDSITPDIATKPGQPLFL
+DSVSPKKSFKTRKQKSSSKAEYNLTACKCLLCKRKYSSQIMLKRHMQIVHKITLSGTNSK
+REKGPNNTASSSEIKVKVEPADSVESSPPSITHSPQNELKGTNHSNEKKNTPAAQKNKVK
+QDSESPKSTSLSAAGGQQKTRKPKLSAGFDFKQLYCKLCKRQFTSKQNLTKHIELHTDGN
+NIYVKFYKCPLCTYETRRKRDVIRHITVVHKKSSRYLGKITASLEIRAIKKPIDFVLNKV
+TKRGPSRDEAKHSDAKHDGTSNSPSKKYEVADVGIEVKVTKNFSLHRCNKCGKAFAKKTY
+LEHHKKTHKANASNSPEGNKTKGRSTRSKALVCLGKPSPRSAAALRPAAPGSRCPPAGAV
+TTRQAAASCRAKLRGGAARERQHAARGLGKPAAP
+>tr|F1N3J3|F1N3J3_BOVIN Anterior gradient 2, protein disulphide isomerase family member OS=Bos taurus OX=9913 GN=AGR2 PE=1 SV=2
+MEKIPVSAFLLLVALSYTLAKDTTVKPGAKKDTKDSQLKLPQTLSRGWGDQLIWTQTYEE
+ALYKSKTSNKPLMIIHHLDECPHSQALKKAFAENKEIQRLAEQFVLLNLVYETTDKHLSP
+DGQYVPRILFVDPSLTVRADITGRYSNRLYAYEPSDTALLLDNMKKALKLLKTEL
+>tr|A0A3Q1MBH7|A0A3Q1MBH7_BOVIN Aph-1 homolog A, gamma-secretase subunit OS=Bos taurus OX=9913 GN=APH1A PE=4 SV=1
+MGAAVFFGCTFVAFGPAFALFLITVAGDPLRVIILVAGAFFWLVSLLLASVVWFILVHVT
+DRSDARLQYGLLIFGAAVSVLLQEVFRFAYYKLLKKADEGLASLSEDGRSPISIRQMAYV
+SGLSFGIISGVFSVINILADALGPGVVGIHGDSPYYFLTSAFLTAAIILLHTFWGVVFFD
+ACERRRYWALGLVVGSHLLTSGLTFLNPWYEASLLPIYAVTISMGLWAFITAGGSFRSIQ
+RSLSCRRQEDSRVMVYSALRIPPED
+>tr|G5E5Z6|G5E5Z6_BOVIN HSF_DOMAIN domain-containing protein OS=Bos taurus OX=9913 GN=LOC100126053 PE=3 SV=2
+MDSHSSHKAVLLAPSTDGEPTAGDPHDSSPDPNVDSGEALEKQGDQPKSPDLGLHDNLLP
+QGPNPEMANKEENNTVLGLSFPRKLWRIVEDATFTSVHWNDKGDTVVIEADLFQIEVLQR
+RGMDQIFETDSIKSFIRELNLYGFRKIRPSGCCAGKKKMMIYRNSNFQRDKPLLLHNIQR
+KGNPRTTSQPATGTTTPKRKKRVVATRHSPQFHHNEFTQKAGNKVQKGMPTARRTPSQCS
+FVFSDLSVGSVARRAGENHLPSEQGSPSRAAGEGTSSNAISVPSATAERDSPGKLPESPP
+VYPDYESVMALYNTCYSILMAGLLVMAPDEAPEAEDEQGESSHYKCALCEQLKNKPNP
+>tr|E1BNY7|E1BNY7_BOVIN Inhibitory synaptic factor family member 2B OS=Bos taurus OX=9913 GN=INSYN2B PE=4 SV=2
+MAQQSMKVRPVLLKRNSFESVELVKQPHHRRSKSQQVRFKEDGTSKTPPGLAEVDVQASE
+DPAVMGKTQASRHHHLPTYSLSFPRSQKAGGFRNIAIQTSPSLRKHFPVFKRKRLTASKS
+LVEMPTASQSAIQVNGNLSEQDIVSSDLAYLRLAQHLEDGPRRVKVSHPFLPRMSKVQSN
+GPVSLCFEAGTWRALEKVTAAIQVPDDIYHSPTWAGRESTLSPDRSAEMSNSIPPSVDRR
+PGDGRRELPPDSERIPPCLNAPSRANHMPGTGNLQPELLLPKDNSDDKDLGPLSSRSKER
+CAPSHPRTHSSPPPGSQSQPAQPGGASDCSSLSNNYQDLQSLQTNSESGSAPVCQEQTAK
+NPIKSDIPELQNCPGSGHLPSSLPNRETKQQRVTGGINPIHLVQGELCDLQGRLQSVEES
+LHSNQEKIKVLLNVIQDLEKARALTEGRNFYRTGQDLNNCSTCQNTACIIYSVEYDFRQQ
+EGRFHEVLQSLEEVELAGGGLSPTKVPSRASSSRETGLKAENQKGEEEVLLVDMSSWGPC
+PLPSPRQGTTALRLSLLPGEGPRPSDARLAKPE
+>tr|E1BBZ8|E1BBZ8_BOVIN FOS like 2, AP-1 transcription factor subunit OS=Bos taurus OX=9913 GN=FOSL2 PE=4 SV=1
+MYQDYPGNFDTSSRGSSGSPAHAESYSSGGGGQQKFRVDMPGSGSAFIPTINAITTSQDL
+QWMVQPTVITSMSSPYPRSHPYSPLPGLASVPGHMALPRPGVIKTIGTTVGRRRRDEQLS
+PEEEEKRRIRRERNKLAAAKCRNRRRELTEKLQAETEELEEEKSGLQKEIAELQKEKEKL
+EFMLVAHGPVCKISPEERRSPPASGLQALRGGASGGVGAVVVKQEPLEEDSPSSSSAGLD
+KAQRSVIKPISIAGGFYGEEPLHTPIVVTSTPAITPGTSNLVFTYPSVLEQESPASPSES
+CSKAHRRSSSSGDQSSDSLNSPTLLAL
+>tr|G3MWY1|G3MWY1_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC787134 PE=3 SV=1
+MDLKNGSVVTEFILQGFSAAWELQIVFFVTFSLIYGATVLGNALIMVTVTCSSTLHSPMY
+FLLGNLSFLDMCLSTVTTPKMIRDLLTEHKTISIWGCMAQMFFMHLFGGVEMTLLIAMAF
+DRYVAVCKPLHYRKIMNHRLLNRFVILSWTIGFTHTMSQMVLTVNLPFCGPHIIDNIFCD
+LPLVIKLACVETYTLELFVIADSGLLSLICFLLLLVSYTVILATVQRRSSGGLSKALSTL
+SAHITVVSLFFGPCIFIYAWPFKSFASNKVLAVFYTVITPLLNPIIYTLRNQKMQEAMKK
+LRFQNVSST
+>tr|E1BBI5|E1BBI5_BOVIN Zinc finger and BTB domain containing 11 OS=Bos taurus OX=9913 GN=ZBTB11 PE=4 SV=2
+MSSEESYQAILRYLTNECEPYAPGTEGNVKRKIRKAAACYVVRDGTLYYQRRQRHRKTFA
+ELEVVLQPERRRGLIEAAHLGPGGTHHTQHQTWHDLSKTYWWRGILKQVKDYIKQCSKCQ
+EKLDRSRPISDASEMLEELGLDLESGEESNESEDDLSNFTSPPTTASKPVKKKPVSKHEL
+VFVDTRGVVKRSSPKHCQAVLKQLNEQRLSNQFCDVTLLIEGEEYKAHKSVLSANSEYFR
+DLFIEKGAVSSHEAVVDLSGFCKASFLPLLEFAYTSVLSFDFCSMADVAILARHLFMSEV
+LEICESVHKLMEEKQLTVYKKGEVQTVASTQDLQEQSGGTAPPVANNTGTTTTLSTELGD
+CEIVLLMNGELPEQNGELGQQPEPQVSSQAEATASPVGCVTDSHPEMESVDLVTKNNQTE
+LETLNSREDSTVSSTHPKPSQENVISSSPGNTDTGNDTAAEDICAEDISEHRQDLDPSSK
+DEENLAEPTALMDQSPDDDTYRSRLRQRSVNEGGYIRLHKGMEKKLQKRKAIPKSAVQQV
+AQKLVQRGKKMKQPKRDAKENTEEEASHKCGECGMFFQRRYALIKHILKHERARDYKCPL
+CKKQFQYSASLRAHLIRHTRKDAPTSSSSSSASNEASGSSSEKGRTKREFICSICGRTLP
+KLYSLRIHMLKHTGVKPHACQVCGKTFIYKHGLKLHQSLHQSQKQFQCELCVKSFVTKRS
+LQEHMSIHTGESKYLCSVCGKSFHRGSGLSKHLKKHQPKPEVRGYHCTQCEKSFFEARDL
+RQHMNKHLGVKPFQCQFCDKCYSWKKDWYSHVKSHSVTEPYRCNICGKEFYEKALFRRHV
+KKATHGKKGRAKQNLERVCEQCGRKFTQLREYRRHMNNHGGVKPFECLTCGVAWADARSL
+KRHVRTHTGERPYVCPVCSEAYIDARTLRKHMTKFHRDYVPCKIMLEKDTLQFHNQGTQV
+EHAVSILTADMQEQENNGPQELETVVVTGETMEALEAVAATEECPSVSTLSDQSIMQVVN
+YVLAQQQGQKLSEVAEAIQTVEVEVAHISETE
+>tr|A0A3Q1MCC5|A0A3Q1MCC5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=TPO PE=4 SV=1
+GIVEASRLLVDKSVYAAMRRNLHKREVISPAQLLSFSKLPEPTSRAASRAAEVMEASVQE
+AARRGHLRSGPSQLPTDALSEGLLSAIANLSGCLPYMLPPRCPATCLASKYRLISGACNN
+RDHPRWGASNTALARWLPPAYEDGISEPRGWNPHFLYKGFPLPPVREVTRRIIHASNEAV
+TGDDRYSDLLTAWGQYIDHDIAFTPQSAAPSAPWVGADCQLTCEPRSPCFPIQLPANAAG
+PACLPFYRSTAACGTGTQGALFGNLSSANPRQQMNGLTSFLDASTVYGSSAASERRLRNW
+TSAEGLLRVNARYRDAGRAFLPFAPPSAPPACAPQPGAPGARAPCFLAGDGRASEVPALA
+ALHTLWLREHNRLATALKALNAHWSADTAYQEARKVVGALHQIITLRDYVPRILGPEAFG
+RHVGPYRGYDPSVDPTVSNVFSTAAFRFGHATIHPLVQRLDARFQEHLGPRLLLRDAFFR
+PWRLLEEGGVDPVMRGLLARPAKLQVQDQLLNEELTERLFVLSDAGTLDLASINLQRGRD
+HGLPGYNEWRQFCGLSRLETRADLGAATANGSMADRILDLYGHPDNIDVWLGGLAETFLP
+GARTGPLFACLVGKQMKALRDGDRFWWEHRAVFTEAQRRELGRHSLSRVICDNTGLTRVP
+RDAFRVGQWPQDFESCDRIPGMDLRAWREAPPPDDACGPEQVTCTRHGWDSQPPVCRDSG
+RLPRASVVSLVLGAMLVCSLAGLTWTVVCRWMLADPTSPLLPVEGDGEGPSWLGRGKPRD
+VCTPPRDASAGLAEQVGSQRAFLRFVASWVSVKTQEVIGSTGAVGASAWPSCVSI
+>tr|A0A3Q1LGH4|A0A3Q1LGH4_BOVIN KIAA0391 OS=Bos taurus OX=9913 GN=PRORP PE=4 SV=1
+MTFYFTGIRSFPKLWKSNSYFGLSSSHSYLSLFLKDCYGIRNQEKCFSVKTMSAQNTKAM
+NLLIAKARCVRKLDESSNKQVSADSSHMFAAGAAKKRSQMNPSSKDPVLPPVENIIQLPT
+KPLNSEEWDKLKEEFKEKANFENWIILQMVKCHSSVDVAKSVLAWVAAKNSGIVGYDLLV
+KYLYLCVFHKQTSEIIDVYEIMKARYKRLESGAYTLLMRGLIYTDRWREALLLLEDLKKV
+MIPSKKNYDDCIQGALFHQDVNVAWNLYQELLSHDIVPMLETLKAFFDFGKDMKDDQYSN
+KLHNILLYLRNNQLYPGESFAHSIKTWFESIPGEQWKGQFTTIQQSGQCLGCGKTVESIH
+LSSEEYEFLKAKIMRDVIDGGDQYKKTTPQLLNVVSHLAKQNLQVLVLGRKHMLTQNSRW
+RRVEMEKMQKQASFFFADNISEDDPFLLYATLHSGNHCKFITKDLMRDHKACLPDAKTQR
+LFFKWQQGHQLAIVSKHPGAKITFQHILSYDTVVQTTGDSWHIPYDDDLVERYSYEVPTK
+WLCLHRKT
+>tr|F1MC90|F1MC90_BOVIN Zinc finger protein 106 OS=Bos taurus OX=9913 GN=ZNF106 PE=4 SV=2
+MVRERKCILCHIVYSSKKEMDEHMRSMLHHRELENLKGRDSSHECRVCGVTEVGLSAYAK
+HISGQLHKDNVDAQEREDDGKEEEEEDYFDKELIQLIKQRKEQSRKDEPSNSSQEINSDD
+RRPQWRREDRIPYQDRESYSQPAWHHRGPPQWDRKWEKDGYSNTRKNSFTHSSRNNGGSR
+GCSGWHNGGAGGPSPWFHNHSNSGGGWHSNSGTVDWNHNGTGRNSSWHSEGAGGFSSWHM
+NSSNGNWKSSARGTNSWNYSGPGDKFQPGRNRNSNCQMEDMNMLWNTKSKSNKYNQDRYK
+WQWQENDKVGAVATYRGPSEGFASGKFHSEGLLDFNFEQLESQTTKQTDTFTSKIGGKSG
+SVAREKLHRWTPYPSQKTLDLQSGMKEVTGNKSEMIDKPLFDFSLITTGIKEPPNDKTNN
+SQKLKTKKEKHIESLKHKASSDCTASYEVVRECPITEKPEQEPNLNKMPSLKSPFLPTPG
+SKSVPQKQDSKNPSKNTKINSFFSGEHSNPLAKHTVEDNQSSCISKMRSSGPHVLKGNNK
+SSLGTQRDSDENLSDTLQKAKDVLQCHETLQNPLSTSKRTRNYAKASRNVEESEKGSLKI
+EFQVQTLEDESDGEISDTEKHETKIETLGSTTTEVLSCSTPAADEKRGDDQNLETSRKPS
+TSPCNSAVHQKETELQMTSVASPQSGLLLDLKTSLEDAQVDDPVKSHESYETEGFESTSL
+DAELQKSDIGQPSGPLLPELSKLGFPASLQRDLTRHISLKSKTGAHLPEPNLNSARRIRN
+ISGHRKSETEKESGLKPTLRQILNASRRNVNWEQVIQQVTKKKQELGKGLPRFGIEMVPL
+VQNEQEVLDLDGEPDLSDLEGFQWEGVSISSSPGLARKRSLSESSVIMDRAPSVYSFFSE
+EGTGKENEPQQIFSPNNSFRSAQSQKPTMSLKQEVTPLAASLRTDERTENVAARRRHSAQ
+LSPDRTIPLMHLTKELHSQESSTLLSENHNAQESNGEGNSLSSNASSALANSSLADAATD
+SSCTSGAEQNDGQNVRKKRRATGDGSSPELPSLERKNKRRKIKGKKERSQVDQLLNISLR
+EEELSKSLQCMDNNLLQARAALQTAYVEVQRLLMLKQQITMEMSALRTHRIQILQGLQET
+YEPSEHPDQVPSSLTREQKNVRSQTSTDVTLLPSPFFPNFLEPPSSHVSPSPAGTPLQAI
+TSSFQAHGSVPAPDSSVQIKQEPMSPEQEETVNAISQGSACSVSKELLQANREISDSCPV
+YPVTTAALSLSGLTERFHEPSQELKFSVEQGITRNRGNNPSSQSAGLPSIDKESEEPNKG
+NSGSEACTSSFPRLSFASETPLEKEPHSPADQPEQQAESTLTSAEARGNKKKKKLRKKKT
+LRAAHVPENSDTEQDVFTAKPVRKVKTGKSAKGGKVTTSTWEDSRTGPEQESVRDEPDSD
+SSLEVLELPNPQLEVVAIDSSESGEEKPDSPSKKDIWNSTEQNSLETSRSGCDEVSSTSE
+IGTRYKDGIPVSVAETQTVISSIKGSKNSSEISSEPGDDDEPTEGSFEGHQAAVNAIQIF
+GNLLYTCSADKTVRAYNLVSRKCIGVFEGHTSKVNCLLVTQTSGKNAALYTGSSDHTIRC
+YNVKTRHCVEQLQLEDRVLCLHSRWRILYAGLANGTVVTFNIKNNKRLEIFECHGPRAVS
+CLATAQEGARKLLVVGSYDCTISVRDARNGLLLRTLEGHSKTILCMKVVNDLVFSGSSDQ
+SVHAHNIHTGELVRIYKGHNHAVTVVNILGKVMVTACLDKFVRVYELQSHDRLQVYGGHK
+DMIMCMTIHKSMIYTGCYDGSIQAVRLNLMQNYRCWWHGCSLIFGVVDHLKQHLLTDHTN
+PNFQTLKCRWKNCDAFFTAKKGSKQDAAGHIEHHAEDDSKIDS
+>tr|A0A3Q1MRM7|A0A3Q1MRM7_BOVIN TBC1 domain family member 31 OS=Bos taurus OX=9913 GN=TBC1D31 PE=4 SV=1
+MQSTDLGSKESGKIWHRKPSPATRDGIIVNIIHNTSDYLPKVLRFLNVAFDSSGDCLIAG
+DHHGNIYVFDLCGNRFNLVQRTAQACTALAFNLHRKSEFLVALADYSIKCFDTVTKELVS
+WMRGHESSVFSISVHGSGRYAITTSSDTAQLWDLDTFQRKRKLNILQSVGIQKVFFLPLS
+NTILSCFKDNSIFAWECDTLVCKYQLPAPPESSNILYKVFAVTRDGRILAAGGKSNHIHL
+WCLEAKQLFRIIQMPTKVRAIRHLEFLPDSFDAGSNQVLGVLSQDGIMRFINIQTCKLLF
+EIGSLDDGISSSVISPHGRYIASIMENGSLNIYSVQTLTKEINKPPPPLVKVIEDLPKKK
+GNSGDLKVKVTSGRVRRPARSRESKIQTRILKQDLTCGFEKKENELSDGLNKKRLQILLK
+GYGEFPTKYRMFIWRSLLQLPENQTAFSNLIDKGIHVAFLNLQKKYPIKSRKLLRVLQRT
+LSALAHWSAIFSDTPYLPLLAFPFVKLFQNNQLICFEVVATLIINWCQHWFEYFPNPPIN
+ILSMIENVLAFHDKELLQHFINHDVTSQLYAWPLLETVFSEVLTREEWLKLFDNVFSNHP
+SFLLMTVVAYNICSRAPLLNCKRKDDFEYFFHHRNNLDISAVIREAYRLLDTTPADIHPD
+SMLDAFVALTKGQYPVFNQYPKFIVDYQTQERERIRNDELDYLRERQAVEEMQAEVDQQR
+VEDEAWYQKQELLRRAEETRREILLQEEEKMIQQRQRLAAVRRELKVKEMHLQDAARRRL
+LQLQQDQREMELRRLDDEIERKVHMRDREIAATAKDLEMRHLELESQKRLYEKNLSRNQE
+AVAKEMKENADAYRQKVDVEEHMFHRLIGTDQTQNQKIHKLIEENLAKAEQACLNTDWRI
+QALHKQRCSDLHRNECYQEIAKLLRKNRKKEIEVLNAMMEGEAKKWEEAEEKDFHLKSEK
+KTAALSDASRRWFLEKEIHDAQHPCDKVVPKGRDEEFASGCLPRASQLNDISEMESLTQS
+KSMNKKDVSYHVRFCNV
+>tr|F1N0V7|F1N0V7_BOVIN RNA polymerase II associated protein 3 OS=Bos taurus OX=9913 GN=RPAP3 PE=4 SV=2
+MASTSKAIELQLQVKQNAEELQDFMRDLENWEKDIKQKDMELRRQNGVPEENLPPIRNGN
+FRKKKKGKVKESSKKTKDENAKNRIKSYDYEAWAKLDVDSILDELDKEESTHDSVSQESE
+SEEDGIHVDSQKALALKEKGNKYFKQGKYDEAIECYTKGMDADPYNPVLPTNRASAYFRL
+KKFAVAESDCNLAIALNRSYTKAYARRGAARFALQKLEDAKKDYEKVLELEPNNFEATNE
+LRKINQALTSKEDSYPGETDTMVKSDEGEKKQIEEQQNKQQAVSEKDRGNAFFKEGKYER
+AIECYTRGIAADGANALLPANRAMAYLKIQKYEEAEKDCTQAVLLDGSYSKAFARRGTAR
+TFLGKLSEAKQDFETVLLLEPGNKQAVTELSKIKKELIEKGHWDDVFLDSTQRQNVIKPI
+DNLPHLGSTKPLKKVIIEETGNLIQTVDVRDSTATVAAAECNPVTLASVTATTGMTNKNT
+SQDDLLPTSDVPKAKVLKIEEISDTSALHSHVNLKKDVCQSLSEKIPTEVDKAPAQFIRT
+VLPPVPANSFQLESDFRQLKSSPDMLYQYLKQIEPSLYPKLFQKNLDPDVFNQIIKILHD
+FYIEKEKPSLIFEILQRLSELRRFDMAVMFMSESEKKITRVLFNHIDKSGLNDNSVEELK
+KRYGG
+>tr|F1MC11|F1MC11_BOVIN Keratin, type I cytoskeletal 14 OS=Bos taurus OX=9913 GN=KRT14 PE=1 SV=3
+MPGPPLCRSIKPGILLHLSTFLFPADSLTCSFTSLLGTMTTCSRQYTSSSSIKSSGGIGG
+GSSRISSVLAGGSCRAPSAYGGLSVSSSRYSSGGVCGLGGGYGGGFSSSSSFGGALGSGF
+GGGYGGGLGAGFGGGFGGGVGGGFGGGFGVGDGLLAGSEKVTMQNLNDRLASYLDKVRAL
+EEANADLEVKIRDWYQRQRPAEIKDYSPYFKTIEDLRNKILTATVDNANVVLQIDNARLA
+ADDFRTKYETELNLRLSVEADINGLRRVLDELTLARADLEMQIESLKEELAYLRKNHEEE
+MNSLRGQVGGDVNVEMDAAPGVDLSRILNEMRDQYEKMAEKNRKDAEDWFFSKTEELNRE
+VATNSELVQSGKSEISELRRTLQNLEIELQSQLSMKASLENSLEETKGRYCMQLAQIQEL
+ISSVEEQLAQLRCEMEQQNQEYKILLDVKTRLEQEIATYRRLLEGEDAHLSSSQFSSGSQ
+SSRDVSSSRQVRTKVVDVHDGKVVSTHEQIVRTKN
+>tr|G3N2R1|G3N2R1_BOVIN 60S ribosomal protein L6 OS=Bos taurus OX=9913 PE=3 SV=2
+MAGEKAEKPDTKEEKPEAKKADAGKKAKKVEKVKKVKKGKPHCSRNPVLVRGIGRYSRSA
+MYSRKALYKRKYSAAKSKVEKKKKVRVLATVTKPVGGDKNGGTRVVKLRKMPRYYPTEDV
+PRKLLSHGKKPFSKHVRKLRASITPGTILIILTGRHRGKRVVFLKQLGSGLLLVTGPLSL
+NRVPLRRTHQKFVIATSTKIDISGKLTITQ
+>tr|E1BQ11|E1BQ11_BOVIN Catenin delta 1 OS=Bos taurus OX=9913 GN=CTNND1 PE=1 SV=3
+MDDSEVESPASILASVKEQEAQFEKLTRALEEERRHVSAQLERVRVSPQDASPLLANGTL
+TRRHQNGRFVGDADLERQKFSDLSLNGPQDHSHLLYSTVPRMQEPGQIVETYTEEDPEGA
+MSVVSVETSDDGTTRRTETTVKKVVKTVTTRTVQPVPMGPDGLPVDASAVSNSYIQTLGR
+DFRKNGNGGPGPYVGQAGTATLPRNFHYPPDGYSRHYEDGYPGSGDNYGSLSRVTRIEER
+YRPSMEGYRAPSRQDVYGPQPQVRVGGSSVDLHRFHPEPYGLEDDQRSMGYDDVDYGMMS
+DYGTGRRTGTPSDPRRRLRSYEDMIGEEVPSDQYYWAPLAQHERGSLASLDSLRKGGPPP
+PNWRQPELPEVIAMLGFRLDAVKSNAAAYLQHLCYRNDKVKTDVRKLKGVPVLVGLLDHP
+KKEVHLGACGALKNISFGRDQENKIAIKNCDGVPALVRLLRKARDMDLTEVITGTLWNLS
+SHDSIKMEIVDHALHALTDEVIIPHSGWEREPSEDCKPRHVEWESVLTNTAGCLRNVSSE
+RSEARRKLRECDGLVDALIFIVQAEIGQKDSDSKLVENCVCLLRNLSYQVHREIPQAERY
+QEAPPSVANSTGPHAASCFGAKKGKGKKPTEDPTNDTVDFPKRTSPARGYELLFQPEVVR
+IYISLLKESKNPAILEASAGAIQNLCAGRWTYGRYIRSALRQEKALSAIADLLTNEHERV
+VKAASGALRNLAVDARNKELIGKHAIPNLVKNLPGGQQSSSQNFSEDTVVSILNTINEVI
+AENLEAAKKLRETQGIEKLVLINKSGNRSEKEVRAAALVLQTIWGYKELRKPLEKEGWKK
+SDFQVSLNNASRSQSSHSYDDSTLPLIDRSQRSDKKPDREEIQMSSMGSNTKSLDNNYST
+LNERGDHNRTLDRPGDLGEMEPLKGAPLMQDEGQESLEEELDVLFDEGEPMSCPAMQKI
+>tr|F1MM70|F1MM70_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC789957 PE=3 SV=2
+TLSEEMVKENATLLREFILTGFIYQPEWQIPLFLLFLMIYLITIVGNLGLIALICSDPHL
+HIPMYLFLGNLAFVDAWISSTVTPQLLVNFFAKSKMMSLTECKIQFFSFAVSVTTECFLL
+ATMAYDRYVAICKPLLYPVIMTKRLCIRLLISSFLGGLFHAILHNAFLLRLTFCNSNIIH
+HFYCDIVPLFKISCTDPSINFLMVFIFSGSIQVFTILTVLFSYTLILHTVLKKKSVQGIR
+KAFSTCGAHLLSVSLYYRPLLFMYVRPGSTQADDQDMMDSLFYMVIIPLLNPIIYSLRNK
+KVIDSLSKMLKRNA
+>tr|F1MG10|F1MG10_BOVIN COP9 signalosome complex subunit 6 OS=Bos taurus OX=9913 GN=COPS6 PE=4 SV=2
+MAATAAAANGTGGSSGMEVDAAVVPSVMASGVTGSVSVALHPLVILNISDHWIRMRSQEG
+RPMQVIGALIGKQEGRNIEVMNSFELLSHTVEEKIIIDKEYYYTKEEQFKQVFKELEFLG
+WYTTGGPPDPSDIHVHKQVCEIIESPLFLKLNPMTKHTDLPVSVFESVIDIINGEATMLF
+AELTYTLATEEAERIGVDHVARMTATGSGENSTVAEHLIAQHSAIKMLHSRVKLILEYVK
+ASEAGEVPFNHEILREAYALCHCLPVLSTDKFKTDFYDQCNDVGLMAYLGTITKTCNTMN
+QFVNKFNVLYDRQGIGRRMRGLFF
+>tr|A0A3S5ZPT9|A0A3S5ZPT9_BOVIN Leucyl-tRNA synthetase OS=Bos taurus OX=9913 GN=LARS PE=3 SV=1
+MAERKGTAKVDFLKKIEKEVQQKWDAKKVFEVNACNLEKQTSKDKYFVTFPYPYMNGRLH
+LGHTFSLSKCEFAVGYQRLKGKTCLFPFGLHCTGMPIKACADKLKREVELYGCPPDFPDE
+EEEEEEINDKTENIIIKDKAKGKKSKAAAKAGSSKYQWDIMKSLGLSDDEIVKFSEAEHW
+LEYFPPLAVQDLKRMGLKVDWRRSFITTDVNPYYDSFVRWQFLTLRERSRIKFGKRYTIY
+SPKDGQPCMDHDRQTGEGVGPQEYTLIKLKVLEPYPSKLSGLKGKNIFLVAATLRPETMF
+GQTNCWVRPDMKYIGFETMNGDIFICTQRAARNMSYQGFTKDNGVVPVVKELMGEDILGA
+SLSAPLTSYKVIYVLPMLTIKEDKGTGVVTSVPSDSPDDFAALRDLKKKQALRVKYGIRD
+DMVLPFEPVPVIEIPDFGKLSAVTICDELKIQSQNDREKLAEAKEKLYLRGFYDGVMLVD
+GFKGQKVQDVKKTIRNKMIDTGDAYIYMEPEKQVISRSSDECVVALCDQWYLDYGEENWK
+KQTSQCLKNLETYCEETRRNFEATLDWLQEHACSRTYGLGTRLPWDEQWLIESLSDSTIY
+MAFYTVAHLLQGGDLHGQAESPLGIRPQQMTKEVWDYIFFKEAPFPKTQIPKEKLDQLKQ
+EFEFWYPVDLRASGKDLIPNHLSYFLYNHVAMWPEECDKWPVAVRANGHLLLNSEKMSKS
+TGNFLTLSQAVDKYSADGMRLALADAGDTVEDANFVEAMADAGILRLYTWVEWVKEMVAN
+WDSLRSGPANTFNDKVFASEMNAGIIKTDQNYEKMMFKEALKTGFFEFQAAKDKYRELAI
+EGMHRDLVFRFIEVQTLLLAPFCPHLCEHIWTLLGKPDSIMTASWPLAGPVDESLIRSSQ
+YLMEVAHDLRLRLKNYMMPAKGKKTDKQPPEKPSHCTIYVAKNYPSWQHITLSVLRNHFE
+SNSGKLPDNKVIAGELGSLPELKKYMKKVMPFVAMIKENLEKMGPHVLDLQLEFDEQAVL
+MENIVYLTNSLELEHIEVKFASEAEDKVREDCCPGKPLNVFRTEPGVLVSLLNPQPFSGH
+FSTKIEIRQGDNRDSILRRLMKVDRGIKDLSKVKLMRFDDPVLGPRRVPVLGKEHAEKTP
+ISEHAVFHVDLMNKKIHLTENGLRADIGDTMIYLVH
+>tr|A0A3Q1M7C5|A0A3Q1M7C5_BOVIN Dynein axonemal heavy chain 10 OS=Bos taurus OX=9913 GN=DNAH10 PE=4 SV=1
+MDDLRVLWMRDRVYNAFGITDPQLFEELLNRNDGKAEELILHFLNQTSDEEGASTLFFYR
+KVVPEEVEVEIGEPLGRLPAVPSSALFVPITPARKADPRGAGSHTFGNIGCAHLPPHLIP
+QICSCLETISEATDMKEAMEIMPETLEYGIINSHVLAFLRDVISQVNMDGKKNLGTFYKS
+RITLKVIVCEIKLEMPSISVEGEVSDLAADPETVEILEQCVINWLNQISTALEGQMKKTP
+QGNGPLAEIEFWRERNATLSALHEQTKLPIVRKVLDVMKESDSLLVANLQPVLTELFKFH
+MEASDNVRFLSTVERHFKVGWVFHVVLETIPSMMSALRMVWIISRHYNKDERMIPLMERI
+AWEIAERVCKVVNLRTLFKENRASAQHKTLEARNTLNMWKKAYFDTRAKIEASGREARWE
+FDRKRLFERTDYMATICQDLYDILQVVEEFYNIFGPELKAVTGDPKRIDDVLCRVDGLVT
+PMENLTFDPFSIKCSQYWKYVMDDFKIEVLVIEKEAKNFIDESFKTLRSAEAAFDMLLKF
+KHIRSREAINRQMMMKFNDILAQYCKEIDIVNKIFVQNLDNPPLYKNHPPVAGAIYWERS
+LFFRIKHTILRFQEVEEILDSDGGREVKQKYLELGRMMKDYEDRKYEQWRETTEQILPTL
+MKKSLLTKVHLFRSETVFLVAAFSINFSPALREIINETKYLEQLGFPVPELARNIALQED
+KFLRYTDGIQRMLDHYHMLTGTLNEAESLLLDDHSQELLRVFRSGYKRLNWNSLGIADYI
+TRCKQAIGKFESLVHQIHKNADDISSRLTLIESINLFKYPAPKSEDELPGVKEFFEHIER
+ERAKDVDHMVRWYLAIGPLLTKVEGLVIHTNTGKAPKLASYYEYWENRIYEVLTKLILKN
+LQSFNSLVLGNVPLFQTETILTAPEIILHPNANEIDKMCVHCVRNCVEMTKHFVRWMNGS
+CIECPPQKGEEEELVTISFYNDISQNPQIIEQAVMIPQNVHRILVSLMKYLQKWKRYRPL
+WKLDKAIVMEKFAAKKPPCVAYDEKLQFYARIASEVMHHLLIKDEHCIRLQLGPLANTVQ
+ENAKSWVVSLGRLLNDSAREELNNLHEEIESLNKNLKKSPSTLEDLKFVLATIAEIRSKS
+LVMELRYRDVQERYRTMAMYGLFVSELFSVLLSLFLYSLFSLFIYFLAMLGEILNYRQQI
+EDFAKRFYREGPGSVGEDLDRGKDIHIATFDKELMKHEKNRQELANAEKLFDLPITMYPE
+LLKVQKEMSGLKVIYELYEELKVAKEEWSQTLWINLNVQFLQEGIESFLRSLRKLSRQVR
+NLSVAYHLESKMKAFKDSIPLLLDLKHEALRDRHWKELMEKTGVIFEMTETFTLENMFAM
+ELHKHTDVLNEIVTSAIKEIAIEKAVKEILDTWENMKFNVVKYYKGTQERGYILGSVDDI
+VQCLDDNTFNLQSISGSRFVGPFLQTVHKWEKTLSLIGEVIEIWMLVQRKWMYLESIFIG
+GDIRSQLPDEAKKFDNIDRVFKRIMGETLKDPVIKRCCEAPNRLNDLQNISEGLEKCQKS
+LNDYLDSKRNAFPRFFFISDDELLSILGNSDPLCVQEHMIKMYDNIALLRFHDGDSGEKL
+VSAMISAEGEVMEFRKIIRAEGRVEDWMTAVLNEMRRTNRLITKEAIFRYCEDKTRVDWM
+LMYQGMVVLAASQVWWTWEVEDVFRKVKQGEKQAMKNYGQKMHRQIDELVTRITLNLSRN
+DRKKYNTVLIIDVHARDIVDSFIRGSILEAREFEWESQLRFYWDREPDELNIRQCTGTFG
+YGYEYMGLNGRLVITPLTDRIYLTLTQALSMYLGGAPAGPAGTGKTETTKDLAKALGLLC
+VVTNCGEGMDYKAVGKIFSGLAQCGAWGCFDEFNRIDASVLSVISSQIQTIRNALIHQLT
+TFQFEGQEISLDSRMGIFITMNPGYAGRTELPESVKALFRPVVVIVPDLQQICEIMLFSE
+GFLWAKTLAKKMTVLYKLAREQLSKQHHYDFGLRALKSVLVMAGELKRGSSELREDVVLM
+RALRDMNLPKFVFEDVPLFLGLISDLFPGLDCPRVRYPDFNDAVEQVLQENGYIILPVQV
+DKVVQMFETMLTRHTTMVVGPTGGGKSVVINALCQAQTKLGLVTKLYILNPKAMSVIELY
+GILDPTTRDWTDGVLSNIFREINKPTDKKERKYILFDGDVDALWVENMNSVMDDNKLLTL
+ANGERIRLQAHCALLFEVGDLQYASPATVSRCGMVYVDPKNLKYQPYWKKWVNQIPNKME
+QGYLESLFEKYVPYLIDVIVEGIVDGRQGEKLKTIVPQTDLNMVTQLAKMLDSLLEGEIE
+EPDLLECYFLEALYCSLGSCLLEDGRAKFDECIKRIASLPTADTEGIWASPGELPGQLPT
+LYDFHFDSTQKKWIPWNQLVPEYVHSRERKFVDILVHTVDTTRTTWTLEQMVKIRQPVLL
+VGESGTSKTATTHNFLKNLNEETNIVLMVSFSSRTTSMDIQRNLEANVEKRTKDTYGPPM
+GKRLLVFMDDMNMPKVDEYGTQQPIALLKLLLEKGFLYDRGKELNCKSIRDLGFIAAMGK
+AGGGRNEVDPRFTSLFSVFNIPFPSEESLHLIYSSILKGHTSVFHESIVAVSDKLTSCTL
+TLYKNIVQDLPPTPSKFHYIFNLRDLSRVYNGLVLTNPERFQTVTQMVRVWRNECLRVFH
+DRLINETDKELVQEHIRILVTAHFNDDVEVVMRDPILFGDFRTALHEEEARIYEDIQDYE
+AAKALFQEILEEYNESNTKMNLVLFDDALEHLTRVHRIIRMDRGHALLVGVGGSGKQSLA
+RLAAFTAGYEVFEILLSRGYSENNFRDDLKNLYLKLGIENKMMIFLFTDAHVAEEGFLEL
+VNNMLTSGIVPALFPDEEKESILSQIGPEALKQGTGPAKESVWQYFVNKSANNLHIVLGM
+SPVGDTLRTRCRNFPGLVNNTGIDWFMPWPPQALHAVAKSFLGTNQMIPAENIEDLVEHV
+VLVHESVGEFSKQFLQKLRRCNYVTPKNYLDFINTYSKLLDEKTQYNIAQCKRLEGGLDK
+LKEATIQLDELNQKLAEQKIVLAEKSAACEALLEEIATNTAIAEEKKKLAEEKAMEIEEQ
+NKIIAVEKTEAETALAEVMPILEAAKLELQKLDKSDVTEIRSFAKPPKQVQTVCECILIM
+KGYKELNWKTAKGMMSDPNFLRSLMEIDFDSITQSQVKNIRGLLKTLNTTTEEMEAVSKA
+GLGMLKFVEAVMGYCDVFKEIKPKRDKVARLERNFYLTKRELEKIQNELAAIQRELEALG
+AKYEAAILEKQKLQEEAEIMERRLIAADKLISGLGSENVRWLNDLDELMHRRVKLLGDCL
+LCAAFLSYEGAFTWEFRDEMVNQVWQNDILERGIPLSQPFRLENLLTDDVEISRWGSQGL
+PPDELSVQNGILTTRASRFPLCIDPQQQALNWIKRKEEKNNLRVASFNDPDFLKQLEMSI
+KYGTPFLFHDVDEYIDPVIDNVLEKNIKVSQGRQFIILGDKEVDYDSNFRLYLNTKLANP
+RYSPSVFGKAMVINYTVTLKGLEDQLLSVLVAYERRELEEQREHLIQETSENKNLLKDLE
+DSLLRELATSTGNMLDNVELVQTLEETKSKATEVSEKLKLAEKTALDIDRLRDGYRPAAR
+RGAILFFVLSEMALVNSMYQYSLIAFLEVFGLSLKKSLPDSILMKRLKNIMDTLTFNIYN
+YGCTGLFERHKLLFSFNMTIKIEQAEGRVPQEELDFFLKGNISLEKSKRTKPCAWLSDQG
+WEDIMLLSEVFPDNFGNLPADVEKHLTVWQEWYDMDSLEQFPFPLGYDVNITPFQKLLIL
+RCFRVDRVYRAVTDYVTITMGEKYVQPPMISFEAIFEQSTPNSPIVFILSPGSDPASDLM
+KLAERSGFGGNRLKFLAMGQGQEKVALQLLETAVARGQWLMLQNCHLLVKWLKDLEKSLE
+RITKPHPDFRLWLTTDPTKGFPIGILQKSLKVVTEPPNGLKLNMRATYFKISPEMLDQCP
+HPAFKSLVYVLAFFHAVVQERRKFGKIGWNVYYDFNESDFQVCMEILNTYLTKAFQQHDP
+RIPWGSLKYLIGEVMYGGRAIDSFDRRILTIYMDEYLGDFLFDTFQPFHFFWNKEVDYKI
+PPGDVKEKFVEAIEALPLANTPEVFGLHPNAEIGYYTQAARDMWAHLLELQPQTGKVPCR
+QLHDYIGQVAKDIENKMPKIFDLDLVRKHLGQSISPTSVVLLQELERFNKLVIRMTRSLA
+ELQRALAGEVGMSSELDDVARSLFLGQIPNIWRKLAPDTLKSLGNWMLYFLRRFNQYTTW
+VTESEPSVMWLSGLHIPESYLTALVQATCRRNGWPLDRSTLFTQVTKFQDADEVNERAGQ
+GCFVSGLYLEGADWDIEKGCLIKSKPKVLVVDLPILKIIPIEAHRLKLQNTFRTPVYTTS
+TRRNAMGVGLVFEADLFTTKHISHWVLQGVCLTLNSD
+>tr|A0A3Q1LXA8|A0A3Q1LXA8_BOVIN Pyrophosphatase (inorganic) 2 OS=Bos taurus OX=9913 GN=PPA2 PE=1 SV=1
+MRALLPLLRTSWAWPVGAAAAASARLLRGASAGPGPRRTMALYRTEERGQPHSPDYRLFF
+KNVAGHYISPFHDIPLKVDSEEENGIPTKRARNDEYENVFNMVVEVPRWTNAKMEIATKE
+PLNPIKQDVKDGKLRYVANIFPHKGYIWNYGALPQTWEDPHRKDKSTDCCGDNDPIDVCE
+IGSKVLSRGEVVHVKILGVLALIDQGETDWKLIAINVNDPEASKFHDIEDVKKYKPGYLE
+ATLNWFRFYKIPEGKPENQFAFNGEFKNKAFALEVIKSAHECWRALLMDKCDGGAINCTN
+VQVCDSPFHCSQEEARSLVESVSFSLNKGSNEEDQVWHFLGK
+>tr|G5E5I7|G5E5I7_BOVIN Nuclear receptor subfamily 0 group B member 1 OS=Bos taurus OX=9913 GN=NR0B1 PE=3 SV=1
+MAGEDHQWQGSILYNMLMSAKQVQATPEPPKARVGAACWGCSCGSEPPVGREGLTGAPAT
+VLLYRCCFCGEDHPRQGSILYNLLMNAKQAQETPEAPEEGLGGACWGCSCGSEPPAGREG
+LTGVRTTVLLYRCCFCGEDHPRQGSILYSLLTSAKQTHVEPEAPEARPGGAWWDSSYSAR
+RLGGREELQGGQAGALPCRCCFCGEDHPRLGSLPCHVPPGVKPTDLGREAPPGTPWWDPA
+RGVRRRVTLKSPQVVCEAASAGLLKTLRFVKYLPCFQVLPLDQQLVLLRSCWAPLLLLEL
+AQDRLNFETVETSEPSLLQSILATRRRETAGNEPPPQPPLLPHSVLPLEAEYLPSAAEVQ
+TIKGFLAKCRSLDITTKEYAYLKGTVLFNPDLPGLQCVKYIQGLQWGTQQILSEHVRMTH
+GVYRARFAELNSALFLLRFISANTLAELFFRPIIGTVSMDDMMLEMLCAKL
+>tr|F1MKV7|F1MKV7_BOVIN Cubilin OS=Bos taurus OX=9913 GN=CUBN PE=4 SV=2
+MSSLFLGSLVILLTFAASYSEDGGLALQRQKRNIDLQQPRMTAERGNLVFLTGSAQNIEF
+RTGSLGRIKLNDEDVGECFHQIQKNKDDITNLKRTTVGLPQNISNQIHQLDSKLADLERK
+LQSLQQTLDRKVCSSNPCQHGGTCLNLPDSFFCMCPSQWKGPLCSVDVNECEIYSGTPLG
+CQNGATCINTAGSYSCLCSPETHGPQCASKYDDCEGGSKARCMHGICEDLVRVKAGEPEY
+SCICDAGWKAPSNSTACTLDVDECNLWPAPCSELVRCFNTPGSFYCGACPTGWQGNGYIC
+EDINECEINNGGCSVAPLVECINTHGSYHCHSCPPGYQGDGRVCTLVDRCSVNNGGCHPQ
+ASCSLALGSLPLCTCLPGYTGNGYGPNGCVQLSNICLSRPCVNGQCIETVSGYVCKCESG
+WTSVNCTENINECLSNPCLNGGTCVDGVNAFSCECTHFWTGFLCHIPQEVCGESLSGMNG
+SFSFVSPDVAYAHDINCFWVIHTEEGKVLRITFTFFQLEPTNNCPHEFLQIHDGDSSAAH
+QLGRFCGSSPPQELLSSDNALYFHLYSEHLRSERGFTIRWETQLPECGGMLTGTYGSIKS
+PGYPGKYPPGRDCVWRVITSPDLLITFTFGTLSLEHHDDCSKDYLEIRDGPLHQDPVLGK
+FCTTLSAPPLQTTGPFARIHFHSDNEINDQGFLITYLTSPSDLQCGGNYTDPEGLLFADL
+SGPFMHDRQCIYTIKQPPGEQIQVNFTVVELEGQSGCSHSYIEVRDDQTLLGKVCGNETL
+SHIKSITNSIWIRLKMDVSVVRASFSAVYQVACGGELTGEGIIRSPFYPNVYPGERVCVW
+TIRQPQSQVVLLNFTAFDMGGSAHCDTDYVEVGSSSILGSLKNEKHCGADIPSFITSVYN
+SLHVIFVKSSSADNHGFVAEFSSAALACGKVLTESTGSIQSPGYPNIYPHGISCTWHIIV
+QPGHLIHLRFREFHLEFHYNCTKDYLEVYDTGSQTFLGRYCGKSIPPSLTSNDNSVRLTF
+VADSDLAYEGFLINYEATDASAACLNDYTEESGTFTSPNFPGFYPNNLECIYRITVESSQ
+QIALHFTNFSLEDAIGSTCVADYVEIRNGGYENSPLLGQYCGSNLPPRIISHSNKLWLKF
+KSDFFGSGPGFSAYWDGSLTGCGGNLTTSTGTFTSPNYPMPYYHSSECSWWLKASRGSPF
+LLEFEDFHLEYHPNCSQDYLAVYDGSSTSSHLLTRLCGNEKPSVIRSSGDSMSLKLRTDE
+GQQGGGFLVKYQQTCDNVVIVNQTYGTLESIHYPNPYSVNQRCNWTIQATTGNTVNYTFL
+AFELEYYTNCSTDYLELYDGPQRMGRFCGTDIPPSGSTTGSKFHVLFYTDGVSHHEKGFQ
+MQWFIQGCGGELSGDTGSFSSPGYPNRYPANKECIWYIHTAPGSSIQLTIHDFDVEYHAR
+CNFDVLEIYGGPDFHSPRIAQLCVQRSSENPMQVSSTGNELAIRFKTDSSINGRGFNVSW
+RAVPGGCGGIFQAPSGEIHSPNYPSPYRSNTECTWVIQVEKHHRVLLNFTDFDLEPQDSC
+VLAFDGVSSATARLAGVCGRQQLRNPIISSGNSLFLRFQSGPSRQSRGFRAYFRQACGGH
+FLTDSVDTISSPLFPNNYPSNQNCSWIIQAQPPFNHITLSFSHFGLESSTPCTRDFVEVL
+DGSHDDAPLRGRYCGPSLPHPITSFSNALMLKFVSDSTVNFGGFHATYAASTSACGGIFH
+MAEGIFNSPGYPEVYPANVECVWNVVSSPGNQLQLSFIAFQLEESQDCSRDFVEVREGNA
+TGRLVGRYCGNALPLNYSSAVGHILWIRFVSDGSGTGMGFQAAFASIFGNDNIVGTHGKI
+ASPLWPGNYPHNSNYQWIVNVEESQVIHARILEMDMEGTLNCYYDKLKIYDGAGIHSRLV
+GTYCGTQTDSFSSTGSSLTFQFSSDSSISGRGFLLEWFAMNASVGPVPTIATGACGGFLQ
+TGDTPVFLYSPGWPESYSNGANCMWLIQAPDSTVELNILSLDIESHSTCSYDKLVIRDGD
+NSMAQELAVLCGREVPGPIRSTGEYMVIHFTSDFSVTGAGFNASFHKSCGGYLHADRGII
+TSPRYPETYTPNLNCSWHVQVQSGLTIAVHFEQPFQILNRDSSCNQGDYLVLKNGPDLSS
+PPLGPHGGNGRFCGSHPSSTLFTSDNQMFVQFISDNSNEGQGFKITYEAKSLACGGNIYI
+HNADSTGYVTSPNHPDHYPQHADCIWLIAAPPGKLIRLQFEGQFSIEVTPNCTSNYLELR
+DGADSNAPILSKFCGTSLPISQLSSGEVMYLRFRSDNSPTHVGFKAKYSIAPCGGAVTGQ
+SGVIESNGYPTQPYTDDLFCEWRLRGPLGHYLTIHFEGFNLQNSSGCERDFVEIWENHTS
+GTLLGRYCGSSIPSSMDTSSNVALVRFVTDGSVSASGFRLRFDSSLEACGGDLQGPSGTF
+TSPNYRSPNPHGWMCEWRITVQEGRRVTLTFDSLRLEAHPWCSSEHVTVFNGIRSNSPQL
+EKLCRSMNGSNEIESSGNTMKVVYFTDGSRPYGGFIASYTSNEDAVCGGSLTSFPKGNFT
+SPGYDGVSNYSRNLNCEWMLSNPNQGNSSIYIHFEDFYLETHQDCQSDVLEFRVDNADGL
+LIWRLCGSSKPRMPLVIPYPEVWIHFVTNERVEHVGFHAQYSFTDCGGIQIGDSGVISSP
+NYPAAYDSLTHCSWLLEAPQGHTINLTFSDFDVEAHENCAWDSVTVRNGGSPGSPIIGHY
+CGILNPGTIQSGSNQLVVIFNTDHSIQHGGFYATWSSETLGCGGVLHLNNGTIRSPHWPQ
+NFPENSRCSWTVITHQSKHLEISFDNNFLIPSGDGQCQNSFVKVWGDTQESSQTLLATSC
+GNVAPRPITTPRNVFTAVFQSQEAPAQGFSASFVSRCGGNFTSPSGYIVSPNYPKQYDNN
+MNCTYIIEASPLSVIVLTLVSFHLEAHSAVTGSCVNDGVHIIRGYSLSSTPLATLCGEDS
+LSPLTTSGPVLLNFYSNAHTTDLGFKFSYRITSCGGVFNLSTGVIKSPLYTYSNYPDDVH
+CLYTITVRSNRVIQLKFNDFYVVPSASCSEDYLAVYDGSNISDPLLGQFCGSNLPPNIRS
+SNNSMFLVFKTDSIQTARGWRITFRQTLGPQQGCGGYLTGSDHSFASPDSDSNGRYDKGL
+NCVWFITAPVNKVIKLTFHTFALEAATTLRRCIYDYVKLYDGDSENANLAGTFCGSTVPA
+PFISSGNFLTVQFVSDLSLEREGFNATYTIMDMPCGGTFNATRTPQSISSPNSSDPDVPL
+ATCTWVIEAPLHQQVEITVWAFQLHSQDCNQNYLEFQDPPESNGNPGIRFCGGNVSAVPT
+FYSSTSTAVIVFKSEVFSINSRVGFTYKIADCNREYKKAFGNLRSPGWPSDYASNVDCTT
+VLTAPDNHTISLFFHSFGIEDSSGCTHDFLEVRNGSESSSPLLGTYCGTLLPNPIFSQSH
+ELYLRFKSDGATSGRGYEIIWTSSPSGCGGTLYGDSGSVTSPGYPGTYPNNTHCEWAILA
+PAGRPVTVSFYFISIDDPGECVQNYLLLYDGPDANSPSSGPYCGADTDIAPFVASSHCVF
+IKFHAEYAARPSALRLTWAS
+>tr|A0A3Q1LMF5|A0A3Q1LMF5_BOVIN Ubiquitin carboxyl-terminal hydrolase CYLD OS=Bos taurus OX=9913 GN=CYLD PE=3 SV=1
+MSSGLWSQEKVTSPYWEERIFYLLLQECSVTDKQTQKLLKVPKGSIGQNIQDRSVGLSRI
+PSAKGKKNQIGLKILEQPHAVLFVDEKDVVEINEKFTELLLAITNCEERFSLFKNRNRLS
+KGLQIDVGCPVKVQLRSGEEKFPGVVRFRGPLLAERTVSGIFFGVELLEEGRGQGFTDGV
+YQGKQLFQCDEDCGVFVALDKLELIEDDDTGLESDYAGPVDTMQVELPPLEINSRVSLKL
+GETIESGTVIFCDVLPGKESLGYFVGVDMDNPIGNWDGRFDGVQLCSFASVESTILLHIN
+DIIPGSTSDPGTRNRSELFYTLNGSSVDSQPQSKSKNSWYIDEVAEDPAKSLTEIPPDFG
+HASPPLQPPSMNSLSSENRFHSLPFSLTKMPNTNGSISHSPLSLSVQSVMGELNNAPVQE
+SPPLAVSSGNSHGLEVGSLAEVKENPPFYGVIRWIGQPPGLNEVLAGLELEDECAGCTDG
+TFRGTRYFTCALKKALFVKLKSCRPDSRFASLQPVSNQIERCNSLAFGGYLSEVVEENTP
+PKMEKEGFEIMIGKKKGIQGHYNSCYLDSTLFCLFAFSSVLDTVLLRPKEKNDVEYYSET
+QELLRTEIVNPLRIYGYVCATKIMKLRKILEKVEAASGFTSEEKDPEEFLNILFHHILRV
+EPLLKIRSAGQKVQDCYFYQIFMEKNEKVGVPTIQQLLECSFINSNLKFAEAPSCLIIQM
+PRFGKDFKLFKKIFPSLELNITDLLEDTPRQCRICGGLAMYECRECYDDPDISAGKIKQF
+CKTCNAQVHLHPKRLNHKYNPVSLPKDLPDWDWRHGCIPCQKMELFAVLCIETSHYVAFV
+KYGKDDSAWLFFDSMADRDGGQNGFNIPQVTPCPEVGEYLKMSLDDLHSLDSRRIQGCAR
+RLLCDAYMCMYQSPTMSLYK
+>tr|F1MSM5|F1MSM5_BOVIN Mitochondrial poly(A) polymerase OS=Bos taurus OX=9913 GN=MTPAP PE=4 SV=1
+MAACSVGLLTRLSLRVQRSQVQRPLRRLLSCPGTVAADLRREEQSSGSAETGSEDRTPKK
+RFSEVQNERREQAQRTVLIHCPDKINEKKFLKFLSQHGPINSHFFYESFGLYAAVEFCQK
+ESVTSLQNITRTPSLGPEAAIPFKSRYLNLKLRNSLNQTSELSSIQCSNQSSPSSKKLYQ
+LLCCAESVDDQLNTLLREFQLTEENTRLRYLTCSLIEDVAAAYFPDCAVRPFGSSVNSFG
+KLGCDLDMFLDLDEIGKFTAQKTSGNFLMEFQVKNVPSERVATQKILSVIGECLDQFGPG
+CVGVQRILNARCPLVRFSHQASGFQCDLTTNNRIALKSSELLYMYGALDSRVRALVFSVR
+CWARAHSLTSSIPGAWITNFSLTMMVIFFLQRRSPPILPTLDYLKTLADAEDKCIIEGHN
+CTFVGDLNRIKPSRNTETLELLLKEFFEYFGNFAFNKNSINIRQGREQNKPESSPLHIQN
+PFETSLNVSKNVSQSQLQKFVDLARESAWILHQEGKDRPSPSSNQPWGLAALLLPSVANN
+MSLSKKKKKPASERIKNLLDSIKSSGAENSTATNGRRAISTQA
+>tr|A0A3Q1M542|A0A3Q1M542_BOVIN T cell-interacting, activating receptor on myeloid cells 1 OS=Bos taurus OX=9913 GN=TARM1 PE=4 SV=1
+MMLSKLLLLLCFRLCVGQADRGRAEVLPKPSLRAWPSSVVPDGSSVTLRCGTPTRDVSFA
+LRKGARVWEMVQSPDSTEGQAEFHLPDVKSSHAGEYTCEYHRRGNPHVSARPSDALLLLV
+TGYLRQPSLQAHRRGPVTEGQEVTLQCQRPAAELGPVMFALLKAGSAAPVQVRAPAGRET
+DFSLRNLSAGDSGNYSCVYYQARAPFRASQASPRLEIRVAGNTAGDGLEKSLALTGMCRG
+KTRTQASL
+>tr|A0A3Q1M951|A0A3Q1M951_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MDALDLPGCFRTRSHSYLRAIQAGYSQDDECVPLTIPADAASTIRPTGVTYASYKKTPPP
+CAPPSTESTQDAYQDSRAQRMAAWPPDGRGLYNSTDSLDSNKAMSLALETAAAQRHASEG
+QGGATRTGDKAILASKAEEFLKSQRSSIGVQVETATDSDTESRGLREYHSVGVQVEDEKR
+HGRFKRSNSVTAGVQADLELEGFPGHVTTEDKGLQFGSSFQRHSEPSTPTQYGAVRTVRT
+QGLFSYREDYRTPVDTANLPPPDPWLEPSLDAVDGGRVSPCHRDGAWFLKLLHTETKRME
+GWCKEMEREAEENDLVEEVLGKIRSAVGSAQLLMSQKFQQFYWLCQQNMDPSAMPRPTSQ
+DLAGYWDMLQLSVQDVSMKFDELHQLKLTDWKVVESPERKEERKVPPPIPKKPPRGKLPI
+TREKSLDLPDRQRQEARRRLAAAKRAASFRQSSASERADSIEIYIPEAQTRL
+>tr|A0A452DIS8|A0A452DIS8_BOVIN Kit ligand OS=Bos taurus OX=9913 GN=KITLG PE=3 SV=1
+KKKTHKIDSFFHIKTWIITCIYLQLLLFNPLVHTQGICSNRVTDDVKDVTKLVANLPKDY
+MITLKYVPGMDVLPSHCWISEMVEQLSVSLTDLLDKFSNISEGLSNYCIIDKLVKIVDDL
+VECMEEHSSENVKKSSKSPEPRQFTPEKFFGIFNKSIDAFKDLEIVASKMSECVISSTSS
+PEKDSRVSVTKPFMLPPVAASSLRNDSSSSNRKASNSIEDSSLQWAAVALPAFFSLVIGF
+AFGAFYWKKKQPNLTRTVENRQINEEDNEISMLQEKEREFQEV
+>tr|E1BP25|E1BP25_BOVIN Solute carrier family 14 member 2 OS=Bos taurus OX=9913 GN=SLC14A2 PE=4 SV=3
+MSDAHTHSSPLLAEPLSSKYKLYEFEFPSPGCSPNSQDAHPALPLLEMPEEKDLRSSNEE
+SHIVKIEKPNEKDTRRETGAAPQDSAGQGGISLFQAVGYLTGEMKEYRSWLKDKPLAFQF
+TDWVLRGAAQVMFANNPLSGFIIFIGLLIQNPWWTITGGLGTVVSTLTALILSQDRAAIA
+SGLHGYNGMLVGMLIAVFSEKLDYYWWLLLPVTLTAMSCPVFSSALSSIFSKWDLPVFTL
+PFNLAVTLYLAATGHYNLFFPTTLVEPVSSVPNITWSEIEMPLLLQAIPVGVGQVYGCDN
+PWTGGVFLVALFICSPLICLHAAIGSIVGLLAALTVATPFKTIYLGLWSYNSVLSCIAIG
+GMFYALTWQTHLLALVCALFCAYMGAALTNIMSVVGVPPGTWPFCLSTLTFLLLTTNNPT
+IYKLPLSRVTYPEANRIYYLTVKSGEEEKSSSGNTEAEPPSTSPKADEGSEAVLPKPRNV
+FHIEWSSIHRRSKVFGKGEQQERHPKDPSPRLYRKPTVELLALETMEDSSEIKVETGISK
+TSWIRSSLATIGKRIRKALGYITGEMKECGQGLKDKSPVFQFLDWVLRGTSQVMFVNNPL
+SGILIVLGLFIQNPWWAISGCLGTVVSTLTALILSQDKSAIAAGLHGYNGVLVGLLMAVF
+SDKGDYFWWLLLPVIVMSMSCPILSSALGTIFSKWDLPVFTLPFNIAVTLYLAATGHYNL
+FFPTTLLQPVSSVPNITWSEIQVPLLLRAIPVGIGQVYGCDNPWTGGIFLIALFISSPLI
+CLHAAIGSTIGMLAALTLATPFDSIYFGLCGFNSSLACIAIGGMFYVITWQTHLLAIACA
+LFTAYLGTALANMLSVCGLPPCTWPFCFSALTFLLLTTNNPAIYKLPLSRVTYPEANRIY
+HLSQEKNRRASTITKYQAYDVS
+>tr|F1N4R0|F1N4R0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=KCNQ1 PE=3 SV=3
+MAAATSPPRAERKRWGGGRLPGARRGSAGLAKKCPFSLELAEGSPAGSALYAPIAPPGAP
+GPVSPAAPPAAADLGPRPRVSLDPRVSIYSARRPLLARTHIQGRVYNFLERPTGWKCFVY
+HFAVFLIVLVCLIFSVLSTIEQYVALATGTLFWMEIVLVVFFGTEYVVRLWSAGCRSKYV
+GIWGRLRFARKPISIIDLIVVVASMVVLCVGSKGQVFATSAIRGIRFLQILRMLHVDRQG
+GTWRLLGSVVFIHRQELITTLYIGFLGLIFSSYFVYLAEKDAVNESGQVEFGSYADALWW
+GVVTVTTIGYGDKVPQTWVGKTIASCFSVFAISFFALPAGILGSGFALKVQQKQRQKHFN
+RQIPAAASLIQTAWRCYAAENPDSSTWKIYVRKPSRNHALLSPSPKPKKSAMVKKKKFKL
+DKDNGVSPGEKALVVPHITCDPVAEDRRPEPFSVDGYDSTVKKSPTLLEVSTAHFMRTNS
+FAEDLDLDGEALLTPITHVSQLREHHRATIKVIRRMQYFVAKKKFQQARKPYDVRDVIEQ
+YSQGHLNLMVRIKELQRREDEGPWQQLDRCPPEPRGGQGNSVGPEAGAHHRHAAPAARTA
+ERRPPCGPAPQHSRGPGRPAFKRRLHQPRALPAQQRAAHLRTADRAPWGARRGLVMGAQG
+P
+>tr|F1MC01|F1MC01_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC783313 PE=3 SV=3
+MEPWNLTGVSEFVLLGFSKEEELQTLIFVIFLSMYLITVFGNLLIILATVYDSHLHTPMY
+FFLSNLSFADICFTTTTVPKMLVNIQTQSKVITYAGCITQMYFFLFFSGLDIYLLTVMAY
+DRFVAICHPLHYMVIMNPRLCGLLLLVTWIISVLHSLLQSLMVLRLSFCTDVEIPHFFCE
+LNQMVQLACCDTFLNNMVMYFAAVLLAGGPLAAILFSYSKIVSSIRGISSAQGKQAAFST
+CMSHLSVVSLFFCTSLGVYLSSAATHRSHSSAKASVMYAVVTPMLNPFIYSLRNKDIKGA
+LKRFLGMAVIKGTVVTGLKSCL
+>tr|A0A3Q1NEK5|A0A3Q1NEK5_BOVIN Spindle and kinetochore-associated protein 1 OS=Bos taurus OX=9913 GN=SKA1 PE=4 SV=1
+MASDLEQLCSHINEKIGNIKRTLSLRNCGQEPTLKTILNKIGDEIIVVNELLNKLELEIQ
+YQEQTNSSLKGKGLQSSRSISFSMLELFESLEEDYKDVEHLKENIPPHLPQVTVTQNFVN
+GSDLDPEEPVKVEEPAPTKKPPKEQRSIKEMPFITSDEFNGIPAYMKSRLTYCHINDVIK
+EINKAVVSKYKILHQPKKSMSSVARNLYHRFIDEETKETKGHYFVVEADIKEFTTLKVDK
+RFHGILNILRHCRRLSEVRGKGLTRYVIT
+>tr|F1MFZ2|F1MFZ2_BOVIN Cdk5 and Abl enzyme substrate 2 OS=Bos taurus OX=9913 GN=CABLES2 PE=4 SV=2
+MAAAAAGGAPGTSSGPTARAAPQALRRRGDSRRRQAALFFLNNISLDGRPPSLGPGGEKP
+PPPPPPPTETREPPAPPPPPPPPPPAPPAGLPLPGPGGRTPAPQGLLSPAPAPTGLGLGL
+GLGLDGQRQRRRVASQRCSLEFLEDTVGCPSGQRTKHISGSPRHKGLKKTHFIKNMRQYD
+TRNSRIVLICARRSLCAAFSVLPYGEGLRVSDLRLDSQKQRHPSGGVSVSSEMVFQLEGV
+ELGADGKVVSYAKFLYPTNALVTLKPDSHGPAPQPRPSVPRTLLGSRCKPVPPRAAPAGS
+ELGADAGDALEYNPNLLDDPQWPCGKHKRVLIFASYMTTVIEYVKPSDLKKDMNETFREK
+FPHIRLTLSKIRSLKREMRNLSEECGLEPVTVSMAYVYFEKLVLQGKLNKQNRKLCAGAC
+VLLAAKISSDLRKSDVKQLIDKLEERFRFNRRDLIGFEFTVLVALELALYLPENQVLPHY
+RRLTQQF
+>tr|A0A3Q1LPM8|A0A3Q1LPM8_BOVIN Amyloid beta precursor protein binding family B member 2 OS=Bos taurus OX=9913 GN=APBB2 PE=4 SV=1
+MSEVLPADSGVDTLAVFMASSGTTDVTNRNSPVTPPNTLNLRSSHNELLNAEIKHTETKN
+STPPKCRKKYALTNIQAAMGLSDPAAQPLLGNGSANIKLVKNGENQLRKAAEQGQQDPNR
+NVSPTAVINITSEKLEGKEPHPRDSPDCEILPSQPRRTKSFLNYYADLETSTRDLEQNVG
+NQLGAVGEKAQPGQASPTVGNGDVLLQKPNKPQSSPEEGHIATVSSSPETKKDHPKTGAK
+TDCALHRIQNLAPSDEESSWTTLSQDSASPSSPDETADIWSDHSFQTDPDLPPGWKRISD
+IAGTYYWHIPTGTTQWERPVSIPADLQGSRKGSLSSVTSSPTPENEKQPWSDFAVLNGGK
+INSDIWKDLHAATVNPDPSLKEFEGATLRYASLKLRNAPHADDDDSCSINSDPEAKCFAV
+RSLGWVEMAEEDLAPGKSSVAVNNCIRQLSYCKNDIRDTVGIWGEGKDMYLVLENDTLSL
+VDPMDRSVLHAQPIVSIRVWGVGRDNGRDFAYVARDKDTRILKCHVFRCDTPAKAIATSL
+HEICSKIMAERKNAKALACSSLQERTHGNLDVPLQDFPTPKTELVQKFHVQYLGMLPVDR
+PVGMDTLNNAIESLMTSSSKEEWPSVNMNVADATVTVISEKNEEEILVECRVRFLSFMGV
+GKDVHTFAFIMDTGNQHFECHVFWCEPNAGNVSEAVQAACMLRYQKCLVARPPSQKVRPP
+PPPADSVTRRVTTNVKRGVLSLIDTLKQKRPVTETP
+>tr|A0A3Q1M3N1|A0A3Q1M3N1_BOVIN DNA excision repair protein ERCC-8 OS=Bos taurus OX=9913 GN=ERCC8 PE=4 SV=1
+MLGFLSARQAGLEDPLRLRRAESTRRVLGLELNKDRDVERIHCSGVNTLDIEPVEGRYML
+SGGSDGVIVLYDLENSSRQPYYTCKAVCSVGRSHPDVHKYSVETVQWYPHDTGMFTSSSF
+DKTLKVWDTNTLQIADVFNFEETVYSHHMSPVATKHCLVAVGTRGPKVQLCDLKSGSCSH
+ILQGHRQEILAVSWSPRYEYILATASADSRAKLWDVRRASGCLITLDQHNGKKSQAAESA
+NTAHNGKVNGLCFTSDGLHLLTVGTDNRMRLWNSSNGENTLVNYGKVYNDSRKGLKFTVS
+SGCSSEFVFVPYGSTIAVYTIYSGEQITMLKGHYKSVDCCVFQSNFQTSTRSQLNPAFED
+AWSSSDEEG
+>tr|A0A3Q1MKE9|A0A3Q1MKE9_BOVIN Interleukin-1 OS=Bos taurus OX=9913 GN=IL1RN PE=3 SV=1
+MQIRTRLASVSLSHRSGSWACPPPCSGQPCVGMWEGRLGLLLSPSARNRVGGRGSALGGA
+GGPGVAWLCLLPVSPLRLEPEPGIPWRTSSFTMALETACHPLGKRRCEMQAFRIWDVNQK
+IFYLRNNQLVAGYLQGPNTKLEEKIDVVPIEPHTMFLGIHGGKLCLACVKSGDEIKLKLE
+AVNITDLNQNREQDKRFAFIRFDNGPTTSFESAACPGWFLCTSLEADQPVGLTNMPTEAL
+KVTKFYFQQD
+>tr|F1MAU8|F1MAU8_BOVIN Cystatin domain-containing protein OS=Bos taurus OX=9913 GN=MGC133636 PE=4 SV=1
+MFLKATLLLGLAVLGMHVWAIQMEFVDISKDLDYFVVSVEFAVAWFNSGNTEEQAYKLLE
+VRRAQQKSWTMIYLMELDLGRTICKKHDEDIDNCPLQESPGERKVNCTFIVDSRPWFTQF
+TLLNST
+>tr|F1N1R9|F1N1R9_BOVIN Neuronal pentraxin receptor OS=Bos taurus OX=9913 GN=NPTXR PE=4 SV=3
+LKFLAVAHPLPPVPLFSRFLCTPLAAACPSGAEPGDAAPGEREELLLLQSTAEQLRQTAL
+QQEARIRADQDTIRELTGKLGRCESGLPRGLQEAGPRRDTMADGPWDSPALILELEDAVR
+ALRDRIDRIEQELPARVNFSAAPAPAPVVPTALHSKMDELEGQLLAKVLALEKERMALGH
+SSQQQRQEVEKELDALQDRVAELEHGSSAYSPPDAFKISIPIRNNYMYARVRKALPELYA
+FTVCMWLRSRSGGTGQGTPFSYSVPGQANEIVLLEAGHDPMELLINDKVAQLPLSLKDNG
+WHHICIAWTTRDGLWSAYQDGELRGSGENLAAWHPIKPHGILILGQEQDTLGGRFDATQA
+FVGDIAQFNLWDHALTPAQVLGIANCTGPLLGNILPWEDKLVEAFGGATKAAFDVCKGRA
+KA
+>tr|E1BNN2|E1BNN2_BOVIN TLC domain containing 2 OS=Bos taurus OX=9913 GN=TLCD2 PE=4 SV=2
+MAPSGLLVTGASFAAFRGLHWGLQLLPTPGSAAQNRWKWRNICVSLVHSLLTGAGALLGL
+SLYPQMAADPIHGHPPWALLLVAFSVGYFLADGTDLLWNQTLGQAWDLVCHHALVVSCLS
+TAVLSGHYVGFSVVSLLLELNSIFLHLRQLLLLSRQAPSLAFSVTSWAALATLVLFRLVP
+LGWMSLWLFQQCHQIPLALVILGGTGLAFVGVMSISLGVHILVSDVLRSRPCPPILGNKE
+ARGTRTDCDGEAITRDDSTLSLKD
+>tr|A0A3Q1MX85|A0A3Q1MX85_BOVIN Coiled-coil serine rich protein 2 OS=Bos taurus OX=9913 GN=CCSER2 PE=4 SV=1
+MEEKTQIKTFLGSKLPKYGTKSVRSTLQPMPNGKPVNLLGTSKSSSVKSYIKNNGSDCSS
+SHSFNWRTANKYEFSAQSSEEPNNTQSSHAKVIDPEKITPTQGVFDKNGMKGGLKNISLL
+TSKLAKPSTMFVSSTEELNQKSLSGPSNLGKFTKGTLLARTSYASVSAPKSQLNGFYGNR
+SAGSIQRPRANSCATRGSSGESLAQSLDNIKSLACEKMVRSQSFSHSIQNSFLPPSSITR
+SHSFNRAADLTKPYQNQQLPIRVPLRSSMLTRNSRQSEVLNGNEHLGFGFNRPYAAGGKK
+LALPNGQGVTSTFGYRMVHPSLLKSSRPPFSGTLTVDGNKNSPANTCIEEDAALVAKDRA
+VDKDQELIENDSYRIENDQTMKRDAKIRYLSDDVDDISLSSLSSSDKNDLSEDFSDDFID
+IEDSNRTRITPEEISLKEEKYENVPPKDIFDSPKENEKSFSKTDEWIDISVSDRSECSKH
+ASGNNLISPDTDYRAGSSFELSPSDSSDGTYMWDEEGLEPIGNVHPVGSYESSEMNSIDI
+LNNLESCDLEDDDLMLDVDLPEDTPLENVECDNMNRFDRSDRNVRQSQEGFWKRPPQRWS
+GQEHYHLSHPDHYHHHGKSDLSRGSPYRESPLGHFESYGGTPFFQAQKMFVDVPENTVIL
+DEMTLRHMVQDCTAVKTQLLRLKRLLRQNEDLLNEIKQLKEELKKKDEKIQLLEHQLATR
+CNCHQKSKEEKCTYADKYTQTPWRRIPPQVLQPSSSLPRPTDHTQGKLVKPQHTEAHSEC
+TVQGTHQGVVPPDESFTHGSQQESSNGLEDQPFSSSPEFTKDVVKSMPSEANLNTTLNAQ
+EPYHLANNQIGDMQFVPTSLQTLPQSSTDDQAKRVGRNQSSPEGYTSQPTSSQLFKPPIL
+NSLVSPSVPETSPNRTPTYKKSPIITQCNSAKLQPTSSQTNLASNPNPKVSKLRPPSGSF
+KQKHISSPRLEPQNFQAKTSIPRPLIRRKEIMQTPNDNLNSGDCLASNQYSRLPKPKIH
+>tr|E1BAC4|E1BAC4_BOVIN DM1 protein kinase OS=Bos taurus OX=9913 GN=DMPK PE=4 SV=1
+MSAEVRLRRLQQLVLDPGFLGLEPLLDLLLGVHQELGASDLAQDKYVADFLEWVEPIAAR
+LKEVRLQRDDFEILKVIGRGAFSEVAVVKMKQTGQVYAMKIMNKWDMLKRGEVSCFREER
+DVLVNGDQRWITQLHFAFQDENYLYLVMEYYVGGDLLTLLSKFGERIPAEMARFYLAEIV
+MAIDSVHRLGYVHRDIKPDNILLDRCGHIRLADFGSCLKLRADGTVRSLVAVGTPDYLSP
+EILQAVGGGPGTGSYGPECDWWALGVFAYEMFYGQTPFYADSTAETYGKIVHYREHLSLP
+LADTGVPEEARDLIQQLLCPPEVRLGRNGAGDFRKHPFFFGLEWDSLRDSVPPFTPDFEG
+ATDTCNFDMVEDGLTAMVSGGGETLSDMQEGMPLGVHLPFVGYSYSCMALRDDEILGSTP
+MELEAEPLPEPLQEPSLEPTVPPLEETAEAAVPETIPEAVAEPEVTLRELQEALEEEVLT
+RQSLSQELAAIHKANQNFASQLREAQARNRDLEAHVRQLQERMELLQAGGAAAVTGVPSP
+RATDPPSHLDGPPAVALGQCPLVGPGPMHRRHLLLPARVPRPDLSEARSLLLFAAALAVA
+AALGCIGLVACAGHLTAV
+>tr|A0A3Q1MG72|A0A3Q1MG72_BOVIN C2 calcium dependent domain containing 5 OS=Bos taurus OX=9913 GN=C2CD5 PE=4 SV=1
+MPGKLKVKIVAGRHLPVMDRASDLTDAFVEVKFGNTTFKTDVYLKSLNPQWNSEWFKFEV
+DDEDLQDEPLQITVLDHDTYSANDAIGKVYIDIDPLLYSEAATVISGWFPIYDTIHGIRG
+EINVVVKVDLFNDLNRFRQSSCGVKFFCTTSIPKCYRAVIIHGFVEELVVNEDPEYQWID
+RIRTPRASNEARQRLISLMSGELQRKIGLKVLEMRGNAVVGYLQCFDLEGESGLVVRAIG
+TACTLDKLSSPAAFLPACNSPSKEMKESPLVHPPSHGCRSTHNSPIHTATGSRLTQNFSV
+SVPTLIYTGMGSGSAGKEGGPFKALLRQQTQSALEQRGGSPHRFCRRREFPFFTLTAFPP
+GFLVHVGGVVSARSVKLLDRIHNPAFVGIMGNTRSYKLLDWNSFNSDEPETRDAWWAEIR
+QEIKSHAKALGCHAVVGYSESTSICEEVCILSASGTAAVLNPRFLQDGTVEGCLEQRLEE
+NLPAGCGFCHIPYDELNMPFPAHLTYCNNCRKQKVPDVLFTTIDLPVDATVIGKGCLIQA
+RLCRLKKKAQAEANATAISNLLPFMEYEVHTQLMNKLKLKAMNALFGLRIQITVGENMLM
+GLASATGVYLAALPTPGGIQIAGKTPNDGSYEQHISHMQKKINDTIAKNKELYEINPPEI
+SEEIIGSPIPEPRQRSRLLRSQSESSDEVTELDLSHGKKDAFVLEIDDTDAMEDVHSLLT
+DVPPPSGFYSCNTEIMPGINNWTSEIQMFTSVRVIRLSSLNLTNQALNKNFNDLCENLLK
+SLYFKLRSMIPCCLCHVNFTVSLPEDELIQVTVTAVAITFDKNQALQTTKTHAEKLLQRA
+STDNEELLQFPLELCSDSLPSHPFPPAKEHLESASSNSGIPAAQRATSVDYSSFADRCSS
+WIELIKLKAQTIRRGSIKTTVTVEKASPMGEGNFRNRSAPPCANSTVGVVKMTPLSFIPG
+AKITKYLGIINMFFIRETTSLREEGGVSGFLHAFIAEVFAMVRAHVAALGGNAVVSYIMK
+QCVFMENPNKNQAQCLINVSGDAVVFVRESELEVVSTPQPAASCQPSCTGGEVTT
+>tr|A0A3Q1MAE7|A0A3Q1MAE7_BOVIN POC1 centriolar protein B OS=Bos taurus OX=9913 GN=POC1B PE=4 SV=1
+MASSPEDPVLERYFKGHKAAITSVDFSPNGKQLATGSWDTFLMLWSLRPQARAFRYVGHK
+DVITSVQFSPLGNLLASASRDRTIRLWIPDKRGKSSEFKAHTAPVRSVDFSADGQFLASA
+SEDKSIKVWNMYRQRFLYSLYRHTHWVRCAKFSPDGRLIVSCSEDKTIKIWDTTNKQCVN
+NFSDFVGFANFVAFNPNGTCIASAGSDHTVKIWDIRVNKLLQHYQVHSGGVNCVSFHPSG
+NYLITASSDGTLKILDLLEGRLIYTLQGHTGPVFTVSFSKGGELFTSGGADAQVLLWRTN
+FDELNYKDVSKRNLKRLHFDSPPHLLDIYPRTPHPHEGRIDTVETTETTVRTLPDKGEEI
+CGYFLNPSLMSSECSPTILKKKTEDISDLPSESQRSIPLAVTDALEHIMEQLNVLTQTVS
+ILEQRLTLTEDKLKDCLENQQKLFNAIQQKI
+>tr|A0A140T838|A0A140T838_BOVIN Multifunctional fusion protein OS=Bos taurus OX=9913 GN=IL1B PE=3 SV=1
+MATVPEPINEMMAYYSDENELLFEADGPKQMKSCIQHLDLGSMGDGNIQLQISHQFYNKS
+FRQVVSVIVAMEKLRNSAYAHVFHDDDLRSILSFIFEEEPVIFETSSDEFLCDAPVQSIK
+CKLQDREQKSLVLASPCVLKALHLLSQEMNREVVFCMSFVQGEERDNKIPVALGIKDKNL
+YLSCVKKGDTPTLQLEEVDPKVYPKRNMEKRFVFYKTEIKNTVEFESVLYPNWYISTSQI
+EERPVFLGHFRGGQDITDFRMETLSP
+>tr|A0A3Q1LRS0|A0A3Q1LRS0_BOVIN Prefoldin subunit 4 OS=Bos taurus OX=9913 GN=PFDN4 PE=4 SV=1
+LVSFHRGSGSRCWPHSRASALNPTEKATVTEVNARVQCAGGCCSAAEDVNVTFEDQQKIN
+KFARNTSRITELKEEIEVKKKQLQNLEDACEDIMLADDDCLMIPYQIGDVFISHSQEETQ
+EMLEEAKKNLQEEIDALESRVESIQRVLADLKVQLYAKFGSNINLEADES
+>tr|A0A3Q1MDN0|A0A3Q1MDN0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC530571 PE=3 SV=1
+FSFSPLPLTMALFTILFLILFIGLLLLWGRPASQGCLPPGPWPLPFLGNVLQMDQKGLLK
+SFQLREKYGDVFTIYLGSRPAVILWWYEAMKEALVDQAEAFSGRGHIAIIDPVFQGTGVV
+FANGKSWKVLRRFSVTIMKDFGMGKRSIEERIKEEAQCLVEELQKSQGAYLDPHFLFNAI
+TANIICSIVFRERFNYQDPRLLQLLHLLNEIFIILCSFYTQMFEIFPGILKHFPGTHTRL
+YSMIEEVKDFITENMERHQKMLDPSGPKDFIDSFLLRMDKERLDPESEFHQKNLVHTVLS
+LFFAGTETSSSTLCFGFLLLLKNPGVLEKVQAEIDRVIGAHRLPTLEDRAKMPYTDAVIH
+EIQRFSDLVPVGVPHSVIKDTHFRGYYLPKGITVYPVLSSALQDPRHFEKPNTFYPGHFL
+DAQGNFRKPEVFIPFSMGKRLCLGESLARSELFLFLTTLLQNFSLGSPKAPEDIDLTPRE
+NGLGRPPPLFQVRFLPRR
+>tr|G5E618|G5E618_BOVIN SH3 and multiple ankyrin repeat domains 1 OS=Bos taurus OX=9913 GN=SHANK1 PE=4 SV=2
+MTHSPASSEDEERHSVSECPEGGSESDSSPDGPGRGPRGTRGRGSAAPGSLASIRGLQSR
+SMSVPDDAHFSMMVFRIGIPDLHQTKCLRFNPDATIWTAKQQVLCALSESLQDVLNYGLF
+QPATSGRDANFLEEERLLREYPQSFEKGVPYLEFRYKTRVYKQTNLDEKQLAKLHTKTGL
+KKFLEYVQLGTSDKVARLLDKGLDPNYHDSDSGETPLTLAAQTEGSVEVIRTLCLGGAHI
+DFRARDGMTALHKAACARHCLALTALLDLGGSPNYKDRRGLTPLFHTAMVGGDPRCCELL
+LYNRAQLGIADENGWQEIHQACQRGHSQHLEHLLFYGAEPGAQNASGNTALHICALYNKE
+TCARILLYRGANKDVKNNSGQTPFQVAVIAGNFELGELIRNHREQDVVPFQESPKYAARR
+RGPPGTGLTVPPALLRANSDTSMALPDWMVFAAPGTSSAGAPGPTAGPQGQAQPAAPSAK
+LSSGTLRSASSPRGARARSPSRGRHPEEAKRQPRGRPSSSGTPREGPAGGTGGSGGPGGS
+LGSRGRRRKLYSAVPGRSFMAVKSYQAQAEGEISLSKGEKIKVLSIGEGGFWEGQVKGRI
+GWFPSDCLEEVANRSQEAKQESRSDKAKRLFRHYTVGSYDSFDAPSLMDGIGPGSDYIIK
+EKTVLLQKKDSEGFGFVLRGAKAQTPIEEFTPTPAFPALQYLESVDEGGVAWRAGLRMGD
+FLIEVNGQNVVKVGHRQVVNMIRQGGNTLMVKVVMVTRHPDMDEAVHKKAPQQAKRLPPP
+AISLRSKSMTSELEEMVSPWKKKSEYEQQPPPMPSMEKKRTVYQMALNKLDEILAAAQQT
+ISASESPGPGGLASLGKHRPKGFFTTESSFDPHHRSQPSYERPSYLPPGPGLMLRQKSIG
+AAEDDRPYLAPPAMKFSRSLSVPEPPYSTPPAPSSSGRLTPSPRGGPFNPSSGGTHPASS
+PASFDGPAPPDTRIGSRDKSLYHSGPLPPPHHHPPHHHHHHAPPPQPHHHHAHPPHAPEM
+ETGGSPDDPPPRLALGPQPSLRGWRGGGPSPTPGALSPSHHGSGGGAGGPSQAPALRYFQ
+LPPRAASAAMYVPARSGRGRKGPLVKQTKVVEGEPQKGGGLPPAPSPTSPASPQPPPPPA
+AAAPSEKNSIPIPTIIIKAPSTSSSGRSSQGSSTEAEPPSQPEAAGGGVGGGGSSAPSPA
+PAVSPVPPSPSPVPTPASPSGPATLDFTSQFGAALVGAARREGGWQNEARRRSTLFLSTD
+AGDEDGGDSSLGPGAPPGPRLRHSKSIDEGMFSAEPYLRLESAGAGSGYAGYAAGGRAYG
+GSSAFTSFLPPRPLVHPLTGKRALKESSEGGGPPQPPPRPPSPRYEAPPPTPHHHSPHAH
+HEPVLRLWGASPPDPARRELGYRAGLGSQEKSLPASPPAARRSLLHRLPPTAPGVGPLLL
+QLGPEPPAPHPGVSKPWRSGAPPEEPERLPLHVRFLENCQPRAGGAGGRGPPSEDGPGVP
+PPSPRRSVPPSPTSPRGSEENGLPLLVLPPPAPSVDVEDGEFLFVEPLPPPLEFSNSFEK
+PESPLTPGPPHPLPDPPTPTTPLPSVPPPAVAAAPPTLDSTASSLTSYDSEVATLTQGAP
+AAPGDPPPPGPPAPAAPAPPAPQPGPDPPPGTDSGIEEVDSRSSSDHPLETISSASTLSS
+LSAEGGGGAGGGTGGVGGSGGASVAGGPELLDTYVAYLDGQAFGGSGTPGPPYPPQLMTP
+SKLRGRALGAGGGLRPGPGGGLRDPITPTSPTVSVTGAGTDGLLALSGCPGSSTAGVAGG
+PVAVEPEGPPVPLPSASSLPRKLLPWEEGPGPPPPPLPGPLAQPQASALATVKASIISEL
+SSKLQQFGGSSAAGGALPWARGGSGGSGDSHHGGASYVPERTSSLQRQRLSEDSQSSLLS
+KPVSSLFQNWSKPPLPPLPTGTAVSPSAAAAPGATSPSASSSSTSARHLQGVEFEMRPPL
+LRRAPSPSLLPASEHKVSPAPRPSSLPILPSGPLYPGLFDIRGSPTGGAGGSADPFAPVF
+VPPHPGISGGLGGALSGASRSLSPTRLLSLPPDKPFGAKPLGFWTKFDVADWLEWLGLAE
+HRARFLDHEIDGSHLPALTKEDYVDLGVTRVGHRMNIDRALKFFLER
+>tr|A0A3Q1MBL0|A0A3Q1MBL0_BOVIN Regulator of microtubule dynamics protein 2 OS=Bos taurus OX=9913 GN=RMDN2 PE=4 SV=1
+MPHSTNREMILGIVVGTAGISLLLLWYHKVRKPRTAMSLPKFLSLGNSLDLMTLQDEMPS
+GQGTTAIFQGRQLQILEKLNELLTHMEELKEEIRVLKEAIPKLEEYIQGELGGKVTVHKI
+SPQHRARKRRLATVQSSATSNSSEEAESEGGYVTANTDTEEQSFPVPKEFNTHVEELNLD
+ALIQRADNLRVNESRKVESFELLCDHKEKFRDEIEFIWRFARAYGDMYELSTNIQEKKHY
+ANIGKTLGEKAIMRAPKNGYCHLWYAVLCGYVSEFEGLQNKINYGYRFKEHLDKAIEFLP
+EEPFLYYLKGRYCYAVEELQPGFSKSNYMFMAKCYADLNQIDSAMKFCNLAVLLPCITKE
+DKDAQKEVKKISTSLKRAVCSDLKVKPCFCRMHSTGSTTKLIMLFGEIYFL
+>tr|A0A452DIG4|A0A452DIG4_BOVIN Dipeptidase OS=Bos taurus OX=9913 GN=DPEP1 PE=3 SV=1
+MSCSEVCIAAEATDSWSGQTHSSGRQRVSRDSAMWTGWWLWPLVAVCTADQFRDNAVRLM
+QSTPVIDGHNDLPWQLLKRFNNQLQDPRANLTSLNGTHTNIPKLKAGFVGAQFWSAYTPC
+DTQNKDSVKRTLEQIDVIQRMCQLYPETFLCVTDSAGIQQAFQEGKVASLVGVEGGHSID
+SSLGVLRALYHLGMRYLTLTHSCNTPWADNWLVDTGEDEAQSQGLSSFGQSVVKEMNRLG
+VIIDLAHVSVATMEAALQLSKAPVIFSHSSAYSVCRHRRNVPDHVLQLVKQTGSLVMVNF
+YNDYVSCKAEANLSQVADHLDYIKKVAGAGAVGFGGDYDGVSRLPSGLEDVSKYPDLVAE
+LLRRQWTEEEVRGALAENLLRVFKAVEQASDHKQAPGEEPIPLGQLEASCRTNYGYSGAP
+SLHLQPGTLLASLVTLLLSLCLL
+>tr|A0A3S5ZP96|A0A3S5ZP96_BOVIN DTW domain containing 1 OS=Bos taurus OX=9913 GN=DTWD1 PE=4 SV=1
+MSLNTPVFLKEREEDTSKFVEIQQSQTTCIAAEDPLQNLCLASQDVLKNAQQNGRSKCLK
+CGGSRMFYCYTCYVPVENVPVEQIPHVKLPLKIDIIKHPNETDGKSTAVHAKLLAPEFVN
+IYTYPCIPEYEEKDHEVALVFPGPKSVSVKDISFHLQKKSQNSIRGKNDDLDKPSIKRKK
+TEEPDLNDSKCKDTTLKKIVFIDSTWNQTNKIFTDERLQGLLQVELKTRKTCFWRHQKGK
+PDTFLSTIEAIYYFLVDYHTDILKEKYKGQYDNLLFFYSFMHQLIKNAKCPGDKETRKLT
+H
+>tr|F1MBQ8|F1MBQ8_BOVIN DEAD-box helicase 5 OS=Bos taurus OX=9913 GN=DDX5 PE=3 SV=3
+MFCFKSYVFRLNKIHKHTPRTYPRLVVSRMGSVLCQPSNKTARQNAVLQRVGETALNSMS
+KKLLCSLLEEPWLLSSSSLRRCRPRKALPSPEPPPATRRTRFSPLRFPPTATIDAMSGYS
+SDRDRGRDRGFGAPRFGGSRAGPLSGKKFGNPGEKLVKKKWNLDELPKFEKNFYQEHPDL
+ARRTAQEVETYRRSKEITVRGHNCPKPVLNFYEANFPANVMDVIARQNFTEPTAIQAQGW
+PVALSGLDMVGVAQTGSGKTLSYLLPAIVHINHQPFLERGDGPICLVLAPTRELAQQVQQ
+VAAEYCRACRLKSTCIYGGAPKGPQIRDLERGVEICIATPGRLIDFLECGKTNLRRTTYL
+VLDEADRMLDMGFEPQIRKIVDQIRPDRQTLMWSATWPKEVRQLAEDFLKDYIHINIGAL
+ELSANHNILQIVDVCHDVEKDEKLIRLMEEIMSEKENKTIVFVETKRRCDELTRKMRRDG
+WPAMGIHGDKSQQERDWVLNEFKHGKAPILIATDVASRGLDVEDVKFVINYDYPNSSEDY
+IHRIGRTARSTKTGTAYTFFTPNNIKQVSDLISVLREANQAINPKLLQLVEDRGSGRSRG
+RGGMKDDRRDRYSAGKRGGFNTFRDRENYDRGYSSLLKRDFGAKTQNGVYSAANYTNGSF
+GSNFVSAGIQTSFRTGNPTGTYQNGYDSTQQYGSNVPNMHNGMNQQAYAYPATAAAPMIG
+YPMPTGYSQ
+>tr|A0A3Q1LZL7|A0A3Q1LZL7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=IFI16 PE=4 SV=1
+MGNDYKKIVLLKGFQHINDKCFNMIKSLLAHDLKLTRKMQDEYDRVKIADLMELKFRGPD
+CVDKLIELVKEIDEIKDLAKVLRKEKLKVIKKNKAKGATPAQNQNTSSLGQSTSTANEAL
+GSESVKDTPVKKKSDTATKPKDVKRKIIPYNQSQLPGTSATSLYPTVSSDQRTPIVLPKE
+FSNFSIKKEENAHLSVGKIEDMTTRTADPRMKLSLEQSQVLGIPAYSTIPTENCLQTPQK
+LPPTTSSSSSTKKPRLKSVPKEASREEGFQRGPKEVMVLKATEPFTYDVIGGKRMFHATV
+ATESQFFQVKIFNAALKEKFIPNKAIAISDYIGRNGFLEVFNVISVSDVKPDRKMEISKS
+LIQKAKATPKISHLYLQDLGTFVNGVFVVHKKSVYNEFIYYEIKDDTGVMEVVVYGQRLT
+SVNCDEGDRLTLVCFELGESGPKRQLRSVIHSFIKVTKVKQRTTTQS
+>tr|A0A3Q1MKF8|A0A3Q1MKF8_BOVIN G_PROTEIN_RECEP_F1_2 domain-containing protein OS=Bos taurus OX=9913 GN=LOC504570 PE=3 SV=1
+KDMELKNDSSSTEDFILLGISSNPRMQKPLFAVFFVLYLVTLVGNGLIILAIHSDSRLHT
+PMYFFLSNLSFMDICFTTVIVPKMLVNLLSETKSISYVGCLVQMYFFMAFANTDSYLLAS
+MAIDRLVAICNPFHYDVVMSPRRCLLLLLGSCTISHLHSMLRVLLMSRLSFCASHVIKHF
+FCDTQPVLKLSCSDTSSSQIVVMTETLAVIATPFLCILFSYLRIIITVLKIPSASGKWKA
+FSTCGSHLTVVVFFYGSVIYVYFRPLSMYSVVKDRVATVMYTIVTPMLNPFIYSLRNKDM
+KRGLRKLRDRIHS
+>tr|A0A3Q1MAC1|A0A3Q1MAC1_BOVIN Olfactory receptor OS=Bos taurus OX=9913 PE=3 SV=1
+MIMEKINASSEGYFVLLGFSNWPNLEVVLFVIVLMFYLMTLTGNLFIIILSYLDSHLHTP
+MYFFLSNLSFLDLCYSTSFIPQLLVNLWGPEKTISYTGCMIQLYFSLALGTTECVLLVVM
+SYDRYAAVCRPLHYTVLMHPRFCHLLAVACWVSGFTNSALHSFFTFWAPLCRHRQVDHFL
+CEVPALLRLSCVDTRANELTLMVMSSIFVLIPLILILSSYGAIARAVLRMQSTTGLQKVF
+GTCGAHLMVVSLFFIPAMCIYLQPPSGNSQDQGKFIALFYTVVTPSLNPLIYTLRNRDVR
+GAVKRLVG
+>tr|A0A3Q1MDE0|A0A3Q1MDE0_BOVIN N-terminal Ras-GEF domain-containing protein OS=Bos taurus OX=9913 GN=RASGEF1C PE=4 SV=1
+MPQTLSASDMVTPGSLGPPHTEPTDGEQAGQLLLGGAPSSASLDTLIQHLVPTADYYPEK
+AYIFTFLLSSRLFIEPQELLARVCHMCIEQQQLDKPVLDKARVRKFGPKLLQLLAEWTET
+FPRDFQEESTIGHLKDVVGRIAPCDEAYRKRMHQLLQALHQKLAALGQGPESLLGTDKPI
+SYRTKPPASIHRELLGICSDPYMLAQQLTHMELVSRPVPPSTQTGAWNTERSQPPLPHLV
+PHLISA
+>tr|A0A3Q1MNI8|A0A3Q1MNI8_BOVIN Beta-defensin OS=Bos taurus OX=9913 PE=3 SV=1
+MKLFLILIILLFEVSEDSARSRKCFSTIAGYCKKKCMLGEIYDKPCTKGKLCCINESKKK
+THQQAVQQPEPASKPDLKLDYVILPTVTLGTIPQ
+>tr|F1N1E7|F1N1E7_BOVIN PAT1 homolog 1, processing body mRNA decay factor OS=Bos taurus OX=9913 GN=PATL1 PE=4 SV=2
+MFRYESLEDCPLDEDEDAFQGLGEEDEEIDQFNDDTFGSGAVDDDWQEAHERLAELEEKL
+PAAVNEQSGNGERDEMDLLGDHEENLAERLSKMVIENELEDPAIMRAVQTRPVLQPQPGS
+LNSSIWDGSEVLRRIRGPLLAQEMPTVSVLEYALPQRPPQGPEDDRDLSERALPRRSTSP
+VIGSPPVRAVPIGTPPKQMAVPSFNQQILCPKPVHVRPPMPPRYPAPYGERMSPNQLCSV
+PNSSLLGHPFPPSVPPVLSPLQRAQLLGGAQLQPGRMSPSQFARVPGFVGSPLAAMNPKL
+LQGRIGQMLPPAPGFRAFFSAPPPTTPPPPQQHPPGPGPHLQNLRSQAPMFRPDTTHLHP
+QHRRLLHQRQQQNRNQHRNLNGAGDRGSHRSSHQDHIRKDPYANLMLQREKDWVSKIQMM
+QLQSTDPYLDDFYYQNYFEKLEKLSAAEEMQGDGPKKERTKLITPQVAKLEHTYKPVQFE
+GSLGKLTVSSVNNPRKMIDAVVTSRSEDDETKEKQVRDKRRKTLFIIEKTYSLLLDVEDY
+ERRYLLSLEEERPALMDERKHKICCMYDNLRGKLPGQERPSDDHFVQIMCIRKGKRMVAR
+ILPFLSTEQAADILMTTARNLPFLIKKDAQDEVLPCLLSPFSLLLYHLPPVTVTSLLQQL
+MNLPQSAAAPAPSNPHLSAVLQNKFGLSLLLVVLSRGEDLQSSDAASELAQSNPWTEVMF
+VATRELLRIPQTGLAKPISIPTNLVSLFSRYVDRQKLNLLETKLQLVQGIR
+>tr|A0A3Q1M6J6|A0A3Q1M6J6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+LDDNRSYTRWPARQPGGIGPLQQMVTCGAGAVVTFLFMTTPPPPRPLPVVKILCLQSQRP
+LVRSDLMPPPPDSEASPTPKCPPLAHPQGNKCLLDSSGVLEPLCGRPLCATCFPDPTRST
+VTEDGLVKMVRHEGTGTLWHGLPAIFVDDCRPLPPTSPPTTLLKAFLCGGAPTSSSAHPW
+WLVRGPAWAP
+>tr|A0A3Q1NCE4|A0A3Q1NCE4_BOVIN Unc-13 homolog A OS=Bos taurus OX=9913 GN=UNC13A PE=4 SV=1
+MSLLCVGVKKAKFDGAQEKFNTYVTLKVQNVKSTTIAVRGSQPSWEQDFMFEINRLDLGL
+TVEVWNKGLIWDTMVGTVWIPLRTIRQSNEEGPGEWLTLDSQVIMADSEICGTKDPTFHR
+ILLDTRFELPLDIPEEEARYWAKKLEQLNAMRDQDEYSFQDEQDKPLPVPSNQCYDDPDS
+AVDDRDSDYRSETSNSIPPPYYTTSQPNASVHQYSVRPPPLGSRESYSDSMHSYEEFSEP
+RALSPTGSSRYASSGELSQGSSQLSEDFDPDEQSLQGSELEDERDRDSYHSCHSSVSYHK
+DSPRWDQDEDELDEELDEELDEDLEDFLEEEEELPEDEEELEEEEEVPDDIRGYAMREEV
+AVAEPKDFKRVSLPPAAPEKEEQAPAMPTEAPDIPKVAPEPAVPEEVPASEQEPEAPKAE
+ESFRPREDEEGQEGQDSMSRAKANWLRAFNKVRLQLQEARGEGEMSKSLWFKGGPGGGLI
+IIDSMPDIRKRKPIPLVSDLSLVQSRKAGITSALASSTLNNEELKNHVYKKTLQALIYPI
+SCTTPHNFEVWTATTPTYCYECEGLLWGIARQGMRCTECGVKCHEKCQDLLNADCLQRAA
+EKSSKHGAEDRTQNIIMVLKDRMKIRERNKPEIFELIQEIFAVTKTAHTQQMKAVKQSVL
+DGTSKWSAKISITVVCAQGLQAKDKTGSSDPYVTVQVGKTKKRTKTIYGNLNPVWEENFH
+FECHNSSDRIKVRVWDEDDDIKSRVKQRFKRESDDFLGQTIIEVRTLSGEMDVWYNLDKR
+TDKSAVSGAIRLHISVEIKGEEKVAPYHVQYTCLHENLFHFVTDVQNNGVVKIPDAKGDD
+AWKVYYDETAQEIVDEFAMRYGVESIYQAMTHFACLSSKYMCPGVPAVMSTLLANINAYY
+AHTTASTNVSASDRFAASNFGKERFVKLLDQLHNSLRIDLSMYRNNFPASSPERLQDLKS
+TVDLLTSITFFRMKVQELQSPPRASQVVKDCVKACLNSTYEYIFNNCHELYSREYQTDPA
+KKGEVPPEEQGPSIKNLDFWSKLITLIVSIIEEDKNSYTPCLNQFPQELNVGKISAEVMW
+NLFAQDMKYAMEEHDKHRLCKSADYMNLHFKVKWLYNEYVAELPAFKDRVPEYPAWFEPF
+VIQWLDENEEVSRDFLHGALERDKKDGFQQTSEHALFSCSVVDVFSQLNQSFEIIKKLEC
+PDPQIVGHYMRRFAKTISNVLLQYADIISKDFASYCSKEKEKVPCILMNNTQQLRVQLEK
+MFEAMGGKELDAEASDILKELQVKLNNVLDELSRVFATSFQPHIEDCVKQMGDILSQVKG
+TGNVPASACSSVAQDADNVLQPIMDLLDSNLTLFAKICEKTVLKRVLKELWKLVMNTMEK
+TIVLPPLTDQTVRPAGAQREHLGHSSVRAQRA
+>tr|G3N3S8|G3N3S8_BOVIN Voltage-dependent L-type calcium channel subunit alpha OS=Bos taurus OX=9913 GN=CACNA1S PE=3 SV=2
+MEPAPAQDEGLRRKQAKKPVPEVLPRPPRALFCLTLQNPVRKACISIVEWKPFETIILLT
+IFANCVALAVYLPMPEDDNNRLNLGLEKLEYFFLIVFSIEAAMKIIAYGFLFHQDAYLRS
+GWNVLDFIIVFLGVFTVILEQVNLIQSNTAPMSSKGAGLDVKALRAFRVLRPLRLVSGVP
+SLQVVLNSIFKAMLPLFHIALLVLFMVVIYAIIGLELFKGKMHKTCYFIGTDIVATVENE
+KPSPCARTGSGRPCTISGSECRGGWPGPNHGITHFDNFGFSMLTVYQCITMEGWTDVLYW
+VNDAIGNEWPWIYFVTLILLGSFFILNLVLGVLSGEFTKEREKAKSRGTFQKLREKQQLE
+EDLRGYLSWITQGEVMDVDDLREGKLALDEGGSDTESLYEIAGLNKVIQFIRHWRQWNRV
+FRWKCHDVVKSRVFYWLVILIVALNTLSIASEHHHQPLWLTHLQDVANRVLLALFTVEML
+MKMYGLGLRQYFMSIFNRFDCFVVCSGLLEILLVESGAMSPLGISVLRCIRLLRIFKITK
+YWTSLSNLVASLLNSIRSIASLLLLLFLFIVIFALLGMQLFGGRYDFEDTEVRRSNFDSF
+PQALISVFQVLTGEDWNSVMYNGIMAYGGPSYPGVLVCIYFIILFVCGNYILLNVFLAIA
+VDNLAEAESLTSAQKAKAEERRRRKMSKGLPDKSEEEKVTVAKKLEQKPKGEGIPTTAKL
+KIDEFESNVNEVKDPYPSADFPGDDEEDEPEVPISPRPRPLAELQLKEKAVPIPEASAFF
+IFSPTNKIRVLCHRIVNATWFTNFILLFILLSSAALAAEDPLRAESVRNQILGYFDIGFT
+SVFTVEIVLKMTTYGAFLHKGSFCRNYFNILDLVVVAVSLISMGLESSTISVVKILRVLR
+VLRPLRAINRAKGLKHVVQCVFVAIRTIGNIVLVTTLLQFMFACIGVQLFKGKFFSCNDL
+SKMTEEECRGYYYVYKDGDPTQIEVRPRQWVHNAFHFDNVLSAMMSLFTVSTFEGWPELL
+YKAIDSHEEDKGPVYNHRVEMAIFFIIYIILIAFFMMNIFVGFVIVTFQEQGETEYKNCE
+LDKNQRQCVQYALKARPLRCYIPKNPYQYRVWYIVTSSYFEYLMFALIMLNTICLGMQHY
+NQSEQMNHISDILNVAFTIIFTLEMVLKLMAFKARGYFGDPWNVFDFLIVIGSIIDVILS
+EIDTFLASSGGLYCLGGGCGNVDPDESARISSAFFRLFRVMRLIKLLSRAEGVRTLLWTF
+IKSFQALPYVALLIVMLFFIYAVIGMQMFGKIALVDGTQINRNNNFQTFPQAVLLLFRCA
+TGEAWQEILLACSYGQLCDPESDYAPGEEYTCGTDFAYYYFLSFYMLCAFLIINLFVAVI
+MDNFDYLTRDWSILGPHHLDEFKAIWAEYDPEATGRIKHLDVVTLLRRIQPPLGFGKFCP
+HRVACKRLVGMNMPLNSDGTVTFNATLFALVRTALKIKTEGNFEQANEELRAIIKKVWKR
+TSMKLLDQVIPPIGDDEVTVGKFYATFLIQEHFRKFMRRQEEYYGYRPKKDTVQIQAGLR
+TIEEEAAPEIRRTISGDLMAEEELERAMVEAAMEEGIFRRTGGLFGQVDSFLERTNSLAP
+HMANQRPLQFTEIEMEEMESPVFLEDFPQRPSAHPLARANTNNANANVASGNGNHGNTAR
+DVGAGVGRVDVAGPHSKSRVEWPQGQLPRRRTPRAQAPAASCQHAGAESQVPEERSPTPG
+SLPGEAPPGSRTEDGTPGGPAPAMALLIREALVRGGLDSLAADANFIMATGQALAEACRM
+EPREVEVAAAELLQGREALEGVPGARGCPGLGSSLGSLDLREGSQETLIPRRP
+>tr|F1MEB1|F1MEB1_BOVIN B double prime 1, subunit of RNA polymerase III transcription initiation factor IIIB OS=Bos taurus OX=9913 GN=BDP1 PE=4 SV=3
+MFRRARLSVKPNVRPGAGARASTAPNSQREQEVPRPQEPAAASAPKAAESTDVPPVDFGE
+AQPQEKTPKSSVEKTDDGKDVEESSKPSSTVSQRRKRIPTTSSLVKPSVSVPSEPHPLST
+VNQEAPQPNPVPTKEKQPCSDRYRIYKAQKLREMLKEELRKEKKQWKNKYAVNETQRPLD
+RSKMTMRDFIYYLPESNPMTSSLEQEKKTEKSSTPVRTREQESKTTPDGEDNEEIEEELD
+DGPLLVPRVKVAEDGSIILDEESLTVEVLRTKGPCVVEENDPIFERGSTTTYSSFRKSYY
+SKPWSNKETDMFFLAISMVGTDFSMIGQLFPHRARIEIKNKFKREEKTNGWRIDKAFQEK
+RPFDFDFFAHLLQKVLAEEEKRKQKSVKNQSSKEKKSSKSRKNAKVKKVANDDRDESVST
+KISNPGRSQKDAQTVEEELQSLTLSEQDSEQNALGLDVNQKKRRRKNQGEGSEQEVHLSG
+SATVQPGSSKGEKHRNKGLSLSPEINEDESSKEQELPCVQDTDDLVDLSSSEDAEQRTDP
+VLSPSQQDVVSVAAETAGSNTSDLLSSEGGAGALCEVNSAESSRTEERDVDLKDKSLETD
+QTETVKPMTRGRLQRPKPNLSRAVGKKSVLSRGKTDAENKSLHKETPIEKNPMEKDCISG
+MENTEKGNPEPETVSNLSEKTCVQEDDQPKAFRPARLMRGLLQRPKPNVGKAAERKETVT
+SQEKPGASIEKNENESCINRDTPEQLEDQSCKNFECEDIASLSEKKDNSFQNVQSDDPKA
+VNECLSIQEDNRANKDVRIPKTRFQKPKPNIGRRTGRRGISSKEEVPKEVIASEAMTAAL
+RETATLETSLREKEPVETNTTEEMESDLREIGRKDISSRDIMPETSDVTVEMETDLKETE
+ENDISPREKMPEISDVTVEMKTDLKETEKNDISPMEKIPEMIDVIAEMETDLKETGKNDI
+CLREKMPEMSDVTAEMETDLKETEKNDISPREKVPEMSDIIAEMETDLKETGKNDISPRE
+KMPETSDVTAEMGTDLKETGKNDISPREKIPEMIDVSVEIQTDSKETGKNDISPREKMPE
+MSDVTEEMWTDMKETEKNDISSSEKIPEMIDVTAEMETDLKETGKNDNSPREKIPETNVV
+SVEMETDLKENGKNDISPREKMLEMSDVTAEMETDLKETGKNDISPREKIPEMIDVAAEM
+ETDLKETGKDDISPMEKMPETNVVTAEMEADLKGTGKNYISPREKMPEMSDVTAEMETDL
+KETRREIFPREEITVVTDATEERKTDLEETERREMSLQENAPEEVDTIGEVETDLKKTER
+EISPNEEITEMPDAAEEVETDLEETDRREISTQENASEVKTVGDEMEIDLKEIGIETSPR
+EKVLVGISATGERETDLEETGQRDIFLMRKASAKVAAVEEIEADLKDTGKEISLTKSRSE
+EIEKSMADLENIEEIDISLREKDPEDTGTSSRAEADPLQTSSDDSSTVSSLTNRDLGREV
+LSVVHISVEEKNSEKEVSNQLSHLNISSQSSELDKVEDQRMRPPSVPEQFSDINLSKSLP
+QEQTPCEIKLTPFVRSRFKRPKPNLARAASKRETTKAEKHAPGKEAEADKTETVVIQQNC
+EQMSTFPSQHDVASLMTSREKDKLDHGPEETVILPCVQTEKDLSPLSSCESKEESQSTQA
+QEKELLVSMGTHNINTFEQGIKERVIQTAPLVRGRLQRPRPNLRKAGQRQVIEISETKET
+TKEEKMLQKDETGEKFLTVPNSQIATETEVVSSEVSEGRVSENQSHVLLENLHINKVDVL
+GEKMRHGHETYVPSPPQMIRRQFQRATPDLGRAQSKKEASDIEEDRADQRKARKPEDSLS
+QHEDSDSQLLQKGKPEFLTSLEVSARKDSVGSKETELAKKDDQSEVEPSGSVGEKTGEDN
+SVCAVVEKQCVSKPSSPQLLKEPDNSKIALDRRRALSSASEREIDRSRRRVRRKVKPSVP
+KGRGSKRSRTKTSKKEPRASRSVLVTLRASQEEDEDDGEDFDYEEESYHLAPEEVNKAPV
+FVPIGLRSPEPVPVQIEETMEELVIPTNVTDVGCITVVEHQLSSNIDVIPQEMRQEENLN
+TLSVEMTVGGHSQAETESTNDGSTEAAITLLTMGDLVLQSEISPEQSDGICILPDAHSID
+QSHIPFSPDNVNLKTVHECQEPSSSVHSTSPSVEENKIVLDVDKQNTKEEIGLTEEVKEN
+TISSRTTTSEVTNNLRKRSRFAKPKPNLKKILGTKRFGAHQKVPSLFVTKGEEVEIQRET
+EKNASQETALEDKNLGSVTATESTEQSKVASVHGIEETSISQETHLTERGEDQERRSEEV
+PISSVAPAVYSESGPHTCGLGMGLGESSVEEPLGKDFNGDPVLTLNVPKCIPTGIPEVQQ
+ENVNDLQDLTVNLVNVHQDGEDEQAFILTLVEIPTHAVEEYADSTVQLMPNPLLPAPILV
+KSANTKEREDTSISFPVTSVGQDDVCLSNSERDGSENSAANLDLVSRKRYHCSLDESDHV
+PPAKKLHSLQ
+>tr|G3X6L8|G3X6L8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=NIPSNAP3A PE=4 SV=1
+MLALRRSLTRALAAPTMAPQVCSSFATGPRQYDGTFYEFRTYYLKPSKMNEFLENVKKNI
+HLRTVHSELVGYWSVEFGGRMNKVFHIWKYDNFAHRSEVRKALAKDKEWQEQFLIPNLAL
+IDKQESEITYLVPWCKLVKPPKEGVYELATFQMKPGGPALWGDPFKRAVHTHVNQGYTKL
+VGVFHAEYGVLNRVHVLWWNESADSRAAGRHQSHEDPRVVAAVRESVNFLESQQNMLLIP
+TSFSPLK
+>tr|E1BJS4|E1BJS4_BOVIN cwf21 domain-containing protein OS=Bos taurus OX=9913 GN=SRRM3 PE=4 SV=3
+MSSTVNNGAASVPSPPDAANGFPQPGVSSGAWPRAEEELRAAEPGLVKRAHREILDHERK
+RRVELKCMELQEMMEEQGYSEEETRQKVRTFRQMLMEKEGMLTREDRPGGHIVAETPRLI
+EGAELGLEYAPFDEDDGPVDCDCPASCYRGHRGDSKKRRLDSECSCGSSSPLRKKKKSVK
+KHRRDRSESGSRRKRRHRSRSPKSKRKEKNKERKRPHTESPGRRSHRHSSGSSHSPSLSS
+HYSNSRSPSRLSPKHRDDGRKTGSQRSSGSRSPSPSGGSGWGSPQQNGGSRQRSGAHGGR
+PGSAQSPLDPPI
+>tr|E1BMW2|E1BMW2_BOVIN AP-2 complex subunit alpha OS=Bos taurus OX=9913 GN=AP2A1 PE=1 SV=3
+APVIAAYRRPRERRPAEARARPGADATAIMPAVSKGDGMRGLAVFISDIRNCKSKEAEIK
+RINKELANIRSKFKGDKALDGYSKKKYVCKLLFIFLLGHDIDFGHMEAVNLLSSNKYTEK
+QIGYLFISVLVNSNSELIRLINNAIKNDLASRNPTFMCLALHCIANVGSREMGEAFAADI
+PRILVAGDSMDSVKQSAALCLLRLYKASPDLVPMGEWTARVVHLLNDQHMGVVTAAVSLI
+TCLCKKNPDDFKTCVSLAVSRLSRIVSSASTDLQDYTYYFVPAPWLSVKLLRLLQCYPPP
+EDAAVKGRLVECLETVLNKAQEPPKSKKVQHSNAKNAILFETISLIIHYDSEPNLLVRAC
+NQLGQFLQHRETNLRYLALESMCTLASSEFSHEAVKTHIDTVINALKTERDVSVRQRAAD
+LLYAMCDRSNAKQIVSEMLRYLETADYAIREEIVLKVAILAEKYAVDYSWYVDTILNLIR
+IAGDYVSEEVWYRVLQIVTNRDDVQGYAAKTVFEALQAPACHENMVKVGGYILGEFGNLI
+AGDPRSSPPVQFSLLHSKFHLCSVATRALLLSTYIKFINLFPETKATIQGVLRAGSQLRN
+ADVELQQRAVEYLTLSSVASTDVLATVLEEMPPFPERESSILAKLKRKKGPGAGSALDDS
+RRDPSSHDINGGVEPTPSTVSTPSPSADLLGLRAAPPPAAPPAPSGAGNLLVDVFSDSPA
+AQPGLGPSPEEAFLRFVCRNNGVLFENQLLQIGVKSEFRQNLGRMYLFYGNKTSVQFQNF
+SPTVVHPGDLQTHILSAWPSPAPPGLPVDGGAQVQQVLNIECLRDFLTPPLLSVRFRYGG
+APQSLTLKLPVTINKFFQPTEMAAQDFFQRWKQLSLPQQEAQKIFKANHPMDAEVTKAKL
+LGFGSALLDNVDPNPENFVGAGIIQTKALQVGCLLRLEPNAQAQMYRLTLRTSKEPVSRH
+LCELLAQQF
+>tr|A0A3Q1M4Q8|A0A3Q1M4Q8_BOVIN Neurotrophic receptor tyrosine kinase 2 OS=Bos taurus OX=9913 GN=NTRK2 PE=4 SV=1
+MSSWTRWHGPAMARLWGFCWLIVGFWRAALACPTSCKCSASRIWCGDPSPGIVAFPKLEA
+TSADPENITEIYIANQKRLEIINEDDLEAYVGLKNLTIVDSGLKSVAHKAFLKNSNLQHI
+NFTRNKLTILSRKHFRHLDLSELILVGNPFTCSCDVMWIKTLQETKPSPETQDLYCLDEN
+SKNISLANLQIPHCGLPSANLAAPNLTVEEGKSITLSCTVAGDPVPNLYWDVGNLVSKHM
+NETSHTQGSLRITNISSDDSGKQISCVAENLVGEDQDSVNLTVHFAPTITFLESPTSDHH
+WCIPFTVKGNPKPALQWFYNGAILNESKYICTKIHVTNHTEYHGCLQLDNPTHMNNGDYK
+LVAKNEYGKDEKQISAHFMGWPGIDDGANPNYPDVIYEDYGTAANDIGDTTNKSNGTLPT
+GVVDTGREHLSVYAVVVIASVVGFCLLVMLFLLKLARHSKFGMKDVLFHRRSCFI
+>tr|A0A3Q1M8V0|A0A3Q1M8V0_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MYKKDLHDPDNHNGVIICLEPDILKYEVKWALPSITSNKPSGGDGIPVELFQILKDDSVK
+VLHSICQQIWKTQQWPQDWKGSVFIPIPKKGNAKECSDYCTIALISHASKVMLKILQARL
+QQYVNHELPDIQAGFRKGRGTRDQITNICWIIEKAREFQKNIYFCCIDYAKAFDCVDHNQ
+LWKILKEIGIPDHLTCLLRNLYASQEATVRTGHGTTDWFQIRKGVRQGCILSPCLFNFYA
+EYIMRNAGLDEAQTGIKIARRNISNLRHADYTTLMAESEEELKSLLMKVKEESEKVGLKL
+NIQKTKIMASGPITSWQIDGETVAEFIFWGSKITADGG
+>tr|A0A3S5ZPX6|A0A3S5ZPX6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC781977 PE=4 SV=1
+MLTSASTLLAPRIFWVLLLLAAFRSAQSGTWDNPNCTRGVVSVLRGKPAMMSCSISNAFS
+HINISLKANHTAPWKLIFSVKPPGDFCQDGWQLRIWEGEAHLVIEEAQDIQAGQYKWSLQ
+GRQRNVESTTLNVSDPHYLPLTPPTGPCITLRSLQTDPEDKSQNHVVFPILALVVLSILL
+ICALAWQRRRGYPLSRLQQVRRL
+>tr|A0A3Q1NKR4|A0A3Q1NKR4_BOVIN Mov10 like RISC complex RNA helicase 1 OS=Bos taurus OX=9913 GN=MOV10L1 PE=4 SV=1
+MLRLAAKVVSFFWRKADCPEEEAGPLGPELVEGDTRLKTVQGVVTRYCSDYGMIDDLIYF
+SNEVVTSKVLLNVGQEVIAVVEENKVSNGLKAIRVEAVSDKWDDDSKSHGGGLSDPSPRV
+LIGCVTSLMDRAGYISQTTYFSLESVCEGFEPCKGDWVEAEYWIRPGTWSSEAISVKPLR
+YKRVDRVCVSSLCGRNGVIDDCIFFTLDSLKLPEGYTPRRHDVVSVVVVESSQSCYVWRA
+LCMTPVQTWDFSPATETAEEVCGALLLKNKGDIEVTRMTNFGTLKEGESKNMVIWVENKG
+DVPQNLVSCKLGGWDKAKQFRFQMLDKAQVCPEVYPICVPEKEKDFADKNINSLDSCGES
+RTWQTLESSSVNSKEVSSGDCACKGENGVKEKNIPQKQVTESEPDGLIPAGGETRIVITC
+DAKNSGRCRELLLLCFSSFIIGRYLEVNVVSEEESLIAVREPFSWKKSKSSQVLASTKTT
+VVVTTQKRNSRRQLPSFLPQYPIPDRLKKCVEQKIDILTFQPLLAELLNMSNYKEKFSTL
+LWLEEIHAEIELKEYNMSGVTLKRNGDLLVLEVPGLAESRPSLYAGDKLILKTQEYNGHV
+IEYIGYVVEIHEEDVTLKLNPEFEQAYNFEPMDVEFTYNRTTSRRCHFALEQVIHLGAKV
+LFPEEIILQPPQVTWNWTHAQDTKRDGQSTSKNRRAVKDQTKHAPEEHQAGAQDTPVPRA
+ATTEASRLGDGSPKAREKFFNPMLNENQKLAVRRILSGDCRPIPYVLFGPPGTGKTVTII
+EAILQVFHALPDSRILVCAPSNSAADLVCLRLHESRALRPGTMVRVNATCRFEEVKAIRP
+YCRDGEDVWKASRFRVLITTCSSAGLFYQIGLRVGHFTHVFVDEAGQASEPECLIPLGLV
+SDVSGQIVLAGDPMQLGPVIKSRLAMAYGLNVSMLERLMSRPAYLRDEDAFGACGAYNPL
+LVTKLVKNYRSHSALLALPSRLFYHRELEVCADPTVVASLLGWEKLPRKGFPLIFHGVRG
+NEAREGRSPSWFNPAEAVQVMRYCCMLARSVSSQVSARDIGVITPYRKQVEKIKILLRNV
+DLMDIKVGSVEEFQGQEYLVVIISTDPCFGALLEYSITNGVYTGCDLPPELQPLQNGAAA
+SPSPVVPWAPEPPRGAPPTGPCLSASAAVPGCVAAPPCPAEPC
+>tr|A0A3Q1M7D7|A0A3Q1M7D7_BOVIN Adhesion G protein-coupled receptor F2 OS=Bos taurus OX=9913 GN=ADGRF2 PE=3 SV=1
+MHKEKNIQFKRSSQKGNCVEDDDSDAFALAVRLRVSFGHRVLQDVMPGECHGTCVDNSYC
+SQPCPPDTPGDMGFLCRQKKWHKVIESCRTLTAFNIFEADSNSVQTFGGSKINEYTNKPE
+TITDLLMEKCPQNLSCVIRNIQKSPRIPGNIALIVQLLHNISTVPMKDVDETKMKSYSIM
+ANHILNSKSISNWTFIPERNSSCVLLHSVNSFARKLFVNKHPIEIADIFIHTVGTILSRD
+NAGKNFTFSMRVNDTREVTGKVSISREELQEVPSPSRAVSIAFPTLGAILEASVLESITV
+NGLVLSVILPKELERISLIFEKISKSEDRRAQCAGWHSVESRWDHRVCQKIQENSQQVVC
+KCSPSKTFTSFSILMAPHVLQSPILIYITYIGLGASICSLILCLSIEALVWGQVTKTEIS
+YLRHVCIANIATTLLMADVWFIVASFLSGPTRHHSACVAATFFVHFFYLSVFFWMLAKAL
+LILYGILIVFHTLPKSVLVAALFAVGYGCPLLIASITVAATEPGKGYLRPEACWLNWDMT
+KALLAFVVPALAIVVVNLVTVTLVIVKTRRAAIGSSMFQEVRAIVRISKNIAILTPLLGL
+TWGFGIATVLNDSSLAFHIIFSLLNAFQGFFILVFGTILDPKIRDALKDRINSAQWISRI
+SENVSSDFSRHPTKGPS
+>tr|F1MC51|F1MC51_BOVIN ATP binding cassette subfamily B member 5 OS=Bos taurus OX=9913 GN=ABCB5 PE=4 SV=3
+MANSEQAEEMQKNYQRNGYVLPKFYKTCSFRFADGLDITLMILGLLASLVNGACLPVMSL
+ILGEMSDNLISGCLVKTNTTNYRNCTQSQEKVNEDMIVLTLYYVGIGVTALIFGYIQISF
+WVMTAARQTKRIRKQFFHSVLAQDISWFDSCDIGELNTRMTEDINKINDGIGDKIALLFQ
+NMSTFSVGLAIGLVKGWKLTLVTLSTSPLIIASAAIFSRIVISLSSKELNAYSKAGAVAE
+EVLSSIRTVIAFGAQEKEIQRYTQNLKDAKDVGIKKAIASKLSLGAVYFFMNGTYGLAFW
+YGTSLILSGEPDYTIGTVLAVFFSVIHSSYCIGAAAPNFETFTIARGAAFNIFHIIDKKP
+TIDNFSTTGYKLECIEGTVEFKNVSFSYPSRPSIKILKGLNLKIKSGETVALVGPNGSGK
+STAVQLLQRLYDPDDGFITVDGNDIRTLNVRHYREHFGVVSQEPVLFGTTINNNIRYGQD
+GVTNEDIEKAAKEANAYDFIMEFPKKFNTLVGEKGIQMSGGQKQRIAIARALVRNPKILI
+LDEATSALDTESESAVQAALVKASKGRTTIVVAHRLSTIRSADMIVTIKDGMVVEKGTHA
+ELMTKQGLYYSLAMSQDIKKADEQIESVAYSAEKDTSSIPLCSVNSMKSDFTDKVEESTQ
+YKETNLPEVSLLKIFKLYKSEWPSVVLGTLASVLNGTVHPIFSIIFAKIVTMFENDDKTT
+LKHDAEIYSMIFVILGVMCFVSYFIQGLFYGRAGEILTMRLRHLAFKAMLYQDISWFDDK
+ENTTGALTTILAIDIAQIQGATGSRVGVLTQNATNMGLSVIISFIYGWEMTLLILSIAPV
+LALTGMIETAAMTGFANKDKQELLRAGKIATEAVENIRTIMSLTREKAFEQMYEETLQTQ
+HRNTLKKAQIIGICYAFSHAFVYFAYAVGFRFGTYLIQAGRMTPEGMFIVFTAIAYGAMA
+IGETLVLAPEYSRAKSGAAHLFALLEKKPTIDSYSQEGKKTDICEGNIEFREVSFFYPSR
+PDVLILRSLSLSIEKGKTVAFVGSSGCGKSTSVQLLQRFYDPVKGQVLFDGVDAKELNVQ
+WLRSQIAIVSQEPVLFNCSIAENIAYGDNSRVVSLNEIKEVANAANIHSFIESLPEKYNT
+HVGLKGTQLSGGQKQRLAIARALLRKPKILLLDEATSALDNESEKVVQHALDKASKGRTC
+LVVAHRLSTIQNADLIVVLHNGKIKEQGTHQELLRNRDIYFKLVNAQSVQ
+>tr|E1B807|E1B807_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=OR5D18 PE=3 SV=2
+MFLSERNKSGATFTLLGFSNYPELQVPLFLTFLAIYSVTVVGNLGMIVIIKINPKLHTPM
+YFFLSHLSFVDFCYSSIIAPKTMVNLMVEDRTISFVGCVIQFFFFCTFVVTESFLLAVMA
+YDRFVAICDPLLYVVAMSPRLCATLVVGSYAWGVACSLILTCTVIKLSFQGFNTIDHFFC
+ELSSLLSLSCSDTYLNQLLLFIFATFNEVSTLVIVLLSYVFIVVTILKMCSACGRHKAFS
+TCASHLTSISIFHGTILFLYCVPNSKNSRHTVKVASVFYTVVIPMLNPLIYSLRNKDVKD
+TVSKIMDSKVFSY
+>tr|A0A3Q1LWL7|A0A3Q1LWL7_BOVIN Dimethylaniline monooxygenase [N-oxide-forming] OS=Bos taurus OX=9913 GN=FMO3 PE=1 SV=1
+MVKKVAIIGAGISGLASIRNCLEEGLEPTCFEKGEDIGGLWKFSDHVEEGRASIYRKLQE
+YITMFAKEKNLLKYIQFKTIVSSVNKRPDFQTTGQWDVITEKDGKKESAVFDAVMICSGH
+HVYPNIPKESFPGIKLFKGKCFHSRDYKEPGIFKGKRVLVIGLGNSGCDIASELSHIAEK
+VIISSRSGSWVMSRVWDEGYPWDMLFITRFETFLKNTLPTVISNWWYMKQMNARFKHENY
+GLMPLNSTLRKEPVFNDELPACILCGIVTIKPNVKEFTEDSAIFEDGTVFKAIDYVIFAT
+GYSYAYPFLDDSIIKSRDNEVTLFKGIFPPPLEKPTLAVIGLVQSLGAAIPTTDLQSRWA
+VQVIKGTCPLPSVKDMMNDIDEKMGKKLKLFGKSDTIQTDYVVYMDELASFIGAKPNIPW
+LFLTDPKLALEVYFGPCTPYQFRLVGPGKWPGARNAILTQWDRLLKPMTTRVVGSPLKPC
+LFCNWQFLLFPGQDK
+>tr|G3MZQ3|G3MZQ3_BOVIN Aprataxin and PNK-like factor OS=Bos taurus OX=9913 GN=APLF PE=4 SV=2
+MSGGFELQPQDGGPRVALAPGETVIGRGPLLGITDKRVSRRHAILEVVGGKLRIKPLHRN
+PCYYQSSEKSQLLPLKTNIWCWLNPGDHFSLLVDKYIFCVLSTHSEMEMECTLRNSQMLD
+EDDILNEIPKSSSADLPDKTPSAPRRERSTETAKPQAAANNMSFIGESRDLSKQQPNPSE
+RKRILPAWMLTENSSDQNLSVISGGNNVTWESEKERVCKDKTQVNITQPGKKRLISSGSS
+ESTSAKQDTGKKCKNDDQEESIISSKEMPQSFSAAMLHNTEIDNTKTNPQRSKVPVEALG
+KVSEHKIITKGSSNEDSTARSCSESYSSTQSKSFCDKPQKSHPEPSSNPPSPECVQAKAT
+DSVPNGSEENKVQRTSCMYGANCYRKNPVHFQHFSHPGDSDYGGVNITCQDEADDRPECP
+YGASCYRKNPQHKIEYRHSTFPVRSISDEDDNVGQPNEYNLNDSFIDDEEEEYEPTDEDS
+DWEPEKEDLEKEDMEGLLKEAKKFMKRKK
+>tr|A0A3Q1N7M9|A0A3Q1N7M9_BOVIN Mediator of RNA polymerase II transcription subunit 14 OS=Bos taurus OX=9913 GN=MED14 PE=3 SV=1
+MAPVQLENHQLVPPGGSGSGGPASAPAPPPPGAAVAAAAAAAASPGYRLSTLIEFLLHRA
+YSELMVLTDLLPRKSDVERKIEIVQFASRTRQLFVRLLALVKWANNAGKVEKCAMISSFL
+DQQAILFVDTADRLASLARDALVHARLPSFAIPYAIDVLTTGSYPRLPTCIRDKIIPPDP
+ITKIEKQATLHQLNQILRHRLVTTDLPPQLANLTVANGRVKFRVEGEFEATLTVMGDDPD
+VPWRLLKLEILVEDKETGDGRALVHSMQINFIHQLVQSRLFADEKPLQDMYNCLHSFCLS
+LQLEVLHSQTLMLIRERWGDLVQVERYHAGKCLSLSVWNQQVLGRKTGTASVHKVTIKID
+ENDASKPLQIFHDPPLPASDSKLVERAMKIDHLSIEKLLIDSVHARAHQKLQELKAILRG
+VNANENSSIETALPALVVPILEPCGNSECLHIFVDLHSGMFQLMLYGLDQATLDDMEKSV
+NDDMKRIIPWIQQLKFWLGQQRCKQSIKHLPTITSETLQLSNYSTHPIGNLSKNKLFVRL
+TRLPQYYIVVEMLEVPNKPTQLSYKYYFLSVNAVDRDDSPLMALLLQQFKENLQESVYRT
+KNGKQPRTSTKRKLSDDLCPVEPKKTKRSGEMRAFNKVLAHFVAMCDTNMPFVGLRLELS
+NLEIPHQGVQMEGDGFSHAIRLLKIPPCKGINEETQKALDRSLLDCTFRLQGRNNRTWVA
+ELVFANCPLNGTSTREQGPSRHVYLTYENLLSEPVGGRKVVEMFLNDWNSIARLYECVLE
+FARSLPDIPSHLNIFSEVRVYNYRKLILCYGTTKGSSISIQWNSIHQKFHISLGTVGPNS
+GCSNCHNTILHQLQEMFNKTPNVVQLLQVLFDTQAPLNAINKLPTVPMLGLTQRTNTAYQ
+CFSILPQSSTHIRLAFRNMYCIDIYCRSRGVVAIRDGAYSLFDNSKLVEGFYPAPGLKTF
+LNMFVDSNQDARRRSVNEDDNPPSPIGGDMMDSLISQLQPPPQQQPFPKQPGSSGAYPLT
+SPPTSYHSTVNQSPSMMHTQSPGTLDPSSPYTMVSPSGRAGNWPGSPQVSGPSPATRMPG
+MSPANPSLHSPVPDASHSPRAGTSSQTMPTNMPPPRKLPQRSWAASIPTILTHSALNILL
+LPSPTPGLVPGLAGSYLCSPLERFLGSVIMRRHLQRIIQQETLQLINSNEPGVIMFKTDA
+LKCRVALSPKTNQTLQLKVTPENAGQWKPDELQVLEKFFETRVAGPPFKANTLIAFTKLL
+GAPTHILRDCVHIMKLELFPDQATQLKWNVQFCLTIPPSAPPIAPPGTPAVVLKSKMLFF
+LQLTQKTSVPPQEPVSIIVPIIYDMASGTTQQADIPRQQNSSVAAPMMVSNILKRFAEMN
+PPRQGECTIFAAVRDLMANLTLPPGGRP
+>tr|A0A140T8C8|A0A140T8C8_BOVIN Kininogen-1 OS=Bos taurus OX=9913 GN=KNG1 PE=4 SV=1
+MKLITILFLCSRLLPSLTQESSQEIDCNDQDVFKAVDAALTKYNSENKSGNQFVLYRITE
+VARMDNPDTFYSLKYQIKEGDCPFQSNKTWQDCDYKDSAQAATGECTATVAKRGNMKFSV
+AIQTCLITPAEGPVVTAQYECLGCVHPISTKSPDLEPVLRYAIQYFNNNTSHSHLFDLKE
+VKRAQRQVVSGWNYEVNYSIAQTNCSKEEFSFLTPDCKSLSSGDTGECTDKAHVDVKLRI
+SSFSQKCDLYPVKDFVQPPTRLCAGCPKPIPVDSPDLEEPLSHSIAKLNAEHDGAFYFKI
+DTVKKATVQVVAGLKYSIVFIARETTCSKGSNEELTKSCEINIHGQILHCDANVYVVPWE
+EKVYPTVNCQSLGQTSLMKRPPGFSPFRSVQVMKTEGSTTVSVPHSAMSPVQDEERDSGK
+EQGPTHGHGWDHGKQIKLHGLGLGHKHKHDQGHGHHRSHGLGHGHQKQHGLGHGHKHGHG
+HGKHKNKGKNNGKHYDWRTPYLASSYEDSTTSSAQTQEKTEETTLSSLAQPGVAITFPDF
+QDSDLIATVMPNTLPPHTESDDDWIPDIQTEPNSLAFKLISDFPETTSPKCPSRPWKPVN
+GVNPTVEMKESHDFDLVDALL
+>tr|A0A3Q1MRA8|A0A3Q1MRA8_BOVIN Ankyrin repeat domain 10 OS=Bos taurus OX=9913 GN=ANKRD10 PE=4 SV=1
+MSPCRSRVVRWKTTPTRCTWTESSPQIMGFDSGPLDTVVPVTAEPRGHFRTCSWLRTARM
+GSWDMKNSSSVSNTLTNGCVANGHLDFPSTAQPCGMESRSGQCSAGLNGVGTGLVPGLPF
+PSSQGSPGVNGTEEPERSTQVSPETCGSLHLNGSPSSCVASRPSWAEESLQYGHYHGFGD
+TAESIPELSSALEHASSVKAEQGYHSAVLGAMHLSHGS
+>tr|A0A3Q1NN25|A0A3Q1NN25_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+RSPGEGKGYPLQYSGLENFMDNIVHGIPKSWTRLSDFHFTSGLYHWVEMRAKMRLMGFNA
+ETIKPLNEEAAAELGAELLGEATIFLVACGCLVLEYSRQKTQQRRKEGEQLAAWDAMRDE
+VDHLALVLEALQAQVQAAPPPGALEELQAQMREVRAQLCVQDLPPAPQEEPTPRDEGPPD
+PGLGQD
+>tr|A0A3Q1MFY7|A0A3Q1MFY7_BOVIN Stromal cell-derived factor 2 OS=Bos taurus OX=9913 GN=SDF2 PE=4 SV=1
+MYHSFFIHSWVSEHLGSFPVLSIVNSAAMKIVVHVSFSVTVFSGYMPSSGIVGSCDSFIP
+SFLRNLHTVFHSGCINLCSHQQCKRIPFSLHLLWHILFVDFFDDGHSDWSFIDINFFLTT
+GSGQQSVTGVTSVDDSNSYWRIRGKTATVCERGTPIRCGQPIRLTHVNTGRNLHSHHFTS
+PLSGNQEVSAFGEEGEGDYLDDWTVLCNGPYWVRDGEVRFKHSSTEVLLSVTGEQYGRPI
+SGQKEVHGMAQPSQNNYWKAMEGIFMKPSELLKAEGHHTEL
+>tr|A0A3Q1LRI0|A0A3Q1LRI0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=C17orf100 PE=4 SV=1
+MASPLRGKPSPARAESICSEEKATVSVETSSHRVETSYRHVRTSSRQVETTYRSSEGPSV
+SPSGKRLPRVLEVSSQHVETASQLTETASRHVRASSLRVETSVHRMESPPRREKPAARQN
+VQKAR
+>tr|A0A3Q1M6V9|A0A3Q1M6V9_BOVIN Placental prolactin-related protein 2 OS=Bos taurus OX=9913 GN=PRP2 PE=3 SV=1
+MAPAPSFRGHQWTYNPVRGSCLLLLLVVSNLLLCQGISCPSCGPDMFVSLQKSLIDVFIN
+AASLSHDFHNLSTIMFNEFDEKYAQGKLYYINATKSCHTNSFHTPEERDKAQQMNNEDLS
+KWTLVLLYSWNNPLYYLLLELRNMKNLSEAVISSAMEIENMSEKLQAFIESQFRKIIVPV
+LKTIHEVSNTWSRFSSMTFSDEDRSISEYYNLFYCLRRDSRKVDMYIKILTCRTRKTC
+>tr|A0A3Q1NNS0|A0A3Q1NNS0_BOVIN Histone deacetylase OS=Bos taurus OX=9913 GN=HDAC8 PE=3 SV=1
+MKGFWPEEAHACLQLDGEQEQCHWRSRDSEGMLTKEHRCGRTVEQEPEPRLSSKKAGSGR
+WFRNGCKWKMEESEEPADAGQSLPPVYIYSPEYVSVCDSLAKVPKRASMVHSLIEAYALH
+KQMRIVKPKVASMEEMASFHTDAYLQHLQKVSEDGDDDHPDSIEYGLGYDCPATEGIFDY
+AAAVGGATITAAQCLIDGMCKVAINWSGGWHHAKKDEASGFCYLNDAVLGILRLRRKFDR
+ILYVDLDLHHGDGVEDAFSFTSKVMTVSLHKFSPGFFPGTGDVSDVGLGKGRYYSVNVPI
+QDGIQDERYYHICESVLKEVYIAFNPKAVVLQLGADTIAGDPMCSFNMTPVGIGKCLKYI
+LQWELATLILGGGGYNLANTARCWTYLTGVILGKTLSSEIPDHEFFTAYGPDYVLEITPS
+CRPDRNEPHRVQQILNYIKGNLKHVV
+>tr|E1BKQ2|E1BKQ2_BOVIN RAB11 family interacting protein 1 OS=Bos taurus OX=9913 GN=RAB11FIP1 PE=4 SV=2
+MSLAASAGRGPGAVWSPTHVQVTVLQARGLRAKGPGGTSDAYAVIQVGKEKYATSVSERS
+LGAPVWREEATFELPPLLSAEAAPAAAATLQLTVLHRALLGLDKFLGRAEVDLRGLHQNQ
+GRRRTQWYTLKSKPGKKDKERGEIEVDIQFMRNNMTASMFDLSMKDKSRNPFGKLKDKIK
+GKNKDSASDTVSAIVPHVTPSADSDDEAPSKDKKKKSKIKTLFSKSNLQRTPLSQSMSVL
+PTSKSDKVMLRPGDFESRWEDDDEDESSSASDVLSHKRTASADPKQLNQVNFSLPKKEGL
+SFLGGLRSKNDALSRSNVCINGNHVYVEPPEAKTETKDVSPSPSPSPQDLRKKRWFSSTE
+NLASRPWREPGEAGAVPPESSTKDALKSMSLPSYQPQVSRDLRENAAPATLEAAKETKES
+KKQENKKPALPFLVPGKKDTAKGSEGESPPAAASGKEREGAPTALRAGEHQPGPADDLVK
+KSDKEAAPVAAGPGRALNPFEDEQLPEPEADPEPKAAPVPPVFSPRAPQTRAVKPRPEVS
+PEARPAPRPPPSTNSPLFLSTLASGSGQVPVSSKTGHDSETPSSESPSGSSSISSPIAAP
+ISTSTPIKNWPSADPGQASSEEPPSLLELELEKETQTRVPNIDLHAVGLLSKQTPVPLTG
+GREDYSPEKTSTNDLAQSLRTQPEEGQEGGLLGSPWQEQQSDIASSDEAREVASALAPGR
+GRRGSPAGKPPSREDPDSAKDAGGGGRLSPAVKEMAPRLHTGESAPTPDSAMTQGHEEMD
+PDARGGGKDTKKQALFSKKLSTEEAGEENPRLVHGDRGVPQEPTPPGATPRNAMDMGDSQ
+EGAAVTGPRLPALATHTPCPSSKGSFSGGPQPTIGSGRAGPLFRSQGDNTRMAWNQSKAS
+DHEGLLSDPLRGLQAPCEAKPPGVAHLDLTLPSIPEVESEDERGDQPEGGGGAALVAGWG
+GGALSSSTGPVQLEGERTPSEEAGGSAAGSLHDPTPGATAPASVEQTPGPGSNGGKAGPA
+GDGSPPRPPAAALGSPVSSSPTPPPLPATHSFTRSAHSDTPHTNTAESQKKATAEGSAGN
+VENPGKRKPLLQARVSPSETQPSTGSRPAKHRLHPVKPMNTTAPKVSNSTSGTTAIVSEN
+LINEAGMKKYKPSDPAFAYAQLTHDELIQLVLKQKETISKKECQVRQLEDYIDNLLVRVM
+EETPNILRVPSQVGKKAGKM
+>tr|F1MGT5|F1MGT5_BOVIN General transcription factor IIE subunit 1 OS=Bos taurus OX=9913 GN=GTF2E1 PE=4 SV=2
+MADPDVLTEVPAALKRLAKYVIRGFYGIEHALALDILIRNPCVKEEDMLELLKFDRKQLR
+SVLNNLKGDKFIKCRMRVETAADGKTTRHNYYFINYRTLVNVVKYKLDHMRRRIETDERD
+STNRASFKCPVCSSTFTDLEANQLFDPMTGTFRCTFCQTEVEEDESAMPKKDARTLLARF
+NEQIEPIYALLRETEDVNLAYEILEPEPTEIPALKQSQDRLSTTRGKNGMGQGRNEEQWR
+SKTRKNSEWLLREVVQNLKTNEVLLRKASVAGKERHRKPXWLRESTVQGAYNSEEMKEGG
+IDIDSFQEHEEGHAGPDDNEEVMRALLIHEKKTPSAPAGSVGAAAPVTAANGSDSESETS
+ESDDDSPPRVATVAAHHGEEDEEDDEFEEVADDPVVMVAGRPFSYSEVSQKPELVAQMTP
+EEKEAYIAMGQRMFEDLFE
+>tr|E1BN85|E1BN85_BOVIN GTF2I repeat domain containing 1 OS=Bos taurus OX=9913 GN=GTF2IRD1 PE=4 SV=3
+MALLGKRCDIPANGCGPDRWTSAFTRKDEIITSLVSALDSMCSALSKLNAEVACVAVHDE
+SAFVVGTEKGRMFLNARKELQSDFLRFCRGAPWKEPEAEHPKKVPRGEGGGRNVPRSALE
+HGSDVYLLRKMVEEVFDVLYSEALGRASVVPLPYERLLREPGLLAVQGLPEGLAFRRPAE
+YDPKALMAILEHSHRIRFKLKRPLEDGGRDSKALVELNGVSLLAKGARDCGLHGQTPKGP
+PQDLPPPATSSSVASFLYSTALPNHAVRELKQEAPACPLGPSDLGLGRPGPEPKAPAAQD
+FPDCCGQKPTGPGGPLIQNVHASKRILFSIVHDKSEKWDAFIKETEDINTLRECVQILFN
+SRYAEALGLDHMVPVPYRKIACDPEAVEIVGIPDKIPFKRPCTYGVPKLKRILEERHSIH
+FVIKRMFDERIFTGNKFTKDPTKLEPASPPEDASTEVARAAVLDLAGTTRSDKSSLSEDC
+GPGTSGELGGLRPIKIEPEDPDIIQVTVPDPSPASEEMTDSMPGHLPSEDSGYGMEMLTD
+KGAGEDPRPEERPVEDSHGDVIRPLRKQVELLFNTRYAKAIGISEPVKVPYSKFLMYPEE
+LFVVGLPEGISLRRPNCFGIAKLRKILEASNSIQFVIKRPELLTEGVKEPLSDSQATRSP
+WLLSPPPTPPERDSGDPLVDESLKRQGFQENYDARLSRIDIANTLREQVQDLFNKKYGEA
+LGIKYPVQVPYKRIKSNPGSVIIEGLPPGIPFRKPCTFGSQNLERILAVADKIKFTVTRP
+FQGLIPKPDEDDANRLGEKVILREQVKELFNEKYGEALGLNRPVLVPYKLIRDSPDAVEV
+TGLPDDIPFRNPNTYDIHRLEKILKAREHVRMVIINQLQPFAEICNDAKVPAKDSSIPKR
+KRKRVSEGNSVSSSSSSSSSSSSSNPESLASTNQISLVQWPMYMVDYAGLNVQLPGPLNY
+>tr|E1BE25|E1BE25_BOVIN Filamin C OS=Bos taurus OX=9913 GN=FLNC PE=1 SV=2
+MMNNSGYSEAPGFGLGDEVDDMPSTEKDLAEDAPWKKIQQNTFTRWCNEHLKCVGKRLTD
+LQRDLSDGLRLIALLEVLSQKRMYRKFHPRPNFRQMKLENVSVALEFLEREHIKLVSIDS
+KAIVDGNLKLILGLIWTLILHYSISMPMWEDEDDEDARKQTPKQRLLGWIQNKVPQLPIT
+NFNRDWQDGKALGALVDNCAPGLCPDWEAWDPNQPVENAREAMQQADDWLGVPQVIAPEE
+IVDPNVDEHSVMTYLSQFPKAKLKPGAPVRSKQLNPKKAIAYGPGIEPQGNTVLQPAHFT
+VQTVDAGIGEVLVYIEDPEGHTEEAKVVPNNDKNRTYAVSYVPKVAGLHKVTVLFAGQNI
+ERSPFEVNVGMALGDANKVSARGPGLEPVGNVANKPTYFDIYTAGAGTGDVAVVIVDPQG
+RRDTVEVALEDKGDSTFRCTYRPVMEGPHTVHVAFAGAPITRSPFPVHVAEACNPNACRA
+SGRGLQPKGVRVKEVADFKVFTKGAGSGELKVTVKGPRGTEEPVKVREAGDGVFESPRSP
+DPFEVQVSPEAGIQKVRAWGPGLETGQVGKSADFVVEAIGTEVGTLGFSIEGPSQAKIEC
+DDKGDGSCDVRYWPTEPGEYAVHVICDDEDIRDSPFIAHIQPAPPDCFPDKVKAFGPGLE
+PTGCIVDKPAEFTIDARAAGKGDLKLYAQDADGCPIDIKVIPNGDGTFRCSYVPTKPIKH
+TIIVSWGGVNVPKSPFRVNVGEGSHPERVKVYGPGVEKTGLKANEPTYFTVDCSEAGQGD
+VSIGIKCAPGVVGPAEADIDFDIIKNDNDTFTVKYTPPGAGRYTIMVLFANQEIPASPFH
+IKVDPSHDASKVKAEGPGLNRTGVEVGKPTHFTVLTKGAGKAKLDVHFAGAAKGEAVRDF
+EIIDNHDYSYTVKYTAVQQGNMAVTVTYGGDPVPKSPFVVNVAPPLDLSKVKVQGLNSKV
+AVGQEQAFSVNTRGAGGQGQLDVRMTSPSRRPIPCKLEPGGGAETQAVRYMPPEEGPYKV
+DITYDGHPVPGSPFAVEGVLPPDPSKVCAYGPGLKGGLVGTPAPFSIDTKGAGTGGLGLT
+VEGPCEAKIECQDNGDGSCAVSYLPTEPGEYTINILFAEAHIPGSPFKATIRPVFDPSKV
+RASGPGLERGKAGEAATFTVDCSEAGEAELTIEILSDAGVKAEVLIHNNADGTYHITYSP
+AFPGTYTITIKYGGHPVPKFPTRVHVQPAVDTSGVKVSGPGVEPHGVLREVTTEFTVDAR
+SLTATGGNHVTARVLNPSGAKTDTYVTDNGDGTYRVQYTAYEEGAHLVEVLYDDVAVPKS
+PFRVGVTEGCDPTRVRAFGPGLEGGLVNKANRFTVETRGAGTGGLGLAIEGPSEAKMSCK
+DNKDGSCTVEYIPFTPGDYDVNITFGGRPIPGGPGTPDLHSGLQPSWPGAPAGGRAGPHR
+YGVSGAGWKEPERVPRGSADYSLCPGVAEPVEVRDNGDGTHTVHYTPATDGPYTVAVKYA
+DQEVPRSPFKIKVLPAHDASKVRASGPGLNASGIPASLPVEFTIDARDAGEGLLTVQILD
+PEGKPKKANIRDNGDGTYTVSYLPDMSGRYTITIKYGGDEIPYSPFRIHALPTGDASKCL
+VTVSIGGHGLGACLGPRIQIGEETVITVDAKAAGKGKVTCTVSTPDGAELDVDVVENHDG
+TFDIYYTAPEPGKYVITIRFGGEHIPNSPFHVLACEAMPRVEEPPDVPQLHRPSAYPTHW
+ATEEPVVPAEPMESMLRPFNLVIPFTVQKGELTGEVRMPSGKTARPNITDNKDGTITVRY
+APTEKGLHQMGIKYDGNHIPGSPLQFYVDAINSRHVSAYGPGLSHGMVNKPATFTIVTKD
+AGEGGLSLAVEGPSKAEITCKDNKDGTCTVSYLPTAPGDYSIIVRFDDKHIPGSPFTAKI
+TGDDSMRTSQLNVGTSTDVSLKITESDLSQLTASIRAPSGNEEPCLLKRLPNRHIGISFT
+PKEVGEHVVSVRKSGKHVTNSPFKILVGPSEIGDASKVRVWGKGLSEGHTFQVAEFIVDT
+RNAGYGGLGLSIEGPSKVDINCEDMEDGTCKVTYCPTEPGTYIINIKFADKHVPGSPFTV
+KVTGEGRMKESITRRRQAPSIATIGSTCDLNLKIPGNWFQMVSAQERLTRTFTRSSHTYT
+RTERTEISKTRGGETKREVRVEESTQVGGDPFPAVFGDFLGRERLGSFGSITRQQEGEAS
+SQDMTAQVTSPSGKTEAAEIVEGEDSAYSVRFVPQEMGPHTVTVKYRGQHVPGSPFQFTV
+GPLGEGGAHKVRAGGTGLERGVAGVPAEFSIWTREAGAGGLSIAVEGPSKAEIAFEDRKD
+GSCGVSYVVQEPGDYEVSIKFNDEHIPDSPFVVPVASLSDDARRLTVTSLQETGLKVNQP
+ASFAVQLNGARGVIDARVHTPSGAVEECYVSELDSDKHTIRFIPHENGVHSIDVKFNGAH
+IPGSPFKIRVGEQSQAGDPGLVSAYGPGLEGGTTGVSSEFIVNTLNAGSGALSVTIDGPS
+KVQLDCRECPEGHVVTYTPMAPGNYLIAIKYGGPQHIVGSPFKAKVTGPRLSGGHSLHET
+STVLVETVTKSSSSRGSSYSSIPKFSSDASKVVTRGPGLSQAFVGQKNSFTVDCSKAGTN
+MMMVGVHGPKTPCEEVYVKHMGNRVYNVTYTVKEKGDYILIVKWGDESVPGSPFKVNVP
+>tr|A0A3Q1MYD5|A0A3Q1MYD5_BOVIN NOP58 ribonucleoprotein OS=Bos taurus OX=9913 GN=NOP58 PE=4 SV=1
+MLVLFETSVGYAIFKVLNEKKLQEVDSLWKEFETPEKANKIVKLKHFEKFQDTAEALAAF
+TALMEGKINKQLKKVLKKIVKEAHEPLAVADAKLGGVIKEKLNLSCIHSPVVNELMRGIR
+SQMDGLIPGVEPREMTAMCLGLAHSLSRYRLKFSADKVDTMIVQAISLLDDLDKELNNYI
+MRCREWYGWHFPELGKIISDNLTYCKCLQKVGDRKNYASAQLSELLPEEVEAEVKAAAEI
+SMGTEVSEEDICNILHLCTQVIEISEYRTQLYEYLQNRMMAIAPNVTVMVGELVGARLIA
+HAGSLLNLAKHAASTVQILGAEKALFRALKSRRDTPKYGLIYHASLVGQTSPKHKGKISR
+MLAAKTVLAIRYDAFGEDSSSAMGVENRAKLEARLRTLEDRGIRKISGTGKALAKAEKYE
+HKSEVKTYDPSGDSTLPTYSKKRKIEQVDKEDEVIEKKAKKAKVKIKVEEEVVEDVEDTE
+EEGVQVVEEQETSVKKKKKKDKKKHVKEEPLSEEEPCTSTAVAVCLLLIISILLTWAEFP
+YFILLCMLEMNR
+>tr|A0A3Q1MQD3|A0A3Q1MQD3_BOVIN Inversin OS=Bos taurus OX=9913 GN=INVS PE=4 SV=1
+MIIDETPFSFHQVVAVVEKTMNRSENLFFAGSSLASQVHAAAINGDKGALHKLIIGNSAL
+KDKEDQFGRTPLMYCVLADRLDCADTLLKAGADVNKTDHSQRTALHLAAQKGNYRFMKLL
+LTRRANWMQKDLEEMTPLHLTTRHKSPKCLALLLKFMAPGEVDTQDKNKQTALHWSAYYN
+NPEHVKLLIKHDSNIGIPDVEGKIPLHWAANHKDPSAVHTVRCILDAAPTESLLNWQDYE
+GRTPLHFAVADGNVTVVDVLTSYESCNITSYDNLFRTPLHWAALLGHAQIVHLLLERNKS
+GTIPSDSQGATPLHYAAQSNFAETVKVFLKHPSVKDDSDLEGRTSFMWAAGKGNDDVLRT
+MLSLKSDIDINMADKYGGTALHAAALSGHVSTVKLLLENNAQVDATDVMKHTPLFRACEM
+GHKDVIQTLIKGGARVDLVDQDGHSLLHWAALGGNADVCQILIENKINPNVQDYAGRTPL
+QCAAYGGYINCMAVLMENNADPNIQDKEGRTALHWSCNNGYLDAIKLLLDFAAFPNQMEN
+NEERYTPLDYALLGERHEVIQFMLEHGALSIAAIQDIAAFKIQAVYKGYKVRKAFRDRKN
+LLMKHEQLRKDAAAKKREEENKRREAEQQKGRLSPDSCRPHAPLCLPRTQAEPHRQSRAP
+SKQPPCSDKAQGPERKACRRSPGRGSPSRAPQKEQQLSSDLQRTEPRKPNETAREHSKGR
+SACVHFSPSEGSDGNRRPGVCPAEKPRGETAGEQHCDKGKGSSKQPSHVKGAGPDAEGEE
+PSWAATSLPQQDSHWKASRRQDAAPKAMRASQKRRSQELRGGRRSPAGSSRPGSAKGEVV
+HPGQSPLHHRTPRNTVTQDKLAGGTSSDLPPSTEVLRSGVRKLGTSARSEDTRLPKETDP
+APGPLPGQTVNIDLLPVELRLQIIQRERSRKELFRRKNKAAAIIQRAWRSYQLRKHLSHL
+LHVKQLGARDMDRWNRECLALILQVWRKELELKPPKTMAVSKTTKSPPKGSSGTKPTRHS
+VLKQIYGSQEGKVRQPTRSSKAHSVLGLNSVSNLQCIHLLKNSGKSQNFSYNLQSANPSR
+NKLKLRLPKEEDCARGARIASADFKFSD
+>tr|F1N4E2|F1N4E2_BOVIN CD27 molecule OS=Bos taurus OX=9913 GN=CD27 PE=4 SV=2
+MARLPPCWLWVLGTLAGLSATPDPKSCPEKHYWAQGGWCCQMCEPGTFLVKDCEQHREAA
+QCNPCTPGVSFMPDHHSRPHCESCRHCNSGLLIRNCTLTANSECACPEGQQCRDKDCMEC
+DGPAQAPGPHPQPSHLPYAEEIAESRTDRHTQTLANSRWLPAPTLSTHWSPQRSLCSANC
+IRIFVLLSGMFLAFTIVGALFLHQQRKLNAGESPVAPAEPCPYTCPSEEEGSAIPIQEDY
+RKPEPTSYF
+>tr|A0A452DJD9|A0A452DJD9_BOVIN Sperm protamine P1 OS=Bos taurus OX=9913 GN=PRM1 PE=4 SV=1
+MARYRCASPIAGADAAAAAEEDVADEGGALVGGAGGECAAVATPS
+>tr|A0A3Q1MWC4|A0A3Q1MWC4_BOVIN EH domain binding protein 1 like 1 OS=Bos taurus OX=9913 GN=EHBP1L1 PE=4 SV=1
+MTSVWKRLQRVGKRAAKFQFVACYHELVVECTKKWQPDKLVVVWTRRNRRICSKAHSWQP
+GIQNPYRGTVVWMVPENVDISVTLYRDPHVDQYEAKEWTFIIENESKGQRKVLATAEVDL
+ARHAGPVPTQVPLRLRLKPKSVKVVQAELSLTLSGVLLREGRATDDDMQSLASLMSMKPS
+DVGNLDDFAESDEEEANGPGALEARTRVPQPGRGGALRLGHFPETPRELKTLCEEEEEGR
+LQPRQAAASPSSAEDTSPAPAPPAQVSFSQSLLEWCQEVTAGYRGVRITNFTTSWRNGLA
+FCAILHRFYPDKIDFASLDPLNIKQNNKQAFDGFAALGVSRLLEPADMVLLSVPDKLIVM
+TYLCQIRAFCTGQELQLVQLEGGGGTGTYRVASAQPSPPDDLDTGGLAQRLREHRAEAPQ
+QPQEAATRVDGAASEAASKDRGAVAAQEARSTEAPADGPGARASVPPAEGLVNGVGTPGA
+AGGVRLRRPSVNAEAGPVPPPRAHGSFSHVRDADLLKKRRSRLRNSSSFSGDEPDSGAAG
+AAAEGTSPDPSPAPGLPAASAPQQPSGGTPPAEEPPPSPGEDSGLQRFQDTSQYVCAELQ
+ALEQEQRQIDGRAAEVEKQLRSLMESGTDRLQEEVLIQEWFTLVNKKNALIRRQDQLQLL
+IEEQDLERRFELLSRELRAMLAIEDWLKTAAQQRREQLLLEELVSLVNQRDELVRNLDQK
+ERTALEEDERLERGLEQRRRKLSRQLGRRERCVLS
+>tr|A0A3Q1M877|A0A3Q1M877_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MNIGVQVSLSILVSSVCMPSSGIAGSYGSSMSSFLRNLHTVLHSGCTSLHSHQECKRVPF
+PPHPLQHLLLVDFWIAAILTSVRWYLIVVLICISLIMSDVEHLFRCLLAICVSSLEKCLF
+SSLAHFLFILG
+>tr|E1BNG6|E1BNG6_BOVIN Family with sequence similarity 171 member A2 OS=Bos taurus OX=9913 GN=FAM171A2 PE=4 SV=3
+MPPPSGPSVLARLLPLLGLLLGGASRAPGKSPPEPPSPQEILIKVQVYVSGELVPLARAS
+VDVFGNRMLLAAGTTDSEGVATLPLSYRLGTWVLVTAARPGFLTNSVPWRVDKLPLYASV
+SLYLLPERPATLILYEDLVHILLGSPGARSQPWVQFQRRAARLPVTSTYSQLWASLTPAS
+TQQEMRAFPAFLGTEASSSGNGSWLELMPVAAVSVHLLAGNGTEVPLSGPIHLSLPVPSE
+PRALAVGTSIPAWRFDPKSGLWVRNGTGVIRKEGRQLYWTFVSPQLGYWAAAMASPTAGL
+VTITSGIQDIGTYHTIFLLTILAALALLVLILLCLLVYYCRRRCLKPRQQHRKLQLSGPS
+DGNKRDQATSMSQLHLICGGSLEPAPSGDPEAPPPGPLHSAFSSSRDLTGSRDDFFRAKP
+RSASRPAAEPTGARGGEGAGLKGARSVEGPAGLEPGLEEYRRGPPGTPAFLQEPPSPPPP
+FEHYLGHKGAAESKTPDFLLSQSVDQLARPPSLSQAGQLIFCGSIDHLKDSVYRNVMPTL
+VIPAHYVRLGGEAGATGAGEESAPPEGAAPGPARPFPQPDPQRPLMPGHSGAGGEGGGGG
+GGEGWGSGRSAPVSGSVTIPVLFNESTMAQLNGELQALTEKKLLELGVKPHPRAWFVSLD
+GRSNSQVRHSYIDLQAGGGGRSTDASLDSGVDVHEARPARRRPLREERERAPATAPPAPP
+PPPPAPPRLALSEDTEPSSSESRTGLCSPEDNSLTPLLDEVAAPEGRAATVPRGRGRSRG
+DSSRSSASELRRDSLTSPEDELGAEVGDEAGDKKSPWQRREERPLMVFNVK
+>tr|F1MM26|F1MM26_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=ADARB2 PE=4 SV=2
+MASVLGTSRASGGLSGQLKCKSKRRRRRRAKRKDKVSMLSAFITPFKRLNPGATHAEDED
+NLSTSSADVKENRNVSNLEARPPPAGDRARGGVPGLKRKRPLEEGNGGHLCPLRLLWRRL
+SWSVAPKNALVQLHELRPGLQFRMVSQTGPVHAPIFAVAVDVNGLTFEGTGPTKKKAKMR
+AAELALRSFVQLPNAGQAPPAPGGMAPDFTSDQADFPDALFQRFEPAAPSEGFPGGRRPP
+ASECLSTACQRGRLLGHPLDLGLGGPTAPGTGNPVVLLNELRSGLRYVCLSEPEKRRAQS
+FVMAVSVDGRTFEGSGRSKKLAKGQAAQAALQALFDIRLPGHVPSRSRSHLLPQEFADAV
+SQLVTQKFRELAAGLASAHARHKALAGIVMTRGLDARQAQVVVLSSGTKCISGEHINDQG
+LVVNDCHAEILARRALVHFLYAQLELHLSKRREDSERSIFERLKEGGFRLRDGVLFHLYL
+SASPCGDARLHSPHERAAELSSSKHLARKFRGHLRTKIESGEGTVPVRGPGTVQTWDGVL
+LGEQLVTMSCTDKIARWNVLGLQGALLCHFIEPVYLHSIVVGSLRHTGHLSRVMSHRTQD
+VGQLPASYRHNRPLLSGVSQAEARQPGKAPHFSVNWVVGTADVEVIDATTGRRSCGRSSR
+LCKHRLSARWARLYGKLSTRIPRHGDTPSMYCEAKLGARTYQAVKQQLCRAFQKAGLGTW
+VRKPPEQDQFLLAL
+>tr|F1N4N1|F1N4N1_BOVIN GRIP domain-containing protein OS=Bos taurus OX=9913 GN=TRIP11 PE=4 SV=3
+MSSWLGGLGSGLGQSLGQVGGSLASLTGQISNFTKDMLMEGTEEVEADLPNARRKEVEAI
+HTILRSENERLKKLCADLEEKHEASELQIKQQSMNYRHQLQQKEVEISHLKARQIALQDQ
+LLKLQSAAQSVSSGAGGVPAAAASSSFGYGLSHHASGFHDDDMDFGDIISSQREINRLSN
+EVSRLESEVGHWRHIAQTSKAQGSVSSDQSDICKLQNIIKELKQNRSQEIDNHQHEMSVL
+QNAHQQKLTEITRRHREELSDYEDRIEELENLLQQGGPGIAGTDHSKTQEMQKTIQVLQA
+EKVESRKKIEELENKIKDLSKKLSSAENDRDVLRREQERLNVENRQILEECESLKLECSK
+LQPYSEKQSDTMTEKERMPQSLSVEEVFRLQQALSDAENEIKRLSNLNQDNNLAEDNLKL
+KMHVQVLEKENSLLNQEKEELHMSLSKLNNEYEVVKSTAARDVDLDSELCDLRRNLEAKE
+QELNQSITEKEILIAELEELDKQNQEATKHMILIKDQLSKQQNEGDNIISKLKKDLNDEK
+TRVHQLEDDKMNITRELDVQKEKLIQSELVLNDLHLSKQKLEDKVDDLVEQLNKSHTQNL
+SIQKENFELQEHIKQKEEELSRVRDELTQSLNQHSDSNFKDNLLKEREAEVRNLKQNLSE
+IERLNENLKEVAFDLKVENEKLNLACEDVRHQLEESLAGNSLIAVGKNAIVEALKLEKEQ
+LEAELCQAEKRLLEEASKYEQTIEELSHARHSSTSALQLDHERLIKLNQEKDFEIAELKK
+NIEQMATDHKETKKILSSSLEEQKQLTQLVNEKEIFIEKLKERSSELQEELNKYTQALRK
+NEILRQTIEEKDRSLGSMKEENSHLKEELERLREQQSRAAPVAEPKTLDSITELESEINQ
+LNIIKDNLEEEIKHHQKIIEDQNQSKIQLLQSLQEQKKEMDEFRYQHEQMNTTHTQLFLE
+KDEQIKNLQKTIEQIKTQLHEERPDVQTENSDIFQETKVQGLNIENGSEKHDLSKAETER
+LVKGIKERELEIKLLNEKNISLTKQIDQLSKDEVGKLTQIIQQKDLEIQALHARISSTSY
+PQDVVYLQQQLQAYAMEREQVLAVLSEKTRENSHLKTEYHKMMDIVAAKEAALNKLQDEN
+KKLFTRFESSGQDMFKETIQNLSRIIREKDIEIDALSQKCQTLLTVLQASNSGNEVGGVN
+SNQFEELLQERDKLKQQVKKMEEWKQQVMTTVQNMQHESAQLQEELHQLQAQVLVDSNNS
+SKLQVDYTGLIQSYEQNETKLKNFGQELAQVQHSIGQLCNTKDLLLGKLDIISPQLSPES
+STASQTAESLRTIKFDVSNESSKQEIEELRRSLQEKDATIRTLQENNHRLSDSIAASSEL
+ERKEHEQTDSEIKLLKEKQESLQKSLKEKDLLIKAKSDQLLSLNENFTNKVNENELLRQA
+VTNLKERTLILEMDICKLKGENEKIVETSRGKETEYQALQETNMKFSMMLREKEFECHSL
+KEKAVAFEQLLKEKEQVQHLRDKELRLNQELERLRNHLLESEDSYTREALAAEDREAKLR
+KKVAILEEKLVSSSNAMENASHQANLQVESLQEQLNMVSKQRDETAQQLSVSQEQVKQYA
+LSLSNLQMVLEHFQQEEKAMYSAELEKHKQLVAEWKKKAENLEGKLVSLQERLDEANAAL
+DSASRLTEQLDLKEEQIEELKKQNELQQEMLDDVQKKLMNLVNSTEGKVDKVLMRNLFTG
+HFHTPKHQRHEVLRLMGSILGIKKEEMDQLLTEDQGGVTRWMTGWLGGGSKSVPSTPLRP
+SQQSLLNSSFSELFVKFLETESHPSVPPPKLSVHDMKPLDSPGRRKPDTSTPESFKDTAE
+FRAGRRTDVNPFLAPRSAAVPLLNPAGLGPGGPGHLLLKPISDVLPTFTPLPVSPDNSAG
+VVLKDLLKQ
+>tr|E1BFR3|E1BFR3_BOVIN Olfactory receptor OS=Bos taurus OX=9913 PE=3 SV=2
+MEQKNESSFAGFILLGFSDRLQLELILFVVLLIFYIFTLLGNTTIIALSYLDPRLHTPMY
+FFLSNLSFLDMCYTTSIVPQFLFNLSRADKSISFGGCVVQMYISLALGCTECILLGVMAF
+DRYAAVCRPLHYTVIMHPRLCALMASASWVTGFVNSLLQTVLIFLLPRCGRNKLAHFFCE
+IPPFLKLACVDTTMNVYVTFFGSVIILLTPVSLIMFSYGRIVRAVLRIKSTAGQRKAFGT
+CGSHLTVVSLFFGTAIYVYFQPSSSDSQDQDKFMSLFYTVIIPMTNPLIYTLRNRDVKGA
+MKKIVQILGSCIHFNFQRR
+>tr|A0A3Q1M6I6|A0A3Q1M6I6_BOVIN Gasdermin C OS=Bos taurus OX=9913 GN=GSDMC PE=4 SV=1
+MPSLFEHTSKNLVKELGDKDFRPLQNLLSAHKFCQLKLLRKKRRTLSQFWEQPDVPVDHT
+LTDILEPSPSVPEPVLSKKFIFIDKTVWKGAAEVDVTAGLEVSVSGTATQSCECSLEVQS
+VTISPWDWEDLQKRKVLDQEPSFLQECRTRGDNLYVVTEAVKLVNETVLQDSSSVNATGT
+FSIPWSFYAKSTAEGSGLKERKRTMTVPQGTVMAYKKKQLVFREDGRGEQGLKGTPPPGI
+TWALLTCLLPHPEILSISDDNKRKAFFVGEFLSRAGSLPSPQALTDFKSLQNEVSREIEA
+VAELPRDIRDALFHTILAKLKDQGALQDLTDMLDGNLWDHTGSFLSEMREDSRNVWLESR
+PCVIYLLEALLGKRVRCHLILEPNFRYPWNIPFTLDPKLLASLQDEGLAVTFGLLQECGL
+RVAPDNPKGTWDLEAKKPLSALYGSLSVLQQLAEA
+>tr|A0A3Q1NA36|A0A3Q1NA36_BOVIN Sorbitol dehydrogenase OS=Bos taurus OX=9913 GN=SORD PE=1 SV=1
+MELEDLSHELKKGQARQTILWRSGLVIFFQSVCAAFGISLGDTNRLGFPGLPWVPSGEGG
+SFGQIPLLAFTCEHMTLTSISAPLFVCLYVCFPPGDRVAIEPGAPRETDEFCKIGRYNLS
+PTIFFCATPPDDGNLCRFYKHNANFCYKLPDNVTFEEGALIEPLSVGIHACRRAGVTLGN
+KVLVCGAGPIGLVSLLAAKAMGAAQVVVTDLSASRLSKAKEVGADFILQISNESPQEIAK
+KVEGLLGSKPEVTIECTGVETSIQAGIYATHSGGTLVLVGLGSEMTSVPLVHAATREVDI
+KGVFRYCNTWPMAISMLASKSVNVKPLVTHRFPLEKALEAFETSKKGLGLKVMIKCDPND
+QNP
+>tr|G3X829|G3X829_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=OR13C8 PE=3 SV=2
+MGRTNDSVLREFVLTGLSAHPELQTVFFVLVLCMYLMILLGNGVLISVIICYSHLHTPMY
+FFLCNLSFLDICYTSSSVPLILDSFLTVRKRVSFSGCMVQMFLSFAMGATECVLLGMMAL
+DRYVAICYPLRYPVIMRKGAYVPMAAGSWVAGIVDSVVQTSLALQLPFCADNVINHFVCE
+ILAILKLACADISINVISMAGSNLIVLVIPLLVISISYIFIVATILRIPSTEGKRKAFST
+CSAHLTVVIVFFVTIFFMYAKPKSKSSAGTDNQDIIEALISLLYGVMTPMLNPLIYSLRN
+KDVKIAVRNMLGRKNFSDGI
+>tr|F1MDZ2|F1MDZ2_BOVIN Neurofilament medium polypeptide OS=Bos taurus OX=9913 GN=NEFM PE=3 SV=3
+MSYTLDSLGNPSAYRRVTETRSSFSRISGSPSSGFRSQSWSRGSPSTVSSSYKRSALAPR
+LTYSSAMLSSAESSLDFSQSSSLLNGGSGPGGDYKLSRSNEKEQLQGLNDRFAGYIEKVH
+YLEQQNKEIEAEIQALRQKQASHAQLGDAYDQEIRELRATLEMVNHEKAQVQLDSDHLEE
+DIHRLKERFEEEARLRDDTEAAIRALRKDIEESSLVKVELDKKVQSLQDEVAFLRSNHEE
+EVADLLAQIQASHITVERKDYLKTDISTALKEIRSQLESHSDQNMHQAEEWFKCRYAKLT
+EAAEQNKEAIRSAKEEIAEYRRQLQSKSIELESVRGTKESLERQLSDIEERHNHDLSSYQ
+DTIQQLENELRGTKWEMARHLREYQDLLNVKMALDIEIAAYRKLLEGEETRFSTFAGSIT
+GPLYTHRQPSIAISSKIQKTKVEAPKLKVQHKFVEEIIEETKVEDEKSEMEEALTAITEE
+LAVSVKEEVKEEEAEEKEEKEEAEEEVVAAKKSPVKATAPELKEEEGEKEEEEGQEEEEE
+EEEAAKSDQAEEGGSEKEGSSEKEEGEQEEEGETEAEGEGEEAAAEAKEEKKMEEKAEEV
+APKEELAAEAKVEKPEKAKSPVAKSPTTKSPTAKSPEAKSPTAKSPTAKSPVAKSPTAKS
+PTAKSPEAKSPEAKSPTAKSPTAKSPAAKSPAAKSPVEEVKPKAEAGAEKGEQKEKVEEE
+KKEAKESPKEEKAEKKEEKAKDVPEKKKAESPVKAESPVKEEVPAKPVKVSPEKEAKEEE
+KPQEKEKEKEKVEEVGGKEEGGLKESRKEDIAINGEVEGKEEEQETKEKGSGGEEEKGVV
+TNGLDVSPGDEKKGGDKSEEKVVVTKMVEKITSEGGDGATKYITKSVTVTQKVEEHEETF
+EEKLVSTKKVEKVTSHAIVKEVTQSD
+>tr|A0A3Q1MAJ1|A0A3Q1MAJ1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=TMIE PE=4 SV=1
+MAGPRRGAGLLWGLGGAALGVCLAGVAGQLVEPSTAPPKPKPPPLTKETVVFWDMRLWHV
+VGIFSLFVLSIIITLCCVFNCRVPRTRKEIEARYLQRKAAKMYTDKLETVPPLNELTEIP
+GEEKKKKKKDSVDTVAIKVEEDEKNEAKKKKGEK
+>tr|F1MGT0|F1MGT0_BOVIN Meiotic nuclear division protein 1 homolog OS=Bos taurus OX=9913 GN=MND1 PE=3 SV=1
+MSKKKGLSAEEKRTRMMEIFYETKDVFQLKDMEKIAPKEKGITAMSVKEVLQSLVDDGMV
+DCERIGTSNYYWAFPSKALHARKRKLEVLDSQLSEGNQKYANLQKSIEKAKVGRHETEER
+TMLAKELSSLRDQREQLKAEVEKYRECDPQVVEEIRQANQVAKEAANRWTDNIFAIKSWA
+KRKFGFEENKIDKNFGIPEDFDYID
+>tr|A0A3Q1MYW6|A0A3Q1MYW6_BOVIN Patatin like phospholipase domain containing 7 OS=Bos taurus OX=9913 GN=PNPLA7 PE=4 SV=1
+MEQHSQSTMLLGIVIGVLLALALIGTTIFFVYRRVRQLQPSPQYRFRKRDKVMFYGRKIM
+RKVTTLPHTLVGNTAAPRQRVRKRTKVLSLAKRILRFKKEYPTLQPKEPPPSLLEADLTE
+FDVKNSHLPSEVLYMLKNVRVLGHFEKPLFLELCKHMVFVQLLEGEYIFRPGELDNSIYV
+VQDGRLEVRVQDSVRALGWGGSKRFWLETASTASSAFWTSSP
+>tr|A0A3Q1MQA8|A0A3Q1MQA8_BOVIN Inosine triphosphate pyrophosphatase OS=Bos taurus OX=9913 GN=ITPA PE=3 SV=1
+MAASLAGKKIVFVTGNAKKLEEVIQILGDKFPCTLVAQKIDLPEYQGEPDEISIRKCQEA
+ARQVQGPVLVEDTCLCFNALGGLPGPYIWAWGLAAECAEPSLHLLSGLHQLLEGFQDKSA
+YALCTFAFSTGDPNEPVRLFRGRTMGRIVVPRGCRDFGWDPCFQPDGYEQTYAEMPKAEK
+NTISHRFRALLALQEYFSSLTPGVGDDHPSWGSGEG
+>tr|A0A3Q1MGR9|A0A3Q1MGR9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=3 SV=1
+VSALGCLLASTSQVQFSLVAQARTLEWVAISFSNALNFLNGGRSGGLVFPCLESEPPVPP
+GLRAPTLPLQENIKDTKCFLLKSARGPRQKPKRMGSDEKNVTGLSFFLYSKLEVLSLKDV
+PQPGIEPEPYRREQRQGG
+>tr|F1MEI0|F1MEI0_BOVIN Fibrous sheath CABYR-binding protein OS=Bos taurus OX=9913 GN=FSCB PE=4 SV=1
+MEEKDESEQSISAGRQEIRKRRRPSQPMVDKSQQTEVTEKKKQLSIPQSSGPKAALSIGN
+IPGSKLNYECHRVSSQLQQTWIKRKRVQDMADKSLQTETIAEEKKEEIKLVCEAVVPEEK
+PAAVEVGPEFPESVREVEVPPNRYSVQVKIDRSQQTTCTGDWTMMNFPQKDKLDKEQQTY
+FSESEIVVIGWPTNSFSKSKEGAQKRKSSGNIFLSEHPEFQPTTSSNEEIRRPSISRTVS
+ISPTKKDSPVPLEDEKDVPVEVQPPAAEEISAEEQLPLAETTSEEVPVAVQPPPAEEPPL
+EAQPSPTEEAPGDEAPAKVEPTPAEEALSEKPPAEEALVEIQPSPVEEAAGDEAPAKVEA
+TSSEETLLKEPLTEVQPPAAEEAPIQDTPELQLSPAVEAPAEEAPAEAEPPPAEEAPAEE
+APEVQSPPAEEAPAEEPPEIQSPPAEEAPAEEPPEVQSPPAEEAPAEEAPEVQSPPAEEA
+PAEEPPEVQSPPAEEVPAGEPPEVQSPPAEEAPAEEAPEVQSPPAEEVPAEEALAEVEPP
+PAEEAPAGEPSEVQSPPAEEAPAEEAPEVQSPPSEEAPAEEAPEVQSVPAGQAPAEEPLE
+VQPPPAEDATEEEASEVQSLPTDEAPAEEGLGFQSPPADKAPEEEAPEVQSPPAEEAPAE
+EPPEVQSLPADEAPAEEATEEVQSPPTEESPAEEAPAELQPPSTEETTSEMVSVEKQPSL
+TEEPFITPISLEETSAEVLLPPFEQTPADEALVENVSPVDQAPKEADVLVEKLESGNLDD
+KPKSEEPLERDTIPKDSSGTKNEGVSFEIKGVIHIELE
+>tr|A0A3Q1LMW4|A0A3Q1LMW4_BOVIN Lysine-rich nucleolar protein 1 OS=Bos taurus OX=9913 GN=KNOP1 PE=4 SV=1
+MDDSLETVPSKRWAVRPTGPGAAMAAVSTATARPKRPRPGRNRLLRGRASESRLTSGSRR
+RGLSRGGGEHWPLGMITKAHKGEVGLGLPEKKKKKKKVVKEPKTQYSVLNSDNYFAEVCP
+RATPPLKGVIQEQAPRMPLVKKKKKKKGHSTICEEHLEPEITLRAGRTERSHSPRTQALG
+LSKSLSAEKRKSMSPGSRVKTSPDPRQDEEVTRVGKKLKKHKKEKKAKEATAFSGRDPWF
+CEAGNTVYTHSVGKDGVREQAALGQKQKQGSPREHSVKMKKKKKIHWEGDPPLGHPECSW
+SLESSPTKGSKKKPVRVEAPEYIPIGDGSRASVKKKVKSKKRVEQADTEEPALKRKKKKK
+KRQQSEVAEEPWEEGLQGAEVTERAWPMQARQGHRVRAETCEGPAELGEQQEPDTDLEVV
+LEKKGNMDEAHIDQVRRKALQEEIDRESGKTEAYDTKKWTGTQFGQWDTAGFENEEQKLK
+FLKLMGGFKNLPPSFSRPSPTVARPSMALSKKAADTLQRNLQQDYDRALSWKYSRGAGLG
+FSTAPAKVFYIDRNASKSIKFED
+>tr|A0A3Q1M8Q4|A0A3Q1M8Q4_BOVIN Histone H4 OS=Bos taurus OX=9913 PE=3 SV=1
+MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLK
+VFLENVIRDAVTYTEHAKRKTVFLRGQNTEKGKPME
+>tr|F1MTP1|F1MTP1_BOVIN Collagen type XXVII alpha 1 chain OS=Bos taurus OX=9913 GN=COL27A1 PE=4 SV=3
+MGAGSARGTRGTAAAAAAQGGGFLFTWILVSLTCHLASTQGAPEDVDVLQQLGLSWTKAV
+GGRSPPPPGVIPFQTGFIFTQRARLQAPTAAVLPASLGTELALVLSLCSHRVNHAFLFAV
+RSRKRKLQLGLQFLPGKTVVHLGPRRSVAFDLDVHDGRWHHLALELRGRTVTLVTACGQH
+RVPVSLPFHRDPALDPDGLFLFGKMSPHAVQFEGALCQFSIYPVAQVAHNYCTHLRKQCG
+QADTYRPQLGPFFPRDSGTLLTFQTDLARLGLENLTTATPALGSRPAGRGPGVTVVPAVP
+VKPMRTSTTRPPQPITSHPAWTPLSPAKLSVSEGPPPVSPVSPASSPRPVQPLQKNTATK
+TSKSHPATPSALSPSIAPVRSPRPTQKTALPAFTKPAPPTKKPVLPTSQPIPAKVSRPTV
+KPIQRNPGMPRLPPPSARPLPPAAASSKKPLPPVVQAEAKMSSRASEPAPAHTSTHRPPP
+PTVPPPSPVPSPSPPRTARPPATAMPPTLAPGSASTGSKKPTGSEATKKARPRKPVPLRS
+GKAARDVPLNDPTRGSSPRQPRTRQQTTPAPALAPARFLASSPQPMSTGYSFFHLVGPTP
+FLLLMGPPGPKGDCGLPGPPGLPGLPGPPGARGPRGPPGPYGNPGLPGPPGAKGQKGDPG
+LSPGKAHDGAKGDMGLPGLTGNPGPLGRKGQKGYPGPTGHPGEQGQPGPEGSPGAKGYPG
+RQGLPGPVGDPGPKGSRGYIGLPGLFGLPGSDGERGLPGVPGKRGKMGRPGFPGDFGERG
+PPGLDGNPGELGLPGPPGVPGLIGDMGTLGPIGYPGPKGMKGLMGNVGEPGLKGDKGEQG
+VPGVSGDAGFQGDKGSQGLQGFPGARGKPGPVGKVGDKGSIGFPGPPGPEGFPGDIGPPG
+DNGPEGVKGKPGARGLPGPRGQLGPEGDEGPMGPPGVPGLEGQPGRKGFPGRPGPDGLKG
+EPGDAGRPGPVGEQGLLGFVGLVGEPGIMGEKGHPGIPGVVGNPGEPGPPGPPGSRGPPG
+MRGAKGRRGPRGPDGPAGEQGSKGLKGPPGPQGRLGQPGQQGVAGERGHLGTRGFPGLRG
+PSGPPGAKGLPGEPGPQGPQGPIGPPGEMGPKGPPGALGELGLPGEAGMKGDLGPLGIPG
+EQGLIGQRGEPGLEGDSGPAGPDGLKGDRGDPGPDGERGEKGQEGLKGEDGPPGPPGITG
+IRGPEGKPGKQGEKGRTGAKGAKGYQGQLGEMGVPGDPGPPGTPGPKGSRGSLGPTGAPG
+RMGAQGEPGLAGYDGHKGIMGPLGPPGPKGEKGEQGEDGKAEGPPGPPGDRGPVGDRGDR
+GEPGDPGYPGQEGVQGLRGNPGQQGQPGHPGPRGRPGPKGSKGEEGPKGKQGKAGAPGRR
+GIQGLQGLPGPRGVVGRQGPEGVAGPDGLPGRDGQAGQQGEQGDDGDPGPMGPAGKRGDP
+GVAGLPGAQGPPGFKGESGLPGQMGPPGKRGTEGGMGLPGNQGEPGSKGQPGDSGEMGFP
+GMAGLFGPKGPQGDVGFKGIQGPRGPPGLMGKEGIIGPLGILGPSGRQGPKGDKGSRGDL
+GLQGPRGPPGPRGQPGPPGPPGSTIHFQQDDLGAAFQTWMDTSGALRAEGYSHPDRLVLD
+QGGEIFKTLHYLSNLIQSIKTPLGTKENPARVCRDLMDCEQKMVDGTYWVDPNLGCSSDT
+IEVSCNFTHGGQTCLKPITASKVEFAISRVQMNFLHLLSSEVTQQITIHCLNMTVWQEGT
+GQTPAKQAVRFRAWNGQIFEAGGQFSPEVSMDGCKIQDGRWHQTLFTFRTQDPQQLPIVG
+VDNLPPASSGKQYRLEVGPACFL
+>tr|A0A3Q1MNJ6|A0A3Q1MNJ6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+LFDWQIVTDSRAPPLIPPLDDIPVLYNDPYAVGDPAWVPKNYIEKVLVYSHPTLFPFGKF
+HTYVISHSKKKCLIYSNFKNIKKLSIPISNVSQQEGQKISSFVIYFF
+>tr|F1N1J7|F1N1J7_BOVIN WAS/WASL interacting protein family member 3 OS=Bos taurus OX=9913 GN=WIPF3 PE=4 SV=2
+LPLSSPVKAPLVSPPGPPSKGSPPVVPPPLLCPPPPPPPPPPPLPPPPALGPSDKAAKPQ
+LAPLHLPPVPPPLPLLPPCGHPGLNAEAASPAQDVREPPAPPPPPPPLPLYASCTPRSSL
+PAPTLPSANSSSEVPPPLPPKSPSFQAQPPKPSGQALPAPPAPPGSQPFLQKKRPGRGPG
+TGGGKLNPPPAPPARSPTTELSSRSQQALAWTPTQQPGGQLRNGSLNIIDDFESKFTFHS
+MEDFPPPDEYKPCQKIYPSKIPRSRTPGPWLHAEAGGQSSDDIKGRNAQLALKTLR
+>tr|A0A3Q1MAQ2|A0A3Q1MAQ2_BOVIN Chordin like 2 OS=Bos taurus OX=9913 GN=CHRDL2 PE=4 SV=1
+MVPEVRVLSSLLGLALLWFPLDSHARARPDMFCLFHGKRYSPGESWHPYLEPQGLMYCLR
+CTCSENAHVSCYRLHCPPVHCPQPVTEPQQCCPRCVEPHTPSGLRAPPRSCQHNGTTYQH
+GEIFSAHELLPSHLPNQCVLCSCTEGQIYCGLMTCPEPGCPAPLPLPASCCQACKDGSSE
+KSAEEDTTQSHQGVKHSQDLCSGDSGRKRDLSTPSPTGPSASLGFIPRHFRPKEAGSTTV
+KIVLKEKHKKACVHGGKTYSHGEVWHPAFRSFGPLPCILCTCQDGRQDCQRVICPTAYPC
+HHPEKVAGKCCKICPEDKADSGHSEISATKCPKAPGRVLVHTSVSPSPDNLRRFALEREA
+SEQVEIYLWKLVKGIFHLIQIKKVRKQDFQKEAQNFRLLTGTHEGGTLGRRQGPGKRVGL
+EAGEFQAAGAGRGLLSATDVSSRHRNPVGVWARDFPTQALESSGAGR
+>tr|F1MP13|F1MP13_BOVIN Ubiquitin specific peptidase 25 OS=Bos taurus OX=9913 GN=USP25 PE=3 SV=2
+MTVEQNVLQQSAAQKHQQTFLNQLREITGINDAQILQQALKDSNGNLELAVAFLTAKNAK
+TPQQEETTYYQTALPGNDRYISVGSQADTRKKQYMPTRTRCATIEQSKYGSKDKRDLDVI
+DLTGDDKDDLQRAIALSLAESNRAFRETGITDEEQAISRVLEASIAENKACLKRTPTEVW
+RDSRNPYDRKRQDKAPVGLKNVGNTCWFSAVIQSLFNLLEFRRLVLNYKPPSNVQDLPRN
+QKEHRNLPFMRELRYLFALLVGTKRKYVDPSRAVEILKDAFKSNDSQQQDVSEFTHKLLD
+WLEDAFQMKAEEETDEDKPKNPMVELFYGRFLAVGVLEGKKFENTEMFGQYPLQVNGFKD
+LHECLEAAMIEGEIESLHSENSGKSGQEHWFTELPPVLTFELSRFEFNQALGRPEKIHNK
+LEFPQVLYLDRYMHRNREITRIKREEIKRLKDYLTVLQQRLERYLSYGSGPKRFPLVDVL
+QYALEFASSKPVCTSPVDDIDASSPSSGSVPSQTLPSTTDQQGAPSSELPSTSPASIAAI
+SSRSVIHKPFTQSRIPPDLPMHPAPRHITEEELSVLESCLHRWRTEIENDTRDLQESISR
+IHRTIELMYSDKSMIQVPYRLHAVLVHEGQANAGHYWAYIFDHRENRWMKYNDIAVTKSS
+WEELVRDSFGGYRNASAYCLMYINDKPQFLIQEEFNKETGQALVGIETLPPDLRDFVEED
+NQRFEKELEEWDAQLAQKALQEKLLASQKLRESESSVTTAQAGEPEYLEQPSRSDFSKHL
+KEETIRIITKASHEHEDKSPETVLQSAIKLEYSRLVKLAQEDTPPETDYRLHHVIVYFIQ
+NQAPKKIIEKTLLEQFADRNLSFDERCHNIMKVAQAKIEMIKPEEVNMEEYEEWHQDYRK
+FRETTMYLIIGLENFQRESYIDSLLFLICAYQNNKELLSRGPYRGHDEELISHYRRECLL
+KLNEQAAELFESGEDREVNNGLIIMNEFIVPFLPLLLVDEMEEKDILAVEDMRNRWCSYL
+GQEMESNLQEKLTDFLPKLLDCSTEIKGFHEPPKLPPYSTHELCERFTRIMLSLSRTPAD
+GR
+>tr|F1MN39|F1MN39_BOVIN Interferon related developmental regulator 1 OS=Bos taurus OX=9913 GN=IFRD1 PE=4 SV=1
+MPKNKKRNTSHRGGSGGGGSGAAAATAATAGGQHRNVQPFSDEDASIETMSHCSGYSDPS
+SFAEDGPEVLDEEGTQEDLEYKLKGFIDLTLDKSAKTRQAALEGIKNALASKMLYEFILE
+RRMTLTDSIERCLKKGKGDEQRAAAALASVLCIQLGPGIESEEVLKTLGPILKKIICDGT
+ASIQARQTCATCFGVCCFIATDDITELYSTLQCLENIFTKSYLKEKDTNVICSTPNTALH
+ISSLLAWTLLLTICPINEVKKKLEVHFHKLPSLLSSDDVNMRIAAGESLALLFELARGME
+SDFFYEDMESLTQMLRTLATDGNKHRAKVDKRKQRSVFRDILRAVEERDFPTETVKFGPE
+RMYIDCWVKKHTYDTFKEILGSGMQYHLQSNEFLRNVFELGPPVMLDAAALKTMKISRFE
+RHLYNSAAFKARTKARSKCRDKRADVGEFF
+>tr|A0A3Q1M661|A0A3Q1M661_BOVIN DEAD-box helicase 42 OS=Bos taurus OX=9913 GN=DDX42 PE=3 SV=1
+MAAVYLDQAARDMKRLEEKDKERKNVKGIRDDIEEEDDQEAYFRYMAENPTAGVVQEEEE
+DNLEYDSDGNPIAPSKKIIDPLPPIDHSEIDYPPFEKNFYNEHEEITNLTPQQLIDLRHK
+LNLRVSGAAPPRPGSSFAHFGFDEQLMHQIRKSEYTQPTPIQCQGVPVALSGRDMIGIAK
+TGSGKTAAFIWPMLIHIMDQKELEPGDGPIAVIVCPTRELCQQIHAECKRFGKAYNLRSV
+AVYGGGSMWEQAKALQEGAEIVVCTPGRLIDHVKKKATNLQRVSYLVFDEADRMFDMGFE
+YQVRSIATLLFSATFRKKIEKLARDILIDPIRVVQGDIGEANEDVTQIVEILHSGPSKWN
+WLTRRLVEFTSSGSVLLFVTKKANAEELANNLKQEGHNLGLLHGDMDQSERNKVISDFKK
+KDIPVLVATDVAARGLDIPSIKTVINYDVARDIDTHTHRIGRTGRAGEKGVAYTLLTPKD
+SNFAGDLVRNLEGANQHVSKELLDLAMQNAWFRKSRFKGGKGKKLNIGGGGLGYRERPGL
+GSENSDRGSNNNVMSNYEAYKPSTGAMGDRLTAMKAAFQSQYKSHFVAASLSNQKAGSSA
+AGASGWTSAGSLNSVPTNSAQQGHSSPDSPIASATKGIPGFGSPGNLSSAPVTYPSAGAP
+GVNNTASGSNSREGTGGGNGKRERYTENRGGSPPAPRRWARTTAAP
+>tr|A0A3Q1MPG4|A0A3Q1MPG4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+VRRDVYIQTQGSRIYVNKDILSLPCDYALAHCVSKDFFMNAGLALRIKNKFGGIDELISQ
+NKNLGEVASLKLKPKFVLSDN
+>tr|F1MLG5|F1MLG5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=FZD3 PE=3 SV=2
+MAMSRIVFYLWPLTMFVGHIGGHSLFSCEPITLRMCQDLPYNTTFMPNLLNHYDQQTAAL
+AMEPFHPMVNLDCSRDFRPFLCALYAPICMEYGRVTLPCRRLCQRAYSECSKLMEMFGVP
+WPEDMECSRFPDCDEPYPRLVDLNLAGDPTEGASVAVQRDYGFWCPRELKIDPDLGYSFL
+HVRDCSPPCPNMYFRREELSFARYFIGLISIICLSATLFTFLTFLIDVTRFRYPERPIIF
+YAVCYMMVSLIFFIGFLLEDRVACNASSPAQYKASTVTQGSHNKACTMLFMVLYFFTMAG
+SVWWVILTITWFLAAVPKWGSEAIEKKALLFHASAWGIPGTLTIILLAMNKIEGDNISGV
+CFVGLYDVDALRYFVLAPLCLYVVVGVSLLLAGIISLNRVRIEIPLEKENQDKLVKFMIR
+IGVFSILYLVPLLVVIGCYFYEQAYRSIWETTWIQERCREYHIPCPYQVTQMSRPDLILF
+LMKYLMALIVGIPSIFWVGSKKTCFEWASFFHGHRKKEIVNESRQVLQEPDFAQSLLRDP
+NTPIIRKSRGTSTQGTSTHASSTQLAMVDDQRSKAGSVHSKVSSYHGSLHRSRDGRYTPC
+SYRGMEERLPHGSMSRLTDHSRHSSSHRLNEQSRHSSIRDLSNNPMTHITHGTSMNRVIE
+EDGTSA
+>tr|F6RWD2|F6RWD2_BOVIN Alkyl transferase OS=Bos taurus OX=9913 GN=DHDDS PE=3 SV=1
+MSWVKEGELSFWERFCANIIKAGPMPKHIAFIMDGNRRYAKKCQVERQEGHSQGFNKLAE
+TLRWCLNLGILEVTVYAFSIENFKRSKSEVDGLMDLAREKFSRLMEEQEKLQKHGVCIRV
+LGDLHLLPLDLQELVAQAVQTTKNYNKCFLNVCFAYTSRHEISNAVREMAWGVEQGLLDP
+SDVSESLLDKCLYTNHSPHPDILIRTSGEVRLSDFLLWQTSHSCLVFQPVLWPEYTFWNL
+CEAILQFQMNHSMLQKARDMYAEERKRQQLERDQAAVTEQLLQEGLPASGDPQLRRTRLH
+KLSARREERVQGFLQALELKRADWLAHLGTAST
+>tr|A0A3Q1LS18|A0A3Q1LS18_BOVIN Mevalonate kinase OS=Bos taurus OX=9913 GN=MVK PE=3 SV=1
+MLSEVLLVSAPGKVILHGEHAVVHGKVALAVALNLRTFLRLQPHSNGRVGLNLPNIGVRR
+AWDVASLQLLDTSFLGHGDSAALTAKHVEKLKEVAGFPKDCVDPEHLAVLAFLYLYLSIC
+QSQRALPSLDITVWSELPTGAGLGSSAAYSVCLAAALLTACEEIPNPLKDGEAAGRWTEE
+NLELINKWAFQGERVIHGNPSGVDNAVSTWGGALRYQQGKISSLKRPPVLKILLINTKVP
+RSTKVLVANVRSRLLKFPEIVAPLLTSIDAISLECERVLGEMAAAPTPEHYLTLEELIDM
+NQHHLNALGVGHASLDQLCQVTTAHGLHSKLTGAGGGGCGITLLRPGSAWGHCQESALGR
+TSASA
+>tr|F1MIJ5|F1MIJ5_BOVIN Glutaredoxin domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=2
+MAQTFVNSKIQSGKVVVFIKPTCPYCKRTPELLNQLPFKQGLLEFVSITANSDTNEIQDY
+LQQLTGARTVPRVFVGKECIGGCTDLVNIHERGELLTRIKQIEALQ
+>tr|A0A3Q1N684|A0A3Q1N684_BOVIN Potassium voltage-gated channel subfamily J member 1 OS=Bos taurus OX=9913 GN=KCNJ1 PE=3 SV=1
+MLAIHEISVLTERMFKHLRKWFVTRFFGRSRRRARLVSKDGRCNIEFGNVEAQSRLIFFV
+DIWTTVLDLKWRYKMTIFITAFLGSWFFFGLLWYVVAYVHKDLPEFHPPANHTPCVEHIN
+GLTSAFLFSLETQVTIGYGFRCVTEQCGTAIFLLIFQSILGVIINSFMCGAILAKISRPK
+KRAKTITFSKNAVISKRGGKLCLLIRVANLRKSLLIGSHIYGKLLRTTITPEGETIILDQ
+ININFVVDAGNENLFFISPLTIYHVIDHTSPFFHMAAETLPQQDFELVVFLDGTVEATSA
+TCQVRTSYVPEEVLWGYRFAPIVSKTKEGKYRVDFHNFSKTVEVETPHCAMCLYNEKDAR
+AKMKRGYDNPNFVLSEVNETDDTKM
+>tr|A0A3Q1MGW1|A0A3Q1MGW1_BOVIN Tetratricopeptide repeat domain 7B OS=Bos taurus OX=9913 GN=TTC7B PE=4 SV=1
+MATRKAGSRLETEIERCRSESQWERIPELARQLSAKLIAHDDMAELLLGEAKLEQYLKEH
+PLRQGASPRGPRPQLTEVRKHLTAALDRGNLKVPEFLQESSLVLAKLHYVEGDCEGALRL
+YARAGLEDWPLTGAPPYRLRMAAEAYATQGLCLEKLPISSSTSSLRVDREQDIITCYEKA
+GDIALLYLQEIERVILSNIQNRSPKPGPAPHDQELGFFLETGLQRAHVLYFKNGSLTRGV
+GRFRELLRAVETRTTQNLRMTIARQLAEILLRGMCEQSYWNPLEDPPCQSPLDDPLRKGA
+NTKTYTLTRKARVYSGENIFCPQENTEEALLLLLISESMANRDAVLSRIPEHKSDRLISL
+QSASVVYDLLTIALGRRGQYEMLSESARAVKVLKECIRLKPDDATIPLLAAKLCVGSLHW
+LEEAEKFAKTVVDAGEKTSEFKAKGYLALGLTYSLQATDASLRGMQEVLQRKALLAFQRA
+HSLSPTDHQAAFYLALQLAISRQIPEALGYVRQALQLQGDDANSLHLLALLLSAQKHYHD
+ALNIIDMALSEYPENFILLFSKVKLESLSRGPDEALLTCKHMLQIWKSCYNLTNPSDSGR
+GSSLLDRTIADRRQLNTITLPDFSDPETGSIHATSVAASRVEQALSEVASSLQSSAPKQG
+PLHPWMTLAQIWLHAAEVYIGIGKPAEATACTQEAANLFPMSHNVLYMRGQVAELRGNVD
+EARRWYEEALSISPTHVKSMQRLALILHQLGRYSLAEKILRDAVQVNSTAHEVWNGLGEV
+LQAQGNDAAATECFLTALELEASSPAVPFTVIPRAL
+>tr|E1BGG1|E1BGG1_BOVIN Radial spoke head component 4A OS=Bos taurus OX=9913 GN=RSPH4A PE=4 SV=3
+MEDSIPVNQKIKHQELGETGRPWEETVTTSQAPELGLSEALELEQGPETGLQPRSSPPTM
+PLSGARTPDRPVDDLVGLRASFPSSAFQELPSTLSPLALARQDFMAPWQSDKTTSVIPEA
+ETPHFGHLEQLSDKGGSTLHQTCQSKGNTFHQSQQTKYPLYELRDVSDHTSKQKELRFDI
+FQEDDSDSNCHLDQPESGASQVAPSMLEIAIQNTKAYLLKTSSKSGLNLYDHLSEMLTKV
+LDKRPENAVDIIENISQDVKMEHFSKKLDTLQNENEMLPTYEMAEKQKTLFLQGNVEGAD
+QELEDEIAEHALPNIMESAFYFEQAGVGLGTDETYRIFLALKQLTDTHPIQKCRFWGKIL
+GLEMNYIVAEVEFREGEDEEEVEEEDVPEERDNGDSEADEDDEDELPRPFYKAPQAIPKE
+ESRTGANKYVYFVCNEPGRPWVKLPAVTPAQIVIARKIKKFFTGRLDTPIISYPPFPGNE
+SNYLRAQIARISAGTHVSPLGFYHFGEEEGEEEEEIESGRDSFEENPDFEGIQVIDLVES
+LSNWVHHVQHILPQGRCNWFNPIQKSEEEEEEEDEEKEDEKGEEPDDTEQEVGPPLLTPI
+SEDLEIQNTSPWTTRLSSNLIPQYAIAVLRSNLWPGAYAFSNGKKFENFYIGWGHKYSPD
+SYTPPVPPPVYQEYPSGPEITEMDDPTVEEEQAFRAAQEAAANSTEENEETEEEEDEEDD
+YD
+>tr|F1N044|F1N044_BOVIN Transmembrane protein 74 OS=Bos taurus OX=9913 GN=TMEM74 PE=4 SV=3
+MELHYLVKKSSQAAPCDAADWSSRGPPGDQADAAATRAALCCQRRCTSKPRALEMEACKL
+SPSPASPSPSLQDSAIHTDSLPPGPLNSGNNQITAEQKVCNCCSQELETSFTYVDENVNL
+EQRNRRSPSAKGSDHLGDLGWGNPNEWSHESAISLISEDEDDASSEATSSGKSVDYGFIS
+AILFLVTGILLVIISYIVPRDVTVDPNTVAAREMERLEKESARLGAHLDRCVIAGLCLLT
+LGGVVLSCLLMMSMWKGELYRRDRFASSKESAKLYGSFNFRMKTSTNENTLELSLVEEDA
+LDGSSP
+>tr|F1MS67|F1MS67_BOVIN Dispatched RND transporter family member 2 OS=Bos taurus OX=9913 GN=DISP2 PE=4 SV=3
+MDGGGGSSSSSDPVPGPGPEGEQRPEGELLAPEGSSPDRSQSKAVAPEASPERSCSLHSC
+PLEDPSSSSGPPPATSTLQPVGPSSPLAPAHFTYPRVQQEYRGGSSLPGLGDQAGLCSHG
+CSLSPSPAPSQRDGTWKPASVQHHVVSVRQERAFRMPKSYSQLIAECPVAVLLLCLAFIL
+LCTLAGLLGGQLPDFSKPLLGFEPRDTDIGRKLVVWRALQALTGPRKLLSLSPDLELNSS
+NPHTTLSPATPWSSAQEGMVRPWRMVESLEDRGQDSFFCGPPEKSYAQLVFMSTSAGSLW
+NLHAIHSMCRMEHDQIRSHPSFGALCQRTAANECCPSWSLGNFVAVLSNRSSCLDITQAD
+TTRTLALLRACALYYHRGALVPSCLGPGNDKPPRCTQVPTKCSQSSAVYQLLHFLLDRDF
+LSPQTADYQVPSLKYSLLFLPTLKGASMMGIYLDRLAMPWGLSDNYTSVTGMDLGLKQEL
+LRHYLAQDTVYPLLALAAIFLSIALYLRSLFLTLMVLLGVLGSLLVAFFLYRVAFRMAYF
+PFVNLAALVLLSSVCANHTLIFFDLWRLSKSQLPSGGLAQRVRRTMHHFGYLLLVSGLTT
+GAAFYASYLSRLPAVRCFALYMGTAVLAHLALTLAWLPSSAVLHERYLARGCASQARGPW
+AGSAPRRLALALHRRLRGLRRAAASTSRLLFQRLLPCGVIKFRYIWICWFAALAAGGAYI
+AGVSPRLRLPTLPPPRGQVFRPSHPFERFDAEYRQQFLFERLPQGEGGHMPVVLVWGILP
+VDTGDPLDPRSNSSLVSDPAFSASGPEAQRWLLALCHGARNQSFFGAQPEGWPTLCFVEA
+LQHWMESPGCARLGPGLCCGHSGFPWAPQLFLHCLKMMALEQGPEGPRDLGPRFNTQGGL
+AALVLQFQTNCPYSPDYSQAHHFYTEVNHWLAAELGRAPPGLRRGWFTSRLELYSLQHSL
+STEPAVVLGLALALAFATLLLGTWNVPLSLFSVAAVAGTVLLTVGLLVLLEWQLNTAEAL
+FLSASVGLSVDFTVNYCISYHLCPHPDRLSRVAFSLRQTSCATAVGAAALFAAGVLMLPA
+TVLLYRKLGIVLMMVKCVSCGFASFFFQSLCCFFGPEKNCGQILWPCAHLPWDSGTGEPG
+GEKAGRPRPGPVGGVPGSCSEQYELQPLARRRSPSFDTSTATSKLSHRPSVLSEDLQLHD
+GPYCSRPPPGPASPRELFLDHQAVFSQCPALQTSSPYKQAGPSPKTRARQNSPGKKAELV
+QASLEAPAHPPRPKPQVVEPSDCLCSSASTLEGLSVSDETCLSTSEPSARVPDSVGASPE
+DLDEAEQTVPERGQLNEKRDTLWLALKETVYDPSLPTSHQSSLSWKGRGGPGDGSPVVLP
+NSQPDLPDVWVRRPSTHTSGYSS
+>tr|A0A3Q1MRF1|A0A3Q1MRF1_BOVIN Lysine-specific histone demethylase OS=Bos taurus OX=9913 GN=KDM1A PE=3 SV=1
+MLSGKKAAAAAAAAAAAAAAGSEAGAGVAGGAENGSEVAAPPAGLSGPGEAGPGAAGERT
+PRKKEPPRASPPGGLAEPPGSAGPQAGPTAVPGSATPMETGIAETPEGRRTSRRKRAKVE
+YREMDESLANLSEDEYYSEEERNAKAEKEKKLPPPPPQAPPEEENESEPEEPSGVEGAAF
+QSRLPHDRMTSQEAACFPDIISGPQQTQKVFLFIRNRTLQLWLDNPKIQLTFEATLQQLE
+APYNSDTVLVHRVHSYLERHGLINFGIYKRIKPLPTKKTGKVIIIGSGVSGLAAARQLQS
+FGMDVTLLEARDRVGGRVATFRKGNYVADLGAMVVTGLGGNPMAVVSKQVNMELAKIKQK
+CPLYEANGQAVPKEKDEMVEQEFNRLLEATSYLSHQLDFNVLNNKPVSLGQALEVVIQLQ
+EKHVKDEQIEHWKKIVKTQEELKELLNKMVNLKEKIKELHQQYKEASEVKPPRDITAEFL
+VKSKHRDLTALCKEYDELAETQGKLEEKLQELEANPPSDVYLSSRDRQILDWHFANLEFA
+NATPLSTLSLKHWDQDDDFEFTGSHLTVRNGYSCVPVALAEGLDIKLNTAVRQVRYTASG
+CEVIAVNTRSTSQTFIYKCDAVLCTLPLGVLKQQPPAVQFVPPLPEWKTSAVQRMGFGNL
+NKVVLCFDRVFWDPSVNLFGHVGSTTASRGELFLFWNLYKAPILLALVAGEAAGIMENIS
+DDVIVGRCLAILKGIFGSSAVPQPKETVVSRWRADPWARGSYSYVAAGSSGNDYDLMAQP
+ITPGPSIPGAPQPIPRLFFAGEHTIRNYPATVHGALLSGLREAGRIADQFLGAMYTLPRQ
+ATPGVPAQQSPSM
+>tr|A0A3Q1LPC8|A0A3Q1LPC8_BOVIN XPC complex subunit, DNA damage recognition and repair factor OS=Bos taurus OX=9913 GN=XPC PE=4 SV=1
+MLMGVWREVTREDFCDPAHEPAMSPLFLCPSSRVPCGHLYVFEDEKPLKKSPPTKVSRGK
+RKRGCGDAGGSADGPVRRKAAKVSVKSEEPQVIKDEALSDGEDFRDLPSARRKGQPPERE
+AAADKGSCEGDDEEDSEEDWEEVEEVSEPVPGDVGESGAFSASALPVKPVEIEIETPEQV
+KARERSEKIKMEFETYLRRMMKRFSKEVHEDTHKVHLLCLLANGFYRNSICNQPDLQAIG
+LSIIPTRFTKVPPRDVDVSYLSNLVKWFIGTFTVNAELSTNEQDGLQTTLERRFAIYSAR
+DDEELVHIFLLLLRALHLPTRLVLSLQPVPLKLSAEKGKKPCKERSTEAPGGSSEAASHA
+PGKPSGGSGGEHASSGGAGGARARGKGGKAAAGRRPRGESPSSGEDAGQARGQRRGTQRR
+AQARRRRVAAKVSYKEESGSDAASSGSDFEPSSEDSCRPSDEDSEPGLPRPRRAPAPQRT
+KAGSKSRSKSQQGSRGLRPGFVEASASAAGSKRKGGKKPSGDGGKAGRQGAAGVDQWLEV
+FLEREEKWVCVDCVHGVVGQPLTCYQYATKPVTYVVGIDGAGCVRDVTQRYDPAWLTATR
+KSRVDAAWWAETLRPYRSPLVDREQREDQEFQAKHLDQPLPTVIGTYKNHPLYALKRHLL
+KYEAIYPETAAVLGYCRGEAVYSRDCVHTLHSRDTWLKQARVVRLGEVPYKMVKGYSNRA
+RRARQAEPQLHDYNDLGLFGRWQTEEYQPPVAVDGKVPRNEFGNVYLFLPSMMPVGCVQL
+NLPNLHRVARKLNIDCAQAVTGFDFHKGYCHPITDGYVVCEEYRDVLLTAWENEQALIEK
+KEKEKREKRALGNWKLLVKGLLIRERLKLRYGAQSEAAAPHTDVGGGLSSDEEEGTSSQA
+EAARILAASWPQNREAEKPKCPRKTRREKKEAAAHLFPFEKL
+>tr|G3X7Z8|G3X7Z8_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 GN=LOC101906312 PE=4 SV=2
+MFSHLFDCVLLMLLLLTSSLKGAYVSQVGQNADLPCTYSPATTENLVPVCWGKGPCPVFE
+CYSLVLRTDGRNVTYQTSSRYLLKRDLHKGDVTLTIKNVTLADSGTYCCRIQFPGLMNDR
+KSNLELIIKPAKVTPAWTPWRDITTAFPRMLTTKGPVSETRTLKTLHDKNQTEISTLAIE
+LQDMGATTRTGLYIGAGVFAGLALILISGGLILKWYSDRKEKIQNSSLITLANLSPSGLA
+NIAAEGMHPVENIYIIEENVYEEEDPYECYCSVNSGQQS
+>tr|A0A3Q1M3U3|A0A3Q1M3U3_BOVIN TBC1 domain family member 7 OS=Bos taurus OX=9913 GN=TBC1D7 PE=4 SV=1
+MTEDSQRNFRSVYYEKVGFRGVEEKKSLEILLKDDRLGILPPHHESHVQVMTYRKEQYSD
+VLHALKVIRFVSDATPQSEVYLYMHRLESGKLPRSPSFPLEPEDEVFLAIAKAMEEMVED
+SVDCYWIMRCFVNQLNSKYRDTLPQLPKAFEQYLNLEDSRLLSHLKACCAVSTLPYELWF
+KKCFAGCLPESSLQRIWDKVVSGSCKILVFVAVEILLTFKIKVMALNSAEKITKFLENIP
+QDSSDAIVSKAIDLWHKHCGTPVHSA
+>tr|A0A3Q1NLV1|A0A3Q1NLV1_BOVIN Synaptonemal complex protein 2 OS=Bos taurus OX=9913 GN=SYCP2 PE=4 SV=1
+MPIRPDLQQLEKCIDDALRKNDFKPLKTLLQIDICEDVKIKCSKQFFHKLDDLMCRELNK
+RDIQTVSTILVSFGRCGKNITILGQAGLLTMIKQGLVQKISIEYEIILSRGNSKDEAVIN
+MIEDIFDLLMVSHYLTLKKMNVMLDRMPQDARKILCNQQMLILMSSMGERILDAGDYDLQ
+AGIVEALCRMTTEKQRRELACQWFSMDFIANAFKGIKDSEFETDCRIFLNLVNGMLGDKR
+RVFTFPCLSAFLDKYELQIPSDEKLEEFWVDFNLGSQTLSFYIAGDNDDHQWEAVTVPEE
+KVQIYSIEVRESKKLLTVILKNIVKISKREGKELLLYFDASLEITNVAQKIFGGSKYKEF
+TRKQGISVAKTSVHILFDASGSQILVPESQLSPVEEEHISLKETANLQEEFTKPAKYIKN
+SNQGYRKNSQPEIITPSKRKMSEASMIVPGADRYTVRSPILLVNTSSPRKRRIKPPLQMM
+SSTEKPSVSKTSEQGVDYAVSLKSRPSEEKKRRDNTDKEIVLDSQAAGRIDKPVLPDVLD
+STCGDKMHSKWACWTPVTNIKLCNNQRASTSSRDTFNQDIVINQKLPKQKSSSSISDDNS
+EETEKVQYRKEIMQHNRTDKAEADDCHRSRQQPGHSTHSEEKSIENAKQSDWCIESETTF
+KSVLLNKTVEESVIYKKKYVLSKDVNTDTCDQSPSPQKNAKSRRKSGRRLTAEFNSWDLK
+QKNTREKSKGKGFTDAAESLINQINKRYQPKDSIQSARKSKESLIDRKILLYNGVNVSLH
+FYRDDVYNFNLSGADEPVIKLGVSWKSEVILYLFSIYFIFTIQLLEYKNPFSDSDTEYRC
+DDSKTDISWLREPKSKPLMMDYSRNKNVKKHKNGKKSSKKSLFIIVDETVAYGRTRLPRR
+AAKTKKNYKDLSNSESEGEQEFSCSFKEKVLVQEKIHSRSKTMKLPKKQQNSFTAKTQKD
+VSKEWKISSLLKNAGRDNSLDPSPVSLSGSPSSIEVMRCVEKITERDFTQDCDCVAESPD
+PKTSSPESLNSGVGGPIKSSKNSEKNLLCTRESSSIADSLPSEPPGKPNCNSYSDKSHSA
+SPLSTSSEEREKTWYGMPSDSTHMSGCIQHLSRKRMYTEDSLNNSGEVEEERANLLPKKL
+CKSKDADHHTYKGSVFQIRHKMMDYFTKQTWKTIQQHIKAINQKIQEIKKLDKFQFIIIE
+ELENFEKDSQYLKDLEKEFVVCAYKSLKIIVKPHF
+>tr|F1N530|F1N530_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=3
+MDGELIKKSDSKAQILKSGSTTKFQSSREENKEELQKKISFTDSDEVVIAEHKRELSNFQ
+DAELQKGKIEMEVKVKDSNAEIMKRQESQEKVRDMKMPREAAAQVEKSEAEIQQGQEAKV
+EKNEAATAQGQEAQVKKSEAKIPKGQEAQVEKSEAQVPQGQEAQVEEHKVQIPQGQDTQA
+EKSEAKIPKGQDVQVEKSEAQVPQGQEGSQVKKSETRIPIGQGSQVEKSETRISNGQTAQ
+EKESSEDKEKEDNEKRDTGKDKEENDAKNKNVHGEKDKVQGKNNLTIKDKKLSLLVKYNK
+KEEQW
+>tr|A0A3Q1LHH5|A0A3Q1LHH5_BOVIN IGv domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MGIRLLYAFCFLGVGLVDAQVTQTPRYLVKRRGEKVVIECIQNMDHERMFWYRQDPALGL
+RLLHFSIDAGTVEEGDVPHGYSVSRKKKESFPLTLASATTNQTSMYLCASSEYTAQHGHI
+LSAQKGRMQEAGWGDHESGPELCCSYRACVPIGRKADSREGPSPQPHFLARAAQASF
+>tr|F1MBN0|F1MBN0_BOVIN Cyclic nucleotide-gated olfactory channel OS=Bos taurus OX=9913 GN=CNGA2 PE=4 SV=2
+MTEKANGVKSSPANNHNHHAPPAIKASGKDDHRASSRPQSAADDDTSSELQRLAEMDAPQ
+QRRGGFRRIARLVGVLREWAYRNFREEEPRPDSFLERFRGPELHTVTTQQGDGKGDKDGE
+GKGTKKKFELFVLDPAGDWYYRWLFLIALPVLYNWCLLVARACFSDLQKGYYIVWLVLDY
+VSDVVYIADLFIRLRTGFLEQGLLVKDTKKLRDNYIHTMQFKLDVASIIPTDLIYFAVGI
+HNPEVRFNRLLHFARMFEFFDRTETRTSYPNIFRISNLILYILIIIHWNACIYYAISKSI
+GFGVDTWVYPNITDPEYGYLSREYIYCLYWSTLTLTTIGETPPPVKDEEYLFVIFDFLIG
+VLIFATIVGNVGSMISNMNATRAEFQAKIDAVKHYMQFRKVSKEMEAKVIRWFDYLWTNK
+KSVDEREVLKNLPAKLRAEIAINVHLSTLKKVRIFQDCEAGLLVELVLKLRPQVFSPGDY
+ICRKGDIGKEMYIIKEGKLAVVADDGVTQYALLSAGSCFGEISILNIKGSKMGNRRTANI
+RSLGYSDLFCLSKDDLMEAVTEYPDAKRVLEERGREILMKEGLLDENEVAASMEVDVQEK
+LEQLETNMDTLYTRFARLLAEYTGAQQKLKQRITVLETKMKQNNEDDSLSDGMNSPEPPA
+EKP
+>tr|F1MLQ5|F1MLQ5_BOVIN Choline O-acetyltransferase OS=Bos taurus OX=9913 GN=CHAT PE=3 SV=3
+LPQPVNLVFQGLPKLPVPPLQQTLATYLRCMQHLVPQEQFRRSQAIVQQFGAPGGLGETL
+QQKLLERQERTANWVSEYWLNDMYLNNRLALPVNSSPAVIFARQHFQDTNDQLRFAADLI
+SGVLSYKTLLDSHSIPTDCAKGQLSGQPLCMKQYYGLFSSYRLPGHTQDTLVAQKSSVMP
+EPEHVIVACCNQFFVLDVVINFRRLSEGDLFTQLRKIVRMASNEDERLPPIGLLTSDGRS
+EWTEARTVLMKDSTNRDSLDMIERCICLVCLDAPGGVELSDTNRALQLLHGGGCSKNGAN
+RWYDKSLQFVVGRDGTCGVVCEHSPFDGIVLVQCTEHLLKHMVKTSKKMVRADSVSELPA
+PRRLRWKCSPEIQGLLASSAEKLQQIVKNLDFTVYKFDDYGKTFIKQQKCSPDAFIQVAL
+QLAFYRLHGKLVPTYESASIRRFQEGRVDNIRSATPEALRFVKAIADHHTAADSEKLLLL
+KDAIRAQTEYTVMAITGMAIDNHLLGLRELAREMCKELPEMFTDETYLMSNRFVLSTSQV
+PTTMEMFCCYGPVVPNGYGACYNPQPESILFCISSFHNCKETSSTKFAKAVEESLLDMRR
+LCSLPLSATGKPPATKEKVTRPSQGHQP
+>tr|E1BQ09|E1BQ09_BOVIN Cyclin Q OS=Bos taurus OX=9913 GN=CCNQ PE=3 SV=3
+MEAGGPGTCGGGAETRGSEGRLAPESRVHFRVTRFIMEAGVKLGMRSIPIATACTIYHKF
+FCEINLDAYDPYLVAMSSLYLAGKVEEQHLRTRDIINVSNRYFHPGSDPLELDSRFWEIR
+DSIVQCELLVLRVLRFQVSFQHPHKYLLHYLVSLKNWLNRYSWQRTPVSITAWALLQDSY
+HGGLCLRFRAQHIAVAVIHLALQAYGVEVPAEAEAEKPWWQVFSEDLTKPTIDNIVSDLI
+QIYTMDTEIP
+>tr|F1N1V1|F1N1V1_BOVIN DENN domain containing 2D OS=Bos taurus OX=9913 GN=DENND2D PE=4 SV=2
+MPDRPKKMERQAGGGILSRFRKRLPLHRAGPPQENLGEVVKEPERALEHCLPNFAGGQHF
+FEYLLVVSLKRKHSGEDYEPRIIYQFPKRENLLRGQQEEEDRLLGAIPLFCFPDGNERAP
+LTECPRETFSFVLTNVDGSRKIGYCRRLLPDGHGPRLPRVYCIISCIGCFGLFSKILDEV
+EKRHQISMAIIYPFMQGLREAAFPAPGKTVTLKSFIPDSGTEFISLTRPLDSHLEHVDFR
+SLMRCLSFEQILQIFASAVLERRIIFLAEGLSTLSQCIHATAALLYPFSWAHTYIPVVPE
+SLLGTVCCPTPFMVGVQMRFRQEVLDSPMEEVLLVNLCEGTFLMSVGDEKDILPPKLQDD
+ILESLSQGIKESQTSEQINEHVSRPFVQFFVKTVGHYASYIKREANGQGRFQERAFYKAL
+TSKANRRFVKKFVKTQLFSLFIQEAEKSKNPPADYFQKKIREYEEQKKQKKPKEKTVK
+>tr|A0A3Q1N077|A0A3Q1N077_BOVIN Proteasome activator subunit 3 OS=Bos taurus OX=9913 GN=PSME3 PE=4 SV=1
+MEKWILKKIKYLQSGGLSASHYNYKVDSFRERITSEAEDLVANFFPKKLLELDSFLKEPI
+LNIHDLTQIHSDMNLPVPDPILLTNSHDGLDGPTYKKRRLDECEEAFQGTKVFVMPNGML
+KSNQQLVDIIEKVKPEIRLLIEKCNTVKMWVQLLIPRIEDGNNFGVSIQEETVAELRTVE
+SEAASYLDQISRYYITRAKLVSKIAKYPHVEDYRRTVTEIDEKEYISLRLIISELRNQYV
+TLHDMILKNIEKIKRPRSSNAETLY
+>tr|A0A3Q1M5U8|A0A3Q1M5U8_BOVIN Vomeronasal type-1 receptor OS=Bos taurus OX=9913 PE=3 SV=1
+MKPERRVFFLSQTGIGLLGNSFSLLSIFTFHSGDRVRPSDHILKHIFLANSLVLLSKGIP
+QTMISFGWNNFLEDHGCKFLFYIHRVAREVCLSSTCLLSIFQAITIIPSKSRWAELKARV
+PKYIGPLCFLCWVVLFFGNILVPLKVTGPKRIKNITLSSFGYCFGSLLHPITSSLYAAIH
+AFIDMMCLGLLVWTSSSMVLYLYSHRQQVKYIHSSRHISRLSPEKKATQNILILVCAFVL
+FFTLSSISSIYMAVYNFPNWWLINANVFLDACFPTFCPFVFISGHIHISKLCFVFCERN
+>tr|A0A3Q1N0S8|A0A3Q1N0S8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MVTAFFFFFSQYSRLVLWLVGLRYLHCHPFSLKRPHICYPAASPGRIQGCCHEVENKKTS
+FEQNVSFQRMSQIRTPKADLSSKEAHPCELCVQILRDFLYFDECQAIHSKLKLHRSGKQL
+YVSTNLHQHQKQCIGEKLFRRDVDRTSFVKNCKFHVSGKSLVFRVVEKDFLTRSQFLQQW
+ATNTWERSNRTECETAFQRGKTYPNWGEVTKKSHLIVHQRVHTRERPYKCNECGKSFSQN
+SMLLQHQRIHTGERPYECSECGKTFNQRSALHQHQRLHTGSRPYKCTDCGKSFADNSTFI
+KHRRIHTGERPYECSKCGKAFSQNSSLLRHWRIHTGERPYECSKCGKAFSQSSSLLRHWR
+VHTGERPYECGECGKSFRQKPNLIQHWRIHTRERPYKCGKLFSNKSHLNLQRVHTRERPF
+ECGECKKFFGKKSYLIIHQRVHTGERPYKCNECGKSFSQNSMLLQHQKIHTGERPYECSE
+CGKTFNQRSALHQHQRLHTGSRPYKCTDYRKSFADNSTFIKHRRIHTGERPYECSKCGKA
+FSQSSSLLRHQRVHWEKILQVKKI
+>tr|A0A3Q1MAW1|A0A3Q1MAW1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MLLKKNISEEVASPMAKMEGILRAVLGDAKLGESWTRGCQLEDQGKQERCLRWLFATPKE
+DTHGERGLGCNELRRSFKRTSAFIRKQRAPGGERPQKWTGSRKSLKCQRTLVEKKPFNCM
+ECGKAFVYHSDCILHQRIHTGEKPYKCNDCGKAFSNSSYFIQHHMIHTGEKPYACNECGK
+TFTQSSSLTEHQRIHTGEKPYKCKECGKAFTQSSSLIKHQRCHTGEKPYKCHQCGKFYSQ
+VSHLTRHQKIHTGEKPYKCNECGKTFSHNSSLTQHQRVHTGEKPYECPECGKAFSHSSSL
+IQHQRIHTGEKPYECHDCGKAYTQISHLMRHQSIHIGEKPYICNECGKAFGHTSSFTQHQ
+TIHTGEKPYRCNKCGKTFSQNSSLTRHQRIHTGEKPYECKVCRKAYTQISHLIQHQRIHT
+GEKPYECCECGKAFSRSTHLIEHQKIHTGEKPYKCKECEKTFSHSSSLTQHQRIHTGEKP
+YACKECRKAFNQSIHLIQHQRIHTGEKPYKCKNCGKTYTQISHLI
+>tr|E1BKD8|E1BKD8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=2
+MAGKPILHYLNGRGRMECIRWLLAAAGVEFEEKFIEKTEDLDKIKNDGSLMLQQVPVVEI
+DGMKLVQTRAILNYIATKYNLYGKDMKERALIDMYSEGVADLGEMIMHLSLCPESDTPPA
+EKDAKLTLIREKTTNRYLPAFVHVLKSHGQDYLVGNKLSRADIHLVELLYYVEELDPQAF
+GQLPSALKARVSSLLAVKKFLQPGSQRKPPMDEKIIKVKKVFRF
+>tr|E1BFF9|E1BFF9_BOVIN Golgin A1 OS=Bos taurus OX=9913 GN=GOLGA1 PE=4 SV=1
+MFAKLKKKIAEETAVAQRPGGATRIPRSVSKESVASMGADSGDDFASDGSSSREDLSSQL
+LRRNEQIRKLEARLSDYAEQVRTLQKIKEKLEIALEKHQDSSMRKFQEQNETFQANRARM
+AEGLALALARKDQEWSEKVDQLEKDKRFLTAQLQEMKNQSLNLFQRRDEMDELEGFQQQE
+LSKVKHMLLKKEESLGKMEQELEARTRELSRTQEELVTSSQISLDLSQKLEELQRHYSAL
+EEQRDHVTASKTGAENKITVLEQKEQELQAFIQQLSIDLQKVTAETQEKEKLITHLQEKV
+ASLEKRLEQNLSGEEHVQELLKEKTLAEQNLEDTRQQLLAARSSQAKAINNLETRVKELE
+QSLQASEEELKRSGDVVAAREAQIRELAAANTESSRAQQQALALEQQQAERIHALETQLA
+GLGRARVADLTATEQELRKLEQENAALKESKNECECSLQHHQLELKKLKEEWSQREIVSV
+AMAQALEEVRKQREELQQQAADLTAMMGEKEQSLQEKMEVILQKEQEILQLKKGHDSALL
+QTRQLQSELEALQGLRAEEATATREDLLRLTGQERPLVLSASKPHVTSRARQDPEYQLPA
+VEGIPNGEMGAATDLGQLQKEKQDLEQQLLEKNKTIKQMQQRMLELKKTLQKELKIRPDN
+ELFEVREKPGPEMSNLAPSVTNNADLTDAREINFEYLKHVVLKFMSCRESEAFHLIKAVS
+VLLNFSQEEENMLKETLEYKMSWFGSKPAPKGSIRPSISNPRTPWS
+>tr|G3MY74|G3MY74_BOVIN Fibronectin leucine rich transmembrane protein 1 OS=Bos taurus OX=9913 GN=FLRT1 PE=4 SV=1
+MVVAHPTAAAATTATPATTVTATVVMTTATMDLRDWLFLCYGLIAFLTEVIDSTTCPSVC
+RCDNGFIYCNDRGLTSIPADIPDDATTLYLQNNQINNAGIPQDLKTKVNVQVIYLYENDL
+DEFPVNLPRSLRELHLQDNNVRTIARDSLARIPLLEKLHLDDNSVSTVSIEEDAFADSKQ
+LKLLFLSRNHLSSIPSGLPRTLEELRLDDNRISTIPLHAFKGLSSLRRLVLDGNLLANQR
+IADDTFSRLQNLTELSLVRNSLAAPPLNLPSARLQKLYLQDNAISHVPYNTLAKMRELER
+LDLSNNNLTTLPRGLFDDLENLAQLLLRNNPWFCGCNLLWLRDWVKARAAVVNVRGLMCQ
+GPEKVRGMAIKDITSEMDECFEAGAQGGAVNAAAKTTHASDHASVTTPQGSLFTLKAKRP
+GLRLPDSSLDYPMATGDSAKTLAIHVKPLTADSIRITWKATLPASSFRLSWLRLGHSPAV
+GSITETLVQGDKTEYLLTALEPKSTYIICMVTMDTGNTYVADETPVCAKAETADSSGPAT
+TLNQEQNADPMAGLPLAGIIGGAVALVFLFLVLGAICWYVHRASELLTRERAYNRGSRKK
+DDYLESGTKKDNSILEIRGPGLQMLPINPYRAKEEYVVHTIFPSNGSSLCKGTHTIGYGT
+TRGYRDGGIPDIDYSYT
+>tr|A0A3Q1LM49|A0A3Q1LM49_BOVIN Protein phosphatase 1A OS=Bos taurus OX=9913 GN=PPM1A PE=3 SV=1
+MYFFSRKWVAETVISTRLRKSEKRKMKKRRAKETRREQKKERIRRRKERRGGHKKRISVR
+KIRATDLENQDIMGAFLDKPKMEKHNAQGQGNGLRYGLSSMQGWRVEMEDAHTAVIGLPS
+GLETWSFFAVYDGHAGSQVAKYCCEHLLDHITNNQDFKGSAGAPSVENVKNGIRTGFLEI
+DEHMRVMSEKKHGADRSGSTAVGVLISPQHTYFINCGDSRGLLCRNRKVYFFTQDHKPSN
+PLEKERIQNAGGSVMIQRVNGSLAVSRALGDFDYKCVHGKGPTEQLVSPEPEVHDIERSE
+EDDQFIILACDGIWDVMGNEELCDFVRSRLEVTDDLEKVCNEVVDTCLYKGSRDNMSVIL
+ICFPNAPKVSPEAVKKEEELDKYLESRVEEIIKKQGEGVPDLVHVMRTLASENIPSLPPG
+GELASKRNVIEAVYNRLNPYKNDDTDSTSTDDMW
+>tr|A0A3Q1N4A4|A0A3Q1N4A4_BOVIN Myosin phosphatase Rho interacting protein OS=Bos taurus OX=9913 GN=MPRIP PE=4 SV=1
+MSAAKENPCRKFQANIFNKSKCQNCFKPRESHLLNDEDLTQAKPIYGGWLLLAPDGTDFD
+NPVHRSRKWQRRFFILYEHGLLRYALDEMPTTLPQGTINMNQCTDVVDGEGRTGQKFSLC
+ILTPEKEHFIRAETKEIISGWLEMLTVYPRTNKQNQKKKRKVEPPTPQEPGPAKMAVTSS
+SSSIPSAEKVPTTKSTLWQEEMRAKDQPDGSSPSPAQSPSQGQPPAASTLREPGLEGRDE
+ESTTSGDRVDCGRKVRVESGYFSLEKTKQDVKAEEQQLPPPLSPPSPGAPTNSSSFNSLD
+VASHPPAHVDSGSAGGRGAERPGRAYAFKASRQYATLADVPKAIRISHREAFQVERRRLE
+RRTRARSPGREEVARLFGNERRRSQVIEKFEALDTEKAEHMETNLSTGPSASSDTRQGRS
+EKRAFPRKRPDLLNFKKGWLTKQYEDGQWKKHWFVLADQSLRFYRDSVAEEAADLDGEID
+LSTCCNVTEYPVQRNYGFQIHTKEGAFTLSAMTSGIRRNWIQTIMKHVHPTSAPDVTSSL
+PQVKARSSSPSETRPLEKQDGELGEPDPEQRRSRARERRREGRSKTFDWAEFRPIQQALA
+QERAGGPRAEVEPGELERERARRREERRKRFGTPDVEGPSADDVALRMEVDRGMGPPTAA
+ELRTQNVHVEIEQRWHQVETTPLREEKQVPIAPLHLSSEDGSDRLSTPELTSLLEKELEQ
+SQKEASDLLEQNRLLQDQLRVALGREQSAREGYVLQTEVATSPSGTWQRLHRVNQDLHGE
+LEAQCQRQELITRQIQALKRSYGEAKDAIRHHEAEIRSLQVRLGNAAAELAIKEQALAKL
+QGELKLEKDKVREQLEEWQQSEAALSAQLRTSERKLQSAEALLLGRTQELRDLERQQALQ
+RDRHKEVQQLQERITDLSQQLGASERAQRLMEEKLQRNYELLLGSCEREKQELLRSLAEA
+EDRARAFEGRLQEHEHRVEALQEEKLSPGSEGSEAVQRLEEQLEMKEASIQKLAEHVQSL
+RDERDLTQQRFQELLGRVTLSDGDVAALQEKLRGREADYQSLEHSFRRVAGQLQGAHTRL
+QEKEEELRRLQDAHEKALEREGRGLQQAVLGPSTLGSSLDEADGKLQAEGEIEQRVATES
+LEDVEDSLARVGLQCQTAVMPPGLPCSGLEDVAPGEEELGDSSPSRDENTVPLRSEVSSK
+PDQGAPGIKRQRIRFSTIQCQKYTHPDGCEKTGASSVSSDTSQERSPSEESMSSEATPSS
+LPMPSDPDAYLSIIHSLETKLYVTEEKLKGVTARLESQQGQSQEALLVLHQQWASTEARL
+CEQLRASLLQVTALAAQLEQERQARAEAVESHVGELSDFQVKNSQALACLESCREQLRSL
+PGDAGAGSLAGLESLLVGAVQALRPQPASTGETGFSEEEKAPSQQLPHMLTLSEQEQLQL
+LSEQIALEAALIDQIADSLRNTTSDVSQVFLEISQSDRWRLESESAVVCPGAPADAWAKK
+VLVDGEFWSQVESLSRHLGGEAASALGGRQPCARPAPAPALADATWVRAELSLAACSMRE
+SLHRRLRSVQETLQGTQAALQQHKCMLQEVLGAYRTPAFERVMQQVSEALQLPLGTRDGD
+QVSWAGHPPVEAPSHQGPADSLACPLSSQSSGVLAAIQEELALQLKDKASLLGEISASLT
+SLPSVEALGDCRKLLQASQHLSRRACLGGLGQYSSLLVQDAVLQAQVCYAACRIRLEYEN
+ELRGYKESWLGQGAPYQGHEQALGALQGESELLRKQKGEYLDEIAFTQRENVELQTKVTQ
+LDQQQRHLELVHGEHCASLAAPPEQSKEEVVPVEGLLRAACGGVWGQPEAGARAEQDLGG
+RPVEQVQALEARFQLKVRELQTIHEEELRALQEHYSQTLRCLQETLCHYQSHPPCGSLAE
+GEGEAESVARLRERVRELEAQVDVLREELEHQDPAGGVASLQEERQRDLESLKATCERGF
+AAMEETHQKKIEDLQRQHQRELEKLREEKDRLLAEETAATISAIEAMKNAHREEMERELE
+KSQRSQISSVNADVEALRRQYLEELQSVQRELEVLSEQYSQKCLENAHLAQALEAERQAL
+RQCQRENQELNAHNQELNNRLAAEISRLRTLLTGDAGGEAAGSPLTQGKDAYELEVLLRV
+KESEIQYLKQEISSLKDELQTALRDKKYASDKYKDIYTELSIVRAKADCDVSRLKEQLKA
+ATEALGEKSPENPPVSGYDIMKSKSNPDFLKTDRSCVGRQLRGLRSKSVIEQVSWDN
+>tr|F1N7D5|F1N7D5_BOVIN Myosin IH OS=Bos taurus OX=9913 GN=MYO1H PE=4 SV=3
+MCTRNLVRKYCHGITAERRALMQEKVVMSEIFEGKKEGYTESLHQPFANSRVDEGDINPK
+VLQLLSSEKIQYGVPVIKYDRKGFKTRQRQLILTQKAAYVVELAKIKQKIEYPALKGVST
+SSLSDGILVIHILQADIKQKGDVILQCEHIFEAVTKLAMLVKKENLVNVVQGSLQFCISP
+GKEGTIVFDTGPEEQIYKDKNGQLTVVSVCPKS
+>tr|A0A3Q1M2B9|A0A3Q1M2B9_BOVIN AT-rich interaction domain 1A OS=Bos taurus OX=9913 GN=ARID1A PE=4 SV=1
+MAAQVAPAAASSLGNPPPPPPSELKKAEQQQQQQREEAGGEAAAAVAAERGEMKAAAGQE
+SEGPAVGPPQPLGKELQDGAESNGGGGGGGAGGPGAEPDLKNSNGNAGPRPALNNNLTEP
+PGGGGGGSSDGVGAPPHSAAAALPPPAYGFGQPYGRSPSAVAAAAAAVFHQQHGGQQSPG
+LAALQSGGGGGGLEPYAGPQQNSHDHGFPNHQYNSYYPNRSAYPPPPQAYALSSPRGGTP
+GSGAAAAAAGSKPPPSSSASASSSSSSFAQQRFGAMGGGGPSAAAGGTPQPTATPTLNQL
+LTSPSSARGYQGYPGGDYGGGPQDGGAGKGPADMASQCWGAAAAAAAAAAAASGGAQQRS
+HHAPMSPGSSGGGGQPLVRTPQPSSPMDQMGKMRPQPYGGTNPYSQQQGPPSGPQQGHGY
+PGQPYGSQTPQRYPMTMQGRAQSTMGGLSYAQQIPPYGQQGPSGYGQQGQTPYYNQQSPH
+PQQQQPPYSQQPPSQTPHAQPSYQQQPQSQPPQLQSSQPPYSQQPSQPPHQPSPTPYPSQ
+QSSTQQHPQSQPPYSQPQAQSPYQQQQPQQPASSTLSQQAAYPQPQSQQSQQTAYSQQRF
+PPPQELSQDSFGSQASSAPSMTSSKGGQEDMNLSLQSRPSSLPDLSGSIDDLPMGTEGAL
+SPGVSTSGISSSQGEQSNPAQSPFSPHTSPHLPGIRGPSPSPVGSPASVAQSRSGPLSPA
+AVPGNQMPPRPPSGQSDSIMHPSMNQSSIAQDRGYMQRNPQMPQYSSPQPGSALSPRQPS
+GGQMHTGMGSYQQNSMGSYGPQGSQYGPQGGYPRQPNYNALPNANYPSAGMAGSMNPMGA
+GGQMHGQPGIPPYGTLPPGRMSHASMGNRPYGPNMANMPPQVGSGMCPPPGGMNRKTQET
+AVAMHVAANSIQNRPPGYPNMNQGGIMGTGPPYGQGINSMAGMINPQGPPYPMGGTMANN
+SAGMAASPEMMGLGDVKLTPASKMNNKADGTPKTESKSKKSSSSTTTNEKITKLYELGGE
+PERKMWVDRYLAFTEEKAMGMTNLPAVGRKPLDLYRLYVSVKEIGGLTQVNKNKKWRELA
+TNLNVGTSSSAASSLKKQYIQCLYAFECKIERGEDPPPDIFAAADSKKSQPKIQPPSPAG
+SGSMQGPQTPQSTSSSMAEGGDLKPPTPASTPHSQIPPLPGMSRSNSVGIQDAFPDGSDP
+TFQKRNSMTPNPGYQPSMNTSDMMGRMSYEPNKDPYGSMRKAPGSDPFMSSGQGPNGGMG
+DPYSRAAGPGLGNVAMGPRQHYPYGGPYDRVRTEPGIGPEGNMGTGAPQPNLMPSNPDSG
+MYSPSRYPPQQQQQQQRHDSYGNQFSAQGTPSGSPFPSQQTTMYQQQQQNYKRPMDGTYG
+PPAKRHEGEMYSVPYSAGQGQPQQQQLPPAQPPPANQQQAAQPPPQQDVYNQYGNAYPAT
+AAAATERRPAGGPQNQFPFQFGRDRVSAPPGSNAQQNMPPQMMGGPIQASAEVAQQGTMW
+QGRNDMTYNYANRQSTGSAPQGPTYHGVNRTDEMLHTDQRANHEGPWPSHGTRQPPYGPS
+APVPPMTRPPPANYQPPPSMQNHIPQVSSPAPLPRPMENRTSPSKSPFLHSGMKMQKAGP
+PVPASHIAPAPVQPPLIRRDITFPPGSVEATQPVLKQRRRLTMKDIGTPEAWRVMMSLKS
+GLLAESTWALDTINILLYDDNSIMTFNLSQLPGLLELLVEYFRRCLIEIFGILKEYEVGD
+PGQRTLLDPGRFSKASSPAPVEGEEEEDLLGPKLEEEEEEEVIENDEEMAFSGKDKAASE
+NSEEKLISKFDKLPVKIVQKNDPFVVDCSDKLGRVQEFDSGLLHWRIGGGDTTEHIQTHF
+ESKTELLPSRPHVPCPPVPRKYVTAVEGTPGTAEQEGPPPDGPPEKRITATMDDMLSTRS
+STLTEEGAKSVEATKESSKFPFGISPAQSHRNIKILEDEPHSKDETPLCTLLDWQDSLAK
+RCICVSNTIRSLSFVPGNDFEMSKHPGLLLILGKLILLHHKHPERKQAPLTYEKEEEQDQ
+GVSCNKVEWWWDCLEMLRENTLVTLANISGQLDLSPYPESICLPVLDGLLHWAVCPSAEA
+QDPFSTLGPNAVLSPQRLVLETLSKLSIQDNNVDLILATPPFSRLEKLYSTMVRFLSDRK
+NPVCREMAVVLLANLAQGDSLAARAIAVQKGSIGNLLGFLEDSLAATQFQQSQASLLHMQ
+NPPFEPTSVDMMRRAARALLALAKVDENHSEFTLYESRLLDISVSPLMNSLVSQVICDVL
+FLIGQS
+>tr|F1MPX1|F1MPX1_BOVIN Kelch like family member 6 OS=Bos taurus OX=9913 GN=KLHL6 PE=4 SV=2
+MAGQRGTWTMDDVVEKSLEGPLALSTDEPPEKRGDLVEILNGDKVKFDDTGLSLILQNGL
+ETLRVENALTDVILCVDIQEFSCHRVVLAAASNYFRAMFCNDLKEKYEKRIIIKGVDAET
+MHTLLDYTYTSKALITKQNVQRVLEAANLFQFLRMVDACASFLTEALNPENCVGILRLAD
+THSLDSLKKQVQSYIIQNFVQILNSEEFLELPVDTLYHILKSDDLYVTEEAQVFETVMSW
+VRHKQSERLCLLPYILENVRLPLLDPWYFVEMVEADPLIRQCPEVFPLLQEARMYHLSGN
+EIISERTKPRMHEFQSEVFMIIGGCTKDERFVAEVTCLDPLRRSRLEVAKLPMTEHELDS
+ENKKWVEFACVTLKNEVYISGGKETQHDVWKYNSSINKWIQIEYLNIGRWRHKMVVLGGK
+VYVLGGFDGLQRINNVETYDPFHNCWSEAAPLLIHVSSFAATSHKKKLYVIGGGPNGKLA
+TDKTQCYDPSTNKWNLKSAMPVEAKCINAVSFRDRIYVVGGAMRALYAYSPLEDSWCLVT
+QLSHERASCGIAPCNNRLYITGGRDEKNEVIATVLCWDPEAQKLTEECVLPRGVSHHGSV
+TIRKSYTHIRRIVPGAVSV
+>tr|F1MMA8|F1MMA8_BOVIN Intestine specific homeobox OS=Bos taurus OX=9913 GN=ISX PE=4 SV=3
+TLPRVSPCLCDMERKSFGCYEAPKKRGLSFSIEDILKRPAERSDVVKPEGASGQGTRQAT
+AADFGPERPQQDQPKEGKKGKRRLRTTFTTEQLQELEKVFHFTHYPDIHVRNQLAARINL
+PEARVQIWFQNQRAKWRKQEKTNSLGALQLPSEAGLAPSTNPDVAGPMLPPPAQPRLLPL
+TRCCPRAQAWLPTQIALLPCHPWETQPLPGPLIIQQTCIPTFCSICTTSITQTGHPLLSG
+ASLLSR
+>tr|F1MLT5|F1MLT5_BOVIN Trinucleotide repeat containing 6C OS=Bos taurus OX=9913 GN=TNRC6C PE=4 SV=3
+MCTFYTVFLKSLIFFFFQAADQKTKVPEPTKTCSSQPQPAGTSTSTSTSTLSSGSNGKRA
+SASGQQPAAARYLPREVPPRFRQQEQKQLLKRGQPLPAGALTSVSPTQGAGPAGLSPPPL
+PGAGAQPHPSKPQPDLSHSGPAEHYESSHWGQQPTYRSEASCSWEKTLSDRTDAGAWPSI
+TGAETESASECTTDTDSASNCGSENSSMATGSAQGSFTGQTKKTNGNNGSNGTLVQNPSA
+QSALGAGGANSNGGAARVWGVAASAGSGLAHCSLGGGDGKMDNMIGDGRSQNCWGASNSN
+AGINLNLNPNANPAAWPVLGHEGTVGTGNPSSICSPVSAIGQNMGTQNGNPAGTLGAWGN
+LLPQESTGPQTSTSQNVSFSAQPQNLNTDGPNNTNPLSPSPSPLNAVQTNGLPNWGMAVG
+MGAIIPPHLQGLPGANGSSVSQVGGGGGEGIGSSVWGLSPGNPATGNSGSGFSQGNGDTV
+NSALSAKQNGSSSAVQKEGNGGNNAWDSGPPAGPGILAWGRGGGSSGVGNLHSGAWGHPS
+RSTSNGVNGDWGKPPNQHSSSDINGKGSTGWDSPSAGGQNPAAQPGSEHVNSWAKAASSG
+TSASEGSSDGSGSHHEGSTGRDGAEGRRRDKGVLEQGHLQLPRSDLDPRVLSNTGWGQTP
+VKQNTAWEFEESPRSERKNDNGTEAWGCAATQPSHSGGKNDGSSVNSTNTSSVSGWVSSP
+PAAAPAHAGWGDSSHKAPNGPGVWGEAISSTAVNNAAAAKSGHAWSGTVNQEDKSPTWAE
+PQKPRSQNWGDGHKPNPAWSAGGGDWADSSSVLGHLGEGKKNASGWDADSSRSGSGWNEA
+PRSGTGGWGNGTNAKVNPGTSWGESLKPGPQQNWASKPPDSSSSNWGGAASVKQTGTGWI
+GGPLPVKPKDTSEATGWEEPSPPSIRRKMEIDDGTSAWGDPSNYNNKTVNMWDRNNPGIQ
+SSASAGAAATATATATSDSASAAEAPPAHQASAQLSRSPLLGPVSSGWGEMPNVHSKAEN
+SWGESSSPSTLVDNGTAAWGKPPSSGSGWGDQPTEPALTFGRASAPAAAPALCKPASKSM
+QEGWGSGGDDANLGASQWEDEDGGMWNNAASQESPSSCSSWGNAPKKGLQKGMKTSGKQD
+EAWIMTRLIKQLTDMGFPREPAEEALKSNNMNLDQAMSALLEKKVDVDKRGLGVTDYNGM
+VTKPLGCRPPISKESSVDRPTFLDKDGGLVEEPTTSPFLPSPSLKPPLSNSALPSQALGG
+IASGLGMQNLNSARQTPSGNLGMFGSSGAAPARTMQQPPQPPVPPLSSSQPSLRAQVPQF
+LSPQVQAQLLQFAAKNIGLNPALLTSPINPQHMTMLNQLYQLQLAYQRLQIQQQMLQAQR
+NVSGPMRQQEQQVARTITNLQQQIQQHQRQLAQALLVKPPPPPHLSLHPSAGKSALDSFP
+PHPQAPGLPELQTKEQQSSSPSTFAPYPLAGLNPNMNASSMDMTGGLSVKDPSQSQSRLP
+QWTHPNPMDNLPGAASPLDQNPSKHGAIPGGLSIGPPAKSSIDDSYGRYDLIQSSESPAS
+PPVAVPHSWSRAKSDSDKISNGSSINWPPEFHPGVPWKGLQNIDPENDPDVTPGSVPTGP
+TINTTIQDVNRYLLKSGGSSPPSSQNAALPPSSAWPLAASGHSRAFSSLAPAPTVAGKLS
+DIKSTWSSGSASHTQASLSHELWKVPRNTTAPTRPPPGLTNPKPSSAWGASPLGWTSSYS
+SGSAWSTDASGRTSSWLVLRNLTPQIDGSTLRTLCLQHGPLITFHLNLTQGHAVVRYSSK
+EEAAKAQKSLHMCVLGNTTILAEFAGEEEVNRFLAQGQALPPTSSWQPSPGTSQTRLGTS
+GSAHGLVRSDAGHWNAPGLAGKGSSDLLWGGVPQYSSSLWGPPSSDDGRVIGSPTPLNTL
+LPGDLLSGESI
+>tr|A0A3Q1MQP7|A0A3Q1MQP7_BOVIN Adhesion G protein-coupled receptor V1 OS=Bos taurus OX=9913 GN=ADGRV1 PE=3 SV=1
+MPSASLLVNLLSALFIPFVFGETEIRFAGQTEFVVNETSTTVIRLVVERIGDPANVTAIV
+SLYGDDTGDFFDTYAATFIPFGETSRTVYIAVCDDDFPEPDETFIFHLTLQKPSANVKLG
+WPRTVTVTILSNDNAFGIISFNMPFSITVSEPRGRNESVPLTLIREKGTYGMVTVTFEVK
+GGPNPPEEDLSPVKGNITFPAGKATVVYNLTVLDDEVPENDEIFLIQLKSVEGGAEINTS
+RSSVEIIIKKNDSPVRFIQNVYLVPEEDHILTIPVVRGKDDSGNLIGSDDCEVSISYVII
+TGNSTAHAQQNLDFIDLQPNTTIVFPPFIYESHLKFQIVDDAIPEIAESFQVVLLKDTLR
+GDAVLFGPSVVQVTIKPNDKPYGVLSFNSVLFERPVIIDEDTTTRFEEITVVRNGGTHGN
+VSVNWVLTRNSSDPSPVTTDIRPRSGVLHFAQGQMLASIPLIVVNDDLPEEAEAYLLQIL
+PHTIRGGAEVSEPAELLFYIQDSDDVYGLITFFPVENQKIESSPGERCLSLSLTRLGGTK
+GDVKIFYSALYIPAGAVDPLRAKDGILNISKRSSLIFPEQKTQVTIKLPIRNDAFLQNGA
+HFLVQLEAVELVNIVPPIPPISPRFGEIQNISLVVTPDIANGEIGFISNLPIILHEPEDF
+AVCIPLHRDGTDGQATVYWSLKPSGFNSKAVTLDDIGPFNGSVVFLSGQSDTTINITVKA
+DDIPEMNETVTLSVDRVSVENQVLKSGYTSRDLIILENDDPGGVFEFSSASRGPYIIKEG
+ESVELHIIRYRGALVKQFLHYRIEPRDSNEFYGNTGVLEFKPGEREIVITLLSRLDGIPE
+LDEHYWVVLTSHGERESKLGSATVVNITILKNDDPHGIIEFVSDDLIVTINESKGDNTCS
+AVYGVIRNRGTFGDVNVSWVVSPDFTHDVFPVRGTIFFGDQEFLKNITIYSLPDEIPEEM
+EEFTITLLNATGGAKIGNITTATLRIRRNDDPIYFAEPRVVRVREGETADFKVLRNGSVD
+VPCTVQYATMDGEATAREGDFVPIEKGEMLVFTVGSREQNISVFIMEDDIPETDEPFYII
+LFNSTGDTVVYPYATATVIIEANDDPNGIFALEPIDKAVEEGKTNSFWILRHRGHFGNVS
+VAWQLFQNDSALQLGQEFYETSGTVNFLDGEGAKPIILHAFPDKIPEFNEFYILKLVNIS
+GGSPGPGGQLAATNLQVTVMIPFNDDPFGVFILDPECLEREVAEDVLSEDAMSYITNFTI
+LRQQGAFGDVRVGWEILSSEFTAGLPPVTDFLLAGIFPSSVHLQPHMRRHHSGTDALYFS
+GLEGAFGTVNPKYHPSRNNSIANFTFSAWVMPNPNTNGFVIAKDDGNGGIYYGVKIHTNE
+SHVTLSLHYKTLGSNATYVAKTTVMKYLEENVWLHLLIVLDDGIIEFYLDGNAMPRGIKS
+LKGEAITDGTLRIGAGVNGNDRFTGLMQDVRSYEQKLTLEEIYELHAMPAKSDLHPVSGY
+LEFREGETNKSFIISARDDNEEEGEELFILKLVSVYGGARLSEENTTARLVIQKSDNANG
+LFGFTGACIPEIAEEGSTISCVVERTRGALDYVHVFYTISQIESDGVNYLVDDFANASGS
+ITFLPWQRSEVLNIYVLDDDIPELNEYFRVTLVSAIPGDGKIGSTPTSGASIDPEKETTD
+ITIKASDHPYGLLQFSTGPPPQPEDTMTLPASGVPHVTVREEDGEVRLLVVRAQGLLGRV
+MAEYRTVSLTAFSPEDYQSVAGTLEFQPGERFKYISVNITDNSIPELEKSFKVELLNLEG
+GVTELFRVDGSGSGDGDMEVFLPANRRHAGLGVASQILVTIAASDHAHGVFEFSPESLVV
+SGTEPEDGYSTVIFNIMRSHGALSRVTLLWSIDSDPDGDLAFTSGNVTFEIGQKRANITV
+EILPDEDPELDKAFSVTILSVSSGSLGVHTNATLIVLASDDPYGVFIFSEKNRPIKVEEA
+TQNITLSIIRLKGLLGKVKVTYATLDDMEKLPHFPPNLARATQGKDYLPASGFAVFRANQ
+SEATITVSVLDDDEPERSESLFVELLNSTLTEKVQNRPIPNSPRLGPKVEAIAELIIIAN
+DDAFGTLQLSAPVVRVAENHVGPIINVTRTGGTFADVSVKFKAVPITAVAGEDYSIASSD
+VVLLEGETSKAVPIYIINDIYPELEESFLVQLLNETTGGAKLGALTEAIIIIEASDDPYG
+LFGFQITKLIVEEPEFNSVKVNLPIIRNSGTLGNVTVQWVATINGQLATGDLRVVSGNVT
+FAPGETIQTLLLEVLADDVPEIEEVIQVQLTDASGGGTIGLDRVANIIIPANDNPYGTVA
+FVQSVSRVQEPLERSSCANITVRRSGGHFGRLLLFYGTSDIDVVALAVEEGQDLLSYYES
+PIQGLPDPLWRTWVNVSAMGEPQDTCATLCLKEHACSAFSFLSASEGPQCFWMTSWASPT
+ANNSDFWTYKKNVTRVASLFSGQAVAGSDYEPVTRQWAIMLEGDEFANLTVSILPDDFPE
+MDESFLISLLEVHLMNITASFKNQPTIGQPNTSTVVIALNGDAFGVFVIYSISPNTSEDG
+LYVEVQEQPQSTVEMVIHRTGGSLGQVTVEWRVVGGTATEGLDFIGAGDILTFAEGETKK
+VAVLTILDDSEPEDDEIIVVSLVYTEGGSRILPSSDTVRVNILANDNVAGVVGFQTTSRS
+VIGPEGEILQFHVIRTPPGRGNVTVNWKIIGQNLEFNFANSTGQLFFPEGSLNKTISVHL
+LDDNIPEEKEVYQVILYDVRTQGVSPAGAALLDAQGYAAVLTVEASDEPHGVLNFALSSR
+FVLLQEANTTVKLFINREFGSLGAVNVTYATVPGMLSRKNQTEGNLAEPDVDFVPVVGFL
+ILEEGETAGAIDITILEVKHLFLVVVVVVLVTQLCPTLQPHGLTAQIIIDANDGARGIIE
+WRQSRFEVNETQGSLTLVAQRRTGALGHVSLFVYAQNLEAQLGVDYAFTPMILHFANGER
+YKNVDIMILDDDIPEGDEAFQLILTNPSPGLELGENIIAFVTILANDDGPGVLSFNNSEH
+FFLREPTAVYIQESMAVLYVIREPAQGLFGTVTVQFIVTEVNSSMESKDLTPSKGYVVLE
+EGVRFKALHISAILDTEPEMDEHFVCTLFNPTGGARLGAHVQTLITVLQNQAPLGLFSIS
+AVANRYTTSVSIEEANRTVYLSVSRTNGIDLAVSVEWETVSETAFGMRGMDVMFSMFQSF
+LEASASGWCFFTLEDSVHGLMLRKLSSTLYRWQGIFVPFEVSITYVMSSVNSVYTFTSGF
+KLFLVKKTSLFFRFAFDRSLQVFRWNGGSFVWHQTLSVRGVLSMALFTRGGAVFLAIAQA
+NARSNSLLLRWSSNEFTNFQEVPISGTTQVEALTSGDDIYLIFAKAIFLGKTIECLQAIY
+SRYQKYFAFILFHLLLLGQAVSALYCWNSELNHFSFLLEAPSAYDAAFVTVKSLNSSKNL
+IALAGATHSHIYELAYVSSQSDFIPSSGELIFEPGDKEAIIAVNVLDDTVPEEDESFRVQ
+LKNPKGGAEIGINGYVQITILSNDDAYGVVGFAQNSLYKQVEEMEQDSLVTLNVERLKGT
+YGRITVAWEADGSISDIFPTSGVISFSEGQALSTITLTVLADDLPELSEIVIVTLIRIIT
+EGVEDPSKGATIDQKRNKSVITTLPNDSPYGLVGWHAESLFIKVAEPKENVTALQLQIVR
+DKGLLGDIAIQLVAKPNFLLHINNQATENKDYVLQETMIIMKENIKETYVKVAILPDDVP
+ELEEGFIVSITEVRLVNSDFSAGQPSVRRPGLEMAEIMIEENDDPRGIFKFHVTRDVGVI
+TAYEVPPPLNVLQIPVVRLAGSFGAVKVYWKATVDSAGLEDFKPSHGILEFADRQVTAVI
+EITIIDDDEFELLEMFNISLIKAAGGGRLGDDVVVTVVIPQNDSPFGVFGFEEKTVMVDE
+SLLSDDPDSYVTLTVVRSPGGKGAVRLQWTLDEMAKDDLSPLSGTLHFNETESQKTIVLH
+TLQDATLEEDRRFTIQLLSVDEVEISPVKGSASIIIRGDKGASGEVGIAPSSRHVLIGEP
+SAKYNGTAIISLIRGPAISGEVTVSWRIVPPSLEEFAETSGKLTMRDGQSAAVVVIQALN
+DDLPEEKRFYEFQLTEVSEGGVLSESSTTATITVVASDFPYGRFAFSQEQLRVTEEIQKV
+NLTVIRSGGSFGRVRLCLEAVSGTAEVGVDFLPPPVQLLFEAKETVKIVHIEILDDSLPE
+GPEEFSLMMTEVELLGRGYDFTIQENGLQIDQPPEIGNISIVRIIIMKNDNAEGIIEFDP
+RYTTFEVEEDIGTIMIPVQRLHGTYGRVTADFVSQSSSAVPGGVDYVLHGSSVTFQHGQN
+LSFINVSIIDDDESEFEEPIEIMLIGATGGAVLGRHLVCRITIAKSDSPFGVIRFLNQSR
+VSVPNPNSTMILPLMLERTGGLLGEIQVNWEIVGPNSQEALPSQNRDIADPVSGSFSFGD
+GEGGMRSIILVIYPHDDIEIEKTFIIKLELVRGEAQLDSTANDVTLTIEKFGDPNGVIQF
+APESLSEETYLEPSTLEGPLIITFFVRRIKGVSGEIMVPFFFGFLSRFDITGDFLSTKGS
+FTIADGESEASFDVHLLPDDIPEIEEVYVLQLVLVKGGAKLDTEKCVIRFSVSANDDPHG
+IFALYSDHQSILIGQNLRRFIQINVTRLAGTFGDVAVRYRISSDSKEQSVVTENAERQLV
+VRDGTRYKVDSVPVQSQVFLPLGSNFTLQLVTVMLVGGSFYGVPKILQEAKSAVLPVPEK
+AANSQVGFEFTAFPLTDIAAGTSQAVISRRGTYGSLKVSWAAGYVPGTQRNETLLPFSCS
+LSGRLSFSHGEESKRVLLWTHPSPGRLEAFVVHLSGVQSSVPGGAQLRSGFTVAEIEPMG
+VFQFSPSSRNIIVSEDTQMIRLHVQRLFGFHGELIKVSYQTAAGRAKPQKDFEPVQNGEL
+LFQKFQVEVDFEIIIIDDELPEIEEIFYINLTSNLVTLAGTSATSEKPNAATVTTDTSVH
+GTFSLGPPVVYIEEEMENGTLNAAEVLVRRTGGSAGNVSVTVRTFGERSAQKEPNTLPFP
+DRHGISNLTWATEEEDFKAQTLILAFVDGERERKVSIAILDDGDPEGQEFFYVFLTDPQG
+GAQIVKGEDDAGFADFAMIIITGSDLHNGIIGFSEESQSRLELGEETETSSLHLTVTRQP
+NRAFEDVEVFWRVTFNKTAAVLQKDGVNLADELLSVSGTTVCRAGQTECFISIELKPGKI
+PQSEIHFFVELYEVTAGAALNNSARFAQIKLLQRDKPPSLVYFSVGSRLPVAHKKATLIS
+LQVARDYGAGLMMSVNFSTQELRSAETIGRTLISPAISGKDFVRTEGTLIFEPGQRTTVL
+DVILTPETGSLNPFPKRFQIVLFDPRGGARVDKVYGTANITLVSDANSQAFWGLADQLQQ
+PLDGDILNRVLHSVSVKVATENTDEQLSAVLYLIDKVRNPSLSIESRNLFYEILCALVNP
+KRKDTRGFSHFTEVTENFAFSLLTDVTCGSPGEKGKTILDSCPYLSILALHWYPQQINGH
+KFEGKEGDYIRVPERLLDVLDAEIMAGRSTCELVQFTEYSSQQWFMTGNNFHALKNKVLS
+LSVKGQSSQPLTNSNEILYRIYAAEPRIVPKTPLCLLWNPAAASWLSDSQFCKVVEDTSD
+YVECACSYMSVYAVYAQTDNLSSYNEAFFSSGFICISGLCLAVLSHIFCARYSMFAAKLL
+THMLAASLGTQIVFLASAYASPRLTEESCSAIAAVTHYLYLCQFSWMLIQSVNFWYVLVM
+NDEHTERRYLLFLLLSWGLPALVVVLLIVILRAAYHQSMPQIYGLIHGDLCFIPNIYAAL
+FTAALVPLMCLVVVFVVFIHAYQVKPQWKAYDDVFRGRTNAAEIPLVLYLFALISMTWLW
+GGLHMAYRLFWMLVLFVIFNSLQGLYVFVVYFILHNQTCCPMKASYTVEMNGHPGPSTAF
+FTPGSGMPAAGGEISKSTQNLISAMEEVPPDWERASFQQASQASPDLKPSPQNGATFPSS
+GGYGQGSLIADEESQEFDDLIFALKTGAGLSVSDNESGQGSQEGGTLTDSQIVELRRIPI
+ADTHL
+>tr|A0A3Q1MJC6|A0A3Q1MJC6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MTNTKGKRRGTCYMFSRPFRKHGVVPLATYMRIYRKGDIVDIKGMGTVQKGMPHKCYHGK
+TGRVYNVTQHAVGIVVNKQYSCLENSMDGGA
+>tr|A0A3Q1ML25|A0A3Q1ML25_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MRTSSSEGRSSGRQSLPHLKTKCLPFSGVLNVWLVENCSFRKAGEVFLTLPSEADLRSEF
+CDSWRPPGSTFLSDPLWAAELASLLLFFRGVLKLNPNKDPVVELLPNANGFDFSLLKMLL
+TDSEPNTNFGGETTAFVVGSGVPDTPPTSEVVSAASSP
+>tr|A0A3Q1MT29|A0A3Q1MT29_BOVIN Chitinase-3-like protein 1 OS=Bos taurus OX=9913 GN=CHI3L1 PE=3 SV=1
+MGLRAAQTGLTLAFSSGAAYKLICYYTSWSQYREGDGSCFPDAIDPFLCTHVIYSFANIS
+NNEIDTWEWNDVTLYDTLNTLKNRNPNLKTLLSVGGWNFGSQRFSKIASKTQSRRTFIKS
+VPPFLRTHGFDGLDLAWLYPGWRDKRHLTTLVKEMKAEFVREAQAGTEQLLLSAAVPAGK
+IAIDRGYDIAQISRHLDFISLLTYDFHGAWRQTVGHHSPLFRGQEDASSDRFSNADYAVS
+YMLRLGAPANKLVMGIPTFGRSYTLASSKTDVGAPISGPGIPGQFTKEKGILAYYEICDF
+LHGAITHRFRDQQVPYATKGNQWVAYDDQESVKNKARYLKNRQLAGAMVWALDLDDFRGT
+FCGQNLAFPLTSAIKDVLAEV
+>tr|A0A3Q1MJB4|A0A3Q1MJB4_BOVIN Serine palmitoyltransferase long chain base subunit 3 OS=Bos taurus OX=9913 GN=SPTLC3 PE=4 SV=1
+MAGLQRVQQLAKNTRYFRQRLSKMGFIVYGNEESPVVPLLLYMPAKVAAFARHLLNRKIG
+VVVVGFPATPLAEARARFCVSAAHTQEMLDTVLEALDEMGDLLNLKYSRNRKSARPELYD
+EMSFELED
+>tr|A0A3Q1MS83|A0A3Q1MS83_BOVIN Follicle-stimulating hormone receptor OS=Bos taurus OX=9913 GN=FSHR PE=3 SV=1
+MALLLVALLAFLSLGSGCHHRLCHCSNGVFLCQESKVTEIPSDLPRDAVELRFVLTKLRV
+IPKGAFSGFGDLEKIEISQNDVLEVIEANVFSNLPKLHEIRIEKANNLLYIDADAFQNLP
+NLRYLLHVGRDIQDNINIHTVERNSFMGLSFESMTVWLSKNGIQEIHNCAFNGTQLDELN
+LSDNSNLEELPNDVFQGASGPVILDISRTRIRSLPSYGLENLKKLRAKSTYRLKKLPSLE
+KFVTLVEASLTYPSHCCAFANWRRQTSDLHPVCNKSILRQEVDDMTQARGQRVSLAEDDE
+PSYAKGFDVMYSEFDYDLCNEVVDVTCSPEPDAFNPCEDIMGDDILRVLIWFISILAITG
+NILVLVILITSQYKLTVPRFLMCNLAFADLCIGIYLLLIASVDVHTKSQYHNYAIDWQTG
+AGCDAAGFFTVFASELSVYTLTAITLERWHTITHAMQLECKVQLRHAASIMLVGWIFAFA
+VALFPIFGISSYMKVSICLPMDIDSPLSQLYVMSLLVLNVLAFVVICGCYTHIYLTVRNP
+NITSSSSDTKIAKRMAMLIFTDFLCMAPISFFAISASLKVPLITVSKSKILLVLFYPINS
+CANPFLYAIFTKNFRRDFFILLSKFGCYEVQAQTYRSETSSTAHNFHPRNGHCPPAPRVT
+NGSNYTLIPLRHLAKN
+>tr|A0A3Q1MAJ6|A0A3Q1MAJ6_BOVIN Cysteine and serine rich nuclear protein 1 OS=Bos taurus OX=9913 GN=CSRNP1 PE=4 SV=1
+MNFTQLAVPRPQSTLATMTGLLKRKFDQLDEDSSSLCSSSSLSSSGHHSPSCSPSSSVSP
+AWDSDEESPWDQLPLPDGDFCGPRSFTPLSILKRAPRKRRGRVAFDGITVFYFPRCQGFT
+SVPSRGGCTLGMASRHSACRRFSLAEFTQEQARARQEKLRLRLKEEKLEALRWKLSETGV
+PKTEAGLPLTVDAIDDASVEEDLALAVAGGRLEEMTFLQPFPARKRRALLRASGVRRIDR
+EEKRELQALRQSREDCGCRCDRVCDPETCSCSLAGIKCQMDHTAFPCGCCREGCENPKGR
+VEFNQARVQTHFIHTLTRLQLEQGAESLGELEAPAQGGTPSPGEQVLASAFPLAKPPVSS
+ELGDNSCSSDMTDSSTASGTSEALDGPARLALPGPGFQPGVDDDGLARILSFSDSELGGH
+EEEEEDGGVGGLDNLSCFHPADIFGTGDLTGLASWTHSYSSSSLTSGILDENANLDASCF
+LNSGLEGLGEGSFPGPLVPASTDAGQSSSVDLSLSSCDSFELLQALPDYSLGPHYTSRKV
+SDSLDHLEVPHFTLPAFSPPADTSTCFLESIMGLTEPAAEALAPFVDGQLFEDTTPASLV
+EPVPV
+>tr|A0A3Q1MQB0|A0A3Q1MQB0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MGLLTYVSLLLLTPNILSLPLDPQDNVFLSWAHSYAAFHNRSNCWVCGALPSSSVEGFPW
+WTSPLQGKDFLQVCEYLRQQSHAMPLRHLMTSTNPKIDWCNTLYSNYGHNVTFNFDYTLS
+RFNDYFATYKVNRSRSNGFLPDVYQIWDEVTWLTPEKGRLISTASICWEQTEPSPKVSQQ
+LNYNDWKQMGCLSQETCNVIIPVFSNPSSGSPFVWPGTNWDWISQSRWLAPNGTYWICGS
+YLWAWLPPGWIGRCTLGLAFTHGFIFSELPEKPANLPHLRTRWARSVFYWYDYLAAAFVP
+SLETTDVMLRVDALTNFTQKALQDSQKAISALNAEQAQIRKVVLQNRLALDILTAAQGGT
+CAIIHTQCCTCIPDMSTNVTHFTNHMNKMIRAIDTPEASIASLWKTLTSSPWWTTILITI
+ILVVLFLLFAPCICNCITGFVSSRMKAFKLQMVAQTPATTVASSSYYLGPLDQISSI
+>tr|E1B8J8|E1B8J8_BOVIN Vasohibin 1 OS=Bos taurus OX=9913 GN=VASH1 PE=4 SV=2
+MPGGKKVVGGGSTGAAPTAAAVPSGVRRLETSEGASAQRDDEPEEEGEEDLRDGGIPFFV
+NRGGLPVDEPTWERMWKHVAKIHPDGEKVAQRIRGATDLPKIPIPSVPTFQPSTPVPERL
+EAVQRYIRELQYNHTGTQFFEIKKSRPLTGLMDLAKEMTKEALPIKCLEAVILGIYLTNS
+MPTLERFPISFKTYFSGNYFRHIVLGVNFGGRYGALGMSRREDLMYKPPAFRTLSELVLD
+YEAAYGRCWHVLKKVKLGQCVSHDPHSVEQIEWKHSVLDVERLGREDFRKELERHARDMR
+LKIGKGTGPPSPTKDRKKDVSSPQRGQSSPHRRNSRSERRPSGEKKPSEPKAMPDLNGYQ
+IRV
+>tr|F1N3S2|F1N3S2_BOVIN Protein FAM162A OS=Bos taurus OX=9913 GN=FAM162A PE=4 SV=2
+GSCFRSCERDAFSSLRLTRNSDLKRTNGFCSKPQESPKPPDQHTYSHRVPLHKPTDWEKK
+ILIWSGRFKKEDEIPETVSFEMLDAAKNKVRVKISYVMIALTVAGCVLMVIEGKKAARRN
+ETLTSLNLEKKARLREEAAMKAKTE
+>tr|E1BFK8|E1BFK8_BOVIN Regulatory factor X6 OS=Bos taurus OX=9913 GN=RFX6 PE=4 SV=2
+MAKLPELEDAFLQAQASPPVSPEVQECCVQLLGKGLLVYPEERVYLAAEAQPGRALGSGE
+KADDPELPGGVKSEMHLSNGNFSSEEEDADNPDSKTKATDPHLSQKKTITQIMKDKKKQT
+QLTLQWLEENYIVCEGVCLPRCILYAHYLDFCRKEKLEPACAATFGKTIRQKFPLLTTRR
+LGTRGHSKYHYYGIGIKESSAYYHSVYSGKGLTRFSGSKLKNEGGFTRKYSLSSKTGTLL
+PEFPSAQHLVYQGCLSKDKVDTLIMMYKTHCQCILDNAINGNFEEIQHFLLHFWQGMPDH
+LLPLLENPVIIDIFCVCDSILYKVLTDVLIPATMQEMPESLLADIRNFAKNWEQWVVSSL
+ENLPEALTDKKIPIVRRFVSSLKRQTSFLHLAQIARPALFDQHVVNSMVSDIEKVDLNSI
+GSQALLTISGSTDTESDIYTEYDSITVFQELKDLLKKNATVEAFIEWLDTVVEQRVIKTS
+KQNGRSLKKRAQDFLLKWSFFGARVMHNLTLNNASSFGSFHLIRMLLDEYILLAMETQFN
+NDKEQELQNLLDKYMKNSDASKAAFTASPSSCFLANRNKGSTVPSDTVKNESHVETTYLP
+LSSSQPGGLTSALHLFPAGNTDNMPLPGQMELSQSSGHLMTPPISPAMASRGSVINQGPM
+AGRPPSVGPVLSAPSHCSAYSEPIYPTFPQASHDFYGTNSNYQTVFRTQPHTPSGLYPHR
+PEHSRCMVWSDQQLSRDFFSGSCAGSPYNSRPPSSYGPSPHGQDPHSLQFLNTGGFNFLS
+NAGGASCQGATLPPNSPNGYYGGNVNYPESHRLGSMVNQHVSVISSVRSLPPYGDIHDPL
+NILDDGSRKQTSSFYADTPPSVACRTPVVASSLQTPIPSSSSQCMYGTSNQYPAQEPLDS
+HGANGREMVSSLPPINTVFMGTAAGGT
+>tr|A0A452DKF0|A0A452DKF0_BOVIN E3 ubiquitin-protein ligase ZNRF1 OS=Bos taurus OX=9913 GN=ZNRF1 PE=4 SV=1
+MGGKQSTAARSRGPFPGVSTDDSAVPPPGGAPHFGHYRAGGGAMGLRSRSVSSVAGMGMD
+PSSAGGVSFGLYTPASRGAGDAERAPGSGGSASDSTYAHGNGYQETGGGHHRDGMLYLGS
+RASLADALPLHIAPRWFSSHSGFKCPICSKSVASDEMEMHFIMCLSKPRLSYNDDVLTKD
+AGECVICLEELLQGDTIARLPCLCIYHKRYEGAGRPSSSTLRPQRAPSLWWEERASRHKD
+PEQGRGRGQQAGTSGRAGHRAGEACGSLAPWKVGYLCVSLSPPCSAVCRDWSVPRGLRGG
+RCWLPRPAPRPQAPPHRGPRGSSQAPPLAPRGPAGGNIHYLWGWCLHN
+>tr|A0A3Q1MSJ8|A0A3Q1MSJ8_BOVIN FGGY carbohydrate kinase domain containing OS=Bos taurus OX=9913 GN=FGGY PE=4 SV=1
+MPGGNEPRSYYVGMDVGTGSVRAALVDQRGTLLAFADQPINQWEPQFNHHEQSSEDIWAA
+CCVVSKNLRETCWDKAGHFFDLPDFLSWKATGVTARSLCSLVCKWTYSAEKGWDDSFWKM
+VGLEDLVTDNYSKIGNQVLPPGASLGSGLTPEAAKDLGLPPGIAVAASLIDAHAGGLGVI
+GADVKGHGLACEGQPVTSRLAVICGTSSCHMGISKNPIFVPGVWGPYFSAMVPGFWLNEG
+GQSVTGKLIDHMVQGHAAFPELQAKATARGQSVYAYLNSHLDLIKKAQPVGFLTVDLHVW
+PDFHGNRSPLADLTLKGMVTGLKLSQDLDDLAILYLATVQAIAFGTRLIIEAMESAGHSI
+STLFLCGGLSKNPLFVQMHADITGLPVVLSQEVESVLVGAAVLGACASGDFASVQEAMAK
+MSKVGKVVFPRHEDKRYYDKKYQVFLKLVEHQKEYAAIMKGD
+>tr|A0A3Q1LJK3|A0A3Q1LJK3_BOVIN ATPase copper transporting beta OS=Bos taurus OX=9913 GN=ATP7B PE=3 SV=1
+HIQKQRHYFANKGSSSQGYGFSSCHPAMKQSFAFDNNGYEDDLDGVRPSHTATGTINIVG
+MTCQSCVKSIEGRVSSLKGIVSIKVSLEQGSAEVRYVPSVVSLVQICHQIEDMGFQASVA
+EGKATSWPSRVSPASEAMVKLRVEGMTCQSCVSSIEGKIGKLQGVLRVRVSLSNQEAVIT
+YQPYLIQPQDLRDHITDMGFEAVIKNKVAPVSLGPIDVRRLQSTLSAAPPAPVNQNDNNS
+ETPGGQGIPLHLRVDGMHCKSCVLNIEDNIGRLPGVQSIHVSLESRTAQVQYDPSLVSPG
+ALQRAIEALPPGNFKVSLPNGVEGSGPDSRSPPASSAPCTVMLAIAGMTCKSCVQSIEGL
+ISQRAGVHQISVFLAEGTAVVLYDPSRTHPEELRAAVEDMGFEASILAENCSSNHIGNHS
+SGSAVGHVAAGTPVPVQGGTPQPGELHTNHIPRQSPKSLPASTTVAPKKCFLQISGMTCA
+SCVSNIERNLQKEPGILSVLVALMAGKAEVKYNPEAIQPLEIAKLIQDLGFEAAVMEDYT
+GSDGDLELMITGMTCASCVHNIESKLRRTEGITYASVALATSKAHVKFDPEIIGPRDIVK
+LIEEIGFRASLAQRIPNAHHLDHKVEIKQWKNSFLCSLVFGIPVMGLMIYMLIPSHEPQS
+TVLDHNVIPGLSILNLIFFILCTFVQFLGGWYFYVQAYKSLRHGMANMDVLIVLATSVAY
+VYSLVILVVAVAEKAERSPVTFFDTPPMLFVFIALGRWLEHVVKSKTSEALAKLMSLQAT
+EATVVTLGEDNVIIREEQVPMELVQRGDIIKVVPGGKFPVDGKVLEGNTMADESLITGEA
+MPVTKKPGSMVIAGSMNAHGSVLVTATHVGNDTTLAQIVKLVEEAQMSKAPIQQLADRFS
+GYFVPFIIIISTVTLVVWIGIGFTDFGVVQKYFPVPSKGISQAEVVLRFAFQTSITVLCI
+ACPCSLGLATPTAVMVGTGVAAQNGILIKGGKPLEMAHKIKTVMFDKTGTITHGVPKVSR
+VLLLVDVATLPLRKVLAVVGTAEASSEHPLGVAVTRYCKEELGTETLGCCTDFQAVPGCG
+ISCKVSSVESILAQGERLQGPLTTHLNRVGSNPTETADAATQTFSVLIGNREWMRRNGLT
+VTSDVRDAMTDHEMKGQTAILVAIDGVLCGMIAIADSVKQEAALAVHTLKSMGVDVVLIT
+GDNRKTARAIATQVGINKVFAEVLPSHKVAKVQELQNQGKRVAMVGDGVNDSPALAQADV
+GIAIGTGTDVAIEAADVVLIRNDLLDVVASIHLSRRTVWRIRLNLVLALIYNLIGIPVAA
+GVFIPIGVVLQPWMGSAAMAASSVSVVLSSLQLKCYRKPDLARYEAQAHGSMKPLSASQV
+SVRVGMDDRRRDSPRASAWDQVSYVSQVSLSPLKSDKLSRHSGAADDRGDKWSLLLNDRD
+EEQGI
+>tr|A0A3Q1M172|A0A3Q1M172_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=3 SV=1
+MTIESGEGMTYFVPIIDGCPLHQSTFHMDIAGQDLTLYFLHLLSESGHSFVSTADRECAR
+DLKEKNCFVALDFEKEKAEANSPSYPQKCQLPDGQEIDLGRERFFCPEALFQPDLIERNN
+LGIHIKAFECISSCNPAFWKILFGHIILSGGTGTCSGLRSRLQKETSTLVSPKINVKAST
+CPYSMYGAWVGGSMLCSLSTFKDMWVTIEEYREMGFSVVGRRTL
+>tr|G3MZE4|G3MZE4_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC528502 PE=3 SV=1
+MDKQNQTVPGEFILMRITDRPELQAAFFVLFLTIYAVSAVGNLGMVVLTKVDSKLQTPMY
+FFLRNLAFIDLGYSTSVGPKMLVAFVTDQNTISYHWCATQLTFFILFIISELFILSAMAY
+DRFVAICNPLLYTVVMSPKVCWVLVTVPYVYSASVSLITTIQIFISSFCGYNIISHFYCD
+SLPLLTLLCSSTWEIELFILICSVFNLVSSFLIVLVSYILILKAILKMNTAQGRQKAFST
+CGSHLTVVAVLYATLAFMNMQPRSSHSFDTDKMASVFFTLVIPMLNPMIYSLRNKEVKGA
+LHRMWENLCKIPI
+>tr|G1K1L9|G1K1L9_BOVIN Peptidase S1 domain-containing protein OS=Bos taurus OX=9913 GN=LOC100848132 PE=3 SV=1
+MNFLWLLSYCALLGTAFGCGVPAIQPVLSGLSRIVNGEEAVPGSWPWQVSLQDKTGFHFC
+GGSLINENWVVTAAHCGVTTSDVVVAGEFDQGSSSEKIQKLKIAKVFKNSKYNSLTINND
+ITLLKLSTAASFSQTVSAVCLPSASDDFAAGTTCVTTGWGLTRYTNANTPDRLQQASLPL
+LSNTNCKKYWGTKIKDAMICAGASGVSSCMGDSGGPLVCKKNGAWTLVGIVSWGSSTCST
+STPGVYARVTALVNWVQQTLAAN
+>tr|A0A3Q1MWZ0|A0A3Q1MWZ0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=CSMD1 PE=4 SV=1
+SMSSLLGFMRFLQKHHSLADCTEVWGICEAGLQGAVFILSYRLTGMNLPSPVISSKNWLR
+LHFTSDSNHRRKGFNAQFQVKKAIELKSRGVKVMPSKDSSHKNSVLTQGGVALVSDMCPD
+PGIPENGRRVGSDFRVGANVQFSCEDNYVLQGSKSITCQRVTDTLAAWSDHRPICRARTC
+GSNLRGPSGVITSPNYPVQYEDNAHCVWVITTTDPDKVIKLAFEEFELERGYDTLTVGDA
+GKVGDTRTVLYVLTGSSVPDLIVSMSNQMWLHLQSDDSIASPGFKAIYQEIEKGGCGDPG
+IPAYGKRTGSSFLHGDTLTFECQAAFELVGERVITCQQNNQWSGNKPSCVFSCFFNFTAS
+SGIILSPNYPEEYGNNMNCVWLIISEPGSRIHLIFNDFDVEPQFDFLAVKDDGISDITVL
+GTFSGNEVPSQLASSGHIVRLEFQSDHSTTGRGFNITYTTFGQNECHDPGIPINGRRFGD
+RFLLGSSVSFHCDDGFVKTQGSESITCILQDGNVVWSSTVPRCEAPCGGHLTASSGVILP
+PGWPGYYKDSLNCEWIIEAKPGHSIKITFDRFQTEVNYDTLEVRDGPASSSPLIGEYHGT
+QAPQFLISTGNFMYLLFSTDNSRSSVGFLIRYESVTLESDSCLDPGIPVNGHRHGSHFGI
+RSTVTFSCDPGYTLSDDEPLVCERNHQWNHALPSCDALCGGYIHGKSGTVLSPGFPDFYP
+NSLNCTWTIEVSHGKGVQMIFHTFHLESSHDYLLITEDGSFSEPVARLTGSVLPHTIKAG
+LFGNFTAQLRFISDFSISYEGFNITFSEYDLEPCDDPGVPAFSRRIGFHFGVGDALTFSC
+FPGYRLEGATKLTCLGGGRRVWSAPLPRCVAECGASVKGNEGTLLSPNFPSNYDNNHECI
+YKIETEAGKGIHLRARSFQLFEGDILKVYDGKDSSSRPLGAFTRNELVGLILNSTSNHLW
+LEFNSNGSDTDQGFQLTYTSFDLVKCEDPGTPNYGYRIRDEGHFTDTVILYSCNPGYAMH
+GGGTLTCLSGDRRVWDRPLPSCVAECGGRIHAATSGRILSPGYPAPYDNNLHCTWVVEAD
+PGKTISLHFIVFDTELAHDILRVWDGPADSAILLKEWSGSALPEDIHSTFNSLTLQFDSD
+FFISKSGFSVQFSTSIASTCNDPGMPQNGTRYGDSREPGDTITFQCDPGYQLQGQAKITC
+VQLNNRFFWQPDPPTCIAACGGNLTGPAGVILSPNYPQPYPPGKECDWRVRVNPDFVIAL
+IFKSFNMEPSYDFLHIYEGEDSNSPLIGSFQGSQAPERIESSGNSLFLAFRSDASVGLSG
+FAIEFKEKPREACFDPGNIMNGTRIGTDFKLGSTVTYQCDSGYKIADPSSITCVIGGDGK
+PAWNRVLPSCNAPCGGQYTGSEGVVLSPNYPHNYTAGQICLYSITVPKEFVVFGQFAYFQ
+TALNDLAELFDGTHPQARLLSSLSGSHSGETLPLATSNQILLRFSAKSGAPARGFHFVYQ
+AVPRTSDTQCSSVPEPRYGRRIGSEFSAGSIVRFECSPGYLLQGSTAIRCQSVPNALAQW
+NDTIPSCVVPCSGNFTQRRGTILSPGFPEPYGNNLNCIWKIIVTEGSGIQIQVISFATEQ
+NWDSLEIYDGGDASAPRLGSFSGTTVPALLNSTSNQLYLHFQSDISVAAAGFHLEYKTVG
+LAACQEPALPSNGIKTGDRYMVNDVLSFQCEPGYTLQGRSHISCMPGTVRRWNYPSPLCI
+ATCGGTLNSMGGVVLSPGFPGTYPNNLDCTWKISLPIGYGAHIQFLNFSTEANHDYLEIQ
+NGPYHTSPMIGQFSGPDLPSALLSTTHETLIHFYSDHSQNRPGFKLTYQAYELQNCPDPP
+PFQNGYMVNSDYSVGQSISFECYPGYILIGHPVLTCQHGINRNWNYPFPRCDAPCGYNVT
+SQNGTIYSPGFPDEYPILKDCIWLISVPPGHGVYINFTLLQTEAVNDYIAVWDGPDQNSP
+QLGVFSGNTALETAYSSTNQVLLKFHSDFSNGGFFVLNFHAFQLKKCQPPPAVPQAEMLT
+EDEDFEIGDFVKYQCHPGYTLSGMDTLTCKLSSQLQFEGSLPTCEAQCPANEVRTESSGV
+ILSPGYPGNYFNSQTCSWSIRVEPNYNITIFVDTFQSEKQFDALEVFDGSSGQSPLLVVL
+SGNHTEQSNFTSKSNQLYLRWSTDHATSKKGFKIRYSAPYCSLTHTVKNGGVLNRTAGEV
+GSKVQYFCKPGYRMIGHSNATCRRNPVGMYQWDSLAPLCQAVSCGIPESPGNGSFTGNEF
+TLDSKVTYDCNEGFKLDASQQATAVCQEDGFWSNRGKPPTCKPVTCPGIEAQLSEHVTWR
+LVSGSLNEYGAQVVLSCSPGYYLEGQRLLQCHANGTWSAGEERPRCRVISCGSLSFPPNG
+NKIGTLTVYGATAIFTCNSGYTLVGSHVRECLANGLWSGPETRCLAGHCGSPDPIVNGHI
+SGDGFSYRDTVVYQCNPGFRLVGTSVRICLQDHKWSGHTPVCVPITCGHPGNPAHGLTNG
+SEFNLNDMVNFTCNPGYLLQGASRAQCRSNGQWSSPLPTCRVVNCSDPGLVENAVRHEHQ
+NLPEGFEYGVTVVYQCKRGFYLLGSSALTCMANGLWDRSLPKCLVISCGHPGVPANAILT
+GDLFTYGAVVHYSCRGSRSLVGDSSRACQEDSHWSGALPHCTGNNPGFCGDPGTPAHGSR
+LGEEFKAKSLLRFSCEMGYQLRGSAERTCLLNGSWSGLQPVCEAVSCGNPGTPTHGKIVS
+SDGVLFSSSVVYACWDGYRTSGLTTRHCTANGTWTGTAPDCTLISCGDPGTLANGIQFGT
+DFTFNKTVSYQCNPGYTMEPATSPTIRCTKDSTWNHSKPTCKAVMCGQPPPVQDGKVEGT
+DFRWGASISYSCAHGFQLSQPAILSCEGRGVWKGEVPQCLPVFCGDPGTPAEGRLSGKIF
+TYRSEVFFQCKSPFLLVGSSRRVCQADGTWSGVQPTCIDPAHNTCPDPGTPQFGIQNSSR
+GYEVGSMVFFRCRKGYHVQGSTTRTCLANLTWSGIQTECIPHACRQPETPAHADVRAIDL
+PTFGYTLVYTCHPGFFLAGGSEHRTCKADMKWTGKSPVCKSKLLVRSESGSLQTRMSVSC
+PPGSCPGGRRPSSSLLEALSVTLPPNLITHAPFLGVYKKEEAHLLLKAFQIKGPVDIFVS
+NFENDNWGLDGYVSTSWRVSSGLERGGFTFQGDIHGKDFGKFKLERQDPVSSDQDSSSHY
+QGTSSGSVAAAILVPFFALILSGFAFYLYKHRTRPKVQYNGYAGHENSNGQASFENPMYD
+TNLKPTEAKAVRFDTTLNTVCTVV
+>tr|E1BGP4|E1BGP4_BOVIN MORC family CW-type zinc finger 2 OS=Bos taurus OX=9913 GN=MORC2 PE=4 SV=2
+MLCFLDDGAGMDPSDAASVIQFGKSAKRTPESTQIGQYGNGLKSGSMRIGKDFILFTKKE
+DTMTCLFLSRTFHEEEGIDEVIVPLPTWNARTREPVTDNVEKFAIETELIYKYSPFRNEE
+EVMTQFMKILGDSGTLVIIFNLKLMDNGEPELDIVSNPRDIQMAETSLEGTKPERRSFRA
+YAAVLYIDPRMRIFIHGHKVQTKRLSCCLYKPRMYKYTSSRFKTRAEQEVKKAEHVARIA
+EEKAREAESKARTLEVRLGGDLTRDSRVMLRQVQNTAITLRREADVKKRIKEAKQRALKE
+PKELNFVFGVNIEHRDLDGMFIYNCSRLIKMYEKVGPQLEGGMACGGVVGVVDVPYLVLE
+PTHNKQDFADAKEYRHLLRAMGEHLAQYWKDIAIAQRGIIKFWDEFGYLSANWNQPPSSD
+LRYKRRRSMEIPTTIQCDLCLKWRTLPFQLNSVERDYPDTWVCSMNPDPEQDRCEASEQK
+QKFPLGTLKKDLKTQEEKQKQLTEKIRQQQEKLEALQKTTPIRSQADLKKLPLEVTTRPS
+SEEPARRPQRPRSPPLPAVIKNAPSRPPSLQAPRPANQPRKAPVISSAPKPAAPAAREEA
+STSRLLQPPEAPRKPANTLVRPASRPTPPVQPPLPSPQPNSRSPREVPSSRALKTPVVKK
+PELPTKLSPQATPSRKRSLGVSDEEEAEEEAEKRKERSKRGKLVVKEEKKDLNELSDSSG
+EEDSADLKRAQKDKGLHVEVRVNREWYTGRVTAVEVGKNAMRWKVKFDYVPTDTTPRDRW
+VEKGSDDVRLMKPPSPEYESPDTRQGREEVAVAAAPAGDLVAQQAIATVEPSTSDCIPIE
+PDTTAPSTNHETIDLLVQILRNCLRYFLPPSFPISKKELSAMNSDELISFPLKEYFKQYE
+VGLQNLCHSYQSRADSRAKASEESLRTSERKLRETEEKLQKLRTNIVALLQKVQEDLDIN
+TDDELDAYIEDLITKGD
+>tr|A0A3Q1LM77|A0A3Q1LM77_BOVIN Cytohesin-2 OS=Bos taurus OX=9913 GN=CYTH2 PE=4 SV=1
+MDPGVRAPRSQATSPRMEAENQDLRPLAKPPDLTPEERMELENIRRRKQELLVEIQRLRE
+ELSEAMSEVEGLEANEGSKTLQRNRKMAMGRKKFNMDPKKGIQFLVENELLQNTPEEIAR
+FLYKGEGLNKTAIGDYLGEREELNLAVLHAFVDLHEFTDLNLVQALRQFLWSFRLPGEAQ
+KIDRMMEAFAQRYCLCNPGVFQSTDTCYVLSFAVIMLNTSLHNPNVRDKPGLERFVAMNR
+GINEGGDLPEELLRNLYDSIRNEPFKIPEDDGNDLTHTFFNPDREGWLLKLGGGRVKTWK
+RRWFILTDNCLYYFEYTTDKEPRGIIPLENLSIREVDDPRKPNCFELYIPNNKGQLIKAC
+KTEADGRVVEGNHMVYRISAPTQEEKDEWIKSIQAAVSVDPFYEMLAARKKRISVKKKQE
+QP
+>tr|A0A3Q1MB87|A0A3Q1MB87_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=ZNF596 PE=4 SV=1
+MESQESVTFQDVAVDFTQEEWALLDTSQRTLFREVMLENISHLVSVGNQLYKSDVISHLE
+QGEQLSREGLGFLQGQSPVISDREDDPKKQEMLSMQHICKKDAPLISAMQWSHTQEDPLE
+CNNFREKFTEILPLTQYVIPQVGKKPFISQDVGKAISYLPSFNIQKQIHSRSKSYECHQR
+RNTFIQRSAHRQHNNTQTGEKTFECHVCRKAFSKSSNLRRHEMIHTGVKPHVCHLCGKSF
+THCSDLRKHERIHTGEKLYGCHLCGKAFSKSYNLRRHEVIHTKEKPNECHLCGKAFAHCS
+DLRKHERTHFGEKPYGCHLCGKTFSKTSYLRQHERTHNGEKPYGCHLCGKAFTHCSHLRK
+HERTHTGEKPYECHLCGKAFTESSVLRRHERTHTGEKPYECHLCWKAFTDSSVLKRHERT
+HTGEKPYECHLCGKTFNHSSVLRRHERTHTGEKPYECNICGKAFNRSYNFRLHKRIHTGE
+KPYKCYLCGKAFSKYFNLRQHERTHAIKVINEDSPPIPSKYKHS
+>tr|A0A3Q1LIC2|A0A3Q1LIC2_BOVIN E3 ubiquitin-protein ligase RNF220 OS=Bos taurus OX=9913 GN=RNF220 PE=4 SV=1
+MPRARSGRRSRGAGGREEVGLFTGCLGRGRPGPGSGAGAGAGIPGRADAVFSFLHLPGIF
+SQTFLRVRANRQTRLNARIGKMKRRKQDEGQVCPLCSRPLAGSEQEMSRHVEHCLSKREG
+SCMAEDDAADIEHENSNRFEEYEWCGQKRIRATTLLEGGFRGSGFVMCSGKENPDSDADL
+DVDGDDTLEYGKPQYTEADVIPCTGEEPGEAKEREALRGAVLNGGPPSTRITPEFSKWAS
+DEMPSTSNGESSKQEAMQKTCKNSDIEKASVPSCLLPGEWIAGQCRAGSAAQGHLPFVSL
+HFVLS
+>tr|A0A3Q1M0G6|A0A3Q1M0G6_BOVIN AA_permease_C domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+LGCKEYNQSDFGVNHLSERTTAYLNTLDLVAVGVGSTLGAGVYILTGELAKMRAGPAPVI
+CFLVAALSCVMSSLCFTEFGARVPRSGTAYLYSYVTRGQLCAFITGWNLILSYVIAMASV
+ARTWSYIFDNLIGNHISQVLQESFSLNMPYFLARYPDFFALGLVLLVTGLQVLGVRELTL
+VNKVFTGISILVLSFIILSGFIKGDLHNWKLTEQDYILNTSGSTDTSRLEGFAPLGCGGF
+VPFDFEGILHGGATCFYAFLGFDFIATRGGEALNPQWSIPISIMITLFICFLAYFGVSVA
+LTLMVPYYQIHHDSPVPQAFLHVGWDPARYVVAVGTLCALTSSCLGTMFTTPPVICAMAE
+DRLLFWGLAQIHARTCTPIMAIMSAGNLAGVMALLFEFRDLVDFLSIGTLFYSLVAFSVL
+VLRRSHGDMMALSDVSSFMGGLKILTSLSSGSSLFSSSLTLAVLLLTILSLLLAQWPRRV
+FSGDPILTTVAVLLLLLITGVTVIIWRQPQDPTALYFKAPALPVLPLVSIFVNVYLMMQM
+TSGTWAQFGIWNVIGFLIYFGYGIRHSLAENNHQ
+>tr|A0A3Q1ME64|A0A3Q1ME64_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=SPINLW1 PE=4 SV=1
+MESSVLLSLLVLSLLLVNVQGPALTDWFFPQRCPRIQGNCEFKERDECSKNKKCPKHEKC
+CFFSCGRKCLNLQQDICSMPKEAGPCMALFHRWWYDKTNNSCSSFIYGGCRGNNNNFQSQ
+AVCQSACSAKGESQGPQSLILARATLRGGVWVLLGPYQNSCILDRPSEDQELTSHDQQVR
+MSLAEGGTMACWETEVGRGCGCGSKLASIRSGRGCRHSEVSETNFREITGHPA
+>tr|G3N1R8|G3N1R8_BOVIN MFS domain-containing protein OS=Bos taurus OX=9913 GN=LOC519202 PE=4 SV=2
+MAFNDILLQLGGVGRFQKIQVILLTLPLLLTFLHNTLQNFTAAIPTHHCRPPADTNLSED
+GDLEAWLPRDGQGRPESCLLFTSPQRGPPFPNGTETNGTGATEPCPHGWIYDNSTFPSTI
+VTEWDLVCTRKTLLQLSQSIFMAGILVGSLMSGIMADRLGRLKVLTWSYLLLVVSGTCTA
+FALNFSAYCICQFLSGMAVSGASSSSMILSLEWLPIHARPSLGLLLGFVPSLGQLFLAGM
+AYTLPHWRHLQLLVSLPLFITFVYSRFFVESALWHSSLGNLDLTLKALQRVAQINGKQKE
+GAKLSVELLQMSLQKGQAQPSMLQMLRSPALRQLFFCIVPLWSILLFSLYGMVMSLQSFE
+INIYLAQVFFMIVDPPFYFLGFLATKSLGRKRTQTASLLLSGVFIVTCAMIPLDHIFLRI
+TMAVIGKGCLIGSINCLFMYVGELYPTVMRQRGVNVTNCLANIGSILSPLVGMTSDLYPS
+LPLFIYGGVLVAACPITAPLPETRGLPLPNTLALGPWKEKSGQKQQEQQMVPLQPPEN
+>tr|F1ML16|F1ML16_BOVIN HIC ZBTB transcriptional repressor 1 OS=Bos taurus OX=9913 GN=HIC1 PE=4 SV=3
+KMSMDVHLPWRLSKYVHRNIQLKTPISVGLAGPGLGTPGGHIWKAESENSLHPRCAGRRP
+WLCRAKYRTAARWRHSCSSARRPGPLQIRVCGKRGGAETRPGRGEDGPAGQTDRGPGGRR
+AAQRSHVPPWIRRQPGPGLPTCPPGECAGQTMLDTMEAPGHSRQLLLQLNNQRTKGFLCD
+VIIVVQNALFRAHKNVLAASSAYLKSLVVHDNLLNLDHDMVSPAVFRLVLDFIYTGRLAD
+GAEAAAAAAVAPGAEPSLGAVLAAASYLQIPDLVALCKKRLKRHGKYCHLRGGGGGGGGY
+APYGRPGRGLRAATPVIQACYSSPAGPPPPPTAEPPSGPEAAVNTHCAELYASGPGPATA
+LCAPERRCSPLCGLDLSKKSPPGSAPPERPPGERELPPRPDSPPSAGPAAYKEPPLTLPP
+LPPLPFQKLEEAGPPPDPFRGGGGSPGSEPPGRPDGPSLLYRWMKHEPGLGSYGDELGRE
+RGSPSERCEERGGDPSASPGGPPLGLAPPPRYAGSLDGPGAGGDGDDYKSSSEETGSSED
+PSPPGGHLEGYPCPHLAYGEPESFGDNLYVCIPCGKGFPSSEQLNAHVEAHVEEEEALYG
+RAEAAEVAAGAAGLGPPFGGGGDKVAGAPGGLGELLRPYRCASCDKSYKDPATLRQHEKT
+HWLTRPYPCTICGKKFTQRGTMTRHMRSHLGLKPFACDACGMRFTRQYRLTEHMRIHSGE
+KPYECQVCGGKFAQQRNLISHMKMHAVGGAAGAAGALAGLGGLPGVPGPDGKGKLDFPEG
+VFAVARLTAEQLSLKQQDKAAAAELLAQTTHFLHDPKVALESLYPLAKFTAELGLSPDKA
+AEVLSQGAHLAAGPDGRTIDRFSPT
+>tr|A0A3Q1MKL6|A0A3Q1MKL6_BOVIN Suppressor of tumorigenicity 7 protein OS=Bos taurus OX=9913 GN=ST7 PE=4 SV=1
+MAEAGTGFLEQLKSCIVWSWTYLWTVWFFIVLFLVYILRVPLKINDNLSTVSMFLNTLTP
+KFYVALTGTSSLISGLILIFEWWYFRKYGTSFIEQVSVSHLRPLLGGVDNNSSNNSNSSN
+GDSDSNRQSVSECKVWRNPLNLFRGAEYNRYTWVTGREPLTYYDMNLSAQDHQTFFTCDS
+DHLRPADAIMQKAWRERNPQARISAAHEALEINECATAYILLAEEEATTIAEAEKLFKQA
+LKAGDGCYRRSQQLQHHGSQYEAQHRRDTNVLVYIKRRLAMCARRLGRTREAVKMMRDLM
+KEFPLLSMFNIHENLLEALLELQAYADVQAVLAKYDDISLPKSATICYTAALLKARAVSD
+KFSPEAASRRGLSTAEMNAVEAIHRAVEFNPHVPKYLLEMKSLILPPEHILKRGDSEAIA
+YAFFHLAHWKRVEGALNLLHCTWEGTFRMIPYPLEKGHLFYPYPICTETADRELLPSFHE
+VSVYPKKELPFFILFTAGLCSFTAMLALLTHQFPELMGVFAKADPMFAEKVLGGYVWKGE
+FWLVCGGVGDLGLLGDGTNEKFGKWQLNSGRMF
+>tr|A0A3Q1LSY3|A0A3Q1LSY3_BOVIN Myocardin OS=Bos taurus OX=9913 GN=MYOCD PE=4 SV=1
+MTLLGSEHSLLIRTKFRSVLQLRLQQRRTQEQLANQGIVPPLKSPPEFHEQRKRLDSDKA
+EDTLKRKARSRSDRAAVVKMHILQASTAERSVPTAQMKLKRARLADDLNEKIALRPGPLE
+LVEKNILPVDSAVKEAIKGNQVTFSKSADAFAFEEDSSSDGLSPDQTRGEDLQGSAGSPP
+DTKAMETPLAGPRGTVQDSENERSDPAPHPGNQPDPGKQGLGSLGTPITGHTAVKSKSLS
+DSKNRHKKPKDPKPKVKKLKYHQYIPPDQKAEKSPPPMDSAYARLLQQQQLFLQLQILSQ
+QQQHRFSYPGLHAAPPKEPNEQMARNPNASSAPLSNTPLSPAKNSFSGQTGVSSLKPGPL
+PSNLDDLKVSELRQQLRIRGLPVSGTKTALMDRLRPFQDCSGNPVPNFGDITTVTFPVTP
+SNALPSYQSSPSTGALSNGFYHFGSTSSSPPISPASSDLSVAGSLPDTFNDASPSFGLHP
+SPVPACAEETLMGSLNGGSMLPELDGLDSEKDKMLVEKQKVINELTWKLQQEQRQVEELR
+LQLQKHKRSGCPDKKPPPFPAAPIKQEDAVSSCPFSSRQTAMKRQSTGSEGQPPPPREDT
+QLLPLGSTHCAEPSGQTNVLSSTFLSPQCSPQHSPLGAVKSPQHISLPPSPNNHYFLPPS
+SGAQGEEHRVSSPVSSQVCTAQQMTRSQQMDELLDVLIESGEMPADAREDHSCLHRVPKI
+PGSSRSPTGALPKSSAAFEPASAGGQLSFDHFGAESNEHLEVLLNSQSPLGKVSDTTLLK
+IGSEEPPFDGMMDGFSGKAAEDLFNAHEILPGPLSPMQTQFSPSSVDSSGLPLSFTESPW
+ESMEWLDLTPPSSTPSFSSLSTGGPSIFNIDFLDVTDLNLNSPMDLHLQQW
+>tr|A0A3Q1MWX0|A0A3Q1MWX0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MQEPPKNIEEFLKLQNLDHWPKEIHLWDSDKLLYTMKKIKEDSSFTSIYTHLWGNVLRVD
+DAAMAMESRLAECSILLKNHASEIFEWNRIISRTISHGKLKQYKAFLKKYHKEKKIMVWY
+LFSSCLKNYFTENGCDFPGFRTNELTQLPRHLNAERIYLFILKAHNFDGKVFKIWRTHFL
+SEASLALLHDCFWWWFLHKFKPDRENQACLFDRISESYVSLFMSIPLSRKDTFFQVYPDC
+LAQAIYATFQEAFPESSNLFNDEFKEDLGNNIFLWLSGLPPQKGFWTHWKLKELSTTTIH
+GSKKMPSKSIKDMIARNQEQISACKYTCVPHPESSSLLPPHTIPLGRTSALAPSIQYRAS
+NLDWQLVSYMIFYMFQCHSPKSSHPLPLPQSP
+>tr|A0A3Q1MJC4|A0A3Q1MJC4_BOVIN Gastrin-releasing peptide OS=Bos taurus OX=9913 GN=GRP PE=4 SV=1
+MRGREVPLVLLALVLCLAPRGWAAPVTAGRGGALAKMYTRGNHWAVGHLMGKKSVAESPQ
+LHEEESLKEQLREYAQWEEATRNLLSLLQAKGARGHQMPPWEPLSIHQPAWDSEDVSNFK
+DTGSRLKGTVNILGRWRSGEVGWGRGGRRMGKLEEGKFFCREEQSCSSWWLLQADAVHTQ
+RMRGQSPC
+>tr|F1MU56|F1MU56_BOVIN Matrix metallopeptidase 7 OS=Bos taurus OX=9913 GN=MMP7 PE=3 SV=2
+MPRKCEETAMRLVLLCAACLLPGSPALPLGPGPGGEGDPRWQLAQDYLKRFYSSDSKIKN
+ANSLEVRLKRMEGFFHLPITGILSPRIIEIMEKPRCGVPDVAEFSLFPNHPKWTSKVVTY
+RIMSYTSDLPHITVNQLVAKAFKIWSEAIPLTFKRLRWGTADIMIGFARRAHGDPYPFDG
+PGATLAHAFAPGPGLGGDAHFDEDERWTDGIGIGVNFLYVATHELGHSLGLSHSSDPNAV
+MYPTYSKEDSKNFKLSQDDINGIQLLYGKRNDSRKK
+>tr|E1BKK7|E1BKK7_BOVIN ULP_PROTEASE domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=3
+SLCEFFPGQYKMDPVVLSYMDSLLRQSDVSLLDPPSWLNDHVIGFAFEYFANSQFHDCSD
+HVCFISPEVTQFIKCTGNPAEIAMFLEPLDLPNKRVIFLAINDNSNHTAGGTHWSLLVYL
+QDKNGFFHYDSYGSSNSFHAKQVAEKLEAFLGRKGNKLAFVEEKAPAQQNSYDCGMYVIC
+NTEALCQNFFRQQPQSLLQLLTPTYITKKREEWKDLIARLAKN
+>tr|F6QBF9|F6QBF9_BOVIN RNA-binding protein 8A OS=Bos taurus OX=9913 GN=RBM8A PE=3 SV=1
+METLRAQRLQPGVGTSGRGTLRALRPGVTGAAAATATPPAGPPPAPPPPAPPPPPLLLSG
+AAGLPLPPGAAGSPVVLREAVEAVVRSFAKHTQGYGRVNVVEALQEFWQMKQSRGADLKN
+GALVVYEMVPANSPPYVCYVTLPGGSCFGSFQFCPTKAEARRSAAKIALMNSVFNEHPSR
+RITDEFIEKSVSEALASFNGNREEADNPNTGIGAFRFMLESNKGKSMLEFQELMTVFQLL
+HWNGSLKAMRERQCSRQEVLAHYSHRALDDDIRHQMALDWVSREQSVPGALSRELASTER
+ELDEARLAVSRGAKCLRGTDESWREGKMADVLDLHEAGGEDFAMDEDGDESIHKLKEKAK
+KRKGRGFGSEEGSRARMREDYDSVEQDGDEPGPQRSVEGWILFVTGVHEEATEEDIHDKF
+AEYGEIKNIHLNLDRRTGYLKGYTLVEYETYKEAQAAMEGLNGQDLMGQPISVDWCFVRG
+PPKGKRRGGRRRSRSPDRRRR
+>tr|A0A3Q1LUB7|A0A3Q1LUB7_BOVIN PDZ and LIM domain protein 7 OS=Bos taurus OX=9913 GN=DBN1 PE=1 SV=1
+MCEEHILSSPRSWGIKRQNSHLPAASFTPAQNSGACRSLEVSAEPTRGVLALDSRPFVGE
+GFRRLGLTTLLIPPDGGLQELSGHFENQRVMYGFCSVKDSQAALPKYVLINWVGEDVPDA
+RKCACASHVAKVAEFFQGVDVIVNASSVEDIDAGAIGQRLSNGLARLSSPVLHRLRLRED
+ENAEPVGTTYQKTDAAVEMKRINREQFWEQAKKEEELRKEEERKKALDERLRFEQERMEQ
+ERQEQEERERRYREREQQIEEHRRKQQTLEAEEAKRRLKEQSIFGDQRDEEEETQMKKSE
+SEVEEAAAIIAQRPDNPREFFKQQERVASASAGSCDVPSPFNHRPGSHLDSHRRMIPTPL
+PARSPSDSSTASTPVAEQIERALDEVTSSQPPPLPPPPPAAQETQEPSLSLDSEETSKEA
+RAAAPQARAGPLEESPQASEPPEGQGSPMEEDLMFVASTEQADLAASLEPAMAGASAADS
+PVADAIETDTAVADTAVANTVTPAAASLIDLWPGNGEGASAPQAEPRAPTPPSGAEVMLA
+EVPLLDEVAQEPLLPAGEGSANLLSFDELPEPPATFCDPGEEVGGEPLAAPQAPTLPSAL
+EELDQKLEPELEPEPHLLTNGETTQKEGTQASEGYFSQSQEEEFVQSEELCAKAPPPVFY
+NKPPEIDITCWDADPVPEEEEGFEGGD
+>tr|A0A3Q1M5T9|A0A3Q1M5T9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+ACIHSPHGPPGAGTVQFLMASEWTTTMWLSGLFSLLFCLFCLFYNEYSPSLVIFLASCCH
+ILEKGT
+>tr|F1MLL5|F1MLL5_BOVIN FTS and Hook-interacting protein OS=Bos taurus OX=9913 GN=FAM160A2 PE=4 SV=2
+XLTWQLQWDELGDGVEERRAEQLKLFEMLVSEARQPLLRHGPVREALLILLDACGRPVPS
+SPALDEGLVLLLSQLCVCLAREPSLLEFFLQPPPEPGAAPRLLLFSRLVPFVHREGTLGQ
+QARDALLLLMALSAGSPTVGCYIADHSYFCPVLATGLSALYSSLPRKIEVPGDDWHCLRR
+EDWLGVPALALFMSSLEFCNAVIQVAHPLVQKQLVDYIHNGFLVPVMGPALHKTSVEEMI
+ASTAYLELFLRSISEPALLRTFLRFLLLHRHDTHTILDTLVARIGSNSRLCMVSLSLFRT
+LLNLSCEDVLLQLVLRYLVPCNHVMLSQKPAVRDVDLYGRAADKFLSLIPRCCRHHASSP
+SRPEHASWARGPGSPSVDSSSVVTVHRPSTPSRLALFLRQQSLGGSESPAPAPHSPGLAT
+SPASSPGRRPSPVEEPGELEDNYLEYLREARRGVDRCVQACRTWSAPYDGERPPPEPSPV
+GSRTKKRSLLPEEGRDNAGGGEEEELGSGGLAGGARESLGHLPPPQLNGLPGPWPEGAKK
+VRRVPKEGAGEPLEDTSEGMAGLEGFGQELRELEVALSNGGAGSEPPLEPSLPLEEEEAY
+ESFTCSPEPPGPFLSSPLRTLNQLPSQPFTGPFMAVLFAKLENMLQNSVYVNFLLTGLVA
+QLACHPQPLLRSFLLNTNMVFQPSVKSLLQVLGSVKNKIESFAASQEDFPALLSKAKKYL
+IARGKLDWTEGPAAGPAPRRSDSLVKSRRPSLGELLLRHAHSPTRARQAAQMVLQPGRDG
+GADVGAGGG
+>tr|E1BML0|E1BML0_BOVIN PAN2-PAN3 deadenylation complex catalytic subunit PAN2 OS=Bos taurus OX=9913 GN=PAN2 PE=3 SV=2
+MNFEGLDPGLAEYAPAMHSALDPVLDAHLNPSLLQNVELDPEGVALEALPVQESVHIMEG
+VYSELHSVVAEVGVPVSVSHFDLHEEMLWVGSHGGHATSFFGPTLERYSSFQVNGSDDIR
+QIQSLENGILFLTKNNLKYMARGGLIIFDYLLDESEDMHSLLLTDSSTLLIGGLQNHILE
+IDLNTVQETQKYTVETPGVTIMRQTNRFFFCGHTSGKVSLRDLRTFKVEHEFDAFSGSLS
+DFDVHGNLLATCGFSSRLTGLACDRFLKVYDLRMMRAITPLQVHVDPAFLRFIPTYTSRL
+AIISQSGQCQFCEPTGLANPADIFHVNPVGPLLMTFDVSASKQALAFGDSEGCVHLWTDS
+PEPSFNPYSRETEFALPCLVDSLPPLDWSQDLLPLSLIPVPLTTDTLLSDWPAANSAPAP
+RRAPPVDAEILRTMKKVGFIGYAPNPRTRLRNQIPYRLKESDSEFDSFSQVTESPIGREE
+EPHLHMVSKKYRKVTIKYSKLGLEDFDFKHYNKTLFAGLEPHIPNAYCNCMIQVLYFLEP
+VRCLIQNHLCQKEFCLACELGFLFHMLDLSRGDPCQGSNFLRAFRTIPEASALGLILADS
+DEASGKGNLARLIQRWNRFILTQLHQDLQELEVPQAYRGAGGSSFCSSGDSVIGQLFSCE
+MENCSLCRCGSETVRASSTLLFTLSYPEDKTGKNCDFAQVLKRSICLEQNTQAWCDNCEK
+YQPTIQTRNIRHLPDILVINCEVNSLKEADFWRMQAEVAFKMAIKKHSGEISKNKEFALA
+DWKELGSPEGMLMCPSIEELKNVWLPFSIQMKMTKNKGLDVCNWTDGDEMQWGPARAEEE
+HGVYVYDLMATVVHILDSRTGGSLVAHIKVGETYHQRKEGVTHQQWYLFNDFLIEPIDKH
+EAVQFDMNWKVPAILYYIKRNLNSKYNLNIKNPIEASVLLAEASLARKQRKTHTTFIPLM
+LNEMPQVGDLVGLDAEFVTLNEEEAELRSDGTKSTIKPSQMSVARITCVRGQGPNEGIPF
+IDDYISTQEQVVDYLTQYSGIKPGDLDAKISSKHLTTLKSTYLKLRFLIDIGVKFVGHGL
+QKDFRVINLMVPKDQVLDTVYLFHMPRKRMISLRFLAWYFLDLKIQGETHDSIEDARTAL
+QLYRKYLELSKNGTEPESFHKVLKSLYEKGRKMDWKVPEPEGQTSPKNAAVFSSVLAL
+>tr|A0A3Q1LU74|A0A3Q1LU74_BOVIN NLR family pyrin domain containing 6 OS=Bos taurus OX=9913 GN=NLRP6 PE=4 SV=1
+MEPRAAAARELLLGALEDLSQEQLKRFRHKLRDERVDGRSIPWGRLERADTLDLVEQLVH
+FYGPERALDVAKKTLKRADVRDVAARLKERRLQSLGPSSPALLSVSEYKKKYREHVLRQH
+AKVKERDARSVKINKRFTKLLIAEEPEAERARRSDTHTFNRLFGRDEEGERRLTVALQGP
+AGIGKTMAARKILYDWAAGKLYHSQVDFAFFLSCRELLERPGTCSLADLILDQCPDREAP
+VQQMLAQSERLLFILDGVDEMLAPEPAEAAPCRDPHEAASGARVLGSLLSKELLPAARLL
+VTRRGATPGRLQARLCSPQCAEVCGFSDKDRKKYFYKFFQDEWKAEHAYRSVKENEMLFS
+LCFVPGVCWIVCTVLRQQLQRGQDLWRTSKTTTAVYLLFVASVLRSAPAADAAQLQRELR
+KLCRLACDGVLGGRARFSEEDLERLQLCGSKVQTQFLSKKEMPGVLETEVTYQFIDQSFQ
+EFLAALSYLLEDEGAPRAPAGSIEALLQGDPELRGHLNLTTRFLFGLLNTERMCEIKRHF
+GCTVSERVKQRVLRWVQDQGQGRPRAAPEGTEEILAPQDTEESEEEEGEQLNYPLELLYC
+LHETQEDAFVHQALRGLPELVLERVHFSRMDLAVLSYCVRCCPAGQALRLVSCRLATAQE
+KKKKSLMKRLQGSLGGSSSRATTRKSPGSPLRPLCEAMTDRQCGLNSLTLSRCKLPDSVC
+RDLSEALREAPALAELGLFHNGLSEAGLRVLSEGLASPQCRVQTLRLTSVELSEQALQEL
+QAVETANPRLVITHPALDAHPKPPTVPISAL
+>tr|A0A3Q1MG47|A0A3Q1MG47_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MRESHSRHCSGLSSEPSSQSGSPSHCHRLGTHWPLLHTKSDSAHVFFTTEFFSSLPSVQS
+SSPSHFHSSVIHRPFVHWNWLASHLAFLPKRARPHSPAPVAPLSRGAQHSNSSSQSAQRA
+DVPMVPAWMVPPPPPPPPPLAPHNKLPALRKGGRRWGRGGRRGRGRRGRSSKGGEREQGR
+RGHPLLYNLHDLRPPSPANPPAYPPPRAAAAVRAEPAGRK
+>tr|F1MT45|F1MT45_BOVIN Histone H2A OS=Bos taurus OX=9913 GN=H2AFZ PE=3 SV=2
+MLKTKFPVGRIHRHLKSRTTSHGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRI
+TPRHLQLAIRGDEELDSLIKATIAGGGVIPHIHKSLIGKKGQQKTV
+>tr|F1MRE7|F1MRE7_BOVIN Guanylyl cyclase domain containing 1 OS=Bos taurus OX=9913 GN=GUCD1 PE=4 SV=3
+MTGPRCRRKQRPSGRPGPRGTRAPQHPTPDAGWTARRAAGAAGAEARGRDLPPQRKPAKP
+TAPGKGRPERGECPRRADRGGGDPEERAGGRPAWGPGAGRGGAWGRSRLPPLLPPGRWCW
+RPGKPARAGRALSSPVDARAAAGAGPGPWEPRAARVMRTEVDAEAAGPPLEPGDFVQLPV
+PIIQQLYHWDCGLACSRMVLRYLGQLDDAEFEQALQELRLTRSIWTIDLAYLMRRFGVRH
+RFCTQTLGVDKGYRSQSFYRKHFDTEETRVNQLFAQAKTCKVLVEKCRVSVQDIQAHLAQ
+GHVAIVLVNSGVLRCDLCSSPPKYCCFTPSGPRCFCRSPDYQGHFIVLRGYSRAAGCVFY
+NNPAYADRMCSASISNFEEARTSYGTDEDILFVYADS
+>tr|F1MQD6|F1MQD6_BOVIN Round spermatid basic protein 1 like OS=Bos taurus OX=9913 GN=RSBN1L PE=4 SV=3
+MAEPPSLMQGTPAAVSEKESFGKLQAPSRDPPGPLSAKKIRTEEKKAPRRLNGEGASSGN
+SRQLQSPAAPSPQSYGSPGSWSFAALSAAPSPSSARSNFSFSAGTAVPSSVSASSSQPVP
+RKLLVPPTLLHAQPHHLLVPAAAASASAKPRRPKEKREKERRRHGLVHERKLYNVLKYLF
+CLLDKIKDKIKERDKEKEREKKKHKVMNEIKKENGEVKILLKSGKEKPKTSVEDLQIKKV
+KKKKKKKHKENEKRKRPKMYSKSIQTICSGLLSDVEDQEARGILNDTIKDYSGKSLDTKN
+YDSKIPENSEFPFVSLKEPRVQNNLRRLDTLRFKQLIHIEHQPNGGASVIHAYSNELSHL
+SPVEMERFAEEFVDLVFSENENSAAFYVMGIVHGAATYLPDFLDYFSFNFPNSPVKMEIL
+GKKDIETTTMSNFHAQVKRTYSHGTYRAGPMRQISLVGAVDEEVGDYFPEFLDMLEDSPF
+LKCTLPWGTLSSLKLESRKDSDDGPIMWVRPGEQMIPVADMPKSPFKRKRTTNEIKNLQY
+LPRTSEPREMLFEDRTRAHADHIGQGFERQTTAAVGVLKAVHCGEWPDQPRITKDVICFH
+AEDFLEVVQRMQLDLHEPPLSQCVQWVDDAKLNQLRREGIRYARIQLYDNDIYFIPRNVV
+HQFKTVSAVCSLAWHVRLKLYHSEEDPAQNTVTHETGTSPDSTSSVLGPHTDSTICAVSK
+TSLDSIFSDKLHSKYELQQVKHEPVASVRIKEEPVNVYIPEKTGAPNNMDSKNVKAKLDH
+VQFTEFKVEVDSKFENSNKDLKEELCPGSLISLVDTRQHSSTRSNQERKDDDILC
+>tr|A0A3Q1LKU6|A0A3Q1LKU6_BOVIN NACHT, LRR and PYD domains-containing protein 5 OS=Bos taurus OX=9913 GN=NLRP5 PE=4 SV=1
+MREAKIAPLSNYGLQWCFEQLGKEEFQTFKALLKEHASESAACSFPLVQVDRADAESLAS
+LLHEHCRASLAWKTSTDIFEKMSLSALSEMARDEMKKYLLAEISEDSAPTKTDQGPSMKE
+VPGPREDPQDSRDYRIHVMTTFSTRLDTPQRFEEFASECPDAHALSGAFNPDSSGGFRPL
+TVVLHGPPGVGKSSLARRLLLFWAQGDLYKGLFSYVFLLRARDLQGSRETSFAELISKEW
+PDAPVPVEKVLSQPERLLIVVDGLEELELTFRDQDSSLLADWAERQPAPVLAHSLLKKVL
+LPECALLLTVQDAGLQRLQALLRSPRYLWVGGLSVENRMQLLLGGGKHCRRKTCAWHAGA
+DHQEVLDKCQVPVVCALVREALELQGEPGKGLPVPGHTLTGLYATFVFQRLAPKDAGWRA
+LSGEERGALKGLCRLAADGVWNAKFVFDGDDLGVHGLQGPELSALQQASILLPDGHCGRG
+HAFSHLSLQEFFAALFYVLRGVEGDGEGYPLFPQSTKSLTELRHIDLNVQLVQMKRFLFG
+LVSKEVMRALETLLGCPVAPVAKQQLLHWICLLGQHPAAAASPDLLEAFYCLFETQDDEF
+VRLALNGFQEVWLQLNRPMDLTVSSFCLRRCQHLQKVRLDVRGTPKDEFAEAWFGAPQGL
+KIKTLDEHWEDLCSVLSTHPNLRQLDLSGSVLSKEAMKTLCVKLRQPACKIQNLIFKGAR
+VAPGLRHLWMTLIINRNITRLDLTGCRLREEDVRTACEALRHPQCALESLRLDRCGLTPA
+SCREISQVLATSGSLKSLSLTGNKVVDQGVKSLCDALKVTPCTLQKLILGSCGLTAATCQ
+DLASALIENQGLTHLSLSGDELGSKGMSLLCRAVKLSSCGLQKLALNACSLDVAGCGFLA
+FALMGNRHLTHLSLSMNPLEDPGMNLLCEVMMEPSCPLRDLEEGTASYLVNCRLTACGLT
+SEGCKALSAALTCSRHLASLNLMRNDLGPRGMTTLCSAFMHPTSNLQTIGLWKEQYPARV
+RRLLEQVQRLKPHVVISDAWYTEEEEDGPCWRI
+>tr|F6PV65|F6PV65_BOVIN Protein yippee-like OS=Bos taurus OX=9913 GN=YPEL1 PE=3 SV=1
+MFRRFFCWRAQQSCSSSRASPELSQECPAMVKMTKSKTFQAYLPNCHRTYSCVHCRAHLA
+NHDELISKSFQGSQGRAYLFNSVVNVGCGPAEERVLLTGLHAVADIYCENCKTTLGWKYE
+HAFESSQKYKEGKFIIELAHMIKDNGWE
+>tr|A0A3Q1LJ23|A0A3Q1LJ23_BOVIN DLG associated protein 4 OS=Bos taurus OX=9913 GN=DLGAP4 PE=4 SV=1
+MKSWGRQTGSSCLVAYKKTPPPVPPRTTSKPFISVTVQSSTESAQDTYLDSQDHKSEVTS
+QSGLSNSSDSLDSSTRPPSVTRGGVTPAPEAPEPPPKHAALKSEQGTLTSSESHPEAIPK
+RKLSSIGIQVDCIQPVPNEEPSPATKFQSIGVQVEDDWRSSAPSHSMSSRRDTDSDTQDA
+NDSSCKSSEKSLPDCTPHPNSISIDAGPRQAPKIAQIKRNLSYGDNSDPALEASSLPPPD
+PWLETSSSSPAEPAQPGACRRDGYWFLKLLQAETERLEGWCCQMDKETKENNLSEEVLGK
+VLSAVGSAQLLMSQKFQQFRGLCEQNLNPDANPRPTAQDLAGFWDLLQLSIEDISMKFDE
+LYHLKANSWQLVETPEKRKEEKKAPPPVPKKPAKSKPAVSRDKASDAGDRQRQEARKRLL
+AAKRAASVRQNSATESADSIEIYVPEAQTRL
+>tr|F1MJR1|F1MJR1_BOVIN URB2 ribosome biogenesis homolog OS=Bos taurus OX=9913 GN=URB2 PE=4 SV=1
+MAAVYSGISVKLKSKTTSWEDKLKLAHFAWISHQCLLPNKEQVLLDWARQSLVAFYKKKL
+ELKEDIVERLWIYVDNILHSRKLQNLLKNGKTVNLQISLIKIINERIAEFSLLGSQRNIC
+VVLSCCQGILSTPALAVIYTARQELIVDLLSQLCWLACRQPGAIIPQLFEVIHLSLSHYL
+SLQQQQVNPRRAFGEVTGHLLQPCLVLRHYLLGGTWTQAGQGQLRPALGRDIRNQIEAVL
+QGGAFQPELLPSYKEELLDQQQGDSKIGAMKNLLAPLGTMIARLVDAGYCDPSLQASVVA
+NSVALLYKLFVESYLKEGNQLLCFKVLPQLFGCLRISHLEEQRQALSMSDWTTELLVVEQ
+LLNSVASNTIYNIAADRIRHGEAQFHFYRHLAELLLKHPQALVPAWFRCLKVLLSLNHLI
+LEPDLDDLLASAWIDAEVTELRTQKAQEALIHTLFQTYAKLRQVPRLFEEVLGVLCRPAA
+EALRLPLLTAGPAAVLQECLPELPLSQVLDTWALVQERFQSLVLSGLRGDEDMALKSLSL
+SSLLCCVMVNARSLDAGSPLPVVRRMRQAMDGMLQGLVKPLLDLLRKPWPSTPELWQQKV
+GDSALLLAHTWAQVDTVLRLSCSPYRSEPGTLAGATPEPSGPLPLLPGVEAKHWEEIEEF
+TVQSDSLSRYCLEQLHLQRMKNTLLQASFQSEEALCALRRDAAYILGSGRASVTRGMSAS
+WDGQVGTVSVLTYPIAHWHLVMSNIMILISYLGPDDLQYLASILLRTLPVSKAREGLVEE
+EPDITLGKISQAVLHSPLFPEMQSLHSAFFLGLTARCGSILCASAQRETALLRQQLPWLF
+EEDYMVMAHWESRFAKVGAEGVEPREEIAQNILSLVRGDSPIQLEGEQLESILQLLGVLS
+ALQLDSLLAPYHVHCFLLLLSVAVTTPGSSCSSSLTLRVLRTCYQLLGCLQRGKSAQAVL
+RVMYVSDIFEVTLTSLFRASRRLLVSVNDPSWLEFLQVVGTFLEQLLQMLIQRKLSLGLN
+FGKILAFLSGHQLHNEVTSGQRSEDQVPLGQQLMLVSLSKVCQVLGPLVKEQKQQDEAPE
+VLPKLLKEVVLQTGALLQHCMAPGAQGHLPPAVISSLGSLLEADVALRSRQNWTDVSKGT
+DKALSACTTLYQTIYAQILLELPALVGDPPSFQAAVQFLTLFFLVPELHPQKDSVCTSVF
+HSVRKVLADPAIPVQIVEEIEPHLGALFTQMLEAGTTEDFRMWLQCILQGLDVSNLWRAD
+LQAVLSAATLVKLLLNCPCSEEKASLFWRTCPQMVTALMLQTREACQEQPSALALVGPVL
+DVLAALLRQGEGAISNPHHVSLAFSILLTVPLDHLKPLEYGHIFSRAHNVLFSILQCHPK
+VTLKTIPSFLNCFHRLVRSIIHEGRQKDKGSAEDLPVVLDCARLVERMYSHMAARAEEFR
+VFSPFMVAQYVVEAQKVTLYPAVKVLLQEGIYLILDLCIEPDIQFLRASLQLGVRDVFKE
+LHSDYVKHHKSKREGEKRYAV
+>tr|A0A3Q1N2B3|A0A3Q1N2B3_BOVIN Fibronectin type III domain containing 5 OS=Bos taurus OX=9913 GN=FNDC5 PE=4 SV=1
+MRRWICTSQQGCSDSDASPPPDSPSAPVNVTVRHLKANSAVVSWDVLEDEVVIGFAISQQ
+KKDVRMLRFIQEVNTTTRSCALWDLEEDTEYIVHVQAISIQGQSPASEPVLFKTPREAEK
+MASKNKDEVTMKEMGRNQQLRTGEVLIIVVVLFMWAGVIALFCRQYDIIKDNEPNNNKEK
+TKSASETSTPEHQGGGLLRSKI
+>tr|F1MMD4|F1MMD4_BOVIN Matrix metallopeptidase 11 OS=Bos taurus OX=9913 GN=MMP11 PE=3 SV=2
+MARAAGLRGAASRALLLPLLLLLLLPPPPLLLARAPRPPDAPRRHPVSRGPQPWPDAPPD
+SDAPALATQEALPLAGRPRPPRCGVPDLPDGPSARNRQKRFVLSGGRWEKTDLTYRILRF
+PWQLLREQVRQTVAEALQVWSDVTPLTFTEVHEGRADIVIDFTRYWHGDNLPFDGPGGIL
+AHAFFPKTHREGDVHFDYDETWTIGDNQGTDLLQVAAHEFGHVLGLQHTTAAKALMSPFY
+TFRYPLSLSPDDRRGIQQLYGRPQLAPTSRPPDLGPGTGADTNEIAPLEPDAPPDACQVS
+FDAAATIRGELFFFKAGFVWRLRGGRLQPGYPALASRHWQGLPSPVDAAFEDAQGHIWFF
+QGAQYWVYDGEKPVLGPAPLSELGLQGSPIHAALVWGSEKNKIYFFRSGDYWRFQPSARR
+VDSPVPRRVTDWRGVPSEIDAAFQDAEGFAYFLRGRLYWKFDPVKVKALEGFPRLVGPDF
+FSCTEAANTFR
+>tr|F1MDX5|F1MDX5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=3 SV=2
+MPPKLDPNEIKVVYLRCTGGEVGAMSVLAPKIGPLGLSPKKVGDDIAKATGGWKGLRITV
+KLTIQNRQAQIEVVPSASTLIIKALKEPPRDRKKQKNIKHSGNITFDEIVNIAWQMQHRS
+LARELSGTIKEILGTAQSVGCNVDGRHPYDIIDGINSGAVECPAS
+>tr|A0A3Q1NMW3|A0A3Q1NMW3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=GRIA4 PE=4 SV=1
+ISSLTWPRNRGAWKAKSGTLGVPTPRVEPPAKAGRREAGAVKGKNLSPLAAERRRAWGAP
+RHSPQCLSERSLGRVREKERAPGRGEKKMRIICRQIVLLFSGFWGLAMGAFPSSVQIGGL
+FIRNTDQEYTAFRLAIFLHNTSPNASEAPFNLVPHVDNIETANSFAVTNACK
+>tr|E1BH77|E1BH77_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC783202 PE=4 SV=1
+MSVLTPLLLRGLTGPARRLPVPRAQIHSKPPREQLGTMDIAIGLTSCFLCFLLPSGWVLS
+HLENYKKRE
+>tr|A0A3Q1MQQ2|A0A3Q1MQQ2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MMRTPRPRQTQQVIQTTDEMYFITRKHTHPLHALQSTFLLSCSLVVLPLYRSSKDTLGCI
+MSSPLLLPFRLLL
+>tr|A0A3Q1MJG4|A0A3Q1MJG4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MCHKICTFFTFFPLYISYGLQLVHSENNHHHKSPFIFFKDCISAQVIHYSLKPCLCNLTS
+EMLAIKACTCNNEKEKSILYYYSIKCA
+>tr|A0A3Q1LKH4|A0A3Q1LKH4_BOVIN PH domain-containing protein OS=Bos taurus OX=9913 GN=PLEKHA6 PE=4 SV=1
+MDSTQEGAVYFINHNERRNTFLHPLTGQVPEENKKFDLKISALDMSNKAGGKRSAATDRD
+LTNHSMVSEVPPERPGVRAARPSRKAIAFGKRSHSMKRNPSAPVTKAGWLFKQASSGVKQ
+WNKRWFVLVDRCLFYYKDEKEESVLGSVPLLSFRVAAVQPSDNISRKHTFKAEHAGVRTY
+FFSAESPEEQEAWIQAMGEAARVQIPPAQKSAPPQASRHNHEKPDSENIPPGKHHHQLPH
+NSVPKPEPEAKTRGEGDGRGCEKAERRPERPDAKSEPLVKSNGVHAGPEPASEPGSPFPE
+GLRVPGGGERPAQPNGWQYSSPSRPGSTAFPPQDSESGGHRRSFPPRTNPDKIAQRKSSM
+NQLQQWVNLRRGIPPPEDLRSPSRFYPVSRRVPEYYSPYSSQFPDDYQYYPPGVRPDSIC
+SMPAYDRVSPPWALEDKRHSFRNGGGPAFQLREWKEPAGLGRPDGTVWIPSPSRQPVYYD
+ELDAASGSLRRLSLQPRSHSVPRSPGQGSYSRSRVYSPARSPRAHFERLPPRSEDIYADP
+AAYVMRRSISSPKYDYLGDRRPVPAGLFPYNCPPSPTVHDKMMSESEALISVVNRMVESS
+SPSAQLFMQVPPYPEVFQDSLHTYKLNEQDTDKLLGKLCEQNKAVREQERLVQQLRAEKE
+SLESALMGTHQELEMFGSQPAYPEKLLHKKESLQNQLINIRVELSQATTALANSTMEYES
+LEAEVSALHDELWEQLSLDLQNEVLNRQIQKEIWRIQDVMEGLRKNNPSRGTDTAKHRGG
+LGPSGTYSSNSPASPLSSASLTSPLSPFSLVSGSQGSPTKPGFSEEPGPPRPPLPKAYVP
+LESPPTVPPLPSESRFWPHPNSPSWHRGGETARGQPKASYEQSKRDPQQTSPLDTSRDIS
+LVSTRQEVEAEKQAALNKVGIVPPRTKSPTDEEVTPSRVVRRSANGLTNGLSSRQERPKS
+AVFPCEGKAKMSVEEQMDRMRRRQSGSARDKRRSLQLPPSPAPDPGARPAYKVVRRHRSI
+HEVDISNLEAALRAEEPGGQAYETPREEIARLRKMELEPQHYDVDINKELSTPDKVLIPE
+RYIDLEPDMPLSPEELKEKQKKVERIKTLIAKSSMQNVVPVGEGDFVDVPQDSESQLQEQ
+EKRIEISCALATEASRRGRMLSVQALAEANAAKLQRATF
+>tr|A0A3Q1LLU3|A0A3Q1LLU3_BOVIN ICAM_N domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MAPGAAGAARLALLALLWTLLPGPGGAGTSIHPSEAIIPRGGSLRVNCSISCDQKAILSL
+EAESTKKVVDRRNNWKVFELSDVQEDHMLLCISMCHSEVTVASMDLTVYCEWLGPGPGLG
+RLGGGGGQGSPSRLESLRNLVSGGRVSLTGRRPIFGKSDVIWTPSLNFIGVPFKYGQGFR
+TFLYAVSLGLFNKLRWS
+>tr|A0A3Q1ND55|A0A3Q1ND55_BOVIN 2-hydroxyacyl-CoA lyase 1 OS=Bos taurus OX=9913 GN=HACL1 PE=1 SV=1
+MSESNLAALSDGLEGQVSGARVIAQALKTQDVNYMFGIVGIPVTEIALAAQEVGIRYIGM
+RNEQAVSMDSEPSGSCLPLTPLILYAYQSQRPLIVIGGSSERSQETMGAFQEFPQVEACR
+LYSKFSARPSSIEAIPSIIEKAVRSSIYGRPGACYVDIPADFVSLQVNVSSVKYVECCMP
+PPISMAETSAVRMAASVIRNAKQPLVIIGKGAAYAHAEESIRKLVEQCKLPFLPTPMGKG
+VIRDNHPNCVAAARSRALQFADVIVLLGARLNWILHFGLPPRYQPDVKFIQVDICAEELG
+NNVRPAVTLLGDVNAVTKQLLEQFDKTPWQYPPESEWWKVLREKMKSNEALSKELASKKS
+LPMNYYTVFYHVQEQLPRECFVVSEGANTMDIGRTVLQNYLPRHRLDAGTFGTMGVGLGF
+AIAAAIVAKDRNPGQRVICVEGDSAFGFSGMEVETICRYNLPIVLLVVNNNGIYQGFDTD
+SWKEMLKFGDATTVAPPMCLLPHSHYEHVMTAFGGKGYFVQTPEELQKSLRQSLADTTNP
+SLINIMIEPQGTRKAQVKAIAKQKASLNPPPKVSTK
+>tr|E1BDL9|E1BDL9_BOVIN Apoptosis antagonizing transcription factor OS=Bos taurus OX=9913 GN=AATF PE=4 SV=2
+MTMAGPQSLALQLEQLLNPRPREADPEADPEEATAAKVIDRFDEGEDGEGDFQAVGSIRK
+LASASLLDTDKRYSGKTTSRKAWKEDHWEQTLSGSSDKEISDEDGSADGDSEGLGLEESD
+EEAMSAEDQEGGDEGDSSLAWKKRSGSHSQTSSGFSVQSISDFEKFTEGMDDLGSSEEEE
+EDEEESGMEEGESEEDSEDASEEDKAEARASEDDGVVMTFSEVRVSEEVEKGRAVKNQIA
+LWDQLLEGRIKLQKALLTTNQLPQPDVFPVFKDKGGPEFASALKNSHKALKALLRSLVDL
+QEELLFQYPDTRYLVDGTKPKAESEEEISSDDEELVEKKKPQRRAPTKRKLETEDYPSFM
+AKRFADFTVYRNRTLQKWHDKTKLASGKLGKGFGAFERSILTQIDHILMDKERLLRRTQT
+KRSVYRVLGKPEPAVQPVPESLPGQPEILPEAPANAHLKDLDEEIFDDDDFYHQLLRELI
+ERKTSSLDPNDQVAMGRQWLAIQKLRSKIHKKVDRKASKGRKLRFHVLSKLLSFMAPIDH
+TTMNDDARTELYRSLFGQLNPRDDSHGA
+>tr|E1B977|E1B977_BOVIN C-type lectin domain-containing protein OS=Bos taurus OX=9913 GN=LOC100848575 PE=4 SV=2
+MDEEPIIYSITTLNQDFQQRHTPKNIKHKLDPNELPLTKKKLKHQKPCKRQHKNIAEDVN
+DEVLSGDVLPLPWRLISKILGVLCLLLMAMAMVVAVVTANSSSKKSPLKIQQKENLCLGP
+QCHSCPENWVWFRCSCYYFSKGMLTWIESQSACSSLNSSLIKINKEEMHFFSLKSFFWIG
+VYYNETGRHWLWENDSLLPYDMFSLPTPVLRHNCLSYKSREVYLSESCEIKQTYICFTYD
+IMDFTHYTSFKEMMRKHCLVSCLF
+>tr|J9JH89|J9JH89_BOVIN RING-type domain-containing protein OS=Bos taurus OX=9913 GN=MGRN1 PE=4 SV=1
+MGSILSRRIAGVEDIDIQANSAYRYPPKSGNYFASHFFMGGEKFDTPHPEGYLFGENMDL
+NFLGNRPVQFPYVTPAPHEPVKTLRSLVNIRKDSLRLVRYKDGADSPTEDGEKPRVLYSL
+EFTFDADARVAITVYCQAVEEFLNGTAAYSPKSPALQSETVHYKRGVSQQFSLPSFKIDF
+SEWKDDELNFDLDRGVFPVVIQAVVDEGDVVEVTGHAHVLLAAFEKHVDGSFSVKPLKQK
+QIVDRVSYLLQEIYGIENKNNQETKPSDEENSDNSNECVVCLSDLRDTLILPCRHLCLCN
+SCADTLRYQASNCPICRLPFRALLQIRAVRKKPGALSPISFSPVLAQSMDHDEHSERFPR
+STRAHSADSIPPGYEPISLLEALNGLRAVPPAVPPAVPTAPLYEEITYSGVSDGLSQASC
+PLAGIDRMVESSLQKGKPRNKSPDSTLRSPSSPIHEEDDSEALPAPGGAGLALSSSPESF
+MTETVDETSSLKQGSRVPSIENVLQDGSPEPCGRSQPGAPADVYLPGWSTSMETPRSLHI
+AGHPQPPLGGPSPDPRAAELTPL
+>tr|A0A3Q1MI70|A0A3Q1MI70_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC782190 PE=3 SV=1
+RIMSPHRNGNLSVMPLQEFVLDGFGGGPQTQALLSALFLVLYLVDVLGNFTMIVVITLDA
+RLHSPVYFFLKNLSFLDLCYSSVIYPKTLTCRVITLGGCVTQFIFISLLLTTEGFLLAVM
+AYDRFMAICRLDPGTLRYPITMCPLVCARLMLGCYCGGCLNSILQTILHSITFSLPFCSS
+NHIDHFLYDVPPLLKLACADTTINELVLFSICGLIIVGTTLMVLTSYGYITVTILRMRSG
+GGRHKLFSTCGSHMTAVSLFYGTLLVMYAQPGAVESMEQGKVVSIFYTLVVLMLNPLIYS
+LRNKEVKDGLRRLGQKHTAT
+>tr|A0A3Q1MR99|A0A3Q1MR99_BOVIN Rho GTPase activating protein 31 OS=Bos taurus OX=9913 GN=ARHGAP31 PE=4 SV=1
+MKNKGAKQKLKRKGAASAFGCDLTEYLESSGQDVPYVLKSCAEFIETHGIVDGIYRLSGV
+TSNIQRLRQEFGSDQCPDLTREVYLQDIHCVGSLCKLYFRELPNPLLTYELYEKFTEAVS
+HCPEEGQLARIQNVIQELPPSHYRTLEYLIRHLAHIASFSSKTNMHARNLALVWAPNLLR
+SKEIEATGCNGDAAFLAVRVQQVVIEFILNHVDQIFNNGTPGSLENDENRPIMKSLTLPA
+LSLPMKLVSLEEAQARSLATNHPARKERRENSLPEIVPPMGTLFHTVLELPDNKRKLSSK
+SKKWKSIFNLGRSGSDSKSKLSRNGSVFVRGQRLSVEKATIRPAKSMDSLCSVPVEGKET
+KGNFNRTVTTGGFFIPAAKMHSTSTGSSCDLSKQEGEWGQEGMPAGAEGGFDVGGDRSQP
+QGAQARGPPEQLKVFRPIEDPESEQTAPKMLGMFYTSNDSPSRSVFTSSLFQMEPSPRHQ
+RKALNISKPFAVSVPLRVSAVISTNSTPCRTPPKELQSLSSLEEFSFQGSDSGDWPEEEK
+PLSAETSTASVPKKAALENTKPGPDTVRTLECSKGLPLEPGTQVEEKKTSESPLGSQHSS
+ELEKKLDEEKVVEESGETSQVAASALQENPGARAEAVFLHEMDEDDLVNALIWSEIQQEL
+KIIESEEELSSLAPPALKISPTQPILESSPGPFASPEPPGSSTPAPVSAPLEEWTKNPTN
+QSTLGTSTAANREKLETAGILPRSEPDPEKGQRPDPASLTPLEIVPLEKSSPQTRMEVGA
+PGNLSPLLPPAAPPPTPLEEEPRVQLLKSGPEREDSSGNLRTNPYADQMKSKGSPEIPSL
+CQGDKASSEESEKQNSKNPAPESKGSSFPSPTREAEISPQGEEDVAHSVQEPSDCDDDDT
+VTDIAQHGLEMVEPWEEPQWVTSPLHSPTLKDVQETQMQGPQGHRLEKRLSHRPSLRQSH
+SLDGKTMVKSQWTLKGSSSSSCADLEAERNSNPLQPLAPRSEITGWDEKAKRSFQELSGL
+KRTEVPPKQKAPGGSQPASAESSPVGLAEGKELGPHVGPHNPRVEPGGDPEPDSSKENSP
+GVQDHTSPGEHPPKFQRKSSEGGAPKGKNRPSSLNLDSTIPIIDLFRFENAASFGSPEVH
+LSEPGDPKVTWLTSSHGKVDPWRVYSQDSQDLDMVAHALTGRRNSAPVSVSAVRTSFMVK
+MCQARAVPVIPPKIQYTQIPQPLPSQSSEESGAQPLERNQEEAGSTGGTSQKLTKDDSLS
+SLESPREEKPKQDTGAIESLPVDTTASHVCEGPTLPPEPASSGVLCVDVIMK
+>tr|F1N5A9|F1N5A9_BOVIN Transmembrane protein 260 OS=Bos taurus OX=9913 GN=TMEM260 PE=4 SV=3
+MATAGARLGGQAARVGARGAGGLCGGVAVFAAVAAVFTLTLPPSLPGGDSGELITAAHEL
+GVAHPPGYPLFTLVAKLAILLFPFGSVAYRVNLLCGLFGAAAAALLFFTVFRLSGSYAGG
+ILAAGVFSFSRLTWQWSIAAEVFSLNNLFVGLLMALTVHFEEAATAQERSKIAKIGALCC
+GLSLCNQHTIVLYVLCIIPWILFRLLKEKELSPGSLLKLSLCFSAGLLPYIYLPVSSYLN
+QARWTWGDQTTLLGFLTHFLREEYGTFSLAKSEIGSSMSEILLSQVTNMRTQLSFNIQAL
+AVWANICLTRKDRQSPSLVWLFTGMFCIYSLFFAWRANLDISKPLFMGVVERFWMQSNAV
+VAVLAGIGLAALVSESNRVLNTNGLQYLEWLSAILFVTCQIYSNYSICDQRTNYVIDKFA
+KNLLSSMPRDAIILLRGDLPGNSLRYMHYCEGLRPDISLVDQEMMTYEWYLPKMAKHLPG
+VKFPGNRWNPVEGILSSGMVTFNLYHFLEVNKQKETFVCIGIHEGDPTWKKNYSLWPWGS
+CDKLVSSEIVFNPEEWIKLTRNIYNWTESYGRFDPSSWESVANEEMWQARMKTPFFIFNL
+AESASLPSNVKAQLYTHAYNLYKEIVYLRKEHPVNWHKNYAISCERMLRLQERSADPEVL
+LSETIRHFRLYTRKAQNDPQLADISVALKHLRKELRSLRNMKNG
+>tr|G3N381|G3N381_BOVIN ADAM metallopeptidase with thrombospondin type 1 motif 17 OS=Bos taurus OX=9913 GN=ADAMTS17 PE=4 SV=2
+MEFQIWGQTEHQSGVSPSGPGSRGFTRLPCATWCPIPATAQKPRVRKCLQPNYALWVRSV
+PANRRGEGGAGESGSPIVARLPRRRRGLRFTTLGLSAALITFSEGWGASLRLAPPPRALL
+LRSGRAGGACSRGPCVTAPCCPPWPYPCCCCCSGDWTRAQVAPRSRRPLARASRGHPPPA
+LPGGLRLLAPPRRGGRPLTVAGSRGRGPRREDSAPGGRRGSGSPGRRVPGGARAAASGVA
+RGPGGGAGRRGGARARDGTRAPSRDRAGAGCPALAPASGRRAGGRAPPRRRPRAPPAAPR
+ARLGERALLLHLPAFGRDLYLQLRRDLRFLSGGFEVEEAGAGGRHGRSAELCFYSGSVLG
+HPGSLVSLSACGAAGGLVQLLPPPGLPARIHRRTKKPRKGRQSRDGRQRRNAIRLTSEHT
+VETLVVADADMVQYHGAEAAQRFILTVMNMVYNMFQHQSLGIKVNIQVTKLVLLRQRPAK
+LSIGHHGERSLESFCHWQNEEYGGARYLGNNQVPGGKDDTPPVDAADLACSEEDVFCVSA
+AGIAYLGGVCSAKRKCVLAEDNGLNLAFTIAHELGHNLGMNHDDDHSSCAGRSHIMSGEW
+VKGRSPSDLSWSSCSRDDLENFLKSKVSTCLLVTDPRSRHAVRLPHKLPGMHYSANEQCQ
+ILFGTNATFCRNMEQHLMCAGLWCLVEGDTSCKTKLDPPLDGTECGADKWCRAGECVSKT
+PIPEHVDGDWSPWGAWSMCSRTCGTGARFRQRKCDNPPPGPGGTHCLGASVEHTVCENLP
+CPKGLPSFRDQQCQTHDRLSSKKKGLLTAVVVDDKPCELYCSPLGKESPLLVADRVLDGT
+PCGPYETDLCVHGRCQKIGCDGIIGSAAKEDRCGVCSGDGKTCRVVKGDFSHARGTGYIE
+AAIIPAGARRIRVVEDKPAHSFLALKDSSKRSINSDWKIELPGEFQIAGTTVRYVRRGLW
+EKISAKGPTKMPLHLMVLLFHDQNYGIHYEYTIPVNYTAENQSEPEKPQDSLFIWTHSGW
+EGCSVQCGGGERRSIVSCTRIVNKTTTLVNDSDCPQASRPEPQVRRCNLHPCQSRWVAGP
+WSPCSATCEKGIQHREVTCVYQLQNGTHVATRPLYCPVPRPSPVQSCEGQDCLSIWEASE
+WSQCSASCGKGTQKRTVTCTNSQGKCDASTRPRAEEPCEDYSGCYEWKTGSWSKCSSTCG
+KGLQSRVVQCMHKVTGRHGNECPALSKPAAYKQCHQEVCNDKINVNTITSPRLAALTYKC
+TRDQWTVYCRVIREKNLCQDMRWYQRCCQTCRDFYANKMRQPPPPPSS
+>tr|A0A3Q1LU39|A0A3Q1LU39_BOVIN Endoplasmic reticulum membrane sensor NFE2L1 OS=Bos taurus OX=9913 GN=NFE2L1 PE=3 SV=1
+MLSLKKYLTEGLLQFTILLSLIGVRVDVDTYLTSQLPPLREIILGPSSAYTQTQFHNLRN
+TLDGYGIHPKSIDLDNYFTARRLLNQVRALDRFQVPTTEVNAWLVHRDPEGSVSGSQPSS
+GLALESSSGLQDVTGPDNGVRESETEQGFSEDLEDLGAVAPPVSGDLTKEDIDLIDILWR
+QDIDLGAGREIFDYSHRQKEQDVDKELRDGAEQEDTWPGEGAEALARNLLVDGETGESFP
+AQVPGGEDQTALSLEECLRLLEATCPFGENAEFPADISSITEAVPSESEPPGLQNNLLSP
+LLTGTESPFDLEQQWQDLMSIMEMQAMEVNTSTSEVLYNAPPGDPLSTNYSLAPNTPINQ
+NVSLHQASLGGCSQDFSLFSPEVESLPVASSSTLLPLVPSNSTSLNSTFGSTNLAGLFFP
+PQLNGTANDTAGPELPDPLGGLLDEAMLDEISLMDLAIEEGFNPVQASQLEEEFDSDSGL
+SLDSSHSPSSLSSSEGSSSSSSSSSSSSSSSASSSASSSFSEEGAVGYSSDSETLDLEEA
+EGAVGYQPEYSKFCRMSYQDPSQLSCLPYLEHVGHNHTYNMAPSALDSADLPPPSTLKKG
+SKEKQADFLDKQMSRDEHRARAMKIPFTNDKIINLPVEEFNELLSKYQLSEAQLSLIRDI
+RRRGKNKMAAQNCRKRKLDTILNLERDVEDLQRDKARLLREKVEFLRSLRQMKQKVQSLY
+QEVFGRLRDENGRPYSPSQYALQYAGDGSVLLIPRTLADQQARRQERKPKDRRK
+>tr|A0A3Q1MFT3|A0A3Q1MFT3_BOVIN Tetraspanin OS=Bos taurus OX=9913 GN=TSPAN14 PE=3 SV=1
+MWVTPQAGGGALISLFSFPEVLKLPSQTSDALCLHSAPNPRLCVGGCGLGWSPNRSHFYL
+LPVKFRTCLCGDLDLLAEAQDLPLYPPWSQDRADPASQKMHYYRYSNAEVSCWYKYLLFS
+YNIVFWLAGVVFLGVGLWAWSEKGVLSDLTKVTRMHGIDPVVLVLMVGVVMFTLGFAGCV
+GALRENICLLNFFCSTIVLIFFLELAVAVLAFLFQDWVRDRFREFFESNIRSYRDDIDLQ
+NLIDSLQKANQCCGAYGPEDWDLNVYFNCSGASYSREKCGVPFSCCVPDPAQKVVNTQCG
+YDVRTQLKSKWDESIFTKGCIQALESWLPRNIYIVAGVFIAISLLQVCSHCPCGFLPAYN
+HTCPLESDFTRGKTLVARASPRGQG
+>tr|A0A3Q1NJ66|A0A3Q1NJ66_BOVIN Pulmonary surfactant-associated protein A OS=Bos taurus OX=9913 GN=SFTPA1 PE=4 SV=1
+MMLAVSKNSLGLSRVTVYTNPALRPQHVLNLDKAEQGETLINASEGPWPFPSAGGAAGAG
+AMLLCSLTLTLLWMVASGLECDVKEVCLGSPGIPGTPGSHGLPGRDGRDGIKGDPGPPGP
+MGPPGGMPGLPGRDGMTGAPGLPGERGEKGEPGERGPPGFPAYLDEELQGTLHEIRHQVL
+QSQGVLRLQGSVLAVGEKVFSTNGQSVNFDAIKELCARVGGHIAAPRSPEENEAIVSIVK
+KYNTYAYLGLVEGPTAGDFYYLDGAPVNYTNWYPGEPRGRGKEKCVEIYTDGQWNDKNCL
+QYRLAICEF
+>tr|A0A3Q1M9D5|A0A3Q1M9D5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=RIPPLY3 PE=4 SV=1
+MRPEAAAGAPEERGRVCHCVGDGPRGPPAPRGPESQTPWRPWTLTPQEAEPTRTGSELEP
+AGDQETFGSKGAFGFQHPVRLYLPISKRQEYLQSSGEKVLASFPVQATIHFYNDESESEE
+ELEEDTQLSALQREEVADGSERKARDGAANPARRPGGLDGKGPPPDADSPEAAACPSCV
+>tr|A0A3Q1NC33|A0A3Q1NC33_BOVIN Transcription elongation regulator 1 OS=Bos taurus OX=9913 GN=TCERG1 PE=4 SV=1
+MAERGGDGGDGERFNPGELRMAQQQALRFRGPAPPPNAVMRGPPPLMRPPPPFGMMRGPP
+PPPRPPFGRPPFDPNMPPMPPPGGIPPPMGPPHLQRPPFMPPPMGTMPPPPGMMFPPGMP
+PVTAPGTPALPPTEEIWVENKTPDGKVYYYNARTRESAWTKPDGVKVIQQSELTPMLAAQ
+AQVQAQAQAQAQAQAQAQAQAQAQAQVQAQVQVQAQAVGASTPTTSSPAPAVSTSASSST
+PSSTTSTTTTASSVSQTVSKFPVFLIEEARKMHVKQLENSVQSYTAKLEDIRIQENGETT
+PTTQDQTPSSAVSVATPTVSVSTPAPTATPVQTVPQPHPQTLPPAVPHSVPQPAAAIPAF
+PPVMVPPFRVPLPGMPIPLPGVAMMQIVSCPYVKTVATTKTGVLPGMAPPIVPMIHPQVA
+IAASPATLAGATAVSEWTEYKTADGKTYYYNNRTLESTWEKPQELKEKEKLEEKIKEPIK
+EPSEEPLPMETEEEDPKEEPIKEIKEEPKEEEMTEEEKAAQKAKPVATTPIPGTPWCVVW
+TGDERVFFYNPTTRLSMWDRPDDLIGRADVDKIIQEPPHKKGMEEVKKLRHPTPTMLSIQ
+KWQFSMSAIKEEQELMEEMNEDEPVKAKKRKRMSKKSFMWIARASLFRRDDNKDIDSEKE
+AAMEAEIKAARERAIVPLEARMKQFKDMLLERGVSAFSTWEKELHKIVFDPRYLLLNPKE
+RKQVFDQYVKTRAEEERREKKNKIMQAKEDFKKMMEEAKFNPRVTFSEFAAKHAKDSRFK
+AIEKMKDREALFNEFVAAARKKEKEDSKTRGEKIKSDFFELLSNHHLDSQSRWSKVKDKV
+ESDPRYKAVDSSSMREDLFKQYIEKIAKNLDSEKEKELERQARIEASLREREREVQKARS
+EQTKEIDREREQHKREEAIQNFKALLSDMVRSSDVSWSDTRRTLRKDHRWESGSLLEREE
+KEKLFNEHIEALTKKKREHFRQLLDETSAITLTSTWKEVKKIIKEDPRCIKFSSSDRKKQ
+REFEEYIRDKYITAKADFRTLLKETKFITYRSKKLIQESDQHLKDVEKILQNDKRYLVLD
+CVPEERRKLIVAYVDDLDRRGPPPPPTASEPTRRSTK
+>tr|A0A3Q1LUD2|A0A3Q1LUD2_BOVIN SPRY domain-containing protein 7 OS=Bos taurus OX=9913 GN=SPRYD7 PE=4 SV=1
+MRTCEECLRRFPQRVQWRKKNLCKRRKATLETHESREEEKGTDVVIVKNGRRICGTGGCL
+ASAPLHQNKSYFEFKIQSTGIWGIGVATQKVNLNQIPLGRDVHSLVMRNDGALYHNNEEK
+NRLPANSLPQEGDVVGITYDHVELNVYLNGKNMHCPASGIRGTVYPVVYVDDSAILDCQF
+SEFYHTPPPGFEKILFEQQIF
+>tr|A0A3Q1MDX0|A0A3Q1MDX0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=DNM3 PE=3 SV=1
+MGNREMEELIPLVNRLQDAFSALGQSCLLELPQIAVVGGQSAGKSSVLENFVGRDFLPRG
+SGIVTRRPLVLQLITSKAEYAEFLHCKGKKFTDFDEVRHEIEAETDRVTGMNKGISSIPI
+NLRVYSPHVLNLTLIDLPGITKVPVGDQPPDIEYQIREMIMQFITRENCLILAVTPANTD
+LANSDALKLAKEVDPQGLRTIGVITKLDLMDEGTDARDVLENKLLPLRRGYVGVVNRSQK
+DIDGKKDIKAAMLAERKFFLSHPAYRHIADRMGTPHLQKVLNQQLTNHIRDTLPNFRNKL
+QGQLLSIEHEVEAYKNFKPEDPTRKTKALLQMVQQFAVDFEKRIEGSGDQVDTLELSGGA
+KINRIFHERFPFEIVKMEFNEKELRREISYAIKNIHGIRTGLFTPDMAFEAIVKKQIVKL
+KGPSLKSVDLVIQELINTVKKCTKKLANFPRLCEETERIVANHIREREGKTKDQVLLLID
+IQVSYINTNHEDFIGFANAQQRSSQVHKKNTIGNQVIRKGWLTISNIGIMKGGSKGYWFV
+LTAESLSWYKDDEEKEKKYMLPLDNLKVRDVEKSFMSSKHIFALFNTEQRNVYKDYRFLE
+LACDSQEDVDSWKASLLRAGVYPDKSLTENDENGQAENFSMDPQLERQVETIRNLVDSYM
+SIINKCIRDLIPKTIMHLMINNVKDFINSELLAQLYSSEDQNTLMEESVEQAQRRDEMLR
+MYQALKEALVVIGDINTATTFTPAPPPVDDSWIQHSRRSPPPSPTTQRRPTLSAPLPRPT
+SGRGPAPAIPSPGPHSGAPPVPFRPGPLPPFPNSSDSFGAPPQVPSRPTRAPPSVPRPPC
+STH
+>tr|A0A3Q1LWL4|A0A3Q1LWL4_BOVIN Tubulin polymerization-promoting protein OS=Bos taurus OX=9913 GN=TPPP PE=4 SV=1
+MLPPWLGLGPWGQVDRVPGPEGLGCVCSRRRTLCPPWLRSRFWAGLLSVGARGSHTATHK
+AGDLSSLPPSSMADSRPKPANKTPPKSPGEPAKDKAAKRLSLEAEGAGEGAAAAGAELSA
+LEEAFRKFAVHGDARASGREMHGKNWSKLCRDCQVIDGRSVTVTDVDIVFSKIKGKSCRT
+ITFEQFKEALEELAKKRFKDKSAEEAVREVHKLIEGKAPIISGVTVSAPGRQAGQVWVPT
+RVARLWSGAQADLPHVPAVLQKAISSPTVSRLTDTSKFTGSHKERFDPSGRGKGRAGRVD
+LVDESGYVPGYKHAGTYDQKVQGGK
+>tr|F6RH18|F6RH18_BOVIN Beta-1,4-galactosyltransferase 4 OS=Bos taurus OX=9913 GN=B4GALT4 PE=4 SV=1
+MNFNVTFHLSYKFRLLLLFTLCLTVVGWATSNYFVGAIQEIPKAKDLVASLSKGVILGKK
+GTVTDEAFVEKARLDNCPSVSPHLKGQTKLVFKPDLTLEEVQAKNPKVHRGRYHPEECRA
+LQRVAILIPHRHREKHLLYLLEHLHPFLQRQQLDYGIYVIHQAGSKKFNRAKLLNVGYIE
+ALKDEIWDCFIFHDVDLVPENDLNLYRCEDQPRHLVVGRNSTGYRLRYSGYFGGVTALSR
+EQFFKVNGFSNNYWGWGGEDDDLRLRVELHRMKITRPLPEVGKYTMIFHKRDQGNEVNIG
+RMNLLHQVSRVWRTDGLSSCGYKLLSVNYNPLYVNITVDFWSDP
+>tr|A0A3Q1N558|A0A3Q1N558_BOVIN Tudor domain containing 9 OS=Bos taurus OX=9913 GN=TDRD9 PE=4 SV=1
+MLRKLTLDQINDWFTIGKMVTNVELLGSPPAFPAEAARDEAQRRDAAPSSDPEAEGQAES
+PAEAPAEAPVLAEAQAEAHHVMRIPETAYKYPELPISRCKEEVISLIESNSVVIIHGATG
+SGKSTQLPQYVLDHYLQRSAYCNIVVTQPRKIGASSIARWISRERGWVLGGLVGYQVGLE
+KIATEDTKLIYMTTGVLLQKIVSAKSLVEFTHVFIDEVHERTEEMDFLLLVVRKLLRTNS
+RFVKVVLMSATINCREFADYFAVPVQNKMSPAYIFEVEGKPFSIEEYYLNDLEHLSPHLL
+EEPVITRAVYEVLLIFVYIFHLWMFSFFYFFSRLQVYPLHSSVTLEEQNNVFLSPVPGYR
+KIILSTNIAESSVTVPDVKYVIDFCLTRTLVCDEDTNYQSLRLSWASKTSCEQRKGRAGR
+VSKGYCYRLVHKDFWDNSIPNHVIPEMLRCPLGSTILKVKLLDMGEPRALLATALSPPSL
+SDIERTILLLKEVGALAVSGRREDDNPHDGELTFLGRVLAQLPVSQQLGKLIVLGHVFGC
+LDECLIIAASLSLKNFFAMPFRQHLDGYRNKVNFAGSSRSDCIALVEAFRVSPAPKDELD
+WGRLNYIQIKRIREVAELYEELKTRVSQFNMYVDSRRPVLDQEYKYKQRFILQVVLAGAF
+YPNYFTFGQPDEEIATRELAGKDPKTTIVLKHIPPYGFLYHQQLQSLFRQCGQVKSIVFD
+GAKAFVEFSRNPTERFKTLPAVYMAIKMSQLKVSLELSVHSAEEIEAKVQGGAVSKLRNT
+RVNVDFQKKTVDPVQVSFNMVDQSRTITDLLLTVEVTEVVEVGHFWGYRTDEKNWEFLKS
+LAAEINRLTLVPLPVHPHPDQVCLAPFADSDKESYFRAQVLYVSGNSAEVCFSVTPPRST
+LGSVWAECLALPSALQALEFKICKMRPSARCLVCGERWSSGASQRFSSLVGGRTLLVKVF
+SMVHSVLHVDAYLPSGLQDTVSVRGILISQGYAEPAEEPYESKVCAARGRGGAGGRGGLS
+RSQDLKGLLHGPFNPYELKCHSLTRISKFRCVWIEKESINSVIISDSPEDTHQRLLVAAS
+LSVNTTGSTMLLRETSLMPHIPGLPALLSMLFAPVMELRVDQDGRCYTGVLCGLGCNPTT
+GAPVLPEHDMELAFDVQFSVEDIVEINILRAAVNKLVCDGPNGSKCLGPERIAQLQDNSR
+QKLLG
+>tr|A0A3Q1MRI6|A0A3Q1MRI6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=SORBS2 PE=4 SV=1
+MSVTLTSVKRVQSSPNLLAAGRDSQSPDSAWRCFNDRNQETLNGDATCSSLAAKGFRSVR
+PNLQEKKSPTQSQITVNGNSGGTVSPMSYYQRPFSPSAYSLPGSLNSSMIMQHGRSLDSS
+EAYPQHAQSLDGSVGSSIPLYRSSEEEKRVTVIKAPHYPGIGPVDESGIPTAIRTTVDRP
+KDWYKTMFKQIHMVHKPDDDTDMYNTPYTYNAGLYNSPYSTQSHPAAKTQTYRPLSKSHS
+DNGTNAFKDASSPVPPPPIPPPVPPFRARDRSSTEKHDWDPPDRKVDTRKFRSEPRSIFE
+YEPGKSSILQHERPPPKKALDYVQDHSPRVSNEASLYQSSIDRSLERPTSSASMASDFRK
+RRRSEPAVGQPRGLGDPSARSTSPGRADLPGSSTTLTKSFISSSPSSPSRAKDHESPRSY
+SATLTDLGRTTPRERRGTPEKEKLPAKAVYDFKAQTSKELSFKKGDTVYILRKIDQNWYE
+GEHHGRVGIFPISYVEKLTPPEKAQPARPPPPAQPGEIGEAVAKYNFSADTNVELSLRKG
+DRIILLKRVDQNWYEGKIPGTNRQGIFPVSYVEVVKKHTTKGAEDYPELPIPHSYSSDRI
+HSLSSNKPQRPVFTHENIQGGGEPFQALYNYTPRNEDELELRESDVIDVMEKCDDGWFVG
+TSRRTRFFGTFPGNYVKRL
+>tr|A0A3Q1MHC3|A0A3Q1MHC3_BOVIN Protein tweety homolog OS=Bos taurus OX=9913 GN=TTYH1 PE=3 SV=1
+MGAPPGYRPSAWVHLLHQLPRADFQLRPVPSAFAPQEREYQQALLLVAALAGLGLGLSLI
+FIAVYLIRFCCCRPPEPPGAKSPPPGGGCVTWNCIAALLVGCAGIGVGFYGNSETSDGVS
+QLSSALLHANHTLTAIDHLVLEMVERLDEAVRTELTTLEEVLTQRTELVAAARGARRQAE
+TVAQQLQGLAFWRGVPLSPLQVAEDVSFVEEYRWLAYVLLLLLELLVCLFTLLGLARQSK
+WLVIVMTVMSLLVLVLSWGSMGLEAATAVGLSDFCSSPDSYILNLTQEETGLGSDILNYY
+FLCNQAVSNPFQQRLTLSQRALANIHSQLQGLEREAVPQFPSAQKPVLSLEETLNVTEGN
+FHQLVALLHCRGLHKDYGSALRGLCEDTLEGLLFLLLFSLLSAGALATVLCSLPRAWALF
+PPRNPSASCSGSHQSEPFFQSRLEPGLHLCSFPGCRRRPH
+>tr|A0A3Q1LUF4|A0A3Q1LUF4_BOVIN GDP-mannose pyrophosphorylase A OS=Bos taurus OX=9913 GN=GMPPA PE=4 SV=1
+MLKAVILIGGPQKGTRFRPLSFEVPKPLFPVAGVPMIQHHIEACAQVPGMQEILLIGFYQ
+PDEPLTRFLEAAQQEFNLPIRYLQEFAPLGTGGGLYHFRDQILAGSPEAFFVLNADVCSD
+FPLSAMLDVHRHQPHPFLLLGTTANRTQSLNYGCIVENPQTHEVLHYVEKPSTFVSDIIN
+CGIYLFSPEALKPLRDVFQRNQQDGQLEDSSGLWPGAGTIRLEQDVFSALAGQGQIYVHL
+TDGIWSQIKSAGSALYASRLYLSQYQLTHPERLAKHTPGGPRIRGNVYIHPTAKVAPSAV
+LGPNVSIGEGVTIGEGVRLRESIVLHGATLQVGIQAHAAHVAPPEAKWRSAPHGLCIWPL
+TPFVLFVRSTRVFCTASWAGGAPWGAGPVWRVPPMTPIPTTPEPTWTVRACSRTGSCCLP
+SLY
+>tr|A0A3Q1LKL0|A0A3Q1LKL0_BOVIN Ribosomal_S10 domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MPTKTLRITTRKTPCGEGSKTWDRFQMRIHKRLIDLHSPSEIVKQITSISIEPGVEVEVT
+IADA
+>tr|A0A3Q1MQ99|A0A3Q1MQ99_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC530599 PE=4 SV=1
+PGPWTKQAPCPSASPSLAAQPERQRGGRVPLGSLLRPCPLQVPAPRTSAQEVPDMMPPPG
+CSGGNCHPPTGNLVIGRGQSLRTSSTCGLHGPELYCVVSKLQDSQNCCFCDSRDGKSHGI
+ENVVSRSDPDGRKTWWQAESGVENVTIQLDLEGAFYFTHLIMTFKTFRPAALLLERSVDH
+GHSWHVFRYFAHNCSGLFPGIPPAPGRRVSDLVCDQRYSDIEPATEGEVIFQVLDPSFLA
+ENTNNAEIQELLRVTNLRVNFSKLHTLGDRPLGGLRGHPFYYYALYELVIAGSCLCHGHA
+SECRPAPGSPPSVEGMVHGHCVCRHHTTGTHCERCQGLYQDHPWQAAEPGYPHTCQECKC
+HGHARSCHFDMALYLASGNVSGGVCDACQHNTAGRHCELCQPFFHRDPLEDPRSLHSCKP
+CDCNPMGALEGGLCDAYTDTTRGLLSGQCRCKVHVWGQRCDSCRPGHYGLSLTQSEGCQP
+CRCNVRGRVPSTQACDPSSGACHCKRFVSGRDCSRCLVRLEPPPTPPPPASDLTLKWGAE
+LLEAGKYQVVTSVSAD
+>tr|A0A3Q1M079|A0A3Q1M079_BOVIN Oviduct-specific glycoprotein OS=Bos taurus OX=9913 GN=OVGP1 PE=3 SV=1
+MNQRGEWLGGARLCRKHSWPRPWSPCRFTTMLSTFSNRERFVSSVIALLRTHGFDGLDLF
+FLYPGLRGSPARDRWTFVFLLEELLQAFKNEAQLTMRPRLLLSAAVSGDPHVVQKAYEAR
+LLGRLLDFISVLSYDLHGSWEKVTGHNSPLFSLPGDPKSSAYAMNYWRQLGVPPEKLLMG
+LPTYGRTFHLLKASQNELRAQAVGPASPGKYTKQAGFLAYYEICCFVRRAKKRWINDQYV
+PYAFKGKEWVGYDDAISFGYKAFFIKREHFGGAMVWTLDLDDFRGYFCGTGPFPLVHTLN
+NLLVNDEFSSTPSPKFWFSTAVNSSRIGPEMPTMTRDLTTGLGILPPGGEAVATETHRKS
+ETMTITPKGEIATPTRTPLSFGRHTAAPEGKTESPGEKPLTTVGHLAVSPGGIAVGPVHL
+QTGQKVTPPGRKAGVPEKVTTPSGKMTVTPDGRAETLERRL
+>tr|F1N2X0|F1N2X0_BOVIN Tachykinin receptor 3 OS=Bos taurus OX=9913 GN=TACR3 PE=3 SV=2
+MASLPVAANWTNGGTAGADIGNLSALEPGAAAGKAETEWLQLLVQAGNLSASFSPLGLAA
+ASPAPSQSRSNITNQFVQPSWRIALWSLAYGVVVAVAVFGNLIVIWIILAHKRMRTVTNY
+FLVNLAFSDASMAAFNTLVNFIYALHSEWYFGANYCRFQNFFPITAVFASIYSMTAISVD
+RYMAIIDPLKPRLSATATKIVIGGIWILAFLLALPQCLYSKTKVMPGRTLCYVQWPEGPK
+QHFTYHIIVIILVYCFPLLVMGITYTIVGITLWGGEIPGDTCDKYHEQLKAKRKVVKMMI
+IVVVTFAICWLPYHIYFILTAIYQQLNRWKYIQQVYLASFWLAMSSTMYNPIIYCCLNKR
+FRAGFKRAFRWCPFIEVSSYDELELKTTRFHPTRQSSLYTVTRMESMTVVFDPSDADNTR
+SSRKKRVPPRDPSFNGCSRQNSKSGSTTSSFISSPYTSVDEYS
+>tr|A0A3Q1M0M3|A0A3Q1M0M3_BOVIN Glycosyltransferase-like domain-containing protein 1 OS=Bos taurus OX=9913 GN=GTDC1 PE=4 SV=1
+MSILILEAFYGGSHKQLVDLLQEELEDSVLYTLPAKKWHWRARTSALYFSQNIPTSEHYR
+ILFASSVLNLTELAALRPDLGKLKKILYFHENQLVYPVKKCQERDFQYGYNQILSCLVAD
+VVVFNSVFNMESFLTSIGKFMKLIPDHRPKDLESIIRPKCQVIYFPIRFPDVSRFMPKHK
+AAHLQKILSLKENGGNAPSTALPFQQQLRGSENLLKSFDSKSGPCDAAQQESLGCSVRQA
+SYLKTFNSSDDSSTHHGEHKQNRCDVLAEADDQQRPLHIVWPHRWEHDKDPESFFKVLMH
+LKDLGLNFHVSVLGETFTDVPDIFSESRKALGSSVLHWGYLPSKDDYFQVLCMADVVIST
+AKHEFFGVAMLEAVYCGCYPLCPKDLVYPEIFPAEYLYSTPEQLSKRLQNFCKRPDITRK
+HLYKTFSHCYIMSAAS
+>tr|F1MC21|F1MC21_BOVIN Triacylglycerol lipase OS=Bos taurus OX=9913 GN=PNLIPRP3 PE=3 SV=3
+MFGIWIPALLFFGKSPAAGEEVCYERVGCFKDGLPWTGTFSRRFAGLPWSPEKINTRFLL
+YTRQNPKVHQEVSAVNYLTIRASHFATHKITRINIPGWKSDGKWQQDMCNVLLKVEDVNC
+INLDWINGSLQYIHAVNNLRVVGAEVAYFIDVLVKKFGYSASKVHLIGHSLGAHLAGEAG
+SRTPGLGRITGLDPAGPCFHDTPNEVRLDPSDANFVDVIHTNAVRLFFELGVGTINACGH
+LDFYPNGGKHMPGCEDLITPLFKFDLNIYKEEVFSFFDCNHARSHRFYAESILNPDAFIA
+YPCRSYKSFKAGNCFHCPKEGCPTMGHFADRFHLKKMKPNRLYYFLNTGTVSPFARWRHK
+LSVKLDGNNVTQGSIFLRVGGTIGKTGEFEFVSGTLKPGMTYTDLIDADINVGNITSIEF
+IWKEYSFERSQSKLGAEMVIDISGKYGYQSAFCSQNIVGPNIAQILKPC
+>tr|A0A3Q1LRR1|A0A3Q1LRR1_BOVIN Myotubularin related protein 3 OS=Bos taurus OX=9913 GN=MTMR3 PE=4 SV=1
+MDEETRHSLECIQANQIFPRKQLIREDENLQVPFLELHGESTEYVGRAEDAIIALSNYRL
+HIKFKESLVNTASQSAASEIPIPLQLIESVECRDIFQLHLTCKDCKVIRCQFSTFEQCQE
+WLKRLNNAIRPPAKIEDLFSFAYHAWCMEVYASEKEQHGDLCRPGEHVTSRFKNEVERMG
+FDMNNAWRISNINEKYRLCGSYPQELIVPAWITDKELESVAGFRSWKRIPAVVYRHQSNG
+AVIARCGQPEVSWWGWRNADDEHLVQSVAKACASDSRSGGSKPSARNSPRDFPCAGDLSD
+VEFDSSLSNASGAESLAIQPQKLLILDARSYAAAVANRAKGGGCECPEYYPNCEVVFMGM
+ANIHSIRRSFQSLRLLCTQMPDPGNWLSALESTKWLHHLSVLLKSALLVVHAVDRDQRPV
+LAHCSDGWDRTPQIVALAKLLLDPYYRTIEGFQVLVEMEWLDFGHKFADRCGHGENSDDL
+NERCPVFLQWLDCVHQLQRQFPCSFEFNEAFLVKLVQHTYSCLFGTFLCNNAKERGEKHT
+QERTCSVWSLLRAGNKAFKNLLYSSQSEAVLYPVCHVRNLMLWSAVYLPCPSPSTPVDDS
+CAPYPAPGCSPDDPPLSRLPKTRSFDNLTTACDNTVPLASRRSSDPSLNEKWQEHRRSLE
+LSTLAGPGEEPVEPDSLGKPTKVLGGAELSVAAGVAEGQMENILQEATKEESGVEEPAHR
+GVQEVKEEALLEEGSRGKSPEGSARELDQQPEVGEASLRSHPGTSLSGLSQGVPEQGGHS
+VLPSSLQEPPREEGSQEVPMEPSQIGATAEDREEAVLPVSADVAVDSGTSQSSSLPSQVS
+FETRGPNMDGSVDMLMEDKVKSDSGPQGHHRPGPVHSGWLGGKDVLPPAVEPRPAERPQL
+GPVVHRTSPGSARSPAHSPSALPLAECKEGLVCNGAPETENKASEQPPGLSTLQKYPTPN
+GHCANGETGRSKDSLSRQLSATSCSSAHVHTRSLHPKWLHGHSGRPSAAGSPEQPSRSHL
+DDDGMPVYTDTIQQRLRQIESGHQQEVETLKKQVQELRSRLESQYLTSSLRFNGDFGDEV
+TSIPDSESNLDQNCLSRCSTEIFSEASWEQVDKQDTEMTRWLPDHLAAHCYACDSAFWLA
+SRKHHCRNCGNVFCSSCCNQKVPVPSQQLFEPSRVCKSCYSSLHPTSSSIDLELDKPIAA
+TSN
+>tr|A0A3Q1MWE5|A0A3Q1MWE5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+LSLGILQARILRNEVTCSLCVKYFIDLVTLGCGHSFCMPCLCLYWEEGQWPPRCPVCRET
+PHQLSLKTNIILKTRVFLARRTGPYDVPRSAEKMCELHGKTKNLFCEITKEVLCLVCCMS
+VEHGAHTHCSVEWTAEEYRQRLLKIMRSVWEKIQENKRNLNRETNKIRTWESYVTMWKKM
+NQTQYWKMFYLVYYGEKHRLEKIEEESKEIFQQLKESQYNMDLKRKLLRQIYEELKELCC
+KSDMELIQVRMESVQLHMPRPVVPQLSAWPIPGLIDWLNQFQVYVALDNERVTPCVPVFE
+DLRCLLAVPDGPGVNNSPPRSKYFLAWGAESFTSGQCYWEVDVAGCCNWAIGFCNDSWMR
+DSDMVLDSEGIFLLLCIRENSQCSFFTASPLSPQYVKRPLGRVGLFLDFDSGVVSFVNVA
+NSSLICSFLSCSFSSPLRPFLCSRTLLIKDNQIHF
+>tr|G3N0L7|G3N0L7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC112446022 PE=4 SV=2
+MRMTLSTLNWRRREMVRWLVTCATEVGVRALVSILQSWYTLFTPTEATSIVAATAVSHTT
+ILRLSLDYPQREELASCARTLALQCAMKDPQSCALSALTLCEKDHIAFEAAYQIAIDAAA
+GGMTHSQLFTIARYMELRGYPLRAFKLASLAMSHLNLAYNQDTHPAINDVLWACALSHSL
+GKNELAALIPLVVKSVHCATVLSDILRRCTVTAPGLAGIPGRRSSGKLMSTDKAPLRQLL
+DATINAYINTTHSRLTHISPRHYGEFIEFLSKARETFLLPQDGHLQFAQFIDNLKQIYKG
+KKKLMLLVRERFG
+>tr|A0A3Q1M994|A0A3Q1M994_BOVIN Polypyrimidine tract-binding protein 1 OS=Bos taurus OX=9913 GN=PTBP1 PE=1 SV=1
+MDGIVPDIAVGTKRGSDELFSACVTNGPFIMSGTSASTANGNDSKKFKGDSRSAGVPSRV
+IHIRKLPGDVTEGEVISLGLPFGKVTNLLMLKGKNQAFIEMHTEEAANTMVNYYTSVTPV
+LRGQPIYIQFSNHKELKTDSSPNQARAQAALQAVNSVQSGNLALAASAAAVDAGMAMAGQ
+SPVLRIIVENLFYPVTLDVLHQIFSKFGTVLKIITFTKNNQFQALLQYADPVSAQHAKLS
+LDGQNIYNACCTLRIDFSKLTSLNVKYNNDKSRDYTRPDLPSGDSQPSLDQTMAAAFGAP
+GIMSASPYAGAGFPPTFAIPQAAGLSVPNVHGALAPLAIPSAAAAAAAAGRIAIPGLAGA
+GNSVLLVSNLNPERVTPQSLFILFGVYGDVQRVKVLFNKKENALVQMADGSQAQLAMSHL
+NGHKLHGKPVRITLSKHQSVQLPREGQEDQGLTKDYGNSPLHRFKKPGSKNFQNIFPPSA
+TLHLSNIPPSISEDDLKILFSSNGGIVKGFKFFQKDRKMALIQMGSVEEAIQALIDLHNH
+DLGENHHLRVSFSKSTI
+>tr|F1N689|F1N689_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=TEX52 PE=4 SV=2
+PRKMASHLQRPPGGLDNPSRVRETFLKMVHAHETLPTPGTWAQREFLLPREPKELPGFTQ
+QAYYQLALKPPPYTEMKAKVRQRLTCPWKDTAQHTWGFHTWLDVGRLPATFPSRPDKPYD
+SNVWRWLTDSRAHHRPPAEPPVPPPSWLGQNSLLTFISCTPIFLDVNRRKQVIFRTMKEL
+QEVEKLKLRSEVRAPPLDTHGNILPPKASKKYRHFSAGGRYEPGGLQLMPNPLPNDLARS
+WPCPNPLPHYRERAARLALLPSAPLSQDLVRNYQTLLESRVALPLHCPSRARSGRTSVRR
+RPGHL
+>tr|F1MI51|F1MI51_BOVIN SUMO interacting motifs containing 1 OS=Bos taurus OX=9913 GN=SIMC1 PE=4 SV=3
+MEDFIVISDDSGSESSGGTRPSRARRLRRALSRTPGALPRRTVDFIDLTRETRPRAKDRS
+GLCVIDLTGSEEENRPIATLDLTLEPVAPSQKEPASLQACASLCSKETVEGWVDRSSRPA
+ARRVINSDPVDLDLLEETAFEGPQPPMSISGDSVYPVEPNCSSTIFKGDLSFLASLQPSS
+NIHSLPQTSNNSSSSNQRIPLPCPQQDVPYPPQALPCPLQALPCPLQALPCPPRASSCPP
+RALSCPSQTMQYQLQTLSRLPQEELCPPQDMAYPQNVPGPQQGMPSPPHGSSWHPQRSLS
+PPQHSLGPPQDAPAPPQKISYLEDVSHLQDVPQSLEDMPQTPQDMPQSSGDMPLSPVSIP
+QSPRDMPPSLVSMPQPPADVSQSPVNAPPSPGDASPSPGDVPPSPGDAPPSPASVPHSSR
+EVSCLSGDALRSPGDMSRSLVDMPPTVGQLAHLPKIRPASPQNDIQNHDTPMDVSAPSSP
+SSSPSPQSPEFETSLEKVPWLSVTENPARKERSLSEPVNPGCALIQTQIPQGGLYNRPCL
+HRLKYFLRPPVHHLFFQTLIPDKDTRENKGQKLEPIPHRRLRMVTNTIEENFPLGTVQFL
+MDFVSPQHYPPREIVAHIIQKILLSGSETLDVLKEAYMLLMKIQQLHPANAKTVEWDWKL
+LAYVMEEEGQNLPGRVFFLRYVVQTLEDDFQQILRKQRQHLQQAIASTVLSCDKQPHNVR
+DVIKWLIRAVTEDRLIQPPNENQTSPGKGILKTSSSHPSSQPNLTKNANQLIICQLQRML
+SIAVEVDRTPTCSSNKIAEMMFGFVLDIPERSQREMFFTTMESHLLRCKVLEIIFLHSCE
+TPTRLPLSLAQALYFLNHSTSLLKCQSDKTQWQKWDELVEHLQFLLSSYQHVLREHLRSS
+VIDRKDLIIKRIKPKPQQGDDISVVDVEKQIEAFRSRLVRMLGEPLVPQLQDKVHLLRLL
+LFFAADLNPDSEASP
+>tr|E1BP91|E1BP91_BOVIN Aminopeptidase OS=Bos taurus OX=9913 GN=NPEPPS PE=1 SV=2
+MWLAAAAPSLARRLLFLGPPPPPLLLLLLSRSSRRRRRLHSLGLAAMPEKRPFERLPADV
+SPINYSLCLKPDLLDFTFEGKLEASAQVRQATNQIVMNCADIDIITASYVPEGDEEIHAT
+GFNYQNEDEKVTLSFPSTLQPGTGTLKIDFVGELNDKMKGFYRSKYTTPSGEVRYAAVTQ
+FEATDARRAFPCWDEPAIKATFDISLVVPKDRVALSNMNVIDRKPYPDDENVVEVKFART
+PVMSTYLVAFVVGEYDFVETRSKDGVCVRVYTPVGKAEQGKFALEVAAKTLPFYKDYFNV
+PYPLPKIDLIAIADFAAGAMENWGLVTYRETALLIDPKNSCSSSRQWVALVVGHELAHQW
+FGNLVTMEWWTHLWLNEGFASWIEYLCVDHCFPEYDIWTQFVSADYTRAQELDALDNSHP
+IEVSVGHPSEVDEIFDAISYSKGASVIRMLHDYIGDKDFKKGMNMYLTKFQQKNAATEDL
+WESLENASGKPIAAVMNTWTKQMGFPLIYVEAEQVEDDRLLRLSQKKFCASGPYVGEDCP
+QWMVPITISTSEDSSHAKMKILMDKPEMNIVLKDVKPDQWVKLNLGTVGFYRTQYSSAML
+ESLLPGIRDLSLPPVDRLGLQNDLFSLARAGIISTVEVLKVMEAFVNEPNYTVWSDLSCN
+LGILSTLLSHTDFYEEIQEFVKDVFSPIGERLGWDPKPGEGHLDALLRGLVLGKLGKAGH
+KATLEEARRRFKDHVEGKQILSADLRSPVYLTVLKHGDGTTLDIMLKLHKQADMQEEKNR
+IERVLGATLSPELIQKVLTFALSEEVRPQDTVSVIGGVAGGSKHGRKAAWKFIKDNWEEL
+YNRYQGGFLISRLIKLSVEGFAVDKMAGEVKAFFESHPAPSAERTIQQCCENILLNAAWL
+KRDAESIHQYLLQRKASPPTA
+>tr|A0A3Q1LMK0|A0A3Q1LMK0_BOVIN AP complex subunit sigma OS=Bos taurus OX=9913 GN=AP1S3 PE=3 SV=1
+MIYLRQGKLRLQKWYTTLPDKERKKITREIVQIILSRGQRTSSFVDWKELKLVYKRYASL
+YFCCAVENQDNELLTLEIVHRYVELLDKYFGNVCELDIIFNFEKAYFILDEFIIGGEIQE
+TSKKSAVKAIEDSDMLQEVSTPFLMPWEKTVAAAMY
+>tr|A0A3Q1LM54|A0A3Q1LM54_BOVIN Histone-lysine N-methyltransferase OS=Bos taurus OX=9913 GN=KMT2D PE=4 SV=1
+MADTCFFSVHSADGPAASEESGATEPDLPKPHVGEVSVPSSGGPRLQEPPQDCSGGPVRR
+CALCNCGEPSLHGQRELRRFELPFDWPRCPVVSPGGNPGPSEGALPSEDLSQIGFPEGLT
+PAHLGEPGGSCWAHHWCAAWSAGVWGQEGPELCGVDKAIFSGISQRCSHCTRLGASIPCR
+SPGCSRLYHFPCATASGSFLSMKTLQLLCPEHSEGATHLEEARCAVCEGPGELCDLFFCT
+SCGHHYHGACLDTALTARKRAGWQCPECKVCQACRKPGNDSKMLVCETCDKGYHTFCLKP
+PMEELPAHSWKCKACRVCRACGAGSAELNPNSEWFENYSLCHRCHKAPGGQPVSSLAEQH
+PPVCSRFSPLEPGATPTDEPNSLYVACQGQPKGGHVTSMQPKEPGPLQCEAKPLGREGAQ
+LEPQLEAPLNEEMPLLPPPEESPLSPPPEDSPTSPPPEASRLSPPPEDSPLSPPPEESPL
+SPPPESPPFSSPEDSPPHPPLDTPLPPPPEASPLSPPLEESPLSPPPEELPTSPPPEASR
+LSPPPEESPMSPPPEESPMSPPPEASCLFPPFEESPLSPPPEESPLSPPPEASRLSPPPE
+DSPMSPPPEDLPMSPPPEVSRLSPPPEESPLSPPPEESPTSPPPEASRLSPPPEDSPTSP
+PPEDPPASPPPEDLLVSLPLEESPLLPLPEELRLCPRPEEPHLSPQPEKPRLSPAPQEPR
+LSPASQEPRLSPAPQEPCLSPAPEEPRLSPAPQQPCLSPAPEEPRLSPAPQQPHLSPVPQ
+QPHLSPAPEEPRLSPASKELRLSPAPQEPCLSPAPQELHLSPVPEEPHLSLASEEPRLSP
+QPEEPCLSPRPEEPRLSPRPEEPRLSPRPEEPRLTPRPEEPHLSPRPEEPIEEPSLCLAS
+EELPLLLPPREPPLSPVLGEPALSEPGEPPLSPLPEELPLSPSGEPSLSPQLMPPDPLPP
+PLSPIITTVAPPALSPLGELEYPFDAKGDSDPESPLAAPILETPISPPPEANCTDPEPVP
+PMILPPSPGSPMGPASPILMEPLPPRCSPLLQHSLPPSNSPPSQCSPALPLLVPSPLSPM
+DKAVEVSEEAEPQKMETEKAPEPECPALEPSPTSPLPSPLGNLSCPAPSPAPALDDFCGL
+GEDTAPLDGTDTPGSQPEAGQTPGSLASELKGSPVLLDSEELAPVTPMEVYGPECKQAGQ
+GSPCEEQEEPRAPVAPTPPILIKSDIVNEISNLSQGDASASFPGSEPLLGSPDPEGGGSL
+SMELGVSTDVSPARDEGSLRLCTDSLPETDDSLLCDAGTAIGGGKAEGDKGRRRSSPARS
+RIKQGRSSSFPGRRRPRGGAHGGRGRGRARLKSTTSSIETLVVADIDSSPSKEEEDDDDD
+TMQNTVVLFSNTDKFVLMQDMCVVCGSFGRGAEGHLLACSQCSQCYHPYCVNSKITKVML
+LKGWRCVECIVCEVCGQASDPSRLLLCDDCDISYHTYCLDPPLLTVPKGGWKCKWCVSCM
+QCGAASPGFHCEWQNSYTHCGPCASLVTCPICHAPYVEEDLLIQCRHCERWMHAGCESLF
+TEDDVEQAADEGFDCVSCQPYVVKPAAPVAPPELVPMKVKEPEPQYFRFEGVWLTETGMA
+VLRNLTMSPLHKRRQRRGRPGLPGEAGLEGAEPSEVLGPDDKKDGDLDTDELLKAEGSVE
+HMECEIKLEGPVSPDGEPGKEETEESKKRKRKPYRPGIGGFMVRQRKSHTRVKKGPAAQA
+EVLSGDGQPDEVLPADLPAEGSVDQGLADGDEKKKQQRRGRKKNKLEDMFPAYLQEAFFG
+KELLDLSRKALFAVGVGRPSFGLGTPKAKGDGGSERKELPTSQKGDDGPDVADEESRGPE
+GKADTPGEGCWEDWVPSWFCFCQPVLPLSTIKVGGCKVFQAFCGPCQSGHCGASTPTLAD
+GLFLAIAGPEDGGIKASPVPSDPEKPGTPGEGMLSSDLDRIPTEELPKMESKDLQQLFKD
+VLGSEREQHLGCGTPGLDGSRTPLQRPFLQGGLPLGNLPSNSPMDSYPGLCQSPFLDSRE
+RGGFFSPEPGEPDSPWTGSGGTTPSTPTTPTTEGEGDGLSYNQRSLQRWEKDEELGQLST
+ISPVLYANINFPSLKQDYPDWSSRCKQIMKLWRKVPAADKAPYLQKAKDNRAAHRINKVQ
+KQAESQINKQTKVGDMARKTDRPALHLRIPPQPGALGSPPPAAAPTIFIGSPTPPAGLST
+SADGFLKPPAGTVPGPDSPGELFLKLPPQVPAQVPSQDPFGLASAYALEPRFPTAPPTYP
+PYPSPTGAPAPPPTLGASSRPGTGQPGEFHTTPPGTPRHQPSTPDPFLKPRCPSLDNLAV
+PESPGGGGSKAAEPLLSPLPFGESRKALEVKKEELGGSSPSYGPPNLGFVDSPSSGPHLG
+GLELKAPDVFKAPLTPRASQVEPQSPGLGLRPQEPPPAQALAPSPPSHSDIFRPGPYPDP
+YAQPPLTPRPQPPPPESCCALPPRSLPSDPFSRVPASPQSQSSSQSPLTPRPLSAEAFCP
+SPVTPRFQSPDPYSRPPSRPQSRDPFAPLHKPPRPQPSEVAFKAGPLAHTPLGAGGFPAA
+LPSGPAGELHAKVPSVQPPNFARSPGTSAFVGAPSPMRFTFPQAVGEPPLKPPVPQPGLP
+PPHGINSHFGPGPTMGKPQSTNYAVAAGNFRPSGSPLGPSSGPAGEGYGPSPLRPPSVLP
+QPTPDGPLPCLPHGATQRAGITSPVEKREDPGAGTGSSLAAPELSGTQDPGMSGLSQTEL
+EKQRQRQRLRELLIRQQMQRNTLRQEKETAAAAGAVGPPGNWGAEPSSPAFEQLGRGQTP
+FAGTQDKSSLVGLPPSKLGGPILGPGAFPSDDRLSRPPPPATPSSMDVNSRQLVGGSQAF
+YQRAPYPGSLPLQQQQQQQLWQQQQQATAAASMRLTMSARFPSTPGPELGRQTLGSPLAG
+ISNRLPGPGEPVPGPAGPAQFIELRHNVQKGLGPGGPPFPGQGPPQRPRFYPVTEDSHRL
+APEGLRGLAVSGLPPQKPSAPPAPELNNSLHPTPHTKGPNLPTGLELVSRPPSSTELGRP
+PPLTLEAGKLPCEDPELDDDFDAHKALEDDEELAHLGLGVDVAKGDDELGTLENLETNDP
+HLDDLLNGDEFDLLAYTDPELDTGDKKDIFNEHLRLVESANEKAEREALLRGVEPGPFGP
+EERPPPAADASEPRLASGLPEVKPKVEEGGRHPSPCQFTITTPKAELAPVTTSLGLGVKP
+GQSVTGSRDTRMGTGPFSSSGHTAEKVPFGTTGGPPAHLLTPSPLSGPGGSSLLEKFELE
+SGALTLPGGHASGDELDKMESSLVASELPLLIEDLLEHEKKELQKKQQLSAQLQPVQQQQ
+PQQHSLLSTPGPGQAVSLPHEGSSPSLAGPQQQLALGLGGSRQPGLAQPLMPNQPPAHAL
+QQRLAPSMAMVSNQGHMLSGQHGGQAGLVPQQNPQPVLAQKPMGTVPPSMCMKPQQLAMQ
+QQLANSFFPDTDLDKFAAEDIIDPIAKAKMVALKGIKKVMAQGSIGVAPGMNRQQVSLLA
+QRLSGGPGSDLQNHVAAGSGQERGAGDSSQPRPNPPTFAQGVINEADQRQYEEWLFHTQQ
+LLQMQLKVLEEQIGVHRKSRKALCAKQRTAKKAGREFPEADAEKLKLVTEQQSKIQKQLD
+QVRKQQKEHTNLMAEYRNKQQQQQQQQQQQQQQHSAVLALSPSQSPRLLTKLPGQLLPGH
+GLQPPQGPPGGQAAGLRLTPGGMALPGQPGGPFLNTTLAQQQQQQHSGGAGALAGPSGGF
+FPGNLALRGLGPDSRLLQERQLQLQQQRMQLAQKLQQQQQQHLLGQVAIQQQQQQGPGVQ
+ANQALGPKPQGLLPPSSHQGLLVQQLSPQPPPGPQGMLGPAQVAVLQQQQPHPGALGPQG
+PHRQVLLTQPRVLSSPQMAQQGQGLMGHRLVTSQQQQQQQHQQQGSMAGLSHLQQGLMPH
+SGQPKVGAQPMAALQQQQLQQQQQQQQLQQHQQLQQQQQQLQQQQQQQQLQQQQQQLQQQ
+QQQQQLQQQQQQQQLQQQQQQQQQLQQQQQQQQLQQQQQQQQLQQQQQQQQLQQQQQQQL
+QQQQQQQQLQQQQQQLQQQQQQQQLQQQQQIGLLSQSRALLSPQQQQQQQQMTLGPGMPA
+KPLQHFSSPGALGPTLILTGKEQSIVETALPSEASEGSSTHQGGPLPMGTVPESVAPEPG
+EVKPSLSGDSQLLLVQPQAQPQPNSLQLQPPLRLPGQQQPPVNLLHTAGAGSHGQPGSGS
+SEASSVPHLLAQSSVSLGEQPGSVTQNLLSSQQPLGLERPMQNNIGPQPAKPGSVPQSGQ
+SLPGAGVMPTVGQLRAQLQGVLAKNPQLRHLSPQQQQQLHALLMQRQLQQSQAARQAPPY
+QEPGTQPSPLQGLLGRQPQLGGFPGSQTGPLQELGAGLRPQGPPRLPAPQGALSTGPVLG
+PVHPTPPPSSPQEPKRPSSQLPSPSSQLPSEAQLPTTQPGTPKPQGPPLELPPGRVSPAA
+AQLADTFFGKGLGPWDPPDHLAEAQKLEQSSLVPGHLDQVNGQVVPEPPHLNIKQEPREE
+PCALGSQAVKREANGEPVGAPGTSNHLLLAGPRSEAGHLLLQKLLRAKNVQLSTGRGPEG
+LRAEINGHIDSKLAGLEQKPQGTPSTKEDTAARKPLTPKPKRVQKASDRLVSSRKKLRKE
+DGVRASEALLKQLKQELSLLPLTEPTITANFSLFAPFGSGCPISGQCQLRGAFGSGVLPT
+GPDYYSQLLTKNNLSNPPTPPSSLPPTPPPSVQQKMVNGVTPSEELGEHPKDAACARDTE
+GVLRDASEVKSLDLLAALPTPPHNQTEDVRMESDEDSDSPDSIVPASSPESILGEEAPRF
+PQLGSGRWEQDDRALSPVIPIIPRASIPVFPESKPYGVLDLETTRKLPAPTWEKGKGSEV
+SVMLTVSAAAAKNLNGMMVAVAELLSMKIPNSYEVLFPESPARAGIEPKKGEAEGPGGKE
+KSLGGKSPEAGPDWLKQFDAVLPGYTLKSQLDILSLLKQESPAPEPPAQHSYTYNVSNLD
+VRQLSAPPPEEPSPPPSPLAPSPASPPAEPLVELPAEPSAEPPIPSPLPLASSPESTRPK
+PRARPPEEGEDSRPPRLKKWKGVRWKRLRLLLTIQKGGVRQEDEREVAEFMEQLGTALRP
+DKVPRDMRRCCFCHEEGDGATDGPARLLNLDLDLWVHLNCALWSTEVYETQGGALMNVEV
+ALHRGLLTKCSLCQRTGATSSCNRMRCPNVYHFACAIRAKCMFFKDKTMLCPMHKIKGPC
+EQELSSFAVFRRVYIERDEVKQIASIIQRGERLHMFRVGGLVFHAIGQLLPHQMADFHSA
+TALYPVGYEATRIYWSLRTNNRRCCYRCSIGENNGRPEFVIKVMEQGLEDMVFTDASPQA
+VWNRIIEPVAAMRKEADMLRLFPEYLKGEELFGLTVHAVLRIAESLPGVESCQNYLFRYG
+RHPLMELPLMINPTGCARSEPKILTHYKRPHTLNSTSMSKAYQSTFTGETNTPYSKQFVH
+SKSSQYRRLRTEWKNNVYLARSRIQGLGLYAAKDLEKHTMVIEYIGTIIRNEVANRREKI
+YEEQNRGIYMFRINNEHVIDATLTGGPARYINHSCAPNCVAEVVTFDKEDKIIIISSRRI
+PKGEELTYDYQFDFEDDQHKIPCHCGAWNCRKWMN
+>tr|A0A3Q1MCS4|A0A3Q1MCS4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=SFXN5 PE=4 SV=1
+MADTATTASAAAASAANASTDAPPFQLGKPRFQQTSFFGRFRHFLDIIDPRTLFVTERRL
+REAVQLLEDYKHGTLHPGVTNEQLWSAQKIKQAILHPDTNEKIFMPFRMSASANICNVVL
+MRYGELEEGIDVLDGDGNLVGSSKIAARHALLETALTRVVLPMPILVLPPIVMSMLEKTA
+LLQARPRLLLPVQSLVCLAAFGLALPLAISLFPQMSEIETSQLEPEIAQATSSRTVVYNK
+GL
+>tr|A0A3Q1M1I8|A0A3Q1M1I8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=ZNF853 PE=4 SV=1
+MLVPAQPAPQDLGLTARMKVRRATKTIVRKPRRLKDGGLGPDTPSGGSGGSESQERSSSP
+PRPAVSAPKRASEVAEETASGRQKLQARQLKQWPEQQPQPQPHNQGSGPQPESQQQQQDG
+QEPLPQPQPELQEEPQSEQQGPLKPRLRLMPRQKQSREQHRQVRRRSRLRQERFQRQELQ
+EKPPCVQYEQQKQLQRQEQSEERQVQEQQLPQLQQELQQDLQQGWPQLPQQAVQQLQQAV
+QQPQQLQQQEPLQPQQEQLQSQQESLQPQQEQCQLLQQQQEQLQHQEQLQQQLLQQQELQ
+RQQQQQQQEHLLPQQEQLELQQQLQKQQEQLQLLQQQQEQLELLLQQQQQLQQQLQPRPL
+GPEEEEEVELELMPVDVESDQELERQRQELERQQEQRQLQLQLQEQLQQLEKQLEQQLAQ
+PPEVQLELTPVEPGVHPPELQLELTPVPPELQLELVPAAAGAPAAVVVAPPGYVVLQELM
+VLPAAVSAPSIVAVPGPPSGAALTPARPPRRRRRARDRPTICGECGKGFSRSTDLVRHQA
+THTGERPHRCGECGKSFSQHSNLVTHQRIHTGEKPYACPYCAKRFSESSALVQHQRTHTG
+ERPYACGDCGKRFSVSSNLLRHRRTHSGERPYACEDCGERFRHKVQIRRHERQLHGAGRS
+RGLGLLRGARVAAGGAPRAEPAADKAP
+>tr|F1MCU7|F1MCU7_BOVIN Protein 4.1 OS=Bos taurus OX=9913 GN=EPB41 PE=4 SV=2
+RYYLCLQLRQDIVSGRLPCSFATLALLGSYTIQSELGDYDPELHGADYVSDFKLAPNQTK
+ELEEKVMELHKSYRSMTPAQADLEFLENAKKLSMYGVDLHKAKDLEGVDIILGVCSSGLL
+VYKEKLRINRFPWPKVLKISYKRSSFFIKIRPGEQEQYESTIGFKLPSYRAAKKLWKVCV
+EHHTFFRLTSTDTIPKSKFLALGSKFRYSGRTQAQTRQASALIDRPAPHFERTASKRASR
+SLDGAAAVEPADRTPRPTSAPAIAPSPAAEGGVPGAPVKKAQKETVQVEVKQEEAPPEDA
+EPEPSEAWKKKRERLDGENIYIRHSNLMLEDLDKSQEEIKKHHASISELKKNFMESVPEP
+RPSEWDKRLSTHSPFRTLNINGQIPTGEGPPLVKTQTVTISDTANAVKSEIPTKDVPIVH
+TETKTITYEAAQTDDSNGDLDPGVLLTAQTITSETTSSTTTTQITKTVKGGISETRIEKR
+IVITGDADIDHDQVLVQAIKEAKEQHPDMSVTKVVVHQETEISEE
+>tr|A0A452DIT9|A0A452DIT9_BOVIN RNA 5'-monophosphate methyltransferase OS=Bos taurus OX=9913 GN=BCDIN3D PE=4 SV=1
+MAMMPRGNANPLFPLSPMGGRLYTQSLCRSAQARWSPGGLKVQDFRFRGDSEARLRLMAA
+STEQATGGVEKTAAEEKPRVLEPGAAPFGNFPHYSRFHPPEQRLRLLPPELLRRLFPQSP
+ETRPILGLDVGCNSGDLSVALYKHFLSLHDGETCLDASRELHLLCCDIDPVLVERAEKEC
+PFPDGLTFITLDFMNQRTRKVLLSSFLSQFGRSVFDIGFCMSVTMWIHLNHGDQGLWEFL
+AHLSSLCRYLLVEPQPWKCYRAAARRLRKLGLHDFDHFRSLAIRGDMASQIVQILTQDHG
+MELVCCFGNTKWDRSLLLFRTKQATETHPIPESLIEEGKERNRIRFWRE
+>tr|A0A3Q1MUB4|A0A3Q1MUB4_BOVIN DNA annealing helicase and endonuclease ZRANB3 OS=Bos taurus OX=9913 GN=ZRANB3 PE=4 SV=1
+MSRGHNIKKSLTPQISCSTSESYKQLDFLPDKLRAKLLPFQKDGITFALRRDGRCMVADE
+MGLGKTVQAIGIAYFYKEEWPLLIVVPSSLRYPWTEEIEKWIPELSPEEINVIQNKTDVG
+RISTSKVTVLGYGLLTTDAETLIDALNNQNFKVVIVDESHYMKSRSATRSRILLPIVQKA
+KRAILLTGTPALGRPEELFMQIEALFPQKFGTWTEYAKRYCNAHVRYFGRRSQWDCRGAS
+NLNELHQLLSDIMIRRLKTEVLTQLPPKIRQRIPFDLPSAAAKELNSSFEEWEKLMRDPY
+SGATETVMGLITRMFKQTAIAKAGAVKDYIKMMLQNDSLKFLVFAHHLSMLQACTEAVIE
+NKTRYIRIDGSVPSSERIHLVNQFQKDPETRVAILSIQAAGQGLTFTAATHVVFAELYWD
+PGHIKQAEDRAHRIGQCSSVNIHYLIANGTLDTLMWGMLNRKAQVTGSTLNGRKEKLQAE
+EGDKEKWDFLQFAEAWTPNERSEELRDEMLFTHFEKEKQRDIRSFFLPNAKKRQLETSCD
+ESRVSQEKNTIVPADPVKTATRGDESDLEPEAKKLKSVAIDDPCRPPEEQPCRPGQAEAL
+LTFGICKAKAQATTPAFCGEGWQCAFCTYINNSVLPYCEMCENPRGGAGEYMEGDLEAGS
+SGRVDMPSGSFLSSSLEQLKNWPVYDTLMFCASKNTDRIHVYTKDGNQMNCNFIPLDIKL
+DLWEDLPASFQLKQNRSLILRFVREWSSLTAMKQKIIKKSGQLFRSPVLALEEIAKQQTK
+QNSTKRYELSVFISYFIVKNDGGDLILKASTSKGYLQAVDNEGNPLCLRCQQPTCQTKQE
+RKADAWDSRFCSLKCQEEFWIRSNNSYLRAKVFEIEHGVCQLCNLNAQELFLRLRDAPKS
+QRKSLLDATWTSKLPLEQLNEMIRSPGEGHFWQVDHIKPVSGGGGQCSLDNLQTLCTVCH
+RERTAQQAKERSQVRRQSLASNHGSDITRFLVKK
+>tr|F1MUP8|F1MUP8_BOVIN G_PROTEIN_RECEP_F1_2 domain-containing protein OS=Bos taurus OX=9913 GN=LOC540354 PE=3 SV=2
+LSSQNYSTVSEFILIGFSNFPQQLLPTFFLLYLLMYLFTLLGNLLIMGTIWREHSLHTPM
+YLFLCALSISEILFTVTITPEMLVDMLSTHHSITFVACASQMFFSFMFGYTHSFLLMIMG
+YDRYVAICHPLHYNVLMSTRDCARLVSWCWAGGSVMGMMVTLIVFLLTFCGSNEIHHFFC
+HIFSLLKLACGRDTASLTLAVILVCVTALIGCLFLIVLSYVFIVAAILRIPSTEGRHKTF
+STCVSHLTIVVVHYGFASIIYLKPKGPRSMDSNILMTTTYTVFTPFLSPIIFSLRNKELK
+NAIKRSFRRTFCPLNS
+>tr|G5E6S8|G5E6S8_BOVIN Pancreas associated transcription factor 1a OS=Bos taurus OX=9913 GN=PTF1A PE=4 SV=2
+MDAVLLEHFPGGLDAFPSSYFDEEDFFTDQSSRDPLEDGDELLADEQAEVEFLSHQLHEY
+CYRDEACLLLQSAPPAAPHALAPPPPGGPGEPEDSGGGGYCCEAGAPPGGFPYSPGSPPS
+CLAYRCAGAAALSPRARLRGLSGAAAARRRRRVRSEAELQQLRQAANVRERRRMQSINDA
+FEGLRSHIPTLPYEKRLSKVDTLRLAIGYINFLSELVQADLPLRGGGAGGGRGPGGGGRL
+GADSPSSQAQKVIICHRGTRSPSPSDPDYGLPPLAGHSLSWTDEKQLKEQNIIRTAKVWT
+PEDPRKLNSKPSFNNIENEPPFEFVS
+>tr|A0A3Q1M4E3|A0A3Q1M4E3_BOVIN Transmembrane and immunoglobulin domain containing 2 OS=Bos taurus OX=9913 GN=TMIGD2 PE=4 SV=1
+MGSPGTVLVLLVQFWGVWLQDHSFSGELRLPDPPSAPPRPSAPPSVSLPTIPSPIPGLYF
+APLVTGAVAVAVFALGAGIWGRRRCRNGDAGSPIYSNVLYRPRRAARKKAWPVERKVLDS
+EDQKGQSFYSISFPQRPKSHMAPKFCPSPRPIHPISAVRISPGPGSSGQPRSRGFLEVGR
+EIRTAGEPEKTYPQRLYKDVTYS
+>tr|A0A3Q1M276|A0A3Q1M276_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+WAVTWAGPAAHSHPRLGGVTPVPPTTLRCAPGSCTEKMVRRALGDSGKGMAQQEEDPAST
+GKDHQERGPDGSRGARSTFRPLGAQGDLSAFVPKPGPLRRNLHAKSSVDRSTKKLQTSCV
+SSCPQGNAITSSYSSSWRGFPPGRRRTGPGIPRGLPRRSSRKASEGGLPPPCAAPVASQS
+NSRSDEDAEATRGQKRTWKIGAPTSDSPRPGRRRFPLLRRRRGEPLRLPPPPELGFRVTA
+EDLDAEKAAAFRRINSALRDETPAPQPCGPFPAPADPPAPGRAPRPERDQRESASPAPVD
+TRASAGGASSAHPWSGGRHRSPGPLSPWSQPLPGPPSHSRPPAPAPFTLPTRASSPGSGS
+AGLSARPPSPSAPASAPAPGTAGATGSLAPARLRLGFPASSRSPSGGLLRTWQEEEALPS
+PRRGARLRRMPDRPSLQRPAPRAWGPPHPCAWTLLSSPPEPPSRI
+>tr|A0A3Q1LV01|A0A3Q1LV01_BOVIN Phospholipase DDHD1 OS=Bos taurus OX=9913 GN=DDHD1 PE=4 SV=1
+MNYPGHGSPRSSERNGGRGGDGAAWELGSDTEPAFGGSVCRFDHLPVGEPGDDEVPLALL
+RGEPGLHLAPGAEDHNHHLALDPCLSDDNYDFSSAESGSSLRYYSEGESGGGGSSSSLHP
+PQQPLVPSNSGGGGAAGGGPGERKRTRPGGAAARHRYEVVTELGPEEVRWFYKEDKKTWK
+PFIGYDSLRIELAFRTLLQATGARARAQDPDGDHVCGPASPAGPASSSVEDEDEDRVCGF
+CSRIAGHGREMEELVNIERVCVRGGLYEVDVTQGECYPVYWNQSDKIPVMRGQWFIDGTW
+QPLEEEESNLIEQEHLSRFRGQQMQESFDIEVSKPIDGKDVVYHLPPFSLPSLFKWRGYK
+ESTDAAGLKRKRSQAIHSFKLSRNHVDWHSVDEVYLYSDATTSKIARTVTQKLGFSKASS
+SGTRLHRGYVEEATLEDKPSQTTHIVFVVHGIGQKMDQGRIIKNTAMMREAARKIEERHF
+SNHATHVEFLPVEWRSKLTLDGDTVDSITPDKVRGLRDMLNSSAMDIMYYTSPLYRDELV
+KGLQQELNRLYSLFCSRNPNFEEKGGKVSIVSHSLGCVITYDIMTGWNPVRLYEQLLQKE
+EELPDERWMSYEERHLLDELYITKRRLREIEERLHGLKASSMTQTPALKFKVENFFCMGS
+PLAVFLALRGIRPGNTGSQDHILPREICNRLLNIFHPTDPVAYRLEPLILKHYSNISPVQ
+IHWYNTSNPLPYEYMKPSFLHPAKDPTSISENEGISTIPSPVTSPVLSRRHYGESITNIG
+KASILGAASIGKGLGGMLFSRFGRSSASQPSETSRDSIEDEKKPVASPPMTTVATQTLPH
+SSSGFLDSALELDHRIDFELREGLVESRYWSAVTSHTAYWSSLDVALFLLTFMYKHEHDN
+NVKPSLDPV
+>tr|A0A3S5ZP45|A0A3S5ZP45_BOVIN G_PROTEIN_RECEP_F1_2 domain-containing protein OS=Bos taurus OX=9913 GN=CCR2 PE=3 SV=1
+MDYDYDYSEPCRKTSVGQIEAQLLPPLYSLVFIFGFVGNLLVVLILINCKKLKSMTDIYL
+LNLAISDLLFLLTMPFWAHYAADQWVFGNVMCKFFTGLYHIGYFGGIFFIILLTIDRYLA
+IVHAVFALKARTVTFGVVTSGVTWVVAVFASLPGIIFIKSLEEHSGYACAPYFPLGWKNF
+HTIMRSILGLVLPLLVMIICYSGIIKTLLRCRNEKKKHKAVRLIFVIMIVYFLFWAPYNI
+VLLLSTFQEFFGLSNCKSSSQLDQAMQVTETLGLTHCCINPIIYAFVGEKFRRYLSTFFR
+KHIAKHLCKQCPVFYGETGDRVSSTYTHSTGEQEVSAAL
+>tr|A0A3Q1MSU0|A0A3Q1MSU0_BOVIN Phosphodiesterase OS=Bos taurus OX=9913 GN=PDE8B PE=3 SV=1
+MGCAPSIHVSQSGVIYCRDSDESNSPRQTTGVSQGPAGPLHGLFVQTDAADAIPQSRASG
+SPGAARARRARGELGSGSSAGSAGPAATTCRGRRRHCCSSAEAETQTSYTSVKQVSSAEV
+RIGPMRLTQDPIQVLLIFAKEDSQSDGFWWACDRAGYSCNIARTPESALECFLDKHHEII
+VIDHRQTQNFDAEAVCRSIRATNPSEHAVILAVVSQRFMENSSIIACYNELIQIEHGEVL
+SQFKLRACNSVFTALDHCHEAIEITSDDHVIQYVNPAFERMMGYHKGELLGKELAELPKS
+DKNRADLLDTINTCIKKGKEWQGVYYARRKSGDSIQQHVKITPVIGQGGKIRHFVSLKKL
+CCSTDKNKQILKIHRDSGDNSQTESHSFRYKNRRKESIDVKSISSRGSDAPSLQNRRYPS
+MARIHSMTIEAPITKVSGNVHQSHSHLAMPGTITDVPPSVAQLLDNEESWEFNIFELEAV
+THKRPLVYLGLKVFSRFGVCEFLHCSETTLRAWLQVIEANYHSSNAYHNSTHAADVLHAT
+AFFLGKERVKGSLDQLDEVAALIAATVHDVDHPGRTNSFLCNAGSELAVLYNDTAVLESH
+HTALAFQLTVKDSKCNIFKNIDRNHYRTLRQAIIDMVLATEMTKHFEHVNKFVNSINKPM
+AAEIDGSDCECSPPGKNFPENQTLIKRMMIKCADVANPCRPLDLCIEWAGRISEEYFAQT
+DEEKRQGLPVVMPVFDRNTCSIPKSQISFIDYFITDMFDAWDAFAHLPTLMQHLADNYKH
+WKTLDDLKCKSLRLPSDS
+>tr|A0A3Q1LPF5|A0A3Q1LPF5_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC107131374 PE=3 SV=1
+MSLPNNISFHPSTFLLIGIPGMEAIHTWISMPFCLIYLTALLGNFSILFIIRTDSNLHEP
+MYFFLCMLSVADLILSTTAMPKILSIFWFHDREIYFEACLVQVFLIHSLCSMASGFILAM
+AFDRYVAICNPLRHSIILTHRVIQNLGLAIVFRGVVLFCPQPFMLRWLPYCRTNVIPHTY
+CEFMALIKLACAETRICRTYSLTAAFLTGGLDFLLILCSYVVILYTVFHLPSKAARLKTL
+GTCGSHVCVILVAYTPAFFSFLTHRFGHNVAPHIHIFVANIYILVPPMVNPMIYGIRTKR
+IREQFLQVLTSHKF
+>tr|A0A3Q1M788|A0A3Q1M788_BOVIN Adhesion G protein-coupled receptor B2 OS=Bos taurus OX=9913 GN=ADGRB2 PE=3 SV=1
+MTPACPLLLSVILSLRLAAAFDPAPSACSALASGVLYGAFSLQDLFPTIASGCSWTLENP
+DPTKYSLYLRFNRQEQVCTHFAPRLLPLDHYLVNFTCLRPSPEEAEAQAGSELGRPEEEE
+KEEEEAAGLELCGGSGPFTFLHFDKNFVQLCLSAEPSEAPRLLAPAALAFRFVEVLLINN
+NNSSQFTCGVLCRWSEECSRAAGRACGFAQPGCSCPGEAGAGPAATTTPPGPPAAHTLSN
+ALVPGGPAPPAEADLHSGSSNDLFTTEMRYGEEPEEEPKVKTQWPRSADEPGLYMAQTVH
+GVWEEWGSWSLCSRSCGRGSRSRMRTCVPPQHGGKACEGPELQTKLCSMAACPVEGQWLE
+WGPWGPCSTSCANGTQQRSRKCSVAGPAWATCAGALTDTRECGNLECPATDGKWGPWNAW
+SLCSKTCDTGWQRRFRMCQATGAQGYPCEGTGEEVKPCSEKRCPAFHEMCRDEYVMLMTW
+KKAAAGEIIYNKCPPNASGSASRRCLLSAQGVAYWGLPSFARCISHEYRYLYLSLREHLA
+KGQRMLAGEGMSQVVRSLQELLARRTYYSGDLLFSVDILRNVTDTFKRATYVPSADDVQR
+FFQVVSFMVDAENKDKWDDAQQVSPGSVHLLRVVEDFIHLVGDALKAFQSSLIVTDNLVI
+SIQREPVSAVSSDITFPMRGRRGMKDWVRYSEDRLFLPKEVLSLSSPGKPAASGAAGSLG
+RGRGPGTVPPGPGHSHQRLLPADPEDSSSYFVIGAVLYRTLGLILPPPRPPLAVTSRVMT
+VTVRPPTQPLAEPLITVELSYIINGTTDPHCASWDYSRADASSGDWDTESCQTLETQAAH
+TRCQCQRLSTFAVLAQPPKDLTLELAGAPSVPLVIGCAVSCMALLTLLAIYAAFWRFIKS
+ERSIILLNFCLSILASNILILVGQSRVLSKGVCTMTAAFLHFFFLSSFCWVLTEAWQSYL
+AVIGRMRTRLVRKRFLCLGWGLPALVVAVSVGFTRTKGYGTSSYCWLSLEGGLLYAFVGP
+AAVIVLVNMLIGIIVFNKLMARDGISDKSKKQRAGSERCPWASLLLPCSACGAVPSPLLS
+SASARNAMASLWSSCVVLPLLALTWMSAVLAMTDRRSVLFQALFAVFNSAQGFVITAVHC
+FLRREVQDVVKCQMGVCRADESEDSPDSCKNGQLQILSDFEKDVDLACQTVLFKEVNTCN
+PSTITGTLSRLSLDEDEEPKSCLVGPEGSLSFSPLPGNILVPMAASPGLGEPPPPQEANP
+VYMCGEGGLRQLDLTWLRPAEPGSEGDYMVLPRRTLSLQPGGGGGGGEDPPRARPEGTPR
+RASKSLGHTEAYPSFLSVEHSGLGLGPAYGSLQNPYGMTFQPPPPTPSARQVPEPGERSR
+TMPRTVPGSTMKLGSLERKKLRYSDLDFEKVMHTRKRHSELYHELNQKFHTFDRYRSQST
+AKEKPSPGERPGLSQQRRHQSWSTFKSMTLGSLPPKPRERLALHRATAWEPTEPPDGDFQ
+TEV
+>tr|A0A3Q1LT49|A0A3Q1LT49_BOVIN Ras-related protein Rab-18 OS=Bos taurus OX=9913 GN=RAB18 PE=4 SV=1
+MDEDVLTTLKILIIGESGVGKSSLLLRFTDDTFDPELAATIGVDFKVKTISVDGNKAKLA
+IWVRIFKILMCIVRDTFVKLDNWLNELETYCTRNDIVNMLVGNKIDKENREVDRNEGLKF
+ARKHSMLFIEASAKTCDGVQCAFEELVEKIIQTPGLWESENQNKGVKLTHREEGQGGGAC
+GGYCSVL
+>tr|A0A3Q1MRJ5|A0A3Q1MRJ5_BOVIN Golgin A4 OS=Bos taurus OX=9913 GN=GOLGA4 PE=4 SV=1
+MFKKLKQKISEEQQQLQQALASPQASSSSSTPTRTRSRTSSFTESLDEGTPNRELLAGMI
+AEPAFLSEYTIFALDSSKQPKTQTESVNASFQATKSPDSVNGSEPTTPQSSDTQSFAQKL
+QLRVPSVESLFRSPVKESLVRSSSKESLVRTSSRESLNRFDLDSSAAFFDPPSDMESETE
+DSLGNLDSLSKEQLIQWLRRTERRLNGYKGKCCELVTAYQTLHREKKKLQGILSQSQDKA
+LRRIGELREELQMDQQAKKHLQEEFDASLEEKDQYISVLQTQVSLLKQRLRNGPVNADLP
+KPLPQMEPEAEGVTKENTDSDVEPVVGDGASAKAVEALQQRVKRQENLLQRCKETIRSHK
+EQCAQLTSEKEALQEQLDERLQELEKMKELHMAEKTKLITQLRDAKNLIEQLEQDKGMVI
+AETKRQMHETLEMKEEEIAQLRSRIKQMTTQGEELREQKEKSERAAFEELEKALSTAQKT
+EEARRKMKAEMDEQIKAIEKASEEERVRLQQELSCVKQEVVDVTKKSSEQIAKLQKLHEK
+ELASKEQELTKKLQTQETEFQEQMRIALEKSQSEYLKITQEKDQQESLALEELELQKKAI
+LTESENKLRDLKQEAETYRTRILELESSLEKSLQENKNQSEDLTSHLEAEKNKHNKEITI
+MVEKHKTELESLQHQQDNIWTEKLQVLKQQHQTEMEELREKYEQEKETLVKDRETLFQAH
+IEEMNEKTLEKLDVKQTELESLSSELSEVLKTRDKLEEELSVLKDQADRVKQELEAKLDE
+QKNHHQQQVDNIIKEQEISIQRTEKALKDEINQLGLLLKEKDRHLKEHQARVESLEADIK
+RSEGELQQASTKLELFQSLQNTTHEQAKVHEEQLAQLQQQLLDLETERILLTKQVAEVEA
+QKKDVCAELDAHKIQVQDLLQKLEKQNREMEEKVKSLTQLSESQLKDNNTEQEQRKQLLM
+EKENVILQMREAQSKETEILKQKLSAKEDSIRVLQEEYEAKFKNQEKKMEKIKQKAKEMQ
+EILKKKLLDQEAKLKKELENTALELSQKEKQFNAKILEMAQANSAGINDAVSRLETNQKE
+QIESLTEAHRRELDDFIAVWERKLSQQAEELQEKHDIQLQEKEQEVAELKQKMLLFGCEK
+EEMNKEMAWLKEEGARQDTALKELQGQLKQNAALMDSLTQNEAKLKAELEKLEVDLNGSL
+KENIFLQEQVAELKVLAEKDRLKVLEFTEKLKTTDEEFQSLKSSHDSSKKSLEDKSLEFK
+KLSEELAVQLDIYSKKTEALLQAKTSELIDISSSKISAILSRISHCQQHTAKVKEALLSK
+TCQVSELEAQLRQLTEEQNILNSSFQHAAHQLEEKESQIQSMKADIEGLVTEKEALQKEG
+GNQQQAASEKESCITQLKKELSENINAVTMMKEELKEKKAEISSLSKQLADLNAQLQNSI
+SLAEKEAAISSLSKRHDEAQQELLDQVRDLSLKVETLSKEKTSALEQADHLSIKFSEWKK
+KAQSRFTQYQNTSKELQVQLELKTKETSEKEEQLTLLKEDLDQQKKRFEYLKSEMEDKKS
+EMEKRDFNLETELKTQTVRIVELEEHVAQKTIEIESLNEVLKNYHQQKDSEQKEMIQKLQ
+HIQELGEEKDNRVKEAEEKVLRLEEQASSMKSELESVKKELEHVNSIVKGKEEELKALED
+RLELEGAAKLAELKKKAEQKIAAIKKQLLSQMEEKEQQYRKDKESHLSELTTKLQERDRE
+IHILEEKLKSAESSPQSETSVVPRLSENVAVCTEQEEADSQGCVHNACEEKLGVLQRNLI
+EKEMLVQRLEQEKEEIISSHSEIQCRYQELLIKIEQAEAKQHEDRVMINQLQEELEGKNK
+KHSLVSSQHLEAEGDKNNAGAKQNLENVVDNVQKTLQGEDLTCQILEQKMKELDSCLLKE
+REGHRIEIEELTSKLESLQALQQMNGKSKPTEVLEEGAEGKSTSHVVQPSSLSNMEADHN
+DLEFKLAGAEQEKRKLSQEVVKLQKDIRMLRKEHQQELDIIKKEYEKEMEEKIKQEQEDL
+ELKHNSTLKQLMREFHTQLAQKEQELEMTIKETIDKAQEVEAELLESHQEETNQLYKKIA
+EKEDDLKRTAKRYEEILDAREEEMTAKVTDLQTQFEDLQKKYQQRLEQEETPGSDKVTIM
+ELQTQLAQKTTLISDSKLKEQEFREQIHNLEDRLKKYEKNVYATTVGTPYRGGNLYHTDV
+SLFGEPTEFEYLRKVLFEYMMGRETKTMAKVITTVLKFPDDQTQKILEREDARLMSWLRP
+SS
+>tr|A0A3Q1MDM1|A0A3Q1MDM1_BOVIN Neurotrimin OS=Bos taurus OX=9913 GN=NTM PE=4 SV=1
+FQTQGHSASVLRLQFCKASDAETQAKANRRCLCVHWEQGAQSSQSCQEDPDGISFFVVPL
+TYPSGPLSRCTIDNRVTRVAWLNRSTILYAGNDKWCLDPRVVLLSNTQTQYSIEIQNVDV
+YDEGPYTCSVQTDNHPKTSRVHLIVQVSPKIVEISSDISINEGNNISLTCIATGRPEPTV
+TWRHISPKAVGFVSEDEYLEIQGITREQSGYYECSASNDVAAPVVRRVKVTVNYPPYISE
+AKGTGVPVGQKGTLQCEASAVPSAEFQWYKDDKRLVEGKKGVKVENRPFLSKLIFFNVSE
+HDYGNYTCVASNKLGHTNASITLFELSEPTSSSLLQEVKTTTLPPGKGPGAVSEVSNGTS
+SRRAGCLWLLPLLVLHLLLKF
+>tr|A0A3Q1MNE0|A0A3Q1MNE0_BOVIN Neurexin-3-beta OS=Bos taurus OX=9913 GN=NRXN3 PE=4 SV=1
+TTSGVTLPSPAQRKEENVATFRGSEYLCYDLSQNPIQSSSDEITLSFKTWQRNGLILHTG
+KSADYVNLALKDGAVSLVINLGSGAFEAIVEPVNGKFNDNAWHDVKVTRNLRQVTISVDG
+ILTTTGYTQEDYTMLGSDDFFYVGGSPSTADLPGSPVINNKSSQLCWDFAP
+>tr|A0A3Q1LVE3|A0A3Q1LVE3_BOVIN Leukocyte surface antigen CD47 OS=Bos taurus OX=9913 GN=CD47 PE=4 SV=1
+MWPLVVVLLLGSVRCGSAQLIFNAIKSVEYTLCNQTVVIPCFVNNVETKNITELYVRWKF
+KGENIFIFDGSQRMSKPSSNFSSAEIAPSELLRGIASLKMAKSDAVLGNYTCEVTELSRE
+GETIIELKYRVVSWFSPNENILIVIFPVLAILLFWGQFGIVTLKYKSNYTKEKAIFLLVA
+GLLLTVLVIVGAFLFIPGEYSTKNASGLGLIVLPTIILILLHYCVFMIAMGMSSFTISIL
+ILQLLGYVLSVVGFSLCVSECIPVHGPLLISGLGIIALAELLGLVYMKCVASNHRTIQPP
+KKAVEEPLNE
+>tr|A0A3Q1MI64|A0A3Q1MI64_BOVIN Zinc finger FYVE domain-containing protein 21 OS=Bos taurus OX=9913 GN=ZFYVE21 PE=4 SV=1
+MSSEVAARRDAKKLVRSPSGLRMVPEHRAYGSPFGLEEPPWVPDKECPRCMQCDTKFDFL
+TRKHHCRRCGKCFCDKCCGQKVALRRMCFVDPVRQCAGCAPVSRREADFYDRQLKLLLSG
+ATFLVTFENSEKPDTMVCRLSSNQRFLLLDGDGDGDGHREVEVARIAAVQMLTEGLPPGG
+GNARAIGMTLQYTTPGAEGLTQLTLTAGEDADGSRRQATAWLAAMHKVPAAPRSPAPAPP
+RCLRPPQPGTRLFLVTLQAAKLLYESRDQ
+>tr|A0A3Q1LWQ2|A0A3Q1LWQ2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MAWFGGLGSSLGHSLGQAGGTMASLTDYVSSFTKNVLRKGAEKVEELLYSAREEVVDFES
+VLKSEIESSRFTYC
+>tr|F1MSB4|F1MSB4_BOVIN Peroxisomal biogenesis factor 5 like OS=Bos taurus OX=9913 GN=PEX5L PE=4 SV=3
+MYQGHMQGKGSRAADKAVAMVMKEIPREESAEEKPLLTMTSQLVNEQQESRPLLSPSIDD
+FLCETKSEAIARPVTSNTAVLTTGLDLLDLSEPVSQTQSKAKKLEASSKTSSLKKKADGS
+DLISADAEQRGQALRGSETASLDLTDIQTQLEKWDDVKFHGDRNSKGHPMAERKSSSSRT
+GSKELLWSSEHRSQPELSGGKSALNSESASELELVPPTQARLTKEQRWGSALLSRNHSLE
+EEFERAKAAVESDTEFWDKMQAEWEEMARRNWISENQEAQNQVTISASEKGYYFHTENPF
+KDWPGAFEEGLKRLKEGDLPVTILFMEAAILQDPGDAEAWQFLGITQAENENEQAAIVAL
+QRCLELQPNNLKALMALAVSYTNTGHQQDACEALKNWIKQNPKYKYLVKSKKGSPGLTRR
+MSKSPVDSSVLEGVKELYLEAAHQNGDVIDPDLQTGLGVLFHLSGEFNRAIDAFNAALTV
+RPEDYSLWNRLGATLANGDRSEEAVEAYTRALEIQPGFIRSRYNLGISCINLGAYREAVS
+NFLTALSLQRKSRNQQQVPHPAISGNIWAALRIALSLMDQPELFQAANLGDLDVLLRAFN
+LDP
+>tr|A0A3Q1MAZ7|A0A3Q1MAZ7_BOVIN Transmembrane protein 144 OS=Bos taurus OX=9913 GN=TMEM144 PE=4 SV=1
+MSSNATDLTTGFIASIVAIVLFGSNFVPLKKYETGDGMFLQWVLCAAIWLVALVVNLILH
+CPKFWPFAMVGGCIWATGNIAVVPIIKTIGLGLGILIWGSFNALTGWASSRFGWFGMDAE
+EVAKPVLNYIGAGLSVVSAFIFLFIKSEIPNNTYSVDTTPLISEHVINKTQDPDPDCSWV
+DKLSTAQSHLVGCSLAVISGILYGSTFVPIIYIKDHSKRNDSIYAGASQNDLDYVFAHFS
+GIFLTSTVYFLAYCVAMKNNPKLHPEAVLPGFLSGVLWAIATCCWFIANRSLSAVVSFPI
+ITAGRKNYLLMMLAFCIILTGALCTAFSKI
+>tr|A0A3Q1MUW9|A0A3Q1MUW9_BOVIN F-box/LRR-repeat protein 20 OS=Bos taurus OX=9913 GN=FBXL20 PE=4 SV=1
+MRRDVNGVTKSRFEMFSNSDEAVINKKLPKELLLRIFSFLDVVTLCRCAQVSRAWNVLAL
+DGSNWQRIDLFDFQRDIEGRVVENISKRCGGFLRKLSLRGCLGVGDNALRTFAQNCRNIE
+VLNLNGCTKTTDATCTSLSKFCSKLRHLDLASCTSITNMSLKALSEGCPLLEQLNISWCD
+QVTKDGIQALVRGCGGLKALFLKGCTQLEDEALKYIGAHCPELVTLNLQTCLQITDEGLI
+TICRGCHKLQSLCASGCSNITDAILNALGQNCPRLRILEVARCSQLTDVGFTTLARNCHE
+LEKMDLEECVQSLSHCELITDDGIRHLGNGACAHDQLEVIELDNCPLITDASLEHLKSCH
+SLERIELYDCQQITRAGIKRLRTHLPNIKVHAYFAPVTPPPSVGGSRQRFCRCCIIL
+>tr|G3MZJ7|G3MZJ7_BOVIN IQ motif and Sec7 domain 2 OS=Bos taurus OX=9913 GN=IQSEC2 PE=4 SV=2
+MEPPRRSSRSTASHTLHQYCCPTQVLDSMKLTPSGRLAESSVEGDAPGSDLSTAVDSPGS
+QPPYRLSQLPPTSSHMGGPPAGVGLPWAQRARLQPASVALRKQEEEEIKRSKALSDSYEL
+STDLQDKKVEMLERKYGGSFLSRRAARTIQTAFRQYRMNKNFERLRSSASESRMSRRIIL
+SNMRMQFSFEEYEKAQNPAYFEGKPASLDEGAMAGARSHRLERGLPYGGSCGGGIDGGGS
+SVTTSGEFSNDITELEDSFSKQVKSLAESIDEALNCHPSGPMSEEPGSAQLEKRESKEQQ
+EDSSATSFSDLPLYLDDPVPPPSPERLPSTEPPAQGRPEFWAPAPLPPVPPPVPAGSRED
+GSREEGTRRGPGCLECRDFRLRAAHLPLLTIEPPSDSSVDLSDRSDRGSVHRQLVYEADG
+CSPHGTLKHKGPPGRAPIPHRHYPAPEGPAPAPPGPLPPAPNSGTGPSGVAGGRRLGKCE
+AAGENSDGGDNESLESSSNSNETINCSSGSSSRDSLREPPATGLCKQTYQRETRHSWDSP
+AFNNDVVQRRHYRIGLNLFNKKPEKGIQYLIERGFLSDTPVGVAHFILERKGLSRQMIGE
+FLGNRQKQFNRDVLDCVVDEMDFSSMDLDDALRKFQSHIRVQGEAQKVERLIEAFSQRYC
+VCNPALVRQFRNPDTIFILAFAIILLNTDMYSPSVKAERKMKLDDFIKNLRGVDNGEDIP
+RDLLVGIYQRIQGRELRTNDDHVSQVQAVERMIVGKKPVLSLPHRRLVCCCQLYEVPDPN
+RPQRLGLHQREVFLFNDLLVVTKIFQKKKILVTYSFRQSFPLVEMHMQLFQNSYYQFGIK
+LLSAVPGGERKVLIIFNAPSLQDRLRFTSDLRESIAEVQEMEKYRVESELEKQKGMMRPN
+TSQPGGAKDSVNGTLARSSLEDTYGAGDGLKRGALSSSLRDLSDAGKSPGAPPLAGEPWD
+WVSSSLPVTDCQPSLHSPHVHASCLHPES
+>tr|A0A3Q1LVV9|A0A3Q1LVV9_BOVIN RNA_pol_L_2 domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MTTPSAFMGKKKITVNKDFKVPKACLFTTNKENHTLGNISHSQLLKAPQVQFAGYKVRRP
+LQHKTIIHVQSALDCTPRRLPPVPSQTSPRNSPCWRSESEWPSKFSKEKMSGGLHLALF
+>tr|G3MZ77|G3MZ77_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC529511 PE=3 SV=2
+LKSQPPGTLQRMEVENSTVKPQFFLLGFSDHPELQSVLFAVFLSIYSVTLMGNLGMILLI
+TASPPLHTPMYFFLRILSFVDACYSSVIAPKLLVDLVSDKKTISYNGCAAQLYFFCFLVD
+TESFLLTVMAYDRYIAICNPLLYTVIMSKKICCQLAIGAFLGGTMSSVIHTTNTFHLSFC
+SNEINHFFCDISPLFSLSCTDAYIHDIVLVVFASLVEALCLLTVLPSYILIIAAILKTGS
+AKGRRKGFSTCASHLIVVSIYHGTLIFIYLRPGAGHSLDIDKVTSVCYTLIIPMLNPLIY
+SLRNKDVNNAFRKMISRKFLS
+>tr|A0A3Q1N720|A0A3Q1N720_BOVIN HPS4, biogenesis of lysosomal organelles complex 3 subunit 2 OS=Bos taurus OX=9913 GN=HPS4 PE=4 SV=1
+MVLSLCSSWLPSPLGPPEGFSDTEAWNYFFLYDGSQVKGEEDPTRAGICYFYPPQTLLDQ
+QELLCGQIAGVVHCVSHISGSPPALVRLRKLKFAVKVDGDYLWVLGCAAELPDVSCRQLL
+HRLVGFFHFCNGPVSLACKSCPREELRSEWDTLIEHILGNTGDLHKIFNCLWHLDQTKVE
+ALLLLKAALILQTCQRAPHVLAGCILYAGLIVSTQLPPSVTAKVLLHRMARRDQRKPTGG
+DALQERGVVLPPNVQITPVFLTEEEAASLREFPREPSASAPAPPARLQEPSAAPKENAPG
+HAGSKAQTSTTTPEPPSPDAALADGSRGHGHWSGPDLKSTPPALQHCTAAGKGSALFVGN
+GHLDLSEIHIPEAQKTEASPGHPAADGGGPGCEESVHNPGSPEAKPPGVLSLGRLPASAP
+EVVPQNGALEQPADLPGDGSQAPIPRKDHVPSRTSGPGRAPCLDLRQKNTEQPLEEHGGE
+QRHSGAPEGCLTPGPDSTDPSDEGPSANRTASRVSPASREGLVRMNLYTHSVKGLVLSLL
+AEEPLLGDRVAVEEVYHGSLASLNGLEVHLSETLPRDQAAPAARTYSFAHYDRVQNLLTG
+KARLREVELGGQHPGPGPWLVGRCPVVRMLFVSWSPAPTGFNYSRQTFPSWSLSCPFSNV
+LREAPASRLSAMGSPPDLDTLVLRQVLTTIRGGERAQHPGLLPTASGASLHPSFLWFWVW
+GYWGAALCHA
+>tr|A0A0A0MPA4|A0A0A0MPA4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=2
+MPPKDDKKKKDAGKSAKKDKDPVNKSGAKAKKKWSKSKVRDKLNNLVLFDKAAYDKLCKV
+PSYKLITPAVVSERLKIHGSLARAALQELLSKGLIKLVSKHRVQVIYTRNTKGGDAPAAG
+EDA
+>tr|A0A3Q1MT52|A0A3Q1MT52_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=MYO9A PE=3 SV=1
+MNINDGGRRRFEDNEHTLRIYPGTISEETIYCPIPARKNSTAAEVIDSLINKLHLDKTKC
+YVLAEVKEFGGEEWILNPTDCPVQRMMLWPRMALENRLSGEDYRFLLREKNLDGSIHYGS
+LQSWLRVTEERRRMMERGFLPQPQQKDFDDLCSLPDLNEKTLLENLRNRFKHEKIYTYVG
+SILIAINPFKFLPIYNPKYVKMYDNHQLGKLEPHIYAVADVAYHAMLQRKKNQCIVISGE
+SGSGKTQSTNFLIHHLTALSQKGFASGVEQIILGAGPVLEAFGNAKTAHNNNSSRFGKFI
+QVNYQETGTVLGAYVEKYLLEKSRLVYQEHNERNYHVFYYLLAGASEEERLAFHLKQPEE
+YHYLNQDCFTVEGEDLRHDFERLQLAMEMVGFLPKTRRQIFSLLSAILHLGNICYKKKTY
+RDDSIDICNPEVLPVVSELLEVKEEMLFEALVTRKTVTVGEKLILPYKLAEAVTVRNSMA
+KSLYSALFDWIVFRINHALMNSKDLEQNTKTLSIGVLDIFGFEDYENNSFEQFCINFANE
+RLQHYFNQHIFKLEQEEYRTEGISWHNIDYIDNTCCINLISKKPTGLLHLLDEESNFPQA
+TNQTLLDKFKHQHEENSYIEFPAVMEPAFIIKHYAGKVKYGVKDFREKNTDHMRPDIVAL
+LRSSKNAFISGMIGIDPVAIFRWSVLRAFFRAMVAFREAGKRHIQRKTGKSNHDEFHGHK
+SEETLLKNHYLLTILSFIQLILSLICLQCGRLTQPWVQSIYVKNLIFPPVFQHLLEVKSL
+KHLTSLTIQDRITKSLLHLHKKKKPPSISAQFQVSLSKLMETLGQAEPYFVKCIRSNAEK
+LPLRFNDALVLRQLRYTGMLETVRIRQSGYSCKYSFQDFVSHFHVLLPRNIIPSKFNIQD
+FFRKINLNPDNYQVGKSMVFLKEQERQHLQDLLHQEVLRRIVLLQRWFRVLLCRQHFLHL
+RQASIVIQRRWREHCRRRHLAAVCIQARWKGYRESKRYQEQRNKIILLQSLCRGFRNCLG
+VAERNSKRTKSLLQRARRKRSVKISSVALESVHWQNDSVQIIASASDLKSMDEFLLKKVF
+RPLSSFDCGMLLYFFIQVEEHNGHIFKATQYSIPTYCEYCSSLIWIMDRASVLCKYACHK
+KCCLKTTAKCSKKVRKLSSRQFGVELSRLTSEDRTVPLVVEKLINYIEMHGLYTEGIYRK
+SGSTNKIKELRQGLDTDAENVNLDDYNIHVIASVFKQWLRDLPNPLMTFELYEEFLRAMG
+LQERKETIRGVYSVIDQLSRTHLNTLERLIFHLVRIALQEDTNRMSANALAIVFAPCILR
+CPDTIDPLQSVQDISKTTTCVELIVVEQMNKYKARLKDISSLEFAENKAKTRLSLILKNQ
+LKCGSFEELQRKKILRCGLDSGKFYSSHSGDLTYKELDPQNEDFLRI
+>tr|A0A3Q1LTW0|A0A3Q1LTW0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=3 SV=1
+MWLYLAVLLGLYYFLRWYRERQVVSHLRDKFVFITGCDSGFGNQLARQLDLKGLRVLAAC
+LMKQGAEQLRNQTSDRLQTVILDVTKTESVAAATEWVKERVGDRGLWGLVNNAGICTPMA
+PNQWLTKQDFVKILEVNLLGVIDVTLSLLPLVRKARGHVVNVSSVMGRMAVFGGGYSMSK
+YGVEAFSDSLMKVAVIEPGYFLTDMTQEEVYHENLQTSWDHASPEIQELYGDNFLADCEN
+RVLIHLGNKNFC
+>tr|A0A3Q1MF73|A0A3Q1MF73_BOVIN Vesicle-trafficking protein SEC22c OS=Bos taurus OX=9913 GN=SEC22C PE=4 SV=1
+MSLILFACVVRVRDGLPLSASTDFYHSQDFLECRRRLKTLALRLAQYPGRASLFPCPAAM
+AFCFLETLWWEFTASYDTTCVGLASRPYAFLEFDNVIQKVKWHFNYVSSTQMDSSLGKIQ
+EELKFQPPVVLTLEDTDVANGVMNGHTLMHLEPAPSFRMEPVTALGILSLILNIMCAALN
+LIRGIHLAEHSLQVAHEEIGNILAFLIPFVACIFQCYLYLFYSPARTMKVVLMLLFICLG
+NVYLHGLRNLWQILFHIGVAFLSSHQILTRQLQDKQSDCGV
+>tr|F1MD83|F1MD83_BOVIN C-X-C motif chemokine OS=Bos taurus OX=9913 GN=PPBP PE=1 SV=2
+MSLRPDATSSYTVPSPLPVLQVLLLMSLLLVMLVPSTNGKLSSKERFLHIELRCLCVKTI
+SGIPSSNIQSLEVNRAGPHCNKVEVIAELKNGKKICLNPEGPRIKKIVQKILEDEPNSAE
+VSVSAPRFPTLHPKLPKKRADGGDKTGLERVGNHESAELQPCSPCAGSRLRLALLSAMNQ
+AVVPRASRPRSSPGLLLLGLLLLPAIALAQESSFPATFVPLPADSEGGESEDLQCVCLKT
+TSGINPRHISSLEVIGAGLHCPSPQLIATLKTGRKICLDQQNPLYKKIIKRLLKS
+>tr|A0A3Q1N5M8|A0A3Q1N5M8_BOVIN MAGE domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MPRRQKSKHRGREKRRQARMETKDLRNAQAAATPTPTSGSTPPGSPPAGAGQKPQGAAAT
+SSPVAGASCPRSKARGRGQTAPLDPLTEKAGVLVNFLLDQFKMKEPIRKIDMLNLFHKRY
+KTRFPEILRRAAKCMELSFGLELKEVKPNGYSYTLVSKIGLISDEVLSSSCEVPKNGLLM
+PLLNVIYVNGNRASEADVWEFLNVLGIYDGKQHVIFGDPRKLITEEWVQQNYLVYRQIPD
+SDPLSYEFLWGSRAYAETSKMKVLEFLAKISSTIPSAFPFHYAEALGEEKRSRACQPVFL
+ICVNNFLTYPFLAGGEAVIFK
+>tr|A0A3Q1LM30|A0A3Q1LM30_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MVQLTTVLYKACHGGHLTIRLALGGCTKWPFYHIVVSPNKCPKDGHFMEQLGSYDPMSNN
+YGKKLIALNLDQMWHCIACGAHLSKPVEKFVGLSGYFPQHLTVVTNAERL
+>tr|F1MUB9|F1MUB9_BOVIN Serine protease 8 OS=Bos taurus OX=9913 GN=PRSS8 PE=3 SV=1
+MARKSGLGPRWLEAVATVLLLGLFRNGIGAVAAEADSCGMVPQGRITGGTTAALGQWPWQ
+VSINHHGTHVCGGSLVSDQWVLSAAHCFPSDNKIEEYEVKLGAHQLDYFSTDTQVRGVAQ
+VISHEKYSHEGSMGDIALLQLSSSVTFSRYIRPICLPAANASFPNGLQCVVTGWGHVAPS
+VSLQHPRPLQQLEVPLISRETCNCLYNINAKPGEPHVIDQDMLCAGYVNGSKDACQGDSG
+GPLSCPVAGRWYLAGIVSWGDACGAPNRPGVYTLTSVYASWIHYKVAELQPKVVPQMEES
+QPDGHLCSHYKGFNSAPAQGLVGPILLLPLGLTLGLLRPLHED
+>tr|A0A3Q1M7N7|A0A3Q1M7N7_BOVIN GULP PTB domain containing engulfment adaptor 1 OS=Bos taurus OX=9913 GN=GULP1 PE=4 SV=1
+GLSHSEPFQFLGSTEVEQPKGTEVVRDAVRKLKFARHIKKSEGQKIPKVELQISIYGVKI
+LEPKTKEVQHNCQLHRISFCADDKTDKRIFTFICKDSESNKHLCYVFDSEKCAEEITLTI
+GQAFDLAYRKFLESGGKDVETRKQIAGLQKRIQDLETENMELKNKVQDLENQLRITHVST
+PPAGSVTPKSPSTDIFDMIPFSPVSHQSSIPTHNGMQPPPVPSRSNEIKRDLFGAEPFDP
+FNCGAGDFPPDIQSKLDEMQEGFKMGLTLEGTVFCLDPLDSRC
+>tr|A0A3Q1M1K9|A0A3Q1M1K9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC509506 PE=3 SV=1
+MLELSLSWLGLRPVAASPWLLLVLVGASWILAHVLAWTYTFYNNSRHLQCFPQPPKRNWF
+LGHMGQVSVTAAAPKDVIFYDFLKPWLGDGLLLSAGDKWSRHRRMLTPAFHFNILKPYMK
+IFTKSADIMHAKWQRLITEGHTHLDMFEHISLMTLDSLQKCVFSYDSNCQEKPNEYIASI
+LELSALVAKRNQQIFLHMDFLYFLTPDWRRFRRACRLVHDFTDAVIQERRHTLPSEGTDD
+FLKAKVKTKTLDFIDVLLLTKDEDGKGLSDEDIRAEADTFMFEGHDTTASGLSWVLYNLA
+KHPEYQERCRQEVQDLLRDRESKEIEWDDLAQLPFLTMCIKESLRLHPPVSVISRRYAQD
+TLLPDGRVIPKGVICLINIIGTHHNPSVWPDPEVYDPFRFEPENIKGRSPLAFIPFSVGP
+RNCIGQTFAMTEMKVVLALTLLRFRVLPGEEPRRKPELILRAEGGLWLRVEPLSADQQ
+>tr|A0A3Q1LS73|A0A3Q1LS73_BOVIN Sterol-C5-desaturase OS=Bos taurus OX=9913 GN=SC5D PE=3 SV=1
+MLTKAGTSYIVVLKKFCFEKCRHGEVIVVTCLSFRAKMDLVLSIADYYFFTPYIYPATWP
+EDNIFRQAITLLIVTNLGAFILYFFFATLSYYFVYDHLLMKHPQFLKNQVSREITHSVQS
+MPWMSIPTVLLFLLEVRGYSRLYDGIGEFPYGWFQLVASVLSFLFFTDMLIYWIHRGLHH
+KLVYKRLHKPHHIWKIPTPFASHAFHPLDGFLQSLPYHIYPFIFPLHKCGISPWKLRLCH
+SSLGS
+>tr|F1MUY8|F1MUY8_BOVIN G protein-coupled receptor 157 OS=Bos taurus OX=9913 GN=GPR157 PE=4 SV=2
+MPLPVPPTELVPSERAVVLLSCVLSALGSGLLMATHALWPDLRSQARRLLLFLSLADLLS
+AASYFYGVLQDFKDSSWDCVLQGALSTFANTSSFFWTVAIALYLYLSIVRTAHGSETGRL
+LWAFHVISWGVPLAITVAAVALKKIGYDASDVSVGWCWINLEAEDRVLWMLLTGKLWELL
+AYVTLPVLYLLIRKHIHRAHEELSEYRPILSEAHQLQRRTSVANKKLVLIPLIFICLRVW
+STVRFVLTLCGSPAVRMPVLVVLHGIGNTFQGGANCIMFVFCTRVVRTRLLSLCCCCCSP
+QPPEAPAPSKRGESQRPRRTPNELPST
+>tr|A0A3Q1M362|A0A3Q1M362_BOVIN Carbohydrate sulfotransferase OS=Bos taurus OX=9913 GN=CHST12 PE=3 SV=1
+MVGVSDSCFLRARRPVSTRSRSERAQGKAWASMDTMTKSRLFRLWLVLGSAFMVLLIIVY
+WDNMGPAHFNLHTALSRPHALKPFPSPNSASGDVFTSSLEILESFVREKQGPLLSKRVEQ
+PSLPASSKPVLGNLEESVRGYDWSSHAAQQSADPDARQAERRSVLRGFCANDSFVFPTKE
+RSFDDIPNYELNHLIVDDRHGVIYCYVPKVACTNWKRVMIVLSQSLSDQGTPYRDPLDIP
+REYVHNSSTHLTFNKFWRRYGKFSRHLMKIKLKKYTKFLFVRDPFVRLISAFRSKFELEN
+EEFYQKFAVPMLKRYSNHTSLPASVSEAFSTGLRLSFATFIQYLLDPHTEQLAPFNEHWR
+QVHRLCHPCQIDYDFVGKLETLDQDAAQLLRLLKVDKLLQFPPSYRNRTASSWEEGWFAK
+IPLAWRQQLYKLYEADFVLFGYPKPENLLRD
+>tr|F6Q9T8|F6Q9T8_BOVIN Flotillin-2 OS=Bos taurus OX=9913 GN=FLOT2 PE=4 SV=1
+RISLEIMTLQPRCEDVETAEGVALTVTGVAQVKIMTEKELLAVACEQFLGKSVQDIKNVV
+LQTLEGHLRSILGTLTVEQIYQDRDQFAKLVREVAAPDVGRMGIEILSFTIKDVYDKVDY
+LSSLGKTQTAVVQRDADIGVAEAERDAGIREAECKKEMLDVKFMADTKIADSKRAFELQK
+SAFSEEVNIKTAEAQLAYELQGAREQQKIRQAQGHRPLPTPAQPQACSRTSPLSPAPTGT
+EARPPV
+>tr|A0A140T8B6|A0A140T8B6_BOVIN Keratin, type I cuticular Ha5 OS=Bos taurus OX=9913 GN=KRT35 PE=3 SV=1
+MASKCLKASFSSGSLKVPGGAGGGSARVSTIFSSSSCKLPSFSRGPRSFSACSVGLGKSS
+CRAASCLPALCLPSGGFATSYGMAGGWFGEGILTGNEKETMQFLNDRLASYLEKVRQLER
+ENAELESRIHEWCEQQVPYLCPDYQSYFQTIEELQKKTLCTKSENARLVVQIDNAKLAAD
+DFRTKYETEVSMRQLVESDMNGLRRILDDLTLCKADLEAQVESLKEELLCLKKNHEEEVN
+SLRCQLGDRLNVEVDAAPPVDLNRVLNEMRCQYETLVENNRREAEDWFNTQTEELNQQVV
+SSSEQLQSYQAEIIELRRTVNALEIELQAQHSMRDALESTLAETEARYSSQLAQMQGLIG
+NVESQLAEIRCDLERQNQEYQVLLDVRARLECEINTYRGLLDSEDCKLPCNPCAPDHSPS
+KSCLPCLPAASCGPGMARTTCSPRPICVPCPGSRF
+>tr|A0A3Q1M595|A0A3Q1M595_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=AADACL4 PE=4 SV=1
+MVVLWLVLPAALGAFFLGVFVWAVFEHFLTTDVPSALRHPAKFRFLHCIFVYVVTLGNIF
+EKIGVCSLLRFLQFLQKSVRIKEDPVLVVTNLSFGTIPVRLFQPKTPSPSPQRGIIFFHG
+GAALVGSLGKILCCGFAKEECASVACLLRYRKLPDHHYHTISNDCLNASIHFLKTLGTYG
+VDSSRVVLCGDSVGAGVAALISQILVGRLDLPQIRAQVLIYPLLQIINFQLPSFHQNSHI
+PFLTQKFMITCMFRYLLIDSSWWDAIVTGWLSTDNLPNRFKKTGCQPVFPAPFNEAAYLE
+NKHLLDVENSPLVRDDEIIAQLPEAFLVSCENDMLRDDALLYKKRLEDQGVPVKWYHVED
+GFHGSLMLFDKKSFSFPCSLKIMNAIVSYIKSIM
+>tr|A0A3Q1N7H0|A0A3Q1N7H0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=3 SV=1
+MAIDKNKRLTKGGKKGAKKKVVDPFSKKDWYDVKAPAMFNIRNIGKTLVTRTQGTKIASD
+GLKGRVFEVSLADLQNGEVAFRKFKLITEDVQDNS
+>tr|A0A3Q1MGM9|A0A3Q1MGM9_BOVIN Pleckstrin homology and RhoGEF domain containing G3 OS=Bos taurus OX=9913 GN=PLEKHG3 PE=4 SV=1
+MNLPGTPSPGSDARMPVSASLHQNGSQERPASLTSTTSSSGSSRDSRGAMEEPSGSEALA
+ENGEGSPCGQHLPNSNNNSSGWRNMRGSLSPFSKRTRPVAPGDKFGYLGRVVREIVETER
+TYVRDLRSIVEDYLLKIIDTPGLLNPEQVSALFGNIESIYALNSQLLRDLDSCNSDPVAV
+ASCFVERSQEFDIYTQYCNNYPNSVAALTECMQDKQQAKFFRDQQDLLQHSLPLGSYLLK
+PVQRILKYHLLLQEIAKHFDEEEDGFEVVEDAIDTMTCVAWYINDMKRRHEHAVRLQEIQ
+SLLLNWTGPDLTTYGELVLEGTFRVHRVRSEKTFFLFDKALLVTKKRGGHFVYKSHIPCS
+SLMLIESTRESLCFTVTHYKHGKQQYNIQAKTVEEKRRWTHHIKRLILDNHHTTIPQKAK
+EAILEMDSYYPNRYRHSPERLKKGSQDDVSAHVHQGRRQSEPTRRLFRQLSEKARATRVK
+GKERRESEEPKSCGRTRSLSPTPEEKCLNFESVTSLPEVEPDTESGTEQEVFASVEGPSA
+EEIPSDTEAPEVLEMQLDPHQLLLGLEPPDDMAEFMVAESTEDPKALSSEDDEEEVGASQ
+EPESLLPPSVLDQASVIAELFVSSVSRRSSLALEDGKSSGFGTPRLTSRSSSMVSLDDGE
+KGPAPRGGTTDSLGSQLLPEVDLSIGMAAESEPSVNGTEAPGPGCPAEPDRSSCPKESKL
+SSQDRQLLDRIKRYYENAEHQDAGFSVRRRESLSFIPKGLVRNSVSRINSLRKPDPEPQA
+PLGRKRQVGSRVALFDCPGPGQAGAGDPAPITDAEFRPSSEMVKIWEGMEAPKGSPPKGP
+GQGQANGFDLHEPLFILEEHELAAITEESAAASPDSASPTEPRSPTHLARELKELVRELS
+SDAQGKLVTPLHPHILQLSHVMDSHVSERVKNKVYQLARQYSLRIKSRSVTARPPLHWEK
+VASTVPMVQEEVGAPSGGTGVSKLGPPLSNHKQSAVQERRLPRPGSSREMSPQRVSFSSS
+ATSPRTTPPGAWHGPRSPFDTETFNWPDVRELCSKYASLDEVLQTKGGWPSKVPVNRSRS
+LPENMREPQLAPSGRLGRCGSLKARRAPVSPEAAQPQPLGESPPSEPDRGEALHVTADLM
+LEDNRRMIVMEKGPLPGPAAGLEAASGQGLGSAAAQMGKDQELQESAEYRPKEEGPRDPA
+DRSQQGRVRDLREKFQALNSTG
+>tr|A0A3Q1M9S2|A0A3Q1M9S2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=COL6A5 PE=4 SV=1
+MKILLIIFVPLFWTKTLSYQSPGPGPEYADVVFLMDSSDHLGIKSFPFVKTFINKMISSL
+PIEADKYHVGLAQYSDGLHREFLLSAFKSRGPMLNHLKKNFGFLGGSLRIGKALQEVHRA
+YFSSGRDRKQFPPILVVLASGESEDAVEEAAEALRKDGVRIVSVGIQGASEKTLKAMATG
+QFHYRLRTVRDLSAFSQNMTQILKDAAQYKDGTAYSDIEAGVCTNLLAFSLSVVPFPRAC
+KKDSIADLMFLVDEAVGTTENLRLLQNFLKNITSSMDVQDSCLRLGLMSYSDRVETVSPL
+SSSTTQSEFQEQIQKLSLRAGKSSAGAAIDQLRRKGFSAAHGSRRAQGVPQIAVLVTDRP
+SDDSVREVALDLRLQDVTVLALGIEGANKTQLEEIVSYPPEQTISMLQSYADLDNYSKKF
+LKKLQNEIWSQVSARAEQMELDKTGCVDTKEADLYFLIDGSSSIQKKHFEQIREFMLAVI
+RMFSIGPDKVRVGAVQYSHEKKVEFDISDYLDDVKLRKAVFNIKQLGGGTRTGAALDFML
+PLMREGRKQRMNEVPCHLIVLTDGISGDAVLEPAERLRAENITIHAIGIGKANRTQLQQI
+AREEERVNFGQNFDALKSITNEVVRSICTEKGCESMKADIMFLVDSSGSIGPENFEKMKT
+FMKNLLDKIQIGEDKSRIGVVQFSHYSKEEFQLDKYFTQKEISDAIDSMSPISDNTLTGS
+AITFVDPYFTESKGGRSMVKKFLILITDGEAQDDVRDPAKALRDKGVVIFSVGVYGANRT
+QLEEISGDGSLIFHVEKFDDLKAIESKLIFRVCALHDCKRIQQLDVVFVLDHSGSINPED
+QEHMINLTIHLVKKSDVGLDRVRFGALRYSDDPEVLFYLNKYSSKPAIIEHLRRRRDTAG
+RTYTAKALERANDMFTEEHGSRIKQNVRQMLIVITDGVSHDRDKLGEAASKLRAKGVIIY
+AVGVGAADQAELETMAGDKNYTIHVSGFDKLKDIYLPVQDSMCNNSQEACDIPEADVIFF
+CDGSDMVSDSDFVTMTTFLSDLIDNFDIPSERMKIGMAQFGSRYQEIIELQNSLTKTEWK
+SRIQAITKSKGLPRIDLALRKVGIMFEPSAGGRRSAGVPQTLVVITSGGPRYDVSKAVEA
+LREAGICILALGIGDVYKEQLLPITGSSEKIITFQDFDKLKNVDVKKRMIREICKSCGKT
+NCFMEIVVGFDISTHLPGQPLFHGHPRLQSYLPSILEDITSIRGVSCGAGAEVQVSVAFK
+VNSGQNVPAEFQIYQQTIFDSLLQVTVNGPTHLDAQFLQSMWDTFENVSTSQGQVLLIFS
+DGLGGESKMMLEDQSDRLREAGLDALLVVSLNETAHDEFSSFEFGKGFDYRTHLTIGMKE
+LGSMLSQYLGNIAERTCCCQFCKCPGIPGPQGSQGPQASQVPFSCDTGDTGDPGIPGGPG
+PKGFRGFTVSEKCPVYPTELVFALDQSNDITEQRFNEMRDIITSIVNDLHIRESNCPEGA
+RVVVVSYNSGTGYLIHGSDYRSKKQLLQLLSQIKYQSSGEVRDIGNAMRFVARNIFKRTP
+AGANVQRVAVFFSNGQAASRSSVITATMEFSALDISPAVFAFNEKVYLDEAFGFDNTGTF
+QVLSVPPNGEYDPLERLRRCTLCYDKCFPNTCKKEIVIPENSYMDVAFLLDNSRNVASDE
+FKDMKALVSSMLDNFEIASDPIVSDSGDRIALLSYSPWDRRKKNVVKTEFEFTTYNSQAL
+MKRYIETNLRQLNGEATIGHALLWVVENLFPATPKLRKYRVIFVVSAGENRERKEFLKKM
+ALRAKCQGYVIFVISLGLTSEEDMEELASHPLDHHLIQLGRIHKPNLDYVVKFLKPFVYS
+VRRGFNQYPPPVLENICQLIDSEDEENQGIVLVHLKPSFLSSRFTPEPYEISSGENIIGQ
+ELSALRDSSFVLEDNGSDHLVYVPSQMLMPQKLMTKYEKDWNSEATASLTSGHENLGRKE
+EPGLTYESRDASLQEYYMDVTFLIDASKRIGSDEFKEVKAFVTSVLDYFHLAPDPLTSTL
+GDRIAVLTYSPPGYMPNTEKCPVYLEFDLVTYNNIHQMKHHLQDSLQQLNGDVFIGHALQ
+WTLDNVFVGTPNLRRNKVIFVISAGETNPLDREVLRNVSLRAKCQGYSIFVFSFGPLHND
+KELEELASHPLDHHLVQLGRTHKPDLNYIIKFIKPFVHSIRRGINKYPPADMKPKCVNIT
+SPTPENNGIGNTVFLLPEVYEIEMENSELSGDPDSQQQHFFEIGNSHSNSSGINTNLIQR
+LYMLFSSGELMMQDKKEAHSEEITSPANDRQDEKGNLECRRVDKPEIPLSGFSLVEDEQL
+ELIWMNRYGIIDYVGSPKQGDKGDKTLEG
+>tr|A0A3Q1MMJ7|A0A3Q1MMJ7_BOVIN Calcium homeostasis modulator family member 5 OS=Bos taurus OX=9913 GN=CALHM5 PE=4 SV=1
+MKKELHPRPLPQRLCQQVRAKHFPSVYLQHGCSSGVSKIHPQPENFHWLQLHGSADRGRS
+WRLFTGCCVNPRKIFPRGHNCRFFSVLGQIILSSLVAPVMWLSVALLNGTFYECAMSGTQ
+SPRLLDLICKGKPEECWKELYKVSCGKTSMTPTDNEELKLSLQAQSQILGWFLICSASFF
+SLLTTCYARCRSKVSYLQLSFWKTYAQKEKEQLENTFVDYAKKLSDRNLKCFLENKRPDV
+FPMPTFAAWEAASELHSFHQNRQHYSTLHRVVEEGLDLRPEDEETTMMLMGTAQNVQLTH
+HH
+>tr|A0A3Q1MU33|A0A3Q1MU33_BOVIN Annexin OS=Bos taurus OX=9913 GN=ANXA5 PE=1 SV=1
+MVLRGTVADFPGFDERADAETLRKAMKGLGTDEESILTLLTSRSNAQRQEIAVAFKTLFG
+RDLLDDLKSELTGKFEKLIVALMKPSRLYDAYELKHALKGAGTDEKVLTEIIASRTPEEL
+RAIEQVYEEEYGSSLEDDVVGDTSGYYQRMLVVLLQANRDPDARIDEAQVEQDAQALFQA
+GELKWGTDEEKFITIFGTRSVSHLRRVFDKYMTISGFQIEETIDRETSGNLEQLLLAVVK
+SIRSIPAYLAETLYYAMKGAGTDDHTLIRVVVSRSEIDLYNIRKEFRKNFGTSLYSMIKG
+DTSGDYKKALLLLCGGEDD
+>tr|A0A3Q1MAT7|A0A3Q1MAT7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MEANKTLVMEFVLTGLTDLPGLQVPLFLVFLVIYLTTMVGNLGLIFLIWKDPHLHTPMYS
+FLGSLAFADACCSSSVTPKMFINFLSKNHLEQNNFTICMEIQKTSNSQSDLEKEEWNWRN
+QPA
+>tr|A0A3Q1MLZ5|A0A3Q1MLZ5_BOVIN G_PROTEIN_RECEP_F1_2 domain-containing protein OS=Bos taurus OX=9913 GN=LOC100848076 PE=3 SV=1
+MSTLKNVTVFILVGLTQNPQMQKIVFVVFLVLYMVTLSGNLLIVVTITTSQALNSPMYFF
+LTHLSLIDTIYSSSSAPKLIVDSLQEIKTISFNGCMAQVYAEHIFGATEIILLTEMAYDH
+YVAICKPLHYTAIMSHRLCVLLLGVAWTGGFLHATIQVLFTVWLPFCGPNVIDHFMCDLY
+PLLKLVCVDTHILGLFVAANSGFICLLNFLLLLVSYVVILHSLRAYSLEGRHRALSTCVS
+HITVVVLSFVPSVAVFYTMVAPMLNPLIYTLRNAEVKNAMKKHWRKKVTADSD
+>tr|F1MH08|F1MH08_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC518561 PE=3 SV=2
+MPSFNQSIFHPTVFFLTGIPGFEIYHAWVFIPFCCLYVIAISGNGMILFVIITESSLHEP
+MYYFLSMLSFSDLGLCLSTLVTMVGIFWFNVREISFDACIGQMFFIHGFTLMESSVLLAM
+AFDRYIAICNPLRYATILTNSTIIKVGFAIVVRVTTVVVPLLLLLKHLSFCGSHVLHHSY
+CFHPDVMKLSCTDTKINSAFGLAIVISTAALDSVLILLSYVPIINSVLSIASPEERKKAF
+GTCVSHISAVAIFYIPTISLSLVHRFGKHAPPLVHTLIANVYLLIPPVMNPIIYSVKTKQ
+IRKAMVKIFLSKLI
+>tr|E1BDG0|E1BDG0_BOVIN Mucolipin 3 OS=Bos taurus OX=9913 GN=MCOLN3 PE=4 SV=1
+MANPEAAISSCSSHEEENRCTFNQHTSPSEELLLEDQMRRKLKFFFMNPCEKFWARGRKP
+WKLAIQILKIAMVTIQLIFFGLSNQMVVAFKEENTIAFKHLFLKGYIDRMDDTYAVYTQR
+DVYDQIVFAVNQYLQLCNVSVGNHAFENKGTEQSAMAVCWHFYKQGNIYPGNDTFDIDPE
+IETECLFVEPNEPFHIGTLEENKLNLTLDFHRLITVELQFKLKAINLQTIRHHEFPDCYD
+FTLTITFDNKAHSGRIKISLDNDISIRECKDWHVSGSIQKNTHYMMIFDVFVILICLASL
+ILCVRSVVRGLQLQQEFVNFFLLHYKKEVSVSDRMEFVNGWYIMIIISDILTIIGSILKM
+EIHAKSLTSYDVCSILLGTSTMLVWLGVIRYFGFFQKYNLLILTLQAALPNVIRFCCCAA
+MIYLGYCFCGWIVLGPYHDKFRSLNMVSECLFSLINGDDMFATFAKMQQKSYLVWLFSRI
+YLYSFISLFIYMILSLFIALITDTYETIKHYQQDGFPETELRIFISECKDLPNSGKYRLE
+EDNPVSIFCCCKK
+>tr|A0A3Q1LVP9|A0A3Q1LVP9_BOVIN Lysine demethylase 1B OS=Bos taurus OX=9913 GN=KDM1B PE=4 SV=1
+LIYLFLLFFSHKDGYDKYTTWKKIWTSNGKTEPSPKAFMADQQLPYWVQCTKPECRKWRQ
+LTKEIQLTPQIAKTYRCGMKPNTAVKPETSDHCSLPEDLRVSEVPNHWWYSMLILPPLLK
+DSVAAPLLSAYYPDCVGMSPSCTSTHRVTSDASPGKLEHPKAVPSVLVPGMNRYFQPFYQ
+PNECGKALCVRPDVMELDELYEFPEYSRDPTMYLALRNLVLALWFTNCKEALTPQKCIPH
+IIVRGLVRIRCVQEVERILYFMTRKGLINTGVLSVGPDQHLLPKDYHNKSVIIIGAGPAG
+LAAARQLHNFGIKVTVLEAKDRIGGRVWDDKSFTGVTVGRGAQIVNGCVNNPVALMCEQL
+GISMHKFGERCDLIQEGGRITDPTIDKRMDFHFNALLDVVSEWRKDKTQLQDVPLGEKIE
+EIYKAFIKESGIQFSELEEQVLQFHLSNLEYACGSNLHQVQSIDYSGDEVQVTTTSGAVC
+TAQKVLVTVPLALLQKGAIQFNPPLSDKKMKAINSLGAGIIEKIALQFPYRFWDSKVQGA
+DFFGHVPPSASKRGLFAVFYDMDPQKQHSVLMSVIAGEAVAAVRSLEDKQVLQQCMATLR
+ELFKEQEVPDPTKYFVTRWSTDPWIQMAYSFVKTGGSGEAYDILAEEIQGTVFFAGEATN
+RHFPQTVTGAYLSGVREASKIAAF
+>tr|A0A3Q1M5P6|A0A3Q1M5P6_BOVIN Phospholipase A(2) OS=Bos taurus OX=9913 GN=PLA2G5 PE=3 SV=1
+MRTSADWRVMGCVFFSRTLEMKGLLMLAWFLACSVPAVPGSLLDLKSMIEKVTGKPALKY
+YGFYGCYCGWGGHGTPMDGTDWCCWKHDHCYAQMETQDCDVLTQAYRYRVAWGFIICGKA
+GASIRVTRRAWVPAVSEVSCPESSASLYLGGQRWGQERQDYCSCV
+>tr|A0A3Q1MDV7|A0A3Q1MDV7_BOVIN Ubiquitin specific peptidase 34 OS=Bos taurus OX=9913 GN=USP34 PE=3 SV=1
+MILFAFSYLSVLNTALSGSAPPEKLLEVLCVCVCVCVRARLCAHTQSGWVGWEGSKGYGG
+LSNCFLIRLYYFLTSLIFLLLEVLNLRKEHTLKIFAYINSWTQRQCLCCFKEYKHLEIFN
+QVVCALINLVIAQVQVLRDQLCKHCTTINIDSTWQDESNQAEEPQNIERECNEGSTERQK
+SIEKKSNSTRICNLTEEESSKNSDPFSLWSTDEKEKLLLCVAKIFQIQFPLYTAYKHNTH
+PTIEDISTQESNILGAFCDMNDVEVPLHLLRYVCLFCGKNGLSLMKDCFEYGTPETLPFL
+IAHAFITVVSNIRIWLHIPAVMQHIIPFRTYVIRYLCKLSDQELRQSAARNMADLMWSTV
+KEPLDTTLCFDKESLDLAFKYFMSPTLTMRLAGLSQITNQLHTFNDVCNNESLVSDTETS
+IAKELADWLISNNVVEHIFGPNLHIEIIKQCQVILNFLAAEGRLSTQHIDCIWAAAQLKH
+CSRYIHDLFPSLIKNLDPVPLRHLLNLVSALEPSVHTEQTLYLASMLIKALWNNALAAKA
+QLSKQSSFASLLNTNLPIGNKKEEEELRRAAPSPWSPAASPQSSDNSDTHQSGGSDIEMD
+EQLINRTKHVQQRLSDTEESMQGSSDETANSGEDGSSGPGSSSGHSDGSSNEVNSSHASQ
+SAGSPGSELQSEDIADIEALKEEDEDDDHGHNPPKSSCGTDLRNRKLESQAGICLGDSQG
+PSERSGTNNGTGKDLVFNTESLPSVDNRIRMLDACSHSEDPEHDISGEMNAAHIAQASQE
+SCITRTGDFLGEAIGNELFNCRQFIGPQHHHHHHHHHHHHHDGHMVDDMLSADDVSCSSS
+QVSAKSEKNMADFDGEESGCEEELVQINSHAELTSHLQQHLPNLASIYHEHLSQGPAVHK
+HQFSSNAVTDINLDNVCKKGNTLLWDIVQDDDAVNLSEGLINEAEKLLCSLVCWFTDRQI
+RMRFIEGCLENLGNNRSVVISLRLLPKLFGTFQQFGSSYDTHWITMWAEKELNMMKLFFD
+NLVYYIQAVREGRQKHALYSHSAEVQVRLQFLTCVFSTLGSPDHFRLSLEQVDILWHCLV
+EDSECYDDALHWFLNQVRSKDQHAMGMETYKHLFLEKMPQLKPETISMTGLNLFQHLCNL
+ARLATSAYDGGSNSELCGMDQFWGIALRAQSGDVSRAAIQYINSYYINGKTGLEKEQEFI
+SKCMESLMIASSSLEQESHSSLTVIERGLLMLKTHLEAFRRRFAYHLRQWQIEGTGISSH
+LKALSDKQSLPLRVVCQPAGLPDKMTIEMYPSDQVADLRAEVTHWYENLQKEQINQQAQL
+QEFGQSSRKGEFPGGLMGPVRMISSGHELTTDYDEKALHELGFKDMQMVFVSLGAPRRER
+KGEGVQLPASCLPPPQKDNIPMLLLLQEPHLTTLFDLLEMLASFKPPSGKMAVEDSESLR
+CEELHLHAENLSRRVWELLMLLPTCPNMLMAFQNISDEQSNDGLNWKELLKIKSAHKLLY
+ALEIIEALGKPNRRIRRESTGSYSDLYPDSDDSSEDQVENSKNSWSCKFVAAGGLQQLLE
+IFNSGILEPKEQESWTVWQLDCLACLLKLICQFAVDPSDLDLAYHDVFAWSGIAESHRKR
+TWPGKSRKAAGDHAKGLHIPRLTEVFLVLVQGTSLIQRLMSVAYTYDNLAPRVLKAQSDH
+RSRHEVSHYSMWLLVSWAHCCSLVKSSLADSDHLQDWLKKLTLLIPETAVRHESCNGLYK
+LSLSGLDGGDSINRSFLLLAASTLLKFLPDAQALKPIRIDDYEEEPMLKPGCKEYFWLLC
+KLVDNIHIKDASQTTLLDLDALARHLADCIRSREILDHQDGNIEDDGLTGLLRLATSVIK
+HKPPFKFSREGQEFLRDIFNLLFLLPSLKDRQQPKCKSHSSRAAAYDLLVEMVKGSVENY
+RLIHNWVMAQHMQSHAPYKWDYWPHEDVRAECRFVGLTNLGATCYLASTIQQLYMIPEAR
+QAVFTAKYSEDMKHKTTLLELQKMFTYLMESECKAYNPRPFCKTYTMDKQPLNTGEQKDM
+TEFFTDLITKIEEMSPELKNTVKSLFGGVITNNVVSLDCEHVSQTAEEFYTVRCQVADMK
+NIYESLDEVTIKDTLEGDNMYTCSHCGKKVRAEKRACFKKLPQILSFNTMRYTFNMVTMM
+KEKVNTHFSFPLRLDMTPYTEDFLMGKSDRKEGFKEVSDHSKDTESYEYDLIGVTVHTGT
+ADGGHYYSFIRDIVNPHAYKNNKWYLFNDAEVKPFDSAQLASECFGGEMTTKTYDSVTDK
+FMDFSFEKTHSAYMLFYKRMEPEEESGKEYKFDVSSELLEWIWHDNMQFLQDKNIFEHTY
+FGFMWQLCSCIPSTLPDPKAVSLMTAKLSTSFVLETFIHSKEKPTMLQWIELLTKQFNNS
+QAACEWFLDRMADDDWWPMQILIKCPNQIVRQMFQRLCIHVIQRLRPVHAHLYLQPGMED
+GSDDMDASVEDIGGRSCVTRFVRTLLLIMEHGVKPHSKHLTEYFAFLYEFAKMGEEESQF
+LLSLQAISTMVHFYMGTKGPENPQVEVLSEEEGEEEEEEEDILSLAEEKYRPAALEKMIA
+LVALLVEQSRSERHLTLSQTDMAALTGGKGFPFLFQHIRDGINIRQTCNLIFSLCRYNNR
+LAEHIVSMLFTSIAKLTPEAANPFFKLLTMLMEFAGGPPGMPPFASYILQRIWEVIEYNP
+SQCLDWLAVQTPRNKLAHSWVLQNMENWVERFLLAHNYPRVRTSAAYLLVSLIPSNSFRQ
+MFRSTRSLHIPTRDLPLSPDTTVVLHQVYNVLLGLLSRAKLYVDAAVHGTTKLVPYFSFM
+TYCLISKTEKLMFSTYFMDLWNLFQPKLSEPAIATNHNKQALLSFWYNVCADCPENIRLI
+VQNPVVTKNIAFNYILADHDDQDVVLFNRGMLPAYYGILRLCCEQSPAFTRQLASHQNIQ
+WAFKNLTPHASQYPGAVEELFNLMQLFIAQRPDMREEELEDIKQFKKTTISCYLRCLDGR
+SCWTTLISAFRILLESDEDRLLVVFNRGLILMTESFNTLHMMYHEATACHVTGDLVELLS
+IFLSVLKSTRPYLQRKDVKQALIQWQERIEFAHKLLTLLNSYSPPELRNACIDVLKELVL
+LSPHDFLHTLVPFLQHNHCTYHHSNIPMSLGPYFPCRENIKLIGGKSNIRPPRPELNMCL
+LPTMVETSKGKDDVYDRMLLDYFFSYHQFIHLLCRVAINCEKFTETLVKLSVLVAYEGLP
+LHLALFPKLWTELCQTQSAMSKNCIKLLCEDPVFAEYIKCILMDERTFLNNNIVYTFMTH
+FLLKVQGQVFSEANCANLISTLITNLINQYQNLQSDFTNRVEISKASAALNGDLRALALL
+LSVHTPKQLNPALIPTLQELLSKCRTCLQQRNSLQEQEAKERKTKDDEGATPVKRRRVSS
+DEEHTVDSCISDLKTETREVLTPTSTSDNETRDSSIIDPGTEQDLPSPENSSVKEYRMEV
+PSSFSEDTSNIRSQHAEEQSNSGRFEDCKELNDLHCSKGSNLAEEESEFPSTSLSAVLSD
+LADLRSCDGQALSSQDPEVALSLSCGHSRGLFSHMQQHDILDTLCRTIESTIHVVTRISG
+KGNQAAS
+>tr|F1N3K2|F1N3K2_BOVIN ATP binding cassette subfamily G member 8 OS=Bos taurus OX=9913 GN=ABCG8 PE=4 SV=3
+MPLSDVPLASVCLCVISAAGRAHSPCLWEGLPERNAGSQTRGCPALGSRQHWPWSAAAKP
+KGNCRVKAQTLQGPMAEEAPKKTGLERSTAPQDDLGLQDSVFSSESDNSLYFTYSGQPNT
+LEVRDLSYQMDMASQVPWFKQLAHFKMPWTSHKDSCEQGIQNLSFKMRSGQMLAVIGSSG
+CGRASLLDVITGRGPGGKIKSGQIWINGQPSTAQLVRKCVAHVRQHDQLLPNLTVRETLA
+FVAQLRLPRNFSQAQRDKRVDDVIAELRLRQCANTRVGNIYVRGVSGGERRRVSIGVQLL
+WNPGILILDEPTSGLDSFTAHNLVKTLSRLAKGNRLVLLSIHQPRSDIFGLFDLVLLMTS
+GTTIYLGAAQHMVQYFTAVGHPCPRYSNPADYYVDLTSIDRRSKEQEVATRETARSLAAL
+FKEKVRGFDDFLWTAESREQSVGTCVQSPAPDTNLFQAPTKLPGPLQQFAILIRRQISND
+FRDLPTLLIHGAEACLMSLIIGFLYYGHGAIQLSLTDTAALLFMIGALVPFNVILDVISK
+CHSERALLYYELEDGLYTAGPYFFAKILGEFPEHCVYIIIYGMPIYWLANLRPGLEPFLL
+HFLLVWLVVFCCRVMALAAAALLPTFHMSSFFGNALYNSFYLTGGFMISLDNLWTVPALI
+SKVSFLRWCFEGLMKIQFSGHAYYMEAGNITIRIPGDMILNSMGLNSYPLYAIYFFVIGI
+SCGFVILYYLSLRFIKQKSSQDW
+>tr|E1BK49|E1BK49_BOVIN Regulation of nuclear pre-mRNA domain containing 2 OS=Bos taurus OX=9913 GN=RPRD2 PE=4 SV=2
+MAAGGGGGGSKASSSSASSAGALESSLDRKFQSVTNTMESIQGLSSWCIENKKHHTTIVY
+HWMKWLRRSAYPHRLNLFYLANDVIQNCKRKNAIIFRESFADVLPEAAALVKDPSVSKSI
+ERIFKIWEDRNVYPEEMIMALREALSTTFKTQKQLKENLNKQPNKQWKKSQTSTNPKAAL
+KSKIVAEFRSQALIEELLLYKRSEDQIELKEKQLSTMRVDVCSTETLKCLKDKTGGKKFS
+KEFEEASAKLEEFVNGLDKQVKNGPSLTEALENAGIFYEAQYKEVKVVANAYKTFANRVN
+NLKKKLDQLKSTLPDPEESPVPSPSVDAPSPTGSESPFQGMGGEESQSPNAESEKSATPE
+PATDNRDVEDMDLSDVEDDGSKIIVEDRKEKPVEKSTVSTAVPTKPTESISKASSCTPAP
+VTMTATPPLPKPVNTSLLSPTPALALPNLANVDLAKISSILSSLTSVMKNTGVSPASRPS
+PGTPTSPSSLTSGLKTPAPATTTSHNPLANILSKVEITPESILSALSKTQTQSAPALQGL
+SSLLQSVTGNPVPSSEATPQSTSASPANTTVSSIKGRNLPSNTQSFIPKSFNYSPNSSTS
+EVSSTSASKASIGQSPGLPSTTFKLPSNTLGFTGNHSASPAAPPTEVAMCQSSEASKPKL
+ESESTSPSLEMKIHNFLKGNPGFSGLNLNIPILSSLGSSAPAESHPSDFQRGPTSTSVDN
+IDGTPVRDERSGTPTQDEMMDKPTSSSVDTMSLLSKIISPGSSTPSSTRSPPPGREESFP
+RELSSSVSTFRPFGLGSDSPYKQPSDGMERPSSLMDSSQEKFYPDTSFQEDEDYRDFEYS
+GPPPSAMMNLEKKPAKSILKSSKLSDTTEYQPILSSYSHRGQEFGVKSAFPASVRALLDS
+SENCGRLSSPPGLFSAFGVRGNEAGSDRSPSPSKNDSFFTPDSNHNSLSQSATGHLTLPQ
+KQYPDSPHPVPHRSLFSPQNTLAAPTGHPPTSGVEKVLASTISTTSTIEFKNMLKNASRK
+PSDDKHFGQAPNKGTSSDGVSLSNLAQLSLTAADQQQQEEHYRIETRVSSSCLDLPDSTE
+EKGAPIETLGYHNASSRRMSGEPIQTVESIRVPGKGNRGHGREASRVGWFDLSTSGGSFD
+NGPSSASELASLGGGGSGGLTGFKTAPYKERAPQFQESVGSFRSNSFNSTFEHHLPPSPL
+DHGTPFQREPVGPSSAPPAPPKDHGGIFSRDAPTHLPSVDLSNPFAKEAALTHAAPPPGE
+HGGVPFPTPPPPPPPGEHSSSGGSGVPFSAPPPPPPPGDHSGVVPFPTPPLAEHGVAGAV
+AVFPKDHSSLLQGTLADHFGVLPGPRDHGGPTQRDLNGPGLSRVRESLSLPSHSLEHLGP
+AHGGGGGGGSNSSSGPPLGPSHRDAINRSGMILRSPRPDFRPREPFLSRDPFHSLKRPRP
+PFARGPPFFAPKRPFFPPRVSNIPEDGAKIRSGACDSDQLLPPQTEPLET
+>tr|A0A3Q1M1B7|A0A3Q1M1B7_BOVIN Transmembrane 7 superfamily member 3 OS=Bos taurus OX=9913 GN=TM7SF3 PE=4 SV=1
+MGLLRLLLIVVLASVTRGACGAEIVGNSSEGLLEFSVGKFRYLELKKPFPEEAILRHISS
+NVTFVIFQIHSQYQNTTISFSETLLPNASETGTDKGLVSILRPEQSMCTWHLETLDPEPV
+QNVAIPLSYSESDPIPGGCNLEFDVDIDPNIYLEYNFFETTIKFAPANLGYARGADPPSC
+DVKMGPDSRWRLQYDVYQYFLPENDLTEEVLLRHLQRMAEVPQVQANAVKVVTLTADDKT
+SVSFSSLRGQGVIYNVIVRDPFLNTSTAYVPTHTYACSFEAEEGNCSSLVRLIVTAIAGS
+IGGIFLVAAWWRFGVLTLCMLCVGLVLGFLVASVAFFTPLGNLKIFRVDAVFWVTFSCIA
+IFIPVVFMGCLRILNILSCGFIGSYSVVLAVDSYLYTSLSYITLNILRRALHVDFRRAFV
+NVPFQTNDFIVLAVWGMLAVSGITLQIRRERGRPFFPPHPYKLWKRERERRVTNILDPSY
+HIPPLRERLFSRLAQIEGLFQKEQPAGERTPLLL
+>tr|A0A452DJN0|A0A452DJN0_BOVIN Reticulon-4-interacting protein 1, mitochondrial OS=Bos taurus OX=9913 GN=RTN4IP1 PE=4 SV=1
+MGFLKTCVFRRNACTAVCFWRSQVVQKPSVRKISTTSPRSTVMPAWVIDKYGSNEVLRFT
+QNMMIPMIHYPNEVIIKVHAASINPIDVNMRSGYGATALNMKRDPLHVKIKGEEFPLTLG
+RDVSGVVMECGLDVRYFKPGDEVWAAVPPWKQGTLSEFVVVSGNEVSHKPRSLTHTQAAS
+LPYVALTAWSAINKVGGLNDRNCTGKRVLILGASGGVGTFAIQVMKAWDAHVTAVCSQDA
+SELVRKLGADDVIDYKSGNVEAQLKSSKPFDFILDNVGGSTETWALKFLKKWSGATYVTL
+VTPFLLNMDRLGIADGMLQTGVTVGSKTLKHFWQGVHYRWAFFMASGPCLDDIAELVEAG
+KTHQENMRSAV
+>tr|E1BHQ6|E1BHQ6_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC100139408 PE=3 SV=3
+MTEGNSTEVTEFFLLGFGAQYKYQYFLFTGFLVIYVTSMVGNIGMILLIKTDSRLQTPMY
+FFLQHLAFVDICYTSAITPKMLQNFTVGSKLISFKGCVMQLLIYATFATSDCYLLATMAV
+DRYVAICNPLHYPTVMSRRVCIPLVAGSYIMGFINASVHTGFTFSLAFCKGNTINHFFCD
+VPPILALSCSNIDINIMLLAVFVGFNLIFTELVIIFSYGNIITTILKMSSTTGRKKAFST
+CASHLAAVTIFYGTLSYMYLQPHSNNSQENMKVASMFYGIVIPMLNPLIYSLRNKDVKES
+LKVIWKKKILLGWTKL
+>tr|F2Z4B9|F2Z4B9_BOVIN Dysbindin domain containing 2 OS=Bos taurus OX=9913 GN=DBNDD2 PE=4 SV=3
+MRGRGSPDPFPARAPSRGVRASKCGAWPRVPGSQSGCRARRLRRGLPGESGSRGCGGPCP
+RGPAGRSKCPGSRGVGRSIQGVRRVAGRRDACRELFVRAGTTSARARGGSRRPPGAQQGA
+RVPAAALPPLPPAGASSFPAPGARPSRGRPGGAAPPRSARPAPTPPPPRRSGQPESAGAP
+GAAGADMDPNPRAALERQQLRLRERQKFFEDILQPETEFVFPLSHLHPESHRPPIGSISS
+MEVNVDTLEQVELIDLGDQDGADVFLPCEDPPPTPQTSGVDERPEEPSLPVPTPDRTTSR
+TSSLSSNSSTNPHSADASDGGADTPLAQSDEEEDGGHDGGAEPGACS
+>tr|G3MX53|G3MX53_BOVIN Fibrillarin like 1 OS=Bos taurus OX=9913 GN=FBLL1 PE=3 SV=2
+GRGAFGGGFGARTRGFGGGRGRGRGGAGGDGRGDRGGGGQLRGVAKNKNRRRKSVLTVSV
+EPHRHEGVFIYRGAEDALVTLNMVPGQSVYGERRVTVTEGGEKLEYRTWNPFRSKLAAAI
+LGGVDQIHIKPKSKVLYLGAASGTTVSHVSDIIGPDGLVYAVEFSHRAGRDLVNVAKKRT
+NIIPVLEDARHPLKYRMLIGMVDVIFADVAQPDQSRIVALNAHTFLRNGGHFLISIKANC
+IDSTASAEAVFASEVRKLQQENLKPQEQLTLEPYERDHAVVVGVYRPLPKSSSK
+>tr|A0A3Q1LQJ3|A0A3Q1LQJ3_BOVIN Secretagogin OS=Bos taurus OX=9913 GN=SCGN PE=4 SV=1
+MDSAREPTQGSLDAAGFWQVWQRFDVEEKGYIEEKELDAFFYHMLTKLGVDDAVKEENVQ
+KMKQQFMAPHNVSKDGCIQMKELAGMFLSEDENFLLLFRQETPLDSSVEFMRIWRKYDAD
+SSGFISAAELCNFLRDLFLHHKKAISEAKLEEYTGTMMKIFDKNKDVAWKWCQRFRNTFL
+GACSSEERKRDFEKIFAHYDVSKTGALEGPEVDGFVKDMMELVQPSIRGVDLDKFREILL
+RHCDVNKDGKIQKSELALCLGLKINP
+>tr|A0A3Q1M3I6|A0A3Q1M3I6_BOVIN Serine/threonine-protein phosphatase 2A 55 kDa regulatory subunit B OS=Bos taurus OX=9913 GN=PPP2R2A PE=3 SV=1
+MAGAGGGNDIQWCFSQVKGAVDDDVAEADIISTVEFNHSGELLATGDKGGRVVIFQQEQE
+NKIQSHSRGEYNVYSTFQSHEPEFDYLKSLEIEEKINKIRWLPQKNAAQFLLSTNDKTIK
+LWKISERDKRPEGYNLKEEDGRYRDPTTVTTLRVPVFRPMDLMVEASPRRIFANAHTYHI
+NSISINSDYETYLSADDLRINLWHLEITDRSFNIVDIKPANMEELTEVITAAEFHPNSCN
+TFVYSSSKGTIRLCDMRASALCDRHSKLFEEPEDPSNRSFFSEIISSISDVKFSHSGRYM
+MTRDYLSVKIWDLNMENRPVETYQVHEYLRSKLCSLYENDCIFDKFECCWNGSDSVVMTG
+SYNNFFRMFDRNTKRDITLEASRENNKPRTVLKPRKVCASGKRKKDEISVDSLDFNKKIL
+HTAWHPKENIIAVATTNNLYIFQDKVN
+>tr|A0A3Q1M3J6|A0A3Q1M3J6_BOVIN Muscular LMNA interacting protein OS=Bos taurus OX=9913 GN=MLIP PE=4 SV=1
+MDFEKHGKGSLLNENLEEKLTFDSSEYFTLNVGRQQERERGALTCPSEAEDKASQGRESK
+EKKPQGMQQSDLFKAEYVFIVDSEGEEEVPGRKGDQGPPVGTGPPAARPASLAISSSLAS
+DAVRPKTRGADLQAPSHPERPQAMASQQRHGQLTSPTASEQLARKPPAFSFVSPTNQKTP
+PAPGDLASASVLEEFHSRRLDARGALVEETTTYFQTSAHSAPFFAPKGTSSTSQVPQPAQ
+LSGSPSSSPSTAQQNPGQTSEVLKKTVTSNVLSPRESPRASSPSPASGASLKSSAASYIP
+VRIVTHSLSPSPRAFPSPFHGSSSTVCSQASSSGSLSRSGVKPPVPSRLSVLTAILKSSP
+SHQRPLSPASCPTFSLNSLASSTLTLDQKVKQTPSTPKKSLSSCSLRSGSPEQRENPVSD
+LSQPSFPFPSPTKAAPPPQASALFPPKQGGSSFASVIVEKMPSPTLKSSPVTSQLQTGTS
+SSVGLPPVPPSFSPLSSKGRQDADPRGPGKPRDICSHASTSPSSTLSSVSPPTNPRAMLS
+SPERRYHPSPALSNLINRSKSAQAPVSSQRQTPSTPPPAPVSSSSSASLPCLGSSALPLA
+NPPTKTHQLSPSALHPNLSLPSRLGKSESSISDHRSSVSAPSPPFCLTRTKELSSPCALS
+MSAGPENKKPKQYKTKSSYKAFAAIPTNTLLLEQKALDEPAKTDSISKDSTLDPPLELCS
+PAQLRQQTEELCATIDKVLQDSLSMHSSDSPSSSLQTFLGSDTIKMPTTLPRAAGRETKY
+ANLSSPSSTVPESQLTKPGVIRPAPVKSKILLKKEEEVYEPNPFSKYLEDNSDFFSEQDV
+PAPPKPVSLHPLYQTRLHPPAKSLLRPQTRPHADGLTPGPFSHLSSFSLSDEQENSHTLF
+SHNAYNKLSHPMMAIPEHETLDSKEQ
+>tr|A0A3Q1MD88|A0A3Q1MD88_BOVIN Metallophosphoesterase domain containing 2 OS=Bos taurus OX=9913 GN=MPPED2 PE=4 SV=1
+MAHGIPSQGKVTITVDEYSSNPTQAFTHYNINQRNLPYEYKIVIAGNHELTFDKEFMADL
+VKQDYYRFPSVSKLKPEDFDNVQSLLTNSIYLQDSEVTVKGFRIYGAPWTPWFNGWGFNL
+PRGQSLLDKWNLIPEGIDILMTHGPPLGFRDWVPKELQRVGCVELLNTVQRRVRPKLHVF
+GGIHEGYGIMTDGYTTYINASTCTVSFQPTNPPIIFDLPNPQGS
+>tr|A0A3Q1MAD0|A0A3Q1MAD0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+TNKHMKREAALNIPFKVHDLCPDNCCLTFSSFPFWLAQICLLF
+>tr|E1B7B4|E1B7B4_BOVIN Meprin A subunit OS=Bos taurus OX=9913 GN=MEP1B PE=4 SV=2
+MTSWYLPWFLCSAALLLVSGMPTPEIFDVDNGLDRDIFDINEDLGLDLFEGDIILDEIQE
+RNAIIGERYRWPHTIPYVLDDSLEMNARGVILKAFERYRLKSCIDFKPWSGEPNYISVFK
+GNGCWSSVGKQLTGIQELSIGEGCDKIATVQHEFLHALGFWHEQSRSDRNDYVSIIWNRI
+ISGKERNFDFYDDQVIDSMNVPYDYSSVMHYGKTAFGNGSEPTIVTRVPDFMDVIGQRMD
+FSDSDVLKLNQLYNCSSSLSFMESCDFELGNVCGMIQSSADSADWQRLSEVSEGPESDHS
+NMGRCRGSGFFMHFNSSSVNEGATAILESRILYPKRGFQCLQFFLYNSGSEDDQLNIYIR
+EYSTAHLSRTLTLVEQIKDIPSGSWQLYHVTLNATNKFRVVFEGVRGAGASLGGLSIDDI
+NLSETRCPHHVWHIRNFTQLIGSPNGSFYSPPFYSSKGYAFQIYLNLDSLPKIGMYFHLI
+SGANDDHLEWPCPWQQVTITILDQTPDIRQRMSKQQSLTTDPFLTIDNGNYFWDRPSKVG
+AQVIFPNGTQFQRGRGRGFPNFMSQEMLKSRDFIKGDDVYILLTVEDISHLNTQNDPLPT
+LNVNDLCTSFRCENDGICILQNGKAECRCRSGDDWWYMGERCEKRGSTRDTIVIATSSTA
+AVFALMLIITLVSVYCTRKKYRKEPSSRTVNTIMENQYAL
+>tr|A0A3Q1MPU9|A0A3Q1MPU9_BOVIN Cystatin domain-containing protein OS=Bos taurus OX=9913 GN=LOC617402 PE=4 SV=1
+VVLTCTDYIHENYNNKSNDSYLFGIDKLLRSQVQLTTGVEYLITVKISRTKCKRNNIDNC
+PLQEGPEGKKVNCTFIVDSRPLYTKFTLLNSTCQQI
+>tr|A0A3Q1LV13|A0A3Q1LV13_BOVIN ArfGAP with RhoGAP domain, ankyrin repeat and PH domain 2 OS=Bos taurus OX=9913 GN=ARAP2 PE=4 SV=1
+MSSVSEVNVDIRDFLMSINLEQYLLNFREFGFNNVRDCAEINDSVLLKVGISPTGHRRRI
+LKQLQIILSKMQDIPIYANIHKTKKNDETSKDHQAPSSAQNTSLEFSDSHGVQIPSRIQL
+ETVTKNVGENEVCVENSQSLKSDDKLTLPTHNFPIPEDEPHSNSGSFQDSLLRNEITDIE
+SLITEKTVGCTAEEEHTGRDDLISENVGKLPNADLEFLSSHDSSVSEANSGNGTNVLLES
+SPPSPFFQFQGEMVVNDLYVPSSPVLTPMRSRSKLVSRPSRSFLLRHRPVPEIPGSTKGI
+SGSYFRERRNVATSTGKSVTQQNTNEENSSSILPYGETFLLQRLENSKKRSIKNEFWTQE
+ETLKGETTTERNSFFVKSSIYDNRTENISENKVEDIWIPREDKSNLPIDSASESEYSTVE
+ECFQSLRRKNSKPSKSRTQKAANLDPVNRHSYPLSSTSGNADSPVISSNVISPYACFYGS
+STRKVKSGWLDKLCPQGKRMFQKRWVKFDGLSISYYNNDKEKYSKGIIPLSAISTVRVQG
+DNKFEVVTTQRTFVFRVEKEEERNDWISLLLNALKLQALSSQSQAAIAPEKCGYLELRGY
+KAKIFTVLSGNSVWLCKNEQDFKSGLGITIIPMNVANVKQVDRTVKQSFEIITPYRSFSF
+TAESEKEKQEWIEAVQQSIAETLSDYEVAEKIWYNESNRSCADCKAPDPDWASINLCVVI
+CKKCAGQHRSLGPKDSKVRSLKMDASIWSNELIELFIVIGNKRANDFWAGNLQKDEELHM
+DCSVEKRKNFITQKYKEGRFRKTLLASLTKEELNKALCAAVVKPDVLETMALLFSGADVM
+CATGDPEHSTPYLLAKKAGQSLQMEFLYHNKFSDFPQHDIYSEGRLNQESSQSTFLCDFL
+YQAPAGASKLSSEKKLLEETNKKWCVLEGGFLSYYENDKSTTPNGTININEVICLAVHKE
+DFCLNTGPIFTFEIYLPSERAFLFGAETSQVQRKWTEAIAKHFVPFVAENLTEADYDLIG
+QLYYKDCHALDQWRKGWFAMDKSNLRFCLPMQEGQEDRMHLRRLQELTISTVVQNGEKLD
+VLLLVEKGRTLYIHGHTKLDFTVWHTAIEKAAGTDGNALQDQQLGRNDVPIIVNSCIAFV
+TQYGLGYKNIYQKNGDPLHVSELLESFKKDARSFKLRAGKHQLEDVTGVLKSFLSDIDDA
+LLTKELYPYWISALDTQDDKERIKKYGAFIRTLPGVNRATLAAIIEHLYRVQKCSEINHM
+SAHNLALVFSSCFFQTKGQTSEEVNVIEDLINNYVEIFEVKEDQVKQMDIENSFITKWKD
+TQVSQAGDLLIEVYVERKEPDCSIIIRISPVMEAEELTNDILAIKNIIPTKDDIWATFEV
+IENEELERPLHYTENVLEQVLRWSSLAEPGSAYLVVKRFLTIDTIKHYNERKALENIKEG
+VLKVKEEPSKILSGNKFQDRYCVLRDGYLLLYKDLKSSKPDKMFSLSSVKFYLGVKKKMK
+PPTSWGLTAYSEKHHWHLCCESSQTQTEWMANIFIAQV
+>tr|E1BDP3|E1BDP3_BOVIN Succinate-semialdehyde dehydrogenase OS=Bos taurus OX=9913 GN=ALDH5A1 PE=3 SV=1
+MATCLRLRSCAAPHLRRAPPSRICRPRADCPVAPIRGYARGPAGLSSALLRTDGFVGGLW
+VSAAATFPVHDPASGAELGLVADCGVPEARAAVRAAYEAFCSWRGVSAKERSSLLRKWYD
+LMIQNKDDLAKIITAESGKPLKEAQGEILYSANFLEWFSEEARRVYGDIISTPAKERRAL
+VLKQPVGVAAVITPWNFPSAMITRKVGAALAAGCTVVVKPAEDTPFSALALAELANQAGI
+PPGVYNVIPCSQKKAKEVGEALCTDPLVSKISFTGSTATGKVLLHHAANSVKRVSMELGG
+HAPFIVFDSANVDQAVAGAMASKFRNSGQTCVCSNRFLVQSGIHDSFVKKFAEAIKTNLH
+VGNGFDERTTQGPLINAKAVEKVEKHVSDAISKGATVVTGGKRHHVGKNFFEPTLLSNVT
+RDMLCSQEETFGPVAPVIKFNTEEEAVAIANAANVGLAGYFYSQDPAQIWRVAERLEVGM
+VGVNEGLISSVECPFGGVKQSGLGREGSKYGIDEYLELKYVCFGGL
+>tr|F1MHJ6|F1MHJ6_BOVIN Ribosomal_L18A domain-containing protein OS=Bos taurus OX=9913 PE=3 SV=3
+MKASGTLQEYKVVGRCLPTPKCHTLPLYCMRIFAPNHVVAKSRFWYFVSQLKKMKKSSGE
+IVYCGQVFEKSPLRVKNFGIWLHYDSRSGTHNMDREYRDLTTAGAVTQCYRDMGARHRAR
+AHLIQIMKVEEIIASKCRLLVEGGWLRVLVEKEMAIHSSILAWRIPWTEEPWQATVHGVP
+RVGHNLAAKPPHVLDSRQIPCLA
+>tr|E1BAE4|E1BAE4_BOVIN Membrane bound O-acyltransferase domain containing 4 OS=Bos taurus OX=9913 GN=MBOAT4 PE=3 SV=3
+MDWLQLFFLDPVSLYQGAAFPFALLFNHLCVMDSFSTQARYLFLLAGGGALAVAAMGAFA
+VLVFIPALCTVVLIHSLGPQDVHRPTFLFQMTWQTLCHLGLHYTEYYLQEAPSTRFCITL
+SSLMLLTQKITSLSLDIREGKVVAPSGRIPNKNSLSEHLHAALPYLSYLLFFPALLGGPL
+CSFQRFQARVEGSSSLWSRHSFWALTWRALQILGLESLKVIVSGVVGVGAGLGGCRQLQC
+VFVLWSTAGLFKLTYYSHWLLDDALLRAAGFGSELGRSPGEEGLLPDADIWTLETTHRIA
+LFARKWNQSTARWLRRLVFQQRRTWPLLQTFLFSAWWHGLHPGQVFGFLCWAVMVEADYL
+IHAFASVFISSWPMRLLYRALAWAHTQLIIAYIMLAVEARSLSSLWLLWNSYSSVFPTVY
+CILLLLLAKRKHKCN
+>tr|A0A3Q1MN21|A0A3Q1MN21_BOVIN Polypeptide N-acetylgalactosaminyltransferase OS=Bos taurus OX=9913 GN=GALNT10 PE=3 SV=1
+MEDNLLAEVCTQAVCFQHLISLLWTTVVGVSDFRPWGSHNQPKKSFFFGDRQKLKDWHDK
+EAIKRDAQRVGDGEQGKPFPLTYAERVDQAYRENGFNIYVSDKISLNRSLPDIRHPNCKS
+KRYLETLPNTSIIIPFHNEGWSSLLRTVHSVLNRSPPELIAEIVLVDDFSDREHLKKPLE
+DYMALFPSVRILRTKKREGLIRTRMLGASAATGDVITFLDSHCEANVNWLPPLLDRIARN
+RKTIVCPMIDVIDHDDFRYETQAGDAMRGAFDWEMYYKRIPIPPELQKADPSDPFESPVM
+AGGLFAMDRKWFWELGGYDPGLEIWGGEQYEISFKVWMCGGRMEDIPCSRVGHIYRKYVP
+YKVPAGVSLARNLKRVAEVWMDEYAEHIYQRRPEYRHLSAGDVTAQKKLRSSLNCKSFKW
+FMTKIAWDLPQFYPPVEPPAAAWGEIRNVGTGLCADTKHGALGSPLRLESCIRGRGEAAW
+NNMQVFTFTWREDIRPGDPQHTKKFCFDAVSHTSPVTLYDCHSMKGNQLWKYRKVRRGVE
+RDGQGSLLYLKKNKVPPLFSPEPPPSCICYTNLPDSPVIKRNQKSSFSIFFLIFLLFLIF
+FLIQL
+>tr|A0A3Q1MPJ6|A0A3Q1MPJ6_BOVIN Kelch like family member 22 OS=Bos taurus OX=9913 GN=KLHL22 PE=4 SV=1
+MAEEQEFARLCKLPTQPSHPHCVSSTYRSAQHSQALLRGLLALRDSGILFDVVLVVEGKQ
+LEAHRILLAASCDYFRGMFAGGLKEMEQEEVLIHGVSYNAMCQILHFIYTSELELSLSNV
+QETLVAACQLQIPEIIHFCCDFLTPWVDEDNILDVYRLAELFDLSRLTEQLDAYILKNFV
+AFSRTDKYRQLPLEKVYALLSSNRLEVSCETEVYEGALLYHYPPEQVQADRLPLHEPPKL
+LETVRFPLMEAEVLQRLHDKLEPSPLRDTVAEALMYHRNESLQPSLQGPQTELRSDFQCV
+VGFGGIHSTPSTVLSDQAKYLNPLLGEWKHFTASLAPRMSNQGIAVLNNFVYLIGGDNNV
+QGFRAESRCWRYDPRHNRWFQIQPLQQEHADLCVCVVGGYIYAVAGRDYHNDLTAVERYD
+PATNSWAYVAPLKREVYAHAGAALDGKMYVTCGRRGEDYLKETHCYEPGSDTWHTLADGP
+VRRAWHGMATLLDKLYVIGGSNNDAGYRRDVHQGRPTPPSGCAESIRPLTLGLAKWWPFQ
+VACYSCRSGQWSSVCPLPAGHGEPGIAVLDSRIYVLGGRSHNRGSRTGYVHIYDAGRDRW
+EEGPQLDNSISGLAACVLTLPRSLLLEPPRGTPDRSQADPDFASEVMSVSDWEEFDNSSE
+D
+>tr|F1N0Q5|F1N0Q5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC504548 PE=4 SV=3
+MPATLLVTVHSEEWKPMTFTAHLGDRVNKINERVRSRTKVPVPDQVLQLGSKTLKPKRTL
+SSYGIDKETTIHLTLKVVKPSDEELPLVLVEPGEGGQRHELQVRRSSSVAQVKEMIKMKT
+AIPPKNQIVNCNGKKLEDGKIMGDYGIKKGHLLFMTYPCIGGGHSVKEMVGEAKDWGWIG
+EED
+>tr|G3MYN5|G3MYN5_BOVIN Troponin I1, slow skeletal type OS=Bos taurus OX=9913 GN=TNNI1 PE=4 SV=2
+MPEVQRKPKITASRKLLLKSLMLAKAKECWEQEHEEREAEKKRYLAERIPALQTRGLSLS
+ALQDLCRDLHAKVEVVDEERYDIEAKCLHNTREIKDLKLKVLDLRGKFKRPPLRRVRVSA
+DAMLRALLGSKHKVSMDLRANLKSVKKEDTEKERPVEVGDWRKNVEAMSGMEGRKKMFDA
+AKSPTTQ
+>tr|E1B782|E1B782_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=HERC2 PE=4 SV=2
+MPSDSFCLAAQARLDSKWLKTDIQLAFTRDGLCGLWNEMVKDGEIVYTGTELSQNGELPP
+RKDDGVDTQIGTKKEDLNDKEKKEEEETPAPAYRAKSILESWVWGKQPDVNELKECLSVL
+VKEQQALAIQSATTTLSALRLKQRLIVLERYFIALNRTVFQENVKVKWKSSNISLPPVDK
+KSSRPAGKGVEGLARVGSRAALSFAFAFLRRAWRSGEDADLCSELLQESLDALRALPEAS
+LFDESTVSSVWLEVVERATRFLRSVVTGDVHGTPGTKGPGSIPLQDQHLALAILLELAVQ
+RGALSQMLSAVLLLLHLWDSGTRETDNERSAQGTSAPLLPLLRRFRSIVCSKDGPCAEVD
+THLLSGPLSPNESFLRYLTLPQDNELAIDLRQTAVVVMAHLDRLATPCMPPLCSSPTSHK
+GSLQEVIGWGLIGWKYYANVIGPIQCEGLANLGVTQIACAEKRFLILSRNGRVYTQAYNS
+DTLAPQLVQGLASRNIVKIAAHSDGHHYLALAATGEVYSWGCGDGGRLGHGDTVPLEEPK
+VISAFSGKQAGKHVVHIACGSTYSAAITAEGELYTWGRGNYGRLGHGSSEDEAIPMLVAG
+LKGLKVIDVACGSGDAQTLAVTENGQVWSWGDGDYGKLGRGGSDGCKTPKLIEKLQDLDV
+VKVRCGSQFSVALTKDGQVYSWGKGDNQRLGHGTEEHVRYPKLLEGLQGKKVIDVAAGST
+HCLALTEDSEVHSWGSNDQCQHFDTLRVTKPEPSALPGLDTKHIVGIACGPAQSFAWSSC
+SEWSIGLRVPFVVDICSMTFEQLDLLLRQVSEGMDGTADWPPPQEKECMTVATLNLLRLQ
+LHAAISHQVDPEFLGLGLGSVLLNSLKQTVVALASSAGVLSTVQAAAQAVLQSGWSVLLP
+TAEERARALSALLPCAVSGNEVNISPGRRFMIDLLVGSLMADGGLESALNAAITAEIQDI
+EAKKEAQKEKEIDEQEANASTFHRSRTPLDKDLINTGIYESSGKQCLPLVQLIQQLLRNI
+ASQTVARLKDVARRISSCLDFEQHSRERSASLDLLLRFQRLLISKLYPGESVGQTSEISS
+PELMGVGSLLKKYTALLCTHIGDILPVAASIASTSWRHFAEVACIVEGDFTGILLPELVV
+SIVLLLSKNAGLMQEAGAVPLLGGLLEHLDRFNHLAPGKERDDLEELAWPGIMESFFTGQ
+NCRNNEEVTLIRKADLENHNKDGGFWTVIDGKVYDIKDFQTQSLTGNSILAQFVGEDPVV
+ALEAALQFEDTRESMHAFCVGQYLEPDQEVVTIPDLGSLSSPLIDTERNLGLLLGLHASY
+LAMSTPLSPVEVECAKWLQSSIFSGGLQTSQIHYSYNEEKDEDHCSSPGGTPASKSRLCS
+HRQALGDHAQAFLQAIADNNIQDHNVKDFLCQIERYCRQCHLTTPITFPPEHPVEEVGRL
+LLCCLLKHEDLGHVALSLVHAGTLGIEQVKHRTLPKSVVDVCRVVYQAKCSLIKTHQEQG
+RSYKEVCAPVIERLRFLFNELRPAVCNDLSIMSKFKLLSSLPRWKRIAQKIIRERRKKRV
+PKKPESTEEEEKIGNGESDLEEACILPHSPINVDKRPITIKSPKDKWQPLLSTVTGVHRY
+KWLKQNVQGLYPQSALLSTIAEFALKEEPVDVEKMRKCLLKQLERAEVRLEGIDTILKLA
+AKNFLLPSVQYAMFCGWQRLIPEGIDIGEPLTDCLKDVDLIPPFNRMLLEVTFGKLYTWA
+VQNIRNVLIDANTKFKELGIQPVPLQTITNENPSGPSLGTTPQARFLLVMLSMLTLQHGA
+HNLSLLLNSGTLALTQTALRLIGPSCDNVEEDMNASARGASATVLEETRKETAPVQLPVS
+GPELAAMMKIGTRVMRGVDWKWGDQDGPPPGLGRVIGELGEDGWIRVQWDTGSTNSYRMG
+KEGKYDLKLAELPVSTQPSAEDSDTEDDSEAEQIERNIHPTAMMLTSTVNLLQTLCLSAG
+VHAEVMQSEATKTLCGLLRMLVESGTTDKTSSPSRLVCREQHRSWCTLGFVRSIALTPHM
+CAALSSPPWISLLVKVVEGHSPFTAASLQRQILAVHLLQAVLPSWDKTERARDMECLVEK
+LFGFLGSLLTTCSSDVPFLRESTLRRRRARPQASLTATHSSTLAEEVVALLRTLHSLGQW
+NGLINKYINSQLHSVTRSCAGKPAEGALLDDYFPDCENPEVGSLMAVLAVIGGIDGRLRL
+GGQVMHDDFGEGTVTRITPKGKITVQFCDLRTCRVCPLNQLKPLPAVAFSVTNLPFTEPM
+LSVWAQLVNLAGSKLEKHKIKKSPKQGFAGQVDLDLLRCQQLKLYILKAGRALLSHQDQL
+RQILSQPAVQEAAAAHTDDGAAVSPDLVDMSPEGPQPPMILLQQLLASATQPSPVKAIFD
+KQELEAAALAVCQCLAVESTHPLSPVFEDCSSSEATTPVPVQHIRPVRAKKHKQSPGPVL
+PIVVQLMEMGFPRRNIEFALKSLTGASGNAAGLPGVEALVGWLLDHADVQVTDLSDADTG
+SEECSDEEVVEDVDDTAYAMSTGAVVTESQTYKKRADFLSNDDYAVYVRENIQVGMMVRC
+CRTYEEVCEGDVGKVIKLDRDGLHDLNVQCDWQQKGGTYWVRYIHVELIGYPPPSSPSHI
+KIGDKVRVKASVTTPKYKWGSVTHQSVGVVKAFSANGKDVIVDFPQQSHWTGLLSEMELV
+PSVHPGVTCDGCQMFPINGSRFKCRNCDDFDFCEACFKTRKHNTRHTFGRINEPGQSAIF
+CGRSGKQLKRCHNSQPGMLLDSWARMVKSLNVSSSVNQASRLIDGSEPCWQSSGSQGKHW
+IRLEIFPDVLVHRLKMIVDPADSSYMPSLVVVSGGNSLNNLIELKTININPTDTTVSLLN
+DCTEYHRYIEIAIKQCRSSGIDCKIHGLILLGRIRAEEEDMAAVPFLASDNEEEEDEKGN
+SGSLIRKKAAGLESAATIRTKVFVWGLNDKDQLGGLKGSKIKVPSFSETLSALNVVQVAG
+GSKSLFAVTVEGKVYACGEATNGRLGLGISSGTVPIPRQITALSSYVVKKVAVHSGGRHA
+TALTVDGKVFSWGEGDDGKLGHFSRMNCDKPRLIEALKTKRIRDIACGSSHSAALTSSGE
+LYTWGLGEYGRLGHGDNTTQLKPKMVKVLLGHRVIQVACGSRDAQTLALTDEGLVFSWGD
+GDFGKLGRGGSEGCNIPQNIERLNGQGVCQIECGAQFSLALTKSGVVWTWGKGDYFRLGH
+GSDVHVRKPQVVEGLRGKKIVHVAVGALHCLAVTDAGQVYAWGDNDHGQQGNGTTTVNRK
+PTLVQGLEGQKITRVACGSSHSVAWTTVDVATPSVHEPVLFQTARDPLGASYLGVPSDAD
+SSPASNKINGANNSKPNRPSLAKILLSLDGNLAKQQALSHILTALQIMYARDTVVGALMP
+AGMVAPVECPSFSSSAPASDVSAMASPMNGEECVLAVDIEDRLSPNPWQEKRGEIISSED
+AVTPSAVTPSACSASSRPFIPVTDDPGAASIIAETMTKTKEDVESQNKVSGPELQSLDEF
+TSLLVVDDTRVLVDLLKLSVCGRAGDKGRDVLSAVLSGMGTAYPQVADMLLELCVTELED
+VATDSQSGRLSSQPVVVESSHPYTDDTSSSGTVKIPGAEGLRVEFDRQCSTERRHDPLTV
+MDGVNRIVSVRSGREWSDWSSELRIPGDELKWKFISDGSVNGWGWRFTVYPIMPAAGPKD
+LLSDRCVLSCPSMDLVTCLLDFRLNLASNRSIIPRLAASLAACAQLSALAASHRMWALQR
+LRKLLTTEFGQSININRLLGDNDGETRALSFSGSALAALVKGLPEALQRQFEYEDPIVRG
+GKQLLHSPFFKVLVALACDLELDTLPCCAETHKWAWFRRYCMASRVAVALDKRTSLPRLF
+LDEVAKKIRELMADGENMDVLHESHSIFRREQDEQLVQWMNRRPDDWTLSAGGSGTIYGW
+GHNHRGQLGGIEGAKVKVPTPCEALAALRPVQLIGGEQTLFAVTADGKLYATGYGAGGRL
+GVGGTESVSTPTLLESIQHVFIKKVAVNSGGKHCLALSSEGEVYSWGEAEDGKLGHGNRS
+PCDRPRVIESLRGTEVVDVAAGGAHSACVTAAGDLYTWGKGRYGRLGHSDSEDQLKPKLV
+EALQGHRVVDVACGSGDAQTLCLTDDDTVWSWGDGDYGKLGRGGSDGCKVPMKIDSLTGL
+GVVKVECGSQFSVALTKSGAVYTWGKGDYHRLGHGSDDHVRRPRQVQGLQGKKVIAIATG
+SLHCVCCSEDGEVYTWGDNDEGQLGDGTTNAIQRPRLVAALQGKKVNRVACGSAHTLAWS
+TSKPASAGKLPVQVPMEYNHLQEIPIIALRNRLLLLHHISELFCPCIPMFDLEGSLDETG
+LGPSVGFDTLRGILISQGKEAAFRKVVQATMVRDRQHGPVVELNRIQVKRSRSKGGLAGP
+DGTKSVFGQMCAKMTSFSPDSLLLPHRVWKVKFVGESVDDCGGGYSESIAEICEELQNGL
+TPLLIVTPNGRDESGANRDCYLFSPAARAPVHTNMFRFLGVLLGIAIRTGSPLSLNLAEP
+VWKQLAGMSLTIADLSEVDKDFIPGLMYIRDNEATSEEFEAMSLPFTVPSASGQDIQLSS
+KYTHITLDNRAEYVRLAINYRLHEFDEQVAAVREGMARVVPVPLLSLFTGYELETMVCGS
+PDIPLHLLKSVATYKGVEPSAPLIQWFWEVMESFSNTERSLFLRFVWGRTRLPRTIADFR
+GRDFVIQVLDKYNPPDHFLPESYTCFFLLKLPRYSCKQVLEEKLKYAIHFCKSIDTDDYA
+RIALTGEPAADDSSDDSENEDVDSFASDSTQDYLTGH
+>tr|F1MXR9|F1MXR9_BOVIN Ankyrin repeat and MYND domain containing 1 OS=Bos taurus OX=9913 GN=ANKMY1 PE=4 SV=2
+VYQGEFGLDMKLGYGEFSWPTGESYHGQFYRDHRHGLGTYMWPDGSSFTGTFYLSAREGY
+GTMYLKERLFQGLYKADERFGPGVETYRDGSQDVGLWFHNHLLKLCVEIPDSLSIHSYPE
+FSGFLTHTPATVTLADEEKMGWGLEEGQDPFFYDYKRFLLNDDLTLPPEIYIYSTDNSHL
+PMTSSFRKDLDARLFLNDIPPFVDDGEPWFIRNETPLMVRIQKQAYKFR
+>tr|F6RVK1|F6RVK1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=MKS1 PE=4 SV=1
+MAEAIWSSDTGEAVYRSRDPVRNLRLRVHLQRITSSNYLHCQPAAQLGKDLIDLATFRPH
+PTASGHRPEEEEEEVVIGWQEKLFSQFEVDLYQNEAACQSPLDHQYRQEILKLEDAGGRK
+NRRIFTYTDSDRYTNLEEHCQKMTTAASEMPSFLVERMANVRRRRQDRRGTEGGILKSRI
+VTWEPSEEFIRNNHVINTPLQTMYIMADLGPYGKLGYKKHEHVLCTLKVDSNGVITVKPD
+FTGSKGPYRIETEGEKQELWKYTVDNVSSLAQPEEEEREQRVFKDLYGRHKEYLNSLVGT
+DFEMTAPGALRLFVNGEVVSAQGYEYDNLYVHFFVELPTSNWSSPAFQQLSGITQTCATK
+SRGMDNVAYFSYPFTFEASFLHEDESVDALPEWPVLYCEVLSLDFWQRYRVEGYGAVVLP
+ATPGSHTLTVSTWRPLELSPVAELRRFFIGGSLELEDLSYVWIPGTFKGERLSRFGLRTE
+TTGSVTFRLHCLQQSRAFMESSSLRKRMRSVLDRLEGFSQQSSIQNVLEAFRRARRRMQE
+ARESLPQDLVSPSVTSVS
+>tr|A0A3Q1NJW9|A0A3Q1NJW9_BOVIN Fibroblast growth factor OS=Bos taurus OX=9913 GN=FGF18 PE=3 SV=1
+MDFLCVCVCIGYLCKVLVLAAEENVDFRIHVENQTRARDDVSRKQLRLYQLYSRTSGKHI
+QVLGRRISARGEDGDKYAQLLVETDTFGSQVRIKGKETEFYLCMNRKGKLVGKPDGTSKE
+CVFIEKVLENNYTALMSAKYSGWYVGFTKKGRPRKGPKTRENQQDVHFMKRYPKGQAELQ
+KPFKYTTVTKRSRRIRPTHPG
+>tr|A0A3Q1M488|A0A3Q1M488_BOVIN Mitochondrial ribosomal protein L39 OS=Bos taurus OX=9913 GN=MRPL39 PE=4 SV=1
+MLESGPLSSFRRIALKTPLPPVLSRLEGEGGNGTGRLRMFISFVSIPIYCNFPTGSVVTS
+PASQLSPTELIEMQNDLFNKEKNRQLSLTPRTEKIEVKHVGKTDPGTIFVLNKNVSTPYS
+CAMHLSEWYCRKSILALVDGQPWDMYKPLTKSCEIKFLTFKDDDPGEVNKAYWRSCAMIM
+GCVIERAFKEEYVVHLVRAPEVPVIAGAFCYDVVLDKRLDEWMPTKENLRSFTKDARALI
+YKDLPFETLEVEAKVALEIFQHNKYKVDFIEEKASQNPERIVKLHRFGDFIDVSEGPLIP
+RTSICFQYEVSVVHNLQATQSSLVRRFQGLSLPVHLRVSKAKNFRLFSLVVSVIPVLIFI
+PIGRTYILIFIKLCNTNILSAWVYD
+>tr|A0A3Q1M4A1|A0A3Q1M4A1_BOVIN Bridging integrator 3 OS=Bos taurus OX=9913 GN=BIN3 PE=4 SV=1
+LSWIPFKIGQPKKQIVPKTVERDFEREYGKLQQLEEQTKRLQKDMKKSTDADLAMSKSAV
+KISLDLLSNPLCEQDQDFLNMVTALDTAMKRMDAFNQEKVNQIQKTVIEPLKKFGSVFPS
+LNMAVKRREQALQDYRRLQAKVEKYEEKEKTGPVLAKLHQAREELRPVRDDFEAKNKQLL
+DEMPRFYNSRLDYFQPSFESLIRAQVVYYSEMHKIFGDLTQQLDQPGCPDEQRERENEAR
+LSELRALSIVADD
+>tr|A0A3Q1MJ94|A0A3Q1MJ94_BOVIN Solute carrier family 38 member 9 OS=Bos taurus OX=9913 GN=SLC38A9 PE=4 SV=1
+MANMDSDSRHLLIPEGDHEINPGPMNIQFDSSDIRSKRPFYIEPTNIVSVNDVIQRVSDH
+ASAMNKRIHYYSRLTAPADRALIAPDHVVPAPEECYVYSPLGSAYKLQCYTEGYGKNTSL
+VTIFMIWNTMLGTSILSIPWGIKQAGFTTGMCVIMLMGLLTLYCCYRVVKSRSMISSVDT
+TTWEYPDVCRYYFGSFGQWSSLLFSLVSLIGAMIVYWVLMSNFLFNTGKFIFNFIHHIND
+TDSIPSTNDSNPVICPSARSGGHPDNSSVIFYASNSELQQFEKWWNKSKTVPFYLIGLLL
+PLLNFKSPSFFSKFNILGTVSVLYLIFLVTWKAIRLGFHLEFHWFMPTEFFVPEIRFQFP
+QLTGVLTLAFFIHNCIITLLKNNKNQENNVRDLSIAYMLVTLTYLYIGVLVFASFPSPPL
+SKDCIEQNFLDNFPSSDILSFIARIFLLFQMMTVYPLLGYLARVQLLGHVFGDVYPSIFH
+VLIFNLFIVGAGVIMACFYPNIGGIIRYSGAACGLAFVFIYPSLIYIISLHQEDRLTWPK
+LYGKSSSDSSEDGI
+>tr|A0A3Q1NLG6|A0A3Q1NLG6_BOVIN Minichromosome maintenance domain containing 2 OS=Bos taurus OX=9913 GN=MCMDC2 PE=4 SV=1
+MSNLKMKEAALIYLDRSGGLQKFIDDCKYYNDSKQSYAVYRFNVLINPSDIVELDAELGN
+HILHQPLKAAQVFQSINIVLKLTHLPPLPSYYLDLCEFPRDYTSQRFYTMQGIVIAMTTV
+TKYTQGARFLCSDEACPLSKGFQYIRVHVPGATESATVRNDFLCNLCSSSLQEDRKFRVL
+GDKQIVEIITAESLHAFQGYCNNQPFRFQSLTVFLRDESVNKMNIGNEYKVIGIPTCVKT
+SQTAVCVEANSITFCIPKAVESRSITVYISGKKFGDDVDQQMTFPVQCSFWSFLDMDSPS
+RRNIQKTNMLIGQMDCSLIPANLVEAFALLINCSESSPCHPLLPTVQHTLKKAIDPEGLL
+YLASKQFTTEDFEKLLAFAKNLNVEFSLEAERMIHGYYLASRRIRTDSICGSKLSASALK
+YLGRCILCCSKCSVSI
+>tr|A0A3Q1LKV8|A0A3Q1LKV8_BOVIN Thioredoxin like 1 OS=Bos taurus OX=9913 GN=TXNL1 PE=1 SV=1
+MVGVKPVGSDPDFQPELSGAGSRLAVVKFTMRGCGPCLRIAPAFSSMSNKYPQAVFLEVD
+VHQCQGTAATNNISATPTFLFFRNKVRIDQYQGADAVGLEEKIKQHLENDPGSNEDTDIP
+KGYMDLMPFINKAGCECLNESDEHGFDNCLRKDMTFLESDCDEQLLITVAFNQPVKLYSM
+KFQGPDNGQGPKYVKIFINLPRSMDFEEAERSEPTQALELTEDDIKEDGIVPLRYVKFQN
+VNSVTVVGKKGESH
+>tr|A0A3Q1M8T2|A0A3Q1M8T2_BOVIN Family with sequence similarity 76 member B OS=Bos taurus OX=9913 GN=FAM76B PE=4 SV=1
+MAASALYACTKCTQRYPFEELSQGQQLCKECRIAHPIVKCTYCRSEFQQESKTNTICKKC
+AQNVKQFGTPKPCQYCNIIAAFIGTKCQRCTNSEKKYGPPQTCEQCKQQCAFDRKEEGRR
+KVDGKLLCWLCTLSYKRVLQKTKEQRKSLGSSHSNSSSSSLTEKDQHHAKHHHHHHHHHH
+RHSSSHHKISNLSPEQEQGLWKQSHKSSAAIQNETPKKKPKLESKPSNGDSSSINQSADS
+GGTDNFVLISQLKEEVMSLKRLLQQRDQTILEKDKKLTELKADFQYQESNLRTKMNSMEK
+AHKETVEQLQAKNRELLKQVAALSKGKKFDKSGSILTSP
+>tr|A0A3Q1M937|A0A3Q1M937_BOVIN Potassium channel tetramerization domain containing 9 OS=Bos taurus OX=9913 GN=KCTD9 PE=4 SV=1
+MRRVTLFLNGSPKNGKVVAVYGTLSDLLSVASNKLGIKATSVYNGKGGLIDDIALIRDDD
+VLFVCEGEPFIDPQTDSKLPEGLSGSHTDWLTLNVGGRYFTTTRSTLVNKEPDSMLAHMF
+KDKGVWGNKQDHRGAFLIDRSPEYFEPILNYLRHGQLIVNDGINLLGVLEEARFFGIDSL
+IEHLEVAIKGLNFSGADLSRLDLRYINFKMANLSRCNLAHANLCCANLERADLSGSVLDC
+ANLQGVKMLCSNAEGASLKLCNFEDPSGLKANLEGANLKGVDMEGSQMTGINLRVATLKN
+AKLKNCNLRGATLAGTDLENCDLSGCDLQEANLRGSNVKGAIFEEMLTPLHMSQSVR
+>tr|F1N2W5|F1N2W5_BOVIN Diacylglycerol kinase OS=Bos taurus OX=9913 GN=DGKI PE=3 SV=2
+MNPSSSAGEEKGATGGSSSSGSGAGSCCLGAEGGADPRGAGAAAAAALEEPGAAGPKEKD
+EALEEKLRNLTFRKQVSYRKAISRAGLQHLAPAHPLSLPVANGPSKEPRATLDWSENAVN
+GEHLWLETNVSGDLCYLGEESCQVRFAKSALRRKCAVCKIVVHTACIEQLEKINFRCKPT
+FREGGSRSPRENFVRHHWVHRRRQEGKCKQCGKGFQQKFSFHSKEIVAISCSWCKQAFHN
+KVTCFMLHQIEEPCSLGAHAAVIVPPTWIIKVKKPQNSLKASNRKKKRTSFKRKASKRGT
+EQENKGRPFVIKPISSPLMKPLLVFVNPKSGGNQGTKVLQMFMWYLNPRQVFDLSQEGPK
+DALELYRKVPNLRILACGGDGTVGWILSILDELQLSPQPPVGVLPLGTGNDLARTLNWGG
+GYTDEPVSKILCQVEDGTIVQLDRWNLHVERNPDLPPEELEDGVCKLPLNVFNNYFSLGF
+DAHVTLEFHESREANPEKFNSRFRNKMFYAGAAFSDFLQRSSRDLSKHVKVVCDGTDLTP
+KIQELKFQCIVFLNIPRYCAGTMPWGNPGDHHDFEPQRHDDGYIEVIGFTMASLAALQVG
+GHGERLHQCREVMLLTYKSIPMQVDGEPCRLAPAMIRISLRNQANMVQKSKRRTSMPLLN
+DPQSVPDRLRIRVNKISLQDYEGFHYDKEKLREASIPLGILVVRGDCDLETCRMYIDRLQ
+EDLQSVSSGSQRVHYQDHETSFPRALSAQRLSPRWCFLDATSADRFYRIDRSQEHLHFVM
+EISQDEIFILDPDMVLSQQAGTPPGMPDLVVEQASGMTDWWNPALRKRMLSDSGLGMISP
+HYEDSDLKDFSHSRVLQSPVSSEDHAILQAVIAGDLMKLIESYKNGGSLLIQGPDHCSLL
+HYAAKTGNGEIVKYILDHGPSELLDMADSETGETALHKAACQRNRAVCQLLVDAGASLRK
+TDSKGKTPQDRAQQAGDPDLAAYLESRQNYKIIGHEDLETAV
+>tr|A0A3Q1LLB1|A0A3Q1LLB1_BOVIN DUF4629 domain-containing protein OS=Bos taurus OX=9913 GN=C11H2orf78 PE=4 SV=1
+LTENFQNPSALGTQNSLQLSLPAVSNAASLTESVCTFSRVSAPALSSAWLLPAGSDTSFQ
+PLMSSAYLYQHSSTTMLSGVTGQSQLSTSAASYPGVFEWNITGSTERKSSSLGDFTVTVI
+DQDTAVSSMSMAAQYDKTSDINSKVPLYPSLSASLVQGTPSQIPNQGHSLSLPHQGGSQV
+YYYHQGTLGPLLSEELGPRLQSYGSVSYAGSRTSAQPEMVMVLKEIQPTNILPPASTSGV
+YYSVSAQPITDTGFQGEMENSLGLQPPSQTFCLSETSEFPRSCISRNIQILESNPPLELG
+DVSRIAPVQSASNLLALPPAPSQEQTENKNLDDMKTKFSKPLDDLLASIDPLSQEEQLVS
+ENVNLGKNSLSFEDLGTLENGFESSSGFADIATLVEDIHLPQFFHSLKDLDQVQEKPNVI
+KAPSDQPRKNKHKASESVSDAPKAKIQPKDPECPFGGEVIICNAAVSDKAPVNTAKQPNS
+KTQNTATSRTNKTKSHGQEKTKRTRENKKAEENKPSGNKVKAEEKPSVPKMKRKKNQPDF
+SQENFKKPRSCLGMHMLESVQVFHALGKKSDQKTGLNSSRVLGNSSNPKDPQPSPAIKSW
+LNTPCEGKGPEKTPAGKVVLYSHLFKNIPVCCDPHKGFSVVNEVEVGIFWEFPCFFYDPA
+DRCWQFDLWFICLF
+>tr|A0A3Q1M527|A0A3Q1M527_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MAVWGGLTNSCEKERYKHLNAEFQRIARRDKKAFFSDQCKEIEENNRMGKTRDLFKKIRD
+TKGTFHAKMGSIKDRNGMDLTEAEDIKKRWQEYTEELYKKDLHDPDNHDGVITDLEPDIL
+ECEVNWALESITTNKASGGDGIPVELFQILKDDAVKVLHSICQQIWKTQQCPQDWKRSVF
+IPIPKKGNAKECSNYRTIALISHASKVMLQILQARLQQYVNRELPDVQAGFRKGRGTRDQ
+TANIRWIMEKAREFQKSIYFCFIDYAKAFDCVDHNKLWKILKEMGISDHLTCLLRNLYAG
+QEATVRTGHGTTDWFQIGKGVHQGCILSPCLFNLYAEYIKRNAGLEETQPGIKIAGRNIN
+NLRYADDTTLMGESEEELKSLLMEVKVESEKVGLKLNIQKTKIMASGPITSWEIDGETVE
+TLSDFIFGGSKITTDGDCSHEIKRRLLLGRKVMTNLDSIFKSRDITLPTKIRLVKAMVFS
+VVMYGCESRTMKKAERRRIDAFELWCWRRLFGVPWTQEDPTSPF
+>tr|A0A3Q1MIQ1|A0A3Q1MIQ1_BOVIN mRNA-capping enzyme OS=Bos taurus OX=9913 GN=RNGTT PE=3 SV=1
+MAHNKIPPRWLNCPRRGQPVAGRFLPLKTMLGPRYDSQVAEENRFHPSMLSNYLKSLKVK
+MGLLVDLTNTSRFYDRNDIEKEGIKYIKLQCKGHGECPTTENTETFIRLCERFNERNPPE
+LIGVHCTHGFNRTGFLICAFLVEKMDWSIEAAVATFAQARPPGIYKGDYLKELFRRYGDI
+EEAPPPPLLPDWCFEDDEDEDEDEDGKKESEPGSSASFGKRRKERLKLGAIFLEGVTVKG
+VTQVTTQPKLGEVQQKCHQFCGWEGSGFPGAQPVSMDKQNIKLLEQKPYKVSWKADGTRY
+MMLIDGTNEVFMIDRDNSVFHVSNLEFPFRKDLRMHLSNTLLDGEMIIDRVNGQAVPRYL
+IYDIIKFNAQPVGDCDFNIRLQCIEREIINPRHEKMKTGLIDKTQEPFSVRHKPFFDIYA
+SRKKYKPGRCDDILKWKPPSLNSVDFRLKITRMGGEGLLPQNIGLLYVGGYERPFAQIKV
+TKELKQYDNKIIECKFENNSWVFMRQRTDKSFPNAYNTAMAVCNSISNPVTKEMLFEFID
+RCAAASQGQKRKHHPDPDTELMPPPPPKRPLT
+>tr|A0A3Q1LG88|A0A3Q1LG88_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MATQSCLEKEQKPQQGEMPTGPNNEVASAPGDARGAENTSPGDLVPVVLTNPSLLGSSSC
+DMATTAILLTGEEPGESSVEGVQGRGGPDLQGGKSPHVELSCVVPQSGPGLQMAPARSGA
+AVGQAAGGIDQHFTQIASPGKGRGRKRLSREEAATAQNPPRRCLFPVAAVPSGPASTPGL
+NLRGSPASTPGPARRRRRRLGSTPGPDHPGRPASTPGPARRRRLGSTPGPDNRGRPASVS
+GPARRSRPASTPGPDLRGRPASTPGPARRRRRRPASTPGPDHRGCPASTSGPARRRRRLA
+STPGPDHRGRSASMPGPAGRRRRSSASRRRACGPGPALSSGAVGLGPRLQSRSPTSGSSL
+RGRATQRRSPPTSCASPVGPNGGGRPSAPGFAGGGLPRQSSSSSLESEVPSLTTQQVWHA
+VRMRASSPSPPGRVFTFPREYAENSSVSSSSNSPGSIPSSASFSGVGSIATSSPPGVRRM
+LLPELEALSPLSSEEPAEEGSPPPSPPSPVL
+>tr|E1BNH2|E1BNH2_BOVIN Cystathionine gamma-lyase OS=Bos taurus OX=9913 GN=CTH PE=3 SV=2
+MQEKEASPHGFLPRFQHFATQAIHVGQEPEQWTSQAVVPPISLSTTFKQGAPGQHSGFEY
+SRSGNPTRNCLEKAVAALDGAKYSLAFASGLAATVTITHLLKAGDQIICMDDVYGGTNRY
+FRQVATEFGLKISFVDCSKPKLLEAAITPETKLVWIETPTNPSLKMIDIEACAHTVHKHG
+DIILVVDNTFMSAYFQRPLSLGADICMYSATKYMNGHSDVVMGLVSLNSESLHDRLRFLQ
+NSLGAVPSPIDCYLCNRGLKTLQVRMEKHFENGMAVAQFLESNPQVEKVIYPGLPSHPQH
+ELAKRQCTGCPGMVTFYIKGSLQHAETFLQNLKLFTLAESLGGYESLAELPAIMTHASVP
+KSDREVLGISDTLIRLSVGLEDKQDLLDDLDQALKAANPPNASSN
+>tr|A0A3Q1LL24|A0A3Q1LL24_BOVIN Plexin B1 OS=Bos taurus OX=9913 GN=PLXNB1 PE=4 SV=1
+MSWVGGWVSVFVWDVLPALLQALLAGWVLGLQPLPPTAFTPNGTRLQHLARDPTSGTLYL
+GATNFLFQLSPELQLEATVSTGPVLDSRDCLPPVMPDECPQAQPTSNLNQLLLVSPGALV
+VCGSVHQGVCEQRRLGQLGQLLLRPERPGDTQYVAANDPTVSTVGLVAQGPSGEPLLFVG
+RGYTSRGVGGGIPPITTRALQPPDPQAAFSYEETAKLAVGRLSEYSHHFVSAFARGASAY
+FLFLRRDLQAQSRAFRAYVSRVCLRDQHYYSYVELPLACQGGRYGLIQAAAVAASADVAQ
+GEVLFVAFSSAAPPSVGRPPSAAAGTTGASALCAFPLDEVDRLANRTRDACYTQEGRAED
+GAEVAYIEYDVNSDCAQLPVDTLDAYPCGSDHTPSPMASRVPLEATPVLEWPGVQLTAVA
+ITVEDGHTIAFLGDSQGQLHRVYLGPGSDGHPYSTQIVQQGSAVSRDLIFDGAFKHLYVM
+TQSTLLKVPVASCAQHLDCVSCLAHRDPYCGWCVLLGRCSRRSECSRGRGSERWLWSFQP
+ELGCLRVAALSPANISREERREVFLSVPDLPPLWPGESYSCHFGESQSPALLTNSGVMCP
+SPDPSEAPELPRGADHVSVSMELRFGAVVIAEAALSFYDCVAITLLRPSAPCQACVSSRW
+GCNWCVWQHLCTHKASCDAGPTVWPFLSLPVPLCLPLQSPLLSPAPPARDAPTSFPPTVP
+QAMVTPTPDTLPVDTPSIASNVPPGARPSPLSPWVPWAGTDPTPASAPTESPLPRDPPPA
+PSSPQSTPGPTVPGPSALGPLATPEDLLASHPPPSEAAALPPAPVEPGPEALPSVGPQDH
+PPGSAPATTFPGAAGSTKPALDWLMREGGELPEADEWTGGDMPAFSTSSLLSGDGDSAEH
+EGPPAPLILLSSLDYQYDTPGLGELEATWGAASCPCVESVQGSSLMPVHVRREVRLLGRN
+LRLFQDSPGEHECVMELEGREVVVEARVECEPPPDTRCHITCRPYQVHPRVLGTGCRLAA
+GRRLSSLAAPLVLYDCSVGHEDCSRCQTALPQYGCVWCKGEHPQCTAQEACGEAEAVVTQ
+CPAPVIHSVEPLTGPVDGGTRVTIRGSNLGQHVQDVQDTVRVAGVPCAVDAQEYEVSSSL
+VCITGASREEVAGAVTVEVPGRGRGVSEHDFAYQDPEVQSVFPARGPRAGGTSLTLHGSK
+LLTGRLEDIRVVVGDQPCHLLLEQQAEQLQCETSPHPTPATLPVAVWFGAAERRLQHSQF
+EYTSDPNVTSASPTKSFLSGGREIRVCGQNLDVVQTPRIRVTVAMRASRPGQGLERRRRV
+VPETACSPGVSCGGLHVRPPWASPFEEPCHVNSSQLITCHTPALPGLPEDPWVRVEFILD
+NLVFDFATLNPTPFSYEADPILQPLNPEDPSAPFRHKPGSVLSVEGENLDLAISKEEVVA
+MIGDGPCVVKTLTRHHLYCEPPMEQPLPRHHAPREAPDALPEFTVQMGNLRFSLGHVQYD
+GESPVAFPMAAQVGLGVGTSLLALGVIIIVLIRRKSKQALRDYKKVQIQLENLESSVRDR
+CKKEFTDLMTEMTDLTSDLLGSGIPFLDYKVYAERVFFPGHQESPLHRDLGVPESRRPTV
+EQGLGQLSNLLNSKLFLTKFIHTLESQRTFSARDRAYVASLLTVALHGKLEYFTDILRTL
+LSDLVAQYVAKNPKLMLRRTETVVEKLLTNWMSICLYTFVRDAVGEPLYMLFRGIKHQVD
+KGPVDSVTGKAKYTLNDNRLLREDVEYRPLTLNALLAVGHGAGEAQGVPVKVLDCDTISQ
+AKEKMLDQLYKGVPLAQRPDPRTLDVALQGHLITGGRGEQVPDGATVALVPCLTKHVLRE
+SQDYVPGERTPMLEDVDEGGIRPWHLVKPSEEPEPPRPRRGSLRGGERERAKAIPEIYLT
+RLLSMKGTLQKFVDDLFQVILSTSRPVPLAIKYFFDLLDEQAQQHGISDQDTVHIWKTNS
+LPLRFWINIIKNPQFVFDVQTSDNMDAVLLVIAQTFMDACTLADHKLGRDSPINKLLYAR
+DIPRYKRMVERYYADIRQTIPASDQEMNSILAELSRNYSGDLGARVALHELYKYINKYYD
+QIITALEEDGTAQKMQLGYRLQQIAAAVENKVTDL
+>tr|A0A3Q1NK08|A0A3Q1NK08_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MNNLIAPIVFSNVFFSFSFLTIADGCTDWSVDIKKYQVLVGEPVRIKCALFYGYIRANYS
+LAQSAGLSLMWYKSSGPGDFEEPIAFDGSRMSKEEDSIWFRPTLLQDSGLYACVIRNSTY
+CMKVSISLTVGENDTGLCYNSKMKYFDKAELSKSKEIACRDIEDFLLPSREPEILWYKEC
+RTKTWRPSIVFKRDTLLIREVREDDIGNYTCELKYGGFVVRRTTELTVTGKLVFSISLAN
+NETNILSFTF
+>tr|A0A3Q1LQA6|A0A3Q1LQA6_BOVIN S_100 domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MPKLLQSIVTVIDIFYQYANQAGECDMLNKAELKELLENEFGQILKNPDDPDTVDIIMQN
+LDHDRNKKVEFTEYLLMIFKLAQACNKIVSKDYCQASGSKQRDHSHQDQEEQSETEEEDE
+GQKSSSSNLSWSEGENDSHSRGSGGSFRHRSRSSSRVTGHQGGLSHSRDRQSSRERRRES
+NSGHSKGSKKNKHGSHQHKRSRSEEVGYTHSSNHRTRSNSGNWSGTYGEEGRVSHSEDQS
+FSFAQNWSDSNESLGNRQHKKKPSQSPSKEHHGFISGSCGGQDHWSNSSEPAGYGHGYGS
+GQPSQQRWHESNEGYQSGNCEEQGNCSTSSSSEVSSQSSGFSHHGTGIGQSSSYEQHSAT
+SRHCGQYGSGSGQSSSCGQHSTGSSQSLNHGQHRSESSQTSSYGQQRTVSGQSSESSGFG
+QHGSGLGQSSSFGQHGSTSGQSSGCGQQRSNLGQSSSYGQHGSSQYKSSGFGQHGSGLGQ
+SSSYGQHGSTSGQSSSCGQQRSNLGQSGSSQSSGHSQQGLGSGQSSSFGQHGSSSRESSG
+FGQHSSGLGQSSSYGQHGSTSGQSSSCGQQGSNLGQSSSYGQHGSSQYGSSQSYSHSRHR
+SGSSQSWLLMSKSVSQMFLKDIKYLCMEGRKKRVRG
+>tr|A0A3Q1M4W8|A0A3Q1M4W8_BOVIN Zinc finger protein 827 OS=Bos taurus OX=9913 GN=ZNF827 PE=4 SV=1
+MSQLHPDVSRQDEAEGELSEGEHWYGNSSETPSEASYGEVQENYKLCLEDRIQEQSTSPD
+TSLGSATPSSHTLELVALDGEVLRDPLQCPDHLSPGVSSLCEEDHPGSTKPLSSNLRRLL
+EAGSLKLDAAATANGRVESPVNAGSNLSFSPPSHHAQQLSVLARKLAEKQEQNDQYPPSN
+RFLWNQGKWLPNSTTTCGLSPDSAILKLKAAANAVLQDKSLTRTEETVRFESFSSPFSSQ
+SASSTLAALSKKVSERSLTPGQEHPPPASSFLSLASMTSSAALLKEVAARAAGTLLAEKS
+SLVPEDPLPPPPSEKKHEKVTPPPPPPPPPPPPPPPQSLELLLLPVPKGRVSKPSNSASE
+EESGKPFQCPICGLVIKRKSYWKRHMVIHTGLKSHQCPLCPFRCARKDNLKSHMKVHQHQ
+DRGETFQCQLCPFTSSRHFSLKLHMRCHQHFLRTEAKVKEETPDPEAKGPPHLSDSACLG
+QQREGGGTELVGTMMKSSTPERTSQGGAGVSPLLVKEEPKEDNGLPTSFPLNATDRPANH
+TKLREPSEFAANSASALFSQDISVKMASDFLMKLSAANQKEPMNLNFKIKEEPKEEETLS
+VTLPRSSYVFSPESEVSAASISEDTLKPQEAKGNVLRRDMSVKAASELLMKLSAESYKDT
+QMVKIKEEPMEVDIQDSQVSISPSCNVGYSTLIGREKTEPLQKLPEGRVAPERNLFSQDI
+SVKMASELLFQLSEKVSKEHTQKENTIRTTTSPFFSEDTFRQSPFTSNSKDLLPSESVLH
+GRISAPETEKIVLEAGNGLPSWKFNDQLFPCDVCGKVFGRQQTLSRHLSLHTEERKYKCH
+LCPYAAKCRANLNQHLTVHSVKLVSTDTEDIVSAVTSEGSDGKKHPYYYSCHVCGFETEL
+NVQFVSHMSLHVDKEQWMFSICCTACDFVTMEEAEIKAHIGTKHTGEDRKTPSESNSPSS
+SSLSALSDSANSKDDSDSSQKNKSGSNLLVISVMPGSQPSLNSEEKPEKGFECVFCNFVC
+KTKNMFERHLQIHLITRMFECDVCHKFMKTPEQLLEHKKCHTVPTGGLNSGQW
+>tr|A0A3Q1MUL9|A0A3Q1MUL9_BOVIN Zinc transporter ZIP13 OS=Bos taurus OX=9913 GN=SLC39A13 PE=4 SV=1
+MGRACRWLKGTQPAPHPVIKESLALGGQADTKSGTGSQGKKKVWSLEVGCGGAEPHCSVH
+PSQGSLEALGEAGRRAGAVAAGSAGRVQVPRFLSRCAAKGGRSRKRPLCVFSARGMPGCP
+CPGIGMAGQRLLFLAALALELLGGAGGSQQALRSRGVAAACRLDSKESESWGALLSGERL
+ETWICSLLGSLMVGLSGVFPLLVIPLEMGTTLRSEAGARRLKQLLSFALGGLLGNVFLHL
+LPEAWAYTNSASSGGERQSLQQQQQLGLWVIAGFLTFLVLEKLFFDSKGKEETSQVSGYL
+NLLANTIDNFTHGLAVAASFLVSKKIGLLTTMAILLHEIPHEVGDFAILLRAGFDRWSAA
+KLQLSTALGGLLGACFAICAQSPKGVEETVAWILPFTSGGFLYIALVNVLPDLLEEDDPW
+RSLQQVLLLCAGIVVMVLFSVFVE
+>tr|A0A452DIJ3|A0A452DIJ3_BOVIN Zinc finger protein 184 OS=Bos taurus OX=9913 GN=ZNF184 PE=4 SV=1
+MSSFHLICPPLLSSKDKAMRMNSEKALFSHCRKVTFFFLFQCIGLQVSKPDVISQLEQGT
+EPWIVEPSIPVGTPGDWVTRPENSITASELDISGEEPSPGAVAEKHKRDDPWSTNFLETC
+ESKGSPERQQANKQTLPREIKITEKTIPTLEQAHVNNDFEKSISVSLDLLTHKQISPKQT
+STKTNVKQNLNPVKKEKSCKCNECGKAFTYCSALIRHQRTHTGEKPYKCNECEKAFSRSE
+NLINHQRIHTGDKPYKCDQCGKGFIEGPSLTQHQRIHTGEKPYKCDECGKAFSQRTHLVQ
+HQRIHTGEKPYTCNECGKAFSQRGHFMEHQKIHTGEKPFKCDECDKTFTRSTHLTQHQKI
+HTGEKTYKCNECGKAFNGPSTFIRHHMIHTGEKPYECNECGKAFSQHSNLTQHQKTHTGE
+KPYDCAECGKSFSYWSSLAQHLKIHTGEKPYKCNECGKAFSYCSSLTQHRRIHTREKPFE
+CSECGKAFSYLSNLNQHQKTHTQEKAYECKECGKAFIRSSSLAKHERIHTGEKPYQCHEC
+GKTFSYGSSLIQHRKIHTGERPYKCNECGRAFNQNIHLTQHKRIHTGAKPYECAECGKAF
+RHCSSLAQHQKTHTEEKPYHCNKCEKAFSQSSHLAQHQRIHTGEKPYKCNECDKTFSRST
+HLTEHQNTHTGEKPYNCNECRKTFSQSTYLIQHQRIHSAEKPFGCNDCGKAFRYRSALNK
+HQRLHPGI
+>tr|F1MC55|F1MC55_BOVIN HemK methyltransferase family member 1 OS=Bos taurus OX=9913 GN=HEMK1 PE=4 SV=3
+MLRALLSGLGWRKGIWGCAFSSWQPHQPPAGLSATEVVSHWTVVFEEKGIPEARESSEYI
+VAHVLGAKTFQSLRPALRTQPLTPWQLQCIQELSSYRLQRMPVQYILGEWDFQGLNLKMA
+PPVFIPRPETEELVEWVLEEVTQGPRVVGAEGGPLILEVGCGSGAISLSLLSRLPQSRVT
+AVDKGEAAICLTHENAQRLRLQDRIQIVPFDVTLVESWAHLLPWGPVDLVVSNPPYVFHR
+DMENLAPEILRYEDPVALDGGEEGMDIITHILALAPQLLKDSGSIFLEVDPRHPELVGSW
+LQSQPDLPLNLVAVRRDFRGRPRFLHIRRSGPQCVSPVDAWPGRQPARVPG
+>tr|A0A3Q1MDU2|A0A3Q1MDU2_BOVIN Ubiquitin specific peptidase 28 OS=Bos taurus OX=9913 GN=USP28 PE=3 SV=1
+MTAELQQDDAAGATDRHGSSCQMLLNQLREITGIQDPSFLHEALKASNGDITQAVSLLTD
+ERVKEPSQETAAEPSEEEGSAASKEELAKVIDLTHDSKDDLQAAIALSLLESPKIQADGR
+DLNRMHEATSAETKRSKRKRCEVWGENPNPNDWRRVDGWPVGLKNVGNTCWFSAVIQSLF
+QLPEFRRLVLSYSLPQNVLENCPSHTEKRNIVFMQELQYLFALMMGSNRKFVDPSAALDL
+LKGAFRSPEEQQQDVSEFTHKLLDWLEDAFQLAVNVNNPRNKSENPMVQLFYGTFLTEGI
+REGKPFCNNETFGQYPLQVNGYRNLDECLEGAMVEGDIELLPSDHSVKYGQERWFTKLPP
+VLTFELSRFEFNQSLGQPEKIHNKLEFPQIIYMDRYMYRSKELVRSKRDCIRKLKEEIKI
+LQQKLESTSEESTSQEAEGTFSSSEDSGRKSRMAEQPLKPPRSSVEMPAHPAPRTVTDEE
+INFVKTCLQRWRNEIEQDIQDLKNSIASTTQTIEQMYCDPLLRQVPYRLHAVLVHEGQAN
+AGHYWAYVYNQPRQVWLKYNDISVTESSWEELERDSYGGQRNVSAYCLMYINDKLPHFNA
+EAAPNELDQMSGEVEALSVELKHYIQEDNWRFEQEVEEWEEEQSCKIPQMASSTSSASQD
+FSPSQESSVASSHGARCLSSEHAVIVKEQTAQAIANTAHAYENSGVEAALSEVMLSPAMQ
+GVILAIAKARQTFDRDGSEAGLIKAFHEEYSRLYQLAKETPTSHSDPRLQHVLIYFFQNE
+APKRVVERTLLEQFADKNLSYDERSISIMKVAQAKLKEIGPDDMNMEEYKKWHEDYSLFR
+KVSVYLLTGLELYQKGKYQEALSYLVYAYQSNATLLMKGPQRGVKESVIALYRRKCLLEL
+NAKAASLFETNDEHSVTEGINVMNELIIPCIHLIINNDISKDDLDAIEVMRNHWCSYLGQ
+DIAENLQLCLGEFLPRLLDPSAEIIVLKEPPTIRPNSPYDLCSRFAAVMESIQGVSAVTV
+K
+>tr|A0A3Q1MIM1|A0A3Q1MIM1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC100299268 PE=4 SV=1
+MALCLGIFVIWVGASMFLQLDPVIGDKEPNTVFLLSDMKCVFPFTYKGIKFFSCTSRDSI
+FLWCSLSADYTGRWKYCTKTDYAKCVFPFIYGNKSYQTCTKTGSVTKRSWCSLSPNFDED
+RAWKYC
+>tr|F1ME85|F1ME85_BOVIN Vasopressin V1b receptor OS=Bos taurus OX=9913 GN=AVPR1B PE=3 SV=2
+MDSRPPWTAAPTPGSTLSAANATTPWLGRDEELAKVEIGVLATVLALATGGNLTVLLTVG
+QPVRKRSRMQVFVLHLALTDLGVALFQVLPQLLWDITYRFRGPDPLCRAVKYLQVLSMFA
+STYMLLAMTLDRYLAVCHPLRSLQQPSRSTYPLIAAPWLLAAVLSLPQVFIFSIREVIQG
+SGVLDCWADFRFPWGPRAYITWTTLAIFILPVAMLTACYGLICHEICRNLKVKTEAGQAE
+GGSWGTGNRPSARGPVAAPRGLPSRVSSVSAISRAKIRTVKMTFVIVLAYIACWAPFFSV
+QMWSVWDENAPDEDSTNVAFTISMLLGNLSSCCNPWIYMGFNSHLWLHALRRLACCGGPG
+PRMRRRLSNGSLSSRHATLLTRSSGPPARGLSPGLSRRPGPKDSLRGAEQVEGDAATETS
+IF
+>tr|A0A3Q1LP84|A0A3Q1LP84_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MRWLDIVLLSQEMDAGLQAWQLRQQGKLQKEDRKQQNALKPKASLLHR
+>tr|A0A3Q1M0K8|A0A3Q1M0K8_BOVIN Glutathione S-transferase A4 OS=Bos taurus OX=9913 GN=GSTA4 PE=3 SV=1
+MLNIAVSIGEIFWSLAHRRPRVPRLQTARWAPLCGSGHSEWSQFDEEFLETKEQLQKLQD
+GNHLLFQQVPMVEIDGMKLVQTRSILHYIADKHHLFGKDLKERTLIDMYVEGTLDLLELL
+IMHPFLKPDDQQKEVANMAQKAIIRYFPVFEKVLRGHGQRFLVGNQLSLADIILLQTILA
+LEEKIPNILSAFPHLQEYTVKISNIPTIKKFLEPGSKKKPPPDDIYVRTVYNIFMP
+>tr|F1MPH8|F1MPH8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC528262 PE=3 SV=3
+MQGACVMLLLGLQLQLSLGLVPAVEEEDPAFWNRQAAQALDVAKKLQPIQTAAKNVILFL
+GDGMGVSTVTAARILKGQMAGKPGPETPLAMDQFPYLALSKTYNVDRDVPDSAGTTTAYL
+CGVKTNMRTIGVSAAARFDQCNTTRGNEVTSVINRAKKAGKSVGVVTTTRVQDASPAGAY
+AHTVNRDWFSDADLPPDAQTYGCLDIATQLVYNMDIDVILGGGRKYMFPAGTPDPEYPDD
+NGVRKDKRNLVQEWQAKYQGAQYVWNRTELLKAADDSNVTHLMGLFQPGEMAYEIFRDHT
+TDPSLEEMTEAALRVLSRNPRGFFLFVEGGRIDHGHHANTAYWALNETIMFDNAIAKASQ
+LTSEADTLTLVTADHSHVFTFGGYPLRGTSIFGLADGKAKDGKSYTSLLYGNGPGYRLDV
+GPRPDVNEKESTDPEYQQQAAVPLDSETHAGEDVAVFARGPWAHLVHGVQEQTFVAHVMA
+FAACVEPYTTDCHPHPHSGPSDTAHQAACPSSLALLAGALLLVVVPPLH
+>tr|A0A3Q1MIE2|A0A3Q1MIE2_BOVIN C-type lectin domain-containing protein OS=Bos taurus OX=9913 GN=CLEC2B PE=4 SV=1
+MGLISALAISVVINVVLTSIYFSAKEDRGAQYFCPDNWIGFQDKCYYFSEEEKDWNSSRY
+DCLSQNASLVMIATTKEKHFLKRFKCTSDHWIWRDMTEDLTGQWVDENIHNKGTNMKGNE
+ICFYLNEDGVATARCYTERKWICRKKVH
+>tr|A0A3Q1LXH7|A0A3Q1LXH7_BOVIN Urocanate hydratase 1 OS=Bos taurus OX=9913 GN=UROC1 PE=1 SV=1
+MPPRAPVPSCAPRAPPTPSLRAVCTFLLGALLSGLPPWSAGPEVAPGTRQKLDPETLRWP
+PDAPDPLLALKNALRYFPPDVQEVLAPEFAQELRLYGHIYMYRFCPDLEMRAYPVERYPC
+RTRAAAAIMLMIMNNLDPAVAQFPQELVTYGGNGQVFSNWAQFWLTMSYLAQMTEEQTLV
+MYSGHPLGLFPSSPEAPRLVITNGMVIPNYSSRTEYEKLFAMGVTMYGQMTAGSYCYIGP
+QGIVHGTVLTVLNAGRRYLGLQDLAGKVFVTSGLGGMSGAQAKAAVIVGCIGVIAEVDGA
+ALMKRHRQGWLMEVTDSLDRCIERLREARKRKEVLSLGYHGNVVDLWERLVHELDTTGEL
+LVDLGSDQTSCHNPFNGGYYPVQLGFAEAQSLMASDPTAFKSLVQESLRRHVSAINRLAR
+EHFFFWDYGNAFLLEAQRAGADVGKPGANRTEFRYPSYVQHIMGDIFSQGFGPFRWVCTS
+GDPQDLAVTDRLATSALEEIVAAGVTPAVKLQYVDNIRWIQEAAKHQLVVGSQARILYSD
+QKGRVAIAVAFNQAIARGELKAPVVLSRDHHDVSGTDSPFRETSNIYDGSAFCADMAVQN
+FVGDAFRGATWIALHNGGGVGWGEVINGGFGLVLDGTEDAEQKARRVLSWDVANGVSDRQ
+HPPRLSPVQTADPPPSGKVCSPPAAAVSPPATARVALHGRQPALHPGCVLSSLCPWGLGS
+VPFPTLRLCASESTAAHARVCPDAVCGAGPHPRGTTYQGPKGEA
+>tr|G3X6N3|G3X6N3_BOVIN Serotransferrin OS=Bos taurus OX=9913 GN=TF PE=1 SV=2
+MRPAVRALLACAVLGLCLADPERTVRWCTISTHEANKCASFRENVLRILESGPFVSCVKK
+TSHMDCIKAISNNEADAVTLDGGLVYEAGLKPNNLKPVVAEFHGTKDNPQTHYYAVAVVK
+KDTDFKLNELRGKKSCHTGLGRSAGWNIPMGKLYKELPDPQESIQRAAANFFSASCVPCA
+DQSSFPKLCQLCAGKGTDKCACSNHEPYFGYSGAFKCLMEGAGDVAFVKHSTVFDNLPNP
+EDRKNYELLCGDNTRKSVDNYQECYLAMVPSHAVVARTVGGKEDVIWELLNHAQEHFGKD
+KPDNFQLFQSPHGKDLLFKDSADGFLKIPSKMDFELYLGYEYVTALQNLRESKPPDSSKD
+ECMVKWCAIGHQERTKCDRWSGFSGGAIECETAENTEECIAKIMKGEADAMSLDGGYLYI
+AGKCGLVPVLAENYKTEGESCKNTPEKGYLAVAVVKTSDANINWNNLKDKKSCHTAVDRT
+AGWNIPMGLLYSKINNCKFDEFFSAGCAPGSPRNSSLCALCIGSEKGTGKECVPNSNERY
+YGYTGAFRCLVEKGDVAFVKDQTVIQNTDGNNNEAWAKNLKKENFEVLCKDGTRKPVTDA
+ENCHLARGPNHAVVSRKDKATCVEKILNKQQDDFGKSVTDCTSNFCLFQSNSKDLLFRDD
+TKCLASIAKKTYDSYLGDDYVRAMTNLRQCSTSKLLEACTFHKP
+>tr|G3MZU1|G3MZU1_BOVIN Glycolipid transfer protein domain containing 2 OS=Bos taurus OX=9913 GN=GLTPD2 PE=4 SV=2
+MGVALPGQMPRRWLHSAIPLAVFALLLVYLWARNLRCGSGTQSCIAEGPPPFQVQQQSGP
+VEGAEWEEPQCLGPQGMLGRIMRQFRASLKPEGDVELSQYLAGWRDLVRFVTPLGSIFAF
+ATSEASAKVTALEALVHGPQAAHYTSLGTMVAWERPEIASSPSRASGSVSMLLLHRALRW
+SQLCLHRVATGMLGGPDAGVQCSDAYGTALAPHHSWLVRQAAHLAFLAFPGRGRLLKLMC
+PGARETEARAAVARAAGTLEDVYNRTQGLLAERGLLELA
+>tr|G5E532|G5E532_BOVIN U2 snRNP auxiliary factor large subunit OS=Bos taurus OX=9913 GN=U2AF2 PE=3 SV=1
+MSDFDEFERQLNENKQERDKENRHRKRSHSRSRSRDRKRRSRSRDRRNRDQRSASRDRRR
+RSRSPRHEKKKKVRKYWDVPPPGFEHITPMQYKAMQAAGQIPATALLPTMTPDGLAVTPT
+PVPVVGSQMTRQARRLYVGNIPFGITEEAMMDFFNAQMRLGGLTQAPGNPVLAVQINQDK
+NFAFLEFRSVDETTQAMAFDGIIFQGQSLKIRRPHDYQPLPGMSENPSVYVPGVVSTVVP
+DSAHKLFIGGLPNYLNDDQVKELLTSFGPLKAFNLVKDSATGLSKGYAFCEYVDINVTDQ
+AIAGLNGMQLGDKKLLVQRASVGAKNATLSTINQTPVTLQVPGLMSSQVQMGGHPTEVLC
+LMNMVLPEELLDDEEYEEIVEDVRDECGKYGLVKSIEIPRPVDGVEVPGCGKIFVEFTSV
+FDCQKAMQGLTGRKFANRVVVTKYCDPDSYHRRDFW
+>tr|A0A3Q1N1Y1|A0A3Q1N1Y1_BOVIN SMG5, nonsense mediated mRNA decay factor OS=Bos taurus OX=9913 GN=SMG5 PE=4 SV=1
+MSQGPPTGESSEPEAKVLHTKRLYRAVVEAVHRLDLILCNKTAYQEVFKPENISLRNKLR
+ELCVKLMFLHPVDYGRKAEELLWRKVYYEVIQLIKTNKKHIHSRSTLECAYRTHLVAGIG
+FYQHLLLYIQSHYQLELQCCIDWTHVTDPLIGCKKPVSASGKEMDWAQMACHRCLVYLGD
+LSRYQNELAGVDTELLAERFYYQALSVAPQIGMPFNQLGTLAGSKYYNVEAMYCYLRCIQ
+SEVSFEGAYGNLKRLYDKAAKMYHQLKKCETRKLSPSKKRCKDIKRLLVNFMYLQSLLQP
+KSSSVDSELTSLCQSVLEDFNLCLFYLPSSPNLSLASEDEEEYESGYAFLPDLLIFQMVI
+ICLMGVHSLKRAGSKQYSAAIAFTLALFSHLVNHVNIRLQAELEEGENPVPAFQSDGTDE
+PESREPLEKEEEPGPEPPPVVPPEGEVRKSRKFSRLSCLRRRRHPPKAGDDSDLSEGFDS
+DSSHDSARASEGSDSGSDKSLEGGGTAFDAETDSEMNSQESRSDLEDMEDEDGTRSPALE
+PSRARSEAPESLNGPLGPSEASIASNLQAMSTQMFQTKRCFRLAPTFSNLLLQPTTEAPV
+SATLRRCVNGDVDKPSEPASEEGSESEGSESSGRSFRNERSIQEKLQVLMAEGLLPAVKV
+FLDWLRTNPDLIVVCAQSSQSLWNRLSVLLNLLPAAGELQESGLALCAEVQELLEGCELP
+DLPSSLLLPEDTALRNLPPLRAAHRRFSFDTARLLLSTLEETVVRICCIRSFGHFVARLQ
+GSILQFNAEVGIFVSIAQSEQESLLQQAQAQFRMVSQALPPDPAFSQQLEVSQLEGSLQQ
+PKAQSAMSPYLVPDTQALCHHLPVIRQLATSGRFIVIIPRTVIDGLDLLKKEHPGARDGI
+RYLEAEFKKGNRYIRCQREAGKSFERHKLKRQDADAWTLYKILDSCKQLTLAQGAGEEDP
+SGMVTIITGLPLDNPSTLSGPMQAALQAAAHASVDIKNVLDFYKQWKEIG
+>tr|A0A3Q1N6Y5|A0A3Q1N6Y5_BOVIN YME1 like 1 ATPase OS=Bos taurus OX=9913 GN=YME1L1 PE=3 SV=1
+MEHDVESNFLLSSSVTVPLSHLINAFHSPKNTPVSVSASISQNQHRDVVSEHEAPSNEPV
+LNLRDLGLSELKIGQIDQLVDSLLPGFYKDKNVSSHWHTSHVSAQSFFENKYGCLDIFST
+LRSSCLYRQHSRTLKRICSDLQYWPGFIQSRGFKTLKSRTRRLQSTSERLAETQHTAPSF
+VKGFLLRDRGSDVESLDKLMKTKNIPEAHQDAFKTGFAEGFLKAQALTQKTNDSLRRTRL
+IVFILLVVGIYGLLKNPFLSVRFRTTTGLDSAVDPVQMKNVTFEHVKGVEEAKQELQEVV
+EFLKNPQKFTVLGGKLPKGILLVGPPGTGKTLLARAVAGEADVPFYYASGSEFDEMFVGV
+GASRIRNLFREAKANAPCVIFIDELDSVGGKRIESPMHPYSRQTINQLLAEMDGFKPNEG
+VIIIGATNFPEALDNALIRPGRFDMQVTVPRPDVKGRTEILKWYLNKIKFDQSVDPEIIA
+RGTVGFSGAELENLVNQAALKAAVDGKEMVTMKELEFSKDKILMGPERRSVEIDNKNKTI
+TAYHESGHAIIAYYTKDAMPINKATIMPRGPTLGHVSLLPENDRWNETRAQLLAQMDVSM
+GGRVAEELIFGSDHITTGYCASSDFDNATKIAKRMVTKFGMSEKLGVMTYSDTGKLSPET
+QSAIEQEIRILLRDSYERAKHILKTHAKEHKNLAEALLTYETLDAKEIQIVLEGKKLEVR
+>tr|A0A3Q1LUS0|A0A3Q1LUS0_BOVIN Glucoside xylosyltransferase 1 OS=Bos taurus OX=9913 GN=GXYLT1 PE=4 SV=1
+MRRYLRVVVLCLACGFCSLLYAFSQLAVSLEEGAGGVGGKPQAAAASWLGGDGRGAGRGV
+GSAGPAAHHGRSDRYGVKMQPVERVHLAVVACGERLEETVTMLKSALIFSIKPLQFHIFA
+EDQLHDSFKGILDSWSFLQTFNYSLYPITFPSENAAEWKKLFKPCASQRLFLPLILKEVD
+SLLYVDTDILFLRPVDDIWALLKKFNSTQIAAMAPEHEEPRIGWYNRFARHPYYGKTGVN
+SGVMLMNMTRMRRKYFKNDMTTVRLRWGDILMPLLKKYKLNITWGDQDLLNIIFFHNPES
+LFVFPCQWNYRPDHCIYGSNCQEAEEEGIFILHGNRGVYHDDKQPAFRAIYEALRNCSFE
+DDSIHSLLKPLELELQKTVHTYCGKIYKIFIKQLTKSIQDRYDRPPKER
+>tr|A0A3Q1MX38|A0A3Q1MX38_BOVIN Family with sequence similarity 71 member F2 OS=Bos taurus OX=9913 GN=FAM71F2 PE=4 SV=1
+MDDCEGPTTVILGVTSSVPSLPLPNILLMANVTWPQGQFSTWSTPGSAPVITLSRILPLK
+YVELRIYDRLQRILRVRTVTEKIYYLRLHEKYPETVFQFWTRLVNILQRGLSITTKDPRI
+RISHCLVPKLPCRSAETSESSLPSSSRPSESSMLLAAEQNSDRFLNLSGTSQLTVHRNTN
+SATEIETFNCKDNTSSPASSPVISNIPMRAALSHSLWEQENADEYHIQAPVASSLGENFW
+GP
+>tr|A0A3Q1LUC1|A0A3Q1LUC1_BOVIN Contactin associated protein like 4 OS=Bos taurus OX=9913 GN=CNTNAP4 PE=4 SV=1
+MGSVTGAVLKTLLLLSTPNWNRVLAGNSYNCDDPLVSTLSQASFSSSSELSSSHGPGFAR
+LNRRDGAGGWSPLVSNKYQWLQIDLGERMEITAVATQGGYGSSNWVTSYLLMFSDSGRNW
+KQYRQEDSIWGFVGNANADSVVYYRLQPSIKARFLRFIPLEWNPKGRIGMRIEVFGCAYR
+SEVVDLDGKSCLLYRFDQKSLSPIKDVISLKFKTMQSDGILLHREGQNGDHITLELRRGK
+LFLLINSGDAKPPSARAPVRLTLGSLLDDQHWHSVLIQRLGTQVNFTVDGHRRRFRAQGE
+FSSLHLDYEISFGGIPAPGKPVSFPHKHFHGCLENLFYDGVDIIDLAKRQDPQMIVMGNV
+SFSCSQPQSVPVTFLSPRSYLALPGSFGEDEISATFQFRTWNKAGLLLFTELQLVSGGLL
+LFLNDGKLKLHLYHPGKLPSDITAGCPDSSFGSKCKSPLGGFQGCMRLISIRHEVVDLIS
+LQPGALGNFSDLQIDSCGITDRCLPNYCEHGGECSQSWDTFHCNCANTGYRGATCHNSIY
+EQSCEAYKHKGNTSGFYYIDADGSGPLEPFLLYCNMTETAWTIIQHNGSGVTRVRNTNPE
+NPYTGFFEYVASMEQLQATINRAEHCEQELTYYCKKSRLVSKEDGAPLSWWVGRTNETQT
+YWGGSLPDPQKCTCGLEGNCVDSQYYCNCDADRNEWTNDTGFLSYKEHLPVTRIVITDTG
+RPHSEAAYKLGPLLCRGDKLFWNSASFNTEASYLHFPTFHGELSADVSFFFKTTASSGVF
+LENLGITDFIRIELRSPAVVTFSFDVGNGPFEISVQSPTHFSDNQWHHVRVERNMKEASV
+RVDQLSPKTQPAPADGHVLLQLNSQLFVEISAYFGSGSSVIYNFQENYSLSKNSSSHAAS
+FHGDTRLSRETIKFSFRTTRTPSLLLYLSSFYKEYLSVILAKNGSLQIRYKLNRYQEPDV
+VNFDFKNMADGQLHHVKINREEAVVFVEIDESMRRQVHLASGTELSAVRSLVLGRIIEHS
+DVDQETALAGAQGFLGCLSAVQVSHVAPLKAALQPSRPAPITVSGHVTESSCVAQAGTDA
+TSRERTHSFADHSGTRDDREPLTKTIKSDSAVIGGLIAVVIFILLCIAAIAVRIYQQKRL
+YKRNEAKRSENVDNAEAVLKSELNIQNPVKENQKEYFF
+>tr|E1BNT3|E1BNT3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=SEL1L2 PE=4 SV=3
+KNTHESSFHRKSLNKVKQYLSNILEQRKPINVISKKENLLENKKNHHKLRRKGIRNKDLW
+KRNKDHLKKQAKNNFTDEGDQLFNMGIQILQQSKSQKQKAEAYIFFAKAADMGNLKAMEK
+MADALLFGNFGMQNITAAIQLYESLAKEGSHKAQNALGFLSSYGIGMEYNQAKALIYYTF
+GSAGGSMMSQMILGYRYLSGINVLQNCEVALSHYKKVADYIADKLEKSEGIPVEKVRLTE
+RPENLSSNSEILDWDIYQYYKFLAERGDVQIQVSLGQLHLIGRKGLDQDYYKALYYFLKA
+AKAGSANAMAFIGKMYLEGNAAAPQNNATAFKYFSMAASKGNAIGLHGLGLLYFYGKGVP
+VNYAEALKYFQKAAEKGWPNAQFQLGFMYYSGSGVWKDYKLAFKYFYLASQSGQPLAIYY
+LAEMYATGTGVLRSCRTAVELYKGVCELGHWAEKFLTAYFAYKNGDIDSSLVQYALLAEM
+GYEVAQSNSAFILESKKAKILEKEKMYPMALLLWNRAAIQGNAFARVKIGDYHYYGYGTK
+KDYQTAATHYSIAADKYHSAQAMFNLGYMYEHGLGITKDIHLARRLYDMAAQTSPDAHIP
+VFLALMKLETVHLLQDILLFNFTMRWKWMTLDNTIGPCWDLFVIGLIVAVLIFLLRNRYG
+>tr|A0A3Q1M1K5|A0A3Q1M1K5_BOVIN Muscular LMNA interacting protein OS=Bos taurus OX=9913 GN=MLIP PE=4 SV=1
+MDFEKHGKGSLLNENLEEKLTFDSSEYFTLNVGRQQERERGALTCPSEAEDKASQGRESK
+EKKPQGMQQSDLFKAEYVFIVDSEGEEEVPGRKGDQGPPVGTGPPAARPASLAISSSLAS
+DAVRPKTRGADLQAPSHPERPQAMASQQRHGQLTSPTASEQLARKPPAFSFVSPTNQKTP
+PAPGDLASASVLEEFHSRRLDARGALVEETTTYFQTSAHSAPFFAPKGTSSTSQVPQPAQ
+LSGSPSSSPSTAQQNPGQTSEVLKKTVTSNVLSPRESPRASSPSPASGASLKSSAASYIP
+VRIVTHSLSPSPRAFPSPFHGSSSTVCSQASSSGSLSRSGVKPPVPSRLSVLTAILKSSP
+SHQRPLSPASCPTFSLNSLASSTLTLDQKVKQTPSTPKKSLSSCSLRSGSPEQRENPVSD
+LSQPSFPFPSPTKAAPPPQASALFPPKQGGSSFASVIVEKMPSPTLKSSPVTSQLQTGTS
+SSVGLPPVPPSFSPLSSKGRQDADPRGPGKPRDICSHASTSPSSTLSSVSPPTNPRAMLS
+SPERRYHPSPALSNLINRSKSAQAPVSSQRQTPSTPPPAPVSSSSSASLPCLGSSALPLA
+NPPTKTHQLSPSALHPNLSLPSRLGKSESSISDHRSSVSAPSPPFCLTRTKELSSPCALS
+MSAGPENKKPKQYKTKSSYKAFAAIPTNTLLLEQKALDEPAKTDSISKDSTLDPPLELCS
+PAQLRQQTEELCATIDKVLQDSLSMHSSDSPSSSLQTFLGSDTIKMPTTLPRAAGRETKY
+ANLSSPSSTVPESQLTKPGVIRPAPVKSKILLKKEEEVYEPNPFSKYLEDNSDFFSEQDV
+PAPPKPVSLHPLYQTRLHPPAKSLLRPQTRPHADGLTPGPFSHLSSFSLSDEQENSHTLF
+SHNAYNKQ
+>tr|F1MLK5|F1MLK5_BOVIN Solute carrier family 10 member 5 OS=Bos taurus OX=9913 GN=SLC10A5 PE=4 SV=2
+MIRKLFIILLLSSVTLGEARKSFLSFLNIEKTEVLFITKTEETVVVRSSYRDKQPNSSYL
+RVQLEDDKMLQVVNVTKTLSDVTNFTIHLVTGGDGETNLTVQLWDSEGRRERLIEEIKNV
+RVRVLRQRQDSPFQASNLINRNILMLFLPMILLNKCAFGCKIEFQVFETVWKRPLPVVLG
+AVIQFFLMPFCGFLLTQILALPEAQAFGFIVTCTCPGGGGGYLFALLLEGDVTLAILMTC
+TSTFLALVTMPTNSYIYSRILGLSGTLHIPISKIMSTLLFILAPMSVGIVIKHRLPDKAK
+FLERIIRPLSFILMFIGIYVTFSMGLVFLKTVNLGVLLLGVLVPALGLLFGYFFAKISML
+PLPVCKTVAIEGGVLNSFLALAIIQLSFSQSDADLASVAPFTVAMCSGCEMLLILLFYKA
+KKRCILNIEEKRMKNPPV
+>tr|A0A3Q1LMK9|A0A3Q1LMK9_BOVIN Centrosomal protein 152 OS=Bos taurus OX=9913 GN=CEP152 PE=4 SV=1
+MSLDFGSVALQTQNEDEEFDKEDYEREKELQQLLTDLPHDMLDDDLSSPELHYSDCSEDG
+REENTHHSEQLEMNWTEHQVLPKPPNVNAYNEIRDICIGEKSGNGWEDNQSRTEDQHPVY
+HPEGGGDEGGSGYSPPSKYEQTDLYHLPENFRPYTNSQKQEFNSQPTNVITFSDTQRNHF
+QVFCQALESYKVTYKPFQSSAQNNGSPAQDTAESDTFEGLQQQFLGANENSAENMQIIQL
+QVLNKAKERQLDNLVEKLDESERQVRFLNHQLLITKDEKDGLALSLRESQKLFQNGKERE
+IQLEAQIKALETQIQALKVNEEQMIKKSRTTEMAMDSLKQQLLDLHHSESLQRTREQHES
+IVMGLTKKYEEQVLFLQRKLDATLTALKEQEDICCHLKDQVKQLERNQEATRLEKTEIIN
+RLTRSLEESQKQCANLLHSGSVQEVAQLQLQLQQAQKALAMSENMSKALQEELKELKDEI
+SLYESAAKLGILPSDSEGELNIELTESYVDLGIKKVNWKKSKLVQQEGPDEALSKEEVIL
+KLKAEVQRLLDSNSVKRHLVSQLQSDLKNSHKKIEALQVEKEEKSTEVEFTKTDTSEKPT
+NQIWPDSSDMIVKDDILQLKNEIQVLQQQNQELKETEEKLRNTNQDLCNQMRQMVQDFDR
+DKQEAVDRCERTYQQHHEAMKAQIRESLLAKHALEKQQLFEVYEATRLQLRSDLDKVNKE
+MAAVQECYLEVCREKDKLEATLRKSMEKEQQAQEKKIKQELIQQLEKEWQSKLDQTVKAM
+KKKTSDCCSQTDQETTTDVISKKEMAIMTEGKKRKIQQNLEQAKETAIKGDLKKLEFELE
+LKYCENIAKQVETAVQNARHRWLEELPELAEYKALVRAEQKKWEELQEQSVAKRILFAVS
+EAKEKWKSEVANMKKNVTPGKEMEEKIHSLQRELELKNEELPVVVRAELAKARSEWNKEK
+QEEIHRIQEQNEQDYRHFLDDHRNKINEVLAAAKEDFMKQKTELLLQKETELQTCLDQSR
+KEWTMQEARRIQLEIHHHEEDILTVLEFLLKDTLKEQASGSESKPLLELLSTCSSKWMSV
+QYFEKLKACIQKTFQDILSLLIETVDSDWGKRNMTKISKDPATGRGDPGVQAMLPAPTSG
+HHALPLAAVQEAEADEKKILEIKDSRCGHCFQELENAKQECQDLKRKLEKCCRHLQHLER
+KHKAVVEKIGEENTKVVEELIEENNDMKNKLEELRTLCKTLPPRSLSEGAIENACLPCNE
+VALEELRGQYIKAVKKIKRDMLRYIQESKERAAEVVKAEVLRERQETARKMRKYYLICLQ
+QILQDDGKEEGAEKKIISAASKLAMMAKLLETPISDRSQSKTTQSVLPLTSERLTGVEQS
+KRNDVNQNIPCHTESKSNNLKTIPRSMCDQVPKRRAACNLQRRLEDAERGDRKPECSRES
+HLDFQFRDNSRKRVDTLPRSVSPEFVPCEDERSFGLHKKTDLLGDPGSEPLQHSHTHPFR
+GTFGNKPSSRYTPELSESESTDITFPSPNERLGLKVYKCNPLMESENAASEKNPSLGVKE
+VPVKDVGDLSDSSGWHSNTVTLSYSSHEVSFLHGGPQDTLDSLLESVNFKQFSAASCLSD
+SEKNNMIRQPMKCQSGHAPDLSEETTYSQPGKSSITPGHPPHHKADMLKSEFKTLSSTLP
+PAMCPLPSRKLIAPLSSQQDSGFDSPFVNLD
+>tr|F1MLP7|F1MLP7_BOVIN U5 small nuclear ribonucleoprotein 40 kDa protein OS=Bos taurus OX=9913 GN=SNRNP40 PE=4 SV=1
+MIEQQKRKGPELPLVPVKRQRHELLLGAAGSGPGAGQQQAAPGALLQAGPPRCSSLQAPI
+MLLSGHEGEVYCCKFHPNGSTLASAGFDRLILLWNVYGDCDNYATLKGHSGAVMELHYNT
+DGSMLFSASTDKTVAVWDSETGERVKRLKGHTSFVNSCYPARRGPQLVCTGSDDGTVKLW
+DIRKKAAIQTFQNTYQVLAVTFNDTSDQIISGGIDNDIKVWDLRQNKLTYTMRGHADSVT
+GLSLSSEGSYLLSNAMDNTVRVWDVRPFAPKERCVKIFQGNVHNFEKNLLRCSWSPDGSK
+IAAGSADRFVYVWDTTSRRILYKLPGHAGSINEVAFHPDEPIILSASSDKRLYMGEIQ
+>tr|A0A3Q1M0P4|A0A3Q1M0P4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=KIF21B PE=3 SV=1
+EGAQGDCDSLPPPALGRIRPQLSKEKIEGCHICTSVTPGEPQVLLGKDKAFTYDFVFDLD
+TWQEQIYSTCVSKLVEGCFEGYNATVLAYGQTGAGKTYTMGTGFDVATAEEEQGIIPRAI
+AHLFGGIAERKQRAQEQGVAGPEFKVSAQFLELYNEEILDLFDSARDPDARHRKSHIKIH
+EDASGSIYTTGVTSRLISSQEELIQCLKQGALSRTTASTQMNVQSSRSHAIFTIHLCQMR
+MCARPDLVNEAVSGLPDSAPPASEYETLTAKFHFVDLAGSERLKRTGATGERAKEGISIN
+CGLLALGNVISALGDQSKKVVHVPYRDSKLTRLLQDSLGGNSQTIMIACVSPSDRDFMET
+LNTLKYANRARNIKNKVVVNQDKTSQQISALRAEIARLQMELMEYKAGKRVIGEDGAEGY
+SDLFRENALLQKENGALRLRVKAMQEAIDAINNRVTQLMSQEANLLLAKAGDGNEAIGAL
+IQNYIREIEELRTKLLESEAMNESLRRSLSRASARSPYSLSASPAAPASSMEDASEVIRR
+AKQDLERLKKKEIRQRRKSPEKEAFKKRAKLQQENSEETDDNEAEEEDEEREESGCEEED
+GREDEDEDSGSEESLADSDSDPEEKEVNYQVDLADLTCEIEIKQKLIDELENSQRRLQTL
+KHQYEEKLILLQNKIRDTQLERDRVLQNLSTMECYTEEKANKIKADYEKRLREMNRDLQK
+LQAAQKEHARLLKNQSRYERELKKLQAEVAEMKKAKVALMKQMREEQQRRRLVETKRTRE
+IAQLRKEQRRQEFQIRALESQKRQQEIVLRRKTQEVSALRRLAKPMSERVAGRVGPKSPM
+LDSGAEVSASTTSSEAESGARSVSSIVRQWDRKINHFLGSHSAPTVPGARPARKKFQKKG
+ASQSFSKAARLKWQSLERRILDIVMQRMTIVNLEADMERLIKKREELSLMQEALRRKRER
+LQAESPEEEKGLQELAEEIEVLAANIDYINDSIGDCQATIVQLEETKEELDSTDTSVVIS
+SCSLAEARLLLDNFLKASIDKGLQVAQKEAQIRLLEGRLRQTDIAGSSQNHVLLDALREK
+AEAHPELQALIHNVQQGTGPPGPPDTRGSLPPTPETLTSPGEPKLSAQMKAVSAECLGPP
+LDVSTKNITKSLASLVEIKEDGVGFSVRDPYYRDKVSRTISLPTRGSTFPRQSRGAETSP
+LTRRKSYDRGQPMRSTDVGFTPPSSPPTRPRNDRNVFSRLTSNQSQGSALDKSDDSDSSL
+SEVLRGIITPVGGAKGARTAPLQCVSMAEGHTKPVLCVDATDELLFTGSKDRSCKMWNLV
+TGQEIAALKGHPNNVVSIKYCRHSGLVFSVSASYIKVWDIRDSAKCVRTLTSSGQVVSGD
+ACAAASTRTVTSGQGEHQINQIALSPAGSMLYAASGNAVRVWELSRFQPIAKLTGHIGPV
+MCLTAAQTAGQRDLVVTGSKDHYVKMFELGEGVTGTIGPTHNFEPPHYDGIECLAIQGDV
+LFSGSRDNGVKKWDLEHQELVQQIPTAHKDWVCALAFVPGRPMLLSACRAGVVKVWNVDN
+FTPIGEIKGHDSPINAICTNSRHIFTASRWVPGRGALHSCSQAAPPPCPDPTPLPPSPPP
+SSPLSPSPLSSLLFLHFDLSCFLTV
+>tr|A0A3Q1LK39|A0A3Q1LK39_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=TPO PE=4 SV=1
+GIVEASRLLVDKSVYAAMRRNLHKREVISPAQLLSFSKLPEPTSRAASRAAEVMEASVQE
+ANRFSERSQNALSEGLLSAIANLSGCLPYMLPPRCPATCLASKYRLISGACNNRDHPRWG
+ASNTALARWLPPAYEDGISEPRGWNPHFLYKGFPLPPVREVTRRIIHASNEAVTGDDRYS
+DLLTAWGQYIDHDIAFTPQSAAPSAPWVGADCQLTCEPRSPCFPIQLPANAAGPACLPFY
+RSTAACGTGTQGALFGNLSSANPRQQMNGLTSFLDASTVYGSSAASERRLRNWTSAEGLL
+RVNARYRDAGRAFLPFAPPSAPPACAPQPGAPGARAPCFLAGDGRASEVPALAALHTLWL
+REHNRLATALKALNAHWSADTAYQEARKVVGALHQIITLRDYVPRILGPEAFGRHVGPYR
+GYDPSVDPTVSNVFSTAAFRFGHATIHPLVQRLDARFQEHLGPRLLLRDAFFRPWRLLEE
+GGVDPVMRGLLARPAKLQVQDQLLNEELTERLFVLSDAGTLDLASINLQRGRDHGLPGYN
+EWRQFCGLSRLETRADLGAATANGSMADRILDLYGHPDNIDVWLGGLAETFLPGARTGPL
+FACLVGKQMKALRDGDRFWWEHRAVFTEAQRRELGRHSLSRVICDNTGLTRVPRDAFRVG
+QWPQDFESCDRIPGMDLRAWRETTPVASRTKVENGGFLLCDESGRRVLVFSCHHGFQLQG
+PEQVTCTRHGWDSQPPVCRDINECEDEVDPPCHASARCKNTKGSFQCECSDPHVPGEDGR
+TCVDSGRLPRASVVSLVLGAMLVCSLAGLTWTVVCRWGQHVASRWSEAHQVLLCK
+>tr|A0A3Q1MEZ2|A0A3Q1MEZ2_BOVIN Glutathione S-transferase zeta 1 OS=Bos taurus OX=9913 GN=GSTZ1 PE=1 SV=1
+MGSRAQDFPQWCRERRLHLSGHPGECGRRRSPNCRLPSLLALALKNIDYETVAINLTKDG
+GQQVRRPPPGHDVGGRGLGEGPSRGCRLLPMRMNPVVLCPVVPTAGEGCWLSLMGQGSRL
+CSSCRGHGEAQVPGDIPQHDSRRAGGEPCRGALVATYEVQGQAHSQATGTKLVSQQPLGF
+GILHGFPQVSPGALEPGPSPGRWVQVGSRLGASCLLLSALAGSAPATQSTRASSPQFSGE
+FQALNPMKQVPALKIDGITIGQSLAIIEYLEETRPTPRLLPWDPKKRAQVRMVSDLIASG
+IQPLQNLSVLKQVGQENQLTWAQQAITSGFNALEQILQSTAGRYCVGDEVSPSPGRLRLP
+PSPPETQPPPSSLGQAPSPELPKEAAAVGVGEGILCVKQKLARGALLWSPWSAASRGSEF
+LIPRGIQVKGLISKMQRWSSCHMRG
+>tr|F1MM68|F1MM68_BOVIN ZnMc domain-containing protein OS=Bos taurus OX=9913 GN=LOC112441463 PE=3 SV=3
+MPRLPLLLLLLWGTGSHGFPAATSETQEQDVETVKKYLENYYNLNSNGKKVERQRNGGLI
+TEKLKQMQKFFGLRVTGKPDAETLNVMKQPRCGVPDVAPFVLTPGNPHWENTNLTYRIEN
+YTPDLSRADVDQAIEKAFQLWSNVTPLTFTKVSEGQADIMISFVRGDHRDNSPFDGPGGN
+LAHAFQPGAGIGGDAHFDDDEWWTSNFQDYNLYRVAAHEFGHSLGLAHSTDIGALMYPSY
+TFSGDVQLSQDDIDGIQAIYGPSQNPTQPVGPQTPEVCDSKLTFDAITTIRGEVMFFKDR
+FYMRTNPLYPEVELNFISVFWPQLPNGLQAAYEVADRDEVRFFKGNKYWAVKGQDVLRGY
+PRDIYRSFGFPRTVKSIDAAVSEEDTGKTYFFVANKCWRYDEYKQSMDAGYPKMIAEDFP
+GIGNKVDAVFQKGGFFYFFHGRRQYKFDPKTKRILTLLKANSWFNCRKN
+>tr|A0A3Q1LN99|A0A3Q1LN99_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MAEYWQQIGLSYLRYSQICAKAMRDTLKTEFKANAEKTSGSSIKVVKVKKE
+>tr|A0A452DIR7|A0A452DIR7_BOVIN Inositol monophosphatase 3 OS=Bos taurus OX=9913 GN=IMPAD1 PE=4 SV=1
+MAPMGIRLSPLGVAVFCLLGLGVLYHLYSGFLAGRFSLFGLGGEPGGGAAGPAASADGGT
+VDLREMLAVSVLAAVRGGEEVRRVRESNVLHEKSKGKTREGADDKMTSGDVLSNRKMFYL
+LKTAFPSVQINTEEHVDASDQEVILWDRKIPEDILKEIATPQEVPAESVTVWIDPLDATQ
+EYTEDLRKYVTTMVCVAVNGKPVLGVIHKPFSEYTAWAMVDGGSNVKARTSYNEKTPRIV
+VSRSHSGMVKQVALQTFGNQTTIIPAGGAGYKVLALLDVPDKSQEKADLYIHVTYIKKWD
+ICAGNAILKALGGHMTTLSGEEISYTGSDGIEGGLLASIRMNHQALVRKLPDLEKTGHK
+>tr|F1MHZ6|F1MHZ6_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC520181 PE=3 SV=2
+MERSLESGNVTRVQEFVLLGLSTSPETREVLFAVFLPLYLLTLLENALIVFLVCSHTELH
+KPMYFFLGNLSCLEMCYVSVTMPSLLAGLWMGPYHVPFPACMTQLFFFIALICTECTLLA
+SMAYDRYVAICRPLRYPLLMRPQVCLGLARTSWLGGLLVSVAKTACIASLSYCGPNVLNH
+FFCDVSPLLNLSCTHVALTELVDFLSAIIILWGSLLVAIASYVAIGRAVLHMPSAAARCK
+AFSTCASHLVVVGIFYSAALFIYARPSRIEAMDLNKMLSVVYTVATPMCNPVIYCLRNRE
+VQAAFRRALRGS
+>tr|A0A3Q1M0V9|A0A3Q1M0V9_BOVIN Cadherin-related family member 1 OS=Bos taurus OX=9913 GN=CDHR1 PE=4 SV=1
+MGRGPPAVLAPWMLFLSLAQANFAPHFFDNGAGSTNGNMALFSLPEDTPVGSHVYTLNGT
+DPEGDPVSYHISFNPSARSVFSVDPNLGNITLIEELDREREDEIEAIISISDGLNLVAEK
+VTILVTDANDEAPRFIQEPYVVQVPEDTPSGSSIARVRAVDRDTGSAGSVTYFLKYPHPT
+EFSVDRHSGVLRLRAGAILDFEKARAHFVTVVAKDGGGKLRGADVVLSATTVVTVNVEDV
+QDMGPVFVGTPYYGYVYEDTLPGSEVLMVVAMDGDRGKPNRVLYSLVNGSDGAFEINETS
+GAISVMQSPSQLRREVYELHVQVTEVSSAGTPAAQAMVPVTIRIVDLNNHPPTFYGESGP
+QNRFELSMYEHPPQGEILRGLKITVNDSDQGANAKFNLRLVGPGGIFRVVPQTVLNEAQV
+TIIVENSAAIDFEKSKVLTFKLLAIEVNTPEKFSSTADVVIQLLDTNDNVPKFTSHYYVA
+RIPENAPGGSNVLAVTAVDPDSGPWGEVKYSIYGSGADLFLIHPSSGIIYTQPWASLDAE
+ATARYNFYVKAEDMEGRYSLAEVFITLLDVNDHYPQFGKSVQEKTMVLGTPVKIEATDQD
+AEEPNNLVDYSITHAEPANVFDINAHTGEIWLKNSIRSLDALHNITPNGDRTWSLEVQAK
+DRGSPSFSTTALLKIDIIDTEMLSRSPMAAFLMQTKDNPMKAVGVLAGIMAIIVAITVLI
+STATFWRNKKSNKVQPVRRVLRKRPSPAPRSVRIEWLKFRRTKAADKFVLKEAPPNENCN
+NNSSRGSTPAPQAPAPPPPPSPAPSVGQAPWTVPTVSGSLAPQQPQQPSPKPRAVAKRKA
+VGSPVQSALVSELRQKFEKKNLHSKAYF
+>tr|A0A3Q1LYB1|A0A3Q1LYB1_BOVIN Solute carrier family 5 member 9 OS=Bos taurus OX=9913 GN=SLC5A9 PE=3 SV=1
+MSTELAAMGPGASGSGVSTATVPRSAPGPRGSLHAYDIAVLVVYFVFVIGVGVWSSIRAS
+RGTIGGYFLAGRSMSWWPIGASLMSSNVGSGLFIGLAGTGAAGGLAVGGFEWNATWLLLA
+LGWIFVPVYIAAGVVTMPQYLKKRFGGQRIQVYMSVLSLILYIFTKISTDIFSGALFIQM
+ALGWNLYLSTVTLLVVTAVYTITGGLTAVIYTDTLQTVIMVGGALVLMFLGFQEVGWYPG
+LEERYRQALPNVTVPNTTCHLPRPDAFHMFRDPVRGDLPWPGLIFGLTVLATWCWCTDQV
+IVQRSLSAKSLSHAKGGSILGGYLKILPMFFIVMPGMISRALYPDEVGCVDPDVCQAICG
+ARVGCSNIAYPKLVIALMPVGLRGLMIAVIMAALMSSLTSIFNSSSTLFAIDVWQRFRKN
+ATEQELMVVGRVFVVFLVVISILWIPIIQSSNSGQLFDYIQSVTSYLAPPITALFLLAIF
+CKRVTEPGAFWGLLFGLVVGLLRMILEFCYPAPACGEEDRRPAVLKDFHYLYFALLLCGL
+TTIVIVAVSLCTAPIPEEKLARLTWWTRHHPLSELEKEPPESTAGTAEVASGEGPTGARG
+AEDPGQGQEQPGALKCLLTFEAW
+>tr|A0A3Q1MHG8|A0A3Q1MHG8_BOVIN Lipase maturation factor OS=Bos taurus OX=9913 GN=LMF1 PE=3 SV=1
+MRRAGPAMAAPRESLRRRKAGAGDPEPEAPPGQGRDLKGRPARLRAGTFWLTRIVLLRAL
+AFVYFVAFLVAFHQNKQLIGDRGLLPCRAYLQSVQRHFGGRVSWDALSYAPTILWLLDWS
+HMDANLDALALLGLGISSFILVSGCANMVLMAALWVLYMSLVNVGQIWYSFGWESQLLET
+GFLGIFLCPLWTLSALPRGTPTSWVVMWGFRWLIFRIMLGAGLIKIRGDRCWRDLTCMDF
+HYETQPVPNPVAYFLHRSPWWFHRFETLSNHFLELVVPFFIFLGRRMCIVHGALQVLFQV
+VLIISGNLSFLNWLTIVPSLACFDDATLGGLFPSGPGRLKDQVLKIQEEETRGARAPRTR
+GSVARGTVNLALGILVAWLSIPVVLNLLSPRQVMNSSFNPLRIVNTYGAFGSITRERTEV
+ILQGTASANASAPDSAWEDYEFKCKPGDPRRRPCLISPYHHRLDWLMWFAAFQTYEHNEW
+IIHLAGKLLANDAQALSLLARNPFEGRDPPRTPCSPRYNSTEIRPITQPPYNGL
+>tr|A0A3Q1MLF2|A0A3Q1MLF2_BOVIN Anaphase promoting complex subunit 1 OS=Bos taurus OX=9913 GN=ANAPC1 PE=4 SV=1
+MSNFYEERATMIAAGDLQEFVPFGRDHCKHHPNALNLQLRQLQPASELWSSDGAAGLVGS
+LQEVTIHEKQKESWQLRKGVSEIGEEVDYDEELYMAGNMVIWSKGSKSQALAVYKAFTVD
+SPVQQALWCDFIISQDKSDKDYMERCICILQSSCINMHSIEGKDYIASLPFQVSLSVSIF
+LCFIGLVIDCLFVVTREPLPTLFSMLHPLDEITPVVCKSGSLFGSSRVQYVVDHAMKIVF
+LHTDPSIVMTYDTVQGLHSVWALRRVKTEEGNVVLKFSEQGGTPQNVATSSSLTAHLRSL
+SKGDSPVASPFQNYSSIHSQSRSASSPSLHSRSPSISNMAALSRAHSPALGVYSFSGVQR
+FNLSSHNQSPKRHSFSHSPSSNSNGSFLAPETEPIVPELCIDHLWTETITRLRKKNSQAS
+KVFITSDLCGQKFLCYLVESELQLRCVKFQESNDKTQLIFGSVTNIQAKDAAPVEVNNGV
+KSLCIIVKPYAKKTCNFFIQISQKCFTCCIYDPVQVLVSPVPELRDSSKLHDSLYTEDCT
+FQQLGTYIHSIRDPVRNRVTLVIQTCLQAIKFILPKEIAVQMLVKWYSVHSAPGGPSDHS
+EWNLFVTCLMNMMGYNTDRLAWTRNFDFEGSLSPVIAPKKARPSETGSDDDWEYLLNSDY
+HQNVESHLLNRSLCLSPLEVSQMKDEDFSQNLSLDSSTLLFTHIPAIFFVLHLVYEELKL
+NTLMGEGVRSLVELLVQLARDLKLEPYIDHYYRDYPTLVRTTGQVCAIDQGQTGSMQYPP
+FFTPEPPSIYQWVSSCLKGEGVPPYPYLPGICERGRLVVLSIALYILGDESSLSDESSQY
+LSRISIGNLLTLFGGLGQWLSLTEEFSPFPPSQGFTLRDLETLPFGIALPIRDAIYHCRE
+QPASDWPEAVCLLIGRQDLSKQACEGNLPKGKSVNVPSGTETEEEDDGMNDMNQEVMSLI
+WSEDLRVQDVRRLLQSAHPVRVNVVQYPELSDHEFIEEKENRLLQLCQRTMALPVGRGMF
+TLFSYHPVPTEPLPIPKLNLTGRAPPRNTTVDLNSGNIDVPPNMTSWASFHNGVAAGLKI
+APASQIDSAWIVYNKPKHAELANEYAGFLMALGLNGHLTKLATLNIHDYLTKGHEMTSIG
+LLLGVAAAKLGTMDMSVTRLLSIHIPALLPPTSTELDVPHNVQVAAVVGIGLVYQGTAHR
+HTAEVLLAEIGRPPGPEMEYCTDRESYSLAAGLALGMVCLGHGSNLIGMSDLNVPEQLYQ
+YMVGGHRRFQAGMHREKHKSPSYQIKEGDTINVDVTCPGATLALAMIYLKTNNSVTAWPI
+ADWLRAPDTMYLLDFVKPEFLLLRVCNCYFLLDTLLSEFSTWNLNFIIRENIFSEFLSCP
+YNLETCLSVVLLSLAMVMAGSGNLKVLQLCRFLHMKTGGEMNYGFHLSHHRPLGLPLLCC
+LPLSRPFVFSVNRYHLQALRHLYVLAAEPRLLVPVDVDTNMPCYALLEVTYKGTQWYEQT
+KEELMAPTLLPELHLLKQIKVKGPRYWELLIDLSKGTQHLKSILSKDGVLYVKLRAGQLS
+YKEDPMGWQSLLAQTVANRNSEARAFKPETISTFTSDPALLSFAEYFCKPTVNVGQKQEL
+LDLFSSVLYECVTQETPEMLPAYIAMDQAVRRLGRREMSETSDLWQIKLVLEFFSSRSHQ
+ERLQNQPNRGLFMNSEFLPVVKCTTDNTLDQWLQGESSTAPLSFELHWVSGLSVFTCFPW
+>tr|A0A3Q1MKE0|A0A3Q1MKE0_BOVIN Protein TEX261 OS=Bos taurus OX=9913 GN=TEX261 PE=4 SV=1
+MWFMYVLSWLSLFIQVAFITLAVAAGLYYLAELIEEYTVATSRIIKYMIWFSTAVLIGLY
+VFERFPTYMIGVGLFTNLVYFGLLQTFPFIMLTSPNFILSCGLVVVNHYLAFQFFAEEYY
+PFSEVLAYFTFCLWIIPFAFFVSLSAGENVLPSTMQPGDDVVSNYFTKDLPPGLALLHQG
+SFSMFGSCPSEGTVLVAHSGKGTEMRSRTWWGDGEWKWRQPFLIPFSLLPSLLSPGAL
+>tr|A0A3Q1MT17|A0A3Q1MT17_BOVIN Plakophilin 4 OS=Bos taurus OX=9913 GN=PKP4 PE=4 SV=1
+MYLPEQPGALCSFYFLESQELQFQRLTRELEVERQIVASQLERCRLGAESPSIASTSSTE
+KSFPWRSTDVPNTGVNKPRVSDTVHPNNYFIRTEPEPGTLYSPEQTSLHESEGSLGNSRS
+STQMNSYSDSGYQEAGSFHNSQNLSKADNRPQHSFVGSTNNHLVRNSRAEGQTLVQPSVA
+NRAMRRVSSVPSRAQSPSYVISTGVSPSRGSLRTSLGSGFGSPSVTDSRPLNPSAYSSTT
+LPAQRAASPYSAQRPASPSAVRRIGSVTSRQTSNPNGPTPQYQTTTRVGSPLTLTDAQTR
+VASPSQGQVGSSSPKRSGMTAVPQHLGPSLQRTVHDMEQFGQQQYEIYERMVPPRPDSLT
+GLRSSYASQHSQLGQDLRSAVSPDLHITPIYEGRTYYSPVYRSPNHGTVELQGSQTALYR
+TGSVGVGNLQRTSSQRSTLTYQRNNYALNTTATYAEPYRPIQYRVQECNYNRLQHVAPAD
+DGTTRSPSIDSIQKDPREFAWRDPELPEVIHMLQHQFPSVQANAAAYLQHLCFGDNKVKM
+EVCRLGGIKHLVDLLDHRVLEVQKNACGALRNLVFGKSTDENKIAMKNVGGIPALLRLLR
+KSIDAEVRELVTGVLWNLSSCDAVKMTIIRDALSTLTNTVIVPHSGWNNSSFDDDHKIKF
+QTSLVLRNTTGCLRNLSSAGEEARKQMRSCEGLVDSLLYVIHTCVNTSDYDSKTVENCVC
+TLRNLSYRLELEVPQARLLGLNELDDLLGKESPSKDSEPSCWGKKKKKKKRTPQEDQWDG
+VGPIPGLSKSPKGVEMLWHPSVVKPYLTLLAESSNPATLEGSAGSLQNLSAGNWKFAAYI
+RAAVRKEKGLPILVELLRMDNDRVVSSVATALRNMALDVRNKELIGKYAMRDLVNRLPGG
+ASPSVLSDETVAAICCALHEVTSKNMENAKALADSGGIEKLVNITKGRGDRSSLKVVKAA
+AQVLNTLWQYRDLRSIYKKDGWNQNHFITPVSTLERDRFKSHPSLSTTNQQMSPVIQSGS
+SKPSPIYISSYSSPAREQNRRLQHQQLYYSQDDSNRKNFDAYRLYLQSPHSYEDPYFDDR
+VHFPASTDYSTQYGLKSTTNYVDFYSTKRPSYRAEQYPGSPDSWV
+>tr|A0A3Q1LXX4|A0A3Q1LXX4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC508459 PE=3 SV=1
+MWSFSFLLFWGCCGIYTWGMLTLPTLGSMTRHEQPRWSSSSLDGNGCTDTTMCPAYATCT
+NTSRSYYCSCKRGFLSSNGLKTFKGPGVECKDIDECSQSPTPCGPNSICRNLPGRYRCSC
+MTGFSSPTGNNWISGKQGHFTCRDVDECANPRSCPEHSTCHNSPGSYSCVCNPGFQSRSG
+RKSFQGLKEMCEDVDECASPRSCPEHSTCHNSLGSYSCACNPGYNSRSGKKTFQGPGETC
+QDVDECANPRSCPDHSTCHNSLGNYSCVCNPGFQSRSGRKSFQGPGEMCQDVDECANPRS
+CPEHSTCHNSVGSYSCACNSGYISRSGKKTFQGPGETCQDVDECANPRSCPEHSTCHNSL
+GSYSCVCNPGFQSRSGRKSFQGPGEMCQDVDECANPRSCPEHSTCHNSLGSYSCVCNPGF
+QSRSGKKNFQGPGETCEDVDECSRNSTLCGPSSVCTNIPGKYSCSCLPGFFSPGVWSPEK
+PEAFKCADIDECLQDPSRCGPDSVCTNTLGSYSCGCVVGFHPNPEGSWKHGNFSCQRIPF
+KCKDDVIPSNMQVQLCHAGAAVEPKYVSFCALMNATLSVLDNVCENKTTVVSLKSTAKRL
+DSVIEKTSKWSNFTKEETSTLATVLLESVESTTLAAFLKPSANVSQTIQTKHLDIESKVI
+DKECTKENETFKLKAKGDEMKIWCSTIKESESTGINGVAFVSFSGMESILDERFLKDLQI
+PWASSKKKLKMNSRVVGGIITGGKKDGFSKPVIYTLENIKPKQDFESAICVSWKPDVEGG
+RWTPSGCVLLEASKTHTVCGCNQMVNLAVIMASGEVTMEFTLYLISHVGTIISLVCLAMA
+IITFLLCRTLRNQNTYIHLHLCICLFLAKMLFLTGVDKTDNQMGCALIAGFLHYLFLACF
+FWMLVEAVMLFLMVRNLKVVNYFSSRNIKMLYLCAFGYGLPGLVVAVSAGLQPQGYGMYN
+RCWLNTETGFIWSFLGPVCTIIVVNSILLTWTLCILRQKLSSVNAEVSTLKDTRLLTFKA
+FAQIFILGCSWVLGIFQIGPMANIMAYLFTIINSLQGAFIFLIHCVLSRQVREEYRRCTT
+RKTKPSSETQTSGILLSSVPSTSKTG
+>tr|A0A3Q1MCQ3|A0A3Q1MCQ3_BOVIN Myosin VIIA OS=Bos taurus OX=9913 GN=MYO7A PE=3 SV=1
+MPLSAHLGLSHPQGDYVWMDLRSGQEFDVPIGAVVKLCDSGQIQVVDDEGNEHWISPQNA
+THIKPMHPTSVHGVEDMIRLGDLNEAGILRNLLIRYRDHLIYTYTGSILVAVNPYQLLSI
+YSPEHIRQYTNKKIGEMPPHIFAIADNCYFNMKRNSRDQCCIISGESGAGKTESTKLILQ
+FLAAISGQHSWIEQQVLEATPILEAFGNAKTIRNDNSSRFGKYIDIHFNKRGAIEGARIE
+QYLLEKSRVCRQAPDERNYHVFYCMLEGMNEEQKKKLGLGQATDYNYLAMGNCVICEGRE
+DSQEYANIRSAMKVLMFTDTENWEISKLLAAILHLGNLQYEGEGPSVSAWMLPYQVNPRD
+LMNCLTSRTLITRGETVSTPLSREQALDVRDAFVKGIYGRLFVWIVDKINAAIYKPPSQE
+VKNSRRSIGLLDIFGFENFAVNSFEQLCINFANEHLQQFFVRHVFKLEQEEYDLESIDWL
+HIEFTDNQDALDMIANKPMNIISLIDEESKFPKGTDTTMLHKLNSQHKLNSNYIPPKNNY
+ETQFGINHFAGIVYYESFLEKNRDTLHGDIIQLVHSSRNKFIKQIFQADVAMVTWGCGFH
+WAGRAPRSQTRKRSPTLSSQFKRSLELLMRTLGACQPFFVRCIKPNEFKKPMLFDRHLCV
+RQLRYSGMMETIRIRRAGYPIRYSFVEFVERYRVLLPGVKPDDLRGTCQRMAEAVLGTHD
+DWQIGKTKIFLKVSMASDSVCLGGAVNSLGLGGTGLGVPVEELQHRMGAVVLSWWLSLQM
+RLGFLRLQALHRSRKLHQQYCLARRRIIGFQARCRAYLVRKAFRHRLWAVITVQAYARGM
+IARRLHRRLRAEVRGPVHKNPWARHEPINHSDMVDKMFGFLGTSGGLPGQEGQAPSGFED
+LERGRREMVEEDLDAALPLPDEDEEDLSEYKFAKFAATYFQGTTTHTYTRRPLKQPLLYH
+DDEGDQLAALAVWITILRFMGDLPEPRYHTAMSDGSEKIPVMTKIYETLGKKTYKRELQA
+LQGEGEVSPRCPVATPPPSAVSQVTKRLHDGESTVQGNSMLEDRPTSNLEKLHFIIGNGI
+LRPALRDEIYCQISKQLTHNPSKSSYARGWILVSLCVGCFAPSEKFVKYLRNFIHGGPPG
+YAPYCEERLRRTFVNGTRTQPPSWLELQATKSKKPIMLPVTFMDGTTKTLLTDSATTAKE
+LCNALADKISLKDRFGFSLYIALFDKVSSLGSGSDHVMDAISQCEQYAKEQGAQERNAPW
+RLFFRKEVFTPWHNPSEDNVATNLIYQQVVRGVKFGEYRCEKWPRARFWLWGQGQSLCHV
+FSPLSLSVCLQGIYAQRRTDAQKVKEDVVNYARFKWPLLFSRFYEAYKFSGPNLPKNDVI
+VAVNWTGVYFVDEQEQVLLELSFPEIMAVQTERGGEAKLAAPSFTLATIKGDEYTFTSSN
+AEDIRDLVVTFLEGLRKRSKYVVALQDNPNPAGEESGFLSFAKGDLLILDHDTGEQVMNS
+GWASGINERTKQRGDFPTDCVYVMPTVTMPPREIALVTMTPDQRQDVIRLLQLRTAEPEA
+RTKPYTLEEFSYDYFRPPPKHTLSRVMVSKARGKDRLWSHTREPLKQALLKKILGSEELS
+QEACMYMGDYPSKRMRSVNELTDQIFEGALKAEPLKDEVYVQILKQLTDNHIRYSEERGW
+ELLWLCTGLFPPSNILLPHVQRFLQSRKHCPLAIDCLQRLQKALRNGSRKYPPHLVEVEA
+IQHKTTQIFHKVYFPDDTDEAFEVESSTKAKDFCQNIAARLLLKSSEGFSLFVKIVDKAG
+ASSGAGAGLDSPLCWHLLGDRLPSASGVVPSLTYQVFFMKKLWTTTVPGKDPMADSIFHY
+YQELPKYLRGYHKCTREEVLQLGALIYRVKFEEDKSYFPSIPKLLRELVPQDLIRQVSPD
+DWKRSIVAYFNKHAGKSKEEAKLAFLKLIFKWPTFGSAFFEVKQTTEPNFPEILLIAINK
+YGVSLIDPRTKDILTTHPFTKISNWSSGNTYFHITIGNLVRGSKLLCETSLGYKMDDLLT
+SYISQMLTAMSKQRGSRSGK
+>tr|A0A3Q1LHP2|A0A3Q1LHP2_BOVIN SCHIP-1 domain-containing protein OS=Bos taurus OX=9913 GN=SCHIP1 PE=4 SV=1
+MTMEHTSLGLPHGLVYDYREDGMDLGSDAGSSSSNSSRASSQSNSTKVTPCSECKSSSSP
+GGSLDLVSALEDYEEPFPVYQKKVIDEWAPEEDGEEKEEEDGRHERGYRDDRCPAREPGD
+VSARTRSGGGRSATTAMPPPVPNGNLHPQEPQDLRHNGNVVVAGRPSGSRAPRRAVQKPQ
+PAGGRRGGRGPAARGLCLQPTDSGTCVPEEPPVPPMDWEALEKHLAGLQFREQEVRNQGQ
+ARTNSTSAQKNERESIRQKLALGSFFDDGPGIYTSCSKSGKPSLSSRLQSGMNLQICFVN
+DSGSDKDSDADDSKTETSLDTPLSPMSKQSSSYSDRDTTEEESESLDDMDFLTRQKKLQA
+EAKMALAMAKPMAKMQVEVEKQNRKKSPVADLLPHLPHISECLMKRSLKPTDLRDMTLGQ
+LQVIVNDLHSQIESLNEELVQLLLIRDELHTEQDAMLVDIEDLTRHAESQQKHLAEKMPA
+K
+>tr|A0A3Q1LVE1|A0A3Q1LVE1_BOVIN Secretory phospholipase A2 receptor OS=Bos taurus OX=9913 GN=PLA2R1 PE=4 SV=1
+MRLGPRSSSVSSKLPAAKPAVFTRTRVVYKPKCQTSCPRDFQLAHPQLLFGLPQEGEGRL
+EAGGASPGQPPPSLSTLKAGISGTGRLSTPCSSSCSKPQRENLRDFSVFQPESPPTVATG
+VTDEVGLGDAGVARPPGRVGARRRLRSCPPPPHPPQGWALGLRPWPPAGATPGDRRAKWL
+AMPLLSLSLLLLLLQVPAGSAETAAWAVTPERLREWQDKGIFIIQSENLEKCIQASKSTL
+TLENCKPPNKYMLWKWVSNHRLFNIGGSGCLGLNVSSPEQPLSIYECDSTHVSLKWHCNK
+KTITGPLQYLVQVKQDNTLVASRKYLHKWVSYMSGGGGICDYLHKDLYTIKGNAHGTPCM
+FPFQYNQQWHHECTREGREDNLLWCATTSRYERDEKWGFCPDPKHLGSEAVEVWMGLNQL
+DEDAGWQWSDRTPLNYLNWKPEINFEPFVEYHCGTFNAFMPKAWKSRDCESTLPYVCKKY
+LNPTDHGVVEKDAWKYYATHCEPGWNPHNRNCYKLQKEKKTWNEALQSCQSNNSVLTDIT
+SLAEVEFLVTLLGDENASETWIGLSSHKIPVSFEWSNGSSVTFTNWHTLEPHIFPNRSQL
+CVSAEQSEGHWKVKNCEETLFYLCKKTGLVLSDTESGCQKGWERHGKFCYKIDTVLRSFD
+HASSGYYCPPALITITSRFEQAFITSLISSVVKTKDTYFWIALQDQNNTGEYTWKTAGQQ
+LEPVKYTHWNTRQPRYSGGCVVMRGRSHPGRWEVRDCRHFKAMSLCKQPVENREKTKQEE
+GWPFHPCYLDWESEPGLASCFKVFHSEKVLMKRTWRQAEEFCEEFGAHLASFAHIEEENF
+VNELLHSKFNRTEERQFWIGFNKRNPLNAGSWEWSDGTPVVSSFLDNSYFGEDARNCAVY
+KANKTLLPSYCGSKREWICKIPRDVRPKVPPWYQYDAPWLFYQDAEYLFHISASEWSSFE
+FVCGWLRSDILTIHSAHEQEFIHSKIRALSKYGVNWWIGLREERASDEFRWRDGSPVIYQ
+NWDKGKERSMGLNESQRCGFISSITGLWASEECSISMPSICKRKKVWVIEKKKDIPKQHG
+TCPKGWLYFDYKCLLLKIPEGPSDWKNWTSAQDFCVEEGGTLVAIENEVEQAFITMNLFG
+HTTNVWIGLQDDDYEKWLNGRPVSYSNWSPFDTKNIPNHNTTEVQKRIPLCGLLSNNPNF
+HFTGKWYFEDCREGYGFVCEKMQDASGHSINTSDMYPIPNTLEYGNRTYKIINANMTWYT
+ALKTCLMHGAELASITDQYHQSFLTVILNRVGYAHWIGLFTEDNGLSFDWSDGTKSSFTF
+WKDDESSFLGDCVFADTSGRWSSTACESYLQGAICQVPTETRLSGRLELCSETSIPWIKF
+KSNCYSFSTVLESTSFEAAHEFCKKKGSNLLTIKDEAENSFLLEELLAFRSSVQMIWLNA
+QFDGDNETIKWFDGTPTDQSNWGIRKPEVYHFKPHLCVALRIPEGVWQLSSCQDKKGFIC
+KMEADIHTVKKHPGKGPSHSVIPLTVALTLLVILAISTLSFCMYKHSHIIFGRLAQFRNP
+YYPSANFSTVHLEENILISDLEKNDQ
+>tr|A0A3Q1M9U6|A0A3Q1M9U6_BOVIN Myotubularin related protein 10 OS=Bos taurus OX=9913 GN=MTMR10 PE=4 SV=1
+MFSLKPPRPTFRSYLLPPPQTDDKINSEPKIKKLEPVLLPGEIVVNEVNFVRKCIASDTS
+QYDLWGKLICSNFKISFITDDPMPLQKFHYRNLLLGEHDVPLTCIEQIVTLNDHKRKQKV
+LGPNQKLKFNPTELIIYCKDFRIVRFRFDESGPESAKKVCLAIAHYSQPTDLQLLFAFEY
+VGKKYHNSASKANGAPLGAGGGGSQKTPLFETYSDWDREVKRTGASGWRVCSINEGYMIS
+TCLPEYFVVPSSLADQDLKVFSHSFVGRRMPFWCWSHSNGSALVRTALIRDVLQQRKIEQ
+RICNAITKSHPQRNDVYKSDLDKTLPNIQDIQVAFVKLKQLCVNEPFEETEEKWLSSLEN
+TRWLEYVRAFLKHAAELVYVLESKRLSVVLQEEEGRDLSCIIASLVQVMLDPYFRTITGF
+QSLIQKEWVMAGYPFLDRCNHLKRSEKEAPVFLLFLDTTWQLLEQCPAAFEFSETYLAVL
+HDSTRVALFGTFLFNSPHQRVQQSTEFAISKNIQLGDEKGLKFPSVWDWSLQFTPKDRTL
+FHNPFYIGKSTPCVQNGSVKSFKRTKKSYSSTLRGMPASLKNGIISEEELRPRRNSLILQ
+VKPAPLETRDSQDWGAERFFQERASRPADLHGTILPHLSGAHIKLWKLCYFRWVPEAQIQ
+HGGFITAFHKLSLLADEVDVLSRALRQPRGGPMPAPGPELAPSRLYFCAGGPHDAPGTPD
+FLSSSFPFSPVGNLCRRSISGTPLSKFLSGAKIWLSTETLKRGDQGGLPARPEG
+>tr|F1MVI1|F1MVI1_BOVIN Cleavage stimulation factor subunit 2 OS=Bos taurus OX=9913 GN=CSTF2 PE=4 SV=1
+MAGLTVRDPAVDRSLRSVFVGNIPYEATEEQLKDIFSEVGPVVSFRLVYDRETGKPKGYG
+FCEYQDQETALSAMRNLNGREFSGRALRVDNAASEKNKEELKSLGTGAPVIESPYGETIS
+PEDAPESISKAVASLPPEQMFELMKQMKLCVQNSPQEARNMLLQNPQLAYALLQAQVVMR
+IVDPEIALKILHRQTNIPTLIAGNPQTVHSAGPGSGSSVSMNQQNPQTPQAQTLSGMHVN
+GAPPLMQASLQAGVAAPGQIPATVTGPGPGSLAPAGGMQAQVGMPGSGPVSMERGQGTVQ
+HSPVGPTGPASIERVQVPMQDPRAAMQRGPLPANVPTPRGLLGDAPNDPRGGTLLSVTGE
+VEPRGYLGPPHQGPPMHHVPGHDSRGPPPHEMRGGPLTEPRPLMAEPRGPMIDQRGPPLD
+GRGGRDPRGIDARAMEARGLDARGLEARAMEARAMEARAMEARAMEARAMEVRGMEARSM
+DTRGPVPGPRGPMPSGIQGPSPINMGAVGPQGSRQVPVMQGAGMQGASIQGGGQPGGFSP
+GQNQVTPQDHEKAALIMQVLQLTADQIAMLPPEQRQSILILKEQIQKSTGAP
+>tr|A0A3Q1M2C4|A0A3Q1M2C4_BOVIN Suppressor of tumorigenicity 7 protein-like OS=Bos taurus OX=9913 GN=ST7L PE=4 SV=1
+MADGDGLGEAAIAAASPASAPGLSPSLGWRERLRAGLAGTGASLWFVAGLGLLYALRVPL
+RLCENLAAVTVFLNSLTPKFYVALTGTSSLISGLIFIFEWWYFHKHGTSFIEQVSVSHLR
+PLMGGTESSISEPGSPSNNRESETSRQNLSECKVWRNPLNLFRGAEYRRYTWVTGKEPLT
+YYDMNLSAQDHQTFFTCDTDFLRPSDTVMQKAWRERNPPARIKAAYQALELNNDCATAYV
+LLAEEEATTIVDAERLFKQALKAGETIYRRSQQCQHQSPQHEAQLRRDTNVLVYIKRRLA
+MCARKLGRIREAVKIMRDLMKEFPPLTMLNIHENLLESLLELQAYADVQAVLAKYDDISL
+PKSAAICYTAALLKTRTVSDKFSPETASRRGLSTAEINAVEAIHRAVEFNPHVPKYLLEM
+KSLILPPEHILKRGDSEAIAYAFFHLQHWKRIEGALHLLQCTWEGTFHHVSVYPKKEIPF
+FIHFTAGLCSSTAMIAFLTHQFPEIMGVFAKAVSMISRTCIEYL
+>tr|A0A3Q1MDC7|A0A3Q1MDC7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC790886 PE=4 SV=1
+MLMLINVLLTLWFYTAHGQGKHCNYPVIRHGRLYQSYSGYFPARVNQQFLYNCDQYFVPP
+SLYSSDYLTCTAEGWFPEEPCLRECIFNNLENGYTPSSERKAVQGETVRVRCYYGYSLQN
+NQNTMTCTESGWSPPPRCIRVKNTGCSQPPQIDHGTINSSSSAEERREIHEQRLYAHGTK
+LSYTCEEGFKISENNVIICHMGKWSSPPQCVGTNCVNLPTFEDAVLIDREKDFYRSGEQV
+AFKCLSYYQLDGPNTIQCIKSKWIGRPACRDVSCVNPPQVENAIIHNQKSRYQSGERARY
+ECIGNYDLFGETEVICLNGIWTEPPQCKDSQGKCGPPPPIDNGDITSLLQSVYPPGMSVE
+YQCQAYYELQGNRNVVCQNGEWSEPPKCLEACVISEETMRKHHIELRWKPDTKLYFKTED
+NIEFMCQHGYHPVTPEHTFRTTCREGEVVYPSCGKNID
+>tr|E1BL63|E1BL63_BOVIN Cell wall biogenesis 43 C-terminal homolog OS=Bos taurus OX=9913 GN=CWH43 PE=4 SV=2
+MTSPWREVLLESLLGYVSWSLCHDLSPMIYYFPLQTLGLTGLEGFAIAFLSPLFLTITPV
+WNLVNKKWMLALLRIITVGSIASFQAPNAKLRLTVLALGLSSSLVVHAVTWWTGNGLQRY
+FRIWGFILGQILLLVLRIWYTSLNPVWTYQMSNRVILTLSTIATLDRIYTDGACYKPQWK
+KNGEAAKAVASGLNWLLAGAAFGSLMFLTHWIFGEVSLVSRWAVSGHPHPGPDPNPFGGV
+VLLGLASGLMLSSCSWFPGSSLIWWITGATSAAGLLYLPTWAAAVSGCVLALFTASVWPQ
+VLGHLISSGSSPGKAMTTAMIFYILEVFFCAWCTAFKFVPGGVYARERSDVLLGTVMVII
+GLNMLLGQKKRVDFHFQTKNSPKVLFRESEKYVKLFLWLLVGVGLLGLGLRHKTYEKKLG
+KGAPATEVSAAIWPFRFGFDNDGWSSLERSAQLLNQTGADFITILESDASKPYIGNNDLT
+MWLGEKLGFYTDFGPSARDHTWGDDLDRKLQAIAVSKLLKNSSEQVIFLGYITSAPGSRD
+YLQLIKHGNVKDIDSTDQDRWCEYIMYRGLIRLGYARISHAELSDSEIQVAKFRIPDDPI
+NYRDNQKVVTDHREVPEKIHFNPRFGSYKEGHNFENNHHFHMSTPKYFL
+>tr|A0A3Q1MPY4|A0A3Q1MPY4_BOVIN Kv channel-interacting protein 4 OS=Bos taurus OX=9913 GN=KCNIP4 PE=4 SV=1
+QHAPGFSLQLALTEPIPYLLGFLYTQNSTKRSIKERLMKLLPCSAAKTSSPAVQNSVEDE
+LEMATVRHRPEALELLEAQSKFTKKELQILYRGFKNECPSGVVNEDTFKEIYSQFFPQGD
+STTYAHFLFNAFDTDHNGAVSFEDFIKGLSILLRGTVQEKLNWAFNLYDINKDGYITKEE
+MLDIMKAIYDMMGKCTYPVLKEDAPRQHVETFFQKMDKNKDGVVTIDEFIESCQKDENIM
+RSMQLFENVI
+>tr|F1MD58|F1MD58_BOVIN Caspase 7 OS=Bos taurus OX=9913 GN=CASP7 PE=3 SV=3
+MRPVMPLGTNFLVVFFSFQMADDQGHIVEQGAEDPANNDTVDAKPDRSSFVSSIFSKKKK
+NDSGKSVNIPRDRVPTYQYNMNFEKVGKCIIINNKNFDRITGMGVRNGTDKDAEALFKCF
+RSLGFDVSVYNDCSCAKMQDLLKKASEEDHRNSACFACILLSHGEENLIYGTDGKTAIKD
+LTAHFRGDRCKTLLEKPKLFFIQACRGTELDDGIQADSGPINDTDANPRYKIPVEADFLF
+AYSTVPGYYSWRNPGSGSWFVQALCSILNEHGKSLEILQILTRVNDRVARHFESQSDDPR
+FHEKKQIPCVVSMLTKELYF
+>tr|A0A3Q1M7B2|A0A3Q1M7B2_BOVIN Scm polycomb group protein like 4 OS=Bos taurus OX=9913 GN=SCML4 PE=4 SV=1
+MSTFTTTHKHPALKLLRLAAPLLPVSDLSLPSVRLAPAEMQSQRIPGRKRGRPPLHSTPV
+KMAVHNIYSASAGSLPAVKIPKKRGRKPGYKLKSRVLMTPLALSPPRSTPEPDLSSIPQD
+AATVPSLAASQALTVCLYINKQANAGPYLERRKVQQLPEHFGPERPSAVLQQAVQACIDC
+AHQQKLVFSLVKQGYGGEMVSVSASFDGKQHLRSLPVVNSVGYVLRFLTKLCRSLLCDDL
+FSHQPFPRGTSASDNAPESQEGRMESAKTVTAEECLVNPASMNRYGVDTSSPTFSHRGSL
+PTSSSLYCKRQNSGDGHLGGGSATTVSGPRASPLSPGGPSAPGLRPPGSSPKRNGTALEG
+NRCASNPSPDRQDARRPRSRNPSSWSVEDVVWFLKDADPQALGPHVELFRKHEIDGNALL
+LLKSDMIMKYLGLKLGPALKLCYHIDKLKQDKF
+>tr|A0A3Q1M1D9|A0A3Q1M1D9_BOVIN Pumilio RNA binding family member 2 OS=Bos taurus OX=9913 GN=PUM2 PE=4 SV=1
+MNHDFQALALESRGMGELLPTKKFWEPDDSTKDGQKGIFLGDDEWRETAWGTSHHSMSQP
+IMVQRRSGQGFHGNSEVNAILSPRSESGGLGVSMVEYVLSSSPADKLDSRFRKGTFGTRD
+AETDGPEKGDQKGKASPFEEDQNRDLKQGDDDDSKINGRGLPNGMDADCKDFNRTPGSRQ
+ASPTEVVERLGPNTNPPEGLGPLPNPTSNKPLVEEFSNPETQNLDAMEQVGLDSLQFDYP
+GNQVPMDSSGATVGLFDYNSQQQLFQRTNALTVQQLTAAQQQQYALATAQQPHIAGVFSA
+GLAPAAFVPNPYIISAAPPGTDPYTAAGLAAAATLAGPAVVPPQYYGVPWGVYPANLFQQ
+QAAAAANNTANQQAASQAQPGQQQVLRAGAGQRPLTPNQGQQGQQAESLAAANPTLAFGQ
+GLATGMPGYQVLAPTAYYDQTGALVVGPGARTGLGAPVRLMAPTPVLISSAAAQAAAAAA
+AGGTANSLTGSTNGLFRPIGTQPPPQQQQQQQPSTNLQSNSFYGSTSLTNSSQSSSLFSH
+GPGQPGSTSLGFGSSSSLGAAIGSALSGFGSSVGSSASSSATRRESLSTSSDLYKRSSSS
+LAPIGQPFYNSLGFSSSPSPIGMPLPSQTPGHSLTPPPSLSSHGSSSSLHLGGLTNGSGR
+YISAAPGAEAKYRSASGTSSLFSSSSQLFPPSRLRYNRSDIMPSGRSRLLEDFRNNRFPN
+LQLRDLIGHIVEFSQDQHGSRFIQQKLERATPAERQMVFNEILQAAYQLMTDVFGNYVIQ
+KFFEFGSLDQKLALATRIRGHVLPLALQMYGCRVIQKALESISSDQQSEMVKELDGHVLK
+CVKDQNGNHVVQKCIECVQPQSLQFIIDAFKGQVFVLSTHPYGCRVIQRILEHCTAEQTL
+PILEELHQHTEQLVQDQYGNYVIQHVLEHGRPEDKSKIVSEIRGKVLALSQHKFASNVVE
+KCVTHASRAERALLIDEVCCQNDGPHSALYTMMKDQYANYVVQKMIDMAEPAQRKIIMHK
+IRPHISTLRKYTYGKHILAKLEKYYLKNSPDLGPIGGPPNGML
+>tr|F1MN15|F1MN15_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=TATDN2 PE=4 SV=2
+MASERRKVKYHWSSTSDRSSRKRSCLREPSDVAPSSRPAHSSVSRSGGASSPKRLKAQKE
+DDVSWGSSRHKSSTSSSHSRRRSSTSSSSSHSSGPGAGGAACKRGLIPSSQGFLSSGGSP
+LRSVRPSLEEMASLEEEACSLKVDSKDSPRSSVDSELAAEAEGQNGTVEEPHKVQKRQRE
+RLRDQGSAMIYLKAIQGILGKSMPKRKGEAAPRAKPHIAEHPRRGEGPAGSVSVAAPQKE
+KETTPEVRAEEEDTVLEKSSFCDRRVVIDPQESPSEEPLGGRRMVTEECSPPLEFLDDSD
+SHLNGQKPKDREVVMERTSSGSDWSDVDEISTARFSQEEPVPLKPSTVPEASAFPTDYVM
+YPAHLYSSPWCDYASYWASGPKTPRYPSVGSGSSDTMQAGRRCRGSSPTSTVSPSLTSRE
+PEAAKEGRSRKSRSSRFSRSSEGHVKEKRTFQEDVLPRPAEEPVSSSLPRSRRESRLEGF
+IDTHCHLDMLYSKLSFKGTFSKFRKIYSSSFPKEFQGCISDFCDPRTLTDGLWEDLLQED
+LVWGAFGCHPHFARYYSESQERNLLQALRHPKAVAFGEMGLDYSHKCTTPVPEQHKVLER
+QLQLAVSLRKPLVIHCREADEDLLKIMKKLVPPDYKIHRHCFTGSYPVIEPLLDHFPNMS
+VGFTAVLTYSSAWEAREALKQIPLERIIVETDAPYFLPRQVPKSLCQYAHPGLALHTVRE
+IARVKDLPLASTLATLRENTRRLYSL
+>tr|A0A3Q1NNG7|A0A3Q1NNG7_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MSMVPPSLLCLGLCLSQSTWAQKGTLPQPRITALPGSTVPAKSPVTLLCQGHKQAEGYRI
+SRVGSPEPMYEEEQITSRKTNALNFTELTTDKTGLYHCSYQRGGRWSQFSDPLQLVMTGE
+GSTEAVEPGLTPLPREGPGLGDRKQEDQALSLGRSQG
+>tr|F6RR15|F6RR15_BOVIN Lipolysis stimulated lipoprotein receptor OS=Bos taurus OX=9913 GN=LSR PE=4 SV=2
+MAPLARPFSGGLESCPGTLSWGAVVFVWLFLSTSCTAPTSAIQVTVSDPYHVVILFQPVT
+LPCTYQLTTTPTAPIVIWKYKSFCRDRIADAFSPASVDNQLNAQLAAGNPGYNPYVECQD
+SARTVRVVATKQGNAVTLGDYYQGRRITITGNADLTFDQTAWGDSGVYYCSVVSAQDLQG
+NNEAYAELIVLGRTSGVAELLPGFQAGPMEDWLFVVVVCLAAFLVFLLLGICWCQCCPHT
+CCCYVRCPCCPEKCCCPEALYAAGKAATSGVPSIYAPSTYAHLSPAKTPPPPAMIPMGPL
+YNGYSGDFDRNSSVGGHSSRVPLLRDTDSSVTSEIRSGYRIQANQQDDSMRVLYYMEKEL
+ANFDPSRPGPPNGRVERAMSEVTSLHEDDWRSRPSRGPALTPIRDEEWGHHSPRSSRRWE
+QEAPMERPGNSRGAGRPRARSVDALDDFTRPGSAESGRRSPPSSGRRGRAYAPPRSRSRD
+DLYDQDQDDSRHFPHSRDPHYDDFRSRDQPHGDPRARYQRSRDPRDDGSRSRDPPYDGRL
+LEEALRKKGPAERRPYREEEEEEAYYPPAPPPYSETDSQASRERRLKKNLALSRESLVV
+>tr|A0A3Q1LKZ3|A0A3Q1LKZ3_BOVIN Beta-defensin OS=Bos taurus OX=9913 PE=3 SV=1
+MEQCVHVHRKLPLATINSFSKSVSLFLFLGSDLDAGRICGYGTARCRRNCKRHELRVGKC
+PNTYPCCLKKWKVNSLNPQKDTKPRGAWLLEMATSQTSEPPFSGPSRWTVPSGSSRLVE
+>tr|A0A3Q1MNB9|A0A3Q1MNB9_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MGSIKDRNGMDLTEAVDIKKRWQEYTEELYKKDLHDPDNHDGVITDLEPDILKCEVKWAL
+ESITTNKASGGDRIPVELFQILKDDAVKVLHSVCQQIWKTQQWPQDWKRSVFISIPKKGN
+AKEYSNYRTIALISHTSKVMLKILQARLQQYVNHELPDVQAGFRKGKGTRDQIANIRWIM
+EKAREFQKNIYFCFIDCAKAFDCVDHNKLWKILKEMGIPDHLTCLLRNLYAGQEATFRTG
+HGTTDWFQIGKGVHQGCILSPCLFILYAKYIMRNAGLEETQAGIKIAGRNINNLRYADDT
+TLMAESEGELKSLLMKVKVESEKVGLKLNIQKTKIMASSPITSWEIDGETVSDFIFLGSK
+ITADGDCSHEIKRCLLLGRKVMTNLDNIFKSRDITLPTKIHLVKAMGFPVVMYGCESWTV
+KKAEHLRIDAFELWCWRRLLRVPWTARRSNQSILKISPGISLEGMMLKLKLQYFGHLMRR
+VDSLEKTLMLGGFGGKRRRGRQRMRWLDSITDSMDVSLSELRELVMDREAWRAAIHGVTK
+SQTQLSDLSDLSLLASF
+>tr|E1BDP8|E1BDP8_BOVIN TRAF-type zinc finger domain-containing protein 1 OS=Bos taurus OX=9913 GN=TRAFD1 PE=4 SV=2
+MAEFLNDQDTRLCDNCKKEIPVFNFTIHEIHCQRNIGVCPVCKEPFPKCDMETHMATEHC
+QVTCKCNKKLEKRQLKKHEVSFPVPYYRAACRAESQSCGVFVLRQHQAHCLTRGRICVLC
+IGRGILHHLTTSPYICMKNLENIKTTTSRPQRAFDLHFIRPGNIVSFLVVQWLRLHSQCR
+VPRFNPWSGQSLFLIARYLNQSSKGRLFPIPGNLVEEQERQERNRSRQTPKERGEDSANL
+DFMLALSLQNEGQAPTLAEQDFWRVIYEADQSREGPSALNDIRGAVDETMLPCEFCEELY
+PEELLIDHQTSCNPSCALPPLSVGSTSPRGVEDPDAIFQKLMRESAGGQFESLMGFSSSA
+PVEDSVVIPCEFCGVQLEEEVLFHHQDQCDQRPATANNHVSEGIPSQDLQPRETSPELPK
+RRVRHQGDLSSGYMNDLKQEMAKGPTYPLPSSRPPNNTTAPPNRLSTSTSGPRPGCQPSP
+PRALKLNNLDSQGVRGRSRNSHNGALAPGHVPAAYPARSLYPENLVPSFPRGPSGRYGAS
+SRSEGGRNPRVTPTAASYRSRTAKAKTPKQQGAGDAEEEEE
+>tr|F1MHX8|F1MHX8_BOVIN MAM domain containing glycosylphosphatidylinositol anchor 1 OS=Bos taurus OX=9913 GN=MDGA1 PE=4 SV=2
+MEVTCLLLLALIPFHCRGQGVYAPAQAQIMHAGQACVVKEDNISERVYTIREGDTLVLQC
+LVTGHPRPQVRWTKTAGSASDKFQETSVFNETLRIERIARTQGGRYYCKAENGVGVPAIK
+SIRVDVQYLDEPVLTVHQTVSDVRGNFYQEKTVFLRCTVNSNPPARFIWKRGSDTLSHSQ
+DNGVDIYEPLYTQGETKVLKLKNLRPQDYASYSCQVSVRNVCGIPDKAVSFQLTNTTAPP
+ALKLSVNETLVVNPGENVTVQCLLTGGDPLPQLQWSHGPGPLPLGALAQGGTLSIPSVQA
+RDSGYYNCTATNNVGNPAKKTVNLLVRSMKNATFQITPDVIKESENIQLGQDLKLSCHVD
+AVPQEKVTYQWFKNGKPARMSKRLLVTRNDPELPAVTSSLELIDLHFSDYGTYLCVASFP
+GAPVPDLSVEVNISSETVPPTISVPKGRAVVTVREGSPAELQCEVRGKPRPPVLWSRVDK
+EAALLPSGLPLEETPDGKLRLERVSRDMSGTYRCQTARYNGFNVRQREAQVQLNVQFPPE
+VEPGSQDVRQALGRPVLLRCSLLRGSPQRIASAVWRFKGQLLPPPPAVPVAAEAPDHSEL
+RLDAVTRESSGSYECSVSNDVGSAACLFQVSAKAYSPEFYFDTPNPTRSHKLSKNYSYVL
+QWTQREPDAVDPVLNYRLSVRQLNQHNALVKAIPVRRVEKGQLLEYILTDLRVPHSYEVR
+LTPYTTFGAGDMASRIIHYTEPISSPNLSDNTCHFEDEKICGYTQDLTDNFDWTRQNALT
+QNPKRSPNTGPPTDISGTPEGYYMFIETSRPRELGDRARLVSPLYNASAKFYCVSFFYHM
+YGKHIGSLNLLVRSRSKGALDTHAWSLSGNKGNVWQQAHVPINPSGPFQIIFEGVRGSGY
+LGDIAIDDVTLKKGECPRKQMDPNKVVVMPGSGAPRQPLPQLWGPVATFLLALQR
+>tr|A0A3Q1N5F7|A0A3Q1N5F7_BOVIN ADP ribosylation factor like GTPase 13B OS=Bos taurus OX=9913 GN=ARL13B PE=4 SV=1
+MFSLMANCCNWFKRWREPVRKVTLVMVGLDNAGKTATAKGIQGEYPEDVAPTVGFSKIDL
+RQGKFEVTIFDLGGGKRIRGIWKNYYAESYGVIFVVDSSDEERMEETKETMSEVLRHPRI
+SGKPILVLANKQDKEGALGEADVIECLSLEKLVNEHKCLCQIEPCSAVLGYGKKIDKSIK
+KGLYWLLHIIARDFDALNERIQKDTAEQRALEEQEKRERAERVRKLREEREQREQEQAEL
+HGTSGMAELDPEPVIVNPFQPIASVIIENEKKLEREKKRQNTEDSDGCPLKHKMEHEQIE
+TQSQISDSSQKNSEFGVVENYKEALTQQLENEDETDWRSSESDNSKKKAKKLRMKRSHRV
+EPVNTDDSVPKSPTPPPPPPPDFYGKPLPPVAVRQRPNSDAHDVIS
+>tr|A0A3Q1MGJ6|A0A3Q1MGJ6_BOVIN Serine/threonine-protein kinase receptor OS=Bos taurus OX=9913 GN=ACVR2B PE=3 SV=1
+MLCAAVGGRRVGLCPAPVCSPAWGSGPQVTRGPPLDLLPWMQWVLCALWIGGFAEYAAAN
+AAAGRRGEGEVWASLAPAWALPQCFHPIPSAPPLASRAAETRQSHGQEAALLVSPKWSPS
+FARKPPGTKGVVKTQILPPLQLAGSGLGPGIGMSSITLPPHSSTHGDSDMPAVAGRHPEL
+RVLAEPLPVHWLPVCARPSSSPGAWGGSAAAASWAQVRGAGRPRHGSASTTTPTGSWSAP
+TRAAWSAARASGTSGCTATPPGATARAPSSSSRKAAGWTTSTATTGAGTPEAGSLESTRA
+VAGSQPSRVLRSPVMLEGLSPPWICVPLLGSPTPTVPHRISVCGEGFGWAALGVCSSAEL
+WMGNCASRQECVATEENPQVYFCCCEGNFCNERFTHLPEAGGPEVTYEPPPTAPTLLTVL
+AYSLLPVGGLSLIALLAFWMYRHRKPPYGHVDIHEDPGPPPPSPLVGLKPLQLLEIKARG
+RFGCVWKAQLMNDFVAVKIFPLQDKQSWQSEREIFSTPGMKHENLLQFIAAEKRGSSLEA
+ELWLITAFHDKGSLTDYLKGNIITWNELCHVAETMSRGLSYLHEDVPWCRGEGHKPSIAH
+RDFKSKNVLLKSDLTAVLADFGLAVRFEPGKPPGDTHGQVGTRRYMAPEVLEGAINFQRD
+AFLRIDMYAMGLVLWELVSRCKAADGPVDEYMLPFEEEIGQHPSLEELQEVVVHKKMRPA
+IKDHWLKHPGLAQLCVTIEECWDHDAEARLSAGCVEERVSLIRRSVNGTTSDCLVSLVTS
+VTNVDLPPKESSI
+>tr|A0A3Q1LT48|A0A3Q1LT48_BOVIN 3-hydroxyisobutyryl-CoA hydrolase, mitochondrial OS=Bos taurus OX=9913 GN=HIBCH PE=3 SV=1
+MTIHITVFIVFYICFRFNSYKRTNIILQHLKMSNHTDAAAEVLLERKGCAGVITLNRPRF
+LNTLTLGMIRQIYAQLKKWEQDPKTFLIIIKGAGEKAFCAGGDIRALSEARNTNQKMLQD
+LFREEYILNNAIDSCQKPYIALIHGITMGGGVGVSVHGQFRVATEKSVFAMPETAIGLFP
+DVGGGYFLPRLQGKLGYFLALTGFRLKGRDVYTAGIATHFVDFEKLGMLEEDLLALKSPS
+KENIADVLETYHAKSKTDQDKPFILEEHMDKINSWFSTNTVEQIVDNLQQDGSSFALEQL
+KVIKKMSPTSLKITLRQLMEGSSKTLPEVLIMEYRLSQACMGSCYMTVLSFHIS
+>tr|F1MYQ0|F1MYQ0_BOVIN REST corepressor 3 OS=Bos taurus OX=9913 GN=RCOR3 PE=4 SV=3
+MPGMMEKGPELLGKNRSANGSTKSPVGGGGGGGASSTNGGLHFSEPESGCSSDDEHDVGM
+RVGAEYQARIPEFDPGATKYTDKDNGGMLVWSPYHSIPDAKLDEYIAIAKEKHGYNVEQA
+LGMLFWHKHNIEKSLADLPNFTPFPDEWTVEDKVLFEQAFSFHGKSFHRIQQMLPDKTIA
+SLVKYYYSWKKTRSRTSLMDRQARKLANRHNQGDSDDDVEETHPVDGNDSDYDPKKEAKK
+EGNTDQPVQTSKIGLGRREYQSLQHRHHSQRSKCRPPKGMYLTQEDVVAVSCSPNAANTI
+LRQLDMELISLKRQVQNAKQVNSALKQKMEGGIEEFKPPESNQKINARWTTEEQLLAVQG
+VRKYGKDFQAIADVIGNKTVGQVKNFFVNYRRRFNLEEVLQEWEAEQGTQASNGDASTLG
+EETKSASNVPSGKSTDEEEEAQTPQASRTLGPSPPAPSSTPTPAAPMAALNQPPPLLRPT
+LPAAPALHRQPPPLQQQARFIQPRPTLNQPPPPLIRPANSMPPRLNPRPVLSAVGGQQPP
+SLIGIQTDSQSSLH
+>tr|F1MLL8|F1MLL8_BOVIN Nudix hydrolase 1 OS=Bos taurus OX=9913 GN=NUDT1 PE=3 SV=3
+MCASRLYTLVLVLQPQRVLLGMKKRGFGAGRWNGFGGKVHEGETIEDGAKRELQEESGLT
+VDALHKVGQITFEFVGDPELMDVHVFCTDRVQGTPVESDEMRPQWFRLDQIPFGDMWPDD
+SYWFPLLLQRKKFRGYFRFQGQDTILDYTLHEVDAV
+>tr|F1N4T2|F1N4T2_BOVIN L-Fucosyltransferase OS=Bos taurus OX=9913 GN=SEC1 PE=3 SV=1
+MWDMRAVAPQRPAAGHPRAGWPRKLKTAATRFWATCPSSSTVCFLFVIFAVSTVFHCHRR
+LALVPAPWAYAGHVVLFPRHLPRGGVFTINAKGRLGNQMGEYATLYALAKMNGRAAFIPP
+QMHSTLAPIFRITLPVLHDATARSVPWQNYHLNDWMEEQYRHIPGEYVRLTGYPCSWTFY
+HHLRAEILQEFTLHAHVREKAQNFLRGLRVNGSRPSTYVGVHVRRGDYVRVMPTMWKGVL
+ADRGYLQQALDWFRARHHSPLFVITSDDMAWCRRNINSSHRDVVFAGSGQQGSPARDFAL
+LTQCNHTVITVGTFGIWAAYLAGGSTVYLANFTLPGSRFRMIFKPQAAFLPEWVGIAANL
+GQARESHP
+>tr|A0A3Q1MKQ2|A0A3Q1MKQ2_BOVIN GTF2I repeat domain containing 1 OS=Bos taurus OX=9913 GN=GTF2IRD1 PE=4 SV=1
+MALLGKRCDIPANGCGPDRWTSAFTRKDEIITSLVSALDSMCSALSKLNAEVACVAVHDE
+SAFVVGTEKGRMFLNARKELQSDFLRFCRGAPWKEPEAEHPKKVPRGEGGGRNVPRSALE
+HGSDVYLLRKMVEEVFDVLYSEALGRASVVPLPYERLLREPGLLAVQGLPEGLAFRRPAE
+YDPKALMAILEHSHRIRFKLKRPLEDGGRDSKALVELNGVSLLAKGARDCGLHGQTPKGP
+PQDLPPPATSSSVASFLYSTALPNHAVRELKQEAPACPLGPSDLGLGRPGPEPKAPAAQD
+FPDCCGQKPTGPGGPLIQNVHASKRILFSIVHDKSEKWDAFIKETEDINTLRECVQILFN
+SRYAEALGLDHMVPVPYRKIACDPEAVEIVGIPDKIPFKRPCTYGVPKLKRILEERHSIH
+FVIKRMFDERIFTGNKFTKDPTKLEPASPPEDASTEVARAAVLDLAGTTRSDKSSLSEDC
+GPGTSGELGGLRPIKIEPEDPDIIQVTVPDPSPASEEMTDSMPGHLPSEDSGYGMEMLTD
+KGAGEDPRPEERPVEDSHGDVIRPLRKQVELLFNTRYAKAIGISEPVKVPYSKFLMYPEE
+LFVVGLPEGISLRRPNCFGIAKLRKILEASNSIQFVIKRPELLTEGVKEPLSDSQERDSG
+DPLVDESLKRQGFQENYDARLSRIDIANTLREQVQDLFNKKYGEALGIKYPVQVPYKRIK
+SNPGSVIIEGLPPGIPFRKPCTFGSQNLERILAVADKIKFTVTRPFQGLIPKPDEDDANR
+LGEKVILREQVKELFNEKYGEALGLNRPVLVPYKLIRDSPDAVEVTGLPDDIPFRNPNTY
+DIHRLEKILKAREHVRMVIINQLQPFAEICNDAKVPGKSEAEEATLLLLGDQTQLSQGAR
+DRGKCSRPAWNFPREPETFCKASIPRRPQEACRCPSERPCHGELSLADQLERRRDRQEPA
+GGGVR
+>tr|A0A3Q1MKT9|A0A3Q1MKT9_BOVIN UBA like domain containing 1 OS=Bos taurus OX=9913 GN=UBALD1 PE=4 SV=1
+MSVNMDELKHQVMINQFVLTAGCAADQAKQLLQAAHWQFETALSAFFQETNIPYSHHHHQ
+MVSVPCSPRGIPAGLYQCRGVGGTRPGLRKEPLGGTPGSWAAGRVGLRTWAPLCSPVSSG
+LGDRPRGVLHWGRGPSGRGTHPPPSSPYRCALLPTPPPRPPTSPMPSPCSPASRPPRAST
+AEAAAARWPPRPHRRRRTSRSPPPAASRRPAGQPRPHPQGAHSTTSRRSRPCGLRPPLPR
+RQTGRPWPPNRPPRNPGPTPPWRPRDKGGPSPLPEARTPWGGGEDVSAGPLHPPLSAPLF
+PGALEGRDRTLEPAHRLPARLPGGTGLWRPASMDLVSSHLGADGQKLGEQAAFPRGLAHP
+APPAPGAAEHRHAGDWAGSCLGAPWGRRA
+>tr|F1MSG4|F1MSG4_BOVIN Bromo adjacent homology domain containing 1 OS=Bos taurus OX=9913 GN=BAHD1 PE=4 SV=3
+MRVPHSASKKGWRYSMTHTRRKSLPMLSSGPTGRQEPLQMEGSSVEQRAEGVEPGPPESS
+EHLTGRRKNYPLRKRPLVPEKPKTCKVLLTRLENVAGPRSADEADELPPDLPKPPSPTPS
+SEDTALSQPRKRRLASLNAEALNNLLLEREETSSLVGTRRSRGGDPHRSRDRDRATGGWA
+SSKKRPRLGNLGEGSRDLSPEPAPDEGARRDGDPTPKRLASLNAAAFLKLSQERELPLRP
+PRAHPEADGHSAEPPAPKGLRPKWAKVNSKNYPKARQGAGSSGEAAGPPGWQGCPEEPWP
+SSPPRGPASQPLYQPLSKALESPLGLRPQLPLLMGGQAALKPEPGRPGEESPAPKQELHQ
+PSFPTPQLSPLPMPGNPADYNGLYGRPELTALGNFYLYCSQDRLQCGGYSSCPMLPEGKL
+SPVAAPNEGLLLAPSSVPAGTPFQHPPWGSRYCPDEDTGANGYSICEMLSTSLTHIGTTC
+GGCPYKMPFAAEGCRSLGQLEFPLPAAGHPASPAHPLLGCPVPSVPPAAEPVPHLQTPTS
+EPQTVARACPQSAKPPSGSKSGLRTGSSCRHTARSKAARRPSHPKQPRVQRPRPRRRRRR
+RTNGWVPVGAACEKAVYVLDEPEPAIRKSYQAVERHGETIRVRDTVLLKSGPRKTSTPYV
+AKISALWENPESGELMMSLLWYYRPEHLQGGRSPSMHENEVFASRHQDQNSVACIEEKCY
+VLTFAEYCRFCAMAKRRGEGLPSRKTALVPPSVDYSTPPHRTVPEDTDPELVFLCRHVYD
+FRHGRILKNPQ
+>tr|A0A3Q1M611|A0A3Q1M611_BOVIN 5'-AMP-activated protein kinase subunit gamma-3 OS=Bos taurus OX=9913 GN=PRKAG3 PE=4 SV=1
+MEPAELEHALCGVLGSWGAPFPLPEMSFLEQGDSTSWPSPAMTTSAEISLGEQRTKVSRW
+KSQEDVEERELPGLEGGPQSRAAAESTGLEATFPKATPLAQATPLSAVGTPTTERDSLPA
+DCTASASSSSTDDLDQGIEFSAPAAWGDELGLVEERPAQCPSPQVPVLRLGWDDELRKPG
+AQVYMHFMQEHTCYDAMATSSKLVIFDTMLQIKKAFFALVANGVRAAPLWDSKKQSFVGM
+LTITDFILVLHRYYRSPLVQIYEIEEHKIETWREIYLQGCFKPLVSISPSDSLFEAVYTL
+IKNRIHRLPVLDPVSGAVLHILTHKRLLKFLHIFQRTLLPRPSFLYRTIQDLGIGTFRDL
+AVVLETAPILTALDIFVDRRVSALPVINEAGQVVGLYSRFDVIHLAAQQTYNHLDISVGE
+ALRRRTLCLEGVLSCQPHETLGEVIDRIAREQVHRLVLVDETQHLLGVVSLSDILQALVL
+SPAGIDALGA
+>tr|A0A3Q1MFX2|A0A3Q1MFX2_BOVIN Eyes absent homolog OS=Bos taurus OX=9913 GN=EYA1 PE=3 SV=1
+MEMQDLTSPHSRLSGSSESPKLDNSHINSNSMTPNGTEVKTEPMSSSEIVSTTADGSLDN
+FSGSAIGSSSFSPRPTHQFSPPQIYPSNRPYPHILPTPSSQTMAAYGQTQFTTGMQQATA
+YATYPQPGQPYGISSYGALWAGIKTEGGLSQSQSPGQTGFLSYGTSFGTPQPGQAPYSYQ
+MQGSSFTTSSGLYTGNNSLTNSSGFNSSQQDYPSYPSFGQGQYAQYYNSSPYPAHYMTSS
+NSSPTTPSTNATYQLQEPPSGITSQAVTDPAAEYGTIHSPSTPIKDSDSDRLRRGSDGKS
+RGRGRRNNNPSPPPDSDLERVFIWDLDETIIVFHSLLTGSYANRYGRDPPTSVSLGLRME
+EMIFNLADTHLFFNDLEECDQVHIDDVSSDDNGQDLSTYNFGTDGFPAAATSANLCLATG
+VRGGVDWMRKLAFRYRRVKEIYNTYKNNVGGLLGPAKREAWLQLRAEIEALTDSWLTLAL
+KALTLIHSRTNCVNILVTTTQLIPALAKVLLYGLGIVFPIENIYSATKIGKESCFERIIQ
+RFGRKVVYVVIGDGVEEEQGAKKHAMPFWRVSSHSDLMALHHALELEYL
+>tr|E1B9M5|E1B9M5_BOVIN Adhesion G protein-coupled receptor B2 OS=Bos taurus OX=9913 GN=ADGRB2 PE=3 SV=3
+MTPACPLLLSVILSLRLAAAFDPAPSACSALASGVLYGAFSLQDLFPTIASGCSWTLENP
+DPTKYSLYLRFNRQEQVCTHFAPRLLPLDHYLVNFTCLRPSPEEAEAQAGSELGRPEEEE
+KEEEEAAGLELCGGSGPFTFLHFDKNFVQLCLSAEPSEAPRLLAPAALAFRFVEVLLINN
+NNSSQFTCGVLCRWSEECSRAAGRACGFAQPGCSCPGEAGAGPAATTTPPGPPAAHTLSN
+ALVPGGPAPPAEADLHSGSSNDLFTTEMRYGEEPEEEPKVKTQWPRSADEPGLYMAQTGD
+PAAEEWSPWSVCSLTCGQGLQVRTRSCVSSPYGTLCSGPLRETRPCNNSATCPVHGVWEE
+WGSWSLCSRSCGRGSRSRMRTCVPPQHGGKACEGPELQTKLCSMAACPVEGQWLEWGPWG
+PCSTSCANGTQQRSRKCSVAGPAWATCAGALTDTRECGNLECPATDGKWGPWNAWSLCSK
+TCDTGWQRRFRMCQATGAQGYPCEGTGEEVKPCSEKRCPAFHEMCRDEYVMLMTWKKAAA
+GEIIYNKCPPNASGSASRRCLLSAQGVAYWGLPSFARCISHEYRYLYLSLREHLAKGQRM
+LAGEGMSQVVRSLQELLARRTYYSGDLLFSVDILRNVTDTFKRATYVPSADDVQRFFQVV
+SFMVDAENKDKWDDAQQVSPGSVHLLRVVEDFIHLVGDALKAFQSSLIVTDNLVISIQRE
+PVSAVSSDITFPMRGRRGMKDWVRYSEDRLFLPKEVLSLSSPGKPAASGAAGSLGRGRGP
+GTVPPGPGHSHQRLLPADPEDSSSYFVIGAVLYRTLGLILPPPRPPLAVTSRVMTVTVRP
+PTQPLAEPLITVELSYIINGTTDPHCASWDYSRADASSGDWDTESCQTLETQAAHTRCQC
+QRLSTFAVLAQPPKDLTLELAGAPSVPLVIGCAVSCMALLTLLAIYAAFWRFIKSERSII
+LLNFCLSILASNILILVGQSRVLSKGVCTMTAAFLHFFFLSSFCWVLTEAWQSYLAVIGR
+MRTRLVRKRFLCLGWGLPALVVAVSVGFTRTKGYGTSSYCWLSLEGGLLYAFVGPAAVIV
+LVNMLIGIIVFNKLMARDGISDKSKKQRAGSERCPWASLLLPCSACGAVPSPLLSSASAR
+NAMASLWSSCVVLPLLALTWMSAVLAMTDRRSVLFQALFAVFNSAQGFVITAVHCFLRRE
+VQDVVKCQMGVCRADESEDSPDSCKNGQLQILSDFEKDVDLACQTVLFKEVNTCNPSTIT
+GTLSRLSLDEDEEPKSCLVGPEGSLSFSPLPGNILVPMAASPGLGEPPPPQEANPVYMCG
+EGGLRQLDLTWLRPAEPGSEGDYMVLPRRTLSLQPGGGGGGGEDPPRARPEGTPRRASKS
+LGHTEAYPSFLSVEHSGLGLGPAYGSLQNPYGMTFQPPPPTPSARQVPEPGERSRTMPRT
+VPGSTMKLGSLERKKLRYSDLDFEKVMHTRKRHSELYHELNQKFHTFDRYRSQSTAKEKP
+SPGERPGLSQQRRHQSWSTFKSMTLGSLPPKPRERLALHRATAWEPTEPPDGDFQTEV
+>tr|A0A3Q1LNW4|A0A3Q1LNW4_BOVIN ADAM metallopeptidase domain 12 OS=Bos taurus OX=9913 GN=ADAM12 PE=4 SV=1
+MKLVYSRSLSHCGLPPLQPGKTSDELLADNHSFVIARPPPDVHRCHRLEPAPSACTAGAL
+ISWTRAHPSPETTSLCLLAPLAPSLPLPAPRGVNLGSQFVPSMQQAGTRDGAGAYEVVSV
+SLLNGDPWNPMKTADSENHPGVLNFRIQLTSKELIVNLERNEGLIASSFTETHYLQDGTD
+ISLIRNYTGHCYYHGRVQGSFGSAVSLSTCSGLRGLITFENNTYILEPMKNATNRYKLFP
+VENLPGTWGSCGSNTSGLTLHDRLPLASQTQTRRQKRETLKMTKYVELVIVADNREFQRQ
+GKDLEKVKQRLIEIANHVDKFYRPLNIRIVLVGVEVWNDIDKCSISQDPFTSLHEFLDWR
+KMKLLPRKSHDNAQLISGVYFQGTTVGMAPIMSMCTAEQSGGIVMDHSDSPLGAAVTLAH
+ELGHNFGMNHDTLERGCSCKTAADKGGCIMNPSTGFPFPMMFSSCSRKDLEASLQKGMGM
+CLFNLPEVKQSFGGQKCGNGYVEEGEECDCGEPEECQNLCCNATTCALKPDAVCAHGLCC
+ENCRLKPAGTACRDPSNACDLPEFCTGASPHCPANVYLHDGHPCQGVDGYCYNGICQTHE
+QQCVTLWGPGAKPAPGICFERVNSAGDPYGNCGKDSKSSFAKCAMRDAKCGKIQCQGGAS
+RPVIGTNAVSIETNIPLQEGGRILCRGTHVYLGDDLPDPGLVLAGTKCADGKICLNRRCQ
+NVSVFGVHECAVQCHGRGVCNNRKNCHCEPHWAPPFCDRFGFGGSTDSGPVRQADNQGLT
+IGILVTILCLLAAGCVVYLKRKTLIRLLFTDKKNTIEKLRCVRPPRPPRGSQPSQAHLTH
+LSKGPTRKPPPSSTPKDNTRRVPPCQHVDISRPLKAPDAPWPSSPQRGLPPLHQAPRVPC
+VPARPLPANPALRQAQGTRKPSPPQKPLPADPLSKTARLAGAPARSPGRQESALRLAPIR
+PAPKQPHPVPRPAPIAYAK
+>tr|F1MQB8|F1MQB8_BOVIN Sirtuin 1 OS=Bos taurus OX=9913 GN=SIRT1 PE=4 SV=3
+MADEAALALQPGGSPSVVAAEREAPSPPAGEPLRKRPRRDGPGVGRSSGEPGGTAPEREL
+PAAAGSCPAAAAALWREAQAAAAAAAEEEDNGPGLQGLSREAPPADDFYDDDDEGEEEEE
+AAAAIGYRDNLLFGDEIITNGFHSCESDEDDRASHASSSDWTPRPRIGPYTFVQQHLMIG
+TDPRTILKDLLPETIPPPELDDMTLWQIVINILSEPPKRKKRKDINTIEDAVKLLQECKK
+IIVLTGAGVSVSCGIPDFRSRDGIYARLAIDFPDLPDPQAMFDIEYFRKDPRPFFKFAKE
+IYPGQFQPSLCHKFIALSDKEGKLLRNYTQNIDTLEQVAGIQKIIQCHGSFATASCLICK
+YKVDCEAVRGDIFNQVVPRCPRCPADEPLAIMKPEIVFFGENLPEQFHRAMKYDKDEVDL
+LIVIGSSLKVRPVALIPSSIPHEVPQILINREPLPHLHFDVELLGDCDVIINELCHRLGG
+EYAKLCCNPVKLSEITEKPPRIQKELAHLSELPPTPLNISEGSSSPERTSPPDSSVIVTL
+LDQETKSNVDDPDVSESKDHVTEKSQEVQTSTRSIESVNEQLESPDLKNAVSNSGEKNER
+TSVAETVRKCWPARLAKEQISKRLDDNQYLFLPPNRYIFHGAEVYSDSEDDVLSSSSCGS
+NSDSGTCQSPSLEEPMEDESENEEFYNGLEDDADVNERAGGTVFEADGGDQEAINEAISV
+KQEATCINYPSNKS
+>tr|F1N719|F1N719_BOVIN Serine/threonine-protein phosphatase OS=Bos taurus OX=9913 GN=PPP5C PE=3 SV=1
+MAMAEGERTECAEPPRDEPPADGALKRAEELKTQANDYFKAKDYENAIKFYSQAIELNPS
+NAIYYGNRSLAYLRTECYGYALADATRAVEMDKKYIKGYYRRAASNMALGKFRAALRDYE
+TVVKVKPHDKDAKMKYQECNKIVKQKAFERAIAGDEHKRSVVDSLDIESMTIEDEYSGPK
+LEDGRVTITFMKELMQWYKDQKKLHRKCAYQILVQVKEVLSKLSTLVETTLKETEKITVC
+GDTHGQFYDLLNIFELNGLPSETNPYIFNGDFVDRGSFSVEVILTLFGFKLLYPDHFHLL
+RGNHETDNMNQIYGFEGEVKAKYTAQMYELFSEVFEWLPLAQCINGKVLIMHGGLFSEDG
+VTLDDIRKIERSRQPPDSGPMCDLLWSDPQPQNGRSVSKRGVSCQFGPDVTKAFLEENKL
+DYIIRSHEVKAEGYEVAHGGRCVTVFSAPNYCDQMGNKAAYIHLRGADLRPQFHQFTAVP
+HPDVKPMAYASTLLQLGMM
+>tr|A0A3Q1MVY9|A0A3Q1MVY9_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+AQSVTQPEAEVPVAEGDPVTVKCTYSVSGSPYLFWYVQHRNQGLQFLLKYIGGDRLVKGN
+YGFEAEFNKSQTSFHLKKPSVLGSDSALYFCAVSDTVVGAAGRAEYKP
+>tr|A0A3Q1NIP5|A0A3Q1NIP5_BOVIN Plectin OS=Bos taurus OX=9913 GN=PLEC PE=1 SV=1
+MSQQRLRVPEPEGLGHKRTSSEDNLYLAVLRASEGKKDERDRVQKKTFTKWVNKHLIKAQ
+RHISDLYEDLRDGHNLISLLEVLSGDSLPREKGRMRFHKLQNVQIALDYLRHRQVKLVNI
+RNDDIADGNPKLTLGLIWTIILHFQISDIQVSGQSEDMTAKEKLLLWSQRMVEGYQGLRC
+DNFTSSWRDGRLFNAIIHRHKPMLIDMNKVYRQTNLENLDQAFSVAERDLGVTRLLDPED
+VDVPQPDEKSIITYVSSLYDAMPRVPDVQDGVKANELQLRWQEYRELVLLLLQWIRAHTA
+AFEERRFPSSFEEIEILWCQFLKFKETELPAKEADKNRSKGIYQSLEGAVQAGQLKVPPG
+YHPLDVEKEWGKLHVAILEREKQLRSEFERLERLQRIVSKLQMEAGLCEEQLNQADALLQ
+SDVRLLAAGKAPQRAGEVERDLDKADGMIRLLFNDVQALKDGRHPQGEQMYRRVYRLHER
+LVAIRTEYNLRLRGTPRHPELEDSTLRYLQDLLAWVEENQRRLDGAEWGVDLPSVEAQLG
+SHRGLHQSVEEFRAKIERARTDEGQLSPATRGAYRDCLGRLDLQYAKLLNSSKARLRSLE
+SLHGFVAAATKELMWLSEKEEEEVGFDWSERNSNMAAKKEAYSALMRELELKEKKIKEIQ
+STGDRLLREDHPARPTVESFQAALQTQWSWMLQLCCCIEAHLKENTAYFQFFSDVREAEE
+QLRKLQETLHRKYTCDRSITVTRLEDLLQDAQDEKDQLNEYRGHLSGLAKRAKAIVQLTP
+RNPTQPTRGRVPLLAVCDYKQVEATVHKGDECQMLGPAQPFHWKVLSGSGSEAAVPSVCF
+LVPPPNQEALEAVARLEAQHQALVTLWHQLHTDMKSLLAWQSLSRDVQLIRSWSLVTFRT
+LKPEEQRQALRSLELHYQAFLRDSQDAGGFGPEDRLQAEREYGSCSRHYQQLLQSLEQGK
+CGQCGGWWDARGGPPAVGRRANWMCSPGEQEESRCQRCISELKDIRLQLEACETRTVHRL
+RLPLDKEPARECAQRIAEQQKAQAEVEGLGKGVARLSAEAEKVLALPEPSPAAPTLRSEL
+ELTLGKLEQVRSLSAIYLEKLKTISLVIRSTQGAEEALKAHEEQLKEAQAVPAALPELEA
+TKAAMKKLRAQAEAQQPVFDALRDELRGAQEVGERLQQRHGERDVEVERWRERVTQLLER
+WQAVLAQTDVRQRELEQLGRQLRYYRESADPLGAWLQDARRRQEQIQAVPLADSQAVREQ
+LRQEKALLEEIERHAEKVEECQRFAKQYINAIKDYELQLVTYKAQLEPVASPAKKPKVQS
+GSESVIQEYVDLRTRYSELSTLTSQYIRFISETLRRMEEEERLAEQQRAEERERLAEVEA
+ALEKQRQLAEAHAQAKAQAEREAQELQRRMQEEVARREEVVVDAQQQKRSIQEELQQLRQ
+SSEAEIQAKARQVEAAERSRLRIEEEIRVVRLQLETTERQRGGAEGELQALRARAEEAEA
+QKRQAQEEAERLRRQVQDETQRKRQAEAELGLRVKAEAEAAREKQRALQALEELRLQAEE
+AERRLRQAEAERARQVQVALETAQRSAQAELQSKHASFAEKTAQLERTLEEEHVTVVQLR
+EEATRREQQQAEAERAREEAERELERWQLKANEALRLRLQAEEVAQQKSLAQAEAEKQKE
+AAEREARRRGKAEEQAVRQRELAEQELERQRQLAEGTAQQRLAAEQELIRLRAETEQGEQ
+QRQLLEEELARLQSEAAAATQKRQELEAELAKVRAEMEVLLASKARAEEESRSSSEKSKQ
+RLEAEAGRFRELAEEAARLRALAEEAKRQRQLAEEDAARQRAEAERVLSEKLAAISEATR
+LKTEAEIALKEKEAENERLRRLAEDEAFQRRRLEEQAAQHKADIEERLAQLRKASESELE
+RQKGLVEDTLRQRRQVEEEILALKASFEKAAAGKAELELELGRIRGNAEDTLRSKEQAEQ
+EAARQRQLAAEEERRRREAEERVQKSLAAEEEAARQRKAALEEVERLKAKVEEARRLRER
+AEQESARQLQLAQEAAQKRLQAEEKAHAFAVQQKEQELQQTLQQEQSMLERLRGEAEAAR
+RAAEEAEEARERAEGEAAQSRQRVEEAERLKQAAEEQAQAQAQAQAAAEKLRKEAEQEAA
+RRAQAEQAALRQKQAADAEMEKHKKFAEQTLRQKAQVEQELTALRLKLEETDHQKSILDQ
+ELQRLKAEVTEAARQRSQVEEELFSVRVQMEELGKLKARIEAENRALILRDKDNTQRLLQ
+EEAEKMKQVAEEAARLSVAAQEAARLRQLAEEDLAQQRALAEKMLKEKMQAVQEATRLKA
+EAELLQQQKELAQEQARRLQEDKEQMAQQLAQETQGFQRTLETERQRQLEMSAEAERLRL
+RVAEMSRAQARAEEDAQRFRKQAEEISAKLHRTELATQEKVTLVQTLETQRQQSDRDADR
+LREAIAELEREKDKLKKEAELLQLKSEEMQTVQQEQLLQETQALQQSFLSEKDSLLQRER
+FIEEEKAKLERLFQDEVAKAQKLREEQQRQQQQMQQEKQQLLASMEEARRRQREAEEGVR
+RKQEELQLLEQQRQQQEQLLAEENRRLRERLEHLEEEHRAALAHSEEITAAQAAATRALP
+NGQDATDGPAAEPEHAFEGLRQKVPAQQLQEAGILSTEEVQRLVQGHTTVAELTQREDVR
+RYLQGHSSIAGLLLKPANEKLTIYAALRRQLLSPGTAVILLEAQAASGFLLDPVRNRRLT
+VNEAVKEGVVGPELHHKLLSAERAVTGYKDPYTGEQISLFQAMKKDLIVREHGIRLLEAQ
+IATGGVIDPVHSHRVPVDVAYQRGYFDEEMNRVLQDPSDDTKGFFDPNTHENLTYLQLLE
+RCVEDPETGLRLLPLTDQAAKGGELVYTDSEARDVFEKATVSAPFGKFQGKTVTIWELIN
+SEYFTAEQRRDLLRQFRTGKVTVEKIIKIVITVIEEHEQKGQLCFQGLRALVPAAELLES
+GVIDWDLFRQLQLGERSVQEVAEVEAVRRALRGSGVIAGVWLEEARQKLSIYEALKKELL
+QPEAAVALLEAQAGTGHVIDPATSARLTVDEAVRAGLVGPELHEKLLSAEKAVTGYKDPY
+SGQSVSLFQALKKGLIPREQGLRLLDAQLSTGGIVDPSKSHRLPLDVACARGYLDKETST
+ALTAPRDDAKTYYNPRTWEPATYSQLQQQCRPDPLTGLSLLPLSEEAARARQQELYSEVQ
+AREAFQKATVEVPVGSFQGRAVTIWELINSEYFTAEQRQELLRQFRTGKVTVEKIIKIII
+TIVEEVETTRRERLSFSGLRAPVPASELLASGILSSSQFEQLKDGKTSVKDLSELDSVRT
+LLQGSGCLAGIYLEESKEKVTIYEAMRRGLLRPSTAILLLEAQAATGFLVDPVRNQRLYV
+HEAVKAGVVGPELHEKLLSAEKAVTGYKDPYSGSTISLFQAMKKGLVVREHGIRLLEAQI
+ATGGIIDPVHSHRVPVDVAYQRGYFDKEMNRVLEDPSDDTKGFFDPNTRENLTYRQLLER
+CVEDPETGLRLLPLKGPEKAEVVETTRVYTEEETRRAFEETQIDIPGGGSHGGSTMSLWE
+VMQSDLIPEEQRAQLMADFQAGRVTKERMIIIIIEIIEKTEIVRQQNLASYDYVRRRLTA
+EDLHEARVISRESYSLLREGTRSLREVLEAESAWRYLYGTGCVAGVYLPGSRQTLTIYQA
+LKKGLLSAEVARLLLEAQAATGFLLDPVKGDRLTVDEAVRKGLVGPELHDRLLSAERAVT
+GYRDPYTEQTISLFQAMKKDLIPAEEALRLLDAQLATGGIVDPRLGFHLPLEVAYQRGYL
+NKDTHDQLSEPSEVRSYIDPSTDERLSYTQLLRRCRRDEASGLFLLPLSDARKLTFRGLR
+KQITVEELVRSHVMDEATAQRLQEGLTSIEEVSKNLQKFLEGTSSIAGVLVDATKERLSV
+YQAMKKGIIRPGTAFELLEAQAATGYVIDPIKGLKLTVEEAVRMGIVGPEFKDKLLSAER
+AVTGYKDPYSGKLISLFQAMKKGLILKDHGIRLLEAQIATGGIIDPEESHRLPVDVAYQR
+GLFDEEMNEILTDPSDDTKGFFDPNTEENLTYLQLMERCVTDPQTGLRLLPLKEKKRERK
+TSSKSSVRKRRVVIVDPETGKEMSVYEAYRKGLIDHQTYLELSEQECEWEEITISSSDGV
+VKSMIIDRRSGRQYDIDEAIAKSLIDRSALDQYRAGTLSITEFADMLSGNAGGFRSRSSS
+VGSSSSYPISPAVSRTQLASWSDPTEETGPVAGILDTETLEKVSITEAMHRNLVDNITGQ
+RLLEAQACTGGIIDPNTGERFPVTEAVNKGLVDKIMVDRINLAQKAFCGFEDPRTKTKMS
+AAQALKKGWLYYEAGQRFLEVQYLTGGLIEPDTPGRVPLDEALQRGTVDARTAQKLRDVS
+AYSKYLTCPKTKLKISYKDALDRSMVEEGTGLRLLEAATQSSKGYYSPYSVSGSGSTTGS
+RSGSRTGSRAGSRRGSFDATGSGFSMTFSSSSYSSSGYGRRYASGPTSSLGGPESTAA
+>tr|F6QYT8|F6QYT8_BOVIN AP-1 complex subunit gamma OS=Bos taurus OX=9913 GN=AP1G2 PE=3 SV=1
+MDSNPSSQKLSSEGALLGSPRPVRQSRRLEVVKKILPLNSKSQRPEVRGFKIALQGGPGG
+AGSHNRGGETEGSDQARTFLGAWTRKRWGESRGVETEARMVASSLKLQDLIQEIRGAKTQ
+AQEREVIQKECAHIRASFRDGDPLHSHRQLAKLLYVHMLGYPAHFGQMECLKLIASPRFT
+DKRVGYLGAMLLLDERQDAHLLITNSIKNDLSQGIEAVQGLALCTLSAMGSAEMCRDLAP
+EVEKLLLQPSPYVRKKAVLTAVHMIRKVPELSDIFLPPCAQLLHERHHGILLGTVTLITE
+LCERSPAALQHFRKVVPQLVHTLRTLVMTGCSAEHSVSGVSDPFLQVQILRLLRILGRNH
+EESSETMNDLLAQVATNTDTSRNAGNAVLFETVLTIMDIRSAAGLRVLAVNILGRFLLNS
+DRNIRYVALTSLLKLVQSDHSAVQRHRPTVVECLWEPDASLSRRALELSLALVNSSNVRA
+MTQELQGFLESCPPDLRADCASGILLAAERFAPTKRWHIDTILRVLTTAGTYVRDDAVAN
+LIQLIGGAQELHAYSVHRLYSALAEDISQQPLVQVAAWCIGEYGDLLLEGTCEETEPLQV
+EKEEVLALLERVLQSQMSLPATRGYALTALMKLSTRLHGDNNRICQVMSIYGSCQNVELQ
+QRAVEYNALFRKYDHLRAAVLEKMPLVERGGPQVDEEAKESKEVAQLSEAAPLPTETQAS
+KLLDLLDLLDGPSENAQHPPPLDPTPGDTLIHLLDLPCAPRPPAPIPNLKVFEREGLELN
+LSFVRPPGTPTLLLITVTATNTSGGDVTHFICQAAVPKSFQLQLQAPSGDTVPAQGGPPM
+TQLLRILNPNKAPLRLKLRLTYDHFGQSVQEIFEVNNLPVETWQ
+>tr|A0A140T866|A0A140T866_BOVIN D-3-phosphoglycerate dehydrogenase OS=Bos taurus OX=9913 GN=PHGDH PE=1 SV=1
+MAFANLRKVLISDSLDPCCRKILQDGGLQVVEKQNLSKEELIAELQDCEGLIVRSATKVT
+SDIINAAEKLQVVGRAGTGVDNVDLEAATRKGILVMNTPNGNSLSAAELTCGMIMCLARQ
+IPQAAASMKDGKWERKKFMGTELNGKVLGILGLGRIGREVATRMQSFGMKTIGYDPIISP
+EVSASFGVQQLPLEQIWPLCDFITVHTPLLPSTTGLLNDSTFAQCKKGVCVVNCARGGIV
+DEGALLRALQSGQCAGAALDVFTEEPPRDRALVNHENVISCPHLGASTKEAQSRCGEEIA
+LQFVDMVKGKALAGVVNAQALASIFCPHTKPWVSLAKALGALMQAWAGSPKGAIQVVTQG
+SSLKNSGSCLAPAVIIGLLKDASQQSNVNLVNAMLLVKEAGLDVTTSHNPATPGKQDFGE
+CLLTVALAGAPYQAVGLVQGTKPVLQALNGAVFRPEVPLHPGQPLLMFRAQASNPAMLPT
+MIGLLAEAGVQLLSYQSSVVSDGETWHVMSISSLLPSLAPWKPHVTEAFQFCF
+>tr|F1MER8|F1MER8_BOVIN Follistatin like 4 OS=Bos taurus OX=9913 GN=FSTL4 PE=4 SV=2
+MRPGGFWLHLTLLGASLPAALGWMNPGASGGWNVGVGESPAEESRSLDVRRREGLSSHDE
+LPASCGKKLCGRGSRCMLNRETGEPECRCLEACRPSYVPVCGSDGRVYENHCELHRAACL
+LGKKIVMVRNKDCFLKGDPCTVADYARLKKVLLALQSRLQPLHEGDSRQDPASQKRLLVE
+SLFKDFDADGDGHLGTSELAQHMLKEQDLEEDFLGCSPGDLLRFDDYNKDGLLTLHEFYT
+AFQVVQLSLAPEERVSVATVTVGLSTVLTCAIRGDLRPPIVWKRNGLALNFLDLEDINDF
+GEDDSLYITKVTTIHMGNYTCHASGHEQLFQTHVLQVNVPPVIRVYPETQAQEPGVAASL
+RCHAEGIPMPRITWLKNGMDVSTQMSKQLSLLANGSELHIGSVRYEDTGAYTCIAKNEVG
+VDEDISSLFIEDSARKTLANILWREEGLSVGNMFYVFSDDGIIVLHPVDCEIQRHLKPTE
+KIFMSYEEICPQKDGDATQPCQWASAVNVRHHYIYVAQPTLSRVLVVDVQAQKVLQSIGV
+DPLPAKLSYDKSHDQVWVLSWGNVHKSQPSLQVITEASTGQGQRLIRTPFAGVDDFFIPP
+TNLIINHIRFGFIFNKSDPAIHKVDLETLMPLKTIKLQSHGCLPQAMAHTHLGGYFFVQC
+RQDSSSEASPQLLIDSVTDTVVGPNGQVTGTPHTSPDGRFVVSAAPGSPQLHVQEISLRG
+EIRTLYNLKVSPGVSDVAFQRSFTQGDQYHVYATLETEPDLLFLELSTGKMGVLKGLKEP
+APAGPARAWAGPRRIVRDSGLFGQHLLTPARESLFLINGRQNALRCEVAGVKGGTTVVWV
+GEV
+>tr|F1MPF7|F1MPF7_BOVIN Pleckstrin homology domain interacting protein OS=Bos taurus OX=9913 GN=PHIP PE=4 SV=2
+MSRERKGLSELRSELYFLIARFLEDGPCQQAAQVLIREVAEKELLPRRTDWTGKEHPRTY
+QNLVKYYRHLAPDHLLQICHRLGPLLEQEIPQSVPGVQTLLGAGRQSLLRTNKSCKHVVW
+KGSALAALHCGRPPESPINYGSPPSIADTLFSRKLNGKYRLERLVPTAVYQHMKMHKRIL
+GHLSSVYCVTFDRTGRRIFTGSDDCLVKIWATDDGRLLATLRGHAAEISDMAVNYENTMI
+AAGSCDKMIRVWCLRTCAPLAVLQGHSASITSLQFSPLCSGSKRYLSSTGADGTICFWLW
+DAGTLKINPRPAKFTERPRPGVQMICSSFSAGGMFLATGSTDHIIRVYFFGSGQPEKISE
+LEFHTDKVDSIQFSNTSNRFVSGSRDGTARIWQFKRREWKSILLDMATRPAGQNLQGIED
+KITKMKVTMVAWDRHDNTVITAVNNMTLKVWNSYTGQLIHVLMGHEDEVFVLEPHPFDPR
+VLFSAGHDGNVIVWDLARGVKIRSYFNMIEGQGHGAVFDCKCSPDGQHFACTDSHGHLLI
+FGFGSSSKYDKIADQMFFHSDYRPLIRDANNFVLDEQTQQAPHLMPPPFLVDVDGNPHPS
+RYQRLVPGRENCREEQLIPQMGVTSSGLNQVLSQQANQDISPLDSMIQRLQQEQDLRRSG
+EAAISNTSRLSRGSTSSTSEVHSPPNVGLRRSGQIEGVRQMHSNAPRSEIATERDLVAWS
+RRVVVPELSSGVASRQEEWRTAKGEEEIKTYRSEEKRKHLTVPKENKMPTVSKNHAHEHF
+VDLGESKKQQANQHNYRTRSALEETPRPSEEIENGTSSSDEGEVVAVSGGTSEEEERAWH
+SDGSSSDYSSDYSDWTADAGINLQPPKKIPKHKTKKAESSSDEEEESEKQKQKQIKKDRK
+KINEEKDGPVSPKKKKPKERKQKRLAVGELTENGLTLEEWLPSTWITDTLPRRCPFVPQM
+GDEVYYFRQGHEAYVEMARKNKIYSINPKKQPWHKMELREQELMKIVGIKYEVGLPTLCC
+LKLAFLDPDTGKLTGGSFTMKYHDMPDVIDFLVLRQQFEDAKYRRWNIGDRFRSVIDDAW
+WFGTIESQEPLQPEYPDSFLTCFECFPHLWDNGDTEKMSPWDMELIPNNAVFPEELGTSV
+PLTDVETRSLIYKPLDGEWGSNPRDEECERIVTGINQLMTLDIASAFVAPVDLQAYPMYC
+TVVAYPTDLSTIKQRLENRFYRRVSSLMWEVRYIEHNTRTFNEPGSPIVKSAKFVTDLLL
+HFIKDQTCYNIIPLYNSMKKKVLSDSEEEEKEDADVPGTSTRKRKDHQPRRRLRNRAQSY
+DIQAWKKQCQELLNLIFQCEDSEPFRQPVDLLEYPDYRDIIDTPMDFATVRETLEAGNYE
+SPMELCKDVRLIFSNSKAYTPSKRSRIYSMSLRLSAFFEEHISSVLSDYKSALRFHKRNT
+ITKRRRKRNRSSSVSSSAASSPERKKRILKPQLKSDITTSPFSAPTRSIPPRHNTAQING
+KTESSSVVRTRSNRVVVDPVTTEQPSTSSAAKTFISKANTSAMPGKTVLENSVKHSKTLN
+TLSSPGQSNFSHGTRNNSTKENMEKEKPAKRKMKSSVLSKTPPLSKSSPVIEQADCRNNA
+LVPGSIQVNGHGGQPSKLVKRGPGRKPKVEVNNSSGEVTHKKRGRKPKKLQYTKPEVSEQ
+NSVHPIRDEVVPSSTCSFLSETNIIKEDLLQKKSRGGRKPKRKMKTQKLDSDLIVPTSVK
+MLRRSNRKKTDDPMDEEEEFEELKGSEPHMRTRNQGRRTAFYNEDDSEEEQRQLLFEDTS
+LTFGTSSRGRVRKLTEKAKANLIGW
+>tr|A0A3Q1LRE7|A0A3Q1LRE7_BOVIN GTP-binding protein 1 OS=Bos taurus OX=9913 GN=GTPBP1 PE=4 SV=1
+MAAERSRSPMESPVPASMFAPEPSSPGAARAAAAAARLHGGFDSDCSEDGEALNGEPELD
+LTSKLVLVSPTSEQYDSLLRQMWERMDEGCGETIYVIGQGSDGTEYGLSEADMEASYATV
+KSMAEQIEADVILLRERQEAGGRVRDYLVRKRVGDNDFLEVRVAVVGNVDAGKSTLLGVL
+THGELDNGRGFARQKLFRHKHEIESGRTSSVGNDILGFDSEGNVVNKPDSHGGSLEWTKI
+CEKSTKVITFIDLAGHEKYLKTTVFGMTGHLPDFCMLMVGSNAGIVGMTKEHLGLALALN
+VPVFVVVTKIDMCPANILQETLKLLQRLLKSPGCRKIPVLVQSKDDVIVTASNFSSERMC
+PIFQISNVTGENLDLLKMFLNLLSPRTSYREEEPAEFQIDDTYSVPGVGTVVSGTTLRGL
+IKLNDTLLLGPDPLGNFLSIAVKSIHRKRMPVKEVRGGQTASFALKKIKRSSIRKGMVMV
+SPRLNPQASWEFEAEILVLHHPTTISPRYQAMVHCGSIRQTATILSMDKDCLRTGDKATV
+HFRFIKTPEYLHIDQRLVFREGRTKAVGTITKLLQTTNNSPMNSKPQQIKMQSTKKGPLP
+KREEGGPSGGPTVGGPPPGDEACSLGATQLAASSSLQPQL
+>tr|A0A3Q1M676|A0A3Q1M676_BOVIN Integrin subunit beta 1 binding protein 2 OS=Bos taurus OX=9913 GN=ITGB1BP2 PE=4 SV=1
+MSLLCHNKGCGQHFDPQTNLPDSCYHHPGVPIFHDALKGWSCCRKRTVDFSEFLNIKGCT
+VGPHCAEKLPEVPQPEGPGTSSSLQEQRPPNVIPKSAETLRRERPKSELLPKLLPLNISQ
+ALEMALEQKELDQEPGAGVDSSLIRIGASCQNPGCDAVYQGLESDATPCTYHPGAPQFHE
+GVKSWSCCGIQTLDFGAFLAQPGCRVGRHDWGKQVPASCRHDWHQTDSLVVVTIYGQIPL
+PAFNWVKASQTELHVHIVFDGNRVFQAQMKLWGVIDVEQSSVSLMPSRVEISLVKADPGS
+WAQLEHPDALAEKAKAKQS
+>tr|G3N333|G3N333_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC100299556 PE=3 SV=1
+MGADNQTWVREFILLGLSSDWATQVALFVLFSVTYLLTLLGNVLIVLLIRLDSRLHTPMY
+FFLTNLSLVDVSYATSIVPQMLVHFLAEHKGIPYVSCAAQLFFSLGLGGIEFVLLAVMAY
+DRYAAVCDPLRYSVIMHGGLCARLAITSWVSGSVNSLVQTTITFQLPMCTNKYIDHISCE
+LLAVVRLACVDTSSNEVAIMVSSIVLLMTPFCLVLLSYIRIISTILKIQSTEGRKKAFHT
+CASHLTVVVLCYSMTIFTYIQPNSSPSVLQEKLISVFYAILMPVLNPMIYSLRNKEVKGA
+WQKLLGQLSGLTSKVAT
+>tr|A0A3Q1LS95|A0A3Q1LS95_BOVIN NAD(P)(+)--arginine ADP-ribosyltransferase OS=Bos taurus OX=9913 PE=3 SV=1
+MASVLGAVVHFLILSWCLKVQVTFPVDLDMADHAFDDQYTRCTEEMEEMAPQLLKELEAN
+KEFSIEWKWKLNDFHGTALVASSNGDIVNFNRAIREFHSNSDNFQFKAFHYYLTRALQLL
+NQGDCYTVYKCSVPRFHYNGTGNVSLGGFIDSTLSKRRGISPFILQKRQWNMFKITICLG
+VPIKEYSYYPHEVEVLNVTEKEKYFEITLENPKNDRSNYNCFYHSLKNNRSMDTNYSYNW
+NSPCHFYEISSGESGSVLEMGVVGPSRGEKLPRADTGRLPVD
+>tr|A0A3Q1M888|A0A3Q1M888_BOVIN Non-specific serine/threonine protein kinase OS=Bos taurus OX=9913 GN=MARK3 PE=4 SV=1
+MFCFFNWRLLNLVNYCGFLIPCPLLSILLQHTSHGDGRQEVTSRSGRSGARCRNSIASCA
+DEQPHIGNYRLLKTIGKGNFAKVKLARHILTGREVAIKIIDKTQLNPTSLQKLFREVRIM
+KILNHPNIVKLFEVIETDKTLYLIMEYASGGEVFDYLVAHGRMKEKEARAKFRQIVSAVQ
+YCHQKRIVHRDLKAENLLLDADMNIKIADFGFSNEFTVGSKLDTFCGSPPYAAPELFQGK
+KYDGPEVDVWSLGVILYTLVSGSLPFDGQNLKELRERVLRGKYRIPFYMSTDCENLLKRF
+LVLNPIKRGTLEQIMKDRWINAGHEDDELKPFVEPELDISDQKRIDIMVGMGYTQEEIQE
+SLSKMKYDEITATYLLLGRKSSELDASDSSSSSNLSLAKVRPSSDLNNSTGQSPHHKVQR
+SVSSSQKQRRYSDHAGPAIPSVVAYPKRSQTSTADSDLKEDGVPSRKPGASAVGGKGIAP
+ASPMLGNASNPNKADIPERKKSSTVPSSNTASGGMTRRNTYVCSERTTADRHSVIQNGKE
+NSLTEMFAYAASPASVCKTSSTCRLRHQKSMSMSASGHPKMMLPPIDSEGDNFKAITIPD
+QRAPVASTHSISSSTTPDRTRFPRGTASRSTFHGQPRERRTATYNGPPASPSLSHEATPL
+SQTRSRGSTNLFSKLTSKLTRRNMSFRFIKRLPTEYERNGRYEGSSRNVSAEQKEENKDA
+KPRSLRFTWSMKTTSCMDPKDMMREIRKVLDANSCDYEQRERFLLFCVHGDGHAENLVQW
+EMEVCKLPRLSLNGVRFKRISGTSIAFKNIASKIANELKL
+>tr|F1MMB9|F1MMB9_BOVIN Ribosome production factor 2 homolog OS=Bos taurus OX=9913 GN=RPF2 PE=4 SV=1
+MDALDRVVKPKTKRAKRFLEKREPKLSENIKNAMLIKGGNANSTVTQVLRDVYALKKPYG
+ILYKKKNITRPFEDQTSLEFFSKKSDCSLFMFGSHNKKRPNNLVIGRMYDYHVLDMIELG
+IEKFVSLKDIKNSKCPEGTKPMLIFAGDDFDVTEDYRRLKSLLIDFFRGPTVSNIRLAGL
+EYVLHFTALNGKIYFRSYKLLLKKSGCRTPRIELEEMGPSLDLVLRRTHLASDDLYKLSM
+KMPKALKPKKKKNISHDTFGTTYGRIHMQKQDLSKLQTRKMKGLKKRPAERKAEDEENKS
+KRIKKN
+>tr|A0A3Q1LT18|A0A3Q1LT18_BOVIN LIM domain 7 OS=Bos taurus OX=9913 GN=LMO7 PE=1 SV=1
+MEEAEADCALAFAEAQRWVEAVTEKNFETKDFRASLENGVLLCDLINKLKPGIIKKINRL
+STPIAGLDNINVFLKACEQIGLKEAQLFHPGDLQDLSNRVTVKQEETDRRVKNVLITLYW
+LGRKAQSNPYYNGPYLNLKAFENLLGQALTKALEESSCLKRSGRDSGCSDIWGPERGELL
+ASPGSCKREDSFESLDSLGSRSFTSCSSDITLRGAREGCESDTDSEFTFKMQDHNKDDMS
+YRRISAIEPKSALPFNRFLPNKSRQPSYVPAPLRKKKLDKNEDNRRSWASAVYTEADGAF
+PRAERDISNPASYPKEVYGSESGSDSEEERRLPDVVWDDLANRRFQVKKRPESFISPKSC
+SPIFSPPDPLTTGLYKLTSNERRTWGTNVENWPTVQETSDSSCYLEENESKKSVPNTVKD
+DLYVRKLSPVMPSPGNAFDQFLPKCWIPEDVNWKRIKRETYKPWYKEFQGFSQFLLLQAL
+QTYSDDILSSETNIKIDPTAGPRLITRRRNLSYAPGCAPGDALEMPVLDPDLENDDFFVR
+KTRAFHANPCVLRAFEDLRSLSGQDDPVERDIILQCREGELVFPDLEKDDMIVRRIPAQK
+TEVPLSGGPDRYQPVPLPEPWMLPPEIQAKFLCVLERTCPSKEKSTSCRVLVPSCRQKKD
+DMLARKIQSWKLGTAVPPVSFTPGPCSEADLQKWESIREASRLRHRKRLMVERLFQKIYG
+ENGSKSLSDVSAEDIQSLRQLRYEEMQRIKSQLKEQDQKWQDDLAKWKDRRKSYTSDLQK
+KKEEREEIEKQALEKSERRSKTFNEMLQDRESQNQMSTVTSRRRLYSFDDGLNEEKRPPV
+PPMPETYSQSERVEEKGTTYPTEIPKQDSTTFAKRETTVTAEVQFPSRSPVEEQRPASLS
+SQHSLNTQMESTRVSATLPRSYQKTDAARLTSVVTPRPFGSQSRGISSLPRSYTMDDAWK
+YNGDIEGVKRTQSSSISISVQRPDTRQLASGSSSEREAEAPRESVMRLPSPTSPCSSLSQ
+DQAATSKDTLSSISSPDLTSELGEGRSSPQAEVPRSQDQFSDMRISINQTPGSRLDFGFT
+VKWAFSRIFVASVEAGSPAEFSQLQVDDEIIAINNTRFSYKDTKEWEETMAKAQETGSLV
+MDIRRYGKSDWGKDQASLPFTRHKTLNLTSMATKIIGSPETKWIDATSGIYSSDKASNLS
+VTTDFSESLQSSNTESKEINGICDESSTFESKASEPISLKNLKRRSQFFEQGSSDSVVPD
+LPVPTISAPSRWAWDPEEERKRQERWQKEQDRLLQEKYQREQEKLREEWQRAKQEAEREN
+SKYLNEELMVLNSNSISLTAREPALATRGEESKSPDKEGTRAEEKMRHQQQEEDANEDQR
+KKLQEQLTLERERKLKEQQYQEEQQQKRREVEEQKHQAEKERETSIKIYQYRRPIDSYDI
+PKREEESSGLLPSDRNKSRSTTELDDFPTNKNGSNRYADRSGNSSSSQKSSKKEPAPSGA
+ELERQQILQEMRKRTSLYDDNSWIRQRSSSVNKEPICLPGIMRRGESLDNLDSPRTNSWR
+QSPWLSQPSGVYASSSVQDFSRPPPQLLSTSNRAYMRNPSSSVPPPSAGSVKTTTPSPIP
+RSHSPAAPQPGSQPRNRSVSGKRVCSCCHNILGKGAAMIIESLGLCYHLHCFKCVACECD
+LGGSSSGAEVRIRNNQLYCNDCYLRFKSGRPTAM
+>tr|E1B856|E1B856_BOVIN Homeobox D3 OS=Bos taurus OX=9913 GN=HOXD3 PE=3 SV=1
+MLFEQGQQAPEVPEYTMQKAAYYENPGLFGGYGYSKAADTYGYSAPHQPYPPPAAANSMD
+TDYPGSACSIQSSAPLRAPAHKAAELNGSCMRPGTGNSQGGGGGSQPPGLNSEQQPPQPP
+PPPPPPALPPSSPTNPGGGVPAKKAKGGPNASGSSATISKQIFPWMKESRQNSKQKNSCA
+TAGESCEDKSPPGPASKRVRTAYTSAQLVELEKEFHFNRYLCRPRRVEMANLLNLTERQI
+KIWFQNRRMKYKKDQKAKGILHSPAGQSPERSPPLGGAAGHVAYSGQLPPVPGLAYDAPS
+PPAFAKSQPNMYGLAAYTAPLSSCLPQQKRYAAPEFEPHPMASNGGGFASANLQGSPVYV
+GGNFVDSMAPASGPVFNLGHLSHPSSASVDYSCAAQIPGNHHHGPCDPHPTYTDLSAHHS
+SQGRLPEAPKLTHL
+>tr|A0A3Q1M166|A0A3Q1M166_BOVIN Heat shock protein family A (Hsp70) member 12A OS=Bos taurus OX=9913 GN=HSPA12A PE=4 SV=1
+MADKEAGGGDPGSRETVPTSAYSSPARSLGDTGITPLSPSHIVNDADPNVSEQQTFLVVV
+AIDFGTTSSGYAYSFTKEPECIHVMRRWEGGDPGVSNQKTPTTILLTPERKFHSFGYAAR
+DFYHDLDPNEAKQWLYLEKFKMKLHTTGDLTMDTDLTAANGKKVKALEIFAYALQYFKEQ
+ALKELSDQAGSEFENSDVRWVITVPAIWKQPAKQFMRQAAYQAGLASPENSEQLIIALEP
+EAASIYCRKLRLHQMTDLSSKAAVNGYSSSDTVGAGFAQAKEHIRRNRQSRTFLVENVIG
+EIWSELEEGDKYVVVDSGGGTVDLTVHQIRLPEGHLKELYKATGGPYGSLGVDYEFEKLL
+CKIFGEDFIEQFKIKRPAAWVDLMIAFESRKRAAAPDRTNPLNITLPFSFIDYYKKFRGH
+SVEHALRKSNVDFVKWSSQGMLRMSPDAMNALFKPTIDSIIEHLRDLFQKPEVSTVKFLF
+LVGGFAEAPLLQQAVQAAFGDKCRVIIPQDVGLTILKGAVLFGLDPAVIKVRRSPLTYGV
+GVLNRYVEGKHPPEKLLVKDGTRWCTDVFDKFISADQSVALGELVKRSYTPAKPSQLVIV
+INIYSSELDDVSFITDPGVKKCGTLRLDLTGASSTNVPARREIQTLMQFGDTEIKATAID
+IATSKSVKVGIDFLNY
+>tr|A0A3Q1MH52|A0A3Q1MH52_BOVIN Endonuclease V OS=Bos taurus OX=9913 GN=ENDOV PE=3 SV=1
+MARKAAGKPPEEILLDWKREQASLKARVVDRDTEAWQRDPAFSGLQRVGGVDVSFVKDDS
+GSACASLVVLSYPELEVLYEDCRMVTLTAPYVSGFLAFRELPFLVDAVQQLRQREPRLMP
+QVLFVDGNGVLHHRGFGVACHLGVLTDLPCIGVAKKLLQVDGLENNALHKEKIQLLKAGG
+DSFPLMGGSGTVLGRALRSHDHSTKPLYVSVGHKISLEAAVRLTHSCCKFRIPEPVRQAD
+IRSRDYIRRTQEVQGVPAPPSGRSKEAQRPKACPQGVSEEPAGTSKGTPTGGKACPRF
+>tr|A0A3Q1LUD7|A0A3Q1LUD7_BOVIN Growth factor receptor-bound protein 7 OS=Bos taurus OX=9913 GN=GRB7 PE=4 SV=1
+MELGLSPLHLSSSPEDLYLASGTPPGTPPPLDAPLSGEVKRSQPLPIPTSRKLLREEELQ
+STSLPSIPNPFPELCSPSSQSPILGGSSSARGLLPRDTSCPHVIKVYSEDGTCRSVEVAT
+GATARYVCEMLVQRSHALSDENWGLVECHPYLALERALEDHESVAEVQAAWPIGGDSRIV
+FRKNFAKYELFKSTPHSLFPEKMVSSCLDAHTGMSHEDVIQNFLNAGSFPEIQGFLQLRG
+SGRKLWKRFFCFLRRSGLYYSTKGTSKDPRHLQYVADVNESNVYVVTQGRKLYGMPTDFG
+FCIKPNKLRNGHKGLRLFCTEDERSRSCWLAAFRLFKFGVQLYKNYQQTLCRHMCPPCGG
+SPPSKKTNHRLSLPTPSSGTSLSAAIHRTQPWFHGRISREESQRLIRQQGLVDGLFLVRE
+SQRNPQGFVLSLCHVQKVKHYLILPSEEEGRLYFSMDDGLTRFTDLLQLVEFHQLNRGIL
+PCLLRYCCTRVAL
+>tr|A0A3Q1M127|A0A3Q1M127_BOVIN Kinase D interacting substrate 220 OS=Bos taurus OX=9913 GN=KIDINS220 PE=4 SV=1
+MSVLISQSVINYVEEENIPALKALLEKCKDVDERNECGQTPLMIAAEQGNLEIVKELIKN
+GANCNLEDLDNWTALISASKEGHLHVVDELLKCGASLEHRDMGGWTALMWACYKGRTEVV
+ELLLSHGANPSVTGLYSVYPIIWAAGRGHADIVQLLLQNGAKVNCSDKYGTTPLVWAARK
+GHLECVKHLLAMGADVDQEGANSMTALIVAVKGGYTQSVKEILKRNPNVNLTDKDGNTAL
+MIASKEGHTEIVQDLLDAGTYVNIPDRSGDTVLIGAVRGGHVEIVRALLQKYADIDIRGQ
+DSKTALYWAVEKGNATMVRDILQCNPDTEICTKDGETPLIKATKMRNIEVVELLLDKGAK
+VSAVDKKGDTPLHIAIRGRSRKLAELLLRNPKDGRLLYRPNKAGETPYNIDCSHQKSILT
+QIFGARHLSPTETDGDMLGYDLYSSALADILSEPTMQPPICVGLYAQWGSGKSFLLKKLE
+DEMKTFAGQQIEPLFQFSWLVVFLTLLLCGGLGLLFAFTVDLNLGIAVSLSFLAVLYIFF
+IVIYFGGRREGESWNWAWVLSTRLARHIGYLELLLKLMFVNPPELPEQTTKALPVRFLFT
+DYNRLSSVGGETSMAEMIATLSDACEREFGFLATRLFRVFKTEDTQGKKKWKKTCCLPSF
+VIFLFIFGCIIAAITLLAIFRVDPKHMTVNAVLISIASIVGLAFVLNCRTWWQVLDSLLN
+SQRKRLHNAASKLHKLKSEGFMKVRVLFSKGPFIAIFASDPHIIIKAINQNLNSVLRDSN
+INGHDYMRNIVHLPVFLNSRGLSSARKFLVTSTTNGDVPCSDNTGMQEDADRRVSQNSLG
+EMTKLGSKTALNRRDTYRRRQMQRTITRQMSFDLTKLLVTEDWFSDISPQTMRRLLNIVS
+VTGRLLRANQISFNWDRLASWINLTEQWPYRTSWLILYLEETEGIPDQMTLKTIYERISK
+NIPTTKDVEPLLEIDGDIRNFEVFLSSRTPVLVARDVKTFLPCTVNLDPKLREIIADVRA
+ARDQINIGGLAYPPLPLHEPPPRPPSGYSQPASVCSSSTSFNGPFGGGVVSPQPHSSYYS
+GMTGPQHPFYNRPFFAPYLYTPRYCPGGSHHLISRPSVKTNLPRDQSNGLEVIKEDAAEG
+LSSPTDSSRPCDSGFNKQRQGSGPASGTIPLNSMNVDAVCEKLKQIEGLDQSMLPQYCAT
+IKKANINGRVLAQCNIDELKKEMNMNFGDWHLFRSTILEMRNAENQVVPEDPRLLSENSS
+SVPHGEPARRSAHSELPHTELSSQAPYTLNFSFEELNTLGLDEGAPRHSNLSWQSQTRRT
+PSLSSLTSQDSSIEISKLTDKVQAEYRDAYREYIAQMSQLEGGTGSTTMSGRSSPHSTYY
+MGQSSSGGSIHSSLEPEKGKDSEPKQDDTRKSFLMKRGDVIDYSSSGVSTNDASPLDPIT
+EEDEKSDQSGSKLLPGKKSSERSSLFQTDLKLKGGGLRYQKLPSDEDESGTEESDNTPLL
+KDDKDKKAEGKAERALKSPEHSAEPIRTFIKAKEYLSDALLDKKDSSDSGVRSNESSPNH
+SLHNEAADDSQLEKANLIELEDDPHSGRRGVPHSLSGLPDPLVARMSICSEDKKSPSECS
+LIASSPEENWPACHKSYNLNRTPSTVTLNNNSAPANRANQNSDETEGLRETPQVLLRSGS
+SSHSTALQNENLKSMAHKRGQRSSYTRLSKDSSELHAVASSDSTGFGEERESIL
+>tr|A0A3Q1LT06|A0A3Q1LT06_BOVIN T-complex 11 like 1 OS=Bos taurus OX=9913 GN=TCP11L1 PE=4 SV=1
+MSENLDKSNINEAGKSKSSDSEQGLEDAVEVSDEALQKKIKSGSPSTQRVQRPHSSPPRF
+VTVEELLETAKGVTNMALAHEIVINGDFQIKPVELPEDSLEKRVKDIVHKAFWDCLSVQL
+SEVPPTYDRAIKLVGEIKETLLSFLLPGHTRLRNQITEVLDLDLIKQEAENGALDISKLA
+EFIIGMMGTLCAPVRDEEVKKLKDIKEIVPLFRAIFSVLDLMKVDMANFAVSSIRPHLMQ
+QSIEYERKQFQEFLEKQPNSLDFVTQWLQEATDDLMSQKYKNALPAAGGAAGSGDGPQLD
+PVAVQNYAYLKLLKWDHLHRPFPETVLMDHSRFQEFQLQLEQLTVLGAVLLVTFSMAAPG
+IASRADFAEKLKMIVKILLTDMHLPSFHLEDALTAVGEKVCLEVSSCLSLCGLSPLTTDK
+EAVLKGQIQAVASPDNPIRRIVDSRILTFLDAYLASSHQKPSPIAPGGLGPIQKELEEVA
+VKFVRLVNYNKMVFSPYYDAILNTREYLPATPE
+>tr|A0A3Q1MM04|A0A3Q1MM04_BOVIN Insulin like growth factor 2 mRNA binding protein 2 OS=Bos taurus OX=9913 GN=IGF2BP2 PE=4 SV=1
+MMNKLYIGNLSPAVTADDLRQLFGDRKLPLAGQVLLKSGYAFVDYPDQNWAIRAIETLSG
+KVELHGKIMEVDYSVSKKLRSRKIQIRNIPPHLQWEVLDGLLAQCGTVENVEQVNTDTET
+AVVNVTYATREEAKTAIEKLSGHQFENYSFKISYIPDEEVSSPSPPQRAQRGDHSSREQG
+HAPGGSSQARQIDFPLRILVPTQFVGAIIGKEGLTIKNITKQTQSRVDIHRKENSGAAEK
+PVTIHATPEGTSEACRMILEIMQKEADETKLAEEIPLKILAHNGLVGRLIGKEGRNLKKI
+EHETGTKITISSLQDLSIYNPERTITVKGTVEACANAEIEIMKKLREAFENDMLAVNTHS
+GYFSSLYPPHQFGPFPHHHSYPEQEIVNLFIPTQAVGAIIGKKGAHIKQLARFAGASIKI
+APAEGPDVSERMVIITGPPEAQFKAQGRIFGKLKEENFFNPKEEVKLEAHIRVPSSTAGR
+VIGKGGKTVNELQNLTSAEVIVPRDQTPDENEEVIVRIIGHFFASQTAQRKIREIVQQVK
+QQEQKYPQGVASQRSK
+>tr|G3MW10|G3MW10_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC100337390 PE=4 SV=2
+MCDLYICDSLFLLIFNSWLNPLFKIGYKRRLEEDDMYSVLPEDHSQHLGEELQGYWDQEV
+LRAEKDAREPSLMKAIFKHHWKSYLVFGIFILLEEGTRVVQPIFLGKMISYVENYDPTDS
+AALHEAYGYAAGLSASVLVWAVLHHLYFYHIQRLGMRLRVALCHMIYCKVLRLSSSAMGK
+TTTGQIVNLLSNDVNRFDQVTMFLHYLWVGPLQAIAVTALLWMETGISCLAGMAVLIFLL
+LLQSCFGMWFSSLRSKTAALTDDRIRTMSEFISGIKSVKMNALEKSLIDLITRLRRKEIS
+KILQSSYLRGMNLASFFAVTKIMIFVTFITNVALKKVITASQVFVVVTLYEALRFTSTLY
+FPMAIEKVSEAIISIRRIKNFLLLDEISQLTTQLPSDDKTIVHMKDFTAFWDKVIKTPTL
+QGLSFTVRPGELLAVVGPVGAGKSSLLCALLGELPPSQGQASVHGRIVYVSQQPWVFSGT
+VRSNILFGKKYEEERYEKVIKACALKEDLQLLKENDLTEIGDRGTPLSEGQKARVSLARA
+VYQDADIYLLDDPLSAVDVGVSGHLFKQCIRQALKEKITILVTHQLQYLKDASQILILKD
+GKVMQKGTFAEFSKSGIDFEDIIFWEKVEKAEPSPGPGTLTLISESSVQSQPSSRPSLKD
+AAPEDQDTENIQVTLPLEGRLVGRVGFKTYENYFTAGVHWFIIIYLILVNIGAQVNKNIY
+FGFVPSSWYLHIFILYLFNLLILTCLIYTLRDLFQRIVLTIGIILFGITKSLLMFYVLVN
+SSQTLHNKMLESIFRAPMLFFDRNPIGKSDTKFLSKYERSLILRASVFLLFQTFLLVIGV
+VGVMVAAIPWIAIPVIPLGILFFVLRRYFLETSRDVKHLECTTRSPVFSHLASSLRGLWT
+IRAYKAEQKFQELFDAYQDLHSEAWFLLLTTSRWLAVYLDVICAIFVTLVAFGALILAET
+LDLGQVGLVLSLSLVLTGMFQWCVRQSAEVENTMISVERVIEYTDLEKEAPWELEYRPPP
+LWPPDGRISFFSVNFRYNSDSPLVLRNLETSIYSREKYGIVGRTGAGKSSLVAALFRLSE
+PEGGIYIDGILTTHIGLHDLRKKLSVALQEPVLFTGTMRENLDPFNEHTDNELWNALEEV
+QLKESVEGLPAKMNTELAESGLNLSAGQKQLVCLARALLRKNQILILDKATSYVDPRTDE
+FIQKRIRERFAQCTVLTIAHRLSNIIDCEWIMVLDSGTRKEHNQPNNLLQDKNSLFYKMV
+QQLGEAKAAVLSKMAYFKRRQPDITHSDHVVMNVSSGQPSAFTIFESTL
+>tr|A0A3Q1MUR6|A0A3Q1MUR6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=3 SV=1
+MHQCGLTSSKSMLVFLNLIFWGVENEVDRSIQKVYKTYNGTNPDRQLHCCGIHNYSDWEN
+TDWFKETKNQSVPLSCCRETASSCNSSLAHRSDLYAEACEALVVKKLQEIMMHVIWAALA
+FAAIQLLGMLCACIVLCRRSRDPAYELLIIGGAYA
+>tr|E1BC38|E1BC38_BOVIN CD248 molecule OS=Bos taurus OX=9913 GN=CD248 PE=4 SV=3
+MLLRLLLAWAAAVPTLGQAPWATEPRAACAPGSCYALFPRRRTFLEAWRACRELGGDLAT
+PRTLEEAQRVDSLVGSGPASRLLWIGLQRQARQCQPQRPLRGFTWTTGDQDTAFTNWAQP
+ATGGPCPAQRCAALEASGEHRWLEGSCTLAVDGYLCQFGFEGSCPALPEEVGQAGPAVYT
+TPFHLVSEEFEWLPFGSVAAVPCQAGRKASLLCVKQPEGGVGWSRTGPLCPGIGCGPDNG
+GCEHECIEAADGRVSCRCSEGFRLAADGRSCEDPCAHAPCEQQCEPGGPQGYSCHCRLGF
+RPAEDEPHRCVDTDECQIAGVCQQMCVNYVGGFECYCSEGHELEADGISCSPAGAMGAQA
+SQDLEDGLLDEGEEEEEEEDEEEAWEAFDGGWTEMPGIPWMEATQSSDFDLVYRPSFPED
+GEPGMPYLYPTWPPPLSAPEVPHHSSVLSVTRPVVASATRPPLPSAHQPAIISATRPPLA
+PAPQPPVIPAIHPALPSDHQFPMISANYPDLPSAHRPLIISAAQPGPTPAHQPPVASAKY
+PKLFPAHQSPMFPDTQVVDTQNTTPLPRIPANHTPLVTTSSPPQPPVTRDVPILKAQAGH
+HPVTSPVQPSRTTAFRSPVPPAHQVPVPAASQAPASHTPLPPRSSTSQTSLTSAPQPRSK
+VPQAPREGALGPSLAPWLSSAVPTSAPPALGEASPAGRSRRDERWLLVALLVPTCVFLVV
+LLALGIVYCTRCGPHAPNKRVTDCYRWVTHAGSKGPTEPAPHRGSLTGVQTCRTSV
+>tr|A0A3Q1MZ87|A0A3Q1MZ87_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=CD163L1 PE=4 SV=1
+MALGRHLSLRGLCVLLLGTLVGGQALELRLKDGAHRCEGRVEVKHQGEWGTVYAHGWRLK
+DASVVCRQLGCGAAIGFPGGAYFGPGLGPIWLFYTSCEGTESTVSDCEHSNIKDYRNDSY
+SHDDDAGVVCSGFVRLAGGDGPCSGRVEVHSGEAWIPVSDGNFTLATAQIICAELGCGKA
+VSVLGHELFRESSAQVWAEEFRCEGEEPELSVCPRVPCPGGTCHHSGAAQVVCSAYSEVR
+LMTNGSSQCEGQVEMKISGRWRALCASHWSLANANVICRQLGCGVAISTPGGPHLVEEDD
+QILTARFHCSGAESFLWSCPVTALGGPDCSHGNTASVICSGNQIQVLPQCNDSLSQPAGS
+AASEESAPYCSDSRQIRLVDGGGRCSGRVEILDQGSWGTICDDRWDLDDARVVCRQLGCG
+EALDATISSSFGRGSGPIWLDEVNCRGEESQVWRCPTWGWQQHNCDHQEDAGVICSEFLA
+LRMVSEDQQCAGWLEVFYNGTWGSVCRSPMEDITVSVICRQLGCGDSGSLNTSVGLREGS
+RPRWVDLIQCRKMDTSLWQCPSGPWKYSSCSPKEEAYISCEGRRPKSCPTAAACTDREKL
+RLRGGDSECSGRVEVWHNGSWGTVCDDSWSLAEAEVVCQQLGCGQALEAVRSAAFGPGNG
+SIWLDEVQCGGRESSLWDCAAEPWGQSDCKHEEDAGVRCSGVRTTLPTTTAGTRTTSNSL
+PGIFSLPGVLCLILGSLLFLVLVILVTQLLRWRAERRALSSYEDALAEAVYEELDYLLTQ
+KEGLGSPDQTTEVPDENYDDAEEVPVPGTPSPSQGNEEEVPPEKEDGVRSSQTGSCLNFS
+REAADPGEEEESFWLLQGKKGDAGYDDVELSALGTSPMTFS
+>tr|E1BIT8|E1BIT8_BOVIN Semaphorin 6B OS=Bos taurus OX=9913 GN=SEMA6B PE=3 SV=3
+MKGKQEGECRNFVKVLLLRDESTLFVCGSNAFNPVCANYSMDTLQPLRDNISGMARCPYD
+PKHANVALFSEGMLFTATVTDFLAIDAVIYRSLGDRPTLRTVKHDSKWFKEPYFVHAVEW
+GSHIYFFFREIAMEFNYLEKVVVSRVARVCKNDMGGSPRVLEKQWTSFLKARLNCSVPGD
+SHFYFNVLQAVTGVVSLGGRPVVLAVFSTPSNSIPGSAVCAFDMTQVAAVFEGRFR
+>tr|F1MV66|F1MV66_BOVIN 25A_SYNTH_3 domain-containing protein OS=Bos taurus OX=9913 GN=OAS1Y PE=4 SV=1
+MELRNTPAGSLDKFIEDHLLPDEEFRMQVKEAIDIICTFLKERCFRCAPHRVRVSKVVKG
+GSSGKGTTLRGRSDADLVVFLTNLTSFQEQLERRGEFIEEIRRQLEACQREETFEVKFEV
+QKRQWENPRALSFVLRSPKLNQAVEFDVLPAFDALGQLTKGYRPDSRVYVRLIQECENLR
+REGEFSPCFTELQRDFLKNRPTKLKSLIRLVKHWYQLCKEQLGKPLPPQYALELLTVYAW
+EQGCNKTGFITAQGFQTVLKLVLKYQKLCIYWEKNYNSENPIIEEYLTKQLAKPRPVILD
+PADPTGNVAGKDAYSWERLARAALVWLDYPCFKKWDGSPVGSWDVSPQEHSDLMFQAYDF
+RQHYRPSPGIQFHGGASPQVEENWTCTIL
+>tr|F1MHU8|F1MHU8_BOVIN Immunoglobulin mu DNA binding protein 2 OS=Bos taurus OX=9913 GN=IGHMBP2 PE=4 SV=1
+MASTPVESFVTKQLELLELERDAEVEERRSWQENVSLKELQSRGVCLLKLQVSSQRTGLY
+GRLLITLEPRRCVSAAVLPSNSFTSGDIVGLYDESGQLATGILTRITQKAVTVAFDESHD
+SQLSLDQEHSYRLLKLANDVTYKRLKKALISLKKHHAGPASSLIEVLFGASDPSPPSEMP
+PLTFCNPALDASQQEAVLFALSQKELAIIHGPPGTGKTTTVVEIILQAVKRGSKVLCCAP
+SNVAVDNLVERLAQWKQRILRLGHPARLLDSIQQHSLDAVLARSDSARIVADIRRDIDQA
+WAKNRKTQDKREKSNFRDEIKLLRKELKDREEAAMLESLAAASVVLATNTGASADGPLKL
+LPDSHFDMVVIDECAQALEASCWIPLLKARKCILAGDHKQLPPTIVSHKAALAGLSLSLM
+ERLAERHGAGALRMLTVQYRMHQAIARWASEALYHGQLTAHPSVAGHLLRDLPGVAATEE
+TGVPLLLVDTAGCGLLELEEDDEQSKGNPGEVRLVGLHVQALVDAGVRAADIAVITPYNL
+QVDLLRQSLAHRLPELEIRSVDGFQGREKEAVILSFVRSNRKGEVGFLAEDRRINVAVTR
+ARRHVAVVCDSRTVSNHAFLKTLVDYLTEHGEVRTAFEYLDDIVPENYSHEGAQAGGKPR
+GSAATARRAPGGTRPGRKKPSGAPLGSSEPQPQPSLNGGGGWEGAGSRDGADSFRAAIVE
+FVESEKTQLEFPASLNPRDRLRVHQIAEEFGLRHDSTGKGRERFITVSKRPPPTPEAPPT
+PAGPDGRAPLRPETPSCKQPEPPVRDSSGRDQPDLKALHLEGLQRAGAQQEQRAREGPRA
+LGPAPRKLPEKKKKKDARGPAAIELPAEEDFDALVLAAIKADNTCGFAKCTASVVTLGQL
+CLHCGRRYCLSHHLPEVHGCGERARAHARQRISREGVLYPGSGTKDRSLDPAKRAQLQRR
+LDKKLDELTGQRRSKRKEKGK
+>tr|A0A3Q1LUX7|A0A3Q1LUX7_BOVIN Vezatin, adherens junctions transmembrane protein OS=Bos taurus OX=9913 GN=VEZT PE=4 SV=1
+MLSNSPLYQYLQDLGHTDFEVCSSLSPKAEKSAVTEGQQKPPARALPKQGILLKVAETIK
+SWILAQSNKNDDLLHKLDIGFRLDSLHTILQQEVLLQEDVELIELLDASILSAGQPQQQE
+NGHLPTLCSLATPNIWDVSVVFAFISLLVMLPTWWILSSWLVWGVIVFVYLIIRALRLWR
+TAKLQVTLKKYSVYLEDIATDSRGFTNLVRKALRLIQETEVISRGFTLVSAACLFNKAGQ
+HPSQHLIGLRKAVYRTVRANFQAARLATLYMLKNYPLNSESDNVTNYICVVPFKELGLGL
+SEEQISEEEAHNLTDGFSLPALKVLFQLWVAQSSEFFRRLALLLSTTNSPPGPLLTPALL
+PHRILSDVTQGLPHVHSACLEELKRSYEFYRYFETQHQSVPQRFSRTQQKSRELSNVHTA
+VRSLQLHLKALLNEVIILEDELEKLVCIKETQELVSEAYQILEQKLKLIQPHVQASNNCW
+EEAISQVDKLLRRNTDKKGHPEMACESPRCTVAPVLQPPLHIADKDPIPEEQELEAYVDD
+VDMDTDFRKDDFYYLSQEDRERQKREHEESKRVLQELKSVLGFKASEAERQKWKQLLFSD
+HAVLKSLSPVDPVEPISNSEPSVDSDMGKVGKNDTEEENNKTSTADNEISSRTEYLCEYP
+VDGKSKDNSANEVFFQGAEERAHYQCESEDESPQADVDGLAPAHPTPRVSSQPSIKQRLA
+QLQLSPGFTFTAGLAAEVAARSLSFTTMQEQTFGDEEEEHIIQENENEVEEK
+>tr|F1MT56|F1MT56_BOVIN C-C motif chemokine receptor 6 OS=Bos taurus OX=9913 GN=CCR6 PE=3 SV=3
+MRVEPMNSTNIYDSNEDYFGLANSSDYLLDDDSFLCSLQEVRSFSGLFVPVAYSLICVCG
+LLGNILVVVTFAFYKKAKSMTDVYLLNMAVADILFVLTLPFWAVNHATGEWIFSNAMCKL
+TRGIYAINFNCGMLLLTCISLDRYIAIVQATKSFRLRSRTLAHHKLICLAVWAVSILISS
+STFTFNQKYKLQGGDVCEPRYHAVSEPIRWKLLMLGLQLLFGFFIPLVFMIFCYAFIVKT
+LVQAQNSKRHRAIRVIIAVVLVFLACQIPHNMVLLVTAVNLGRTGRSCGSEKLLGYAKNV
+TEVLAFLHCCLNPALYAFIGQKFRSYFLKIMKDLWCVRKKQKAPGFSCSRLHSDTFTSRQ
+NSETADNDNPSSFTM
+>tr|A0A3Q1M3Q3|A0A3Q1M3Q3_BOVIN Fibroblast growth factor OS=Bos taurus OX=9913 GN=FGF7 PE=3 SV=1
+MRKWILTWILPSLLYRSCFHIICLVGTISLACNDMTPEQMATNVNCSSPERHTRSYDYME
+GGDIRVRRLFCRTQWYLRIDKRGKVKGTQEMKNNYNIMEIRTVAVGIVAIKGVESEYYLA
+MNKEGKLYAKKECNEDCNFKELILENHYNTYASAKWTHSGGEMFVALNQKGVPVRGKKTK
+KEQKTAHFLPMAIT
+>tr|A0A3Q1M3X5|A0A3Q1M3X5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC786928 PE=4 SV=1
+MATETDPKDRESKIAPVQVIPLQQVHPMQKVYPMQPVILVPLNPPEKDYLFLSITSFFFF
+ILLAIPALLFSFKTREANILGDQRKAQRNSRLALGFSISSILVGSTLIISSIVIRAIKQQ
+E
+>tr|A0A3Q1MNH2|A0A3Q1MNH2_BOVIN TFIIA_gamma_C domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+NVGDLGLIPGSGRSLREGNDSLTCLNMYKFYNNMRPFVLYDVEFREVTELIKVDKVKIVA
+CDGKNTGSRMTRKK
+>tr|A0A3Q1M5W0|A0A3Q1M5W0_BOVIN PAN2-PAN3 deadenylation complex subunit PAN3 OS=Bos taurus OX=9913 GN=PAN3 PE=3 SV=1
+MDGGALTDTSLTDSYFSTSFIGVNGFGSPVETKYPVMQRMTNSSSSPSLLNDSAKPYAGH
+DPLTSPASSLFNDFGALNISQRRKTPNPTASEFIPKGGSTSRLSNTSQSHASAFSQVFSH
+PSMGTPAAAGLAPGMSLSAGSSPLHSPKITPHTSPAPRRRSHTPNPANYMVPSSASTPGN
+NSVSQTPSSGQVIQKETVGGTTYFYTDTTPAPLSGMRSFKNYHRTACRAEGQIIEVFPNY
+HIYPPTAPHVAYMQPKANAPSFFMADELRQELINRHLITMAQIDQADMPAVPTEVDSYHS
+LFPLEPLPPPNRIQKSSNFGYITSCYKAVNSKDDLPYCLRRIHGFRLVNTKCMVLVDMWK
+KIQHSNIVTLREVFTTKAFSEPSLVFAYDFHAGGETMMSRHFNDPNADAYFTKRKWGQHD
+GPLPRQHAGLLPESLIWAYIVQLSSALRTIHTAGLACRVMDPTKILITGKTRLRVNCVGV
+FDVLTFDNSQNNNPLALMAQYQQADLISLGKVVLALACNSLAGIQRENLQKAMELVTINY
+SSDLKNLILYLLTDQNRMRSVNDIMPMIGARFYTQLDAAQMRNDVIEEDLAKEVQNGRLF
+RLLAKLGTINERPEFQKDPTWSETGDRYLLKLFRDHLFHQVTEAGAPWIDLSHIISCLNK
+LDAGVPEKISLISRDEKSVLVVTYSDLKRCFENTFQELIAAANGQL
+>tr|E1BC75|E1BC75_BOVIN Ubiquitin specific peptidase 54 OS=Bos taurus OX=9913 GN=USP54 PE=4 SV=3
+MDDAAECFENLLMRIHFHIADETKEDICTAQHCISHQKFAMTLFEQCVCTSCGATSDPLP
+FIQMVHYISTTSLCNQAICMLERREKPSPSMFGELLQNASTMGDLRNCPSNCGERIRIRR
+VLMNAPQIITIGLVWDSDHSDLAEDVIHSLGTCLKLGDLFFRVTDDRAKQSELYLVGMIC
+YYGKHYSTFFFQTKIRKWMYFDDAHVKEIGPKWKDVVTKCIKGHYQPLLLLYADPQGTPV
+STQDLPPQVEFQSYSRTCYDSEDSGREPSISSDTRTDSSTESYPYKHFHHESVVSHFSSD
+SQGTVIYNVETDSTSQSSRDTGHLTDSECNQKLPSKKGSLIERKRSSGRVRRKGDESQAS
+GYHSEGETLKEKQAPRNASKSSSSTNRLRDFKETVSNMIHNRPSLASQTTLGPPCVGKGG
+EQTDKKPPRSLPLHSRDWEVESTSSESKSSSSSKYRPTWRPKRESLNIDSIFSKDKRKHS
+GYTQLSPFSEDSAKEFTPDESSKPPAYDIRTGPSPQYKIWGPARPGCHFLEQHPRLIQRM
+ESGYESSERNSSSPVSLDAALPESSSVCRDPSAKRSAGLAPSWRHIPKSHSSSILEADSA
+VSRSGWMKNQPLSGGEISSKTELDELQEEVARRAQEQELRRKREKELEAAKGFNPHPSRF
+MDLDELQNQGRSDGFERSLQEADSVFEESLHLEQKGDCAAALALCNEAISKLRLALHGAS
+SSTHSRALVDKKLQISIRKARSLQDRMQQQQSSQPPSQPSTCLPSQGGALPQPTSEQPIP
+LQVLLSQEAQLEPYTNTEFGASTFIYSPASCHEPHSPLFPESSALSAPQHNSPSGSASNL
+LTSVEVDSIDPSVFHRQGLPKTPGRPEKSSHHGWESEDELQSCRGESSSCARSPQREGRD
+TDREQLFREKRDPADSSQVMPWPQPIGIIATSERGEAHSLGCGPSNSAAQPSLSLYRACH
+PVKPAPSSSVLHSSNTVQKTNQCLQTQNFKIPLTSNMDRGSEEPSMPEFPTTKGLVRSLA
+EQFQRMHGASTRDGTGAQDRSLPNSLRKDSPPSDCKPPFPQSQGKGHWSWAKQQPSLDGR
+NKLPSWEEPANHPSLATNSGPPNGEASRGGQPRLAESGIHHGKPSQVRDAGPKELGSSVD
+SGTSLPSDSWVNVTRLCDSQLKHGVPGPGMKSSPHDSHTCVTYPERNHIILHPHWNQDTE
+QETSELESLYQASLQVSQSGCSGCGQQEVAWNPLSQTGSADGVGRRLHSAPGLGLSKTPT
+AEMEHSLHEANSVSASQAAPCQGPSRECGEDDQYGAENFRRISRSLSGTVVSEREEVPVS
+SHSFDSSSVRKKPLETRHRCSSSSSLPVIHDPPVFLLGPQLYPPQPQFLSPDVLMPSMAG
+EPHRPPGTSRSVQQFLAMCDRGETSEGVKYTGKTLNYRSLPHRSRTDASRGLWSEANQHV
+GARFLTTPGCKPQLTHTATLPERHQGLQVPHAQSWGDLFHSPSHPPVVHPVSPPSSSLCV
+PLRSAWNSGPVPGFRAPGPRRVDMPPDDDWRQSSYALPSRHRRTGREEFLFVLADAPNRE
+QIRARALQHSRW
+>tr|F1MCL3|F1MCL3_BOVIN Glutamate ionotropic receptor NMDA type subunit 2B OS=Bos taurus OX=9913 GN=GRIN2B PE=3 SV=2
+MKPRAECCSPKFWLVLAVLAVSGSRARSQKSPPSIGIAVILVGTSDEVAIKDAHEKDDFH
+HLSVVPRVELVAMNETDPKSIITRICDLMSDRKIQGVVFADDTDQEAIAQILDFISAQTL
+TPILGIHGGSSMIMADKDESSMFFQFGPSIEQQASVMLNIMEEYDWYIFSIVTTYFPGYQ
+DFVNKIRSTIENSFVGWELEEVLLLDMSLDDGDSKIQNQLKKLQSPIILLYCTKEEATYI
+FEVANSVGLTGYGYTWIVPSLVAGDTDTVPSEFPTGLVSVSYDEWDYGLPARVRDGIAII
+TTAASDMLSEHSFIPEPKSSCYNTHEKRIYQSNMLNRYLINVTFEGRNLSFSEDGYQMHP
+KLVIILLNKERKWERVGKWKDKSLQMKYYVWPRMCPETEEQEDDHLSIVTLEEAPFVIVE
+SVDPLSGTCMRNTVPCQKRIISENKTDEEPGYIKKCCKGFCIDILKKISKSVKFTYDLYL
+VTNGKHGKKINGTWNGMIGEVVMKRAYMAVGSLTINEERSEVVDFSVPFIETGISVMVSR
+SNGTVSPSAFLEPFSADVWVMMFVMLLIVSAVAVFVFEYFSPVGYNRCLADGREPGGPSF
+TIGKAIWLLWGLVFNNSVPVQNPKGTTSKIMVSVWAFFAVIFLASYTANLAAFMIQEEYV
+DQVSGLSDKKFQRPNDFSPPFRFGTVPNGSTERNIRNNYAEMHAYMGKFNQRGVDDALLS
+LKTGKLDAFIYDAAVLNYMAGRDEGCKLVTIGSGKVFASTGYGIAIQKDSGWKRQVDLAI
+LQLFGDGEMEELEALWLTGICHNEKNEVMSSQLDIDNMAGVFYMLGAAMALSLITFICEH
+LFYWQFRHCFMGVCSGKPGMVFSISRGIYSCIHGVAIEERQSVMNSPTATMNNTHSNILR
+LLRTAKNMANLSGVNGSPQSALDFIRRESSVYDISEHRRSFTHSDCKSYNNPPCEENLFS
+DYISEVERTFGNLQLKDSNVYQDHYHHHHRPHSIGSASSIDGLYDCDNPPFTTQPRALGK
+KPLDIGLPSSKHSQLGDLYGKFSFKSDRYGGHDDLIRSDVSDISTHTVTYGNIEGNAAKR
+RKQQYKDSLKKRPASAKSRREFDEIELAYRRRPPRSPDHKRYFRDKDGLRDFYLDQFRAK
+ETSPHWEHVDLTDLYKERGEDFKRDSVGGGGPCTNRAHHLKHGTGDKHGGGVVGGVPAPW
+EKNLTNVDWEERPGGNFCRSCPSKLHNYSTTTAATAVVAGQNSGRPACIRCEACKKAGNL
+YDISEDNSLQELDQPAAPVAAVTSAASKYPQSPTNSKAQKKPRNKLRRQHSYDTFVDLQK
+EEAALAPRSVSLKDKGRFLDGSPYAHMFEMPAGESAFSGSNDGKAAAPAAGHHHHGNPGG
+GGGYMLSKSLYPDRVTQNPFIPTFGDDQCLLHGSKSYFFRQPTVAGAPKARPDFRALVSN
+KPVVSALHGAVPGRFQKDICIGNQSNPCVPNNKNPRAFNGSSNGHVYEKLSSIESDV
+>tr|A0A3Q1LXM9|A0A3Q1LXM9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+VFSEKPVEPARELPRAAEGRLPEEDGLRQVLEEMKALYEQNLPDVNNTKSSGRGDLITAI
+KFRHWFLLRNQRCTGAYLYDRLLRISALRWDYGSVLPKVSYFVKWPSLCFQMDWFNVYKK
+SLATYMRSLTGDQGLDITQDMKPSKSLCIKVRCLKDYREFEVEDVASVLFKKHSQHFLSR
+WKCKQLIRQGFWSMCCHDPWPDGC
+>tr|E1BGE6|E1BGE6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=FBXW8 PE=4 SV=3
+MDDHSLDEFRRRWQEELAQAQALRKRRRPEAAERRPRRPEVVSGRGEQQASGYLALAQGL
+LEGAGRPPAARATRAERQEAASRSRSPPARDAAGGGEQLVDQLIRDLNEMDDVPFFDIQL
+PYELAINIFQYLNRRELGRCAQVSKTWKVIAEDEVLWYRLCQQEGHLPESSISDYSCWKL
+VFQECRAKEHMLRTNWKNRKGAVSELEHIPDAVLCDVHSHDGVVIAGYTSGDVRVWDTRT
+WDYTAPFLESEFEEDEPGMQPYVSFVRINSSLAVAAYEDGFLNIWNLRTGKYPIHRFEHD
+ARIQALALSQEDATIATASAFDVVMLCPNEEGNLQIAAEFEVQKLVDYLEIVPDTERYPV
+AVATAGDLVYLLKAEDSARTLHYVYGQPVTCLDVSANQAAFGVKSLGWVYEGNKILVYSL
+EAERCVSKLGNALGDFSCVNLRDSPPSLMVSGNMDRRVRIHDLRTDSIALSISAHQLGVS
+AVQMDDWKIVSGGEEGLVSVWDYRMSQKLWEVHSRHPVRHISFNSHSLITAHVPYERVVR
+NADLDNFATHRRHRGLIQAYAFAVDQLAFQSTVPVCRSACDAMPGYNYDLALAFPPDSI
+>tr|G3X7K4|G3X7K4_BOVIN RING finger protein 207 OS=Bos taurus OX=9913 GN=RNF207 PE=4 SV=1
+MSGAIFTSLEGPGALDGTSGHPLVCPLCHAQYERPCLLDCFHEFCAGCLRGRAADGRLAC
+PLCQHQTVVKGPSGLPPVDRLLQFLVDSSGDGTEVVRCANCDLECGKQDAETTYFCNTCG
+QPLCARCRDETHRARMFARHDIVALGQRSRDVLQKCTLHAEPYVLFSTDKKSLLCIRCFR
+DMQGESRVHCVDLESAYVQGCERLQQAVLEVKALQTATRVKGELLQAMVEEVRRSAAEEE
+AAIQALFSSMQDKLSERKALLLQAVQSLANKAEFLDLGYELMERLQGIVTRPHRLRPAQS
+SKITSDHRAEFARCLEPLLLLGPRRAAGAGGGTSTLTGGLGPKVLRGPGCPSPVGKMLGS
+PVQKPTLHRSISTKVLLAEGDASPFTEHCRHYEDSYRRLQAEMQNLKDQVQELHRDLTKH
+HSLIKAEIMGDILHKALQVDAQIASEYASVEGLRAVFQEIWEDSYQRVANEQEIYEAQLH
+DLLQLKQENAYLTTITKQITPYIRSIAKVKERLEPRFQVPVDEPSDHPQNTHDDGVNAEA
+PARVSTLKPAMEKEVS
+>tr|A0A3Q1MVF7|A0A3Q1MVF7_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MNFQMFKAGFRKARGTRDQIANIRWIMEKAREFQKNIYFCFIDYAKAFDCVDHNQLWKIL
+KEMGIPDHLICLLRNLYAGQEATVRTGHGTTDWFQIGKGVRQGCILSPCLFNFYAEYIMR
+NAGLEETQAGFKIAGRNLNNLSYADDTTLMAENEEELKSLLMKVKVESEKVGLKLNIQKT
+KIMASGPITSWEIDGETVETVSDFIFLGSKITADGDCSHEIKRHLLLGRKVMTNLDSILK
+SRDITLPTKVHLVKAMVFPAVMYGCESWTVKKAERRRIDAFELWCWRRLLRVPWTARRSN
+QSILKEISPGCSVEGLMLKLKLQYFGHLMQRVDSLEKTLMLGGIGGRRRRGRQRMRWLDG
+ITDSMDLSLGELWEFVMDREAWRAAIHGVAKSRTRLSD
+>tr|F1MNN2|F1MNN2_BOVIN Zinc finger FYVE-type containing 28 OS=Bos taurus OX=9913 GN=ZFYVE28 PE=4 SV=2
+MMNRFRKWLYKPKRSDPQLLAQFYHADEELSQVAAELDSLDGRKDPQRCTLLVSQFRSCQ
+DNVLNIINQIMDACIPQDRAPRDFCVKFPEEIRHDNLAGQLWFGAECLAAGSIIMNRELE
+SMAMRPLAKELTRSLEDVRGALRDQALRDLNTYTEKMRDALRHFDVLFAEFELSYVSAMV
+PVKSPREYYVQQEVIVLFCETVERALDFGYLTQDMIDDYEPALMFTIPRLAIVCGLVVYA
+DGPLNLDRKVEDMSELFRPFHTLLRKIRDLLQTLTEEELHTLERNLCISQDVELPVRADA
+PAPPALAPAEPRRADAELACSVQNDDQELEQLSRLVHSAGDAMASLLSPPSAGPSPAHRP
+GAAASPRGRASPGRAQLPPAGDEEEGRVFFMEDVDGAAEAPGGGVDPQETGQGREAGVGS
+PASAKREDSSNNNSVEGAALRAAAPTSCSCLDSRPHLDGWEAGAEHAETAELIAHRTGGM
+KLSATVIFNPKSPASLDSALTHPGVPGNGVPAAAEGTAGGPHRLSATATNCLLNSCVCCA
+GCAGTGEDAAAQSLRGKCGSGGVIRSAKVGAKGSAAGPLEALPAGGPDPAPSEDAPGRPE
+PEAPASDKCLAHSSGPPGDAADRLCGGVDGSHQQEEAPQEQPPPPAGPSGSTAGPCSPDT
+SSPESARVAVREEMRSRFRGSRDLIHRLFVCISGVADQLQTNYASDLRSILKTLFQVMAT
+KPETDDKEKLKKVTQTLRSAALEDCALCQETLSSSELAAKTRDGDLEDPPEWVPDEACGF
+CTACKAPFTVIRRKHHCRSCGKIFCSRCSSHSAPLPRYGQVKPVRVCTHCYMFHVTPFYS
+DKAGI
+>tr|A0A3Q1MS63|A0A3Q1MS63_BOVIN EMI domain containing 1 OS=Bos taurus OX=9913 GN=EMID1 PE=4 SV=1
+MGGPRAWALLCLGLLLPGGSAAWSVGGAPFSARRNWCSYVVTRTVSCHVQNGTYLQRVLQ
+NCPWPMSCPGNSYRTVVRPTYKVMYKMVTAREWRCCPGHSGPSCEEVTGSPGFVEPGWSG
+SATRRMALRPTGFSGCLNCSKVSELTERLKVLEAKVAVLTVTERAVPPTPGAPEDPAPLW
+GSPAVQGSPGDGGLRGLPGTRENQRPPLLPRDDRVGARGLPGPAGPKGDPGSRGPMGMRG
+PPGLQGPPGSPGQAGAVGIPGERGPPGPPGPPGPPGPPAPVGPPYARISQHGDPILSNTF
+TETSSHWPQGPAGPPGLPGPMGPPGLPGPMGIPGSPGHMGEPGPKGDPGEKSHWNQRGRG
+QASPAQAPPASCGARGEDTRPATGSWPPGAETRETEGGGGPLGRASQASLPSLACASCLW
+GLPAHIY
+>tr|F1MS92|F1MS92_BOVIN Zinc finger protein 432 OS=Bos taurus OX=9913 GN=ZNF432 PE=4 SV=3
+MIQAQEILSFKDVAVDFTWEEWQLLTPAQKTLYRDVMLENYSNLVSVAPAQFSGTKSSII
+SHKRYRVSKSDVLFKLEQGEERWMTEDEIHSHTWPETGNIGNHLQVDWENKKMLKGIEQY
+QERNTFGNPVLQSQSYFCFRQNHDMFEFYIKTLKTNSSLVIQSQSYEIKNSAKCNGDGKS
+FLFGKHEKCHSPVKCPVSAKPISTKSQVIKHQITHNIEKAHICSECGKAFVKKSQLTDHQ
+RVHTGEKPYGCNLCAKVFSRKSRLNEHQRIHEREKSFICSDCGKVFITKSRLIEHQRTHT
+GEKPYVCSDCGKGFPGKRNLILHQRNHTGEKSYVCSECGKGFTAKSMLTIHQRTHTGEKP
+YICSECGKGFTTKHYVIIHQRNHTGEKPYICNECGKGFIMKSRLTEHQRIHTGEKPYMCN
+ECGKGFSRKGNLIVHQKTHTVEKSYVCSECGKGFTLKSMLTVHQRTHTGEKPYICSECGK
+GFPLKSRLVVHQRTHTGEKPYRCSECGKGFVVNSALMIHQRTHTGEKPYTCNECGKEFAF
+KSNLVVHQRTHTGEKPFTCSECGKGFTMKRYLIVHQQIHMGEKSYICSECGKGFVMETEL
+ILHQQIHTGEKPYACSECGKGFFVKSRLVVHQRTHTGEKPFVCSDCGKGFSSKRNLLVHQ
+RTHNGNKPLKR
+>tr|A0A3Q1LVI3|A0A3Q1LVI3_BOVIN Trinucleotide repeat containing 18 OS=Bos taurus OX=9913 GN=TNRC18 PE=4 SV=1
+MDGRDFGPPRSVHGPPPPLLSGLAMDSHRVGAATAGRLPASGLPGPLPPGKYMAGLNLHP
+HPGEAFLGSFVAGGMGPAASSHGSPVPLPSDLSFRSPTPSNLPMVQLWAAHAHEDGFYLP
+APGAPGAPGTLHSHAASSRTPGGHSSGTSTKGASREGPAKERTGRSGEPPPLFGKKDPRA
+REEAAGPRGVVDLTQEARAEGRQDRGPPRLLERLSPFLAEPGPLRAPGSATAESKGKNPP
+PPLSLSLCNGTGTGDVGLPALIAEGSRGTKDIARQDESARLRRAEALLPGPCPCPSPLPP
+PPPPPSKGPPAPSAASGAFAAPQPAPTGVYTIFPAAASREPGREHRVVAPTFVPSVEAFD
+ERPGPIQIASQARDSRAREREVGRPGVLQGPPGSPRPPPDRPESLREKSSVIRSLKRPPP
+ADAPPARAARASPDPRAYLPAKELLKPEAEPRPCERALRGPAATAASQQAAKLFGLEPGR
+PPPPAGPEHKWKPFELGNFATTQMAVLAAQHHHASRAEEEAAAAASKKAYLDPGGALPRA
+VATCARPGADIHAAAHGPGEASAMQSLIKYSGSFAREAVTVRPGGCGKKSPFGGLGTMKP
+EPAPTAAPRAQTRLPHPGGPAASSGRQLKRDPERPESAKAFGREGSGAQGEAEVRHPPVG
+IAVAVARQKDSGGSGRLAPGLADQERSLSLSNVKGHGRADEDCGDDRARHREERLLGARL
+DRDQEKLLRESKELADLARLHPTSCAANGLNPNLMVTGGPALAGSGRWSADPAAHLATHP
+WLPRSGSTSMWLAGHPYGLGPPSLHQGMTPAFPPGLGGSLPSAYQFVRDPQSGQLVVIPS
+DHLPHFAELMERAAVPPLWPALYPPGRSSLHHAQQLQLFSQQHFLRQQEFLYLQQQAAQA
+LELQRSAQLVQERLKAQEQRAEMEEKVSKRSLEAAGKAGLGAAGPGLLPRKPPGLSTGPT
+GTYGKAMSPPPSPRASPVAALKAKVIQKLEDVSKPPAYAYPATPSSHPSSPPPASPPPTP
+GIARKEEAPENVVEKKDLELEKEAPSPFQALFSDIPARYPFQALPPHYGRPYPFLLQPTA
+AADADGLAPDVPLPADGPERLALSPEDKPLRLSPSKVPEPLREVPDEEPLVEREVKAEVE
+DMDEEPAQLPSLESPLTLPPEDALAAPSPAGGLLEAQALSASGQGPEEPPGCPDFAEGAE
+ARVDSPGLTESCAAAPDLGPRLTPEPLVEAKEEPVEVPVDMPVAVPAPLAEVVPGEEDLA
+QTAPSEPRPSLELPDCDVPAGEGECPRLEAPEAGPVPGGTCFLEEAGSEEFLPSLEDPLA
+GMNALAAAAELPQARPLPSPGTGAPALETLEAAQSLVLEQSFLHGITLLSEIAELELEKR
+SQEAAGAERGPPVRPSLESLLAASSHVLKEVLDGPFVDPLKNLRLPRELNPNKKYSWMQK
+KDERMYAMKSSLESMDAMELDFRMRLAEVQRQYKEKQRELVKLQRRRDSEDRREEPHRSL
+ARRGPGRPRKRTHALSALSPPRKRGKSRIHSGKLSGKPLLTSDDYELGAGMRKRHKGPEE
+DHDALGGAGKARGRNQPWDEHETSSDLMSQLKIKKKKMASDQEQLASKLDKALSLTKQDK
+LKSPFKFSDGSGGKSKASGGCGRYLTPYDSLLGKDRKALAKGLSLSLKASREGKHKRAAK
+ARKMEVGFKARGQPKSTHSPFASEVSSYSYNTDSEEEEEFLKDEWAAQGPSSSKLTPSLL
+CGMVAKNSKPAGGPKLTRRGLAGARTLKPKPAAGRKQPFCLLLQEVEPRSSFSDSSEDSF
+DQDESSEEEDEDDEPEEDEAAGGYRLGARERALSPGLEESGLGLLARFAASALPSPTVGP
+SLSVVQLEAKQKARKKEERQSLMGTEFEYTDSESEVKVRKRSPAGLLRPKKGLGEPGPAL
+AAPGARTPGPSSPDKAKLAAEKGRKARKSRGPKEPGPEAGPEASDDDLWTRRRSERIFLH
+DASAPPAPSSAAPTAAKPGRCAKAGLPSPRKDAGRARDRKDPRKKKKGKEAGSGASLPPP
+RVPALPTEARAPHTSSPASAKRSKAKAKAKEVKKENRGKGGAVSKLMESMAAEEDFEPNQ
+DSSFSEDEHLPRGGAAERPLTPAPRSCIIDKDELKDGLRVLIPMDDKLLYAGHVQTLHSP
+DIYRVVVEGERGNRPHIYCLEQLLQEAIIDVRPASTRYLPQGTRIAAYWSQQYRCLYPGT
+VVRGLLGLEDDGDLITVEFDDGDTGRIPLSHIRLLPPDYKIQCAEPSPALLVPSAKRRSR
+KTSKDAGESKDGAAAAPEEPGAKARGRGRKPSTKAKSDRAAVLEEGGSTDDVPSTPLVLE
+PVSTPGSKKSPPEPVDKRAKTPKARPAPPQPSPAPSTFASCPAPEPFGELPTPAAALAPA
+PLVTVPITMPATRPKPKKARAAEESASKGARRPGEEAELLVKLDHEGVTSPKSKKAKEAL
+LLREEPKGLLGLGSYAPAAGSPEPKAARPKAADGDLAQEPAVGLAFEDSGTPKSPDKGQA
+EQDGAEESESGSSSGGSSSDSSSSSSETEGEEDGQGGGGRTCSASSSRASSSSSSSSSSS
+SSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSTTDDSSCSSDDEAA
+PAPTAGPSAPPALPSKAPKQAGKARSPGKKAPAPAAQAPPPQPTPPLPPKAQAGAKSRPK
+KREGVHLPTTKELAKRQRLPSVENRPKIAAFLPARQLWKWFGKPTQRRGMKGKARKLFYK
+AIVRGKEMIRIGDCAVFLSAGRPNLPYIGRIQSMWESWGSNMVVRVKWFYHPEETSPGKR
+LHEGQPWDQKSGRSLPASLRASSQRKDFMERALYQSSHVDENDVQTVSHKCLVVGLEQYE
+QMLQTKKHQDSEGLYYLAGTYEPTTGMIFSTDGVPVLC
+>tr|F1MRD4|F1MRD4_BOVIN Alpha-actinin-2 OS=Bos taurus OX=9913 GN=ACTN2 PE=4 SV=1
+QTFTAWCNSHLRKAGTQIENIEEDFRNGLKLMLLLEVISGERLPKPDRGKMRFHKIANVN
+KALDYIASKGVKLVSIGAEEIVDGNVKMTLGMIWTIILRFAIQDISVEETSAKEGLLLWC
+QRKTAPYRNVNIQNFHTSWKDGLGLCALIHRHRPDLIDYSKLNKDDPIGNINLAMEIAEK
+HLDIPKMLDAEDIVNTPKPDERAIMTYVSCFYHAFAGAEQAETAANRICKVLAVNQENER
+LMEEYERLASELLEWIRRTIPWLENRTPEKTMQAMQKKLEDFRDYRRKHKPPKVQEKCQL
+EINFNTLQTKLRISNRPAFMPSEGKMVSDIAGAWQRLEQAEKGYEEWLLNEIRRLERVEH
+LAEKFRQKASTHETWAYGKEQILLQKDYESSTLTEVRALLRKHEAFESDLAAHQDRVEQI
+AAIAQELNELDYHDAVNVNDRCQKICDQWDRLGTLTQKRREALERTEKLLETIDQLHLEF
+AKRAAPFNNWMEGAMEDLQDMFIVHSIEEIQSLITAHEQFKATLPEADGERQSILAIQNE
+VEKVIQSYSIRISSSNPYSTVTVDEIRSKWDKVKQLVPIRDQSLQEELARQHANERLRRQ
+FAAQANAIGPWIQNKMEEIARSSIQITGALEDQMNQLKQYEHNIINYKNNIDKLEGDHQL
+IQEALVFDNKHTNYTMEHIRVGWELLLTTIARTINEVETQILTRDAKGITQEQMNEFRAS
+FNHFDRRKNGLMDHEDFRACLISMGYDLGEAEFARIMTLVDPNGQGTVTFQSFIDFMTRE
+TADTDTAEQVIASFRILASDKPYILAEELRRELPPDQAQYCIKRMPAYSGPGSVPGALDY
+TAFSSALYGESDL
+>tr|F1MKB9|F1MKB9_BOVIN DS cell adhesion molecule OS=Bos taurus OX=9913 GN=DSCAM PE=4 SV=2
+CMLPDSSEFLITSTGALYIKDVQNEDGLYNYRCVTRHRYTGETRQSNSARLFVSDPANSA
+PSILDGFDHRKAMAGQRVELPCKALGHPEPDYRWLKDNMPLELSGRFQKTMTGLLIENTR
+PSDSGSYVCEVSNRYGTAKVIGRLYVKQPLKATISPRKVKSSVGSQVSLSCSVTGSEDQE
+LSWYRNGEILNPGKNVRITGINHENLIMDHMVRSDGGAYQCFVRKDRLSAQDFVQVVLED
+GTPKIISAFSEKVVSPAEAVSLMCNVKGTPLPTITWTLDDDPILKGGSHRISQMITSEGN
+VVSYLNISSSQVRDGGVYRCTANNSAGVVLYQARINVRGPASIRPMKNITAIAGRDTYIH
+CRVIGYPYYSIKWYKNSNLLPFNHRQVAFENNGTLKLSDVQKEVDEGEYTCNVLVQPQLS
+TSQSVHVTVKVPPFIQPFEFPRFSIGQRVFIPCVVVSGDLPITITWQKDGRPIPASLGVT
+IDNIDFTSSLRISNLSLMHNGNYTCIARNEAAAVEHQSQLIVRVPPKFVVQPRDQDGIYG
+KAVILNCSAEGYPVPTIVWKFSKGAGVPQFQPIALNGRIQVLSNGSLLIKHVVEEDSGYY
+LCKVSNDVGADVSKSMYLTVKIPAMITSYPNTTLATQGQKKEMSCTAHGEKPIIVRWEKE
+DRIINPEMARYLVSTKEVGEEVISTLQILPTVREDSGFFSCHAINSYGEDRGIIQLTVQE
+PPDPPEIEIKDVKARTITLRWTMGFDGNSPITGYDIECKNKSDSWDSAQRTKDVSPQLNS
+ATIIDIHPSSTYSIRMYAKNRIGKSEPSNELSITADEAAPDGPPQEVHLEPISSQSIRVT
+WKAPKKHLQNGIIRGYQIGYREYSTGGNFQFNIISIDTTGDSEIYTLDNLNKFTQYGLVV
+QACNRAGTGPSSQEIITTTLEDVPSYPPENVQAIATSPESISISWSTLSKEALNGILQGF
+RVIYWANLMDGELGEIKNVTTTQPSLELDGLEKYTNYSIQVLAFTRAGDGVRSEQIFTRT
+KEDVPGPPAGVKAAAASASMVFVSWLPPLKLNGIIRKYTVFCSHPYPTVISEFEASPDSF
+SYRIPNLSRNRQYSVWVVAVTSAGRGNSSEIITVEPVAKAPARILTFSGTVTTPWMKDIA
+LPCKAVGDPSPAVKWMKDSNGTPSLVTIDGRRSVFSNGSFVIRTVKAEDSGYYSCIANNN
+WGSDEIILNLQVQVPPDQPRLTVSKTTSSSITLSWLPGDNGGSSIRGYILQYSEDNSEQW
+GSFPISPSERSYRLENLKCGTWYKFTLTAQNGVGPGRISEIIEAKTLGKEPQFSKEQELF
+ASINTTRVRLNLIGWNDGGCPITSFTLEYRPFGTTVWTTAQRTSLSKSYILYDLQEATWY
+ELQMRVCNSAGCAEKQTNFATLNYDGSTIPPLIKSVVQSDEGLTTNEGLKMLVTISCILV
+GVLMLFVLLLVVRRRRREQRLKRLRDAKSLAEMLMSKNTRTSDTLSKQQQTLRMHIDIPR
+AQLLIEERDTMETIDDRSTVLLTDADFGEAAKQKSLTVTHTVHYQSVSQATGPLVDVSDA
+RPGTNPTTRRNAKAGPTARNRYASQWTLNRPHPTVSTHTLTTDWRLPTPRAAGSMDKESD
+SYSVSPSQDTDRARSSMVSTESASSTYEELARAYEHAKMEEQLRHAKFTITECFISDTSS
+EQLTAGTNEYTDSLTSSTPSESGICRFTASPPKPQDGGRVMNMAVPKAHRPGDLIHLPPY
+LRMDFLLNRSGPGTSRDPSLGQACLEPQKSRTLKRPPVLEPIPMEAASSTASTREGQQWQ
+QGAVATLPQREGAELGQAAKMSSSQESLLDSRGHLKGNNPYAKSYTLV
+>tr|A0A3Q1MNB8|A0A3Q1MNB8_BOVIN Myelin expression factor 2 OS=Bos taurus OX=9913 GN=MYEF2 PE=4 SV=1
+MADADKPEVLRAAGDDSPHRQPSEPPGEPRREPHPPEQEKQPPQHSSSSNGVKMENDESV
+KEEKSELKEKSTGNKKANRFHPYSKDKNSGTGEKKGPNRNRVFISNIPYDMKWQAIKDLM
+REKVGEVTYVELFKDAEGKSRVSGVVEFKDEEFVKKALETMNKYDLSGRPLNIKEDPDGE
+NARRALQRTGGSFPGGHVPDMGSGLMNLPPSILNNPNIPPEVISNLQAGRLGSTIFVANL
+DFKVGWKKLKEVFSIAGTVKRADIKEDKDGKSRGMGTVTFEQAIEAVQAISMFNGQFLFD
+RPMHVKMDDKSVPHEDYRSHDSKAPQLPRGLGGIGMGLGPGGQPISASQLNIGGVMGNLG
+PSGMGMDGPGFGGMNRIGGGIGFGGLEAMNSMGGFGGVGRMGELYRGAMTSSMERDFGRG
+DIGINRGFGDSFGRLGGGMGGMNSVTGGMGMGLDRMSSTFDRMGPGIGAILERSIDMDRG
+FLSGPMGSGMRDRIGSKGNQIFVRNLPFDLTWQKLKEKFSQCGHVMFAEIKMENGKSKGC
+GTVRFDSPESAEKACRIMNGIKISGREIDVRLDRNA
+>tr|A0A3Q1LI62|A0A3Q1LI62_BOVIN Dipeptidyl peptidase 9 OS=Bos taurus OX=9913 GN=DPP9 PE=3 SV=1
+MRKVKKLRLDNVNTGHWRSFSLNSQGAERMATGALTSERGDAAETEDPASRFQVQKHSWE
+GLRSIIHGSRKNSGLVVNKAPHDFQFVQKTDESGPHSHRLYYLGMPYGSRENSLLYSEIP
+RKVRKEALLLLSWKQMLDHFQATPHHGVYSREEELLRERKRLGVFGITSYDFHSESGLFL
+FQASNSLFHCRDGGKNGFMVSPMKPLEIKTQCSGPRMDPKICPADPAFFSFINNSDLWVA
+NIETGEERRLTFCHKGLSSVLDDPKSAGVATFVIQEEFDRFTGYWWCPTASWEGSEGCKT
+LRILYEEVDESEVEIIHVPSPALEERKTDSYRYPRTGSKNPRIALKLAEFQTDSQGKIVS
+TQEKELVQPFSLLFPKVEYLARAGWTQDGKYAWAMFLDRPQQRLQLVLLPPALFIPTTDN
+EEQRAAFARAVPRDVQPYVVYEEVTDVWINVHDIFYPFPQAEGVDELCFIRANECKTGFC
+HLYKVTAVLRPRGYDWTQPFSPGDDEFKCPIKEEIALTSGEWEVLARHGSKIWVNEETKL
+VYFQGTKDTPLEHHLYVVSYEVAGEIVRLTTPGFSHSCSMSQNFDMFISHYSSVGTPPCV
+HVYKLSGPDDDPLHKQPRFWASMMEAASCPPDYVPPEIFHFHTRSDVRLYGMIYKPHAVQ
+PGRKHPTVLFVYGGPQVQLVNNSFKGIKYLRLNTLASLGYAVVVIDGRGSCQRGLRFEGA
+LKNQMGQVEIEDQVEGLQFVAEKYGFIDLSRVAIHGWSYGGFLSLMGLIHKPQVFKQPHG
+DEPPSSLPATADPRMASGCTKPRQQLSVGG
+>tr|A0A3Q1NIT1|A0A3Q1NIT1_BOVIN Annexin OS=Bos taurus OX=9913 GN=ANXA7 PE=1 SV=1
+MSYPGYPPTGYPPFPGYPPTGQESSFPPPGQYPYPSGFPPMGGGAYPPAPSSGYPGAGGY
+PAPGGYPAPGGYPGAPQPGGAPSYPGGQGFGAPPGGAGFPGYPQPPTQSYGGGPAQVPLP
+GGFPGGAMPSQYPGGQSPYPSQINTESFPSYPVFSPVSWDYSSEPAPMTQGTHGTIRPAA
+NFDAMRDAEVLRKAMKGFGTDEQAIIDVVANRSNDQRQKIKAAFKTMYGKDLIKDLKSEL
+SGNMEELILALFMPSTYYDAWSLRNAMKGAGTQERVLIEILCTRTNQEIREIVRCYQSEF
+GRDLEKDIRSDTSGHFERLLVSMCQGNRDENQNVNHQLAQEDAQRLYQAGEGRLGTDESC
+FNMILATRSFPQLKATMEAYSRMANRDLLNSVSREFSGNVESGLKTILQCALNRPAFFAE
+RLYYSMKGAGTDDSTLVRIVVTRSEIDLVQIKQMFSQMYQKTLGTMIASDTSGDYRKLLL
+AIVGQ
+>tr|A0A3Q1MUL0|A0A3Q1MUL0_BOVIN Phosphorylase b kinase regulatory subunit OS=Bos taurus OX=9913 GN=PHKB PE=3 SV=1
+LLCSPDAFLSIITGLDGFFIFPSDSVYEPLKSINLPRSDETLWDKLDHYYRIVKSTLLLY
+QSPTTGLFPTKTCGNDQKAKIHDSLYCAAGAWALALAYRRIDDDKGRTHELEHSAIKCMR
+GILYCYMRQADKVQQFKQDPRPTTCLHSIFNVHTGDEILSYEEYGHLQINAVSLYLLYLV
+EMISSGLQIIYNTDEVSFIQNLVFCVERVYRVPDFGVWERGSKYNNGSTELHSSSVGLAK
+AALEAINGFNLFGNQITFVAFHLCGSSWANTDAALLPCISYPAFALDDEVLFSQTLDKVI
+RKLKGKYGFKRFLRDGYRTSLEDPNRRYYKPAEIKLFDGIECEFPIFFLYMMIDGVFRGN
+PKQVKEYQDLLTPVLHHTTEGYPVIPKYYYVPADFVEHEKRNPGSQKRFPSNCGRDGKLF
+LWGQALYVIAKLLADELISPKDIDPVQRFVPLQNQRNVSMRFSNQGPLENDLVVYVALIA
+ESQRLQVFLNTYGIQTQTPQQVEPIQIWAQQELVKAYFHLGVNEKLGLCGRPDRPIGCLG
+TSKIYRILGKTVVCYPIIFDLSDFYMSQDVLLLIDDIKNALQFIKQYWKMHGRPLFLVLI
+REDNIRGSRFNPILDMLAAFKKGMIGGVKVHVDRLQTLISGAVVEQLDFLRISEIEELPE
+FKSFEELEVPKHSKVKRQSSTSNAPEQEQKPDVTMTEWRNKLTHEILQKLNDCSCLASQA
+ILLGILLKREGPNFITKEGTVSDHIERVYRRAGSKKLWLAVRYGAAFTQKFSSSIAPHIT
+TFLVHGKQVTLGAFGHEEEVISNPLSPGVIKNIIYYKCNTHDEREAVIQQELVIHIGWII
+SNNPELFSGMLKIRIGWIIHAMEYELQIRGGNKPARDLYQLSPSDVKQLLLDILQPQQNG
+RCWLNRRQIDGSLNRTPPGFYDRVWQILERTPNGIIVAGRHLPQQPTLSDMTMYEMNFSL
+LVEDMLGNIDQPKYRQIVVELLMVVSIVLERNPELEFQDKVDLDKLVKEAFHEFQKDESR
+LKEIEKQDDMTSFYNTPPLGKRGTCSYLTKVVMNLLLEGEVKPSNDDPCLVS
+>tr|A0A3Q1MEZ0|A0A3Q1MEZ0_BOVIN Phosphatidylserine decarboxylase proenzyme, mitochondrial OS=Bos taurus OX=9913 GN=PRR14L PE=3 SV=1
+MVRCPRPRPNPAAPRRDLRKVRVHVQRLRGGGGGGGRGLGDQLPRLEGPTPGGLSRRARF
+RLHFPQLALRRRLGQLSCMSKPALKLRSWPLTVLYYLLPLGALRPLSRVGWRPVSRVALY
+KSVPTRLLSRAWGRLNQVELPHWLRRPVYSLYIWTFGVNMKEAAVEDLHHYRNLSEFFRR
+KLKPQARPVCGLHSVISPSDGKILNFGQVKNCEVEQVKGVTYSLESFLGPRTPSEDLPFP
+PATPHSSFRSQLVTREGNELYHCVIYLAPGDYHCFHSPTDWTVSHRRHFPGSLMSVNPGM
+ARWIKELFCHNERVVLTGDWKHGFFSLTAVGATNVGSIRIYFDRDLHTNSPRYSKGSYND
+FSFVTHANKEGIPMRKGEHLGEFNLGSTIVLIFEAPKDFNFRLQAGQKIRFGEALGSL
+>tr|F1MIT6|F1MIT6_BOVIN Vasoactive intestinal peptide receptor 2 OS=Bos taurus OX=9913 GN=VIPR2 PE=3 SV=3
+MRAPALLPPALLTCCGWLLAPVSSVHPECRFHLEIQEEETRCAELLSTQTEKHKACSGIW
+DNITCWRPADVGETVTVPCPKLFSNFYSKPGNISKNCTSDGWSETFPDFMDACGYSDPED
+ESKITFYILVKAIYTLGYSVSLISLTTGSIILCLFRKLHCTRNYIHLNLFLSFILRAVSV
+LVKDDVLYSSSGMLHCLDLPSSWVGCKLSLVFFQYCIMANFYWLLVEGLYLHTLLAVIFA
+PGRRFRAYLLIGWGIPTVCTGAWTVARLLLEDTGCWDTNDHSVPWWVIRTPILISIIVNF
+ILFVSIIRILLQKLTSPDVGGNDQSQYKRLAKSTLLLIPLFGVHYMVFAVFPIRISSKYQ
+ILFELCLGSFQGLVVAVLYCFLNSEVQSELRRTWRGLWPSRPSARDYRLHSSSISRTGSD
+GALQGPRGSRAPSLLQTETSVI
+>tr|A0A3Q1M3R4|A0A3Q1M3R4_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MNKASASDGIPVELFQILEDDAVKVLHSIRQQVWKTQQWTQDWKRSVFIPIPKKGNDKEC
+SNYRTIALISHASKVMLKILQARLQQYVNRELPDVQAGFRKGRETRDQIANILWIIEKAR
+EFQKNIYFCFIDYAKAFDCVDHNKLWKILKEMGIPDHLTCLLRNLYAGQEATVRTGLGTT
+DWFQIGKGVRQGCILSPCLFNFQAEYIMRNAGLEEAQAGIKIARRNINNLRYADDTTLVA
+ESEEELKSLLMKVKEESKKVGLKLNIQKTKIMASGLITSWEIDGETVETVSDFIFLGSKI
+TADGDCSLEIKRCLLLRRRVMTNLDSIFKSRDVTWPTKVCLVKAMVFPVVMYGCESWTVK
+KAECQRIDAFELWCWRRLLRVPWTARRSNQSILKEISPGCSLEGLMLKLQLQYFGHLMRR
+VDSLEKTLMLGGFGGRRRGQRRMRWLDGITD
+>tr|A0A3Q1M861|A0A3Q1M861_BOVIN EH domain binding protein 1 OS=Bos taurus OX=9913 GN=EHBP1 PE=4 SV=1
+MASVWKRLQRVGKHASKFQFVASYQELMVECTKKWQPDKLVVVWTRRSRRKSSKAHSWQP
+GIKNPYRGVVVWPVPENIEITVTLFKDPHAEEFEDKEWTFVIENESPSGRRKALATTSIN
+MKQYASPMPTQTDVKLKFKPLSKKVVSATLQFSLSCIFLREGKATDEDMQSLASLMSMKQ
+ADIGNLDDFEEDNEDDDENRVNQEEKAAKITELINKLNFLDEAEKDLATVNLNPFGDPDV
+AELNPFGDPDSEEQITETISPKKPEESFYSNSYNPFKEVQTPQYLNPFDEPETFVTVKDS
+PPQSTKRKNIRPVDMSKYLYADSSKAEEEELDESNPFYEPKPTPPPNNLLSPVQELETER
+RVKRKAPAPPAISPKTGGVNENTGVSAGRDLATSPKPSPIPSPILGRKPNASQSLLVWCK
+EVTKNYRGVKITNFTTSWRNGLSFCAILHHFRPDLIDYKSLNPQDIKENNKKAYDGFASI
+GISRLLEPSDMVLLAIPDKLTVMTYLYQIRAHFSGQELNVVQIEENSSKSTYKVGNYETD
+TNSSVDQEKFYAELSDLKREPPLQQPPSGAVDFISQDDSVFVNDSGVGESESEHQTPDDH
+LSPNTASPHCRRTKSDTEPQKSQQSSGRTSGSDDPGICYNTDSNHVQVSLGKKRLLKTET
+LELSDLYVSDKKKDVSPPFICEETDEQKLQNLDISGTLEQEKLDNSRPIECRSDPESPVK
+KPSLSPTPKLGYLYNRDADLAKKKRTSLRQTESDSDADRPTLNHADHSAKTVQHRMLSRQ
+EELKERARVLLEQARRDAALKAGNKQHTNAATPLCNRQLSDQQDEERRRQLRERARQLIA
+EARSGVKMSELPSYGEMAAEKLKERSKASGDENGNVEINTNEEIPEGFVVGGGDELTNLE
+SDLDTPEQDTKLADLKLKKLLDTQPQVANSLSSTAQKAVNESSEQDIKNGTEDLRTERLQ
+KATERFRNPVVFSKDATVRKTQLQSFSQYVENRPEMKRQRSIQEDTKKGNEEKAAITETQ
+RKPSEDEVLNKGFKDTSQYVVGELAALENEQKQIDTRAALVEKRLRYLMDTGRNTEEEEA
+MMQEWFMLVNKKNALIRRMNQLSLLEKEHDLERRYELLNRELRAMLAIEDWQKTEAQKRR
+EQLLLDELVALVDKRDALVRDLDAQEKQAEEEDEHLERTLEQNKGKMAKKEEKCVLQ
+>tr|A0A3Q1MH93|A0A3Q1MH93_BOVIN MAGE domain-containing protein OS=Bos taurus OX=9913 GN=LOC618701 PE=4 SV=1
+MPRGQKSKVCAREKHHQARSEIQVLHDQATTSRGEETTSSSLPDSESAPSSSSAAGTPKG
+PQGAQGTTSAAAGAIPKRSGGGGTARSRSGVGARGQVQEGENSSQASAAAASSHRDLLTK
+KAQMLVQHMLYKYKMRELIKRSEMLKAIGRRYMKQFPEILSRASEHMEIVFGLVLKEVRP
+NSHCYTLVSNLDFSDSESMRGDLGLPKNGLLMPLLSFIYLNGPRISEEHIWKFLNILGIY
+DGRRHFIFGDTRKLITEDLVREEYLEYRQVPGSNPPRYEFLWGPKALTETNKNKVLEFLA
+KVNDTVPDACLAQYEESFRQEVESTGARAAARVGTSASGMAGTSASGMAGTSASGMAGMS
+AWVTAGMSASVWDGPSAAAQAEYLASARPGISAAASAGPSASASAHARAASSHSSRP
+>tr|E1BNT2|E1BNT2_BOVIN TSC complex subunit 2 OS=Bos taurus OX=9913 GN=TSC2 PE=4 SV=3
+MAKPASKDSGLKEKFKILLGLGTPRPNPRSAEGKQTEFIITAEILRELSVECGLNNRIRV
+IGQICEVARTKKFEEHAVEALWKAVSDLLQPERPPEARHAVLALLKAIVQGQGDRLGVLR
+ALFFKVIKDYPSNEDLHERLEVFKALTDNGRHITYLEEELADFVLQWMDVGLSSEFLLVL
+VNLVKFNSCYLDEYIASMVHMVCLLCVQTVSSVDIEVSLQVLDAVVCYNCLPAESLPLFI
+VTLCRTINVKELCEPCWKLMRNLLGTHLGHSAIYHMCRIMEDRAYMEDAPLLRGAVFFVG
+MALWGAHRLYSLKNSPTSVLPSFYEAMTCPNEVVSYEIVLSITRLIKKYRRELQAVTWDI
+LLNIIERLLQQLQSLDSPELSAIVHDLLSTVEELCDQNEFHGSQERYFELVERCADQRPE
+SSLLNLITYRAQSIHPAKDGWIHNLQLLMERFFRNESRSAVRIKVLDVLSFVLLINRQFY
+EEELINSVVISQLSHIPEDRDHQVRKLATQLLVDLAEGCHTHHFNSLLDIVEKVIARSLS
+PPPELEERDVAAYSASLEDVKTAVLGLLVILQTKLYALPASHATRVYETLVSHIQLHYRH
+SYTLPIASSIRLQAFDFLLQLRADSLHRLGLPSKDGLVRFSPYCVCDCLETERSSEKKAG
+CPLSPPAGPPGPAPAGPAVRLGSLPYSLLFRVLLQCLKQETDWKVLKLVLSKLPESLRYK
+VLIFTSPCSVDQLSAALCSMLSGPKTLERLRGTPEGFSRTDLHLAVVPVLTALISYHNYL
+DKTRQREMVYCLEQGLIYRCASQCVVALAVCSVEMPDVILKALPVLVVKLTHISATASMA
+IPLLEFLSTLARLPHLYRNFAAEQYASVFAISLPYTNPSKFNQYIVCLAHHVIAMWFIRC
+RLPFRKDFVPYITKGLRSNVLLSFDDTPEKDSFRARSTSLNERPKSLRIARPPKQGLNNS
+PPVKELKESSAADAFRCRSISVSEHVVRSRIQTSLTSASLGSADENSMAQADDNLKNLHL
+ELTETCLDMMARYVFSNFTAVPKRSPVGEFLLAGGRTKTWLVGNKLVTVTTSVGTGTRSL
+LGLDSGELQGGPELSSDPSMHVRQTKEAPAKLESQAGQQVYHGARDRVRSMSGGHGLRVG
+ALDAPACYFPSSPTSPGSQTAPASQPEKASAGSPLPAQKEKTNLAAYVPLLTQGWAEILV
+RRPTGNTSWLMSLENPLSPFSSDINSMPLQELSNALMAAERFKERRDTALYKSLSVPAAG
+SAKPSPPPRSNTVASFSSLCQSRCQGKLHRSISWADSAVVLEEGGPGEAGLSAEPPELED
+FEATLGSDGRCGRSDAFSRSSSTSSQEEKSFHAEELPPGGIPIERAVSEGSRASVDLAFQ
+PSQPLSKSSSSPELQTLQDILGDPGDKAEVGRLSPEAKARSQSGILDGEGAAWSAPGEER
+RGRGPAQPEGPLPSSCPRSPSGLRPRGYTISDSAPSRRGKRVERDAFKNRAGTSNTEKVP
+GINPSFVFLQLYHSPFFGDESNKPILLPNESFERSVQLLDQIPSYDTHKIAVLYVGEGQS
+NSELAILSNEHGSYRYTEFLTGLGKLIELKDCQPDKVYLGGLDVCGEDGQFTYCWHDDIM
+QAVFHIATLMPTKDVDKHRCDKKRHLGNDFVSIVYNDSGEDFKLGTIKGQFNFVHVIITP
+LDYECNLVSLQCRKDMEGLVDTSMAKIVSDRNLPFVARQMALHANMASQVHHSRSNPTDI
+YPSKWIARLRHIKRLRQRIREEAHYSSASLPLMQTHPPGHAKAPAQAPAESTPTYETGQR
+KRLVSSVDDFTEFV
+>tr|G5E574|G5E574_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=2
+MAPTLPALLCLGTLPKPTIWAEPGSVVSWGSPVTIWCQGPPGAQEFHLDKEGNPVFWDRE
+KPPGPGDKARFSIHYMRDDHAGSYQCYYGTRTGWSERSDPLQLVVTGPYVKPSLSALPSP
+VVMSGGNVTLQCGSRRGFNSFLLTKEGENESSRALDGQRMLDGHTQALFPVGPVTPGHRW
+TFRCYGFYRHNPRVWSAPSDPLELLVSGEESRPDPIHLSGQSGTRLCTGPTGDVLIGVSV
+TFVLLLLALGHQTEGNQGHGNSQLKKCFPTIWRFLKK
+>tr|A0A3Q1M0D2|A0A3Q1M0D2_BOVIN WD_REPEATS_REGION domain-containing protein OS=Bos taurus OX=9913 GN=DCAF12L2 PE=4 SV=1
+MAPQQTGSRKRKAPALQEAAAGSSSQVSAAVDGDGPLPLKKPKRPAAPRSLVNYLKGREV
+GARGRARLPGFDGELRGFAVRKLPELLRERELPLGTLNKVFASQWLNARQVVCGTKCNTL
+FVVDVQSGQITRIPLMRDRGPPPARAQPGCGIHAIQLNPSKTLLATGGENPNSLAIYQLP
+TLDPMCLGDRHGHKDWIFAIAWMSDTVAVSGSRDGTLALWKIDPDIFQGSIAWHSDAGLP
+VYAHIRPRDVENIPRASTNPSNRKVRALAFSAKNQELGAVSLDGYFHLWKARSTLSRLLS
+IRLPYCRENVCLTYCDELSLYAVGSQSHVSFLDPRQRQQNIRPLCSREGGTGVRSLSFYE
+HIVTVGTGHGSLLFYDVRAQKFLEERASASPYSSPGHAGRKLKLTCGRGWLNHDDLWVNY
+FGGIGEFPNALYTHCYNWPEMKLFVAGGPLPSGLHGNYAGLWS
+>tr|G5E5V1|G5E5V1_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=2
+QAVLTQPSSVSGSLGQRVSITCTGSSSNVGNGYVSWFQQIPGSAPRTLIYGDTSRASGVP
+DRFSGSRSGNTATLTISSLQAEDEADYFCAAGDSSSSNATVLQARGEVRQKPALPQVTGL
+PGLKHLLPMQTLLLLV
+>tr|A0A452DIV5|A0A452DIV5_BOVIN LisH domain-containing protein ARMC9 OS=Bos taurus OX=9913 GN=ARMC9 PE=4 SV=1
+MVDVLAHESELLGLVKEYLDFAEFEDTLKTFLKECKIKGKPLSKSTCGSLRDPKSLKFQR
+DLLAAFDSGDQKVFFRLWEEHIPRPIRDGDSLAQKLEFYLHIHFAIYLLKHSAGRPDKED
+LDERISYFKTFLETKGAALSQTTEFLPFYALPFVPNPMAHPSFKELFQDSWTSELKLKLE
+KFLALMFKASNTPKLLTLYKENGQSNKDVLQQLHQQLVEAERRSMTYLKRYNRIQADYHN
+LIGVTAELVDSLEATVSGKMITPEYLQSVCVRLFSNQMRQSLAHSVDFTRPGTASTMLRA
+SLAPVKLKDVPLLPSLDYEKLKKDLILGSDRLKAFLLQALRWRLTTSHPGEQRETVLQAY
+ISNDLLDCHSHSQRSVLQLLQSKSEVVRQYTARLINAFASLAEGRRYLAQSTKVLRMLEE
+RLKEEDKDVITRENVLGALQKFSLRRPLQTAMIQDGLIFWLIDILKEPDCLSDYTLEYSV
+ALLMNLCLRSAGKNMCAKVAGLVLKVLSDLLGHENHEIQPYVNGALYSILSIPSIREEAR
+AMGMEDILRCFIKEGNAEMIRQIEFIIKQLNAEELLDGVLESDDDEDEDDEIMTNTGKAR
+RRGTAGVQWGGPEPLRRPVTPGGHRTGYPA
+>tr|F1N1W5|F1N1W5_BOVIN Deaminated glutathione amidase OS=Bos taurus OX=9913 GN=NIT1 PE=4 SV=1
+MLGFIIRPPHQLLSLLSCPGLRIPRFSVLCAPPRLRTMAASSSFSELPLVAVCQVTSTPD
+KEQNFKTCAELIREAARLGACLAFLPEAFDFIARDPEETRRLSEPLSGNLLEEYTQLARE
+CGLWLSLGGFHERGQDWEQTQKIYNCHVILNNMGSVVATYRKTHLCDVEIPGQGPMRESN
+STIPGPSLESPISTPAGKIGLAICYDMRFPELSLALVQAGAEILTYPSAFGSVTGPAHWE
+VLLRARAIETQCYVVAAAQCGRHHEKRASYGHSMVVDPWGTVVARCSEGPGLCLARIDLN
+YLQQLRKQLPVFQHRRPDLYGNLGHPLS
+>tr|A0A3Q1LGE3|A0A3Q1LGE3_BOVIN HEPACAM family member 2 OS=Bos taurus OX=9913 GN=HEPACAM2 PE=4 SV=1
+MWLRVFTAFLSFTAGACSGLKVAVPSHTVHGIRGQALYLPVHYGFHTPASDIQVIWLFER
+PHTMPKYLLGSVNKSVVPDLEYQHKFTMMPPNASLLINPLQFTDEGNYIVKVNIQGNGTL
+SASQKIQVTVDDPVTKPVVQIQPSSGAVEYVGNMTLTCLVEGGSRRVYQWLKNGRPVHTS
+STNSFSLQNSSLHIAPVTKEDIGNYSCLVKNPVSRMESDIIMPTIYYGPYGLRVNSDRGL
+KVGEVFTVDIGEAILFDCSADSYPPNTYSWIQRTNNATYVIKHGPRLEVASEKIAQKTTD
+YMCCAYNNITGRRDETHFTVIITSVGIEKLAQKGKSLSPLASITGISLFLIISMCLLFLW
+KKFQPYKGRPETEYRKARTFSGHEDALDDFGIYEFVAFPDASGVARMPARSVPACDGVPG
+QDLHSTIYEVIHHIPAQQQDHPESSSQDGEEDACLDRHDEAGLQELGHCKEQDKGKHSRA
+KQCI
+>tr|E1BC98|E1BC98_BOVIN Transcription elongation factor SPT5 OS=Bos taurus OX=9913 GN=SUPT5H PE=3 SV=3
+MSDSEDSNFSEEEDSERSSDGEEAEVEEERRSAAGSEKEEEPEEEEEEEEEEEYDEEEEE
+EDDDRPPKKPRHGGFILDEADVDDEYEDEDQWEDGAEDILEKASNIDNVVLDEDRSGARR
+LQNLWRDQREEELGEYYMKKYAKSSVGETVYGGSDELSDDITQQQLLPGVKDPNLWTVKC
+KIGEERATAISLMRKFIAYQFTDTPLQIKSVVAPEHVKGYIYVEAYKQTHVKQAIEGVGN
+LRLGYWNQQMVPIKEMTDVLKVVKEVANLKPKSWVRLKRGIYKDDIAQVDYVEPSQNTIS
+LKMIPRIDYDRIKARMSLKDWFAKRKKFKRPPQRLFDAEKIRSLGGDVASDGDFLIFEGN
+RYSRKGFLFKSFAMSAVITEGVKPTLSELEKFEDQPEGIDLEVVTESTGKEREHNFQPGD
+NVEVCEGELINLQGKILSVDGNKITIMPKHEDLKDMLEFPAQELRKYFKMGDHVKVIAGR
+FEGDTGLIVRVEENFVILFSDLTMHELKVLPRDLQLCSETASGVDVGGQHEWGELVQLDP
+QTVGVIVRLERETFQVLNMYGKVVTVRHQAVTRKKDNRFAVALDSEQNNIHVKDIVKVID
+GPHSGREGEIRHLFRSFAFLHCKKLVENGGMFVCKTRHLVLAGGSKPRDVTNFTVGGFAP
+MSPRISSPMHPSAGGQRGGFGSPGGGGGGMSRGRGRRDNELIGQTVRISQGPYKGYIGVV
+KDATESTARVELHSTCQTISVDRQRLTTVGSRRPGGMASTYGRTPMYGSQTPMYGSGSRT
+PMYGSQTPLQDGSRTPHYGSQTPLHDGSRTPAQSGAWDPNNPNTPSRAEEEYEYAFDDEP
+TPSPQAYGGTPNPQTPGYPDPSSPQVNPQYNPQTPGTPAMYNTDQFSPYAAPSPQGSYQP
+SPSPQSYHQVAPSPAGYQNTHSPASYHPTPSPMAYQASPSPSPVGYSPMTPGAPSPGGYN
+PHTPGSGIEQNSSDWVTTDIQVKVRDTYLDTQVVGQTGVIRSVTGGMCSVYLKDSEKVVS
+ISSEHLEPITPTKNNKVKVILGEDREATGVLLSIDGEDGIVRMDLDEQLKILNLRFLGKL
+LEA
+>tr|E1B766|E1B766_BOVIN C1q and TNF related 7 OS=Bos taurus OX=9913 GN=C1QTNF7 PE=4 SV=2
+MPRKEPKMFVLLYVTSFAICASGQPRSNQFKGESYSPRYICSIPGLPGPPGPPGANGSPG
+PHGRIGLPGRDGRDGRKGEKGEKGAAGLRGKAGPLGLAGEKGDQGETGKKGPMGPEGEKG
+EVGPPGPPGPKGDRGEQGDPGLPGVCRCGSIVLKSAFSVGITTSYPEERLPIIFNKVLFN
+EGEHYNPATGKFICAFPGIYYFSYDITLANKHLAIGLVHNGQYRIKTFDANTGNHDVASG
+STVIYLQPEDEVWLEIFFTDQNGLFSDPGWADSLFSGFLLYVDTDYLDSISEDDEL
+>tr|F1MXV4|F1MXV4_BOVIN Signal induced proliferation associated 1 like 1 OS=Bos taurus OX=9913 GN=SIPA1L1 PE=4 SV=3
+MTSLKRSQTERPVATERASIVGADGTPKVHTDDFYMRRFRSQNGSLGSSVMAPVGPPRSE
+GSHHITSTPGVPKMGVRARIADWPPRKENVKESSRSSQEIETSSCLESMSSKSSPVSQGS
+SVSLNSSDSAMLKSIQNTLKSKTRPSENMDSRFLMPEAYPSSPRKALRRIRQRSNSDITI
+SELDVDSFDECISPTYKTGPSLHREYGSTSSIDKQGTSGESFFDLLKGYKDDKSDRGPSP
+TKLSDFLIAGGGKGSGFSLDVIDGPISQRENLRLFKEREKPLKRRSKSETGDSSIFRKLR
+NAKGEELGKSSDLEDNRSEDSVRPWTCPKCFAHYDVQSILFDLNEAIMNRHNVIKRRNTT
+TGASAAAVASLVSGPLSHSASFSSPMGSTEDLNSKGSLSMDQGDDKSNELVMSCPYFRNE
+IGGEGERKISLSKSNSGSFSGCESASFESTLSSHCTNAGVAVLEVPKENLVLHLDRVKRY
+IVEHVDLGAYYYRKFFYQKEHWNYFGADENLGPVAVSIRREKPEETKENGSPYNYRIIFR
+TSELMTLRGSVLEDAIPSTAKHSTARGLPLKEVLEHVIPELNVQCLRLAFNTPKVTEQLM
+KLDEQGLNYQQKVGIMYCKAGQSTEEEMYNNESAGPAFEEFLQLLGERVRLKGFEKYRAQ
+LDTKTDSTGTHSLYTTYRDYEIMFHVSTMLPYTPNNKQQLLRKRHIGNDIVTIVFQEPGA
+QPFSPKNIRSHFQHVFVIVRVHNPCTDSVCYSVAVTRSRDVPSFGPPIPKGVTFPKSNVF
+RDFLLAKVINAENAAHKSEKFRAMATRTRQEYLKDLAEKNVTNTPIDPSGKFPFISLASK
+KKEKSKPYPGAELSSMGAIVWAVRAKDYNKAMEIDCLLGVSSEFIVLIEQETKSVVFNCS
+CRDVIGWTSTDASLKIFYERGECVSVESFVNNDDIKEIVKRLQFVSKGCESVEMTLRRNG
+LGQLGFHVNYEGIVADVEPYGYAWQAGLRQGSRLVEICKVAVATLSHEQMIDLLRTSVTV
+KVVIIPPHDDCTPRRSCSETYRMPVMEYKMNEGVSYEFKFPFRNNNKWQRNANKGPHSPQ
+VPSQVQSPMTSRLNAGKADGKMPPPERAANIPRSISSDGRPLERRLSPGSDIYVTVSSMA
+LARSQPCRNSPSSLSSSSETGSGGGTYRQKSMPEGFGISRRSPASIDRQNTQSDIGGSGK
+STPSWQRSEDSIADQMAYSYRGPQEFNSFVLEQHEYTEPTCHLPAVSKVLPAFRESPSGR
+LMRQDPVVHLSPNKQGHSDSHYSSHSSSNTLSSNASSAHSDEKWYDGDRTEAELNSYNFL
+QGTSADSGIDTTSYGPSHGSTASLGAATSSPRSGPGKEKVAPLWHSSSEVISMADRTLEA
+ESHGLDRKTESSLSLDIHTKSQAGSSPLTRENPTFSINDAASHTSTMSSRHSASPVVFTS
+ARSSPKEELHPATPPQLAPSFSSSSSSSSGPRTFYPRQGATSKYLIGWKKPEGTINSVGF
+MDTRKRHQSDGNEIAHPRLRASTRDLRASPKPTSKSTIEEDLKKLIDLESPTPDSQKNFK
+FHALSSPQSPFPTTPTSRRALHRTLSDESIYSGQREHFFTSRASLLDQALPNDVLFSSTY
+PSLPTSLPLRRPSYTLGMKSLHGEFSASDSSLTDVQETRRPPMPDPGLMPLPDAAADLDW
+SNLVDAAKAYEVQRASFFAASDENHRPLSAAASSDQLEDQALVQMKSYSSSKDSSPTLAS
+KVDQLEGMLKMLREDLKKEKEDKAHLQAEVQHLREDNLRLQEESQNASDKLKKFTEWVFN
+TIDMS
+>tr|A0A3Q1MJX5|A0A3Q1MJX5_BOVIN UDP-glucuronosyltransferase OS=Bos taurus OX=9913 GN=LOC530553 PE=3 SV=1
+MKTVKGFCILFMLHLCLLDSGRMGKVLVWPVDFSHWINLQFILEELHIHGHEITVLVPSQ
+NLLIDHTKVPYHVEILQLSVTEETFMEEFNSMLYEEIFELPKLSWWEMQIKLADLVKKFL
+LTNKRVCDSAITNKELLSRLQAANFDVCIADPLSFCGELVAELLNIPFVYTFRFFYGNAI
+ERLCAGLPMPSSYVPGVTSRLTDKMTFRQRLENWLLYTVSDMIYSYYIFPEWDEYYSKVL
+GKPTTLCEIMGKADMWLFRSYWDFEFPQPYLPNTEFVGGLHCKPAKPLPKEFEEFVQSSG
+KDGVVVFTLGSMIKNLSEEKSNMIASALAQIPQKVLWRYTGKKPKTLGANTRLYKWIPQN
+DLLGHPKTRAFITHCGTNGIYEAIYHGVPMVGIPMFGDQHDNLARMKAKGAAVEVDLQRM
+TSADLLNALKAVINNTSYKENAMKLSRIHHDQPVKPLDRAVFWVEFVMRHKGAKHLRPAS
+HDLNWFQYHSLDVIGFLLACVATVAFLVTKCCLFCCWKFGKTAKKKKRE
+>tr|F1MW91|F1MW91_BOVIN Dynamin-2 OS=Bos taurus OX=9913 GN=DNM2 PE=3 SV=3
+MGNRGMEELIPLVNKLQDAFSSIGQSCHLDLPQIAVVGGQSAGKSSVLENFVGRDFLPRG
+SGIVTRRPLILQLIFSKTEYAEFLHCKSRKFTDFEEVRQEIEAETDRVTGTNKGISPVPI
+NLRIYSPHVLNLTLIDLPGITKVPVGDQPQDIEYQIKDMILQFISRESSLILAVTPANMD
+LANSDALKLAKEVDPQGLRTIGVITKLDLMDEGTDARDVLENKLLPLRRGYIGVVNRSQK
+DIEGKKDIRTALAAERKFFLSHPAYRHIADRMGTPHLQKTLNQQLTNHIRESLPALRSKL
+QSQLLSLEKEVEEYKNFRPDDPTRKTKALLQMVQQFGVDFEKRIEGSGDQVDTLELSGGA
+RINRIFHERFPFELVKMEFDEKDLRREISYAIKNIHGVRTGLFTPDLAFEAIVKKQVVKL
+KEPCLKCVDLVIQELINTVRQCTSKLSSYPRLREETERIVTTYIREREGRTKDQILLLID
+IEQSYINTNHEDFIGFANAQQRSTQLNKKRAVPNQVIRRGWLTINNISLMKGGSKEYWFV
+LTAESLSWYKDEEEKEKKYMLPLDNLKIRDVEKGFMSNKHVFAIFNTEQRNVYKDLRQIE
+LACDSQEDVDSWKASFLRAGVYPEKDQAENEDGAQENTFSMDPQLERQVETIRNLVDSYV
+AIINKSIRDLMPKTIMHLMINNTKAFIHHELLAYLYSSADQSSLMEESADQAQRRDDMLR
+MYHALKEALNIIGDISTSTVSTPVPPPVDDTWIQNTSSHSPTPQRRPVSSVHPPGRPPAV
+RGPTPGPPLIPVPVGPASFSAPPIPSRPGPHPGVFANNDPFSAPPQIPSRPARIPPGIPP
+GVPSRRPPAAPSRPTIIRPAEPSLLD
+>tr|A0A3Q1MCP9|A0A3Q1MCP9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=CFAP54 PE=4 SV=1
+MEDIDVVMVAEITLRLSEILESLGNPRRKFKKFLDVSLKRGTSEIFGGSKGSIDILPIIK
+KKPEEQLFMAYELLDKAIDGINLNCMLTALPNGSSVIDHCYATHNHYIDGDMCKPVTPNN
+FIMDLHLELIQAQHRIAVVLLDQLQVLQTPTVSKNTSTKGTEKLKKPASPDCFTELSVMN
+KIRKNKLSKAIYLMQKTLLIFEKDATCSSLQNYLTEAYSLIEKTESEQSIQYSYQKYVET
+AKRKKSRVPPPPILLSRTYRSVTLKPAPFVSDVKVCWYCILGRKAEGSYGKVRLNDNHLP
+NSGEAIPADGKSVFEVKGLETNEKYVFAVAAYCSKGKLIGDAIGETTKPILVYPPLSAVT
+TRMLLTQVAYQTGNYDLAKKVFSPVWDYFVASPPQGDQSIICLSNIMTITQRRLHSDILA
+ESSSILLYLFLRNIFVTSDIKIKEENFFCDNIKGNEIFPSQQIARLIECERVLVALELSN
+YLNDSSYALQAVTQCYGLLAPIIYHNIVLVPVVQILIKCVVVLQGLPNVIHSKKHLASFE
+SVQHMIACCIFYITKILRSWKEYDLAVMIINYGKKVLDISSGCKSLFGTTDLEEMQEEGS
+SKKSCKNKKPQQVLVPEKINEQLVLLETHLLKLTKQYITTELSGAEDPIFLYPIVLNWSV
+KGAVKEVMKFKQRPRFLEFFTQVMQKCMHDEKFQPMVEITNPVYDFLKRRNESLLGIKKL
+KYKDTLSKKVIKPVKKYRAAVVEIGKTTEMKQKKKAKRKETLREFLNKNPFISEMPEHER
+NKRADVRKVAHRFLVENLNPLILSYVKKKRFHQIFLEEMPWKAQMNLYLASAHFNLLLTK
+LGERTKIKFGSSPIMVSFRSCDPTMFSLYNSGTVLPTGRMTLENYKVMLDFLLTAKKRKA
+HLPSDAEEFLAFLNSKMSGENVSKTQTVYESDSQSGLSSKEKDRSANVGLLDHFMKIFLY
+CRRAMVLAHRGGYWTLLQNCCRALWNFTQELQILHKQAVDLYKTFPISQDSFLCISVLPF
+YLGAELLIDMLIELQNTDSIKTIDEKGEFSVPSCYGNIKNDNGGSSLTFEHPLDDVNVVD
+LKWIHDFVLKSLELVYQVEKWETLVSLAIQFNAVSHERYTEQVTPLLVYAQRQLLLQISK
+CKGPDISQQACVRYETEYKQKITCRNFIGIQLKINPPTNKGTAIGQRADVLRKLIYSEYS
+RAKELLCVPVDVTDTLRCFRETLEKSKYHNRSIRHSRKLLSLFLAQTQGDKGGVNNLKFA
+TGKVEFSMGTEEMHMPTPPDLSQEHFRVFSSVEKSKLPSSQLGLVISSYYETINVLQTSN
+QRSLHVQALHELGNLLIFAQKKRAAFKCWSQALDEIFRKEDVLHTWKEIGSSLTSATDGW
+SPPGSKDYSEELLSKGGIWGCLQGAVISAKIAQFIQTLDVEKRTNCCLLSALLFQGLLRT
+TLPHPKAERCYAQYEITQLLPGIELFSDRYRADICSVIASLYYVIRELHFAKQNLVILPL
+LALYQYFVSVICQDLVKNLEARILKIEVLTDLGFFSEAFHELSQIFYAKNTPSSVPTSCK
+PTGKMKALDDLINKGMPVILTTVSQQHLLNKFLVVKAYFFINVAATINCVPEQTMKPVGH
+GVMNEKSKPNLPNLKEMCLKDEGGSLCHLTKLKENFALSTLKSILLTEAEDRLNTLLSEM
+DHLSHKDLSQCSAGELEIVVEVRLQLAAIALQRHRAAYSAAIVFSTLKLLQDSKLFKKKV
+VEDDSENCTSSESYATESKDDNFLDPISLNSREYFNIHLWLRCRLALVTAFVAQIRGIGI
+VKENDITDYVSLINEVCVEAKAAGDRELQAEFLMQAVIIGLQEKHLKADIIKSLQEVIQL
+LEGHDFISPRSHLTLVRSMLLLDDLTKAEKFKETPFSKIEKLYLLTQSHNILIEQMITFG
+ETIELPSSNTDYASPLLPLKNIYLPHVMLLAKTKMRIGHTVAKQVYYTSKKKDSSKWLPA
+LHFFEIALKLARTSGTEEHEVEAEIFFQKGKIECQILMEEKPPTMQLESLFEAIQLSLRN
+DQNSGLIRDSYLEIALLFLHMRKLKSKLSVSPLMSIKALPRRHSSIKEPVVNKHEMYSLF
+AWIAIRAAAQVSEAVLAINLLIGKKNARTDTVSQGALSNIPEFASMDLLSSYTDYLLDNY
+QVVFQTGCTFSYVSEEIHEDVDSQKKNPIKVDITWILLLRYYIHLQRINNMSKLLASLKP
+GSGMSLPDDTLLTSLFNSGLILRQKEMHFFLKRFLQLYSSSCIDEFPKELFQVMEHPPVL
+EKVLYDSASKTGVTNASLCSDLSLKTVSSTLYGDVVPPVVATQALNKELCFQWYIPPLEK
+PPKETEPMVLLLYAYNMKPLNISDVASAACNCVYVGSFWVPLKRVISVHEKLSNLTQIAE
+LSLPTTPKVTSNENLHEVEETEEKSIDAEMENMIIVCCNEIVSLFLGDEMATPLSEVPFD
+VSLPSIFSLERLFDLASGCIVSGGSLFNWVVSIIP
+>tr|E1BJT6|E1BJT6_BOVIN Caspase 2 OS=Bos taurus OX=9913 GN=CASP2 PE=3 SV=2
+MAAPSAGFQYPLQPKEQMAADRGRRMLRGCGMHPDHQEALKKNRVVLAKELLLSELLEHL
+LEKDIITLEMREHIQAKTGSFGQNVELLNLLPKRGPQAFDAFCVALRETKQSHLEELLLR
+TLSGLQPVVPPLSCDYDLSLPFPVCESCTRHKRLHLSPDAVEHSLDHGDGPPCLQVKPCT
+PEFYQTHHHLAYRLQSRPRGLALVLSNVHFTGEKDLEFRSGGDVDHSTLVTLFKLLGYKV
+HVLLDQTAQEMQEKLQNFAQLPVHRVTDSCIVALLSHGVEGGVYGVDGKLLQLQEVFRLF
+DNANCPSLQNKPKMFFIQACRGGASGSLGHLLLFTAATASLAL
+>tr|A0A3Q1MEV7|A0A3Q1MEV7_BOVIN Sortilin related receptor 1 OS=Bos taurus OX=9913 GN=SORL1 PE=4 SV=1
+MGGKGTWKRSEEMENERGEMTSHPVCLFSRQQVSLNDSHNQMVVHWAGEKSNVIVALARD
+SLALAKPKSSDVYVSYDYGRSFKRISEKLNFGEGNSSEAVIAQFYHSPADNKRYIFTDAY
+AQYLWTTFDFCNTIQGFSIPFRAADLLLHSKASNLLLGFDRSHPNKQLWKSDDFGQTWIM
+IQEHVKSVSWGIDPYDKPNTIYVERHEPSGYSTVFRSTDFFQSRENLEVILEEVRDFQLR
+DKYMFATKVVHLFGSPQPSSVQLWVSFGRKPMRAAQFVTRHPINEYYIADASEDQVFVCV
+SHSNNRTNLYISEAEGLKFSLSLENVLYYSPAGAGSDTLVRYFANEPFADFHRVEGLQGV
+YIATLISGSMNEENMRSVITFDKGGTWEFLQAPAFTEYGEKINCELSQGCSLHLAQRLSQ
+LLSLQLRRMPILSKESAPGLIIATGSVGKNLASKTNVYISSSAGARWREALPGPHYYTWG
+DHGGIIMAIAQGMETNELKYSTNEGETWKTFVFSEEPVFVYGLLTEPGEKSTVFTIFGSN
+KENIHSWLILQVNATSALGVPCTENDYKLWSPSDERGNECLLGHKTVFKRRTPHATCFNG
+EDFDRPVVVSNCSCTREDYECDFGFKMSEDLSLEVCVPDPEFSGNSFAPPVPCPVGSTYR
+RTRGYRKISGDTCSGGDVEMRLEGELVPCPLAEENEFILYAMRKSIHRYDLASGATEQLP
+LTGLRSAVALDFDYDRNCLYWSDLALDVIQRLCLNGSTGQEVIVNSDLETVEALAFEPLS
+QLLYWVDSGFKKIEVGHPDGDFRLTIVNSSVLDRPRALVLVPQDGVMFWTDWGDLRPGIY
+RSNMDGSAAYRLVSEDVKWPNGIAVDEQWIYWTDAYLDCIERITFSGQQRSVILDNLPHP
+YAIAVFKNEIYWDDWSQLSIFRASKYSGSDMAILASRLTGPMDLKIFYRGKTTGSNACAS
+RPCSLLCLPKADGSRSCRCPDGVSSSVLPSGDLMCECPPGYQQKNHKCVKEENTCLRNQY
+RCSNGKCINSIWWCDFDNDCGDMSDERNCPTTVCDLDTQFRCHESGTCIPLSYKCDLEDD
+CGDNSDESHCEMHQCRGDEYSCSSGMCIRSSWVCDGDNDCRDWSDEANCTAIYHTCEASN
+FQCRNGHCIPQRWACDGDMDCQDGSDEDPLNCEKKCNGFRCPNGTCIPSSKHCDGLHDCS
+DGSDEQHCEPLCTRFMDFVCKNRQQCLFQSMVCDGIIQCRDGSDEDPEFAGCSRDPEFHK
+VCDEFSFQCQNGVCISLIWKCDGMDDCGDDSDEANCENPTEAPTCSRYFQFQCENGHCIP
+NRWKCDRENDCGDWSDEKDCGDSHILPSPTPGPSTCLPNYYRCSSGACVMDSWVCDGYRD
+CIDGSDEEACPSPANVTAASTPTQLGRCDRFEFECRQPKKCIPNWRRCDGHQDCQDGQDE
+ANCPTHSTLTCTALEFQCQDGEACIMLSERCDGFLDCSDESDEHACSEELNVYKIQNLQW
+TADFSGDVTLTWIRPKKMPSASCVYNVYYRVVGESIWNTLETHSNKTSTVLKVLKPDTTY
+QVKVQVQCLSKVHSTNDLVTLRTPEGLPDAPQSLQLSLHREVEGVIMGHWAPPVHTHGLI
+REYIVEYSRSGSKMWASQRALSNFTEIKNLLVNTQYTVRVAAVTSRGIGNWSDSKSITTI
+KGQVIPTPDIRIDSYGENFLSFTLSMDTDIKVNGYVVNLFWSFDTHRQEKRTLNFRGIVS
+SQKVGNLTAHTPYEISAWAKTDLGDSPLAFKRVTTRGVRPPAPSLKAKAINQTAVECTWT
+GPRNVVYGIFYATSFLDLYRNPKSLTTSQHNRTVLVSGDEQYLFLVRVVVPYQGPSSDYV
+VVRMIPDSRLPPRHLHVVRMGKTSAVIKWESPYDSPDQDLLYAIAVKDLIRKSERSYKVK
+SRNSTVEYTLNKLEPGGKYHIIVQLGNMSKDSSIKITTVSLLAPDALKIITENDHVLLFW
+KSLALKEKYFNESRGYEIHMFDSVMNISAYLGNTTDNFFKISNLKLGHNYTFTVQARCLF
+GSQICGEPAVLLYDELGSGGDASTIQAARSTDVAAVVVPILFLILLSLGVGFAILYTKHR
+RLQNSFTAFANSHYSSRLGSAIFSSGDDLGEDDEDAPMITGFSDDVPMVIA
+>tr|A0A3Q1LNB7|A0A3Q1LNB7_BOVIN Myosin-10 OS=Bos taurus OX=9913 GN=MYH10 PE=3 SV=1
+MAQRTGLEDPERYLFVDRAVIYNPATQADWTAKKLVWIPSERHGFEAASIKEERGDEVLV
+ELAENGKKAMVNKDDIQKMNPPKFSKVEDMAELTCLNEASVLHNLKDRYYSGLIYTYSGL
+FCVVINPYKNLPIYSENIIEMYRGKKRHEMPPHIYAISESAYRCMLQDREDQSILCTGES
+GAGKTENTKKVIQYLAHVASSHKGRKDHNIPQESPKPVKHQASAFLCFLVMNVCTNFCL
+>tr|E1BKK4|E1BKK4_BOVIN StAR related lipid transfer domain containing 3 OS=Bos taurus OX=9913 GN=STARD3 PE=4 SV=1
+MSKLSGDLLARDLERSLPAVASLGSSLSHSQSLSSHLLPPPLSEKRRAISDVRRTFCLFV
+TFDLLFISLLWIIELNTNTGIQKNLEQEIINYRFKTSFFDIFVLAFFRFSGLLLGYAVLR
+LRHWWVIAVTTLVSSAFLIVKVILSELLSKGAFGYLLPIVSFVLAWLETWFLDFKVLPQE
+AEEERWYLAAQAAVARGPLLFSGALSEGQFYSPPESFAGSDNESDDEIVGKKSFSAQERE
+YVLQGKEAMAVVDQILAQEENWKFEKNNEYGDTVYTIEIPFHGKTFILKTFLPCPAELVY
+QEVILQPERMVLWNKTVTACQILQRVEDNTLISYDVSSGAAGGVVSPRDFVNVRRIERRR
+DRYLSAGIATTHCAKPPTHKYVRGENGPGGFIVLKSASNPRVCTFIWILNTDLKGRLPRY
+LIHQSLAATMFEFAFHLRQRIGELGARA
+>tr|A0A3Q1LX47|A0A3Q1LX47_BOVIN Olfactory receptor OS=Bos taurus OX=9913 PE=3 SV=1
+MCLVVLLFALQSFSEEPGLRGFFLVLFLLLFLGALAGNTLIVTAIGLHPGLHTPMYFFLT
+NLAVLDIVCTSTVLPKLLENLVVKGGTISYQGCMTQLFFLTWFLGAELLLLTVMAYDRYV
+AICRPLHYSSRMGRPVCVLLAGSVWAVSAVSTSVHTGLMTRLTFCGPNQIQHFLCEVPTL
+LLLSCSPTTLNNVMIVVADVYFGVVNFLLTMASYGCIIASILRMRSAAGKQRAFSTCSSH
+LLVVTLVYTYILPGSGSSLENGKVVAVLYTAVSPTLNPLIYSLRNKDVKVALRKVFS
+>tr|A0A3Q1MFQ8|A0A3Q1MFQ8_BOVIN IgLON family member 5 OS=Bos taurus OX=9913 GN=IGLON5 PE=4 SV=1
+ANEAPVQRWQTQGCKNQTGLLSQSLEFSSPADNYTVCEGDNATLSCFIDEHVTRVAWLNR
+SNILYAGNDRWTSDPRVRLLTNTPEEFSILITQVGLGDEGLYTCSFQTRHQPYTTQVYLI
+VHVPARIVNISSPVTVNEGSNVNLLCLAVGRPEPTVTWRQLRDGFTSEGEILEISDIQRG
+QAGEYECVTHNGVNSAPDSRRVLVTVNYPPTITDVTSARTAVGRAALLRCEAMAVPPADF
+QWYKDDRLLSSGTAEGLKVQTERTRSMLLFANVSARHYGNYTCRAANRLGTSSASMRLLR
+PGSLENSAPRPPGPLTLLSALGWLWWRM
+>tr|A0A3Q1MBG1|A0A3Q1MBG1_BOVIN Lymphocyte cytosolic protein 2 OS=Bos taurus OX=9913 GN=LCP2 PE=4 SV=1
+MAFKNVPFRSEVLSWDPNTLADYFKKLNYKDCEKVVRKYDIDGPRFLNLAENDIQKFPKL
+RVPILSKLSQEINKNEERRSIFTPLITVLPSSTESHEEDNGGWSSFEEDDYESPNDDADA
+EDDGDYESPNEEEEAPLEDDADYEPPPSNDEEALQNSILPAKPLSNPNSMYIGKGPGAQP
+PAPTDAREPLPPPQPNHEEPSRSRNHKTAKLPAPSIDRSTKPALDRSLVPFDREPFTLGR
+KPMISDKVSFLLILPPGEHLPKIQKPPLPPATERHDRGSPLPGKKPPVPRHMRGPERREN
+VIHQRLPPQPSPLPMTSNTFPSRSTKPSPKNSLPLTHSSGAFSESNSNFSQSASLPPYFS
+QGPGNRPLPRMEGRNFSPVPSKPRSQSPGEEENSLNEDWYVSYVTRTEAEAALRKINQDG
+TFLVRDSSKKTISNPYVLMVLYKDKVYNIQIRYQEESQVYLLGTGLRGKEVRVSSCQTSP
+SFLRVPLCTLTCTEAGCGLLWGSTLPGNLRVKLH
+>tr|F1MD75|F1MD75_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=SIRPB1 PE=4 SV=1
+MTFSACYFWPPPSCLLLTLLLGLTGVAGDRELQVIQPERSVSVVAGETATLHCTVTSMSP
+VGPTKWFRGTGPGREFIYSQKEAPFPRVTNVSDATKRNNMDFSIRISNITPADTGIYYCV
+KFRKEERGDVEFKSGPGTHLTVSTKPSPPMVSGPAVRATPEQTVSFTCTSHGFSPRNISL
+KWFKNGNELSASQTSVDPEEDGVTYSITSTTELLLAPGDVHSQVSCEVAHVTLQGSRPLR
+GTASLSKTIRVPPTLEVTQQPMAGNQVNIITCLVNKFYPQQLQLTWLENGNMSRTEAAST
+LIENKDGTFNYMSWLLVNSSAHREAVVFTCQVEHDGQPAVTKNSTETSVPQKDQDTGPEI
+LLAVLLLSPKLLLMVSVSVIFVHRKR
+>tr|F1MIX0|F1MIX0_BOVIN BRISC complex subunit Abraxas 2 OS=Bos taurus OX=9913 GN=ABRAXAS2 PE=4 SV=2
+MAASISGYTFSAVCFHSANSNADHEGFLLGEVRQEETFSISDSQISSTEFLQVIEIHNHQ
+PCSKLFSFYDYASKVNEESLDRILKDRRKKVIGWYRFRRNTQQQMSYREQVIHKQLTRIL
+GVPDLVFLLFSFISTANNSTHALEYVLFRPNRRYNQRISLAIPNLGNTSQQEYKVSSVPN
+TSQSYAKVIKEHGADFFDKDGVMKDIRAIYQVYNALQEKVQAVCADVEKSERVVESCQAE
+VNKLRRQITQRKNEKEQERRLQQAMVSRQMPSESVDPTFSPRMPYPGFTAEGRSTLGDTE
+ASDPPPPYSDLHPNNQESTLSHSRMESSVFMPRPQAVGSSSYASTSAGLKYPGSGADAPP
+SHRAAGDSAEESDDSDYENLIDPTEPPNSEYSRSRDSRPMTHPDGGSRNTQTSQI
+>tr|A0A3Q1NET6|A0A3Q1NET6_BOVIN Ribosomal RNA processing 1 OS=Bos taurus OX=9913 GN=RRP1 PE=4 SV=1
+MGPRVKLPPEIQLAQRLAGNEQVTRDRAVRKLRKYIVARTQRAEGGFTHDELLKVWKGLF
+YCMWMQDKPLLQEELGRTISQLVHAFQTTEAQHLFLQTFWQTMNREWPGIDRLRLDKFYM
+LMRMVLREALMVLQTQGWDERQTERLLELLTTEILHPDSEAPNGVKSHFIEIFLEELTKV
+GAHELTADQNLRLIGPFCTIAAQTQDSLVLHNIAQGIFETIVEQAPLAIEELMNELEEEE
+LSEEEELSEEEEAAQEPGLLSREPPKGSVCRTQRPLDQQAGDDDEDGTSTVLQFDYKAVA
+DTLFEMASRQDTPPQNRKRLYKRLPWSAGLFPEPDIPEEAYRNPRGGRRVRMKKRLSGSQ
+LQDKTGRHAKEALHSDSSPGSTRRRRQQRAGGDPPVPRERPGGHGGRGAPRRQRRPRVGA
+KAKIAGCQEPKAKRKRTPEGQK
+>tr|F1MQQ0|F1MQQ0_BOVIN Cell division cycle 45 OS=Bos taurus OX=9913 GN=CDC45 PE=4 SV=1
+MFVSDFRKEFYEVVQSQRVLLFVASDVDALCACKILQALFQCDHVQYTLVPVSGWQELET
+AFLEHKEQFRYFILINCGSNVDLLDILQPDEEAVFFVCDTHRPVNVVNVYSDTQIRLLIK
+QDDDLEVPAYEDIFRDEEEDEEHSGNEGDEGSEPSEKRTRLEEEIAAQTLKRRQRREWEA
+RRRDVLFDYEQYEYHGTSAAMVMFDLAWLMSKDLSDMLWWAIVGLTDQWVQDKITQVKYV
+TDVGVLQRHVSRHSHRREDEAHALSVDCARISFEYDLRLALYQHWSLHDSLCNTCYTAAR
+LQLWSLHGQKRLQEFLADVGLPLKQVKQKFQSMDVSLKENLREMIEESANKFGMKDMRVQ
+TFSVHFGFKHKFLASDVVFATMSLMESPEHGSGTDSFTQALDSLSRGNLDKLYHGLELAK
+RQLRATQQTIASCLCTNLVVSQGPFLYCALMEGTPDVALFSKPASLSLLSRHLLKSFVCS
+TKNRRCKLLPLVMAAPLSAEQGTVTMVGIPPETDSSDRKNFFGRAFEKAAEGTNSRALHN
+HFDLSASWRRSPQQQPPPPISDLRPEVVLAGWQDTPASPGWGLQGGAAWLPHAQAVIELK
+AEDRSKFLDALVTLLS
+>tr|A0A3Q1M5J0|A0A3Q1M5J0_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MKRIAGTVLGLLFAQVCWVDVEQRPPVLSLQEGANSTLQCNFSTYPQNVNWYLKNPGGRL
+IHLVYVPSGTKQFGRLTATTVPTELRSSLHISSSQTTDSGTYFCAVQHSAPQAPAASTQT
+LRGLSPSSSHSHTVKPPQGICSA
+>tr|A0A3Q1LWS5|A0A3Q1LWS5_BOVIN Active breakpoint cluster region-related protein OS=Bos taurus OX=9913 GN=ABR PE=4 SV=1
+MPYIDESPTMSPQLSARSQGGGDSISPTPPEGLAPGVEAGKGLEMRKLVLSGFLASEEIY
+INQLEALLLPMKPLKATATTSQPVLTIQQIETIFYKIQDIYEIHKEFYDNLCPKVQQWDS
+QVTMGHLFQKLASQLGVYKAFVDNYKVALETAEKCSQSNNQFQKISEELKVKGPKDSRDS
+HTSVTMEALLYKPIDRVTRSTLVLHDLLKHTPVDHPDYPLLQDALRISQNFLSSINEDID
+PRRTAVTTPKGETRQLVKDGFLVEVSEGSRKLRHVFLFTDVLLCAKLKKTSAGKHQQYDC
+KWYIPLADLVFPSPEESEASPQVHPFPDHELEDMKMKISALKSEIQKEKANKGQSRAIER
+LKKKMFENEFLLLLNSPTIPFRIHNRNGKSYLFLLSSDYERSEWREAIQKLQKKDLQAFV
+LSSVELQVLTGSCFKLRTVHNIPVTSNKDDDESPGLYGFLHVIVHSAKGFKQSANLYCTL
+EVDSFGYFVSKAKTRVFRDTTEPKWDEEFEIELEGSQSLRILCYEKCYDKTKVNKDNNEI
+VDKIMGKGQIQLDPQTVETKNWHTDVIEMNGIKVEFSMKFTSRDMSLKRTPSKKQSGVFG
+VKISVVTKRERSKVPYIVRQCVEEVEKRGIEEVGIYRISGVATDIQALKAVFDANNKDIL
+LMLSDMDINAIAGTLKLYFRELPEPLLTDRLYPAFMEGIALSDPAAKENCMMHLLRSLPD
+PNLITFLFLLEHLKRVAEKEPVNKMSLHNLATVFGPTLLRPSEVESKAHLTSAADIWSHD
+VMAQVQVLLYYLQHPPISFAELKRNTLYFSTDV
+>tr|F1N4I5|F1N4I5_BOVIN High mobility group nucleosome binding domain 5 OS=Bos taurus OX=9913 GN=HMGN5 PE=4 SV=2
+MICTSSSSSFFFFFFQLPVPIIPDLKSKRTSTPRKMKTKNDMMGKNTDASAKTIAETKKE
+DVKDYNNETAENGGAKIIEAPVPEREIEEIKEEKIEDIKEEGEEKKEAMAKDGKEDEKED
+QKGDGDQNKEEKGKGEDGKEEEDEKEKRDKKENEDGKGKGEDGKESEDGKDKRDGKKGEA
+GKKDEDRKRDDGGNEKEDEKKKKGKEKENGKEDGGDVKDESKAEIGKEIIKREEVKKEDG
+KKESQSIA
+>tr|A0A3Q1M6D4|A0A3Q1M6D4_BOVIN DENN domain containing 6B OS=Bos taurus OX=9913 GN=DENND6B PE=4 SV=1
+MLQSGSRLEAPGPLPSGGWSEDAQRQGCQHQAPPSEAGTRRLVACTSRRGGKGTAKPLWV
+GRAGAEASRACPPPSEGASRGRAVGPWRQRGRSEQAAMRVRMWAGSQAWSGYAGQETGRM
+GPGVAPCCRGQDAGVGVEPRRGGGSAGSQRRRRGCSPAPSQPSPCHQVVCVPHSWCTPVT
+SSSRTRRWVPALSGADLAWRADPHGVSVFQKSSICYLSFPDSHSGCLGDTQFSFRIRQCG
+GQRCPGHAGEKPGDRGAPASLQREPAHYFGYVYFRQVKDSSVKRGYFQKSLVLLSRLPFV
+RLFQALLGLVAPEFFDKLAPCLEAVCNEIDQWPAPAPGRTLSLPVMGVVLQVHIPSRADT
+PESGPLEPSGHETLLPAPVVLPSVHELDLFRCFRPVLAHVQLLWELMLLGEPLLVLAPSP
+AVSSEMVLALTSCLQPLKFCCDYRPYFTIHDSEFKEFTTRTQAPPNVVLGVTNPFFIKTL
+QHWPHILRVGEPKMSGDLPKQVKLKKPSRLKTLDTKPGLYTAYTAHLHRDKALLRRLLKG
+LQKKRPSDVQTAVLRRHLLELTQSFIIPLEHYMASLMPLQKGIVPWKTPPQIRPFRQDDF
+LQSLERSGPQLTCVLKGDWLGLYRRFFKSPHFDGWYRQRHREMTQKLEALHLEAICEANI
+EIWMKDKSEVEVVDLILKLRERLVRAQGHQLPVKEATLKRARLYIDTAIGSLPKDLQAVL
+CPP
+>tr|A0A3Q1MZ37|A0A3Q1MZ37_BOVIN S-adenosylmethionine synthase OS=Bos taurus OX=9913 GN=MAT2A PE=1 SV=1
+MNGQLNGFHDAFIEEGTFLFTSESVGEGHPDKICDQISDAVLDAHLQQDPDAKVACETVA
+KTGMILLAGEITSRAAVDYQKVVRETIKHIGYDDSSKGFDYKTCNVLVALEQQSPDIAQG
+VHLDRNEEDIGAGDQGLMFGYATDETEECMPLTIVLAHKLNAKLAELRRNGTLPWLRPDS
+KTQVTVQYMQDRGAVLPIRVHTIVISVQHDEEVCLDEMRDALKEKVIKAVVPAKYLDEDT
+IYHLQPSGRFVIGGPQGDAGLTGRKIIVDTYGGWGAHGGGAFSGKDYTKVDRSAAYAARW
+VAKSLVKGGLCRRVLVQVSYAIGVSHPLSISIFHYGTSQKSERELLEIVKKNFDLRPGVI
+VRDLDLKKPIYQRTAAYGHFGPMSFLDSWCSYRETSFLQRAVLLAGRRKSLHT
+>tr|A0A3Q1LR17|A0A3Q1LR17_BOVIN Stum, mechanosensory transduction mediator homolog OS=Bos taurus OX=9913 GN=STUM PE=4 SV=1
+MEPSHKDAETAAAAAAVAAAERGASSSSGVVVQVREKKGPLRAAIPYMPFPVAVICLFLN
+TFVPGLGTFVSAFTVLCGARTDLPDRHVCCVFWLNIAAALIQILTAIVMVGWIMSIFWGM
+DMVILAIPHRLQGAGHPTAAVSPGEPLRSPVPRRATSGSGRPGVFTCSLLPLPGFGVERR
+AFENTIYFENAPAFLSRL
+>tr|G3MY78|G3MY78_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=VPS13A PE=4 SV=2
+MSQKKFPLLEIPCVEDDSDEEFFDAPCSPLEEPLQSPSRIKSPQQKNLQKPDCFKNMIEY
+KIRFEVPEVLIQFCHLVGDCELPVVEINVLGLGTDIELRTFDLKANAFLKEVCLKCPDYF
+DENKKPVYLITTLDNTMEDLLTLEYVKAENNLPATILKNAYNSVVQLIKVNFSSLDIHLH
+TEALLNTINYFNNILPYLEEKPAPVHVVETEDKEEVVKKIPLKSSKNEDTITLQILAELS
+CLQIFIQDQKRNISEIKIEGLDCEIIMRPSVTETNAKLRNIIVLDSDITAVYKKAVYITG
+KEVFSFKMVSYMGATAGSAYTDMNVVDMQVNLTVGCIEVVLVKKFLYSILAFIDNFQAGK
+QALAEATVQAAGMAATGVKELAQRSSRMALDVHIKAPVVVIPQSPVSENIFVADFGLITM
+KNTFHVITKSPTNPPPIIDLITIKLSEMRLYRTQYINDAYQEVLDLLLPLNLEVMVERNL
+SWEWFQEVPCFNLNAQLKPMEFILSQEDITTIFKTLYGNIWYEGDSASHEVTKDQSSVTS
+GATTASHHAAGTTVVTAAVVEVHSHASQVKTTLNMSFRTDYLTMVLYSPGPKQTSFTDIR
+DPSLKLAEFKLENIISTLKMYTDDSIFSSFSLKNCILDDKRPHIKKATPRMIGLTVGFDK
+KDMMDVRYRTIRDGWVADIVLQEMYICASVEFLLTVANVFLEAYTTGTAVETNVQTWSAK
+EEVPKQQSEKWEMNITIKNPEIVFVADMTRNDAPALVITTQCEIYWLGDFENDTMTAAIK
+DLQVRACPFLPVKRKGKITTVLQPCDLFYQATQISTDPQVLELSVKSLTLKVSPVIINTV
+ITITSALYTAKETIPKETASSTAHLWEKKDTKDLNMWFLEEPNEAVKRAPMPELVPKGEI
+IKMNIDSIFLVLEAGIGHRTVPMLLAKSRFSGEGKNWSSLINLHCQLELEVHYYNEMFGV
+WEPLLEPLEIDQTEDFRPWNLGIKMKKKAKKAIVESGTEEENYRVPEYKTVITFYSKDQL
+NITLSKCGLIMLNNLAEAFTEAATGSSATFIRDLAPFMILNSLGLSISVSPSESFSVLNV
+PMAKSYVLKNEESLSMDYMRTKDNDHFNAMTSLSSKHFFILLTPANHSTADKIPLTKVGR
+RLYTVRHRESGVERSIVCQIDAVEGSKKVTVRSPVQIRNHFSIPLSVYGGDTLLGTASPG
+SEFNIPLASYRSLLSLKPEDADYQMCEGIDFEEIIKQDGTSFKKKCRPINPSRKPFIINI
+VPEKDNLTYMSVYSEDRWDLPYIIHLWPPILLRNLLPYKIAYYIEGIEHRVFTLHEGRSA
+QLCTVQLDKARLHLKLLDYLNHDWKSEYHIKPNQQDISFISFTCVTEIEKTDLDIAVHVT
+YNTGQTIMAFHSPYWMVNKTGRMLQYKADGIHRKHPPNYKKPVLFSFQPKHFFNNNKVQL
+MVTDSELSDQFSIDTVGSHGAVKCKGPKMEYQVGVTIDLSSFNITRIVTFTPFFLIKNKS
+KYHISVAEEGNDKWLALDLEQCVPFWPEDASNKLLIRVERSESPPHKIHFNKEESCILLR
+LDNELGGIIAEVNLAEHSTVITFSDYRDGAATFLLINHTKNDIVQYKQSSLSEIEDSLPP
+GKAVFYTWADPVGSRKLQWKCGKSHGEVTQKDDMMTPINLGKTTIYLVSFFEGLQRIILF
+TEDPRVFKVTYESEKAELAEQEIVLALQDVGISLVNNYTKQEVAYIGITSSDVVWETKPR
+KKARWKPMSVKHTEKLEKEFKEYVESSPSEDKVIELDTNILVRFTPGASNMKILQPHVIA
+IRRNYLPALKVEYSTSAHQSSFRIQIYRIQIQNQIHGAIFPFVFYPIKPPKSVTLDSAPK
+PFTDVSIVMRSAGHSQISRIKYFKVLIQEMDLRLDLGFVYAVSELMTPAEVTEKTEVELF
+HKDIEAFQEEYKIVSLVDSSQVSLYEYFHISPIKLHLSVSLSSGGEEPKDSEQHEGLMAI
+RSLHLLLKSIGATLTDVQDVVFKLAFFELNYQFHTTAELQSEVIRHYSKQAIKQMYVLIL
+GLDVLGNPFGLIREFSEGVEAFFYEPYQGAIQGPEEFVEGMALGLKALVGGAVGGLAGAA
+SKITSAMAKGVAAMTMDEDYQQKRREAMNKQPAGLREGITRGGKGLVSGFVSGITGIVTK
+PIKGAQKEGATGFFKGVGKGLVGAVTRPTGGIIDMASSTFQGIKRATETSDEVESLRPPR
+FFNEDGVIRPYRLRDGTGNQMLQVMENGRFAKYKYFTHVMINKTDMLMITRSGVLFVTKG
+TFGQLTCEWQYSFDEFTKEPFIVHERRLRIEAKERVKSVFHAKEFGKIVNFRTPEDARVN
+IVNLLLKHVAAAHSKPCKLY
+>tr|A0A3Q1MHU4|A0A3Q1MHU4_BOVIN GPN-loop GTPase OS=Bos taurus OX=9913 GN=GPN1 PE=3 SV=1
+MAAPASATESQASGGPRPPACLLVLGMAGSGKTTFVQRLTGYLHSQGCPPYVINLDPAVH
+EVPFPANIGEYGLGPNGGIVTSLNLFATRFDQVMKFIEKAQNMSKRVWGQASSFPTIVIY
+VMDTSRSTNPVTFMSNMLYACSILYKTKLPFIVVMNKTDIIDHSFAVEWMQDFEAFQDAL
+NQETTYVSNLTRSMSLVLDEFYSSLRVVGVSAVLGTGLDELFVQVASATEEYEREYRPEY
+ERLKKSLASAQSQQQKEQLERLQKDMGSVALDTGTATGSSSPVLDPSDLILTRGTLDEED
+EEADSDTDDIDHRVTEESREEPAFQNFMQESMAQYWKKNK
+>tr|A0A3Q1LYF8|A0A3Q1LYF8_BOVIN Ubiquitin specific peptidase 24 OS=Bos taurus OX=9913 GN=USP24 PE=4 SV=1
+MESEEEQHMTTLLCMGFSDPATIRKALRLAKNDINEAVALLTNERPGLDYGGYEPMDSGG
+GPSPGTGGGGGFDPPPAYHEVVDAEKNDENGNCSGEGIEFPTTNLYELESRVLTDHWSIP
+YKREESLGKCLLASTYLARLGLSESDENCKRFMDRCMPEAFKKLLTSSAVHKWGTEIHEG
+IYNMLMLLIELVAERIKQDPIPTGLLAVLTMAFNPDNEYHFKNRMKVSQRNWAEVFGEGN
+MFAVSPVSTFQKVNIVLFHSFINKRIEKDFAVSALVQPLGVCAEYLNSSVVQRLVSIPEL
+LSAIKLLCMRFQPDLVTVVDDLRLDILLRMLKSPHFSAKMNSLKEVTKLIEDSTLSKSVK
+NAIDTDRLLDWLVENSVLSIALEGNIDQAQYCDRIKGIIELLGSKLSLDELTKIWKIQSG
+QSSTVIENIHTIIAAAAVKFNSDQLNHLFVLIQKSWETESDRVRQKLLSLIGRIGREARF
+ETTSGKVLDVLWELAHLPTLPSSLIQQALEEHLTILSDAYAVKEAIKRSYIIKCIEDIKR
+VGRQSDTECTTTLLTKMNKESLGTGLQSSQINNPQFVWVVPALRQLHEITRSFIKQTYQK
+QDKSIIQDLKKNFEIVKLVTGSLIACHRLAAAVAGPGGLTGSTLVDGRYTYREYLEAHLK
+FLAFFLQEATLYLGWNRAKEIWECLVTGQDVCELDREMCFEWFTKGQHDLESDVQQQLFK
+EKILKLESYEITMNGFNLFKTFFENVNLCDHRLKRQGAQLYVEKLELIGMDFIWKIAMES
+PDEEIANEAIQLIINYSYINLNPRLKKDSVSLHKKFIADCYTRLEAASSALGGPTLTHAV
+TRATKMLTATAMPTVATSVQSPYRSTKLVIIERLLLLAERYVITIEDFYSVPRTILPHGA
+SFHGHLLTLNVTYESTKDTFTVEAHSNETIGSVRWKIAKQLCSPVDSIQIFTNDSLLTVN
+KDQKLLHQLGFSDEQILTVKTSGSGTPSGSSADSSTSSSSSSSGVFSSSYAMEQEKSLPG
+VVMALVCNVFDMLYQLANLEEPRITLRVRKLLLLIPTDPAIQEALDQLDSLGRKKTLLSE
+TSSQSSKSPSLSSKQQHQPSASSILESLFRSFAPGMSTFRVLYNLEVLSSKLMPTADDDM
+ARNCAKSFCENFLKAGGLSLVVNVMQRDSIPSEVDYETRQGVYSICLQLARFLLVGQTLP
+TLLDEDLTKDGIEALSSRPFRNVSRQTSRQMSLCGTPEKSSYRQLSVSDRSSIRVEEIIP
+AARVAIQTMEVGDFTSTVACFMRLSWAAAAGRLDLVGSSQPIKESNSLFPAGIRNRLSSS
+ASFYNLPCVADFIIDILLGSPSAEIRRVACDQLYTLSQTDTSAHPDVQKPNQFLLGVILT
+AQLPLWSPTSIMRGVNQRLLSQCMEYFDLRCQLLDDLTTSEMEQLRISPATMLEDEITWL
+ENFEPNRTAECETSEADNILLAGHLRLIKTLLSLCGAEKEMLGSSLIKPLLDDFLFRASR
+IILNSHSPAGSAAISQQDFHPKCSTVNSRLAAYEVLVMLADSSPSNLQIITKELLSMHHQ
+PDPALTKEFDVSFLDLDHCCIMVCLIFPP
+>tr|A0A3Q1N7H1|A0A3Q1N7H1_BOVIN Protein FAM49B OS=Bos taurus OX=9913 GN=FAM49B PE=1 SV=1
+FIYLSSQTELLEDRSYITQYFILCLAGDIWCWKSFSSLCQIAVTKQIRGTGTESPALAED
+AQPTESEKEIYNQVNVVLKDAEGILEDLQSYRGAGHEIREAIQHPADEKLQEKAWGAVVP
+LVGKLKKFYEFSQRLEAALRGLLGALTSTPYSPTQHLEREQALAKQFAEILHFTLRFDEL
+KMTNPAIQNDFSYYRRTLSRMRINNVPAEGENEVNNELANRMSLFYAEATPMLKTLSDAT
+TKFVSENKNLPIENTTDCLSTMASVCRVMLETPEYRSRFTNEETVSFCLRVMVGVIILYD
+HVHPVGAFAKTSKIDMKGCIKVLKDQPPNSVEGLLNALRYTTKHLNDETTSKQIKSMLQ
+>tr|A0A3Q1MMQ5|A0A3Q1MMQ5_BOVIN Rho guanine nucleotide exchange factor 3 OS=Bos taurus OX=9913 GN=ARHGEF3 PE=4 SV=1
+MRSERPMVWCCFFVRTQRKRKQSTQDEDAVSLCSLDISEPSNKRVKPLSRVTSLANLIPP
+VKATPLKRFSQTLQRSISFRSESRPDLLGPRPWSRNAAPSSTKRRDSKLWSETFDVCVNQ
+MLTSKEIKRQEAIFELSQGEEDLIEDLKLAKKAYHDPMLKLSIMTEQELNQIFGTLDSLI
+PLHEDLLSQLRDVRKPDGSTEHVGPILVGWLPCLSSYDSYCSNQVAAKALLDHKKQDHRV
+QDFLQRCLESPFSRKLDLWNFLDIPRSRLVKYPLLLREILRHTPNDNPDQQHLEEAINII
+QGIVAEINTKTGESECRYYKERLLYLEEGQKDSLIDNSKVLCCHGELKNNRGVKLHVFLF
+QEVLVITRAVTHNEQLCYQLYRQPIPMKDLLLEDLQDGEVRLGGSLRGAFSNNERIKNFF
+RVSFKNGSQSQTHSLQANDTFNKQQWLNCIRQAKETVLCATGQAAALDSEGPFLDPATRS
+REPQGETKLEQMDQSDSESDCSMDTSEVSLDCERMEQTDSSCGNSRHVESNV
+>tr|A0A3Q1N4F6|A0A3Q1N4F6_BOVIN Sulfatase 2 OS=Bos taurus OX=9913 GN=SULF2 PE=4 SV=1
+MAPAGILLCLLSAAVFSLLDGSAAFLSHHRLKGRFQRDRRNIRPNIILVLTDDQDVELGS
+MQVMNKTRRIMEQGGAHFINAFVTTPMCCPSRSSILTGKYVHNHNTYTNNENCSSPSWQA
+QHESRTFAVYLNSTGYRTAFFGKYLNEYNGSYVPPGWKEWVGLLKNSRFYNYTLCRNGVK
+EKHGFDYSKDYLTDLITNDSVSFFRASKKMYPHRPVLMVLSHAAPHGPEDSAPQYSSLFP
+NASQHITPSYNYAPNPDKHWIMRYTGPMKPIHMQFTNMLQRKRLQTLLSVDDSMETIYNM
+LVETGELDNTYIVYTADHGYHIGQFGLVKGKSMPYEFDIRVPFYVRGPNVEAGSLNPHIV
+LNIDLAPTILDIAGLDIPSDMDGKSILKLLDTERPANRFHLKKKLRVWRDSFLVERGKLL
+HKRDSDKVDAQEENFLPKYQRVKDLCQRAEYQTACEQLGQKWQCVEDASGKLKLHKCKGP
+VRPGGRALSNLVPKYYVQGSEGCICDSGDGQLTLARRRKKLFKKKYKASYARNRSIRSVA
+VRGHHVSLDDTSQPRNLTKRHWPGAPEDQDDRDGGDFSGTGGLPDYSAPNPIKVTHRCYI
+LENDTVQCDLDLYKSLQAWKDHKLHIDHEIETLQNKIKNLREVRGHLKKKRPEECDCHKI
+SYHAQHKGRLKHKGSSLHPFRKGLQEKDKVWLLREQKRKKKLRKLLKRLQNNDTCSMPGL
+TCFTHDNQHWQTAPLWTLGPFCACTSANNNTYWCMRTINETHNFLFCEFATGFLEYFDLN
+TDPYQLMNAVNTLDRDVLNQLHVQLMELRSCKGYKQCNPRTRNMDLGLKDGGSYEQYRGQ
+LWEGWEG
+>tr|F1N2C1|F1N2C1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=C3H1orf43 PE=4 SV=1
+MASGSNWLSGVNVVLVMAYGSLVFVLLFIFVKRQIMRFAMKSRRGPHVPVGHNAPKDLKE
+EIDIRLSKVQDIKYEPQLLADDDARLLQLETQGNQNCYNYLYRMKALDAIRASEIPFHAE
+GRHPHSLMGKNFRSYLLDLRNTSTPFKGVRKALIDTLLDGYETARYGTGVFGLSEYLRYQ
+EALSELATVVKARSGSSQRQHQSAAKDLTQSPEVSPTTIQVTYLPSSQKSKRAKHFLELK
+SFKDNYNTLESTL
+>tr|A0A3Q1LLQ7|A0A3Q1LLQ7_BOVIN Interferon gamma receptor 2 OS=Bos taurus OX=9913 GN=IFNGR2 PE=4 SV=1
+MLPSLTTGSLTAVTWVQFLVREAFLLPLPLPPTNSPLPAPGNLKVHLYNAQQALSWEPVS
+LDSDPRPVVYQVQYKYSTSSNWYDVNKEDSKVDCTNLTRTECDFTANSLSEGFPRRFNIS
+LRVRAKLGGLVSAWATAPWFEHYRNATIGPPENIRVTPEEGSLIIRLSAPFDVPATEAYF
+VYCVYYWEKAGAKQVTRPFRSNFITLNDLKPLRVYCFQVKAELFLTKENISRPGHLSNIS
+CCETAADASVKLQQDFLAAGTTFLVLSVVVGSCLFLVLRYRGLVKHWFHSPPSIPSQIEE
+YLKDPAQPILDALDKDSSPKDDTWDSVSVVTFPENEQEGSPQSTLNQSAGPSHQPTEGVL
+CAKEAATCLSGLDGAT
+>tr|G3MXH0|G3MXH0_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC787945 PE=3 SV=1
+MRNHTMLTEFVLLGISDNPELQVVIFIFLFLAYVLSVVGNLTIIILTLTDCHLKTPMYYF
+LRNFSFLEITFTSVSIPRFLGAIITKVKTISYNNCLAQLFFFIFMGVSEFFLLTAMSYDR
+YAAICKPLHYTTIMNKKICTLLVFSSWLGGFLTIFPPLMLILQLDFCASNIIDHFSCDYF
+PILRLSCSDTWLLEMIGFYFAFVTLLFTLALVILSYMCIITTILRIPSATQRKKAFSTCS
+SHMIVISISYGSCIFMYVKPSAKERASLTKGVAILNTSIAPMLNPFIYTLRNEQVKQALK
+NLVHKVILSRNK
+>tr|A0A3Q1MG65|A0A3Q1MG65_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=MPP4 PE=3 SV=1
+MIQSDKGSDLPDEKDKKEEKLSTGTNPENGISHLLRLVLQELNLFYSRDVNGVCLLYDLL
+HSPWLQALLKVYDSLQEFKEKKLVPATPHAQALSCEALLSAHDTVAQKDFEPLLPPLPDN
+IPESEEAMRIVCLVKNQQPLGATIKRHEMTGDILVARVIHGGLAERSGLLYAGDKLVEVN
+GVSVEGLDPEQVIHILAMSRGTIMFKVVPVSDPPVNSQKTVYVRAMIEYWPQEDPTIPCM
+DAGLPFQKGDILQIVDQNDALWWQARKISDLDTCAGLIPSNQLLKRKQREFWWSQPYQPY
+KHLRSTIYKEEFVGYGQKFYIAGFRRSMRLCRRRAHRSQLRAQGCSASSCAGAVGAPYEE
+VVRYQRRPTDKHRLIVLVGPSGVGVNELRRQLIELNPNRFQSAVPHTTRSKKSYEMDGRE
+YHYVSKETFESLMYSHRMLEYGEYKGHLYGTSVDAVQAVLDDGKICVMDLEPQGIQVART
+QELKPYVIFIKPSNMSCMKRSRKNAKIITDYFVDMKFKDEDLQEMEDLAQKMETQFGQFF
+DHVIVNDNLEEACAQLLSAVQKAQEESQWVPATWVSSDTKS
+>tr|F1MSI0|F1MSI0_BOVIN Ran-binding protein 10 OS=Bos taurus OX=9913 GN=RANBP10 PE=4 SV=3
+MAAATADPGAGSPQVGDSSGGATGCGLPSPGEQELSRRLQRLYPAVNQHETPLPRSWSPK
+DKYNYIGLSQGNLRVHYKGHGKNHKDAASVRATHPIPAACGIYYFEVKIVSKGRDGYMGI
+GLSAQGVNMNRLPGWDKHSYGYHGDDGHSFCSSGTGQPYGPTFTTGDVIGCCVNLINGTC
+FYTKNGHSLGIAFTDLPANLYPTVGLQTPGEIVDANFGQQPFLFDIEDYMREWRAKVQGT
+VHCFPISARLGEWQAVLQNMVSSYLVHHGYCATATAFARMTETPIQEEQASIKNRQKIQK
+LVLEGRVGEAIETTQRFYPGLLEHNPNLLFMLKCRQFVEMVNGTDSEVRSLSSRSPKSQD
+SYPGSPSLSPRHGPTSSHTHNTGADSPSCSNGVASTKSKQNHSKYPAPSSSSSSSSSSSS
+SSPSSVNYSESNSTDSTKSQPHSSTSNQETSDSEMEMEAEHYPNGVLESMSTRIVNGAYK
+HEDLQTDESSMDDGHPRRQLCGGNQAATERIILFGRELQALSEQLGREYGKNLAHTEMLQ
+DAFSLLAYSDPWSCPVGQQLDPIQREPVCAALNSAILESQNLPKQPPLMLALGQASECLR
+LMARAGLGSCSFARVDDYLH
+>tr|G3MXZ2|G3MXZ2_BOVIN HIG1 hypoxia inducible domain family member 1C OS=Bos taurus OX=9913 GN=HIGD1C PE=4 SV=1
+MSSDNQWSADEDEGQLSRLIRKSRDSPFVPVGIAGFVTVVSYGLYKLKYRRDQKMSIHLI
+HMRVAAQGFVVGAVTLGVLYSMYKDYIRPRFFNRYKK
+>tr|E1BAQ7|E1BAQ7_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC618173 PE=3 SV=3
+MSEDGNTSTFNISYTNFFLVGFPGLSEWRPFLVLPLTFLYVTIISANALVIHTVAAQRSL
+HQPMYVLIALLLAVNICAATAVMPKMLEGFVHYANPISLHGCLAQMFFIYFTLLLDYNLL
+LAMALDRYVAICHPLRYSDLMTSHLLGVLAFFTLTRSLGVAVPLVVLTSRARFCRTAVIR
+HFTCEYIALLSIACGDLTFNNHLGLAMRLATVSFDLALLGTSYTRIIYAAFRISSGGARA
+KALHTCGSHLLVILTIYLSGLSTSIVFRVAKTVSQDVQNLLSAIYLLLPGTLNPVIYGVR
+TREIRQHIEKMLCGKEIAREVREKPERLQNTRVKGKLPG
+>tr|E1B983|E1B983_BOVIN Ribosomal_L23eN domain-containing protein OS=Bos taurus OX=9913 PE=3 SV=3
+MAPKAKKEAPAPPKAEAKAKALKAKKAVLKGVHSHKKKKIQTLPTFWQPKTLWLRRQPKY
+PWKSAPRRNKLDHYAIIKFPLTTESAMKKIEDNTLVFIVDVKANKHQIKQAVKKLCDIDV
+AKVNTLIRPDGEKKAYVRLAPDYDALDVANKIGII
+>tr|A0A3Q1LF99|A0A3Q1LF99_BOVIN Ankyrin repeat domain 11 OS=Bos taurus OX=9913 GN=ANKRD11 PE=4 SV=1
+MENRRTRTQMPPAQSTPWYCKAGSLLEAPWHEMEAPRSKKKEKQGPERKRIRKEPVTRKA
+GLLFGMGLSGIRAGYPLSERQQVALLMQMTAEESANSPVDMTPKHPSQSTVCQKGTPNSA
+SKTKDKVNKRNERGETRLHRAAIRGDARRIKELISEGADVNVKDFAGWTALHEACNRGYY
+DVAKQLLAAGAEVNTKGLDDDTPLHDAANNGHYKVVKLLLRYGGNPQQSNRKGETPLKVA
+SSPTMVNLLLGKGTYTSSEESSAESSEEEEEDAPSFAPSSSVDGNNTDSEFEKGLKHKAK
+NPEPQKTVTPVKDEYEFDEDDEQDRTPPVDDKHLLKKDYRREAKSNSFISIPKMEVKSYT
+KNSTIAPKKASHRILSDTSDEEDVGVAVGAGEKLRLSAHTMLPGNKTREPSNCKQQKERN
+KVKKKRKKEIKGKEVRFGKRGDTFCSSESESESSESGEDGGDSAGSPGCLKESPLVLKDP
+ALFSSLSASSTSSHGSSTAQKHNTGHADPHAKHWRTDNWKTISSPAWSEVSSLSDSTRTR
+LSSESDGSSEGSSVESLKPVRKRQEHKKRAGLQGTLPDRKNSFHPSGDGAIPKLDKEGKV
+VKKHKTKHKHKSKEKGLCSVSQELKLKSFTYEYEDSKQRADKAILLDDVPAENKLKGLKH
+ERDHCKKEEKLSKMKSEEKDWLFKDEMIKVSREEKSLKRIRDLNKDGGRAFREEKDRSNK
+AEKERLLKEKSPKEEKLRLYKEERKKKSKDRPSKLEKKNDFKEEKIPKEKEKIFKEDKEK
+LRKEKGYREDSAFDEYCNKSQFLENEDTKFSLSDDQQDRWFSDLSDSSFDFKGEDSWDSP
+VTDYRDMKSDSVARLILETVKEDSKEKRRESKAREKRGDRDIFSRKKDRDSLERRREHVA
+DRHRVVPSFLCEKDKRRRESTEGSRDRKEAPEAAKERRDGRVKPEEAHREDLKEYGCDFG
+KSLEPWERHHPGREKKEKLKLEKHKEKSSDKDKSEKLILEKCQRDREFDKCFKEKKDAKE
+KHKDVHSKDKERKASLDQGRDKREKAFPGVLSEDFSEKKDEKKGKEKSWYIADIFTDESE
+DEKDDYTASGFKIGEASEGRGDGPPEREDGRELHPPDRHRKHSADRQAHAEKQKDKEMRE
+KKKEKGAVDGGKDRREKTFEKHKEKKDRKDRTSVDSVQDKRSKQKPPEKGEKRPPAEDKA
+KTRHRERPDREQGRERKASKGAEVEKSLLERLEEEALQDFREDSNDKASEASSDGFPDRG
+QDPSLSALLDVSFPEPPEERVRERERHRHASSSSKKSHDRERGKKDKLEKKDKSDDYKDA
+GSRKDASQYEKELLDSDAYGASYGSKADAEDELDKALELFSTEKKEKNDPEREPPKKVEK
+ELRPYGSSALSLLKEKRRREKHRERWREDKERHRHHREEPKPPARDTAPGAFRDKAKDEG
+GKLGEARLKEKIKENPEKEKGDPTKLSNGNDKLLPTRDPGKKDVRPREKLLGDGDLMMTS
+FERMLSQKDLEIEERHKRHKERMRQMEKLRHRSGDPKLKEKARPAEDARKKSLDGPPKKP
+PGPDLTPKDRKPKESAPPAPATENKPHPGLAVDPRDWLAGPHMKEVLPASPRPDHGRPTG
+VPTPASVVSCPSYEEAMHTPRTPSCSADDYPDLMFDCTDPQPMSSTSASACSPSFFDRFS
+VATSGISETPGQTPTRPLCTNLYRSVSVDVRRTPEEEFGLGDKLFRQQSVPAAPSYGSPG
+QHPEDKAPGPPAPAEKFTCLSPGYYSPDYGMPSPKVDALHCPPAATVNLTPSPEGAFAGL
+QAKSPPSHRDELLAPSMEGALPPDLGIPLDATEDQQATAAIIPPEPSFLEPLDEGPFSTV
+ITEEPVEWAHPAAAEQGLPPGLIGGAPGDTAGWPVGSDLLLKSPQRFPESPKHFCPAESL
+HPEPPYPGSPVSYPLPVPEPGLEAKDKAEGAVPAAVSASEESAPFAPPSRLESFFSNCKS
+LPDAPPDAPPEPACVTAVAQVEALGPLENNFLEGGHNLSALGQVEPVPWPGAFPAAEDDL
+DLGPFSLPELPLQTKDVPDVEAEPVEESPLVPPENTPLGAPVLPGGGEAAGTAADQQLVS
+PSDQAAARLPTEAAPEPLEEPKPAALPEATAETGSGPDGRAPEDSHPGSGLTPALSEQRP
+PGSGDEEAEGQDLSASPHSTPDAPVDGSAQTHVADGAGPQDSAGLEGPLDSVQPESTEPE
+PKVTAEAPKAPKVEEIPQRMTRTRAQMLANQHKQSSPPTEKEPTPTPTPRAKGRGSEDDD
+PQAQHPRKRRFQRSSQQLAQQMHTSTRQTREVIQQTLAAIVDAIKLDAIEPYHSDRSNPY
+FEYLQIRKKIEEKRKILCYISPQAPQCYAEYVTYTGSYLLDGKPLSKLHIPVIAPPPSLA
+EPLKELFKQQEAVRGKLRLQHSIEREKLIVSCEQEILRVHCRAARTIANQAVPFSACTML
+LDSEVYNMPLESQGDENKSVRDRFNARQFISWLQDVDDKYDRMKTCLLMRQQHEAAALNA
+VQRMEWQLKVQELDPAGHKSLCVNEVPSFYVPMVDVNDDFVLLPA
+>tr|F1N459|F1N459_BOVIN Tctex1 domain containing 1 OS=Bos taurus OX=9913 GN=TCTEX1D1 PE=4 SV=2
+MMMSDIAKDRTAHLLKKRGSVSSLSNHEFRRKEPHGRTKDSVSTVSYMDEPCQPEDASRL
+TVHMENTYQLGPTKHFPVVIVNHILKDVLTTYLQEEQYEPELCRQMTKTISEVIKAQVKD
+LMIPRYKLIVIVHIGQLTGQSILIGSRCLWDPKNDAFASFIFRNSSLFALANVYTVYFE
+>tr|A0A3Q1LTC6|A0A3Q1LTC6_BOVIN Mitogen-activated protein kinase kinase 4 OS=Bos taurus OX=9913 GN=MAP2K4 PE=3 SV=1
+GKGTSQACLESGGGHRFHLRWQEQPSKRKALKLNFANPPFKSTARFTLNPNPAGVQNPHI
+ERLRTHSIESSGKLKISPEQHWDFTAEDLKDLGEIGRGAYGSVNKMVHKPSGQIMAVKRI
+RSTVDEKEQKQLLMDLDVVMRSSDCPYIVQFYGALFREGDCWICMELMSTSFDKFYKYVY
+SVLDDVIPEEILGKITLATVKALNHLKENLKIIHRDIKPSNILLDRSGNIKLCDFGISGQ
+LVDSIAKTRDAGCRPYMAPERIDPSASRQGYDVRSDVWSLGITLYELATGRFPYPKWNSV
+FDQLTQVVKGDPPQLSNSEEREFSPSFINFVNLCLTKDESKRPKYKELLKHPFILMYEER
+AVEVACYVCKILDQMPATPSSPMYVD
+>tr|A0A3Q1N2C2|A0A3Q1N2C2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC100140503 PE=4 SV=1
+CLPFQQDFIETDLILLMNYICSKQAILSSKFTPCCELPEPFRGECIVNSENDNKPDLSSL
+PHRRFLYEYSRRHPELALPVILRVDTVYQNLLGKCCKLENPLECCSHGEGMFQRVVRESH
+ERVKNQCDLRKKLGDSNFHDRLIVLYTKKAPQLSAQELIVLTKNMAAAATKCCPLNQEHQ
+FVCMEDSAKLILGALCRRHEAEPINAAVGHCCDDSYAFRKPCFDDLQVDGTYISSPLSCD
+QVINLKEDLCKAQEEELQTEKQKLLSNLVKQKLYAAEMQFQPITVDFAHLVETCCQAEKS
+ETCFQEEVSLFPCLFS
+>tr|A0A3Q1LR99|A0A3Q1LR99_BOVIN Leiomodin 1 OS=Bos taurus OX=9913 GN=LMOD1 PE=4 SV=1
+MCVPTGACSRDAGKWQDRIDSRLFSRCSETSICKEGKQVETKTDAKKGEDKGRDAGKKPL
+GPRRDSDLGKEPKKGSMKKSISRDKDEADGRSAERPKEEKLVRGLEKGRVRAVVDKKEAG
+KEGPAAPRKDEEKQRNVSRDKGKKGEQPEAASKTAAPEKAKGPRTGEDTRAEAQESKGGR
+RDRAARPEDEKARKEEPHEAKAPREDSKTPVPEKPTPGAPAKPADGPAKAEEEATPSIFD
+EPLERVKSNDPEVTEVNVNNSDCITTEILVRFAEALEFNTAVKVFALANTRADDHVAFAI
+AIMLKANKTITSLNLDSNHITSKGILAIFRALLQNSSLTELRFHNQRHICGGKTEMEMAK
+LLKENTTLLKLGYHFELAGPRMTVTNLLSRNMDRQRQKRLQEQRQAQEAQAQEAQAGKAL
+LEVPQARPPARGSPRPSLQPPPKAAPKNSPGKGGAPAVPPPPPPPLAPPLIMENLRNSLS
+PATQRKMGDKALPAQEKNSRDQLLAAIRSSNLKQLKKVEVPKLLQ
+>tr|A0A3Q1MLT0|A0A3Q1MLT0_BOVIN TEF, PAR bZIP transcription factor OS=Bos taurus OX=9913 GN=TEF PE=4 SV=1
+MASTSHSTSTSRQAMSSCDRIGVAPAMDMPEVLKSLLEHSLPWPEKRTDKEKGKEKLEED
+EAAAASTMAVSASLMPPIWDKTIPYDGESFHLEYMDLDEFLLENGIPASPTHLVQNLLLP
+VAELEGKESASSSTASPPSSTAVFQPSETVSSTESSLEKERETPSPIDPNCVEVDVNFNP
+DPADLVLSSVPGGELFNPRKHKFAEEDLKPQPMIKKAKKVFVPDEQKDEKYWTRRKKNNV
+AAKRSRDARRLKENQITIRAAFLEKENTALRTEVAELRKEVGKCKTIVSKYETKYGPL
+>tr|E1BIM6|E1BIM6_BOVIN Neuronal pentraxin 1 OS=Bos taurus OX=9913 GN=NPTX1 PE=4 SV=1
+MPAGRAARTCALLALCFLGSGAQDFGPTRFICTSVPVDADMCAASVAAGGAEELRSNVLQ
+LRETVLQQKETILSQKETIRELTTKLGRCESQSTLDASAGEARTGGGRKQPGSGKNTMGD
+LSRTPAAETLSQLGQTLQSLKTRLENLEQYSRLNSSSQTNSLKDLLQSKIDDLERQVLSR
+VNTLEEGKGGPKNDTEERVKIESALTSLHQRISELEKGQKDNRPGDKFQLTFPLRTNYMY
+AKVKKSLPEMYAFTVCMWLKSSAAPGVGTPFSYAVPGQANELVLIEWGNNPMEILINDKV
+AKLPFVINDGKWHHICITWTTRDGVWEAYQDGTQGGNGENLAPYHPIKPQGVLVLGQEQD
+TLGGGFDATQAFVGELAHFNIWDRKLTPGEVYNLATCSTKALSGNVIAWAESHIEIYGGA
+TKWTFEACRQIN
+>tr|A0A3Q1LME0|A0A3Q1LME0_BOVIN RAP1 GTPase activating protein 2 OS=Bos taurus OX=9913 GN=RAP1GAP2 PE=4 SV=1
+MRAAGRTARAPAESPRSRPARRTRAAERALGSGLRQPELLPRCGRWRVGSGRRPGSMAGR
+RAPGEKRWQLVRWYVQEGRFRIEERTLTAFQWLYSPQQHRILSRADLESPSRIDKTMLAS
+LKVKKQELANSSDVTLPDRPLSPPLTAPPTMKSAEFFEMLEKMQGIKLEEQKPGPQKNKD
+DYIPYPSIDEVVEKGGPYPLIVLPQFGGYWIEDPENVSTPTSLGSSICEDEEEDSLSPST
+FGYKLECKGEARAYRRHFLGKDHLNFYCTGSSLGNLLLSVKCEEAEGVEYLRIILRSKVK
+TVHERIPLAGLSKLPSVPQIAKAFCDDAVGLKFNPVLYPKASQMIVSYDEHDVNNTFKFG
+VIYQKARQTLEEELFGNNEESPAFKEFLELLGDTITLQDFKGFRGGLDVTHGQTGVESVY
+TIFRDREIMFHVSTKLPFTEGDTQQLQRKRHIGNDIVAIIFQEENTPFVPDMIASNFLHA
+YIVVQAENPGTEPPSYKVSVTAREDVPAFGPPLPSPPVFQKGPEFREFLLTKLTNAENAC
+CKSDKFAKLEDRTRAALLDNLHDELHAHTQAMLGLGPEEDKFENGGHGGFLESFKRAIRV
+RSHSMETMVSSQKKQHGGGIPGSLSGGITHNSVEVTKTTFSPPVAVATAKNQSRSPIKRR
+SGLFPRLHTGSEGQGDSRTRCDSASSNPKTPEGGHSSQEIKSETSSNPSSPEICPNKEKP
+FIKLKENGRANISRSSSSTSSFSSTAGEGEAMEECDSGSSQPSTTSPFKQEVFVYSPSPS
+SESPSLGAAATPIIMSRSPTDVKSRNSPRSNLKFRFDKLSHASSSAGH
+>tr|E1BC32|E1BC32_BOVIN Tropomodulin 2 OS=Bos taurus OX=9913 GN=TMOD2 PE=4 SV=3
+MALPFQKELEKYKNIDEDELLGKLSEEELKQLENVLDDLDPESALLPAGFRQKDQTQKAA
+TGPFDREHLLMYLEKEALEQKDREDFVPFTGEKKAVLGVHNLLNNPKFDEETTNTKGGKG
+PVRNVVKGEKVKPVFEEPPNPTNVEVSLQQMKANDPSLQEVNLNNIKNIPIPTLKEFAKA
+LETNTHVKKFSLAATRSNDPVAIAFADMLKVNKTLKSLNIESNFITGAGILALVEALKEN
+DTLIEIKIDNQRQQLGTAVEMEIAQMLEENSRILKFGYQFTKQGPRTRVAAAITKNNDLV
+RKKRVEGDRR
+>tr|A0A3Q1LQ74|A0A3Q1LQ74_BOVIN WD repeat domain 73 OS=Bos taurus OX=9913 GN=WDR73 PE=4 SV=1
+MEPAEDWLVESLRLYQDFHAFDLSGATRVLEWIGDKGILVAGYDSLKKNEILHLILPLRL
+SVKENQGLFPERDFKVQHGGFSDRSVCDLKHVPDTRLLVTSGPPGSYLQVWQLAEDSDVI
+RAVSTIDVHEREEPLWPRVAIFPSVAPGVLHGTRLSSLKVVDLHSQKTMYTSGVSDDDVL
+SSLQVLDTDTFAFCCSSGRLGLVDTRQRWAPSENLSPGPGSTGRQWCAEAGGRGPGPSIA
+RLGSDGQLCLLDPRDLCQPVSSVQCPVSMPSPEPELLRVTWAPGLDNCLAISGFDGTVQV
+YDVTSWAGVGRQVEPLFTHRGHIFLDDSGMDPAPLVTTHTWHPYKPRTVLSAASDASLHV
+WDWVDPCASR
+>tr|F1MZE0|F1MZE0_BOVIN Lengsin, lens protein with glutamine synthetase domain OS=Bos taurus OX=9913 GN=LGSN PE=3 SV=2
+MNDEGDLLKEDTRDEGNETKASSVSKLMRTREKVTKLHIFSTEVGEMDISNSKEKNRNLR
+VCHKLGDTDKPVMAPGSPESHLTPDDKDSKDQTVAIKPLSLPTLINDPGDEFHPNSSDTD
+NTRDNTQVPTAPQLSSRMKHIKQEMAKNHLQFVRFEATDLHGVSRSKSIPAQFFQEKVIH
+GVYMPRGYLELIPNPKDNEVDHIRATCFNSDIVLMPELSTFRVLPWAKRTARVICDTFTV
+TGEPLLTSPRHIAKRQLSQLQDAGFSLLSAFIYDFCIFGVPEIINSKTISLPASALLNNH
+DQLFIQELVDGLYHTGANVESFSSSTRPGQMEICFLPEFGISSADNAFTLRMAVKEVARK
+YNYITSFFIETGFCNSGILSHSLWDVDEKKNMFCSNSGIEQLTIPGKKWLAGLLKHSAAL
+SCLMAPAVSCRKRYSKESKDLKESVPTTWGYNDNSCAFNIKCHGEKGTRIENKLGSATAN
+PYLVLAATVAAGLDGLYSDDGVLSGPENSTDLHQSKPSEIPLKLEHALMALEEDQCLRQA
+LGETFIRYFVAMKKYELENEETDAERNKFLEYFI
+>tr|F1MAT3|F1MAT3_BOVIN 5-hydroxytryptamine receptor 3B OS=Bos taurus OX=9913 GN=HTR3B PE=3 SV=2
+YIFFFSFFSILSFSGILAIGMPHSRSPALHHLTKQLLQTYRKEVRPVHNWTQATTVYLDV
+SVHAVLDVDTQNQKLKTSIWYREVWDDEFLSWNSSMFDEIREISLPLSAIWAPDIIINEY
+VDIERSPDLPYVYVNSSGTIKHSKPIQVVSACNLETYAFPFDVQNCSLTFSSILHTVEDV
+DLAFLRSTEDITHDQKAFLNDSEWELLSVSSTYSILQNSAGDFAQIQFNVVIRRHPLVYV
+VSLLIPSIFLMLVDLGSFYLPPTCQARILFKTSVLVGYTVFRVNMSDEVPRSAGSTPLIG
+VFFTVCMAFLVLSLSKSILLVKFLYDERCSQQEQTLLCLRGDMDTNQRQMDPGAQLAGVT
+ESPVCQEDQAPSGTLKEVWSQLRSISSYFQTQDQVDRQELGWLALLERFDRLLFQSYLIV
+LGLYAVTLCSLWVSWSG
+>tr|F1MH69|F1MH69_BOVIN Transmembrane 6 superfamily member 1 OS=Bos taurus OX=9913 GN=TM6SF1 PE=4 SV=1
+MSASAATGVFVLSLSAIPVTYVFNHLAAQHDSWTIVGAAAVVLLLVALLARVLVKRKPPR
+DPLFYVYAVFGFTSVVNLIIGLEQDGIIDGFMTHYLREGEPYLNTAYGHMICYWDGSAHY
+LMYLVMVAAIAWEESYRTIGLYWVGSIIMSIVVFVPGNIVGKYGTRICPAFFLSIPYTCL
+PVWAGFRIYNQPSENYNYPSKVIQEVQAKDLLRRPFDLILVLCLLLATGFCVFRGLIALD
+CPAELCRLYTQFQEPYLKDPAAYPKIQMLAYLFYSVPYFVIALYGLVVPGCFWMPDITLI
+HAGGLAQAQFSHIGASLHARTAYVYRVPEEAKIIFLALNIAYGVLPQLLAYRCIYRPEFF
+IKTKADEKVE
+>tr|F1MB60|F1MB60_BOVIN 40S ribosomal protein S26 OS=Bos taurus OX=9913 GN=RPS26 PE=3 SV=1
+QTKKRRNNGRAKKGRGHVQPIRCTNCARCVPKDKAIKKFVIRNIVEAAAVRDISEASVFD
+AYVLPKLYVKLHYCVSCAIHSKVVRNRSREARKDRTPPPRFRPAGAAPRPPPKPM
+>tr|A0A3Q1LQG4|A0A3Q1LQG4_BOVIN KIAA1324 like OS=Bos taurus OX=9913 GN=KIAA1324L PE=4 SV=1
+MLFLRPGPARGRGRGRPARAPHSGLSPPWSPAWICCWALAGCQAAWTCLCDYLQKDYHFE
+YTECDSSGSRWRVAIPNSAVDCSGLPDPVRGKECTFSCASGEYLEMKNQVCSKCGEGTYS
+LGSGIKFDEWDELPAGFSNVATFMDTVVGPSDSRPEGCNNSSWVPRGNYIESNRDDCTVS
+LIYAVHLKKSGYVFFEYQYVDNNIFFEFFIQNDQCQEMDTTADKWVKLTDNGEWGSHSVM
+LKSGTNILYWRTTGILMGSKAVKPVLVKNITIEGVAYTSECFPCKPGTFSDKPGSFICQV
+CPRNTYSEKGAKECIRCDEDSQFSEEGSSECMERPPCTSKDYFQIHTPCDEEGKTQIMYK
+WIEPKICREDLTDAIRLPPSGEKKDCPPCNPGFYNNGSSSCHPCPPGTFSDGTKECRSCP
+AGTEPALGFEYKWWNVLPGNMKTSCFNVGNSKCDGMNGWEVAGDHIQSGAGGSDNDYLIL
+NLHIPGFKPPTSMTGAMGSELGRITFVFETLCSADCVLYFMVDINRKSTNVVESWGGTKE
+KQAYTHVIFKNATFTFTWAFQRTNQGQDATTLRKKPTSAWSVHLIPTCPYIRSMARRLVF
+HVGLGVEALSNLSSVGSLMNGPSFTSKGTKYFHFFNISLCGHEGKKLAVCTNNITDFTVK
+EMVAGSDDYTNLVGAFVCQSTIIPSESKGFRAALSSQSIILADTFLGVTVETTLQNINIK
+EDMFPVSPSQIPDVHFFYKSSTTTTSCVNGRSTAVKMRCNPAKPGAGAISVPSKCPAGTC
+DGCTFYFLWESVEACPLCTEHDFHEIEGACKRGFQETLYVWNEPKWCIKGISLPEKKLST
+CETVDFWLKVGAGVGAFTAVLLVALTCYFWKKNQKLEYKYSKLVMTTNSKECELPAADSC
+AIMEGEDNEEEVVYSNKQSLLGKLKSLATKVTAEF
+>tr|A0A3Q1LSS7|A0A3Q1LSS7_BOVIN Breast cancer metastasis-suppressor 1-like protein OS=Bos taurus OX=9913 GN=BRMS1L PE=4 SV=1
+MPVHSRGDKKETNHHDEMEVDYAENEGSSSEDEDTESSSVSEDGDSSEMDDEDCERRRME
+CLDEMSNLEKQFTDLKDQLYKERLSQVDAKLQEVIAGKAPEYLEPLATLQENMQIRTKVA
+GRKLNMEQFFPSYLCVIYFFFQSEKLLLYDTVQSELEEKIRRLEEDRHSIDITSELWNDE
+LQSRKKRKDPFTKLTLTFQRPYIVYMLQDLDILEDWTTIRKAMATLGPHRVKTEPPVKLE
+KHLHSARSEEGRLYYDGEWYIRGQTICIDKKDECPTSAVITTINHDEVWFKRPDGSKSKL
+YISQLQKGKYSIKHS
+>tr|F1MP70|F1MP70_BOVIN Leucine-rich repeat flightless-interacting protein 2 OS=Bos taurus OX=9913 GN=LRRFIP2 PE=4 SV=1
+MGTPGSGRKRTPVKDRFSAEDEALSNIAREAEARLAAKRAARAEARDIRMRELERQQKEL
+DEKSDKQYAENYTRPSSRNSASATTPLSGNSSRRGSGDTSSLIDPDTSLSELRESLSEVE
+EKYKKAMVSNAQLDNEKNNLIYQVDTLKDVIEEQEEQMAEFYRENEEKSKELERQKHMCS
+VLQHKMDELKEGLRQRDELIEKHGLVIIPDGTPNGDVHQEPAVGAITVVSQEAAQVLESA
+GEGPLDVRLRKLAGEKEELLSQIRKLKLQLEEERQKCSGRDGTAGDLAELQNGSDLQLIE
+MQRDANRQISEYKFKLSKAEQDITTLEQSISRLEGQVLRYRTAAENAEKVEDELKAEKRK
+LQRELRTALDKIEEMEMTNSHLAKRLEKMKANRTALLAQQ
+>tr|F1N2C2|F1N2C2_BOVIN Ribonucleoprotein, PTB binding 2 OS=Bos taurus OX=9913 GN=RAVER2 PE=4 SV=2
+MAAAGDGGGEGGAAAPGSGPRGPSPFSEARGDARGPVPAALHPEEVAARLQRMRRELSNR
+RKILVKNLPQDSSCQEVHDLLQDYELKYCYVDRNKRTAFVTLLNGEQAQNAIQMFHQYSF
+RGKDLVVQLQPTDALLCITNLPTSFTLEEFEELVRAYGNVERCFLVYSEVTGHSKGYGFV
+EYMKKDFAAKARLELLGKQLGASVVFAQWMDVNLLAAELIHSKCLCIDKLPSDYRDSEEL
+LQFFSSIHKPVFCQLAQDEGSYVGGFAVVEYSTAEHAEEVQQAADGMTIKGSKVQVSFCA
+PGAPGRSTLAALIAAQRVRHSNQKGLLPEPNPVQIMKSLNNPAMLQILLQPQLCGRTVKP
+AVLGTPHSLPHLMNPSISPAFLPLNKAHQSSLMGNTSNLFLQNLSHVPLAQQQLMKFENI
+HTNNKPGLLGEPPAMVLQTAVGIGSPLPLKTELGHLGEVHKTAPNLIPTQTTITTGMGML
+PFLPNQHIAGQAGPGHGSTQEKQPALVGMAEGNFSGSQTYLQSFPNLTAGGLLTGHQKQQ
+QSQPKGLEISSGAASKNQTSLLGEPPKEIRLSKNPYLNLASVLPSVCLSSPASKTTVQKT
+GIASNILDTISQGNESQHTLEKCIAYSQPFGDYAQVPHSQVLMIYRTIASTIY
+>tr|A0A3Q1M4A7|A0A3Q1M4A7_BOVIN DNA polymerase delta subunit 2 OS=Bos taurus OX=9913 GN=POLD2 PE=4 SV=1
+MGQVPGSWMGADEESPHVGRVELEALIVIQVDVWNGQWTLEPGFESSRWERHSLTCSISL
+FGCRFLGETWVANTVSSRCCSGRLLCMWAGVAGLHLCFHRDHLLLGLDTSSRAMFSEQAA
+QRAHTLLSPPSASNATFARVPVVTYTNSSQPFRLGERSFSRQYAHIYATRLIQMRPFLVS
+RAQQRWGSGVLVKKLCELQPGEKCCVVGTLFKAMPLQPSILREVSEEHNLLPQPPRSKYI
+HPDDELILEDELQRIKLEGTIDVSKLVTGTVLAVLGSAGDDGKFLVEDHCFAGLAPQKPA
+CPLDTDRFVLLVSGLGLGGGGGESLLGTQLLVDVVTGQLGDEGEQCSAAHVSRVILAGNL
+LSHNTQSRDSINKAKYLTKKTQAASVEAVKMLDEILLQLSASVPVDVMPGEFDPTNYTLP
+QQPLHPCMFPLATAYSTLQLVTNPYQATIDGVRFLGTSGQNVSDIFRYSSMEDHLEILEW
+TLQVRHISPTAPDTLGCYPFYKTDPFIFPECPHVYFCGNTPSFGSKIIQGPEDQTVLLVA
+VPDFSTTQTACLVNLRSLACQPISFSGFGAEDEDLGGLGLGP
+>tr|F1MJQ2|F1MJQ2_BOVIN Contactin associated protein 1 OS=Bos taurus OX=9913 GN=CNTNAP1 PE=4 SV=3
+MMRLRLFCILLAAVSGARGWGYYGCDEELVGPLYARSLGASSYYGLFTAPRFARLHGISG
+WSPRIGDPNPWLQIDLMKKHRIRAVATQGSFNSWDWVTRYMLLYGDRVDSWTPFYQRGHN
+ATFFGNVNESAVVRHDLHYHFTARYIRIVPLAWNPRGKIGLRLGLYGCPYKSDVLYFDGD
+DAISYRFPRGVSRSLWDVFAFSFKTEEKDGLLLHAEGAQGDYVTLELQGAHLLLHMSLGS
+SPIQPRPGHTTVSAGGVLNDQHWHYVRVDRFGREANLTLDGYVQRFVLNGDFERLNLDNE
+MFIGGLVGAAQKNLAYRHNFRGCIENVIFNRVNIADLAVRRHSRITFEGKVAFRCLDPVP
+HPINFGGPHNFVQVPGFPRRGRLAVSFRFRTWDLTGLLLFSSLGDGLGHVELMLSEGQVN
+VSVVQTGRKKLQFAAGFRLNDGFWHEVNFVAQENHAVISIDDVEGAEVRVSYPLLIRTGT
+SYFFGGCPKPASRSGCHSNQTAFHGCMELLKVDGQLVNLTLVEGRRLGYYAEVLFDTCGI
+TDRCSPNMCEHDGRCYQSWDDFICYCELTGYKGETCHQPLYKESCEAYRLSGKTSGNFTI
+DPDGSGPLKPFVVYCDIRENRAWTVVRHDRLWTTRVTGSSMERPFLGAVQYWNASWEEVS
+ALANASQHCEQWIEFSCYNSRLLNTAGGYPYSFWIGRNEEQHFYWGGSQPGIQRCACGLD
+RSCVDPALHCNCDADQPQWRTDKGLLTFVDHLPVTQVVVGDTNRSSSEAQFFLRPLRCYG
+DRNSWNTISFHTGAALRFPPIRANHSLDVSFYFRTSAPSGVFLENMGGPYCQWRRPYVRV
+ELNTSRDVVFAFDVGNGDENLTVHSDDFEFNDDEWHLVRAEINVKQARLRVDHRPWVLRP
+MPLQTYIWLEYDRPLYVGSAELKRRPFVGCLRAMRLNGVTLNLEGRANASEGTSPNCTGH
+CAHPRFPCFHGGRCVERYSYYTCDCDLTAFDGPYCNHDIGGFFEPGTWMRYNLQSALRSA
+AREFSHMLSRPVPGYEPGYIPGYDTPGYVPGYHGPGYRLPDYPRPGRPVPGYRGPVYNVT
+GEEVSFSFSTQSAPAVLLYVSSFVRDYMAVLIKEDGTLQLRYQLGTSPYVYQLTTRPVTD
+GQPHSVNITRVYRNLFIQVDYFSLTEQKFSLLVDSQLDSPKALYLGRVMETGVIDPEIQH
+YNTPGFSGCLSGVRFNNVAPLKTHFRTPRPMTEELAEALRVQGELSESNCGAMPRLVSEV
+PPELDPWYLPPDFPYYHDDGWVAILLGFLVAFLLLGLVGMLVLFYLQNHRYKGSYHTNEP
+KATHDYHAGSKPPLPTSGPVPAPAPASAPTPTPASTQVPAPAPAPAPAPAPGPRDQNLPQ
+ILEESRSE
+>tr|A0A3Q1M1I4|A0A3Q1M1I4_BOVIN Trinucleotide repeat containing 18 OS=Bos taurus OX=9913 GN=TNRC18 PE=4 SV=1
+MDGRDFGPPRSVHGPPPPLLSGLAMDSHRVGAATAGRLPASGLPGPLPPGKYMAGLNLHP
+HPDGFYLPAPGAPGAPGTLHSHAASSRTPGGHSSGTSTKGASREGPAKERTGRSGEPPPL
+FGKKDPRAREEAAGPRGVVDLTQEARAEGRQDRGPPRLLERLSPFLAEPGPLRAPGSATA
+ESKGKNPPPPLSLSLCNGTGTGDVGLPALIAEGSRGTKDIARQDESARLRRAEALLPGPC
+PCPSPLPPPPPPPSKGPPAPSAASGAFAAPQPAPTGVYTIFPAAASREPGREHRVVAPTF
+VPSVEAFDERPGPIQIASQARDSRAREREVGRPGVLQGPPGSPRPPPDRPESLREKSSVI
+RSLKRPPPADAPPARAARASPDPRAYLPAKELLKPEAEPRPCERALRGPAATAASQQAAK
+LFGLEPGRPPPPAGPEHKWKPFELGNFATTQMAVLAAQHHHASRAEEEAAAAASKKAYLD
+PGGALPRAVATCARPGADIHAAAHGPGEASAMQSLIKYSGSFAREAVTVRPGGCGKKSPF
+GGLGTMKPEPAPTAAPRAQTRLPHPGGPAASSGRQLKRDPERPESAKAFGREGSGAQGEA
+EVRHPPVGIAVAVARQKDSGGSGRLAPGLADQERSLSLSNVKGHGRADEDCGDDRARHRE
+ERLLGARLDRDQEKLLRESKELADLARLHPTSCAANGLNPNLMVTGGPALAGSGRWSADP
+AAHLATHPWLPRSGSTSMWLAGHPYGLGPPSLHQGMTPAFPPGLGGSLPSAYQFVRDPQS
+GQLVVIPSDHLPHFAELMERAAVPPLWPALYPPGRSSLHHAQQLQLFSQQHFLRQQEFLY
+LQQQAAQALELQRSAQLVERLKAQEQRAEMEEKVSKRSLEAAGKAGLGAAGPGLLPRKPP
+GLSTGPTGTYGKAMSPPPSPRASPVAALKAKVIQKLEDVSKPPAYAYPATPSSHPSSPPP
+ASPPPTPGIARKEEAPENVVEKKDLELEKEAPSPFQALFSDIPARYPFQALPPHYGRPYP
+FLLQPTAAADADGLAPDVPLPADGPERLALSPEDKPLRLSPSKVPEPLREVPDEEPLVER
+EVKAEVEDMDEEPAQLPSLESPLTLPPEDALAAPSPAGGLLEAQALSASGQGPEEPPGCP
+DFAEGAEARVDSPGLTESCAAAPDLGPRLTPEPLVEAKEEPVEVPVDMPVAVPAPLAEVV
+PGEEDLAQTAPSEPRPSLELPDCDVPAGEGECPRLEAPEAGPVPGGTCFLEEAGSEEFLP
+SLEDPLAGMNALAAAAELPQARPLPSPGTGAPALETLEAAQSLVLEQSFLHGITLLSEIA
+ELELEKRSQEAAGAERGPPVRPSLESLLAASSHVLKEVLDGPFVDPLKNLRLPRELNPNK
+KYSWMQKKDERMYAMKSSLESMDAMELDFRMRLAEVQRQYKEKQRELVKLQRRRDSELSG
+KPLLTSDDYELGAGMRKRHKGPEEDHDALGGAGKARGRNQPWDEHETSSDLMSQLKIKKK
+KMASDQEQLASKLDKALSLTKQDKLKSPFKFSDGSGGKSKASGGCGRYLTPYDSLLGKDR
+KALAKGLSLSLKASREGKHKRAAKARKMEVGFKARGQPKSTHSPFASEVSSYSYNTDSEE
+EEEFLKDEWAAQGPSSSKLTPSLLCGMVAKNSKPAGGPKLTRRGLAGARTLKPKPAAGRK
+QPFCLLLQEVEPRSSFSDSSEDSFDQDESSEEEDEDDEPEEDEAAGGYRLGARERALSPG
+LEESGLGLLARFAASALPSPTVGPSLSVVQLEAKQKARKKEERQSLMGTEFEYTDSESEV
+KVRKRSPAGLLRPKKGLGEPGPALAAPGARTPGPSSPDKAKLAAEKGRKARKSRGPKEPG
+PEAGPEASDDDLWTRRRSERIFLHDASAPPAPSSAAPTAAKPGRCAKAGLPSPRKDAGRA
+RDRKDPRKKKKGKEAGSGASLPPPRVPALPTEARAPHTSSPASAKRSKAKAKAKEVKKEN
+RGKGGAVSKLMESMAAEEDFEPNQDSSFSEDEHLPRGGAAERPLTPAPRSCIIDKDELKD
+GLRVLIPMDDKLLYAGHVQTLHSPDIYRVVVEGERGNRPHIYCLEQLLQEAIIDVRPAST
+RYLPQGTRIAAYWSQQYRCLYPGTVVRGLLGLEDDGDLITVEFDDGDTGRIPLSHIRLLP
+PDYKIQCAEPSPALLVPSAKRRSRKTSKDAGESKDGAAAAPEEPGAKARGRGRKPSTKAK
+SDRAAVLEEGGSTDDVPSTPLVLEPVSTPGSKKSPPEPVDKRAKTPKARPAPPQPSPAPS
+TFASCPAPEPFGELPTPAAALAPAPLVTVPITMPATRPKPKKARAAEESASKGARRPGEE
+AELLVKLDHEGVTSPKSKKAKEALLLREEPKGLLGLGSYAPAAGSPEPKAARPKAADGDL
+AQEPAVGLAFEDSGTPKSPDKGQAEQDGAEESESGSSSGGSSSDSSSSSSETEGEEDGQG
+GGGRTCSASSSRASSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSS
+SSSSSSSSSSTTDDSSCSSDDEAAPAPTAGPSAPPALPSKAPKQAGKARSPGKKAPAPAA
+QAPPPQPTPPLPPKAQAGAKSRPKKREGVHLPTTKELAKRQRLPSVENRPKIAAFLPARQ
+LWKWFGKPTQRRGMKGKARKLFYKAIVRGKEMIRIGDCAVFLSAGRPNLPYIGRIQSMWE
+SWGSNMVVRVKWFYHPEETSPGKRLHEGQPWDQKSGRSLPASLRASSQRKDFMERALYQS
+SHVDENDVQTVSHKCLVVGLEQYEQMLQTKKHQDSEGLYYLAGTYEPTTGMIFSTDGVPV
+LC
+>tr|A0A3Q1M383|A0A3Q1M383_BOVIN KRAB domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+ENWRPPLVEQTSTFRDVAIHFSQQEWECLNPVQKNLYQDVMMENYDNLVSLGHSISKPDV
+ITLLEQGKEPWMVVREETRRWCAGQYKQVKLWGHIHVSKSPVVKETHI
+>tr|F6R6R6|F6R6R6_BOVIN DExD-box helicase 39A OS=Bos taurus OX=9913 GN=DDX39A PE=4 SV=1
+MRRDVQEIFRLTPHEKQCMMFSATLSKEIRPVCRKFMQDPMEVFVDDETKLTLHGLQQYY
+VKLKDSEKNRKLFDLLDVLEFNQVVIFVKSVQRCMALAQLLVEQNFPAIAIHRGMAQEER
+LSRYQQFKDFQRRILVATNLFGRGMDIERVNIVFNYDMPEDSDTYLHRVARAGRFGTKGL
+AVTFVSDENDAKILNDVQDRFEVNVAELPEEIDISTYIEQSR
+>tr|A0A3Q1MTE6|A0A3Q1MTE6_BOVIN T cell immunoreceptor with Ig and ITIM domains OS=Bos taurus OX=9913 GN=TIGIT PE=4 SV=1
+MKDLGLWGTCLADPKKEAGGAVTGRIVTMGNISAEEGGSVTLQCHLSSTTAKVTQVNWNL
+QDQVVAIHHDSLGWHINPAFKERVVPDSNLGLTLRWLTSNDTGQYTCVYHTYPDGIYKGV
+LFLQVLRHSVAEYSAGFQIPLLGAMAAVLVVICIAVIAVATLARKKSLRIRSAEGDLGRG
+PSEPEACRPRVVSSPGSCVQADAGLCDDQGSEDHAEAEPHEYFNVLSYRSLASFSFPTET
+G
+>tr|G5E655|G5E655_BOVIN HSF_DOMAIN domain-containing protein OS=Bos taurus OX=9913 GN=LOC526488 PE=3 SV=2
+MASQSSHEARAALLILSTDGEPAAGDTRDSSPDPNLDLGEALEKQGDQPKSPDPGLHDNL
+PPQGPKPEMASKEENNTFLGLSFPRKLWRIVEDEAFTSVRWNDEGDMVVIEADLFQTEVL
+QRRGADQIFETDSIKSFIRELNLYGFSKISPSDHSAGKKMMIYRNSNFQRDKPLLLRNIP
+KRKKRAVATRHSPRLHHNQCTQEVGKKVHKGTPPARRTPSRRSFVLSHLWSMGSVARRAG
+ANHLPREQGGPSGKGTSSNTTSAPPATSGRGSTGQMPESLPEYPDYDSVMALYNTCYSLL
+TAALSFTAPNEAPEAEEEQGESSDHTCVLCEQVKDKPNP
+>tr|E1BJQ3|E1BJQ3_BOVIN Interleukin 6 receptor OS=Bos taurus OX=9913 GN=IL6R PE=4 SV=1
+MLAVRCALLTALLAASGTALVLKGCPALEVASDVLTSVPGANVTLTCPGGGPEDNATVHW
+VLRDQMTGSHHGRPAGVGRRLLLQSVQLSDSGNYSCYREDHPAGSVRLVVDVPPEKPQIS
+CIQKSPLSRVNCEWSPRSPPSLTTKAVLLVKKFPKQVFQEPCQYSPESQRFSCQLAVPEG
+DSSFYVLSLCVANSAGNKSSNPLGFDGYKLLQPDPPVNITVSAVDRNPRWLSVTWQDPPS
+WNSYYYRLQFELRYRTERSETFTTLMLKDPQYHCIINDAWSGMRHVVQLRAQEEFGNGLW
+SEWSPEVTGTPWTESRSSTTTKLPTSTQAPTTTEDNENIFSKDSAKTTSLPVQDSTSVPL
+PAFLVAGGSLAFGTLLCIGIILRFKKTGKLQALKEGKTSAHPSYSLGQLVPERPKPTPAL
+VPLISPPVSPSSLESDNTSRNSRPDAKGPGSPYDVSNRDYFFPR
+>tr|A0A3Q1NEZ9|A0A3Q1NEZ9_BOVIN Carbonic anhydrase 13 OS=Bos taurus OX=9913 GN=CA13 PE=3 SV=1
+MSGFSWGYGERDGPVHWNEFFPIADGDQQSPIEIKTKEVRYDSSLRPLGIKYDASSAKII
+SNSGHSFNVDFDDTDDKSVLRGGPLTGSYRLRQFHLHWGSTDDHGSEHVVDGVRYAAELH
+VVHWNSDKYPSFVEAAHEPDGLAVLGIFLQIGEHNPQLQKITDILDSIKEKGKQTRFTNF
+DPVCLLPPCRDYWTYPGSLTVPPLLESVTWIILKQPINISSQQLAAFRTLLCSREGETAA
+FLLSNHRPPQPLKGRKVRASFR
+>tr|E1BG63|E1BG63_BOVIN Transmembrane and tetratricopeptide repeat containing 3 OS=Bos taurus OX=9913 GN=TMTC3 PE=4 SV=3
+MEKMADINLKEVTLIVGVVAVCYWNSLFCGFVFDDVSAILDNKDLHPSTPLKTLFQNDFW
+GTPMSEERSHKSYRPLTVLTFRLNYLFSELTPMSYHLLNMIFHAVVSVIFLKVCRLFLNN
+QSSMIASLLFAVHPIHTEAVTGVVGRAELLSSIFFLAAFLSYTKSKGPDNSIVWTPIALT
+VFLVAVATLCKEQGITVVGICCVYEVFIAQGYTLPLLCTTAGQFLRGKGSIPFSMLQTLI
+KLIVLMFSTLLLVVIRVQVIQSQLPVFTRFDNPAAVSPTPTRQLTFNYLLPVNAWLLLNP
+SELCCDWTMGTIPLIESFLDVRNLATFIFFCFLGALGVFSLRYPSNSSKTVLMALCLMAL
+PFIPASNLFFPVGFVVAERVLYVPSMGFCILVAHGWQKISNKSVFKKLSWVCLSLVIFTH
+ALKTLHRNWDWESEYTLFMSALKVNKNNAKLWNNVGHALENEKNFERALKYFLQATHVQP
+DDIGAHMNVGRTYKNLNRTKEAEESYMTAKSLMPQIIPGKKYAARIAPNHLNVYINLANL
+IRANESRLEEADQLYRQAISMRPDFKQAYISRGELLLKMNKPAKAKEAYLKALELDRNNA
+DLWYNLAIVHIELKEPNDALKNFNHALELNPKHKLALFNSAILMQESGEVRLRPEARKRL
+LSYINEEPQDANGYFNLGMLAMDDKKDSEAEMWMKKAIKLQADFRSALFNLALLYSQTAK
+ELMALPVLEELLKYYPDHIKGLILKGDILMNQKKDIRGAKKCFEKILEMDPSNVQGKHNL
+CVVYFEEKDLLKAERCLVETLALAPHEEYIQRHLNIVRDKISSSSFVEQPIFPAGKTSGV
+EGDKIPTENVKEIKSEPRPTQIIKTNDNKSQSKASKQLGKNTDKEIPHKTTKEIKEIEKK
+RVAALKRLEEIERILNGE
+>tr|F1MMR6|F1MMR6_BOVIN Cathepsin D OS=Bos taurus OX=9913 GN=CTSD PE=3 SV=1
+MQTPRLLPLLLALGLLAAPAAAVIRIPLHKFTSIRRTMSEAMGPVEHLIAKGPISKYATG
+EPAVRQGPIPELLKNYMDAQYYGEIGIGTPPQCFTVVFDTGSANLWVPSIHCKLLDIACW
+THRKYNSDKSSTYVKNGTTFDIHYGSGSLSGYLSQDTVSVPCNPSSSSPGGVTVQRQTFG
+EAIKQPGVVFIAAKFDGILGMAYPRISVNNVLPVFDNLMQQKLVDKNVFSFFLNRDPKAQ
+PGGELMLGGTDSKYYRGSLMFHNVTRQAYWQIHMDQLDVGSSLTVCKGGCEAIVDTGTSL
+IVGPVEEVRELQKAIGAVPLIQGEYMIPCEKVSSLPQVTVKLGGKDYALSPEDYALKVSQ
+AGTTVCLSGFMGMDIPPPGGPLWILGDVFIGRYYTVFDRDQNRVGLAEAARL
+>tr|E1B9D1|E1B9D1_BOVIN Non-specific serine/threonine protein kinase OS=Bos taurus OX=9913 GN=AKT2 PE=3 SV=2
+MNEVSVIKEGWLHKRECQLMKTERPRPNTFVIRCLQWTTVIERTFHVDSPDEREEWMRAI
+QMVANSLKQRGPGDDPMDYKCGSPSDSSAAEEMEVAVSKARAKVTMNDFDYLKLLGKGTF
+GKVILVREKATGRYYAMKILRKEVIIAKDEVAHTVTESRVLQNTRHPFLTALKYAFQTHD
+RLCFVMEYANGGELFFHLSRERVFTEERARFYGAEIVSALEYLHSRDVVYRDIKLENLML
+DKDGHIKITDFGLCKEGISDGATMKTFCGTPEYLAPEVLEDNDYGRAVDWWGLGVVMYEM
+MCGRLPFYNQDHERLFELILMEEIRFPRTLSPEAKSLLAGLLKKDPKQRLGGGPSDAKEV
+MEHRFFLSINWQDVVQKKLLPPFKPQVTSEVDTRYFDDEFTAQSITVTPPDRYDSLGSLE
+LDQRTHFPQFSYSASIRE
+>tr|A0A3Q1MS12|A0A3Q1MS12_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MGKTRDLFKKIRDTKGTSHAKMGSIKDRNGMDLTEAEDIKKRWQEYTEELYKKDLHDPDN
+NDGVITDLEPDILECKVKWALESITMNKASGGDGIRVELFQILKDDAVKVLHSVCQQIWK
+TQQWPQDWKRSVFIPIPKKGNAKECSNYRTIALISHASKVMLKILQARLQQYVNRELPDV
+QAGFRKGRGTRDQIANIRWIMEKAREFQKNIYFCFIAYAKAFDCVDHNKLWKILKEMGIP
+DHLTCLLRNLYTGQEATVRTGHGTTDWFQTGKGVRQGCILSPCLFNLYAEYIMRNAGLEE
+TQAGIKIAGRNINNLRYAGDTTLMAESEEELKSLLMKVKVESEKVGLKLNIQKTKIMASG
+PITSWEIDGETVETVSDFIFLVSKITADGDCSHEIKRRILLGRKVMSNLDSIFKSRDITL
+PTKVRLVKAMVYPVVMYGCESWTVKKAECRRIDAFELWCWSRLLSPLDCKEIQPVHSEGD
+QPWDFFGRNDAS
+>tr|G3N309|G3N309_BOVIN Dynein axonemal heavy chain 8 OS=Bos taurus OX=9913 GN=DNAH8 PE=4 SV=2
+MSAEEGDPPPPEEEAPPSSGESAPPGSEEVARPDPGDEAPPPAEEETPPPPSSEEAPTLP
+EEVSEGDTGSLSEKAPTSSLSDYLNLLPSDERIVMPDDDELEPGRVRPRPAPRMVQSMLS
+DVLSQSSHRSSKYRRSMSGIPNLQETLKERQARYRDARENRKMKIDPSYKYIFEILSEKL
+GLDMVTVEELILDCPSLEAFTLFFMKDGCKTLKFLYQEGDVPGLECGRTIAGATKGAKMM
+RLYVDNAAPEKLKGQCVFFVRCRNDIPINTKNIQEEVLFTVLDASEGLLVGIRNMLANIF
+LPAILATNSWGALNQSQQGESEKQIFIETINRYLSFLDGARISIEGTVKLKKIDSVDFSK
+LHTFEDVTLAASNSETVRQLEDVLMIWYKQIEQVLIESEQMRKEADDSGPLTELEHWKRM
+SAKFNYIIEQIKGPSCKAVINVLNVAHSKLLKNWRDLDARITDTANESKDNVRYLYTLEK
+VCQPLYNYDLVSMAHGIQNLINAIRMIHSVSRYYNTSERMTSLFIKVTNQMVTACKAYIT
+DGGTNHVWDQETPVVLKKIQDCIFLFKEYQASFHKTRKQILESSGEKSFEVSEMYIFGKF
+EAFCKRLEKITEMITVVQTYSTLNNSTIEGIDILAIKFKNIYQGVKKKQYDILDPRRTEF
+DTDFLDFMTKINALEVQIQAFMNSSFGKILSSQQALQLLQRFQKLNIPCLQLEINHTIER
+ILQYYVAELEATKKLYHSQKDDPPLARNMPPIAGKILWVRQLYRRISEPINYFFKNSEIL
+SSAEGKAVIRQYNKISYVLVEFEVVYHTAWIREISQLQYALQATLFVRHPETGKLLVNFD
+PKILEVVRETKCMIKMKLDVPEQAKRLLKLESKLKGDKLYLQGLLQHYDDLCQEVPPVFV
+NLMTPKMKKVEAVLRQGLTILTWSSLTLESFFQEVDSVLGMFNQLLKKINDLCEMHIDAV
+LKEIAKTLLISLPETGATKVEDMLTLNETYTKEWAEVLNHKSKHVEEAVKELISIFETIY
+EVKYSGKGARHLPELRKHVVFGSEGEDVESADYDANIVPEADVKDDKEDEFKKECKEVYA
+FFSHQLLDSLQKATRLSLDTMKRRIFVASPYGRKRSEDVISFLKSEVHLAIPNVMVPSLD
+DIQQAINRMIQLTLEVSRGVAHWGQQSRHIKRVISSTSRTTMDVAHSSPGKQLKKEERSF
+EEMIPARKLKNFYPGVAEHKDISKLVLLLSSSVNSLRKAVHEALQDFQKYKTLWTEDRDV
+KVKEFLANNPSLTEIRSEILHYATFEQEIDELKPIIVVGALELHTEPMKLALSIEAKAWK
+MLLCRYLNEEYKKKMSDMIAFINEYLKKLSRPIRDLDDVRFAMEALSCIRDNEIQMDMTL
+GPIEEAYAILNRFEVEVTKEESEAVDTLRYSFNKLQSKAVSVQDELVQVQPKFKSNLLES
+VEVFREDVMNFAEAYETEGPMVPNIPPQEASNRLQIFQANFDDLWRKFVTYSSGEQLFGL
+PVTDYEVLHKTRKELNLLQKLYGLYDTVMGNISGYYEILWGDVDIEKINAELLEFQNRCR
+KLPKGLKDWQAFLDLKKRIDDFSESCPLLEMMTNKAMKQRHWDRISELTGTPFDVESDSF
+CLRNIMEAPLLKNKDDIEDICISAIKEKDIEAKLTQVIENWTNQNLSFAAFKGKGELLLK
+GTESGEIITLMEDSLMVLGSLLSNRYNAPFKKNIQNWVYKLSTSSDIIEEWLVVQNLWVY
+LEAVFVGGDIAKQLPQEAKRFQNIDKSWIKIMQRAHENPNVISCCVGDETMGQLLPHLHE
+QLEVCQKSLTGYLEKKRLLFPRFFFVSDPVLLEILGQASDSHTIQPHLPAVSDNINEVTF
+HAKDYDRIMAVISREGEKIILDSPVMAKGPVEIWLLDLLKMQMSSLHNIIRSAFYQISDS
+GFQLLPFLNHFPAQVGLLGIQMLWTHDSEEALNNAKDDRKIMQVTNQKFLDILNTLISQT
+THDLSKFDRVKFETLITIHVHQRDIFDDLVKMHIRSVTDFEWLKQSRFYFKEDLDQTVVS
+ITDVDFIYQNEFLGCTDRLVITPLTDRCYITLAQALGMNMGGAPAGPAGTGKTETTKDMG
+RCLGKYVVVFNCSDQMDFRGLGRIFKGLAQSGSWGCFDEFNRIELPVLSVAAQQIYIVLT
+ARKERKKQFIFSDGDCVDLNPEFGIFLTMNPGYAGRQELPENLKIQFRTVAMMVPDRQII
+MRVKLASCGFLENVILAQKFYVLYKLCEEQLTKQVHYDFGLRNILSVLRTLGSQKRARPE
+DSELSTVMRGLRDMNLSKLIDEDEPLFLSLINDLFPGLQLDSNSYVELQAAVTNQVQLEG
+LINHPPWNLKLVQLYETSLVRHGLMTLGPSGSGKTMVITILMKALTECGRPHREMRMNPK
+AITAPQMFGRLDTATNDWTDGIFSTLWRKTLKAKKGENIFLVLDGPVDAIWIENLNSVLD
+DNKTLTLANGDRIPMAPSCKLLFEVHNIENASPATVSRMGMVYISSSALSWRPILQAWLK
+KRTTQENNVFLTLYDKIFEDAYTFMKLNLNPKMQLLECNYIVQSLNLLEGLIPSKEEGGI
+SCVEHLHKLFVFALMWSLGALLELESRDKLEAFMRSHESKLDLPEIPKGLTQTMYEFYVT
+DYGDWEHWNKKLQPYYYPTDSVPEYSSILVPNVDNVRTNFLIDTIAKQHKAVLLTGEQGT
+AKTVMIKAYLKKYDPEVQLSKSLNFSSATEPMMFQRTIESYVDKRMGSTYGPPGGRKMTV
+FIDDINMPVINEWGDQITNEIVRQMMEMEGMYSLDKPGDFTTIVDVQLIAAMIHPGGGRN
+DIPQRLKRQFTVFNCTLPSNASIDKIFGVIGCGYFDACRRFKPEICEMILNLVSASRMLW
+QWTKVKMLPTPSKFHYIFNLRDLSRIWQGMLTIKADECASVHVLLSLFKHECNRVIADRF
+ITPDDEQWFNTQLVRSVEENVSPDVGSYILPEPYFVDFLREMPEPTGDEPEDTAFEVPKV
+YELVPSFEFLSEKLQFYQRQFNEIIRGTSLDLVFFKDAMTHLIKISRIIRTSCGNALLVG
+VGGSGKQSLSRLASFIAGYQIFQITLTRSYNVSNLTDDLKGLYKVAGADGKGITFIFTDN
+EIKDEAFLEYLNNLLSSGEISNLFARDEMDEITQGLISVMKRELPRHPPTFDNLYEYFIS
+RSRKNLHVVLCFSPVGEKFRARSLKFPGLISGCTMDWFSRWPREALVAVASYFVSGYSIV
+CSSDTKRQVVETMGLFHDMVSESCESYFQRYRRRAHVTPKSYLSFINGYKNIYTEKVKYI
+NEQAERMNIGLDKLMEASESVAKLSQDLAVKEKELAVASVKADEVLAEVTVSAQASAKVK
+NEVQEVKDKAQKIVDEIDSEKVIAETKLEAARPALEEAEAALNTIKPNDIATVRKLAKPP
+HLIMRIMDCVLLLFQKKIDPVTMDPEKPCCKPSWGESLKLMSATGFLWSLQQFPKDTINE
+ETVELLQPYFNMDDYTFESAKKVCGNVAGLLSWTLAMATFYGVNREVLPLKANLAKQEGR
+LAVANAELGKAQALLDEKQAELDKVQAKFDAAMNEKMIFRNYLLKEQWEIELKARKIPFT
+ENLNLISMLVDPPTIGEWGLQGLPGDDLSIQNGIIVTKATRYPLLIDPQTQGKTWIKSKE
+KENDLQVTSLNHKYFRTHLEDSLSLGRPLLIEDIREELDPALDNVLEKNFIKSGTTFKVK
+VGDKECDVMDTFKLYITTKLPNPAFTPEINAKTSVIDFTVTMKGLENQLLRRVILTEKQE
+LESERVKLLEDVTFNKRKMKELEDNLLYKLSATKGSLVDDESLIGVLRTTKLTAAEVSEK
+LHVAAETEVKINTAQEEFRPAATRGSILYFLITEMSMVNIMYQTSLAQFLKLFDQSMARS
+EKSPLPQKRITNIIEYLTYEVFTYSVRGLYENHKFLFVLLMTLKIDLQRGTVKHREFQAL
+IKGGAALDLKACPPKPFRWILDMTWLNLVELSKLPQFAEIMNQISRNEKGWKSWFDKDAP
+EEEIIPDGYNDSLDTCRKLLLIRSWCPDRTVFQARKYIADSLEEKYTEPVILNLEKTWEE
+SDTRTPLICFLSMGSDPTIQIDALAKKLKLECRTISMGQGQEVHARKLIQMSMQQGGWVL
+LQNCHLGLEFMEELLETLTITETIDDTFRVWITTEPHIRFPITLLQTSLKFTNEPPQGVR
+AGLKRTFAGINQDLLDISNLPMWKPMLYTVAFLHSTVQERRKFGPLGWNIPYEFNSADFS
+ASVQFIQNHLDECDIKKGVSWNTVRYMIGEVQYGGRVTDDFDKRLLNCFARVWFSEKMFE
+PSFCFYTGYKIPVCKTLDQYFEYIQSLPSLDNPEVFGLHPNADITYQSNTASAVLETITN
+IQPKESGGGVGETREAIVYRLSEDMLSKLPPDYVPHEVKARLIKMGHLNSMNIFLRQEID
+RMQKVISILRSSLNDLKLAIEGTIIMSENLRDALDNMYDARIPQIWKRVSWDSSTLGFWF
+TELLERNAQFSTWIFEGRPNVFWMTGFFNPQGFLTAMRQEVTRAHKGWALDSVTIHNEVL
+RQTKEEVTSPPAEGVYIYGLYMDGAAWDRRNGKLTESTPKVLFTQLPVLHIFAINSTAPK
+DPKLYVCPIYKKPRRTDLTFITVVYLRTVLSPDHWILRGVALLCDIK
+>tr|E1BHJ2|E1BHJ2_BOVIN Teneurin N-terminal domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=2
+MDVKERRPYCSLTKNRREKERRYTNSSADNEECRVPTQKSYSSSETLKAFDHDSSRLLYG
+NRVKDLVHREADEFSRQGQNFTLRQLGVCEPATRRGLAFCAEMGLPHRGYSISAGSDADT
+ENEAVMSPEHAMRLWGRGVKSGRSSCLSSRSNSALTLTDTEHENKSDSETGSFLLHGAHF
+IVHFSCVSSHHRRRGQKDACLSESQPRIFLLLIMTLDFF
+>tr|F1MDD6|F1MDD6_BOVIN BTB domain-containing protein OS=Bos taurus OX=9913 GN=KCTD14 PE=4 SV=3
+MSQTSAPDSRPSGRAAPPAGRRTESPVVELNVGGEFYTTTLGTLRKFPGSKLAEMFSSPA
+KACLDAEGRFFIDRCGTYFGPILEYLRSGQLPTQHIPEVYREAQHYEIKPLIKHLEDTPQ
+IFGEQVARKQFLLQVPGYSENLELMVRLARAEAVAARCSRVLVCLVRNEKEDANCADALR
+SLEANKRSVVKFGPWKAALDISDLLDCLNMDIKAQGYQVCYSHNSPLPAKVSDYFYTFSF
+SWW
+>tr|A0A3Q1MNJ4|A0A3Q1MNJ4_BOVIN Signal peptidase complex subunit 1 OS=Bos taurus OX=9913 GN=SPCS1 PE=4 SV=1
+ILVFRRAHARRAPHPGSETPRWDSGQPFPSDAARSSPYLQSEEEEEPLPAPRPAPPAQRP
+CRRPRGSASRRTARVACTPDQGRPPASLATAAQPHRPPPVGRSDPEGVARSRRRRAAPPL
+GSSQEVRRHGDGARAGRTSAAPAQPRTVLGDRDRGSQHPTALTASPSRARDASTRLPPSA
+SQPPRRGRRPTANCESTGPRNWTRQQKLTPGPSIPESRLPSLCRPPSEPDMLEHLSSLPT
+QMDYKGQKLAEQMFQGIILFSAIVGFIYGYLAEQFGWTVYIVMAGFAFSCLLTLPPWPIY
+RRHPLKWLPVQDSSTEDKKPGERKVKRHAKNN
+>tr|G3MWM8|G3MWM8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=WT1 PE=4 SV=2
+LRPGPGCLQQPQLPGIRGPEGIWAKLGEAESSAERLQSRRARGTSGVESQQMGSDVRDLN
+ALLPAVPSLGGGGGCALPVSGAAQWAPVLDFAPPGASAYGSLGGPAPPPAPPPPPPPPPP
+HSFIKQEPSWGGAEPHEEQCLSAFTVHFSGQFTGTAGACRYGPFGPPPPSQASSGQARMF
+PNAPYLPSCLESQPAIRNQGYSTVTFDGTPSYGHTPSHHAAQFPNHSFKHEDPMGQQGSL
+GEQQYSVPPPVYGCHTPTDSCTGSQALLLRTPYSSDNLYQMTSQLECMTWNQMNLGATLK
+GVAAGSSSSVKWTEGQSNHGTGYESDNHTTPILCGAQYRIHTHGVFRGIQDVRRVPGVAP
+TLVRSASETSEKRPFMCAYPGCNKRYFKLSHLQMHSRKHTGEKPYQCDFKDCERRFSRSD
+QLKRHQRRHTGVKPFQCKTCQRKFSRSDHLKTHTRTHTGKTSEKPFSCRWPSCQKKFARS
+DELVRHHNMHQRNMSKLQLAL
+>tr|E1BPE6|E1BPE6_BOVIN Gap junction protein OS=Bos taurus OX=9913 GN=GJB4 PE=3 SV=3
+MNWAFLRDVLSGVNKYSTALGRIWLSVVFIFRVLVYVVAAEEVWDDEQKDFVCNTKQPGC
+PNVCYDQFFPVSHVRLWALQLILVTCPSLLVVMHVAYRQERERKHRRKHGPHAPSLYDNP
+DKKRGGLWWTYLLSLLFKAAVDVSFLYIFHRLYKDYDMPRVVACSESPCPNTVDCYISRP
+TEKKIFTYFMVATAVLCILLNLGEVSYLVGKRCLEILGPRPRGSRRPARLLEACPPYALS
+PGEHPQDGNSVLMKAEMTTVDAAGFP
+>tr|A0A3Q1LU25|A0A3Q1LU25_BOVIN Coiled-coil domain containing 102B OS=Bos taurus OX=9913 GN=CCDC102B PE=4 SV=1
+MQLCSLSFLRPEKINMNLDSIHRLIEETHIFGMQQSCVRSPCDLAAPAPARGDPGSSCPS
+PLGPQSPAARSGRAPSWSTSEWDIRAELRLRELEEVKARAAQMEKTMRWWSDCTANWREK
+WSKVRAERNSAREEGRQLRIKLDMAVKELSALKKKQPLPHPAEATQDRKLPGFPEVARAQ
+RDPLQTGSKTCESIRECLGKREFPTKENANSKEASLIIDPLKLSEEMKLSLDCPDLFKNG
+ISENCTVRPGLRLQAINLPLENEMPEISALQGHLDDFQKVLWKEREMRLSLEKEIERLES
+ALSVWKWKYEELKESKAKSLKQFDILHGQHKNEMEEVSGLIREESKSQNSNDRVVYELRA
+ELERLQAENTSEWDKRELLEKEKQGLERENRRLKVQVKEMEELLHRRNRLSENLEGPDFK
+TSGVELQEKNKELVDLQHAYCKLSRQYQAKTAELTHANNLVDQNEAEVKKLRLRVEELKW
+GLNQKEDKLDDFLNQIHKLQRSLNEQKETNENLEIKLRHLQNRDF
+>tr|A0A3Q1MK75|A0A3Q1MK75_BOVIN Testis-specific protein 10-interacting protein OS=Bos taurus OX=9913 GN=TSGA10IP PE=4 SV=1
+AGAALPCGARASYRRGFSGCAAQAPGVQAPVVAPCGLRSCGSWAAERRLLSCGPQRSLGS
+GDGVIHAQKQRSRSAGQTPKKDRRLRGRNKKGQSSAEAEDVVPSSPRKPSFPFQWAWESF
+TTDGRALHQPSSVLAPGHQALPLPLAVHHHKSRRKSTPALPEAHSFCWKTEEPNLERRQQ
+LRACSCTSIPPGRGTSQELEPSGEGGLQSPRKSSGSGLEPEESEPEGLGAEEAERGLIPG
+ELPQLPRRGLILEEEQFSEATEEAEDGEHRAPWRRRTSSRRKGRNSGEEAWEESEVRRLE
+SVSSSTNLREPQRRKPRAKELEGPWDLEKLQRKLQQELDCGPEKQPWKSLRAAVQASNWS
+RKAHPLGDDETFLFANFPNRTFHKRQEATRNLLRAWELQQREEQQQAEVRRAREQRVQHQ
+VARCLAAYAPRGSRGPGAAQRKLEELRRQERQRFVEYQAELQGIQHRVQARPYLFQQAMQ
+ANARLNVTRRFSQVLSALGLDEEQLLAKAGKRDMEGAPRRHRSHRSVGARMEPSSQSPPK
+MEPTGSQADQHFAPNPDQELSP
+>tr|E1B7C4|E1B7C4_BOVIN Dedicator of cytokinesis 10 OS=Bos taurus OX=9913 GN=DOCK10 PE=3 SV=3
+MGCTASIVLLQAFRSVVQRSCPHICRRRQEEPSHEILPLEIDDEMSRPRTLIIMQEKPRL
+LEPLDYETVIEELEKTYQNDPLRDLLFFPSDDFSTTTVSWDIRTLYSTVPEDAEHKAENL
+LVKEACKFYSSQWYVVNYKYEQYSGDIRQLPRAEYKPEKLPSHSFEVDHEDADKDEDTTS
+HSSSKGGGGTGGTGVFKSGWLYKGNFNSTVNNTVTVRSFKKRFFQLTQLPDNSYIMNFYK
+DEKISKEPKGCIFLDSCTGVVQNNRLRKYAFELKMNDLTYFVLAAETESDMDEWIHTLNR
+ILQISPEGPPQGRRSAELTDLGLDSLDNAVTCESTPEEADSSENSLHPDFTKYLTETEET
+VKATRNMERLNLFSLDPDIDSLKLQKKDLLEPEFVIKPFEEKAAKRIMIICKALNLNLQG
+CVTENENDPTTNIEPFFVSVALYDLRDSRKISADFHVDLNHTAVRQMLLGASVAVENGNV
+DTVTPRQSGEPHIKGFPEEWLKFPKQAIFSVSNPHSEIVLVAKIEKVLMGNIASGAEPYI
+KNPDSNKYAQKILKSNRQFCSKLGKYRMPFAWAVRSVFKDNQGNVDRDSRFSPLYRQESN
+KISTEDLLKLVSDYRRADRISKTQTIPGSLDIAVDNIPLEHPNCVTSSFIPVKPFNVTAK
+PEPTVEVEEFIYDSTKYCRPYRVYKNQIYIYPKHLKYDSQKCFNKARNITVCVEFKNSDE
+EDARPLKCIYGKPGGPLFTSAAYTAVLHHSQNPDFSDEVKIELPTQLHEKHHILFSFHHV
+TCDINAKANAKKKEALETPVGYAWLPLMKDDQIASQEYNIPVATSLPPNYLSFQDSANGK
+HTGSDIKWVDGGKPLFKVSTFVVSTVNTQDPHVNAFFRQCQKREKDMSQSPTSNFVRSCK
+NLLNVEKIHAIMSFLPIILNQLFKILVQNEEDEISTTVTRVLTDIVAKCHEEQLDHSVQS
+YIKFVFKTTAYKERTIHEELVKNMTGLLQSNDSTTVKHVLKHSWFFFAIILKSMAQHLID
+TNKIQLSRPQRFPESFQNELDNLVMSFADHMIWKNKDALEETKRANHSVARFLKRCFTFM
+DRGFVFKMVNNYISMFSSGDPKTLCQYKFDFLQEVCQHEHFIPLCLPIRSANIPDPLTPS
+ESIQELHASDMPEYSVTNEFCRKHFLIGILLREVGFALQEDQDIRHLALAVLKNLMAKHS
+FDDRYREPEKQAQIAGLYMPLYGMLLDNMPRIYLKDLYPFTVNTSNQGSRDDLSTNGGFH
+TQSAMKHANSADTSFSKDVLNSIAAFSSIAISTVTHADSRASLASLDSNPSTTEKSSEKT
+DNCEKIPRPLSLIGSTLRFDKLDQAETRSLLMCFLHIMKTISEETLIAYWQRAPSPEVSD
+FFSILDVCLQNFRYLGKRNIIRKIAAAFKFVQSTQNNGTLKGSNPSCQTSGLLSQWMHTT
+SSHEGQKQHRSQTLPIIRGKNALSNPKLLQMLDNTMTSNSNEIDIVHHVDTEANIATEVC
+LTILDLLALFTQVHQRQLQQSECQNSMMKRVFDTYMLFFQVNQSATALKHVFASLRLFVC
+KFPSAFFQGPADLCGSFCYEVLKCCNHRSRSTQMEASALLYFFMRKNFEFNKQKSIVRSH
+LQLIKAVSQLIADAGIGGSRFQHSLAITNNFANGDKQMKNSNFPAEVKDLTKRIRTVLMA
+TAQMKEHEKDPEMLVDLQYSLANSYASTPELRRTWLESMAKIHARNGDLSEAAMCYIHIA
+ALIAEYLKRRGYWKMEKICVPSLLLEDVHPCDSNPLLTTPSGGSMFSMGWPAFLSITPNI
+KEEGEMKEDSGMQDTPYNENILVEQLYMCVEFLWKSERYELIADVNKPIIAVFEKQRDFK
+KLSDLYYDIHRSYLKVAEVVNSEKRLFGRYYRVAFYGQGFFEEEEGKEYIYKEPKLTGLS
+EISQRLLKLYADKFGADNVKIIQDSNKVNPKDLDPKYAYIQVTYVTPFFEEKEIEDRKTD
+FEMHHNINRFVFETPFTLSGKKHGGVEEQCKRRTVLTTSHLFPYVKKRIQVVSQSSTELN
+PIEVAIDEMSKKVSELNQLCTMEEVDMIRLQLKLQGSVSVKVNAGPMAYARAFLEETNAK
+KYPDNQVKLLKEIFRQFADACGQALDVNERLIKEDQLEYQEELRSHYKDMLSELSAIMNE
+QITYRDDPSKRGVERTCSRVINKAAPSLPTVSVSPSGEV
+>tr|A0A3Q1M690|A0A3Q1M690_BOVIN SH3 domain containing kinase binding protein 1 OS=Bos taurus OX=9913 GN=SH3KBP1 PE=4 SV=1
+MKKEPLSSKAPEKPMHEVSSGNALLSSETILRTNKRGERRRRRCQVAFSYLPQNDDELEL
+KVGDIIEVVGEVEEGWWEGVLNGKTGMFPSNFIKELSGESDDLGISQDDQLSKSRKTTFE
+GTILYRAAPGKTEGHRRYYSLRETTGSESDGGDSSSTKSEGANGTVATAAIQPKKVKGVG
+FGDIFKDKPIKLRPRSIEVENDFLPVEKTIGKKLPPTAATPDSSKPEMDSRTKAKDYCKV
+IFPYEAQNDDELTIKEGDIVTLINKDCIDVGWWEGELNGRRGVFPDNFVKLLPPDFEKEG
+NRPKKPPPPSAPVIKQGAGTTERKHEIKKIPPERPETLPNRTEEKERPEREPKLDLQKPS
+VPAIPPKKPRPPKTNSLSRPGALPPRRPERPVGPLTHTRGDGAKIDLVGSSIPGMLDKDL
+SDRSNDIDLEGFDSVVSSTEKLSHPTTSRPKATGRRPPSQSLTSSSLSSPDIFDSPSPEE
+DKEEHVSLAHRGVDASKKASKTVTISQVSDNKASLPPKPGTMAAGGGGPAPLSSAASSPL
+SSSLGTVGHRANSPSLFGMEGKPKMEPVASSQAAMEELRTQVRELRSIIETMKDQQKREI
+KQLLSELDEEKKIRLRLQMEVNDIKKALQSK
+>tr|A0A3Q1NHZ7|A0A3Q1NHZ7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+LMLPEEAQKRRKQQMTVEDVAIKFTPEEWECLSPAQRTLYWDVMLETYRNLLSVDISPIH
+IIKKLQSKENTNSTDTLQTLTDRKDQRGRSNAGNNAIENKLALSFQDELQIFRMERKIFE
+CNQVENVINNSSSISALQRIPPSVQTNTSNMYGNNFIHSSILTKDHKAHREKPYRCNDCD
+KTFTHVSHLTKHQVVHTGERPYKCDTCGKDFSQSSNLATHRRIHTGEKPYKCNECGKDFN
+RKSNLETHQRIHTGEKPYKCNVCGKAFSRNSKLLKHQRIHTRGQPYKCDACDKAFILRSS
+LINHQVVHTRGKSYPCNECGKMFIKRSHLRLHERIHTGEKPYKCTECGKGFRQWSDIRIH
+LRIHAGEKPFKCSKCGKSFTRSSHLTRHQIIHTGEKPYKCEVCDKFFSRNSHLAGHWRIH
+TREKPYKCNDSRWWSRKTSIHLLLKEHQNRN
+>tr|A0A3Q1MWS2|A0A3Q1MWS2_BOVIN Glutamate ionotropic receptor AMPA type subunit 2 OS=Bos taurus OX=9913 GN=GRIA2 PE=3 SV=1
+MQKIMHISVLLSPVLWGLIFGVSSNSIQIGGLFPRGADQEYSAFRVGMVQFSTSEFRLTP
+HIDNLEVANSFAVTNAFCSQFSRGVYAIFGFYDKKSVNTITSFCGTLHVSFITPSFPTDG
+THPFVIQMRPDLKGALLSLIEYYQWDKFAYLYDSDRGLSTLQAVLDSAAEKKWQVTAINV
+GNINNDKKDETYRSLFQDLELKKERRVILDCERDKVNDIVDQVITIGKHVKGYHYIIANL
+GFTDGDLLKIQFGGANVSGFQIVDYDDSLVSKFIERWSTLEEKEYPGAHTTTIKYTSALT
+YDAVQVMTEAFRNLRKQRIEISRRGNAGDCLANPAVPWGQGVEIERALKQVQVEGLSGNI
+KFDQNGKRINYTINIMELKTNGPRKIGYWSEVDKMVVTLTELPSGNDTSGLENKTVVVTT
+ILESPYVMMKKNHEMLEGNERYEGYCVDLAAEIAKHCGFKYKLTIVGDGKYGARDADTKI
+WNGMVGELVYGKADIAIAPLTITLVREEVIDFSKPFMSLGISIMIKKPQKSKPGVFSFLD
+PLAYEIWMCIVFAYIGVSVVLFLVSRFSPYEWHTEEFEDGRETQSSESTNEFGIFNSLWF
+SLGAFMQQGCDISPRLVTRLGHSWCL
+>tr|A0A3Q1MVU0|A0A3Q1MVU0_BOVIN Ral GTPase activating protein non-catalytic beta subunit OS=Bos taurus OX=9913 GN=RALGAPB PE=4 SV=1
+MYSEWRSLHLVIQNDQGHTSVLHSYPESVGREVANAVVHPLGQALGTPSVAGSESLLKTD
+KEVKWTMEVICYGLTLPLDGETVKYCVDVYTDWIMALVLPKDSIPLPVIKEPNLYVQSIL
+KHLQNLFVPRQEQGSSQTRLCLQVLKAIQKLARESSIMARETWEVLLLFLLQINDILLAP
+PTVQGGIAENLAEKLIGVLFEVWLLACTRCFPTPPYWKTAKEMVANWRHHPAVVEQWSKV
+ICALTSRLLRFTYGPSFPPFKVPDEDASLIPPEMDNECVAQTWFRFLHMLSNPVDLSNPA
+IISSTPKFQEQFLNVSGMPQELNQYPCLKHLPQIFFRAMRGISCLVDAFLGISRPRSDSA
+PPTPVNRLSMPQSTAVNTTPPHNRRHRAVTVNKATMKTSTVSTAHTSKVQHQTSSTSPLS
+SPNQTSSEPRPLPAPRRPKVNSILNLFGSWLFDAAFVHCKLHNGINRDSSMTAITTQASM
+EFRRKGSQMSTDTMVSNPMFDASEFPDNYEAGRAEACGTLCRIFCSKKTGEEILPAYLSR
+FYMLLIQGLQINDYVCHPVLASVILNSPPLFCCDLKGIDVVVPYFISALETILPDRELSK
+FKSYVNPTELRRSSINILLSLLPLPHHFGTVKSEVVLEGKFSNDDSSSYDKPITFLSLKL
+RLVNILIGALQTETDPNNTQMILGAMLNIVQDSALLEAIGCQMEMGGGENNFKSHSRTNS
+GISSASGGSTEPTTPDSERPAQALLRDYALNTDSAAGLLIRSIHLVTQRLNSQWRQDMSI
+SLAALELLSGLAKVKVMVDSGDRKRAISSVCGYIVYQCSRPAPLHSRDLHSMIVAAFQCL
+CVWLTEHPDMLDEKDCLKEVLEIVELGISGSKSKNSEQEVKYKGDKEPNPASMRVKDAAE
+ATLTCIMQLLGAFPSPSGPASPCSLVNETTLIKYSRLPTINKHSFRYFVLDNSVILAMLE
+QPLGNEQNDFFPSVTVLVRGMSGRLAWAQQLCLLPRGAKANQKLFVPEPRPVPKNDVGLK
+YTVKHRPFPEEVDKIPFVKADLSIPDLHEIVTEELEERHEKLRNGMAQQIAYEIHLEQQS
+EEELQKRSFPDPVTDCKPPPPAQEFQTARLFLSHFGFLSLEALKEPANSRLPPHLIALDS
+TIPGFFDDIGYLDLLPCRPFDTVFIFYMKPGQKTNQEILKNVESSRNVQPHFLEFLLSLG
+WSVDVGKHPGWTGHVSTSWSINSCDDGEGPEQDEVISSEDVGASIFNGQKKVLYYADALT
+EIAFVVPSPVESLTDSLESNISDQDSDSNMDLMPGILKQPSLTLELFPNHTDNLNSSQRL
+SPSSRMKKLPQGRPVPPLGPETRVSVVWVERYDDIENFPLSDLMTEISTGVETTANSSTS
+LRSTALEKEVPVIFIHPLNTGLFRIKIQGATGKFNMVIPLVDGMIVSRRALGFLVRQTVI
+NICRRKRLESDSYSPPHVRRKQKITDIVNKYRNKQLEPEFYTSLFQEVGLKNCSS
+>tr|A0A3Q1LWG2|A0A3Q1LWG2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=EPHB6 PE=4 SV=1
+MAAEGAAPSGSRAAGMVGSLWVLALVSSVLALEEVLLDTTGETSEIGWLTYPPGGWDEVS
+VLDDQRRLTRTFEACHVAGAAPGLGQDNWLQTHFVERRGAQRAHIRLHFSVRACSSLGVA
+GGTCRETFTLYYRQAEEPDGPDSISSWHLKRWTKVDTIAADESFPASSAWAVGPPRAGQR
+AGLQLNVKERSFGPLTQRGFYVAFQDTGACLALVAVKLFSYACPSVLRAFASFPETQASG
+AGGASLVAAVGTCVAHAEPEEDGAGGQAAGSSPRLHCNGEGKWMVAVGGCRCQPGHQPAR
+GDKACQGPPSAPQELWFEVQGSALMLHWRLPRELGGRGDLLFNVVCKECGGAGGQGPCRR
+CRDEVHFDPRQRGLTESRVLIGGLRPHVPYILEVQAVNGVSELSPDPPQAAAINVSTSHA
+VPSAVPAVHQVSRASNSITVSWPQPDQTNGNILDYQLRYYDQAEDESHSFTLTSETNTAT
+VTKLSPGHIYGFQVRARTAAGHGPYGGKVYFQTLPQGELSAQLPERLSLVVGSILGALAF
+LLLAAITVLALVFQRKRRGTGYMEQLQQYSSPGLGVKYYIDPSTYEDPCQAIREFAREVD
+PTYIKIEEVIGAGSFGEVRRGRLQPRGRREQAVAIQALWTGGAESLQMAFLGQAAMLGQF
+QHPNILRLEGVVTRSRPFMVLTELMELGPLDSFLRQREGQFSSLQLVAMQRGVAAAMQYL
+SSFGFVHRALSAHSVLVNSHLECKVARLGRSPQGPSCLLRWAAPEVITHGKHTTSSDVWS
+FGIVMWEVMSYGERPYWDMSDQEVLNAIEQEFRLPPPPGCPPGLHLLMLDTWQKDRTQRP
+HFDQLVAAFDKMIRKPDTLQAGGSPGDRPSQALLNPVALDFPSLDSPQAWLSAIGLECYQ
+DNFSQSGLCTFGDVAQLSLEDLPALGVTLAGHQKKLLHHIQLLQQHLRPPGPVEV
+>tr|E1B812|E1B812_BOVIN Heat shock transcription factor 5 OS=Bos taurus OX=9913 GN=HSF5 PE=4 SV=3
+MHPGQVETSPTFSDKGVPFPVLQRFPTEVTYTLQPSATSVHVQQGPQTMVSSSQKYSNYT
+PSAQYSQAYYPTAVLQCCSPPTRMDALSSCVTPPAASYAHCSYFQNPPMQSSYPVEFLPS
+NWPCSATDENKKTEVNLEAVFQIVDELHSSPKLEMVKVEPVENQCSTSQSNRSQHILANS
+NNSNPSSTSQASQLEPLTPVGSDITSFVVGTEQAITSSLPQSPEYIYTIHPAQPVENTTM
+QESAINQQAHIKLKEQLSHNPSASSVVFVQEGLPFSTHQMDASIKCQTSPPENILPSEQM
+GFLISEMGPASKPSKDMSLSTPARYRERRSNSQQGKSPDLHLLVDVACKQEHFPKEEELR
+E
+>tr|E1BBP7|E1BBP7_BOVIN Histone H4 OS=Bos taurus OX=9913 PE=3 SV=3
+MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLK
+VFLENVNRDAVTYTEHAKRKTVTAMDVVYALKRQGRTLYVLNPSSEVELLPLCCV
+>tr|A0A3Q1M621|A0A3Q1M621_BOVIN FHA domain-containing protein OS=Bos taurus OX=9913 GN=SLC4A1AP PE=4 SV=1
+LRMADSPSQSEPLASPELDDDFKKPSLPMPSAARSKAPANNPPNPEEVKERSTALPDSDS
+GEPDVPPALPDSGENRGPAEEQPRPHTAAPSPGCSARAPPYREPPWGGPTTAPYSLETLK
+GGTILGTRNLKGLSCCLFGRLPSCDVCLEHPSVSRYHAVLQHRVSGLDAEGDGHGPGFYL
+YDLGSTHGTFLNKTRIPPRTYCRVHVGHVLRFGGSTRLFLLQGPEEDREAESELTITQLK
+ELRKQQQMMLEKKMLGEDSDEEEEMDTADRKRSTSSQDDEMGCTWGMGEDAVEDEAEENP
+IVLEFQQEREAFYIKDPKKALQGFFDREGEELEYEFDEQGHSTWLCRVRLPVDDSTGKQL
+VAEAIHSGKKKEAMIQCSLEACRILDTLGLLRQEAVSRKRKAKNWEDEDFYDSDDDTFLD
+RTGLVEKKRLNRMKKAGKVDEKPETFESLVAKLNDAEKELSEISERLKASSKALSESPSQ
+DSLDAFMSEMKSGSALDGVSRKKLHLRTFELRKEQQRLKGLIKIVKPAEIPELKKIESQA
+TDGENKAKKLTLPLFGAMKGGSKFKLKTGTVGKLPPKRPELPPTLMRMKDEPEVEEEEEE
+EEEEEEKEKEEHENKKTEAGSSRLQQETEPEEAAQETSPPTDFTCSKETNHENMSQLSQV
+EQNKDCQEISEAAASCEEPSASKNENEKSRDEFKKKKPPGPGKVSILVIIFTSESKNESL
+CHEPYPEE
+>tr|A0A3Q1N3T3|A0A3Q1N3T3_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC782220 PE=3 SV=1
+MRNSTVTKFILLGLTDDPELQGLIFIFLFLTYTLSLTGNLTIITLTFVDSHLKTPMYFFL
+KNFSFLEMSFTSSCIPRYLYSIATGDRVITYNSCVLQVFFTDLCGVTEFFLLATMSYDRY
+LAICKPLHYVTIMSNRVCRILIISCWMSGLCVLIPPLSLGLNLKFCDSNKIDHFGCDAFP
+LVKISCSETWLMEQTVIICAVLTLNMTLTCVVLSYACIVKTILRFPSAQQKKKAFSTCSS
+HMIVVSITYGTCIFIYINPTAKEEVAIKKVVSLLIFSVSPVLNPFIYTLRNNEVKKAFKD
+SIKRIALFSSK
+>tr|A0A3Q1LVI4|A0A3Q1LVI4_BOVIN NBR1, autophagy cargo receptor OS=Bos taurus OX=9913 GN=NBR1 PE=4 SV=1
+MFTQQLILFLLLLLVKVSFDLNTVQIKYLDEENEEVSINSQGEYEEALKMAVKQGNQLQM
+QVHEGCRVGEEAPPPTGTEKRLVARTGKKPLAHYSSVVRVLGSDMKTPEDPATQQLPPAP
+HNPDQPQDKPPDWFTSYLETFREQVVKETVEKLEQKLHEKLVLQHPSLGSCPSEVSMPVS
+EETLFLPENQFSWHIACSSCQRSIVGVRYQCSLCPSYNICEDCESGPYAHDSNHVLLKLR
+RPVVGSSEPFSHSRFSTPRLPAALEQARLQKQVDKNFLKAEKQRLRAEKKQRKAEVKELK
+KQLKLHRKIHLWNSLHGLQNPKSPLGRPESLLQSNTPMLPLQPCAPVMPTLSAAFVDENL
+PDGTHLQPGTKFIKHWRMKNTGNVKWSTDTKLKFMWGNLTLASTEKKDVLVPCLKAGHVG
+VVSVEFIAPTLEGTYTSHWRLSHKGQQFGPRVWCSIIVDPFPSTESPDNSEKSMISSSRG
+DELPCQQEEAFLAKEEILPGETTEQTEGPGTRIPQKAKNAPSKRELYIPSVDLLTAQDLL
+SFELLDINIVQELERVPHNTPVDMTPCMSPLPHDSPLIEKPGLGQIQEESEGAGFKALPD
+STVSVKKKAENVSSMEEPEDDLSGTQFVCETVIRSLTLDAAPDHKPPWRQKSPQRAELQL
+YATEEQQPTVLPGFCSKESSLKFASPEEGPLADEREEIVQIAEEEAIVEEEDELKDEVRS
+QSSASSEDYIIILPECFDTSRPLGDSMYSSALSQPGLERGAEGEPGIEAGQEPVEAGERP
+LGGDNQPQGHNINDILMTSQTLDTVPLTPEVVGLPPQLPRSPPSAQHHGSPGVDLPVTAP
+EVSSVPGQIREELRGPSGLVNSRPKSYDHSRHHHHHHGNSIAGGLVKGALSVAASAYKAL
+FAGPPVTAQPIVSEDQTAALMAHLFEMGFCDRQLNLRLLKKHNYNILQVVTELLQVNNND
+WYSHRY
+>tr|E1BK17|E1BK17_BOVIN Forkhead box J3 OS=Bos taurus OX=9913 GN=FOXJ3 PE=4 SV=3
+MGLYGQACPSVTSLRMTSELESSLTSMDWLPQLTMRAAIQKSDAAQNAHGTGISKKNALL
+DPNTTLDQEEVQQHKDGKPPYSYASLITFAINSSPKKKMTLSEIYQWICDNFPYYREAGS
+GWKNSIRHNLSLNKCFLKVPRSKDDPGKGSYWAIDTNPKEDALPTRPKKRARSVERASTP
+YSIDSDSLGMECIISGSASPTLAINTVTNKVTLYNTDQDGSDSPRSSLNNSLSDQSLASV
+NLNSVGSVHSYTPVTNHPEPVPQSLTPQQQPQYNLPERDKQLLFSEYNFEDLSASFRSLY
+KSVFEQSLSQQGLMNIPSESSQQSHTSCSYQHSPSSTVSSHPHSNQSSLTNSHGSGLNTT
+GSNSVAQVSLSHPQMHTQPSPHPSHRPHGLPQHPQRPQHPAPHPQQHNQLQSPHPQHPSP
+HQHIQHHPNHQHQTHQTLTHQAPPPPQQVSCNSGVSSDWYATLDMLKESCRIASSVNWSD
+VDLSQFQGLMESMRQADLKNWSLDQVQFADLCSSLNQFFTQTGLIHSQSNVQQNVCHGAM
+HPTKPSQHIGTGNLYIDSRQNLPPSVMPPPGYPHIPQALSTPGTTIAGHHGAMSQQHMMP
+SQAFQMRRSLPPDDIQDDFDWDSIV
+>tr|E1BES5|E1BES5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=3
+MATASPLRKLEDKVMCSICPDYLKDPLTIDCSHIFCYHCIIKVCESAQQPLYYSLCKTAF
+KKENIRHVWQMASIVKNIWRMKVDEERQPREDRPLAQRAEQLCGQHLEKLHYYCKDDQQI
+LCVMCQESREHRHHAAVLLEKAAQPYQVRSLLDPSSVLGARKVLLYLQDKVQNFFNKHFI
+EAKFQSHKQDAASVFEQGHQFLREREQHLLEWLEGTEQQLTEGRNSHVTKGSEEVIRLET
+LISELEKKARQQALELLQVRDQLNWTSCSRLQSGPSISMRFGPGVRRDKAPESMGQISFV
+SFVRPHLCLLVPTVRIILNSQRANGYLSVSPNGKSMMFTGLWLKKCQHGQQFDLEPGVLG
+SKGFMWGKVYWEVKVDRIWWEAEEEEDARRYGAGCRGVFGSNDLGGFISITDGYHSPGYR
+EENEELEEECSQENGIWPKFCLVGVVRESVVRRGFLNFTPEEGSGLRSFALDHDGGKVTF
+TNARTQEFIYEFSSTFTGRIFPFLWLNYMRSRLSLRP
+>tr|E1BGK5|E1BGK5_BOVIN Protein kinase domain-containing protein OS=Bos taurus OX=9913 GN=CSNK1E PE=3 SV=3
+MELRVGNKYRLGRKIGSGSFGDIYLGANIASGEEVAIKLECVKTKHPQLHIESKFYKMMQ
+GGVGIPSIKWCGAEGDYNVMVMELLGPSLEDLFNFCSRKFSLKTVLLLADQMISRIEYIH
+SKNFIHRDVKPDNFLMGLGKKGNLVYIIDFGLAKKYRDARTHQHIPYRENKNLTGTARYA
+SINTHLGIEQSRRDDLESLGYVLMYFNLGSLPWQGLKAATKRQKYERISEKKMSTPIEVL
+CKGYPSEFSTYLNFCRSLRFDDKPDYSYLRQLFRNLFHRQGFSYDYVFDWNMLKFVSLLK
+DVDRERREHEREERMGQLRGSATRALPPGPPTGALPSPSSPNPDPPPCLPGNTSPRAISR
+VDRERKVSMRLHRGAPANVSSSDLTGRQEVSRISASQVSCPCPHPVHGRVGCLYS
+>tr|E1BC50|E1BC50_BOVIN ADAM metallopeptidase with thrombospondin type 1 motif 3 OS=Bos taurus OX=9913 GN=ADAMTS3 PE=4 SV=2
+MVLLSLWLIAAALVEVKTSADGQAGNEEMVQIDLPIKRQREYELVTPVSTNFEGHYLSHI
+LSANHKKRSTRDVSSNSEQLFFNITAFGRDFHLRLKPNTQLVAPGAVVEWHEASPVPGNI
+TDPINDHQPGSTSERIWKTEPLQTNCAYVGDIMDIPGTSVAISNCDGLAGMIKSDNDEYF
+IEPLERGKQMEEEKGRIHVVYKRSAVERAPIDMSQDFHYRESDLEGLDDLGTVYSSIDQQ
+LNETMRRRRHAGENDYNIEVLLGVDDSVVRFHGKEHVQNYLLTLMNIVNEIYHDESLGVH
+INVVLVRMIMLGYAKSISLIERGNPSRSLENVCRWACQQQKSDPNHSEHHDHAIFLTRQD
+FGPAGMQGYAPVTGMCHPVRSCTLNHEDGFSSAFVVAHETGHVLGMEHDGQGNRCGDETA
+MGSVMAPLVQAAFHRYHWSRCSGQELKRYIHSYDCLLDDPFEHDWPKLPELPGINYSMDE
+QCRFDFGVGYKMCTAFRTFDPCKQLWCSHPDNPYFCKTKKGPPLDGTECAAGKWCYKGHC
+MWKNANQQKQDGNWGSWTKFGSCSRTCGTGVRFRTRQCNNPTPINGGQDCPGVNFEYQLC
+NTEECQKHFEDFRAQQCQQRNSHFEYQNTKHHWLPYEHPDSKKRCHLYCQSKETGDVAYM
+KQLVHDGTRCSYKDPYSICVRGECVKVGCDKEIGSNKVEDKCGVCGGDNSHCRTVKGTFT
+RTPRKLGYLKMFDIPPGARHVLIQEDEASPHVLAIKNQATGHYILNGKGEEAKSKTFIDL
+GVEWDYNIEDDIETLHTDGPLHDPVIVLIIPQENDTRSSLTYKYIIHEDSAPTINSNNVI
+QEELDTFEWALKSWSQCSKPCGGGFQYTKYGCRRKSDNKMVHRSFCEVNKKPKPIRRMCN
+IQECTHPLWAAEEWEHCTKTCGTSGYQLRTVRCLQPLHDGTNRSVHSKYCAGDRPESRRP
+CNRVPCPAQWKTGPWNECSVTCGEGTEVRQVLCRAGDHCDGEKPESVRACQLPPCNDEPC
+LGDKSIFCQMEVLARYCSIPGYNKLCCESCSKRSSTLPPPYLSEAAETHDDAIFSDLPGD
+PSDLPGSLVMPTSLVPYYSETPAEKKKSLSRISSIAGPNEPAAFRSNSKSNGANLSQRQA
+QPAENATLGPASPPTKSGHLSSSPQLAAARFLAASGSIGASSQARTSKKDEKLIDKRRPL
+RSSTLER
+>tr|F1MCK0|F1MCK0_BOVIN Poly [ADP-ribose] polymerase OS=Bos taurus OX=9913 GN=PARP8 PE=4 SV=2
+MGMCSRQERIQKDIDVVIQKSRAEKDCLFADFRYSDSTFTFTYVGGPKSVSYSVHVSEDY
+PDNTYVSSSENDEDVLVTTEPIPVIFHRLATELRKTNDINCCLSIKSKLQKENGEESRQN
+STVEEDSEGDNDSEEFYYGGQVNYDGELHKHPQLEADLLAVREIYGPHAVSLREYGAIDD
+VDIDLHIDVSFLDEEIAVAWEVIRTEPIIVRLHCSLTQYLNGPVPTVDVFQISTKERFGL
+GHQLKKIMQTFVTQQWKQSKEKSNCLHNKKLSEKKVKSPLHLFSTLRRSPSYPPPGCGKS
+KSKLKSEQDGISKTHKLLRRTCSSTVKTEDVCAPKPHRTFGRSLSSDPRAEQAVTTIKSH
+KLLNRPCSAAVKQEDCLALKSHKLLTRSCSGDPRCEHNASLKPHKLLSRSYSSNLRMEEL
+YGLKNHKLLSKSYSSAPKSSKTELFKEPNTEGRRLSLTSGLIGILTPSSSSSSQPAPNGA
+KCIPIRDRGFLVQTIEFAEQRIPVLNEYCVVCDEPHVFQNGPMLRPTVCERELCVFAFQT
+LGVMNEAADEIATGAQVVDLLVSMCRSALESPRKVVIFEPYPSVVDPNDPQMLAFNPRKK
+NYDRVMKALDSITSIREMTQAPYLEIKKQMDKQDPLAHPLLQWVISSNRSHIVKLPVNRQ
+LKFMHTPHQFLLLSSPPAKESNFRAAKKLFGSTFAFHGSHIENWHSILRNGLVVASNTRL
+QLHGAMYGSGIYLSPMSSISFGYSGMNKKQKVSAKDEPASSSKNSNASQSQKKGQQSQFL
+QSRNLKCIALCEVITSPDLHKHGEIWVVPNTDHVCTRFFFVYEDGQVGDANINTQEGGIH
+KEILRVIGNQTATG
+>tr|F1MDA8|F1MDA8_BOVIN Protein kinase domain-containing protein OS=Bos taurus OX=9913 GN=DCLK1 PE=3 SV=3
+MLELIEVNGTPGSQLSTPRSGKSPSPSPTSPGSLRKQRSSQHGGSSTSLASTKVCSSMDE
+NDGPGEGEVSEEGFQIPATITERYKVGRTIGDGNFAVVKECVERSTAREYALKIIKKSKC
+RGKEHMIQNEVSILRRVKHPNIVLLIEEMDVPTELYLVMELVKGGDLFDAITSTNKYTER
+DASGMLYNLASAIKYLHSLNIVHRDIKPENLLVYEHQDGSKSLKLGDFGLATIVDGPLYT
+VCGTPTYVAPEIIAETGYGLKVDIWAAGVITYILLCGFPPFRGSGDDQEVLFDQILMGQV
+DFPSPYWDNVSDSAKELITMMLLVDVDQRFSAVQVLEHPWVNDDGLPENEHQLSVAGKIK
+KHFNTGPKPNSTAAGVSVIALDHGFTIKRSGSLDYYQQPGMYWIRPPLLIRRGRFSDEDA
+TRM
+>tr|A0A3Q1LLP5|A0A3Q1LLP5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=OPHN1 PE=4 SV=1
+MGHPPLEFSDCYLDSPDFRERLKCYEQELERTNKFIKDVIKDGNALISAMRNYSSAVQKF
+SQTLQSFQFDFIGDTLTDDEINIAESFKEFAELLNEVENERMMMVHNASDLLIKPLENFR
+KEQIGFTKERKKKFEKDGERFYSLLDRHLHLSSKKKESQLQEADLQVDKERHNFFESSLD
+YVYQIQEVQESKKFNIVEPVLAFLHSLFISNSLTVELTQDFLPYKQQLQLSLQNTRNHFS
+STREEMEELKKRMKEAPQTCKLPGQPTIEGYLYTQEKWALGISWVKYYCQYEKETKTFTM
+TPMDQKPGAKQQGPLDLTLKYCVRRKTESIDKRFCFDIETNERPGTITLQALSEANRRLW
+MEAMDGKEPIYHSPITKQEEMELNEVGFKFVRKCINIIETKGIKTEGLYRTVGSNIQVQK
+LLNAFFDPKCPGDIDFHNSDWDIKTITSSLKFYLSVCEHSKENLMTPSNMGVIFGPTLMR
+AQEDTVAAMMNIKFQNIVVEILIEHFVKIYSGPPEESTAPPVPPPRVTARRHKPITISKR
+LLRERTVFYTSSLDESEDEIQHRTPNGTISSSIEPPKPPQYPKMPMQKSVEADPGRKSPS
+RLVSDVKLETCLEVDVGKLVSRLQDGGTKAIPKASNGPVPGPGTTKAPSFHIKRSAPRPL
+AHHKEGDSDSFSKVRPPGEKPTIIRPPVRPPDPPCRATTTQKPEPKPDVMAGNAGEIPSS
+VVASRTRFFETASRKTGSSQGRLPGDES
+>tr|A0A3Q1LYJ3|A0A3Q1LYJ3_BOVIN Protocadherin 17 OS=Bos taurus OX=9913 GN=PCDH17 PE=4 SV=1
+MYLSICCCFLLWAPALTLKNLNYSVPEEQGAGTVIGNIGKDARLQPGLPPSERGGGGGGR
+SKSSSYRVLENSAPHLLDVEADSGLLYTKQRIDRESLCRHNAKCQLSLEVFANDKEICMI
+KVEIQDINDNAPSFPSDQIEMDISENAAPGTRFPLTSAHDPDAGENGLRTYLLTRDDHGL
+FALDVKSRGDGSKFPELVIQKALDREQQNHHTLVLTALDGGEPPRSATVQINVKVIDSND
+NSPVFEAPSYLVELPENAPLGTVVIDLNATDADEGPNGEVLYSFSSYVPDRVRELFSIDP
+KTGLIRVKGNLDYEENGMLEIDVQARDLGPNPIPAHCKVTVKLIDRNDNAPSIGFVSVRQ
+GALSEAAPPGTVIALVRVTDRDSGKNGQLQCRVLGGGGPGGGGGLGGPGGSVPFKLEENY
+DNFFTVVTDRPLDRETQDEYNVTIVARDGGSPPLNSTKSFAVKILDENDNPPRFTKGLYV
+LQVHENNIPGEYLGSVLAQDPDLGQNGTVSYSILPSHIGDVSIYTYVSVNPTNGAIYALR
+SFNYEQTKAFEFKVLAKDSGAPAHLESNATVRVTVLDVNDNAPVIVLPTLQNDTAELQVP
+RNAGLGYLVSTVRALDSDFGESGRLTYEIVDGNDDHLFEIDPSSGEIRTLHPFWEDVTPV
+VELVVKVTDHGKPTLSAVAKLIIRSVSGSLPEGVPRVNGEQRHWDMSLPLIVTLSTISII
+LLAAMITIAVKCKRENKEIRTYNCRIAEYSHPQLGGGKGKKKKINKNDIMLVQSEVEERN
+AMNVMNVVSSPSLATSPMYFDYQTRLPLSSPRSEVMYLKPASNNLTVPQGHAGCHTSFTG
+QGTNASETPATRMSIIQTDNFPAEPNYMGSRQQFVQSSSTFKDPERASLRDSGHGDSDQA
+DSDQDTNKGSCCDMSVREALKMKTTSTKSQPLEQEPEECVNCTDECRVLGHSDRCWMPQF
+PATNQAENADYRTNLFVPTVEANVETETYETVNPTGKKTFCTFGKDKREHTILIANVKPY
+LKAKRALSPLLQEVPSASSSPTKACIEPCTSTKGSLDGCEAKPGALAEASGQYLPTDSQY
+LSPSKQPRDPPFLASEQMARVFADVHSRASRDSNEMGTVLEQLDHPGQDLGRESVDAEEV
+VREIDKLLQDCRGNDPVAVRK
+>tr|A0A3Q1LYC2|A0A3Q1LYC2_BOVIN EPH receptor A5 OS=Bos taurus OX=9913 GN=EPHA5 PE=3 SV=1
+NICGTLDFWEEIGEVDENYAPIHTYQVCKVMEQNQNNWLLTSWISNEGASRIFIELKFTL
+RDCNSLPGGLGTCKETFNMYYFESDNENGRNIKENQYIKIDTIAADESFTELDLGDRVMK
+LNTEVRDVGPLSKKGFYLAFQDVGACIALVSVRVYYKKCPSVVRHLAVFPDTITGADSSQ
+LLEVAGSCVNHSVTDEPPKMHCSAEGEWLVPIGKCMCKAGYEEKNGTCQVCRPGFFKASP
+HSQSCSKCPPHSYTHEEASTSCVCEKDYFRRESDPPTMACTRPPSAPRNAISNVNETSVF
+LEWIPPADTGGRKDVSYYIACKKCNSHAGVCDECGGHVRYLPQQIGLKNTSVMVVDLLAH
+TNYTFEIEAVNGVSDLSPGARQYVSVNVTTNQAAPSPVTNVKKGKIAKNSISLSWQEPDR
+PNGIILEYEIKYFEKDQETSYTIIKSKETSITAEGLKPASVYVFQIRARTAAGYGVFSRR
+FEFETIPVFISIVLCASGLDVIHRLGDVANDGLLYSRRCGYSKAKQDPEEEKMHFHNGHI
+KLPGVRTYIDPHTYEDPNQAVHEFAKEIEASCITIERVIGAGEFGEVCSGRLKLPGKREL
+PVAIKTLKVGYTEKQRRDFLGEASIMGQFDHPNIIHLEGVVTKSKPVMIVTEYMENGSLD
+TFLKKNDGQFTVIQLVGMLRGIAAGMKYLSDMGYVHRDLAARNILINSNLVCKVSDFGLS
+RVLEDDPEAAYTTRGGKIPIRWTAPEAIAFRKFTSASDVWSYGIVMWEVVSYGERPYWEM
+TNQDVIKAVEEGYRLPSPMDCPAALYQLMLDCWQKDRNSRPKFEEIVNMLDKLIRNPSSL
+KTLVNASSRVSNLLAEHGSLGSGAYRSVGEWLEAIKMGRYTEIFMENGYSSMDAVAQVTL
+E
+>tr|A0A3Q1MCJ4|A0A3Q1MCJ4_BOVIN Oxysterol-binding protein OS=Bos taurus OX=9913 GN=OSBPL6 PE=3 SV=1
+MSSDEKGISPAHKTSTPTHRSASSSTSSQRDSRQSIHILERTSSTSTEPSVSRQLLEPEP
+VPLSKEADSWEIIEGLKIGQTNVQRPDKHEGFMLKKRKWPLKGWHKRFFVLDNGMLKYSK
+APLDIQKGKVHGSIDVGLSVMSIKKKARRIDLDTEEHIYHLKVKSQDWFDAWVSKLRHHR
+LYRQNEIVRSPRDASFHIFPSTSTAESSPAANVSVVDGKVQPNSFPWQSPLPCSSSLPAT
+CTTGQSKVAAWLQDSEEMDRCAEDLAHCQSNLVELSKLLQNLEILQRTQSAPNFTDMQVP
+FSATMSPVRLHSSNPNLCADIEFQTPPSHLTDPLESSTDYTKLQEEFCLIAQKVHSLLKS
+AFNSIAIEKEKLKQMVSEQDHNKGHSTQMARLRQSLSQALNQNAELRSRLNRIHSESIIC
+DQVVSVNIIPSPDEAGEQIHVSLPLSQQVANESRLSMSESVSEFFDAQEVLLSASSSENE
+ASDDESYISDVSDNISEDNTSVADNISRQILNGELTGGAFRNGRRTCLPAPCPDTSNINL
+WNILRNNIGKDLSKVSMPVELNEPLNTLQHLCEEMEYSELLDKASETDSPYERMVLIAAF
+AVSGYCSTYFRAGSKPFNPVLGETYECIREDKGFRFFSEQVSHHPPISACHCESKNFVFW
+QDIRWKNKFWGKSMEILPVGTLNVMLPKYGDCYVWNKVTTCIHNILSGRRWIEHYGEVTV
+RNTKSSVCICKLTFVKVNYWNSNVNEVQGVVMDQEGKVVHRLFGKWHEGLYCGVAPSAKC
+IWRPGSMPTNYELYYGFTRFAIELNELDPVLKDLLPPTDARFRPDQRFLEEGNLEAAAAE
+KQRVEELQRSRRRYMEENNLEHIPKFFKKVIDANQREAWVSNDTYWELRKDPGFSKVDSP
+VLW
+>tr|F1N3P8|F1N3P8_BOVIN Cysteine-rich with EGF-like domain protein 1 OS=Bos taurus OX=9913 GN=CRELD1 PE=4 SV=2
+MAHGPLPLAVAWVPPLPAAESAAGARFTQPRRWEDSCWRPRAGSPAWEKMAPRSSRGIAP
+AMLCGLSLFLGFPGLVWVQISVPPQSSPHTEPHPCHTCRGLVDSFNKGLERTIRDNFGGG
+NTAWEEEKLSKYKDSETRLVEVLEGVCSKSDFECHRLLELSEELVESWWFHKQQEAPDLF
+QWLCSDSLKLCCPSGTFGPSCLPCPGGAERPCGGYGHCEGEGTRGGSGHCDCQAGYGGEA
+CGQCGLGYFEAERNASHLVCSACFGPCARCSGPEESHCLQCKKGWALHHLKCVDIDECGT
+ERASCGADQFCVNTEGSYECRDCAKACLGCMGAGPGRCKKCSPGYQQVGSKCLDVDECET
+AVCPGENQQCENTEGSYRCICADGYKQMEGICVKEQIPESAGFFSEMTEDELVVLQQMFF
+GVIICALATLAAKGDLVFTAIFIGAVAAMTGYWLSERSDRVLEGFIKGR
+>tr|E1BFE6|E1BFE6_BOVIN Myotubularin related protein 1 OS=Bos taurus OX=9913 GN=MTMR1 PE=4 SV=1
+MDRPAAAAAAAAAGCDSGGGLGPGPAGGRRPPRVAGGPAVGSRQPSVETLDSPTGSHVEW
+CKQLIAATISSQISGSVTSENVSRDYKEIQEEHNGYPSEAEADQALRDGNKLAQMEEAPL
+LPGESIKAIVKDVMYICPFVGAVTGTLTVTDFKMYFKNVERDPHFVLEVPLGVISRVEKI
+GAQSHGDNSCGIEIVCKDMRNLRLAYKQEEQSKLGIFENLNKHAFPLSNGQTLFAFNYKE
+KFPVNGWKVYDPVSEYKRQGLPNESWKISKVNSNYELCDTYPAIIVVPTSVKDDDLSKVA
+AFRAKGRIPVLSWIHPESQATITRCSQPLVGPNDKRCKEDEKYLQTIMDANAQAHKLIIF
+DARQNSVADTNKAKGGGYESESAYPNAELMFLEIHNIHVMRESLRKLKEIAYPAIDEARW
+LSNVDGTHWLEYIRMLLAGAVRIADKVESGKTSVVVHCSDGWDRTAQLTSLAMLMLDSYY
+RTIKGFEALIEKEWISFGHRFALRVGHGNDNHADADRSPIFLQFIDCVWQMTRQFPSAFE
+FNEFFLITILDHLYSCLFGTFLCNCEQQRLKEDVSTKTVSLWSYINSQLDEFSNPFFVNY
+ENHVLYPVASLSHLELWVNYYVRWNPRMRPQTPTHQNLKELLAVRAELQKRVEDLQREVA
+ARASASSERGSSPSHSVTPVHTSV
+>tr|A0A3Q1MRN7|A0A3Q1MRN7_BOVIN Potassium sodium-activated channel subfamily T member 1 OS=Bos taurus OX=9913 GN=KCNT1 PE=4 SV=1
+MSRPEWSLECGYRVQVEFYVNENTFKERLKLFFIKNQRSSLRIRLFNFSLKLLTCLLYIV
+RVLLDDPALGIGCWGCPKQNYTFNESSSEINWAPILWVERKVALWAIQVIVAIISFLETM
+LLIYLSYKGNIWEQIFRVSFILEMINTLPFIITIFWPPLRNLFIPVFLNCWLAKHALENM
+INDFHRAILRSQSAMFNQVLILFCTLLCLVFTGTCGIQHLERAGDNLSLLTSFYFCIVTF
+STVGYGDVTPKIWPSQLLVVIMICVALVVLPLQFEELVYLWMERQKSGGNYSRHRAQTEK
+HVILCVSSLKIDLLMDFLNEFYAHPRLQDYYVVILCPTEMDIQVRRVLQIPLWSQRVIYL
+QGSALKDQDLMRAKMDNGEACFILSSRNEVDRTAADHQTILRAWAVKDFAPNCPLYVQIL
+KPENKFHVKFAEHVVCEEECKYAMLALNCICPATSTLITLLVHTSRGQEGQDSPEQWQRM
+YGRCSGNEVYHVRMGDSKFFREYEGKSFTYAAFHAHKKYGVCLIGLKREDNKSILLNPGP
+RHILAASDTCFYINITKEENSAFIFKQEEKQKRKGFAGQGLYEGSSRLPVHSIIASMGTV
+AMDLQNTECRPTQSGGGSGGSKLALPTENGSGSRRPSIAPVLEVADSSALLPCDLLSDQS
+EDEMTPSEDEGLSVVEYVKGYPPNSPYIGSSPTLCHLLPVKAPFCCLRLDKGCKHNSYED
+AKAYGFKNKLIIVSAETAGNGLYNFIVPLRAYYRPRRELNPIVLLLDNKPDHHFLEAICC
+FPMVYYMEGSVDNLDSLLRCGVIYADNLVVADKESTMSAEEDYMADAKTIVNVQTMFRLF
+PSLSITTELTHPSNMRFMQFRAKDSYSLALSKLEKRERENGSNLAFMFRLPFAAGRVFSI
+SMLDTLLYQSFVKDYMIPITRLLLGLDTTPGSGYLCAMKISEDDLWIRTYGRLFQKLCSS
+SAEIPIGIYRTQCHVFSTEPPDLRAQSQVSVSVEDCEDTREAKGPWGARVGTSGGSTHGR
+HTVGSDPAEHPLLRRKSLPWARRLSRKGSRHTGNAAAEWISQQRLSLYRRSERQELSELV
+KNRMKHLGLPTTGYEDVANLTASDVMNRVNLGYLQDEMNDHQNTLSYVLINPPPDTRLEP
+NDIVYLIRSDPLAHVASSSQSRKSSCSNKLASCNPETRDETQL
+>tr|A0A3Q1MZJ9|A0A3Q1MZJ9_BOVIN Apolipoprotein A-IV OS=Bos taurus OX=9913 GN=APOA4 PE=3 SV=1
+ECCPFTVLGSLAWVLPQLYLPLWLLTSQAQREKSVAVPRPRHPVCSSGLGQGGKEGDGVH
+EFAVSHPGAEAEVNADQVATVIWDYFSQLGNNAKKAVEHIQKSELTQQLNTLFQDKLGEV
+STYTDDLQKKLVPFATELHERLTKDSEKLKEEIRKELEDLRARLLPHATEVSQKIGDNVR
+ELQQRLGPYAEELRTQVDTQAQQLRRQLTPYAERMEKVMRQNLDQLQASLAPYAEELQAT
+VNQRVEELKGRLTPYADQLQTKIEENVEELRRSLAPYAQDVQGKLNHQLEGLAFQMKKHA
+EELKAKISAKAEELRQGLVPLVNSVHGSQLGNAEDLQKSLAELSSRLDQQVEDFRRTVGP
+YGETFNKAMVQQLDTLRQKLGPLAGDVEDHLSFLEKDLRDKNGV
+>tr|A0A3Q1LIN1|A0A3Q1LIN1_BOVIN Beta-1,4-galactosyltransferase 3 OS=Bos taurus OX=9913 GN=B4GALT3 PE=4 SV=1
+HAIGWLGQSRGPSISCSTPFLSNHFPSLASRAEKPASPCSCPLPLWGWLAILDHFCSSWS
+QDCCWRAGWSLSLSSVTFPILYNASHLCSSGTSWSPHDPEEDSLNLVGPISVSFSPVPSL
+AEIVERNPRVEPGGRYRPARCEPRSRTAIIVPHRAREHHLRLLLYHLHPFLQRQQLAYGI
+YVIHQAGNGTFNRAKLLNVGVREALRDEEWDCLFLHDVDLLPENDHNLYVCDPRGPRHVA
+VAMNKFGYSLPYPQYFGGVSALTPDQYLKMNGFPNEYWGWGGEDDDIATRVRLAGMKISR
+PPTSVGHYKMVKHRGDKGNEENPHRFDLLVRTQNSWTQDGMNSLTYQLLSRELGPLYTNI
+TADIGTDPRGPRTSSGPHYPPGSSQAFRQEMLQRRPPARPGPLPTANHTAPHGSH
+>tr|A0A3Q1M6X8|A0A3Q1M6X8_BOVIN Syntaxin-1B OS=Bos taurus OX=9913 GN=STX1B PE=3 SV=1
+MKDRTQELRSAKDSDDEEEVVHVDRDHFMDEFFEQVEEIRGCIEKLSEDVEQVKKQHSAI
+LAAPNPDEKTKQELEDLTTDIKKTANKVRSKLKAIEQSIEQEEGLNRSSADLRIRKTQHS
+TLSRKFVEVMTEYNATQSKYRDRCKDRIQRQLEITGRTTTNEELEDMLESGKLAIFTDDI
+KMDSQMTKQALNEIETRHNEIIKLETSIRELHDMFVDMAMLVESQGEMIDRIEYNVEHSV
+DYVERAVSDTKKAVKYQSKARRVSGGGWACGAGGLKPSGRGWARAGAEVSDEIPREALSL
+VLTSSFSFCFLSPLLFPHTILPIRLSVCLSLYLSVSLSPPSPSAFLPAEENHDNHLLCGA
+GGGLGVIHWGDAGLVGPPTLSLPDLSPTTSGAIPPPPLHSIPCSRLTLNTGSPP
+>tr|A0A452DJA0|A0A452DJA0_BOVIN Cornifelin OS=Bos taurus OX=9913 GN=CNFN PE=4 SV=1
+MQFEMHDNVKGKAMSYPVTSQPQSASTCYQTQLSDWHTGLTDCCNDMPVCLCGTFAPLCL
+ACRISDDFGECCCTPYLPGGLHSLRTGMRERYRIQGSIGKDWAALTFCLPCALCQMAREL
+KIRE
+>tr|A0A3Q1NE17|A0A3Q1NE17_BOVIN DLG associated protein 4 OS=Bos taurus OX=9913 GN=DLGAP4 PE=4 SV=1
+MKGLGDSRPRHLSDSLDPPHEPLFAGPDRNPYLLSPTEAFAREARFPGQNALPGDGLFPL
+NNQLPPPSSTFPRIHYNSHFEVPEESPFPSHAQATKINRLPANLLDQFEKQLPIHRDGFS
+TLQFPRGEAKARGESPGRIRHLVHSVQRLFFTKAPSLEGTAGKVGGNGGKKGVLEDGKGR
+RAKSKERAKAGEPKRRSRSNISGWWSSDDNLDGEGGAFRSSGPASGLMTLGRQAERSQPR
+YFMHAYNTISGHMLKAAKNTTTELTAPPPPPAPPATCPSLGVGTDTNYVKRGSWSTLTLS
+HAHEVCQKTSATLDKSLLKSKSCHQGLAYHYLQVPGGGGEWTTSLLSPREADATAEGPIP
+CRRMRSGSYIKAMGDEDSDESGGSPKPSPKTAARRQSYLRATQQSLGEQSNPRRSLDRLD
+SVDMLLPSKCPSWEEEYNPVSDSLNDSSCISQVREADLSDQYEAACESACSEAESTAAEA
+LDLPLPSYFRSRSHSYLRAIQAGCSQEEDSVSLQSLSPPPSTGSLSNSRTLPSSSCLVAY
+KKTPPPVPPRTTSKPFISVTVQSSTESAQDTYLDSQDHKSEVTSQSGLSNSSDSLDSSTR
+PPSVTRGGVTPAPEAPEPPPKHAALKSEQGTLTSSESHPEAIPKRKLSSIGIQERTRRNG
+SHLSEDNGPKAIDVMAPSSESSAPSHSMSSRRDTDSDTQDANDSSCKSSEKSLPDCTPHP
+NSISIDAGPRQAPKIAQIKRNLSYGDNSDPALEASSLPPPDPWLETSSSSPAEPAQPGAC
+RRDGYWFLKLLQAETERLEGWCCQMDKETKENNLSEEVLGKVLSAVGSAQLLMSQKFQQF
+RGLCEQNLNPDANPRPTAQDLAGFWDLLQLSIEDISMKFDELYHLKANSWQLVETPEKRK
+EEKKAPPPVPKKPAKSKPAVSRDKASDAGDRQRQEARKRLLAAKRAASVRQNSATESADS
+IEIYVPEAQTRL
+>tr|F1MJ66|F1MJ66_BOVIN Keratin 12 OS=Bos taurus OX=9913 GN=KRT12 PE=3 SV=3
+MSASSIGSGYGGSAFGFGGNSGGGFSAASMFGSSSGFGSSSGFGGACGSSFAGGLGAGYG
+GAGGGGFGGLGIGFGGSSGGGSLGILPGIDGGLISGSEKETMKNLNDRLASYLDKVRALE
+EANTDLETKIREWYETRGSGTGDPGSQNDYSKYYPLIEDLRNKIISANIENAQLILQIDN
+ARLAADDFRMKYENELALCQNVEADINGLRRVLDELTLARADLETQIETLNEELAYLKKN
+HEEELQSCRAGGPGQVSVEMDAAPGVDLTRLLNDMRAQYETIAEQNRKDAEAWFIEKSGE
+LRKEISSNTEQLQSSKSEVTDLRRALQNLEIELQSQLAMKKSLEDSLAETEGDYCGQLSQ
+VQQLIGSLEEQLLQVRADAERQSADYQRLLNVKARLELEIETYRRLLDGEAQGDSLVESS
+YVTASTSQAPSTDSSKDPNKARKIKTIVQEVVNGEVVSSQVKEIEELIVR
+>tr|F6RR01|F6RR01_BOVIN Ribosome production factor 1 homolog OS=Bos taurus OX=9913 GN=RPF1 PE=4 SV=1
+MAKAGGKSGGSGKKGLKRKAATEESQEAAVGEDGTTESGVQPPKTAAFPPGFSISEIKNK
+QRRHLMFTRWKQQQRKEKLAAKKKLKKEREALGDKAPPKPVPKTIDNQRVYDETIVDPND
+EEVAYDEATDEFASYFNRQTSPKILITTSDRPHGRTVRLCEQLSTVIPNSHVYYRRGLAL
+KKIIPQCISRDFTDLIVINEDRKIPNGLILSHLPNGPTAHFKMSSVRLRKEIKRRGKDPT
+EHIPEIILNNFTTRLGHSIGRMFASLFPHNPQFIGRQVATFHNQRDYIFFRFHRYIFKSE
+KKVGIQELGPRFTLKLRSLQKGTFDSKYGEYEWVHKPREMDTSRRKFHL
+>tr|F1MZS0|F1MZS0_BOVIN Copine 7 OS=Bos taurus OX=9913 GN=CPNE7 PE=4 SV=3
+MSAGSERRAAAAPGVVPAPCASKVELRLSCRHLLDRDPLTKSDPSVVLLLQSQGQWVQVD
+RTEVVRSSLHPVFSKVFTLDYYFEEVQKLRFEVYDTHGPSSLSCQEDDFLGGMECTLGQI
+VAQKKVTRALLLKFGRNAGKSTITVLAEDISGNNGYVELSFRARKLDDKDLFSKSDPFLE
+LYRINDDQSEQLVYRTEVVKNDLSPTWQPFKVSLSSLCSCEESRPLKGLVWDYDSRGKHD
+FIGEFSTTFEEMQKAFGEDQAQWDCVNSKYKQKKRNYKNSGVVILADLKLYRVYSFLDYV
+MGGCQIHFTVAIDFTASNGDPRNSCSLHYINPFQPNEYLQALVAVGEICQDYDSDKRLSA
+LGFGARIPPKYEVSHDFAINFNPEDDECEGIQGVVEAYQNCLPRVQLYGPTNVAPIISKV
+ARTAAAEERTREASQYYILLILTDGVVTDMADTREAIVRASHLPMSIIIVGVGNADFTDM
+QVLDGDDGVLRSPRGEPALRDIVQFVPFRELKSASPAALAKSVLAEVPRQLVEYYSHKEL
+PPRDLGAHA
+>tr|A0A3Q1M407|A0A3Q1M407_BOVIN Centrosomal protein 131 OS=Bos taurus OX=9913 GN=CEP131 PE=4 SV=1
+MKGSRALSGPEGSLEGVDLSLTGLPPPMNRRPNSASATKPITRSISVVTGNEPRRKLLEN
+PGPGGSRAINNLRRSNSATQVNQPQANQAWPSPLRPAEPPDFLTLFEGSPSGRKRPASLS
+KASSEKGATWNVLDDRPRAFTLPSDAQSPGALDVPVGPRRRECTVPLAPNFTANNRSNKG
+AVGNCVTTMVHNHYTPSERVPPPPKSSNRTAPSLNNIIKAATDEGEGSSLGKPQKNVARS
+NHVVQNNSGGTTGLLRRKEVTEEEAERFIHQVNQAAVTIQRWYRHQVQRRRAGAARLERL
+LASKREGQRQRLGEGSLLDQHQQREAARRKAREEKARQARRAAIQELQQKRAQKSGNADL
+GLLKGMGETEKPQPTQEPAMRPGSTTQQTRKANNTGASFHTAGSEDAGQPALGSSPEPRQ
+LSEDKPQDASLQDVPGEGLEGMGRAGSRAKSKATLDELLDTLKLLEQEPEPLPCPRAYHK
+DKYAWTDEEDDASSLTADNLEKFGKLSAAAGPPEDGTLLSEAKLQSIMNFLDEMEKSGQG
+RPASAPQGPMPEEGLGRLEPTSEVSTSVMRLQLEVEEKKQAMVLLQRALAQQRDLTFRRV
+KETERELGRQLRQQKEHYEATIRRHLSFIDQLIEDKKALGEKCEALVAELKLGDQRRKDR
+EAQLQEQHELEIKKLKELMSATEKVRREKWINEKTRKIKEITVKGLEPEIQKLIAKHKQE
+VKKLKGLHATELLQAEERAAQHYGRQAEELREHLEREKEALGRQEWERAQQRFEQHLEQE
+QRALQQQRRRLYNEVAEEKERLGQQAARQRAELDELRRQLEESSSAGGRALRAEFEKGKE
+EQERRHQMELKALKDQLEVERQMWEANSAKKEEAWLLNRERELKEEIRKGRDKEIELVIH
+RLEADMTQAREESERAAENRVKRLRDKYEAELSELEQSERKLQERCAELKGRLGEAEGEN
+VRLQGLVRQKEKELADVKAVNEQLTGERSSLAQVLRQEFADRLATSEEENRQTKAELAEL
+RARQRLELEQLTREKQAELEEVHGRWAPGLTGVLGSTGLGKADRTLWLQRGCWAKDGERL
+RSLLPADAECVHQPQPGAPCLRLGCPLCVMLAPGPGRKPAIPNQGRCPTCGCPERSSLAL
+GPLGPGGVGAVQIG
+>tr|A0A3Q1MQR0|A0A3Q1MQR0_BOVIN CREB regulated transcription coactivator 3 OS=Bos taurus OX=9913 GN=CRTC3 PE=4 SV=1
+MLLSALCCRVSTALNSLCPQVQFQKLQQLRLTQYHGGSLPNVSQLRSSAPEFQPVFHPAD
+SVRGTRHHGLVERPSRTRFHPLHRRSGDKPGRQFDGSTLGANYASQPLDESWPRTNSDSA
+LHTSALSTKPQDPYGGGGQSAWPASYVGFCDGENDVHGEVVSFPGPLREENLLNVPKPLP
+KQLWETKEIQSLSGRPRSCDVGGGSTFPHNGQNMGLSPFLGTLNTGGSLPDLTNLHYSAP
+LPASLDTGDHLFGSMSVGNSVGNLPAAMTHLGIRGSSGLQSSRSNPSIQATLNKTVLSSS
+LNSRPEASAASASALHPSLRLFSLSNPSLSTTSLSGPPRRRQPPVSPLTLSPGPEAHQGF
+SRQLSSTSPLHPYPASQMVSSDRSPLSFLPTEAQAQVSPPPPYPAAHDLPQPLLQQPHAQ
+EPPAQQPQAASSLSQSDFPLLTAQGSSLTSFFPDVSFDQQSMRPGPAFTQQVPPVQQGPR
+EPQDSFHLRPNLYSNCGNFPNTVLTEDSSTSLFKDLSSALGGMPEVSLSVDTPFPLEEEL
+QIEPLSLDGLNMLSDSSMGLLDPSVEETFRADRL
+>tr|A0A3Q1MC40|A0A3Q1MC40_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MKVRHFNGFLKLMKCSLMQRKGNHTTKEENRQLKTVEQVVVWAPPRTSLICFSEEETGCR
+EREGGKNVVRQLAVTFLYNGATRKVALQKNVICDKCEG
+>tr|F1N797|F1N797_BOVIN Matrix metallopeptidase 16 OS=Bos taurus OX=9913 GN=MMP16 PE=3 SV=1
+MSVLTSSTGRRLDFVHHSGILYLQTLLWILCATVCGTEQYFNVEVWLQKYGYLPPSDPRM
+SVLRSAETMQSALAAMQQFYGINMTGKVDRNTIDWMKKPRCGVPDQTRGSSKFNIRRKRY
+ALTGQKWQHKHITYSIKNVTPKVGDPETRKAIRRAFDVWQNVTPLTFEEVPYSELENGKR
+DVDITIIFASGFHGDSSPFDGEGGFLAHAYFPGPGIGGDTHFDSDEPWTLGNPNHDGNDL
+FLVAVHELGHALGLEHSNDPTAIMAPFYQYMETDNFKLPSDDLQGIQKIYGPPDKIPPPT
+RPLPTVPPHRSVPPADPRKNDRPKPPRPPTGRPSYPGAKPNICDGNFNTLAILRREMFVF
+KDQWFWRVRNHRVMDGYPMQITYFWRGLPPSIDAVYENSDGNFVFFKGNKYWVFKDTTLQ
+PGYPHDLITLGSGIPPHGIDSAIWWEDVGKTYFFKGDRYWRYSEEMKTMDPGYPKPITVW
+KGIPESPQGAFVHKENGFTYFYKGKEYWKFNNQILKVEPGYPRSILKDFMGCDGPTDREK
+EGHSPPDDVDIVIKLDNTASTVKAIAIVIPCILALCLLVLVYTVFQFKRKGTPRHILYCK
+RSMQEWV
+>tr|A0A3Q1MSP7|A0A3Q1MSP7_BOVIN Dynamin-2 OS=Bos taurus OX=9913 GN=DNM2 PE=3 SV=1
+MGNRGMEELIPLVNKLQDAFSSIGQSCHLDLPQIAVVGGQSAGKSSVLENFVGRDFLPRG
+SGIVTRRPLILQLIFSKTEYAEFLHCKSRKFTDFEEVRQEIEAETDRVTGTNKGISPVPI
+NLRIYSPHVLNLTLIDLPGITKVPVGDQPQDIEYQIKDMILQFISRESSLILAVTPANMD
+LANSDALKLAKEVDPQGLRTIGVITKLDLMDEGTDARDVLENKLLPLRRGYIGVVNRSQK
+DIEGKKDIRTALAAERKFFLSHPAYRHIADRMGTPHLQKTLNQQLTNHIRESLPALRSKL
+QSQLLSLEKEVEEYKNFRPDDPTRKTKALLQMVQQFGVDFEKRIEGSGDQVDTLELSGGA
+RINRIFHERFPFELVKMEFDEKDLRREISYAIKNIHGVRTGLFTPDLAFEAIVKKQVVKL
+KEPCLKCVDLVIQELINTVRQCTSKLSSYPRLREETERIVTTYIREREGRTKDQILLLID
+IEQSYINTNHEDFIGFANAQQRSTQLNKKRAVPNQGEILVIRRGWLTINNISLMKGGSKE
+YWFVLTAESLSWYKDEEEKEKKYMLPLDNLKIRDVEKGFMSNKHVFAIFNTEQRNVYKDL
+RQIELACDSQEDVDSWKASFLRAGVYPEKDQAENEDGAQENTFSMDPQLERQVETIRNLV
+DSYVAIINKSIRDLMPKTIMHLMINNTKAFIHHELLAYLYSSADQSSLMEESADQAQRRD
+DMLRMYHALKEALNIIGDISTSTVSTPVPPPVDDTWIQNTSSHSPTPQRRPVSSVHPPGR
+PPAVRGPTPGPPLIPVPVGPASFSAPPIPSRPGPHPGVFANNDPFSAPPQIPSRPARIPP
+GIPPGVPSRRPPAAPSRPTIIRPAEPSLLD
+>tr|A0A3Q1N768|A0A3Q1N768_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=PCDH11X PE=4 SV=1
+MDLLSGTYIFAVLLACVVFQSGAQEKNYTIREEMPENVLIGDLLKDLNLSLIPDKSLTTP
+MQFKLVYKTGDVPLIRIEEGTGEIFTTGARIDREKLCAGIIVDTHCFYEVEVAVLPDEIF
+RLVKIRFLIEDINDNAPLFPATVINISIPENSAINSRYALPAAIDPDTGINGVQNYQLIK
+GQNIFGLDIIETPEGEKMPQLIVQKELDREEKDTYVMKVKVEDGGFPQRSSTAILQVSVA
+DTNDNHPVFKEDEIEVSIPENAPVGSSVTQLHATDADIGENARIHFYFSSLVSSVAKRLF
+HLNSTTGLITVKEPLDREESPNHKLLVLASDGGLMPARAMVLVNVTDVNDNVPLIDIRYI
+INPINGTVVLSENAPLNTKIALITVTDKDADHNGRVTCFTDHEVPFRLRPVFSNQFLLET
+AAYLDYESTREYAIKLLASDAGKPPLNQSSMLLIKLKDENDNAPVFTQPFISLSVPENNS
+PGTQLTKISATDADTGRNAEIHYLLGIDAPSEFNLDHRTGILTAVKKLDREKQEKYSFTV
+LAKDNGMPSLITNATVLVTILDQNDNSPVFTHNEYNFYVPENLPRHGTVGLITVTDPDYG
+ENSAVTLSILDANDDFTIDPQSGVIQPNISFDREKQESYTFNVKAEDGGRVSHSSTAKVT
+INVVDVNDNKPVFVVPPSNYSFELVSPSANPGTVVFTVVAIDNDTGMNAELRYSILGGNT
+KGLFMIDQTTGNITLKEKCVLADLGLHKLIVKAKDLGQPDSLFSVINVNLFVNESMTNAT
+LIYELVRKNIEIPVTQNVETTHTSSPSSDYVKIMVAIVAGTITVILVIFITAVVRCRQSP
+HLKAAQKNKQNSEWVTPNPENRQMMMMKKKKKHTPKNLLLNFVTIEEAKADDADNDRNTV
+TLDLPVELEEQTMVKYNWGTTPTTFKPDSPDLAQHYKSASPQPAFQIQPETPLNLKHHII
+QELPLDNTFVGCDSISKCSSSSSDPYSISECSYPVTNIKTPVSVHTRLKLYLLQDEFGCC
+LGVRLLDWNAGIGR
+>tr|A0A3Q1M7S8|A0A3Q1M7S8_BOVIN Pre-mRNA processing factor 40 homolog B OS=Bos taurus OX=9913 GN=PRPF40B PE=4 SV=1
+MPPPGIPPPFPPMGLPPMSQRPPAIPPMPPGIMPPMLPPMGAPPPLTQIPGMVPPMMPGM
+LMPAVPVTAATAPGADTASSAVAGTGPPRALWSEHVAPDGRIYYYNADDKQSVWEKPSVL
+KSKAELLLSQCPWKEYKSDTGKPYYYNNQSKESRWTRPKDLDDLEALVKQEAAGKQQQPQ
+ALQPQPPQPQPDPPPVPPGPTLLPTGLLEPEPGGSEDCAVSEAAQPLEQGFLQQPEEGPS
+SSAGQHQPPQQEEEESKPEPERSGLSWSNREKAKQAFKELLRDKAVPSNASWEQAMKMVV
+TDPRYSALPKLSEKKQAFNAYKAQREKEEKEEARLRAKEAKQTLQHFLEQHERMTSTTRY
+RRAEQTFGELEVWAVVPERDRKEVYDDVLFFLAKKEKEQAKQLRRRNIQALKSILDGMSS
+VNFQTTWSQAQQYLMDNPSFAQDHQLQNMDKEDALICFEEHIRALEREEEEERERARLRE
+RRQQRKNREAFQTFLDELHETGQLHSMSTWMELYPAVSTDIRFANMLGQPGSTPLDLFKF
+YVEELKARFHDEKKIIKDILKDRGFCVEVNTAFEDFAHVISFDKRAAALDAGNIKLTFNS
+LLEKAEAREREREKEEARRLRRREAAFRSMLRQAVPALELGTAWEEVRERFVCDSAFEQI
+TLESERIRLFREFLQVLETECQHLHSKGRKHGRKGKKHHRKRSHSPSGSESGDEELPPPS
+LRPPKRRRRNPSESGSEPSSSLDSVESGGAALGGRGSPSSRLLLGSDHGLRKAKKPKKKT
+KKRRHKSNSPESETDPEEKAAKESDEKEPEQDKDRDLRRAELPNRSPAFGVKKEKTGWDT
+SESELSEGELERRRRTLLQQLDDHQ
+>tr|A0A452DI19|A0A452DI19_BOVIN Protein transport protein Sec61 subunit alpha isoform 1 OS=Bos taurus OX=9913 GN=SEC61A1 PE=3 SV=1
+MGLLSEFLEVIKPFCVILPEIQKPERKIQFKEKVLWTAITLFIFLVCCQIPLFGIMSSDS
+ADPFYWMRVILASNRGTLMELGISPIVTSGLIMQLLAGAKIIEVGDTPKDRALFNGAQKL
+FGMTITIGQSIVYVMTGMYGDPSEMGAGVCLLITIQLFVAGLIVLLLDELLQKGYGLGSG
+ISLFIATNICETIVWKAFSPTTVNTGRGMEFEGAIIALFHLLATRTDKVRALREAFYRQN
+LPNLMNLIATIFVFAVVIYFQGFRVDLPIKSARYRGQYNTYPIKLFYTSNIPIILQSALV
+SNLYVISQMLSARFSGNLLVSLLGTWSDTSSGGPARAYPVGGLCYYLSPPESFGSVLEDP
+VHAVVYIVFMLGSCAFFSKTWIEVSGSSAKDVAKQLKEQQMVMRGHRETSMVHELNRYIP
+TAAAFGGLCIGALSVLADFLGAIGSGTGILLAVTIIYQYFEIFVKEQSEVGSMGALLF
+>tr|A0A3Q1LY65|A0A3Q1LY65_BOVIN WD repeat domain 59 OS=Bos taurus OX=9913 GN=WDR59 PE=4 SV=1
+MAARWSSENVVVEFRDSQATAMSVDCVGQHAVLSGRRFLYIVNLDAPFEGHRKISRQSKW
+DIGAVQWNPHDSFAHYFAASSNQRVDLYKWKDGSGEVGTTLQGHTRVISDLDWAVFEPDL
+LVTSSVDTYIYIWDIKDTRKPTVALSAVAGASQVKWNKKNANCLATSHDGDVRIWDKRKP
+STAVEYLAAHLSKIHGLDWHPDSEHILATSSQDNSVKFWDYRQPRKYLNILPCQVPVWKA
+RYTPFSNGLVTVMVPQLRRENSLLLWNVFDLNTPVHTFVGHDDVVLEFQWRKQKEGSKDY
+QLVTWSRDQTLRMWRVDSQMQRLCANDILDGVDEFIESIALLPEPEKILHTQDTDHQHNS
+SHGEEEVLKEDPPSSLLEEKKSEQLGLPQTLQQEFSLINVQIRNVNVEMDAADRSCTVSV
+HCSSHRVKMLVKFPAQYPNHAAPSFQFINPTTITSTMKAKLLKILKDTSLQKVKRNQSCL
+EPCLRQLVSCLESFVNQEDSASSNPFALPNSVTPPLPTFARVTTAYGSYQDANIPFPRTS
+GARFCGAGYLVYFTRPMTMHRAVSPTEPTPRSLSALSAYHTGLIAPMKIRTEAPGNLRLY
+SGSPTRSEKEQVSISSFYYKERMSPRSARRRWSIQAINDFPKSRRWKSKREGSDSGNRPI
+KAAGKVIIQDIACLLPVHKSLGELYILNVNDIQETCQKNAASALLVGRKDLVQVWSLATV
+ATDLCLGPKSDPDLETPWARHPFGRQLLESLLAHYCRLRDVQTLAMLCSVFEAQSRPQGI
+PNPFGPFPSRSANLVVSHSRYPSFTSSGSCSSLSDPGFNTGGWNIVGRETEHISSPWGES
+SPEEIRFGSLTYSDPRERERDQHDKNKRLLDPANTQQFDDFKKCYGEILYRWGLREKRAE
+VLKFVSCPPDPHKGIEFGVYCSHCRSEVRGTQCAICKGFTFQCAICHVAVRGSSNFCLAC
+GHGGHTSHMMEWFRTQEVCPTGCGCHCLLESTF
+>tr|F1MWZ1|F1MWZ1_BOVIN Cell cycle progression 1 OS=Bos taurus OX=9913 GN=CCPG1 PE=4 SV=3
+MSENSSDSDSSCGWTVINHEGSDIEMVNSEHGAASDSCEPAPECASLSQEELQELQVEQG
+ESSQNGTVLLGGAAYPALEEMKSALEGEEEKLPDDNLYFGTVSDDSDIVTLEPPKLEDIG
+NQEEALIVKEAESPEDFNMGSSSSSQYTFCQPETVFSSQPSDDESSSDETSHQPSATFRR
+RRARKKTVSSSESEERLLAEQEPEPPQELCKRQFSSGLNKCVILALVIAISMGFGHFYGK
+RGGNKGEGTIQIQKRQQLVKKIHEDELNDMKDYLSQCQQEQESLIEYKSLKENLARCWTH
+TEAEKMSFETQKKNLDTENQYLRTSLEKEEKALSSLQEELRKLREQIRILEDKGTSAELV
+TENQKLKQHLEEEKLKTHSFLNQRETLLAEAKMLRKELERERLITMALRVELQQLSSSHS
+YGNPDSPSVVTEKKEIEMLRERLTELERKLTFEQQRSDLWERLYVEAKDQNEKQETDGKK
+KGNRGNHRAKNKSKETFLGSVKETFDAMKNSTKEFVRHHKEKIKQAKEAVKENLKKFSDS
+VKSTFRHFKDTTKNIFDEKGNKRFGATKETAAKKPTTFSEYFHPQYKARTQNQNSRGPTM
+QREGRKEKPHFEEFGKNTNSQKCSAEHDCGGNYNSFRKACSGVFECAQQESINLFNVKML
+NPVRIDEFRQLIEGYLLEKLDSFHHWKELDHFINKFFLNGVFIHDQKLFTDFVNDVKDYL
+KDMKEYQVDNDGVFEKLDGYIYRHFFGHTFSPPYGPSRPDKKQRMVNIESSRHRKQEQKH
+PQPQPYKREEPVDL
+>tr|F1MN03|F1MN03_BOVIN Zinc finger protein 584 OS=Bos taurus OX=9913 GN=ZNF584 PE=4 SV=2
+MLENFGLIGSLGFAPSRSSKVAQMEGEGEAWVPNMVDSVVSRAEARKGSRLSDWGCLCRL
+QDEDPSLEGAPRGSPECSEACPPGSAGQRQQGCHAAQTPFRCSDCGQAFLQAFTLLDHLV
+AHARERPIRGPAGGSSPTENSTLVPHWNAHPGETPHVCNECGRAFSYPSKLRKHQKVHTG
+IKPFKCAECGKTFNRKDALVLHQRIHTGERPYQCGECGKAFSVLSTLIRHRKVHIGERPY
+ECRQCGKLFKYSHSFALHRRVHTGERPYGCGECRKAYVTRSGLYQHRKVHTGERPYECGL
+CGKTFTTRSYRNRHQRFHTEERAHECPECGRSFKHGSTLLQHRKVHAAGRPQGKLHGLES
+CLLLLLEL
+>tr|A0A3Q1M8U4|A0A3Q1M8U4_BOVIN Cytochrome b ascorbate-dependent protein 3 OS=Bos taurus OX=9913 GN=CYB561A3 PE=4 SV=1
+MAVGWFYLSVLALCSLGSMCILFTIYWMRYWHGGFAWDGSMLMFNWHPVLMVTGMVVLYS
+AASLVYRLPQSWVGPRLPWKSGHAAMHLLAFLLTVLGLHAVFEFHNHAKIPHLYSLHSWL
+GITTVFLFACQWFLGFSVFLLPWASMWLRSLLKPIHVFFGASILSLAIASVVSGINEKLF
+FSLKNGTKTYSNLPSEAVFANCAGMLVVVFGLLVLYILLASSWKRPEPGMQAEREALLRG
+RE
+>tr|A0A3Q1MUV0|A0A3Q1MUV0_BOVIN F-box DNA helicase 1 OS=Bos taurus OX=9913 GN=FBH1 PE=4 SV=1
+MRRFKRKHLTAIECQHLARSHLAASQSFQRWTSRDPNHGLYPRPRTKRGARGQGCLRYSL
+DFFLAGQQPCTNDMAKSNSVGQDSSKDSEDEMIFVAGSPCALPPGGDGEARLHSSGSALP
+ARKRSRSLDDERNPAPGTSPWDGVSKKTPWHHSSPSCTRPREARPEAEVGVGQLTVQPGE
+PSQEVEDLGPDPVPDSHYGLLGTLPCQEPPSHICSLPSEVLRHIFAFLPVEDLYWNLSLV
+CRLWREVISDPLFIPWKKLYHRYLMNEEQAVSKVDGILSSYGIEKESDLCVLNLIRYTAT
+TKCSPSVDPGQALWSLRDHLLLPEAEACVRQHLPDLCAAPAGVNVWALVAAIVLLSSSVS
+DIQQLLFCLRRPSSTVTVPDVTETLYCIAVLLYAMREKGISISNRIHYNIFYCLYLQENS
+CAQASGDKEEPSVWPGKKTTIQLTHEQQLILSHKMEPLQVVKIMAFAGTGKTSTLVKYAE
+KWSQSRFLYVTFNKSIAKQAERVFPSNVICKTFHSMAYGHIGRKYQLKKKLNLFKLTPFM
+VNSVLTEGKGGFIRAKLVCKTLENFFASADEELTIDHVPIWCKNTQGQRVMVEQSEKLNG
+VLEASRLWDNMRKLGECREEAYHMTHDAIMNIVLSQPCGKIFVGDPHQQIYTFRGAVNAL
+FTVPHTHVFYLTQSFRFGVEIAYVGATILDVCKRVRRKTLVGGNHQSGIRGDSKGQVALL
+SRTNANVFDEAVRVTEGEVPARIHLIGGIKSFGLDRIIDIWTLLQPEEERKKRNLIIKDK
+FIRRWAHKEGFSGFKRYVTAAEDKELEAKIAVVEKYNIRIPELVARIERCHIEDLDFAEY
+ILGTVHKAKGLEFDTVHVLDDFVKVPCARHNLAQLPHFRVESFSEDEWNLLYVAVTRAKK
+RLIMTRSLENILTLAGEYFLQAELTSNVLKTGVVRCCVGQCSNAIPVDAALTMRKLPITY
+SNRKENKGGYLCHSCVEQRIGPLAFLTASPEQVRAMVRTVENVVLPRHEALLFLVF
+>tr|A0A3Q1MW80|A0A3Q1MW80_BOVIN Peptidase S1 domain-containing protein OS=Bos taurus OX=9913 PE=3 SV=1
+MWGSGVGVRNLGLEMGRFLGKKPVMRVLAAASCFSHWTLMFPGEGARPKEDYAMSLATPE
+ASSASGQLKSLQVPVPTVAPVPPTPTAKETVGSSQTPVLEGLPPLGTSPLLSQGACGHRT
+LGIIGGKPAPERKWPWQVSLQLRGRHRCGGSLIAPQWVLTAAHCVEHFREFTVMMGTTYL
+YSHCKTTVVVPVKHIKSHKDFDWNLTPNDIALLQLAHSVNYSAYIQPVCLPRKNFEVRPG
+TQCWITGWGRTLEFDPASMSPKLQEAEQLIIPLKQCAVMVEKTSNKSGNRVQKGMVCAQN
+IKSEGPCRGDSGSPLVCQFQTSWIQVGIVSWGDRCGLKEVPAVYTDVSFYKDWITARMSQ
+ASGLDSGFLILLLCLLLPLGILATL
+>tr|A0A3Q1LN58|A0A3Q1LN58_BOVIN Sushi domain-containing protein OS=Bos taurus OX=9913 GN=IL15RA PE=4 SV=1
+MLSGWLLKKDPGPLLDGGCPYSTSSTCLNLGGGSPGSLGFKVTDFHPSILQSKLQSAHIF
+SLFYASVGITCPTPTSVEHADIQVKSYSINSRERYVCNSGFKRKAGTSSLTQCVFNETAK
+VAHWTTPNLKCISAYQNNPRVVTAAVSTVTVLFVVCLVFLLGRCLWSRRAHQTPGVEMES
+MESVPMTTGADARGEDTEIHPHGLGGSGDAEASSGRSEGPALPQSERT
+>tr|F1N269|F1N269_BOVIN Myosin light chain kinase, smooth muscle OS=Bos taurus OX=9913 GN=MYLK PE=1 SV=3
+MDFRANLQRQVKPKTLSEEERKVHGPQQVDFRSVLAKKGTPKTPVPEKVPPPKPATPDFR
+SVLGSKKKLPTENGSNNTEALNAKAAEGLKPVGNAQPSGFLKPVGNAKLADTPKPLSSTK
+PAETPKPLGNVKPAETPKPLGSTKPAETPKPLGSTKPAETPKPLGNVKPAETPKPLGNIK
+PTETPKPLGSTKPAETPKPLGSTKPAETPKPLGNVKPAETPKPLGNVKPAETPKPLGNVK
+PAETPKPVSNAKPAETLKPVGNAKPAETPKPLSNVKPAETPKLVGNAKPAETSKPLDNAK
+PAEAPKPLGNAKPAEIPKPTGKEELKKEIKNDVNCKKGHAGATDSEKRPESRGTAPTFEE
+KLQDLHVAEGQKLLLQCRVSSDPPATITWTLNGKTLKTTKFIVLSQEGSLCSVSIEKALP
+EDRGLYKCVAKNSAGQAESSCQVTVDVPDAPTSENAKAPEMKARRPKSSLPPVLGTETHS
+PSCPPPAMPPQIIQFPEDQKVRAGESVELFGKVAGTQPITCTWMKFRKQIQDSEHIKVEN
+SEQGSKLTIRAARQEHCGCYTLLVENKLGSRQAQVNLTVVDKPDPPAGTPCASDIRSSSL
+TLSWYGSSYDGGSAVQSYSVEIWDSVDKTWKELATCRSTSFNVQDLLPDREYKFRVRAIN
+VYGTSEPSQESELTALGEKPEEEPKDEVEVSDDDEKEPEVDYRTVTVNTEQKVSDFYDIE
+ERLGSGKFGQVFRLVEKKTGKIWAGKFFKAYSAKEKENIRQEISIMNCLHHPKLVQCVDA
+FEEKANIVMVLEIVSGGELFERIIDEDFELTERECIKYMKQISEGVEYIHKQGIVHLDLK
+PENIMCVNKTGTRIKLIDFGLARRLENAGSLKVLFGTPEFVAPEVINYEPIGYATDMWSI
+GVICYILVSGLSPFMGDNDNETLANVTSATWDFDDEAFDEISDDAKDFISNLLKKDMKNR
+LNCTQCLQHPWLMKDTKNMEAKKLSKDRMKKYMARRKWQKTGNAVRAIGRLSSMAMISGL
+SGRKSSTGSPTSPLNAEKLESEDVSQAFLEAVAEEKPHVKPYFSKTIRDLEVVEGSAARF
+DCKIEGYPDPEVVWFKDDQSIRESRHFQIDYDEDGNCSLIISDVCGDDDAKYTCKAVNSL
+GEATCTAELIVETMEEGEGEGGEEEEEE
+>tr|E1BMN4|E1BMN4_BOVIN Neuromedin B receptor OS=Bos taurus OX=9913 GN=NMBR PE=3 SV=1
+MPPESLSNLSQPAGGNRSGFVPGMSERDFLPAPDGTTAELVIRCVIPSLYLIIITVGLLG
+NIMLVKIFITNSAMRSVPNIFISNLAAGDVLLLLTCVPVDASRYFFDEWMFGKVGCKLIP
+VIQLTSVGVSVFTLTALSADRYRAIVNPMDIQTSGAVLWTCVKAVGIWVVSVLLAIPEAI
+FSQVARVGSLDNGSFTACIPYPQTDELHPKIHSVLIFLVYFLIPLVIISVYYYHIAKTLI
+KSAHNLPGEYNEHTKKQMETRKRLAKIVLVFVGCFIFCWFPNHILYMYRSFNYNKIDPSL
+GHMIVTLVARVLSFCNSCVNPFALYLLSESFRRHFNSQLCCGRKSYGERSTSYLLSSSAV
+RMTSLKSNAKNVVTNSVLLNGHSVKQEMAL
+>tr|A0A3Q1M8E0|A0A3Q1M8E0_BOVIN Male germ cell associated kinase OS=Bos taurus OX=9913 GN=MAK PE=4 SV=1
+MNRYTTMRQLGDGTYGSVLMGKSNESGELVAIKRMKRKFYSWDECMNLREVKSLKKLNHA
+NVIKLKEVIRENDHLYFIFEYMKENLYQLMKDRNKLFPESVIRNIMYQILQGLAFIHKHG
+FFHRDMKPENLLCMGPELVKIADFGLARELRSQPPYTDYVSTRWYRAPEVLLRSSAYSSP
+IDVWAVGSIMAELYTLRPLFPGTSEVDEIFKICQVLGTPKKSDWPEGYQLASSMNFRFPQ
+CVPINLKTLIPNASNEAIQLMTEMLNWDPKKRPTASQALKHPYFQVGQVLGPSSHHLESK
+QPLNKLVQPLEPKPSAADPEPQPLPDINDQAAGPPQLKNSHQPLRSIQPPQNVGVQPAPK
+QHSQQKRPPTLFPSIVKSMPTKPGGALGHKGARRRWGQAVCKAGDGWADLEDSDFGASHS
+KKPSMGSSKETRSKESPFRLPESVPAGSSYSTRENKSLPAAVTLKSDSELSAASTAKQYY
+LKQSRYLPGVNPKNVSMVASGKDMNPYVWNNRLFPKSLGPLGAELAFRRNNTEYPWNTKT
+GRGQFSGPTYNPTAKNLNIVNRAQPVSSVHGRTDWVAKYGGHR
+>tr|A0A3Q1N5S1|A0A3Q1N5S1_BOVIN Replication factor C subunit 1 OS=Bos taurus OX=9913 GN=RFC1 PE=3 SV=1
+SETVKKNEKTKSAEESLKAKKGIKEIKVNSSCKEDEFKPKQSNKKKRIIYDSDSESEETV
+QVKNAKKRPEKLPRSSKLAKIQQQDPVTYISETDEDDDFVCKKTASKLKENGGSTNSYLG
+ASNMKKNEENTKTKNKPLSPIKLTPTSVLDYFGTGSIQRSDKKMVASRRKEVSLCYSCLI
+FFEIQPSQNADDSRLNDEAIAKQLQLDEDAELERQLHEDEEFARTLAMLDEEPKAKKAEK
+DAEERETFSSVQVNLCKAEKPKYPHKEQFSDERKNFSPKKQTKCEGSRQSQQRSRSSAHK
+TGETSPKASSKLALLKKKEESSNKETESMASKRKENVIELKETRTPKKMKSSPAKKESVS
+PDEAEKRRTNYQAYRSYLNREGPKALGSKEIPKGAENCLEGLTFVITGVLESIERDEAKS
+LIERYGGKVTGNVSKKTNYLVMGRDSGQSKSDKAAALGTNIIDEDGLLNLIRTMPGKKSK
+YEIAAEAEMKKEKSKLERTPQKNDQGKRKSSPTKKESESKKCKLTPKRESSIKSLKKEAS
+VSQRGLDFKEQAAEETNGDSRARNLADDSRESKVESLLWVDKYKPTSLKTIIGQQGDQSC
+ANKLLRWLQNWHKSPSEDKKHAAKFGKFAGKDDGSSFKAALLSGPPGVGKTTTASLVCQE
+LGYSYVELNASDTRSKNSLKEIVAESLNNTSIKGFYSSGTVHSVGTRHALIMDEVDGMAG
+NEDRGGIQELIGLIKHTKIPIICMCNDRNHPKIRSLVHYCFDLRFQRPRVEQIKGAMMSI
+AFKEGLKIPPPAMNEIILGANQDIRQVLHNLNMWCARNKALTYDQAKADSHRAKKDIKLG
+PFDVARKVFVSGEETAHMSLMDKSDLFFHDYSIAPLFVQENYIHVKPVAAGGDMKKHLML
+LSRAADSICDGDLVDRQIRNKQNWSLLPTQAIYASVLPGELMRGYMTQFPTFPSWLGKYS
+SMGKHDRTVQDLALHMSLRTYSSKRTVNMDYLSHIRDALVQPLASQGIEGVQDVVALMDA
+YYLMKDDFENIMEISSWGGRPSPFSKLDPKVKAAFTRAYNKEVHLTPYSLQAVKTHRHST
+GPSLDSEYNEELNEDDSQSDEKDQDTLETDAMIKKKTKSSKPSKSEKDKESRKGKGKSSK
+K
+>tr|A0A3Q1M8Q5|A0A3Q1M8Q5_BOVIN YTH N6-methyladenosine RNA binding protein 1 OS=Bos taurus OX=9913 GN=YTHDF1 PE=4 SV=1
+MADPYLSSYYPPSIGFPYSLNEAPWSTGGDPPIPYLTTYGQLSNGDHHFVHDAVFGQPGG
+LGSNIYQHRFNFFPENPAFSAWGTSGSQGQQAQSSAYGNSYTYPPSSLGGTIVDGQTGFH
+GDTLNKAPGMNSLEQGMVGLKIGDVTTSAVKTVGSVVSSVAMTGILSGNGGTNVPLPVSK
+PTSWAAIASKPAKPQPKMKAKSGPAIGGALPPPPIKHNMDIGTWDNKGPVPKAPAPQQVP
+ASSAAPQPQPAMQPLPAQPPLPAPPQHTGPQQLPQTRWVAPRSRNAAFGQTGGLGSDSNS
+SGGAQPSTTPSAESHPVLEKLKAAHSYNPKEFDWNLKSGRVFIIKSYSEDDVHRSIKYSL
+WCSTEHGNRRLDSAFRALGSKGPVYLLFSVNGSGHFCGVAEMKSPVDYGTSAGVWSQDKW
+KGKFDVKWIFVKDVPNSQLRHIRLENNDNKPVTNSRDTQEVPLEKARQVLRIIASYRHST
+SIFDDFSHYERRQEEEEVVRKERQSRNKQ
+>tr|F1MEY3|F1MEY3_BOVIN Testis anion transporter 1 OS=Bos taurus OX=9913 GN=SLC26A8 PE=3 SV=2
+MQPDRSFQSFASRYRQSSFTYDVKRDVYNEENFQQEHRKKTASSGNVDIDISTVSHHVQC
+RCSWHKFRRCLLTVFPFLEWMCFYRFKDWLLGDLLAGISVGLVQIPQVLMLGLLARHLIP
+PLNVSYAAFCASVIYGIFGSCHQMSIGTFFLVSALAINVLRTQPFNRGHLLLGTFIQADF
+SNTSFYENYNRSLSSVASVTLLTGIIQLSMGMLGFGFIVAYIPEAAISAYLAATALHVML
+SQLTCIFGIMISYNSGPIAFFYNIINYCLGLPKANSTSILLFLTAMVALRINKCIRISFN
+QYPIEFPMEVFLVLGFAAFSNKVNMATENSLMLMEMIPYSFLFPVTPDMSNLTEVLIESF
+SLALVSSSLLVFLGKKIASFHNYDVNSNQDLIAIGLCNVVSSFFRSYVFTGAVARTIIQD
+KTGGRQQFASLVGAGIMLLLMMKMARFFYRLPNAIVAGIILSNVLPYLEAVYTLPSLWRQ
+NQYDCLIWMVTFMSAILLGLDIGLVVAVTFAFFIITVQSHRTKILLLGQIPNTNIYRSFQ
+DYREVANIPGVKIFQCCNAITFVNVHYLKRKVLEEIEMVKMPLTEEEIYTLFSQNEEGAQ
+RGKICRCYCNCDEPEPSPRVIYTERYEVQRGRESSFINLVRCSRFESMNTAQTMSEDQVP
+YITSSSSQRNPNYEEVEKVWLSDDPSRSMTITLPEASDTQVRATKLLPYSTSTILPSIHT
+IILDFSMVHLVDARALVVLRQMFSAFQNANILVLIAGCHSFVVRSLEKNDFFDAGITKAQ
+LFLTLHDAVLFALSRKLPESSELSVDESETVIQETFSETDKKEESRHKTNRSLIEAPRSK
+SPGFSLLPDPEMEEESDLDLYSTIQMSKDHGLDLDLDLDREVEPESELEPESELDQETEL
+EPEPEASPKPNRQKYWSLFRAIIPRSPTQTQARTQSVDRRHQNVKPYTSKADTSEDALEI
+>tr|F1MKZ6|F1MKZ6_BOVIN LDL receptor related protein 1B OS=Bos taurus OX=9913 GN=LRP1B PE=4 SV=3
+PTPQFKSINSLALSFLYRPTLTSIHDHWKNHKLLPNCQQLNCQHKCAMVRNSTMCYCEDG
+FEIKEDGRSCKDQDECAIYGTCSQSCVNTYGSYACSCVEGYIMQPDNRSCKAKNEPTDKP
+PLLLIANSETIEIFYLNGSKMATLSSVNGNEIHTLDFIYNEDMICWIESRESSNRLKCIQ
+ITKTGRLTDEWTISILQSFHNVQQMAIDWLTRNLYFVDHVSDRIFVCDYNGSVCVTLIDL
+ELHNPKAIAVDPIAGKLFFTDYGNVAKVERCDMDGMNRTRIIDSKTEQPAALALDLVNKW
+VYWVDLYLDYVEVVDYQGKNRHTIIQGRQVKHLYGITVFEDYLYATDADNFNIIRINRFN
+GSDIHSLIKMENAKAIRIYQKRIQPTVRSHACEVDPYGMPGGCSHICLLSSSYKTRTCRC
+RTGFNLGSDGRSCKRPKNELFLFYGKGRPGIVRGMDLNTKIADEYMIPIENLVNPRALDF
+HAETSYIYFADTTSFLIGRQKIDGTERETILKDDLDNVEGIAVDWIGNNLYWTNDGHRKT
+INVARLEKASQSRKTLLEGEMSHPRGIVVDPVSGWMYWTDWEEDEIDDSVGRIEKAWMDG
+FNRQIFVTSKMLWPNGLTLDFHTHTLYWCDAYYDHIEKVFLNGTHRKIVYSGKELNHPFG
+LSHHGNYVFWTDYMNGSIFQLDLITNEVTLLRRERPPLFGLQIYDPRKQQGDNMCRVNNG
+GCSTLCLAIPGGRVCACADNQLLDENGTNCIFNPGEVLPQICKAGEFRCRNRHCIQARWK
+CDGDDDCLDGSDEDSLSCYNHSCPDDQFKCKNNRCIPKRWLCDGANDCGSNEDESNQTCS
+ARTCEVDQFSCGNGRCIPRAWLCDREDDCGDHTDEIASCEFPTCEPLTQFICKSGRCISS
+KWHCDSDDDCGDGSDEVGCVHSCFDNQFSCSSGRCIPGHWACDGDNDCGDFSDEINCTRE
+EIHSPAGCNANEFQCHPDGNCIPDVWHCDGEKDCEDGSDEKGCNGTLRLCDHKTKFSCRS
+TGRCISKAWVCDGDIDCEDQSDEDDCDNFLCGPPKYPCANDTSVCLQPEKLCNGRWDCPD
+GSDEGDLCDECSLNNGGCSNHCSVVPGRGIVCSCPEGLQLGRDNKTCEIVDYCSNHLKCS
+QVCEQHKQTVKCSCYEGWKLDVDGQSCSSVDPFEAFIIFSIRHEIRKIDLHKRDYSLLVP
+GLRNTIALDFHFNQSLLYWTDVVEDRIYRGKLSESGGVSAIEVVVEHGLATPEGLTVDWI
+AGNIYWIDSNLDQIEVAKLDGSLRTTLIAGAMEHPRAIALDPRFGILFWTDWDANFPRIE
+SASMSGAGRKTIYKDMKTGAWPNGLTVDHFEKRIVWTDARSDAIYSALYDGTSMIEIIRG
+HEYLSHPFAVSLYGSDVYWTDWRTNTLSKANKWTGQNVSVIQKTSAQPFDLQIYHPSRQP
+QAPNPCAANDGRGPCSHMCLINHNRSAACACPHLMKLSSNKKTCYEMKKFLLYARRSEIR
+GVDIDNPYFNFITAFTVPDIDDVTVIDFDASEERLYWTDIKTQTIKRAFINGTGLETVIS
+RDIQSIRGLTVDWVSRNLYWISSEFDETQINVARLDGSLKTSIIHGIDKPQCLAAHPVRG
+KLYWTDGNTINMANMDGSNSKILFQNQKEPVGLSIDYVENKLYWISSGNGTINRCNLDGS
+NLEVIETMKEELTKATALTIMDKKLWWADQNLAQLGTCSKRDGRNPTVLRNKTSGVVHMK
+VYDKEAQQGSNSCQLNNGGCSQLCLPTSETTRTCMCTVGYYLQKNRMSCRGIESFLMYSV
+HEGIRGIPLEPSDKMDALMPISGTSFAVGIDFHAENDTIYWTDMGFNKISRAKRDQTWKE
+DIITNGLGRVEGIAIDWIAGNIYWTDHGFNLIEVARLNGSFRYVIISQGLDQPRSIALHP
+EKGYLFWTEWGQMPCIGKARLDAWPNGISIDFEENKLYWCDARTDKIERIDLETGGNREM
+VLSGNNVDMFSVAVFGAYIYWSDRAHANGSIRRGHKNDATETVTMRTGLGVNLKEVKIFN
+RVREKGTNVCAKNNGGCQQLCLYRGNSWRTCACAHGYLAEDGVTCLRHEGYLLYSGRTIL
+KSIHLSDETNLNSPIRPYENPRYFKNVIALAFDYNQRKGSNRIFYSDAHFGNIQLIKDNW
+EDRQVIVENVGSVEGLAYHRAWDTLYWTSSTTSSITRHTVDQTRPGAFDREAVIAMSEDD
+HPHVLALDECQNLMFWTNWNEQHPSIMRSTLTGKNAQVVVSTDILTPNGLTIDHRAEKLY
+FSDGSLGKIERCEYDGSQRHVIVKSGPGTFLSLAVYDNYIFWSDWGRRAILRSNKYTGGD
+TKILRSDIPHQPMGIIAVANDTNSCELSPCALLNGGCHDLCLLTPNGRVNCSCRGDRILL
+EDNRCVTKNSSCNIYSEFECGNGECIDYQLTCDGIPHCKDKSDEKLLYCENRSCRRGFKP
+CYNRRCVPHSKLCDGENDCGDNSDELDCKVSTCAAVEFRCTDGMCIPRSARCNQNIDCAD
+ASDEKNCNNTDCTYFYKLGVKTTGFIRCNSTSLCVLPAWICDGSNDCGDYSDELKCPVQN
+KHKCEENYFGCPSGRCILNTWVCDGQKDCEDGLDEFHCDASCSWNQFACSTEKCISKHWI
+CDGEDDCEDGLDESDSICGTITCAADMFSCQGSRACVPRHWLCDGERDCPNGSDELSTAG
+CAPNNTCDENAFMCHNKVCIPKQFVCDHDDDCGDGSDESLQCGYRQCNAEEFSCADGRCL
+LNTQWQCDGDFDCTDHSDEAPINPKCKSAEQSCNSSFFMCKNGRCIPSGALCDNKDDCGD
+GSDERNCHINECLSKKVSGCSQDCQDLPVSYKCKCWPGFQLKDDGKTCVDIDECSLGFPC
+SQQCINTYGTYKCLCTDGYEIQPANPNGCKSLSDEEPFLILADHHEIRKISTDGSNYTLL
+KQGLNNVIGIDFDYREEFIYWIDSSRPNGSRINRMCLNGSDIKVVHNTAVPNALAVDWIG
+KNLYWSDTEKRIIEVSKLNGLYPTILVSKRLKFPRDLSLDPRAGYLYWIDCCEYPHIGRV
+GMDGTNQSVVIETKISRPMALTIDYVNHRLYWADENHIEFSNMDGSHRHKVPNQDIPGVI
+ALTLFEDYIYWTDGKTKSLSRAHKTSGADRLSLINSWHAITDIQVYHSYRQPDVFKHLCT
+INNGGCSHLCLLAPGKSHTCACPTNFYLAADNRTCLSNCTASQFRCKTDKCIPFWWKCDT
+VDDCGDGSDEPDDCPEFKCQPGRFQCGTGLCALPAFICDGENDCGDNSDELNCDTHVCLS
+GQFKCTKNQKCIPVNLRCNGQDDCGDEEDEKDCPENSCSPDYFQCKTTKHCISKLWVCDE
+DPDCADASDEANCDKKTCGPHEFQCKNNNCIPDHWRCDSQNDCSDNSDEENCKPQTCTLK
+DFLCANGDCVSSRFWCDGDFDCADGSDERNCETSCSKDQFQCSNGQCISAKWKCDGHEDC
+KYGEDEKNCEPASPTCSSSEYICASGGCISASLKCNGEYDCADGSDEMDCVTECKEDQFR
+CRNKAHCIPIRWLCDGIHDCVDGSDEENCDRGGNICRADEFLCNNSLCKLHFWVCDGEDD
+CGDNSDEAPDMCDKFLCPPTRPHRCRNNRICLQPEQMCNGIDDCGDNSDEDPCGGKLTYK
+ARPCKKDEFACSNKKCIPVDLQCDQLDDCGDGSDEQGCRITPSEYTCEDNVNPCGDDAYC
+NQIKTSVFCRCKPGFQRNMKNRQCEDLNECLVFGTCSHQCINTEGSYKCVCHQNFQERNN
+TCIAKGSEDQVLYIANDTDILGFIYPFNYSGDHQQISHIEHNSRITGMDVYYQRDMIIWS
+TQFNPGGIFYKRIPGREKRQANSGLICPEFRRPRDIAVDWVAGNIYWTDHSRMHWFSYYT
+THWTSLRYSINVGQLSGPNCTRLLTNMAGEPYAIAVNPKRGMMYWTVVGDHSHIEEAAMD
+GTLRRILVQKNLQRPTGLAVDHFSERIYWADLELSVIGSVLYDGSDSVVSVTSKQGLLHP
+HRIDVFEDYIYGAGPKNGVFRVQKFGHGSVEYLALNVDKTKGVLISHRYKQLDLPNPCSD
+LACEFLCLLNPSGATCACPEGKYLINGTCNDDSLLDDSCKLTCENGGRCILNEKGDLRCH
+CWPSYSGERCEINHCSNYCQNGGTCMPSVLGRPTCSCALGFTGPNCGKTVCEDFCQNGGT
+CSVTAGNQPYCHCQPEHTGDRCQYYVCHHYCVNSESCTIGDDGSVECVCPIRYEGSKCEI
+DKCIRCHGGHCIINKDSEDISCNCTNGKIASSCQLCDGYCYNGGTCQLDPETNVPVCLCS
+TNWSGTQCERPAPKSSKSDHISTRSIAIIVPLVLLVTLITTLVIGLVLCKRKRRTKTIRR
+QPIINGGINVEIGNPSYNMYEVDHDHNDGGLLDPGFMVDPAKARYIGGGSSVFKLPHTAP
+PIYLNSDLKGPLTAGPTNYSNPVYAKLYMDGQNCRNSLGSVDERKELLPKKIEIGIRETV
+A
+>tr|A0A3Q1MRH0|A0A3Q1MRH0_BOVIN Calmodulin binding transcription activator 2 OS=Bos taurus OX=9913 GN=CAMTA2 PE=4 SV=1
+MNTKDTTEVAENSHHLKIFLPKKLLECLPRCPLLPPERLRWNTNEEIASYLITFEKHDEW
+LSCAPKTRPQNGSIILYNRKKVKYRKDGYLWKKRKDGKTTREDHMKLKVQGMECLYGCYV
+HSSIVPTFHRRCYWLLQNPDIVLVHYLNVPALEDCGKGCSPIFCSISSDRREWLKWSREE
+LLGQLKPMFHGIKWSCGNGTEEFSVEQLVQQILDTHPTKPAPRTHACLCSGGLGSGSLTH
+KCSSTKHRIISPKVEPRALTLTSVPHPHPPEPPPLIAPLPPELPKAHTSPSSSSSSSSSS
+GFAEPLEIRPSPPTSRGGSSRGGTAILLLTGLEQRAGGLTPTRHLAPQADPRPPMSLAVV
+VGSEPSAPPAPPSPAFDPDRFLNSPQRGQTYGGGQGVSPDFPEAEAAHTPCPALEPAAAL
+EPQVATRGLPPQSGAGGRRGNCFFIQDDDSGEELKAQGAAPPVPSPPPSPPPSPAPLEPS
+GRVGRGEALFGGAGGGSELEPFSLSSFPDLMGELISDEAPSGPAPTPQLSPALSTITDFS
+PEWSYPEGGVKVLITGPWTEAAEHYSCVFDHIAVPASLVQPGVLRCYCPAHEVGLVSLQV
+AGREGPLSASVLFEYRARRFLSLPSTQLDWLSLDDNQFRMSILERLEQMEKRMAEIAAAG
+QAPCQAPDTPPIQDEGQGPGFEARVVVLVENMIPRSTWRGPERLAHGSPFRGMSLLHLAA
+AQGYARLIETLSQWRSVETGSLDLEQEVDPLNVDHFSCTPLMWACALGHLEAAVLLFRWN
+RQALSIPDSLGRLPLSVAHSRGHVRLARCLEELQRQEASSEPPPALSPPSSSPDTGLSSV
+SSPSELSDGTFSVTSAYSSAPDGSPPPVPLPASEMTMEMVPGQLSSGAPEAPLFLMDYEA
+TNPRGPPPSPPPLPPALDGGAAPEEADSPPAVDVIPVDMISLAKQIIEATPERIKREDFV
+GLSEAGATIRERTGAVGLSETMSWLASYLENVDHFPSSAPPSELPFERGRLAVPPAPSWA
+EFLSASASGKMESDFALLTLSDHEQRELYEAARVIQTAFRKYKGRRLKEQQEVAAAVIQR
+CYRKYKQLTWIALKFALYKKMTQAAILIQSKFRSYYEQKRFQQSRRAAVLIQQRYRSYRR
+RPPGTLPARNKGSFLTKKQDQAARKIMRFLRRCRHRMRELKQNQELEGLPQPGLAT
+>tr|A0A3Q1MY20|A0A3Q1MY20_BOVIN Nuclear factor 1 OS=Bos taurus OX=9913 GN=NFIA PE=3 SV=1
+MYSPLCLTQDEFHPFIEALLPHVRAFAYTWFNLQARKRKYFKKHEKRMSKEEERAVKDEL
+LSEKPEVKQKWASRLLAKLRKDIRPEYREDFVLTVTGKKPPCCVLSNPDQKGKMRRIDCL
+RQADKVWRLDLVMVILFKGIPLESTDGERLVKSPQCSNPGLCVQPHHIGVSVKELDLYLA
+YFVHAADSSQSESPSQPSDADIKDQPENGHLGFQDSFVTSGVFSVTELVRVSQTPIAAGT
+GPNFSLSDLESSSYYSMSPGAMRRSLPSTSSTSSTKRLKSVEDEMDSPGEEPFYTGQGRS
+PGSGSQSSGWHEVEPGMPSPTTLKKSEKSGFSSPSPSQTSSLGTAFTQHHRPVITGPRAS
+PHATPSTLHFPTSPIIQQPGPYFSHPAIRYHPQETLKEFVQLVCPDAGQQAGQVGFLNPN
+GSSQGKVHNPFLPTPMLPPPPPPPMARPVPLPVPDTKPPTTSTEGGAASPTSPTYSTPST
+SPANRFVSVGPRDPSFVNIPQQTQSWYLG
+>tr|A0A3Q1N764|A0A3Q1N764_BOVIN Collectin-11 OS=Bos taurus OX=9913 GN=COLEC11 PE=4 SV=1
+MKRALALMGLAFLCVLRAGAAQQTVDDACSVQILVPGLKGDVGDKGQKGGVGRHGKIGPI
+GSKGEKGDSGDIGPPGPNGEPGIPCECSQLRKAIGEMDNQVTQLTAELKFIKNAVAGVRE
+TEQKMYLLVKEEKRYLDAQLACQGRGGTLSMPKDEAANALLAAYITQAGLARVFIGINDL
+EREGAFVYADRSPMQTFSKWRSGEPNNAYDEEDCVELVASGGWNDVACHLTMHFLCEFDK
+EHV
+>tr|A0A3Q1M341|A0A3Q1M341_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MTQPRLEPQPCFRAQPSSETKPRSEPQPYSRAQPSSETKPRTETQPCSETKSLFRTQVSS
+EAQFITRTPSSSEMQSSFRTQLSSRAQDGSRAQDSSEAKWSFDVKSSFGTQINLKIQPSS
+EIQPGSGSQASFMTKPCHKTRSNSAVQDSSMTQPNLDTQCSSGTPGSSGAHVRLKRQSSP
+GSPSSLAVKDGSEMQPCSRTQASSGTQMHTSVQPRSRPYLRPRAQSSSSDESSSETEPSS
+SPQPRATSKPISRIQTSSGPPPIAGARPASRAQPDAEPHAHCRAQSNSRMPSSSGTRTNL
+RAWPLSRVQSTSSTPPSSRTQLGSRAQAGSGIPPGSKTQSTAETQVHSKIQLISGTRSSP
+GTQKDADTDFSSTAVSNSESRPSSRTQPCPGAQNTSEAQLSSESLTSSKNQIQTTTQGSS
+GTEPDFGKQSSSGTQLISRTPHSSEMQISAGTKPRSGVKLNSKTQLSSTVQFRSEMQASS
+ETWSSSRVQSSSGSETGSRIQTSSGTQLNPEIQPSSRTQTSERTQSSSGVQLSSRTQPAS
+GCQPSSRTQTNSGTQLSPETQPSSGTQTSERTQSSSGVQLSSRTQPASGCQPGSKTQTSS
+GTQLSPETQPSSGTQTSARTQLSSGVQFSSRTQCSSRIQPSSETQTTSNTQPSSGVHLSS
+RTESDSKTRSQTSPRIQLSSRKGPCPQTPGLDPRTQPDPTPYARPQHLGPPPRALTPGPS
+QISCPQPHPHDLVRGTPPNPSPGQSPSTSPLAPHPQSPFTPQKPALSPKPQLGPQKSTPP
+TKYIIPSFAPRVALLRSQPLEPSFEGPAPSSMLRESGLPPRESELLPHHGGQ
+>tr|E1BGA1|E1BGA1_BOVIN Methyltransferase like 7B OS=Bos taurus OX=9913 GN=METTL7B PE=1 SV=1
+MDALVRLLQLLVFLLTLPLHLMALLGFWEPLCKTYFPYLMAVLTVKNNRVMENKKRELFS
+QINGLAGTSGKVALLELGCGTGANFRFYPAGCKITCLDPNPHFEKFLTKSMAENRHLEYE
+RFVVAFGEDMRQLADGSMDAVVSTLVLCSVESPKRVLQEVRRVLRPGGVFFFWEHMAEPR
+GSWAFLWQQVLEPTWKHIGDGCHLTRETWRDLENAQFSELQVEQQPPPIKWLPVGPHIMG
+KAVK
+>tr|E1B9U3|E1B9U3_BOVIN Homeobox D11 OS=Bos taurus OX=9913 GN=HOXD11 PE=4 SV=2
+MNDFDECGPSAASMYLPGCAYYVAPSDFASKPSFLSQPSSCQMTFPYSSNLAPHVQPVRE
+VAFRDYGLERAKWPYRGGGGSGGAGGGGGGGGPGGGGSGGAGGYAPYYAAAAAAAAAAAA
+AEEAAMQRELLPPAGRRPDVLFKAPEPVCAAPGPPHGPAGAASNFYSAVGRNGILPQGFD
+QFYEAAPGPPFAGPQPPPPPAPPQPEGAADKSDPKTAASGGGGSPCAKTTPGSEPKGAAE
+GGDGEVPPGEAGAEKSGGTVAPQRSRKKRCPYTKYQIRELEREFFFNVYINKEKRLQLSR
+MLNLTDRQVKIWFQNRRMKEKKLNRDRLQYFTGNPLF
+>tr|E1BNM8|E1BNM8_BOVIN WNT inhibitory factor 1 OS=Bos taurus OX=9913 GN=WIF1 PE=4 SV=2
+MARKSAFPAGALWLWSILPCLLVLRAEVGQQPEESLYLWIDAHQARVLIGLEEDILIVSE
+GKMAPFTHDFRRAQQRMPAIPVNIHSMNFTWQAAGQAEYFYEFLSLRSLDKGIMADPTVN
+VPLLGTVPHKASVVQVGFPCLGKQDGVAAFEVNVIVMNSEGNTILQTPQNAIFFKTCQQA
+ECPGGCRNGGFCNERRVCECPDGFYGPHCEKVLCSPRCMNGGLCVTPGFCICPPGFYGVN
+CDKANCSATCFNGGTCFYPGKCICPPGLEGEQCETSKCPQPCRNGGKCIGKNKCKCSKGY
+QGDLCSKPVCKPGCGTHGTCHEPNKCQCREGWHGRHCNKRYGASLLHTLRPAGAQLRQHT
+PSVKKAEERQDPPESNYIW
+>tr|A0A3Q1N818|A0A3Q1N818_BOVIN Epsin 2 OS=Bos taurus OX=9913 GN=EPN2 PE=4 SV=1
+MTTSSIRRQMKNIVNNYSEAEIKVREATSNDPWGPSSSLMTEIADLTYNVVAFSEIMSMV
+WKRLNDHGKNWRHVYKALTLLDYLIKTGSERVAQQCRENIFAIQTLKDFQYVDRDGKDQG
+VNVREKAKQLVALLKDEERLKAERAQALKTKERMAQVATGMGSNQITFGRGSSQPNLSTS
+YSEQEYGKAGGSPASYHGSTSPRVSSELEQARPQTSGEEELQLQLALAMSREVAEQEERL
+RRGDDLRLQMALEESRRDTVKVPKKKEQHGSHPQQTTLLDLMDALPSAGPAAQKAEPWGP
+STSASQTNPWGAPAAPASTADPWPSFGAKPAASVDPWGAPTGAGTHSAPKGSDPWAAPQQ
+PAPSAGKAADPWAAASAAKPVSSSAKAASPPTQNNGTSSPDPFESQPLTVASSKPSGARK
+TPESFLGPNAALVNLDSLVTRPAPPAQALNPFLAPGAATASAPVNPFQVNQPQPLTLNQL
+RGSPVLGGSASFGPSPGVEPVAVASMTSAASHPGLGASSSSLPPLGPAAMNIVGSLGVPQ
+SAAQATGTTNPFLL
+>tr|A0A3Q1LS21|A0A3Q1LS21_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC515694 PE=3 SV=1
+MNHSMVSEFVFLGLTNSWEIQLLLFMFSSIFYMASMLGNSLIVLTVMMNPHLHSPMYFLL
+ANLSITDLGVSSVISPKMIYDIFRKHKVISFGGCIAQIFFIHIIGGVEMVLLIAMAFDRY
+VAICKPLHYFTIMSRKMCLLLLVAAWIIGFIHSVVQLGFVVKLPICGPNIIDSFYCDFPR
+FIKLACTDTFRLESMVTANSGFISLGSFFILIVSYIFILITVRKHSSGSSSKALSTLSTH
+VMVVILFFGPCIFVYIWPHSTSHLDKFLVVFDAVLTPFLNSVIYTLRNKEMKVAMKKVCS
+QFIIYGRIS
+>tr|A0A3Q1NNN7|A0A3Q1NNN7_BOVIN Kinesin motor domain-containing protein OS=Bos taurus OX=9913 GN=KIF6 PE=3 SV=1
+MVKQTIQIFARVKPTVRKQQQGIYSIDEDEKLTPSLEIILPRDLADGFVNNKRESYRFKF
+QRIFDQDAKQETIFENIAKPVAESLLPEQKLHEGWGFICLVHDLTEIPDLMM
+>tr|A0A3Q1MPS0|A0A3Q1MPS0_BOVIN Dynamin binding protein OS=Bos taurus OX=9913 GN=DNMBP PE=4 SV=1
+MEAGSVVRAIFDFCPSVSEELPLFVGDIIEVLAVVDEFWLLGKKEDVTGQFPSSFVEVVT
+IPSLKEGERLYVCICEFTSLELNSLPLHRGDLVILDGTLTGGWLQGRNCWGARGFFPSSC
+VRELCLSSQSRQWHSQSALLQIPEYSMGQARALMGLSAQLDEELDFREGDVITIIGIPEP
+GWFEGELDGRRGIFPEGFVELLGPLRTVDESVSSGNCDDCISNGEVDTSTGEEKEPEEDS
+EQPGTYGIALYRFQALEPNELDFEVGDKIRILGTLEDGWLEGSLKGRTGIFPYRFVKLCP
+QTRVEETMDLSQESDLTNIPDTPLDCSENSLEAEGKRHEFHEYKPEKSNCVISETPTSTL
+EHLTSECEENKQSHWDTDPSGGPPGSPGAGHEQLLVKCSSTTDPSEVVNGVASQPPVPFH
+PDLQRNQYYSMRGGSHPSPDQYSDSIPLEARTRDYSSLPPRRTSYSQPKTLQKPGPPLHS
+ASSLIASRAVRPSQLSSQLHGLARCVKKHCTPKESASSFCSAPDRSETKPGLQDRAPALE
+AMTRSQGDGSTDLDSKLTQQLIEFEKSLSGPFPEPDKILRHFSIMDFNSEKDIFRGSSKL
+MTQQELPERRKALRPPPPRPCTPSSTPPHVPGDQNLRLDPPLAMRPSRPAPLPPSAQQRT
+NAVPPKLLTCNRPGWESLEKEGPENVDKTLDQTSQCPLVLVRIQEMEQDLDMCRRAQEEL
+NLLLEEKQEESLRAETLQNLEFYENNIESLNMELQQLREMTLLSSQSSSLMAPSGSVSTE
+NPEQRMLEKRAKVIEELLQTERDYIRDLEMCIERIMFPLQQAQIPNIDFEGLFGNMQMVI
+KVSKQLLTDLEISDNIGPVFLDHRDELEGTYKVYCQNHDEAISLLEIYEKDEKIQKHLQD
+SLADLKGCTNYINLGSFLIKPVQRVMRYPLLLMELLNSTPESHPDKVPLTSAVLAVKEIN
+VNINEYKRRKDLVLKYRKGDEDSLMEKISKLNIHSIIKKSNRVSSHLKHLTGFAPQIKDE
+VFEETEKNFRMQERLIKSFIRDLSLYLQHIRESACVKVVAAVSMWDVCMEKGHRDLEQFE
+KVHRYISDQLFTNFKERTERLVISPLNQLLSMFTGPHKLVQKRFDKLLDFYNCTERAEKL
+KDKKTLEELQSARNNYEALNAQLLDELPKFHQYAQGLFSNCVHGYAEAHCDFVRQALEQL
+KPLLSLLKVTGREGNLIAIFHEEHSRVLQQLQVFTFFPESLPTTKRPFERKTMDRQSTRK
+PFLGMPSYMLQSEELRASLLARYPPEKLFQAERNFNAAQDLDVSLLEGDLVGVIKKKDPM
+GSQNRWLIDNGVTKGFVYSSFLKPYNARRSHSDASVGSHSSTESEHGSSSPRFPQRQNSG
+GTLTFNPSSMAVSFSSGPCQKQPPDASSQTEFDQGTLSASLNSEGSPSRCPSDPDSPSQH
+RPWDSGDAVRDLHQPAPTLRNSRNPRHPEMAGSSVSGRNGQSRDLVKAGARTALFLDDRH
+EQPESSEAEGNQVYFAVYTFKARNPNELSVSANQRLKILEFKDVTGNTEWWLAEVNGKKG
+YVPSNYIRKAEYT
+>tr|A0A3Q1M552|A0A3Q1M552_BOVIN Copine 7 OS=Bos taurus OX=9913 GN=CPNE7 PE=4 SV=1
+MSAGSERRAAAAPGVVPAPCASKVELRLSCRHLLDRDPLTKSDPSVVLLLQSQGQWVQVD
+RTEVVRSSLHPVFSKVFTLDYYFEEVQKLRFEVYDTHGPSSLSCQEDDFLGGMECTLGQI
+VAQKKVTRALLLKFGRNAGKSTITVLAEDISGNNGYVELSFRARKLDDKDLFSKSDPFLE
+LYRINDDQSEQLVYRTEVVKNDLSPTWQPFKVSLSSLCSCEESRPLKGLVWDYDSRGKHD
+FIGEFSTTFEEMQKAFGEDQLYRVYSFLDYVMGGCQIHFTVAIDFTASNGDPRNSCSLHY
+INPFQPNEYLQALVAVGEICQDYDSDKRLSALGFGARIPPKYEVSHDFAINFNPEDDECE
+GIQGVVEAYQNCLPRVQLYGPTNVAPIISKVARTAAAEERTREASQYYILLILTDGVVTD
+MADTREAIVRASHLPMSIIIVGVGNADFTDMQVLDGDDGVLRSPRGEPALRDIVQFVPFR
+ELKSASPAALAKSVLAEVPRQLVEYYSHKELPPRDLGAHA
+>tr|A0A3Q1LNM8|A0A3Q1LNM8_BOVIN Protein transport protein Sec61 subunit alpha isoform 2 OS=Bos taurus OX=9913 GN=SEC61A2 PE=3 SV=1
+MGIKFLEVIKPFCAVLPEIQKPERKIQFREKVLWTAITLFIFLVCCQIPLFGIMSSDSAD
+PFYWMRVILASNRGTLMELGISPIVTSGLIMQLLAGAKIIEVGDTPKDRALFNGAQKLFG
+MIITIGQAIVYVMTGMYGDPAEMGAGICLLIIIQGFRVDLPIKSARYRGQYSSYPIKLFY
+TSNIPIILQSALVSNLYVISQMLSVRFSGNFLVNLLGQWADVSGGGPARSYPVGGLCYYL
+SPPESMGAIFEDPVHVVVYIIFMLGSCAFFSKTWIEVSGSSAKDVAKQLKEQQMVMRGHR
+DTSMVHELNRYIPTAAAFGGLCIGALSVLADFLGAIGSGTGILLAVTIIYQYFEIFVKEQ
+AEVGGMGALFF
+>tr|A0A3Q1M2L9|A0A3Q1M2L9_BOVIN Pleckstrin and Sec7 domain containing 3 OS=Bos taurus OX=9913 GN=PSD3 PE=4 SV=1
+MIGVNSVQSASKVRVRTSGSVKYSREDSIRRQSHRSKSMKISNSSEFSAKETKALYNSIK
+NEKLEWAVDDEEKKKSLSEGADEKANGTHPKSISRIGSTTNPFLDIPHDPNAAVYKSGFL
+ARKIHADMDGKKTPRGKRGWKTFYAVLKGTVLYLQKDEYKPEKALSEEDLKNAVSVHHAL
+ASQATDYEKKPNVLKLKTADWRVLLFQAQSPEEMQGWINKINCVAAVFSAPPFPAAIGSQ
+KKFSRPLLPATTTKLSQEEQLKSHESKLRQITTELAEHRSYPPDKKVKAKEVDEYKLKDH
+YLEFEKTRYEMYVRLLKEGGLELLSGGRGGPAGLKKSHSSPSLNPDTSPVTAKVKRNVSE
+RKDHRPETPSIKQKVT
+>tr|F1MF57|F1MF57_BOVIN Nebulette OS=Bos taurus OX=9913 GN=NEBL PE=4 SV=3
+MRVPVFEDVKDETEEEKTGEEENEEDKVFFKPVIEDLSMELARRCTELISDIHYKEEYKK
+SKGKCMFVTDTPMLNHVKHIGAFISEAKYKGTIKADLSNSLYKQMPATIDSVFAREVTQL
+QSEIAYRQKHDAAKGVSDYAHMKEPPEIKHAMEVNKHQSNIFYRKDVQDTHMYNAELDRP
+DIKMATQISKIISDAEYKKGQGVMNKEPAVIGRPDFEHAVEASKLSSQIKYKEKFDSEMK
+DKKHLYNPLESASFRQSQLATALASNVKYKKDIQNMHDPVSGLPNLFLDHALKVSKMLSG
+REYRKVFEENKGLYHFDVDAAEHLHHKGNAMLQSQVKYKEEYEKNKGKSMLEFVETPSYQ
+VSKEAQKMQSEKVYREDFEKDIKGRSSMDLDKTPEFLHVKYITNLLKEKEYKKDLENEIK
+GKGMELNSEVLDIQRAKRASEMTSEKEYKKDLESEIKGKGMQVGTDTLGIQHAKRASEMA
+SEKDYKRDLETEIRGKGMQVSTDTLDIQRAKKASEMASQKEYKKDLENEIKGKGMQVSMD
+IPDMLRAKRASEIYSQKKYKDEAEKMLSSYSTVADTPEIQRIKTTQQNISAVFYKKEVGA
+GTAVKDTPEIERVKKNQQNISSVKYKEEVKHATAISDPPELKRVKENQKNISNLRYKEPC
+DRATPVSMTPEIERVRRNQEQLSTVKYRGEIKQATAISDPPELKRVKENQKNLSNVYYKS
+QMGRATALSVTPEMERVKKNQENISSVKYTQDQKQMKGRPSLILDTPGLRHVKEAQNRIS
+MVKYHEDFEKTKGRGFTPVVDDPVTERVRRNTQVVSDAAYKGVHPHIVEMDRRPGIIVDL
+KVWRTDPGSIFDIDPLEDNIQSRSLHMLSEKANLYRRQRSRSYSSSTFGTGLGDDKSEIS
+EIYRSFSCCSEVTRPSDEGAPVLPGAYQQSHSQGYGYMHQTSLSSVRSMQHSPNLRTYRA
+MYDYSAQDEDEVSFRDGDYIVNVQPIDDGWMYGTVQRTGKTGMLPANYIEFVN
+>tr|A0A3Q1M4R4|A0A3Q1M4R4_BOVIN RNAse_Pc domain-containing protein OS=Bos taurus OX=9913 GN=RNASE12 PE=3 SV=1
+MCSLLWWPLHSQTSYVAAEGIQRIRPKHVKDLITLMILMVIIFLVLLFWENELDEEAMAA
+TLEQLHVDYPQSDIPIRYCNHMIIQRVIKEPNNTCKKGHFFIHERPRNINSVCSSPRRVT
+CQNHAPSLCFLSEIKFKMTVCKLIEGTRYPACNYHVFATEGFIVVTCDDMGPAKIQRYTE
+>tr|F1N3G4|F1N3G4_BOVIN Condensin complex subunit 1 OS=Bos taurus OX=9913 GN=NCAPD2 PE=3 SV=3
+MNCYALIRLLESFETTSSQTGLMDVELGGKGRKSRAKATHGFDWEEERQPILQLLTQLLQ
+LDIRHLWNHSIIEEEFVSLVTGCCYRLLENPTISHQKNRPTREAITHLLGVALTRYNHML
+SATVKIIQMLQHFEHLASILVAAVSLWATDYGMKSIVGEIVREIGQKCPQELSRDPTGAK
+GFAAFLTELAERIPAILMSSMCILLDHLDGENYMMRNAVLAAMAEMVLQVLSGDQLEAAS
+RDTRDQFLDTLQAHCHDVNSFVRSRVLQLFTRIVQQKALPLMRFQAVVALAVGRLADKSV
+LVCKSAIQLLASFLANNPFSCKLSDTDLAGPLQKETQKLQEMRAQRRATAPSAELDPAEE
+WEAMLPELKATLQQLLMLPQEGEGMSEGVAETETPEEVEGRIRQLLAKASYKQAVILTQE
+AMGRFQESEPFCHVDPDESEETRFLSLLGTIFKGPAAPTQEENPQAAAGNLGPGETGCKD
+KPSGSEPEECSDELVKQELLVQYLQDAHSFSLQITKAIGIISKMMYEHTTTVVQEVIEFF
+VMVFQFGVPQALFGVRRMLPLIWSKEPGVRDAVLNAYRQLYLKPKGDSARAKAQTLIHNL
+SLLLVDASVGTIQCLEEIICEFVQKDELSPAVTQVLWERATEKVPCSPLERCSSVMLLGM
+MARGKPEIVGSNLDTLVNIGLDEKLPPDYRLAQQVCHAIANILDRRKPSMGERHPPFRLP
+QEHRLFERLREMVTKGSIHPDPLWVPFKEAAVALIYQLAEGPEVICARILQDCAKQALEK
+LEEKSDHQEAPQETPVLPTFLLMNLLSLAGDVALQQLVHLEQAVSGELCRRRVLREEQEH
+KRKEPKEKNSKSESTLEEEMGLGGATADDTEAELIRGICELELLEGKQTLAAFVPLLLKV
+CNNPGLYSNPELSTAASLALGKFCMISATFCDSQLRLLFTMLEKSSFPIVRSNLMIATGD
+LAIRFPNLVDPWTPHLYARLRDPSQHVRKTAALVMTHLILKDMVKVKGQVSEMAVLLIDP
+APQIAALAKNFFNELSNKANAIYNLLPDIISRLSAPEGGVEEEPFHTIMKQLLSYITKDK
+QTESLVEKLCQRFRTAQTERQYRDLAYCVSQLPLTERGLRKMLDNFDCFGDKLSDESIFS
+AFLSILGKLRRGAKPEGKAVIDEFEQKLRTCHTRGLDTVEELEVGQGSNQRAPSARKQPA
+AARHRHVASTASDDFVTPKPRRTTRQHPNTQQRASRKKLRIVFSSDESSDEELSAEMTED
+ETPKKTTPIRRASAHRHRS
+>tr|A0A3Q1MRJ3|A0A3Q1MRJ3_BOVIN Myelin basic protein OS=Bos taurus OX=9913 GN=MBP PE=4 SV=1
+IAKDVGGSIFTENTKNTFCQIHASDTLGLGTSGLLGDKCAIKVPRTLEPPREGVAAPRGD
+VVHGCVCSGRPGAQSGALRRSPGPLRYRAATKREARAGRVLPRAVRGHTGLHGAHTLRGF
+CRRRPVRQPGRKRHCPWPALHSPVHISLLFAGAADVVPNNGAPSQDTAVTDSKRTADPKN
+AWPEASPADPGGRPHLVRLFSRDAPGREDNTFKDRPSESDELQTIQEDSAAAPGAVDAMA
+AQKRPSQRSKYLASASTMDHARHGFLPRHRDTGILDSLGRFFGSDRGAPKRGSGKVPWLK
+QSKSPLGPHARSPPGLCNMYQDGHHAARTTHYGSLPQKAQHGRPQDENPVVHFFKNIVTP
+RTPPPSQGKGRGLSLSRFSWGAEGQKPGFGYGGRASDYKSAHKGLKGHDAQGTLSKIFKL
+GGRDSRSGSPMARR
+>tr|A0A3Q1MD13|A0A3Q1MD13_BOVIN BTB domain-containing protein OS=Bos taurus OX=9913 GN=IVNS1ABP PE=4 SV=1
+MIPNGYLMFEDENFIESSVAKLNALRKSGQFCDVRLQVCGHEMLAHRAVLACCSPYLFEI
+FNSDSDPHRVSHVKFDDLNPEAVEVLLNYAYTAQLKADKELVKDVYSAAKKLKMDRVKQL
+EVMLEDTVCLPSNGKLYTKVINWVQRSIWENGDSLEELMEEVQTLYYSADHKLLDGNLLD
+GQAEVFGSDDDHIQFVQKKPPRENGHKQISSSSIGCLSSPNATIQSPKHEWKIVASEKTS
+NNTYLCLAVLDGIFCVIFLHGRNSPQSSPTSTPKLIKSLSFEMHPDELIEKPMSPMQYAR
+SGLGTAEMNGKLIAAGGYNREECLRTVECYDPHTDHWSFLAPMRTPRARFQMAVLMGQLY
+VVGGSNGHSDDLSCGEMYDPNMDDWTPVPELRTNRCNAGVCALNGKLYIVGGSDPYGQKG
+LKNCDVFDPVTKSWTSCAPLNIRRHQSAVCELGGYLYIIGGAESWNCLNTVERYNPENNT
+WTLIASMNVARRGAGVAVLDGKLFVGGGFDGSHAISCVEMYDPTRNEWKMMGNMTSPRSN
+AGITTVGNTIFAVGGFDGNEFLNTVEVYNLESNEWSPYTKIFQF
+>tr|A0A3Q1MRC9|A0A3Q1MRC9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOXHD1 PE=4 SV=1
+MMPQKKKRRKKDIDFLALYEQELLNYASGDDEEELEHDYYKAKVYEVVTATGDVRGAGTD
+ANVFITIFGENGLSPKLHLTSKSESAFEKANVDVFRVRTNNVGLIYKIRIEHDNTGLNAS
+WYLDRVIVTDMKRPHLRYYFNCNNWLSKVEGDRQWCRDLLASFNPMDMPRGNKYEVKVYT
+GDVIGAGTDADVFINIFGEYGDTGERRLENEKDNFEKGAEDKFMLDAPDLGQLMKINVGH
+NNRGASAGWFLSKIVIEDIGNKRKYDFPLNRWLALDEDDGKIQRDILVGGAETTAISYVV
+TVFTGDVRGAGTKSKIYLVMYGVRGNKNSGKIFLEGGVFDRGRTDIFNIELAVLLSPLSR
+VSIGHGNVGVNRGWYCEKVVILCPFTGVQQTFPCSNWLDEKKADGLIERQLYEMVSLRKK
+RLKKFPWSLWVWTTDLKKAGSNSPIFIQIYGQKGRTDEILLNPNNKWFKPGIIEKFRIEL
+PDLGRFYKIRVWHDKRNPGSGWHLEKMTLLNTLTKDKYNFNCNRWLDANEDDNEIVREMT
+AEGPTVRRIMGMARYRVTVCTGELEGAGTDANVYLCLYGDVGDTGERLLYNCRNNTDLFE
+KGNADEFTIESVTMRKVRRVRIRHDGKGSGSGWFLERVLVREEGQPESDNVEFPCLRYTR
+PASFDLPQLRLQALDSSVLLRYFRYHISLKTGDVPGASTDSRVYIKLYGDKSDTIKQVLL
+VSDNNLKDYFERSRVDEFTLETLNIGTINRLVIGHDSTGMHASWFLGSVQIRVPRQGKRY
+TFPANRWLDKDQADGRLEVELYPSEVVDIQKLIHYEVEVWTGDVGGASTTARVFMQIYGE
+EGKTEVLFLSSRSKVFERASKDTFQLEAADVGQVFKIRLGHTGEGFSPSWYVDTLWLRHL
+AVREEDLTPEEEARRKKEKDRLRQLLRKERLKAKLQRKKKKKKKKEEEGSDEEDEEEESS
+SEEESSSEEEEEETEEEEEEEELEPGMQEVIQEYKFDAHRWLAQGKEDNELVVELVPTGQ
+EGPEPNTYEIQVITGNVPKAGTDANVFLTIYGEEYGDTGERPLKKSDKSNKFEQGQTDTF
+TIYAIDLGPLTKIRIRHDNSGNRPGWFLDRIDITDMNNEITYYFPCQRWLAVEEDDGQLS
+RELLPVDESYVLPPSMEEGGGGGDNAPLDNLALEQKDKSTTFSVTIKTGDKKNAGTDANV
+FITLFGTQDDTGMTLLKSSKTNSDKFERDSIEIFTVETLDLGDLWKVRIGHDNTGKAPGW
+FVDWVEVDAPSLGKCMTFPCGRWLAKNEDDGTIVRDLFHAELQTRRYTPFVPYEITLYTS
+DVFAAGTDANIFIVIYGCDAVCTQQKYLCTNKREQKLFFERKSASRFIMELEDVGEIIEK
+IRIGHDNTGMNPGWHCSHVDIRRLLPDKDGTETLTFPCDRWLATSEDDKKTIRELVPYDI
+FTEKYMSDGSLRQVYKEVEEPLDIVLYSVQIFTGNVPGAGTDAKVYITIYGDLGDTGERY
+LGKSENRTNKFERGKADTFIIEAADLGVIYKIKLRHDSTKWCADWYVEKVEIWNDTNEDE
+FLFLCGRWLSLKKEDGRLERLFYEKEYTGDRSSNCSSPADFWEITLSSKMADIDIATVTG
+PMADYVQEGPVIPYYVSVTTGKHRDAATDSRAYVILIGQEDERSNRIWLDYPRGKKGFSC
+GSVEEFYVAGLDVGIIKKIELGHDGASPESCWLVEELCLAVPTQGTKYILRCNCWLAKDR
+GDGVTSRVFDLLDAMVVNIGVKVLYEMTVWTGDVVGGGTDSSIFMTLYGINGSTEEVQLD
+KKKARFEREQNDTFIMEILDIAPFTKMRIRIDGLGSRPEWFLERILLKNMNTGDLTMFYY
+GDWLSQRKGKKTLVCEMCAVIDGEEMMEWTSYTVSVKTSDVLGAGTDANVFIIIFGENGD
+SGTLALKQSANWNKFERNSTDTFNFSDMLSLGHLCKLRVWHDNKGIFPGWHLSHVDVKDN
+SRDETFRFQCDCWLSKSEGDRQTVRDFACANNEMHDELEETTYEIVIETGNRGETRENVW
+LILEGRKNRSKEFLVENSSRQRAFRKGTTDTFEFDSVYLGDIASLCVGHLAREDRFIPKR
+ELVWHVKTITITEMEYGNVYFFNCDSLIPLKRKRKYFKVFEVTKTTESFASKVQSLVPVK
+YEVIVTTGYEPGAGTDANVFVTIFGSSGDTGKRELKQKTRNLFERGGTDRFFLETLELGE
+LRKVRLEHDGSGYCSGWLVDKVEVTNTSTGVATIFTCGRWLDKKRGDGLTWRDLFPSV
+>tr|F1N1X8|F1N1X8_BOVIN Ubiquitin conjugating enzyme E2 Z OS=Bos taurus OX=9913 GN=UBE2Z PE=4 SV=2
+MRAGPVHTLAWRAQTPTLSGGLWVDALAERFSLLWEGRGGASAARAREARVGTLCPRSRW
+CRSGREQRPLWSADVLPSSPGSEGAMAESPTEEAATATAGAGAAGPGASGVTGVVGVSGS
+GGGFGPPFLPDVWAAAAAAGGAGGPGSGLAPLPGLPPSAAAHGAALLSHWDPTLSSDWDG
+ERTAPQCLLRIKRDIMSIYKEPPPGMFVVPDTVDMTKIHALITGPFDTPYEGGFFLFVFR
+CPPDYPIHPPRVKLMTTGNNTVRFNPNFYRNGKVCLSILGTWTGPAWSPAQSISSVLISI
+QSLMTENPYHNEPGFEQERHPGDSKNYNECIRHETIRVAVCDMMEGKCPCPEPLRGVMEK
+SFLEYYDFYEVACKDRLHLQGQTMQDPFGEKRGHFDYQSLLMRLGLIRQKVLERLHNENT
+EMDSDSSSSGTETDLHGSLRV
+>tr|A0A3Q1MKV3|A0A3Q1MKV3_BOVIN Oxysterol-binding protein OS=Bos taurus OX=9913 GN=OSBPL6 PE=3 SV=1
+MSSDEKGISPAHKTSTPTHRSASSSTSSQRDSRQSIHILERTSSTSTEPSVSRQLLEPEP
+VPLSKEADSWEIIEGLKIGQTNVQRPDKHEGFMLKKRKWPLKGWHKRFFVLDNGMLKYSK
+APLDIQKGKVHGSIDVGLSVMSIKKKARRIDLDTEEHIYHLKVKSQDWFDAWVSKLRHHR
+LYRQNEIVRSPRDASFHIFPSTSTAESSPAANVSVVDGKVQPNSFPWQSPLPCSSSLPAT
+CTTGQSKVAAWLQDSEEMDRCAEDLAHCQSNLVELSKLLQNLEILQRTQSAPNFTDMQVP
+FSATMSPVRLHSSNPNLCADIEFQTPPSHLTDPLESSTDYTKLQEEFCLIAQKVHSLLKS
+AFNSIAIEKEKLKQMVSEQDHNKGHSTQMARLRQSLSQSEGASKSWALNQNAELRSRLNR
+IHSESIICDQVVSVNIIPSPDEAGEQIHVSLPLSQQVANESRLSMSESVSEFFDAQEVLL
+SASSSENEASDDESYISDVSDNISEDNTSVADNISRQILNGELTGGAFRNGRRTCLPAPC
+PDTSNINLWNILRNNIGKDLSKVSMPVELNEPLNTLQHLCEEMEYSELLDKASETDSPYE
+RMVLIAAFAVSGYCSTYFRAGSKPFNPVLGETYECIREDKGFRFFSEQVSHHPPISACHC
+ESKNFVFWQDIRWKNKFWGKSMEILPVGTLNVMLPKYGDCYVWNKVTTCIHNILSGRRWI
+EHYGEVTVRNTKSSVCICKLTFVKVNYWNSNVNEVQGVVMDQEGKVVHRLFGKWHEGLYC
+GVAPSAKCIWRPGSMPTNYELYYGFTRFAIELNELDPVLKDLLPPTDARFRPDQRFLEEG
+NLEAAAAEKQRVEELQRSRRRYMEENNLEHIPKFFKKVIDANQREAWVSNDTYWELRKDP
+GFSKVDSPVLW
+>tr|A0A3Q1LWU1|A0A3Q1LWU1_BOVIN KIAA0930 OS=Bos taurus OX=9913 GN=KIAA0930 PE=4 SV=1
+MDGSPLLSPWGSPPDRLPDSLPSLPSDPGFPSPCDAADSSWGWSPRCCGARGLPRRCPWA
+SAGARGGWGSAHRGGLRGAGRGAGARPRPLTVPPHPLWPPCAGCFKDDRIVFWTWMFSTY
+FMEKWAPRQDDMLFYVRRKLAFAGSEGALDGRKLAEAEPEVEVEVYRRDSKKLPGLGDPD
+IDWEESVCLNLILQKLDYMVTCAVCTRADGGDIHIHRKRSQQVFASPSKHPMDSKGEESK
+ISYPNIFFMIDSFEEVFSDMTVGEGEMVCVELVASDKTNTFQGVIFQGSIRYEALKKVYD
+NRVSVAARMAQKMSFGFYKYSNMEFVRMKGPQGKGHAEMAVSRVSTGDTSPCGTEEDSSP
+ASPMHERVTSFSTPPTPERNNRPAFFSPSLKRKGPRNRITEMKKSHSANDSEEFFREDDG
+GGDPAAPPPPGLRGLLTPFCTFREPGGHAPAHPGRGWSCGQTADGHRREPPAPAQCGRQL
+APDFRGSEGRALGARVLFPPSARCPMALPPSVRCPSGLTCPPP
+>tr|A0A3Q1LW78|A0A3Q1LW78_BOVIN Stress-induced-phosphoprotein 1 OS=Bos taurus OX=9913 GN=STIP1 PE=4 SV=1
+MGGNHRKPPRGKRPVSRRGRGGIWGSRQLLVGSRRRRVRLGTRSRRSLFNGVPDRAMEQV
+NELKEKGNKALSAGNIDDALQCYSEAIKLDPQNHVLYSNRSAAYAKKGDYQKAYEDGCKT
+VDLKPDWGKGYSRKAAALEFLNRFEEAKQTYEEGLKHEANNPQLKEGLQNMEARLAGRPQ
+NTALPLLLTAVLYLGSVEAPPTPSVGLVGHRGTVTDFLVVRFPLFKTERKFMNPFNMPNL
+YQKLESDPRTKTLLADPTYRELIEQLRNKPSDLGTKLQDPRIMTTLSVLLGVDLGSMDEE
+EEVATPPPPPPPKKETKPEPMEEDLPENKKQALREKELGNEAYKKKDFDTALKHYDKAKD
+LDPTNMTYITNQAAVYFEKGDYGQCRELCEKAIEVGRENREDYRQIAKAYARIGNSYFKE
+EKYKDAIHFYNKSLAEHRTPDVLKKCQQAEKILKEQERLAYINPDLALEEKNKGNECFQK
+GDYPQAMKHYTEAIKRNPKDAKLYSNRAACYTKLLEFQLALKDCEECIQLEPTFIKGYTR
+KAAALEAMKDYTKAMDVYQKALDLDSNCKEAADGYQRCVMAQYNRHDSPEDVKRRAMADP
+EVQQIMSDPAMRLILEQMQKDPQALSEHLKNPVIAQKIQKLMDVGLIAIR
+>tr|A0A3Q1M6X3|A0A3Q1M6X3_BOVIN Microtubule associated serine/threonine kinase 3 OS=Bos taurus OX=9913 GN=MAST3 PE=4 SV=1
+MMNHVYRERFPKATAQMEGRLQEFLAAFSPGARLALADGVLGFIHHQIIELARDCLAKSG
+EALVTSRYFLEMQEKLERLLQDAHERSDSEEVGFIVQLVRKLLIIISRPARLLECLEFDP
+EEFYHLLEAAEGQAREGQGIKTDLPQYIIGQLGLAKDPLQEMVPLSHLDDNGGQPPAPEE
+SPESRALVGPSRRKPCESDFETIKLISNGAYGAVYLVRHRETRQRFAIKKINKQNLMLRN
+QIQQVFVERDILTFAENPFVVSMFCSFETRRHLCMVMEYVEGGDCATLLKNMGPLPVDMA
+RMYFAETVLALEYLHNYGIVHRDLKPDNLLITSLGHIKLTDFGLSKIGLMSMATNLYEGH
+IEKDTREFVDKQVCGTPEYIAPEVIFRQGYGKPVDWWAMGVVLYEFLVGCVPFFGDTPEE
+LFGQVVSDEIMWPEGEEALPADAQDLITRLLRQSPLDRLGTGGTHEVKQHPFFWTLDWAG
+LLRHKAEFVPQLEAEDDTSYFDTRSERYRHLGSEDDETNDEESSTEIPQFSSCSHRFSKV
+YSSSEFLAAQPTPTFAERSFSEDREEGWERSSEGNYGRRLSTTEVRLRSCTSSGSSCHSS
+SSQPERGPSPSLLNTISLDTMPKFAFSSEDEGAGPGPVGPKRPIFILGEPDAPPETTPVI
+PKPSSLSADTAALSHARLRSNSTGARHSTPRALDAGRGRRLGSQRDSAPEKSRTSPSGGR
+VPKSASVSALSLIITADDGSGGPLMSPLSPRSLSSNPSSRDSSPSRDPSPVCGSLRPPIV
+IHSSGKKYGFNLRAIRVYMGDSDVYTVHHVVWSVEEGSPAQEAGLRAGDLITHINGESVL
+GLVHMDVVELLLKSGNKIALRTTALENTSIKVGPARKNVTKGRMARRSKRSRRRETQDRR
+KSLFKKISKQSSVLHTSRSFSSGLHQSLSSSESLPGSPTHSLSPSPTTPCRSPAPDAPAD
+TASPPSISPSSSSPASPAAAGHTRPSSLHGLAAKLGPPRPKAGRRKSTSSIPPSPLACPP
+VPAPPPRSPSPLSGHPPVPARSPRLRRGQSADKLGTGERLDGDLGRRSRGPDSELVIMRR
+LHLSERRDSFKKQEAVQEVSFDEPLEEATGPPTLVPQIAVEGAEAVSGALGPSRKD
+>tr|G3N3N4|G3N3N4_BOVIN Chromosome 10 C14orf119 homolog OS=Bos taurus OX=9913 GN=C10H14orf119 PE=4 SV=1
+MPLESSSSSMPLSFPSPLPPVPDNISNSSPPPMSYITSQEMKCILHWFASWSGPQRERFL
+QDLVAKAVPGKLQPLLESLEQLSVSGANRPPCIFECQLRLWDQWFRGWAEQERNEFVRQL
+EVSEPDFVAKFYQAVAATAGKE
+>tr|E1BBV6|E1BBV6_BOVIN Scm polycomb group protein homolog 1 OS=Bos taurus OX=9913 GN=SCMH1 PE=4 SV=2
+MLVCYSVLACESLWDLTCSIMGSPLGHFTWDKYLKETCSVPAPIHCFKQSYTPPSNEFKI
+SMKLEAQDPRNTTSTCIATVVGLTGARLRLRLDGSDNKNDFWRLVDSAEIQPIGNCEKNG
+GMLQPPLGFRLNASSWPMFLLKTLNGAEMAPIRIFHKEPPSPSHNFFKMGMKLEAVDRKN
+PHFICPATIGEVRGSEVLVTFDGWRGAFDYWCRFDSRDIFPVGWCSLTGDNLQPPGTKVV
+IPKNPYPASDVNTEKPSIHSSTKTVLEHQPGQRGRKPGKKRGRTPKPLIPHPISTPSKSA
+EPLKFPKKRGPKPGSKRKPRTLLNPPPTSPTTSTPEPDTSTVPQDAATIPSSAIQAPTVC
+IYLNKNGSTGPHLDKKKVQQLPDHFGPARASMVLQQAVQACIDCAYHQKTVFSFLKQGHG
+GAVISAVFDREQHTLNLPAVNSITYVLRFLEKLCHNLRSDNLFGNQPFTQTHLSLSTTEY
+SHSHDRYLPGETFALGNSLARSLEPHSDSMDSTLNPTNLVSTSQNLRNPGYRPLLPSCGL
+PLSTASAVRRLCSRGKLFREGTNHRREGEGDWRVNYSPGSDRRDASRLSGRDPSSWTVED
+VMQFVREADPQFGPHADLFRKHEIDGKALLLLRSDTMMKYMGLKLGPALKLCYHIDRLKQ
+GKF
+>tr|A0A3Q1LWL1|A0A3Q1LWL1_BOVIN BHLH domain-containing protein OS=Bos taurus OX=9913 GN=OLIG2 PE=4 SV=1
+MDSDASLVSSRPSSPEPDDLFLPARSKGSGGGGFTGGTVSSSTPSDCPPDLSAELRGAMG
+AAGAHPGDKLGGGVGGGFKLSSSSTSSSTSSAAASSTKKDKKQMTEPELQQLRLKINSRE
+RKRMHDLNIAMDGLREVMPYAHGPSVRKLSKIATLLLARNYILMLTNSLEEMKRLVSEIY
+GGHHAGFHPSACGGLAHSAPLPAATAHPAAAAHAAHHPAVHHPILPPAAAAAAAAAAAAA
+VSSASLPGSGLSSVGSIRPPHGLLKSPAAAAAAAPLGGGGGSGGGTGGFQHWGGMPCPCS
+MCQVPPPHHHVSAMGAGSLPRLTSDAK
+>tr|F1N3A0|F1N3A0_BOVIN KIAA0895 OS=Bos taurus OX=9913 GN=KIAA0895 PE=4 SV=3
+MLESIRVTEKLHWPEQELAKKSILNAEASLIIDSKRSISHLSPAILKDIFTTGTSSYNVL
+LQSKEEKKHHSQKQPSSTGSKRCRKPSKSPSSSRSKDRKMKAPVPVMSSSTWYCLERQPA
+VFVTGSVSSPVKFTHDISVTGNPIVLPPKPKNERKVKRHLFSTLPKPKPKPQPLLSRSFE
+KGDDFSGKKFCILTAIKPTNLEKEKLRFFKSDYTYNPQFEYANPSLPSVLAKHSNASDRF
+LKQSINIMELTLQKYGSYEKFEQATGGSLLSKTRIWSHVRKYMMKEGCMGEIVVHLTEDL
+LSRASMTVVNGCPTLTINVSTAREHWLEGMLRHEIGTHYFRGINNLQQPWNSWTGRKKLE
+LKPNNPTEEGLASIHSVLFRKDPFLWRAALLYYTVYRASQMSFCELFRDIGKFVKDPNTR
+WDYCVRAKRGWTDTSQPGCFSKDQVYLDGILQILRYRETIDFHLLTTLGKVSYEDVDRLK
+GLAVTENMRVPHFLQDHSRYMEHLEKIMEVNELTDRELKDLIC
+>tr|A0A3S5ZP67|A0A3S5ZP67_BOVIN Signaling threshold regulating transmembrane adaptor 1 OS=Bos taurus OX=9913 GN=SIT1 PE=4 SV=1
+MTTAGQSRLCNCTDHRTDELLTGIPSLTKGWGLWALLGAVTLLLLISLAVHLFQWTSGRS
+RSQPGHGRSGESVEDVPLYGNLHYLQTGRLSQEPGPDPQDSAPGGPARAAEEVMCYTSLQ
+LRPPQGRVPSPGSPIKYSEVLLDSEPKPQASDPEPELYASVCAQGRRARASFPDQAYANS
+HPAPS
+>tr|A0A3Q1LTJ4|A0A3Q1LTJ4_BOVIN PTPRF interacting protein alpha 2 OS=Bos taurus OX=9913 GN=PPFIA2 PE=4 SV=1
+MMCEVMPTINEDTPMSQRGSQSSGSDSDSHFEQLMVNMLDERDRLLDTLRETQESLSLAQ
+QRLQDVIYDRDSLQRQLNSALPQDIESLTGGLTGSKGADPPEFAALTKELNACREQLLEK
+EEEISELKAERNNTRLLLEHLECLVSRHERSLRMTVVKRQAQSPSGVSSEVEVLKALKSL
+FEHHKALDEKIVALREQNVHIQRKMVSSEGSTESEHLEGMEPGQKVHEKRLSNGSIDSTD
+ETSQIVELQELLEKQNYEMAQMKERLAALSSRVGEVEQEAETARKDLIKTEEMNTKYQRD
+IREAMAQKEDMEERITTLEKRYLSAQRESTSIHDMNDKLENELANKEAILRQMEEKNRQL
+QERLELAEQKLQQTMRKAETLPEVEAELAQRIAALTKAEERHGNIEERMRHLEGQLEEKN
+QELQRARQREKMNEEHNKRLSDTVDRLLTESNERLQLHLKERMAALEEKNVLIQESETFR
+KNLEESLHDKERLAEEIEKLRSELDQLKMRTGSLIEPTIPRTHLDTSAELRYSVGSLVDS
+QSDYRTTKVIRRPRRGRMGVRRDEAKVKSLGDHEWNRTQQIGVLSSHPFESDTEMSDIDD
+DDRETIFSSMDLLSPSGHSDAQTLAMMLQEQLDAINKEIRLIQEEKESTELRAEEIENRV
+ASVSLEGLNLARVHPGTSITASVTASSLASSSPPSGHSTPKLTPRSPAREMDRMGVMTLP
+SDLRKHRRKIAVVEEDGREDKATIKCETSPPPTPRAIRMTHTLPSSYHNDARSSLSASLE
+PESLGLGSANSSQDSLHKAPKKKGIKSSIGRLFGKKEKARLGQLRGFMETEAAAQESLGL
+GKLGTQAEKDRRLKKKHELLEEARRKGLPFAQWDGPTVVAWLELWLGMPAWYVAACRANV
+KSGAIMSALSDTEIQREIGISNPLHRLKLRLAIQEMVSLTSPSAPPTSRTPSGNVWVTHE
+EMENLTAPAKTKESEEGSWAQCPVFLQTLAYGDMNHEWIGNEWLPSLGLPQYRSYFMECL
+VDARMLDHLTKKDLRVHLKMVDSFHRTSLQYGIMCLKRLNYDRKELERRREASQHEIKDV
+LVWSNDRVIRWIQAIGLREYANNILESGVHGSLIALDENFDYSSLALLLQIPTQNTQARQ
+ILEREYNNLLALGTERRLDESEDKNFRRGSTWRRQFPPREVHGISMMPGSSETLPAGFRL
+TTTSGQSRKMTTDDVVFSVYST
+>tr|A0A3Q1MRN8|A0A3Q1MRN8_BOVIN RRM domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MQSNKTFNLEKQNHTPRKHHQHHHQQHHQQQQQQPPPPPMPANGQQASSQNEGLTIDLKN
+FRKPGEKTFTQRSRLFVGNLPPDITEEEMRKLFEKYGKAGEVFIHKDKGFGFIYLETRIL
+AEIAKVELDNMPLRGNQLLGKLWTNAVKAPSC
+>tr|A0A3Q1LK21|A0A3Q1LK21_BOVIN Folylpolyglutamate synthase OS=Bos taurus OX=9913 GN=FPGS PE=3 SV=1
+MSHLKAPRHTPGALRKAFLAMSGDPRNFPGASGSHTCFQDAVRTLNTLQTNANYLEMVKR
+KRGDPQTQLEAMKLYLARSGLQVEDLDQLNIIHITGTKGKGSTCAFTERILRSYGLKTGF
+FSSPHLVQVRERIRINGQPISSELFTKHFWRLYHRLEETKDDSSCVSMPGYFRFLTLMAF
+HVFLQEKVDLAVLEVGIGGAYDCTNIIRKPVVCGITSLGIDHTGLLGDTMEKIAWQKGGI
+FKSGVPAFTVLQPDEPLAVLRDRAQQISCPLYLCPPLQALEEGGPPLTLGLEGEHQRSNA
+ALALQVARCWLQQKGYQDAGELKASRPSLPGQLPLAPVFQPTPRMQQGLRHTEWPGRTQL
+LRRGPLTWYLDGAHTTSSMQACVRWFRQALHRCERPDSGSEVRVLLFNSTGDRDSAALLK
+LLQPCQFDYAIFCPNLAEMASTDNADQQNFTVTLDQVLLRCLAHQQHWSRLHEEQVSPDP
+WSTPGQEQDGPASLLLAPHPPHTHSASSLVFSCISHALQWITQGRDPLFQPPSPPRGLLA
+HPVADSGATVLQEAAAIHVLVTGSLHLVGGVLKLLEPSLSQ
+>tr|A0A3Q1MAN2|A0A3Q1MAN2_BOVIN Myocardin related transcription factor B OS=Bos taurus OX=9913 GN=MRTFB PE=4 SV=1
+MDHTGALDTEDELGPLAHLAPSPQSEAVAHEFQELSLQSSQHLPPLNERKNVLQLRLQQR
+RTREQLVDQGIMPPLKSPAAFHEQIKSLERARTENFLKHKIRSRPDRSELVRMHILEETF
+AEPSLQATQMKLKRARLADDLNEKIAQRPGPMELVEKNILPVDSSVKEAIIGVGKEDYPQ
+TQGDFSFDEDSSDALSPDQPASQESQGSAASPSEPKVSESPSPVTTNTPAQFTPVSPAVP
+EFLKTPTADQPPPRSTAPVLPPSTTSSAKPGPALVKQSHPKNPNDKHRSKKCKDPKPRVK
+KLKYHQYIPPDQKGERSEPHMDSNYARLLQQQQLFLQLQILSQQQQHYNYQTILPAPLKP
+LNDKNNNSGNPALNTTTSNTPRQNTPAPVRKPGPLPSSLDDLKVSELKTELKLRGLPVSG
+TKLDLIERLKPYQEVNSSGPAAGGVTAVPTPAIVPSNPEVTVALPVTTRQNSVTSSGSTF
+KAELPPASSSSVAHVDSGSSPLPISPAPSEQSSLSAEDTSMADTFTEIMTMMSPSQFLCS
+SPLRATSTEDSLSPTSSTLSTLELDAAEKDRKLQEKEKQIEELKRKLEQEQKLVEVLKMQ
+LEVEKRGQQQQRPLEPPPPASTPAPSVSKADQTRGPTASTTKDEAPLPDGPGPVAGQSLV
+AKKAIVIKQEVPVAPAEPPSLVPQFYVSSQGQPPAGVAQPQALLTTQTAQLLLPVSIQGS
+SVTSVQLPAGSLQLQTPPQTGLQTQPQIAAATLSSGLAQAAPQKQDAFPARTLGQPQQAR
+KVFTSSASSTVLPYQRPPAPAVQQPFINKANNSVLQPRSTPLPSLQNGPSPPNKPGSPPP
+PQQFVVQHSLFGNPVPKTKDPPRYEEAIKQTRSAQSSLPEISNAHSQQMDDLFDILIKSG
+EISLPIKEEPSPISKMRPVTASITTMPVSTVVSRPPPQVQMAPPISLEPMSSLSASLENQ
+LEAFLDGTLPSANEIAPLQSGSEDREPFSLIEDLQNDLLSHSGVLDPSHSPMETAEAQFA
+ASTPCLSLDLSDSNLDNMEWLDITMPGTSSGLTPLSATTPSMFSADFLDPQDLPLPWD
+>tr|G3MXG6|G3MXG6_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=2
+QVQLRESGPSLVKPSQTLSLTCTASGFSLSDKAVGWVRQAPGKALEWLGGIDTGGSTGYN
+PGLKSRHTSISRGTSKNQSSLQLSSVTTVDTAVYYCARDTLRGPQCEPSHNWGLSTGTFP
+PLQAPSTVTPESFPVSEPGT
+>tr|G3MYK5|G3MYK5_BOVIN Ninein OS=Bos taurus OX=9913 GN=NIN PE=4 SV=2
+MDEAEQDQHEARLKELFDSFDTTGTGSLGQEELTDLCHMLSLEEVAPVLQETLLQDNLLG
+RVHFDQFKEALILILSRTLSNEEHFQEPDCTLEAQPKYVRGGKRYGRRSLPEFQESVEEF
+AEVTVIEPLGEEAQPSHIPASERSEHWKTQRSEEYEAEGQLRFWNPDDLKASQTGSLAPQ
+DWIEEKLQEVCEDLGITRDGHLNRKKLVSICEQYGLQNVDGEMLEEVFHNLDPDGMMSVE
+DFFYGLFKNGKPLTPSASTPYRQLKRHISMQSFDESGRRTTAPSAMMSTIGFRVFSCLDD
+GMGYASVERILDTWQEEGIENSQEILKALDFSLDGNVNLTELTLALENELLVTKNSIHQA
+ALASFKAEIRHLLERVDQMLREKEKLRSDLDKAEKLKSLMASEVDDHHAAIERRNEYNLR
+KLDEEYKERIAALKNEFRKEREQILQQVGKQRLELEQEIEKAKTEENYVRDRLALSLKEN
+SRLENELLENAEKLAEYESLTNKLQRNLENVLAEKFGDLDPSSAEFFLQEERLTQMRNEY
+EQQCRLLQDQVDELQSELQEYRTQGKVSRLPLQNSLSEELDINSGCIEPDQGLGSEECNP
+LNMSIEAELVIEQMKEQHHRELCHLRLELEDKVNYYEKQLDETKVAFEKEQENMKLKCEN
+EVHILEEQISDLKNKIAELQGQTEVLKEAQHVAICRHEEEKKQLQMKWDEEKAHVQEELR
+LEHEMALRARLEQVEESFNRERERLVQNGAWTEEKVRGLTQKLEQVHQEQLKSLVEKHIL
+EKEELQKELLEKHQRELHEGREKMETECNRRTSQIEAQFQADCQKVTERYEHALRSLEVH
+YRHQLKELLDQQCEERSQWEFEKDELTQECAEAQEQLKETLQREKATSLVLTQEREMLEK
+TYKEHLNSMVVEREQLLQDLEDLRKVSESQQSLLSNQILELKSSHERELKDREQILCQAG
+TSEQLVSQRLEQLQREHDQERQEMMSKLLVMESVHRATCEKADRERAEMSTEISRLQNKI
+KEMEQVVSPPSRLQNSCQVIGGEEAEENGAMSLLQQGEQLLEENGDVLLSLQRAHERAVK
+ENVKMATEISRLQQRLQKLEPESVMSSCLDEPATGLFGNSVEQTEPFLQPNRIKQAEGGT
+TQRILSDLQGDEVRDLESTGTSSGQRQVRVEESEASIESFSELENSEETRTETWDLKNQV
+GQLREQLMILRADCDRASEKKQDLLFDVSVLKKKLKMLERIPEASPKYKVLYEDASRENE
+CLQEELRMMETRYDEALESNKELTSEMFRLQDELKKVEEMTDTFLSLEKSYKEIKRENEE
+LHVLVLRLQGKIEKLRERAVLQCDCFSLWEAHLDNLEVGPDEKVFELNQTLDERVPNVMS
+VHHIIEEHLYQENQYLEQENTQLLEKVKAHEIAWLHGTLQTHRDKLGAQNQVVLEENTAL
+LGLQDKHFQHQATIAELEREKKKLQELTRKLRERVTALVKQKDVPSQGEKEEELKAMMHD
+LQITCSEMQQKVELLRYESEKLQEENSILRNEITTLNEEDSISNLKLGKLSGSQEEMWQK
+IETVKQEKAAVQKMVENLKKQISELKTKNQQLDLENMKLSQKNSQNQKELQELNQRLAEM
+LSQKDKEPGHSACEEWEQEKSNLKEELEHCKLQSSTLVSSLEAELSEVKIQTHIVEQENL
+LLKDELEKVKQLCRCPDLSDFQQKISSILSHNEKLLKEKEALSEELNICVDKLAKSSLLE
+HRIATMKQEQKSWEHQSESLKSQLVASQEKVQNLEDTLQNVNLQMSRIKSDLRVTQQEKE
+ALKQEVMSLHKQLQNASDKNWAPEIGIHPSGFHNQQQRLSWDKLDHLINEEQQLLWQENE
+RVQTMVQNTKPELIHSREKVRQLESNLPSPKHQKHLNSSGTVKPTEQEKLSLKRECEQFQ
+KERSPTNRKVSQMKSLERELETIHLENEGLKKKQVKLDEQLMENSVVGTSREGCSSLPEI
+VCEDAAPEVHCDA
+>tr|A0A3Q1MQ26|A0A3Q1MQ26_BOVIN Ubiquitin-conjugating enzyme E2 T OS=Bos taurus OX=9913 GN=UBE2T PE=3 SV=1
+HQPGLAPHVGAGQTLRAVPDPASPSGPGGELLQATQSPLHCFFFFQEILGGANTPYEKGV
+FKLEVHIPERYPFEPPQIRFLTPIYHPNIDSAGRICLDVLKLPPKGAWRPSLNIATLLTS
+IQQLMAEPNPDDPLMADISSEFKYNKPVFLKNARQWTEKHARQKTDEEGMPGSLPEVGGS
+EGPSAAQKRKAGQLSSGGKRFCPDV
+>tr|A0A3Q1NA32|A0A3Q1NA32_BOVIN Alpha-1,4 glucan phosphorylase OS=Bos taurus OX=9913 GN=PYGL PE=1 SV=1
+MAKPLTDQEKRRQISIRGIVGVENVAELKKGFNRHLHFTLVKDRNVATPRDYFFALAHTV
+RDHLVGRWIRTQQYYYEKCPKRVYYLSLEFYMGRTLQNTMINLGLQNACDEAIYQLGLDM
+EELEEIEEDAGLGNGGLGRLAACFLDSMATLGLAAYGYGIRYEYGIFNQKIRDGWQIEEA
+DDWLRHGNPWEKARPEFMLPVHFYGRVEHTEAGTKWTDTQVVLALPYDTPVPGYLNNTVN
+TMRLWSARAPNDFNLRDFNVGDYIQAVLDRNLAENISRVLYPNDNFFEGKELRLKQEYFV
+VAATLQDVIRRFKASKFDSSNSTKTAFDAFPDQVAIQLNDTHPSLAIPELMRIFVDIEKL
+PWSKAWEITQKTFAYTNHTVLPEALERWPVELVEKLLPRHLQIIYEINQKPFSSFEGGKR
+INMAHLCIVGSHAVNGVAKIHSDIVKTQVFKDFSELEPDKFQNKTNGITPRRWLLLCNPG
+LAELIAEKIGEDYVKDLSQLTKLNSFLGDDIFLREISNVKQENKLKFSQFLEKEYKVKIN
+PSSMFDVQVKRIHEYKRQLLNCLHVVTMYNRIKKDPKKLFVPRTVIIGGKAAPGYYMAKL
+IIKLITSVAEVVNNDPVVGSKLKLIFLENYRVSLAEKVIPATDLSEQISTAGTEASGTGN
+MKFMLNGALTIGTMDGANVEMAEEAGEENLFIFGMRIEDVAALDKKGYEAKEYYEALPEL
+KLAIDQIDKGFFSPKQPDLFKDLVNMLFYHDRFKVFADYEAYVKCQEKVSQLYMNPKAWN
+IMVLKNIAASGKFSSDRTIKEYARDIWNMEPSDIKISLSIVKCPEEKIAFYWT
+>tr|A0A3Q1LL53|A0A3Q1LL53_BOVIN CD34 molecule OS=Bos taurus OX=9913 GN=CD34 PE=4 SV=1
+MMLGRRGARAGRGMVRGWTALCLLSLLPSMEFTQTPMAATTATVSASPEISSPVPTTTFD
+WRSMNSSTFRSTSLYDVSQDSNGTTAVTLVPASTDSFTSTSETLPASGAVNSSVQSQTSL
+ATTVSSTLISFATSEATLQPSTFPGNISDSLYNSTSPVTFSIITSPSFSPTQSILKSEIK
+CSRVKEVKLAHSICLKLNETSSCEEFKKNNEEKLTGFLCQKKQAEVCSLLLAQSEVRPQC
+LLLVLTNRTESSSKIKLLEEHRSDLREMGIEDISEEVVSSHQSYSRKTLIALVTSGILLA
+ILITTCYFLMNRRSWSPTGERLGEDPYYVENGGGQGYSSGPEASPEAQGKAIVNRGAQEN
+GTGQATSRNGHSARQRVVADTEL
+>tr|E1BPM4|E1BPM4_BOVIN Chromodomain helicase DNA binding protein 7 OS=Bos taurus OX=9913 GN=CHD7 PE=4 SV=3
+MPQHGQPQPRMGQFPPGQEGLSQGNPFIAGPGPGHLSHGPPQSPGVAPSLRHAVQPFHHH
+PPTALHGDSVAHSPRFSPNPAPQGAVRPQTLTFSSRSQTVPSPTINNSGQYSRYPYSNLN
+QGLVNSTGMNQNLGLTNSAPLNQSVPRYPSAVGFPPGSAPGLLHQQPVHPSGSLNQMNAQ
+TMHPSQPQGSYASPPPMSPLKAMSSSAAGTPPPQVRPGSAPMDVGGYPSMPHPQPAHQPP
+GGALGLGPRSLGPRSLPPARPFLGMSPAPHLRPNGCPGVTLADPQAVQDRLLPGQQHPGP
+QPAFQQLPSCPPLQPHPGLHHQSSPPHPHHQPWAQLHPSPQSTPQKVPVPQHSPSEPFLE
+KPVPDMTQVSGPNAQLVKSDDYLPSIEQQPQQKKKKKKNNHIVAEDPSKNFGKDDFPGGV
+DNQELNRNSLDGSQEEKKKKKRPKAKKDPKEPKEPKEKKEPKEPKTPKAPKIPKEPKEKK
+VKTATPKPKSSKKSSNKKPDAEASALKKKVNKGKTEGSENSDLDKTPPPSPPPEDDEDPG
+VQKRRSSRQVKRKRYTEDLEFKISDEEADDADAAGRDSPSTTSQSEPQESVDADGPVVEK
+IMSSRSVKKQKESGEEVEVEEFYVKYKNFSYLHCQWASIEDLEKDKRIQQKIKRFKAKQG
+QNKFLSEIEDELFNPDYVEVDRIMDFARSTDDRGEPVTHYLVKWCSLPYEDSTWELRQDI
+DQAKIEEFEKLMSREPETERVERPPADDWKKSESSREYKNNNKLREYQLEGVNWLLFNWY
+NMRNCILADEMGLGKTIQSITFLYEIYLKGIHGPFLVIAPLSTIPNWEREFRTWTELNVV
+VYHGSQASRRTIQLYEMYFKDPQGRVIKGSYKFHAIITTFEMILTDCPELRNIPWRCVVI
+DEAHRLKNRNCKLLEGLKMMDLEHKVLLTGTPLQNTVEELFSLLHFLEPTRFPSETTFMQ
+EFGDLKTEEQVQKLQAILKPMMLRRLKEDVEKNLAPKEETIIEVELTNIQKKYYRAILEK
+NFAFLSKGGGQANVPNLLNTMMELRKCCNHPYLINGAEEKILEEFKETHNADSPDFQLQA
+MIQAAGKLVLIDKLLPKLKAGGHRVLIFSQMVRCLDILEDYLIQRRYPYERIDGRVRGNL
+RQAAIDRFSKPDSDRFVFLLCTRAGGLGINLTAADTCIIFDSDWNPQNDLQAQARCHRIG
+QSKSVKIYRLITRNSYEREMFDKASLKLGLDKAVLQSMSGRENAPNGVQQLSKKEIEDLL
+RKGAYGALMDEEDEGSKFCEEDIDQILLRRTHTITIESEGKGSTFAKASFVASGNRTDIS
+LDDPNFWQKWAKKAELDIDALNGRNNLVIDTPRVRKQTRLYSAVKEDELMEFSDLESDSE
+EKPCTKPRRPPDRSQGYARSECFRVEKNLLVYGWGRWTDILSHGRYKRPLSEQDVETICR
+TILVYCLNHYRGDENIKSFIWDLITPTADGQTRALVNHSGLSAPVPRGRKGKKVKAQSSH
+PVVQDADWLAGCNPDALFQEDSYKKHLKHHCNKVLLRVRMLYYLRQEVIGDQAEKILEGA
+DSSEADLWIPEPFHAEVPTDWWDKEADKSLLIGVFKHGYEKYNSMRADPALCFLERVGMP
+DAKAIAAEQRGTDMLADGGDGGEFDREDEDPEYKPTRTPFKDEIDEFANSPPEDKEEPME
+IRAPGKHSEGSTELGQLYWPSTSTLTTRLRRLITAYQRSYKRQQMRQEALMKTDRRRRRP
+REEVRALEAEREAIISEKRQKWTRREEADFYRVVSTFGVIFDPAKQQFDWNQFRAFARLD
+KKSDESLEKYFSCFVAMCRRVCRMPAKPDDEPPDLSSAIEPITEERASRTLYRIELLRRI
+REQVLHHPQLAERLKLCQPSLDLPEWWECGRHDRDLLVGAAKHGVSRTDYHILNDPELSF
+LDAHKNFAQARGAGGAPALNPLALGFGQTPAATPAAPGQEDKAGAAEAKAREASEKPEGK
+EEEEAEGSGKDQRQDREAELGAGKSEPRGVEVGVDSGPKSISEKGSEEDEEEKLEDDDKS
+EESSQPEAGAVSRGKNFDEESNASMSTARDETRDGFYMEDGDPSVAQLLHERTFAFSFWP
+KDRVMINRLDNICEAVLKGKWPVNRRQMFDFQGLIPGYTPPTADSPLQKRSLAELSAVGQ
+ASISGSEELTASPQLSKDDALNLSVPRQRRRRRRKIEIEAERAAKRRNLMEMVAQLRGSQ
+VVSENGQEKVVDLSKASREAASSTSNFSSLTSKLILPNVSTPVSDAFKTQMELLQAGLSR
+TPTRHLLNGSLVDGEPPMKRRRGRRKNVEGLDLLFMSHKRTSLSTEDAEVTKAFEEDIET
+PPTRNIPSPGHLDPDTRIPVINLEDGTRLVGEEAPKNKDLVEWLKLHPTYTVDMPSYVPK
+NADVLFSSFQKPKQKRHRCRNPNKLDINTLTGEERVPVVNKRNGKKMGGAMAPPMKDLPR
+WLEENPEFAVAPDWTDIVKQSGFVPESMFDRLLTGPVVRGEGASRRGRRPKSEIARAAAA
+AAAVASTSGINPLLVNSLFAGMDLTSLQNLQSLQSLQLAGLMGFPPGLATAAAAGGDAKN
+PAAVLPLMLPGVAGLPSMFGLGGLLNAPLSAAAGSPAATAGPTDPEDGASRAEEKGGEHE
+DESKDPEKSTEAAPGPDSANGSVGAATGPAGLPSNPLAFNPFLLSTMAPGLFYPSMFLPP
+GLGGLTLPGFPALAGLQGAVGAGEDKAPDKAEGAAFQEDEPLEGSDAEESLDKTAESSVL
+EDEIAQGEELDSLDGGDEIENNENDE
+>tr|A0A3Q1LPN3|A0A3Q1LPN3_BOVIN SAM and SH3 domain containing 1 OS=Bos taurus OX=9913 GN=SASH1 PE=4 SV=1
+MSIKDGSLGNIDDLAQQYADYYNTCFSDVCERMEELRKRRVSQDLDVEKPEASPTSLQLR
+SQIEESLGFCSAVSTPEVERKNPLHKSNSEDSSVGKGDWKKKNKYFWQNFRKNQKGIMRQ
+TSKGEDVGYVASEITMSDEERIQLMMMVKEKMITIEEALARLKEYEAQHRQSTALDPADW
+PDGSYPTFEGSSNCNSREQSEDETEESVKFKRLHKLVNSTRRVRKKLIRVEEMKKPSTEG
+GEEQVFENSPVPDERSALYSGVHKKPFFFDASPEKPPEDDSDSLTTAPSSSSLDTWGAGR
+KLVKTFSKGESRGLIKPPKKMGTFFSYPEDDKAQKVSRSLTEGEMKKGLGSLSHGRTCSF
+GGFDLTNRSLHIGSNNSDPMGKEGDFVYKEVIKSPTASRISLGKKVKSVKETMRKRMSKK
+YSSSVSEQDSGLDGMPGSPPSSQPDSEHMDKPKLKAGGSVESLRSSLSGQSSMSGQTVST
+TDSSTSNRESVKSEDGDDEEPPYRGPFCGRARVHTDFTPSPYDTDSLKLKKGDIIDIISK
+PPMGTWMGLLNNKVGTFKFIYVDVLNEEEEKPKRATRRRRKGRPPQPKSVEDLLDRINLK
+EHMPTFLFNGYEDLDTFKLLEEEDLDELNIRDPEHRAVLLTAVELLQEYDSNSDQSGSQE
+KLLVDSQGLSGCSPRDSGCYESSENLENGKTRKTGLSAKSSTESSLKSFNRNQLGNYPTL
+PLTKSVDALKQGGEGRLGGGLTPLASKSCDPPCVTDLNKNRRSLPVSICRSCETLEGPQT
+VETWPRSHSLDNLQGEPEKDVPGEVTEPSPEIVPEVPQKTAPSVTKVPSPKRDSAVDNAL
+LLTQSKRFSEPQKTTAKKLDGPMGPFSRGASPPPCLPKTFDTQPPAIKPSLTRTPLEGHR
+KGLDFEGTHHPPGTKEGMDAEQRGPEVRTQAKPPVQPPPVPAKKSRERLANGLHPVPPGP
+PGVPSPDAPGLPLKKGSPGGPSDCPLVVAVARPPPGPEPGSPPSTRPPPWLSELPESASL
+QEHGVRLGPALARKVSCARGLDLEMLTENKLRAEGIDLTEEPYSDKHGRCGIPEALVQRY
+AEDLDQPERDVATNMDQIRVKLLRKQHRMAIPSGGLTEICRKPLSPGYVSSVSDWLVSIG
+LPMYASALSEAGFSTLGQVPSLSHTCLQEAGITEERHISKLVSAARLFKLPPGPEAM
+>tr|E1BD99|E1BD99_BOVIN Tet methylcytosine dioxygenase 2 OS=Bos taurus OX=9913 GN=TET2 PE=4 SV=3
+MEQDRTNHVEGNRLSPFLIPSPSPICQTEPLARKLQNGSPLTERPYLEVNGDSKWPSLKS
+YYGIPHMKGSQKSRVSPDFIQEGRGYSRCLQNGGIKRTVSEPSLSGLHQNKKLKPDQKAN
+GERKSFGESREGNPDKGSSQPNVSDVSGRRESVSSVAQENEVKDFTSFPTHNCGGSENPE
+LQILNKQEGKSANYHNKNIVLLLKNKAVLMPNGATVSASSMENTHGELLEKTLSQYYPDC
+VSIAVQKTTSHIHAINSQATNELSCEVTHPAHTSGQINFPQTSNSELPPEPAAAATESCE
+ADGASKPAATLGACPFQKPEQQNSAFEIRPSLAENSNIQATAKLGSGEEFCLGPSGNLQS
+PSGSSERYLKQNEMNGACFKQSSVFTKDSFSATTTPPPSQLLLSPPPPLPQVPQLPSQGN
+GTLNDGVLEELHHYPNQSDPTLLREVKIEGQHEAPPPQSPTPSAHVSNPSLMLPVRPQNN
+CVNKNDSRTPGTMTIPLGSEKTRQLSEHLKPNPPILRSGGDPQDHCQHLMGHKQQEILKS
+QDKEQTRDLMLPTQCYLKPGWIELKAPHYRQAESHLKCNETTLRSILQYQSNPSNQMTSK
+QYTGNSNTPGGLPGQAYIQKIMQPEQRPPRYQVEMNQGQSQGTVDQHLQFQNPSLQAHFS
+KVEPSPDTHRQPLCAPRWHFQQRPDPQTEKLMPPTLRQHLNQQTSETEPFSNSHLLQHKP
+HKQAAQTQLPQNSHLSQNQQQQQKLQLKNKEQMPQTFSHLQGNSDEPREGTFFSQVKVEE
+CFPGEDQYSKSSEFQTHNPQMGLEQVQNINSINSPYGQILKSNANKVQISGSNHIQLVPD
+NKEQTVNSELFAGNKTPNVHHMQYFPNNVTPKQDILHRCFQQQEQKPQQASVLQGYKSRN
+QDVSGQQAAQLAQQRYLMQNQANAFPVPDQTGTHVQTPPQKDIQKHAALRWRLLQKQEQQ
+QTQLQAESCHSQMQRPIKVEPGSKPHACMRPLSAQPENKMWKKIPKQEIPPPSCDSVQQR
+SILETMEQHLKQFQVKSLFDHKALNLKSQKQVKVEMSGPVTVLTRQTTAAELESHTPVLE
+QQATPSSEKTPTKRTAGSVLNNFLESPSKLLDTPIKNLLDTPVKTQYDFPSCRCVEQIIE
+KDEGPFYTHLGAGPNVAAIREIMEERFGQKGKAIRIERVIYTGKEGKSSQGCPIAKWVVR
+RSCSEEKLLCLVRERAGHTCEAAVIVILILVWEGIPVSLADKLYSELTETLRKYGMLTNR
+RCALNEERTCACQGLDPDTCGASFSFGCSWSMYYNGCKFARSKIPRKFKLLGDDPKEEEK
+LESHLQNLSTLMAPTYKKLAPDAYNNQIEYEHRAPECRLGLKEGRPFSGVTACLDFCAHA
+HRDLQNMQNGSTLVCTLTREDNREIGGKPEDEQLHVLPLYKVSDVDEFGSVEAQEEKKRN
+GAIQVLSSFRRKVRMLAEPVKTGRQRKLEAKKAAAEKLSSLETSTNKSEKEKSAASRLKQ
+TENASQAKQLAELLQPPGPVMQLPQQPQSQPQLQKQLPQPQKQPPQLQQPQQQPPQQQPH
+HPLTNNSQSESVSSYSSSGSTNLYMRRPNAMSPYPGSSHTSDIYGGANPVNLYSTSSQAA
+GSYLNSSNPMSPYPGLLNQSNQYPSYQCNGNISVDNCSQYLGSYSPQSQPMDLYRYPNQD
+PLSKLNLPPIHTLYQPRFGNSQSFTSKYLGYGNQNMQGDAFSSCTIRPNVHHVGTFPPYS
+THEMDGHFIGATSRLPPNLSTSNIDYKNGEHHPASHLIHNYSAAANVFNSSLHALHLQNK
+ENDMLSHTANGLSKVLPGLNHERTPPVQEGLHKVHEAGSQEKQPSATEDNDEVWSDSEQS
+FLDPDIGGVAVAPTHGSILIECAKRELHATTPLKNPNRNHPTRISLVFYQHKSMNEPKHG
+LALWEAKMAERAREKEEEKCGPDYVSQKTHGKKVKREPTEPHEPSEPTYLRFIKSLAERT
+MSVTTDSTVTTSPYAFTRVTGPYNRYI
+>tr|A0A3S5ZPD1|A0A3S5ZPD1_BOVIN Ciliary associated calcium binding coiled-coil 1 OS=Bos taurus OX=9913 GN=CABCOCO1 PE=4 SV=1
+MATFVGTTPGGTTPAGMTSAGTTPESEKDAEFQEHEKILSPDFLSVAQITEMLAEDVDGV
+QQKLEKFLNFKNLQTCLKEASLLDYYVSGFLWAKGMDFSVIQYSKFMTLLDMLLHNLRTL
+HMSLEDSIKWLGEVMAEIGPSHKNEKWNIFDVKQASAIVDYLKISLFQHYKLYEFLFFSA
+REEIVIGTEDQRFLKEKKLKKNITAVFKSEGLTGLKRRETKDYWLALLDLV
+>tr|A0A3Q1MSP5|A0A3Q1MSP5_BOVIN Erbb2 interacting protein OS=Bos taurus OX=9913 GN=ERBIN PE=4 SV=1
+MTTKRSLFVRLVPCRCLRGEEETVTTLDYSHCSLEQVPKEIFTFEKTLEELYLDANQIEE
+LPKQLFNCQSLHKLSLPDNDLTALPASIANLINLRELDVSKNGIQEFPENIKNCKVLTVV
+EASVNPISKLPDGFSQLLNLTQLYLNDAFLEFLPANFGRLTKLQILELRENQLKMLPKTM
+NRLTQLERLDLGSNEFTEVPEVLEQLSGLKEFWMDGNRLTFIPGFIGSLKQLTYLDISKN
+NIEMVEEGISGCENLQDLLLSSNSLQQLPETIGSLKNVTTLKIDENQLMYLPDSIGGLVS
+IEELDCSFNELEALPSSIGQLTNIRTFAADHNYLQQLPPEIGSWKNITVLFLHSNKLETL
+PEEMGDMQKLKVINLSDNRLKNLPFSFTKLQQLTAMWLSDNQSKPLIPLQKETDSETQKM
+VLTNYMFPQQPRTEDVMFISDNESFNPSLWEEQRKQRAQVAFECDEDKDEREAPPREGNL
+KRYPTPYPDELKNMVKTVQTIVHRLKDEETNEDSGKDLKPHEDQQVVNNDVGVKTSESIT
+PVRSKADEREKYMIGNSIQKTSEPEAEVSSGNLPVTANVKASENLKHIVNHDDVFEESEE
+LSSDEEMKMAEMRPPLIETSINQPKVVALSSNKKDDTKDTDSLSDEVTHNSNQNNSNCSS
+PSRMSDSVSLNTDSSQDTSLCSPVKQTHIGINSKIRQEDENFNSLLQNGDILNHSTEEKI
+KVPDKKDFNLPEYDLNIEERLVLIEKGVDSTATSDESHKLDHINMNLNKLVTNDTFQPEI
+VERSKTQDTALGMGFLSNNAKGEAEHLENGNKFPNVECINKVNGHSEETPQSPRRTEPHD
+TDSSVDVGHSKSTEDLSPQKSGPIGPVVKSHSITNMETGGLKIYDILSDNGPQQPSATAK
+VTSTIDGKNIVRSKSATLLYDQPLQVFTGSSSSSDLISGAKAIFKFDSNHNPEEPHIRGP
+TTSGPQSVPQMYGPPQYNIQYSGSAAVKDTLWHSKQNPQVDPVSFPSQRFPRSESTESHS
+YAKHSANMNFSNHNNVRANTGYHLHQRLGPGRHGDMWAISPNDRLIPGATRTTIQRQSSV
+SSAASVNLGEPGSTRRTQVPEGDYLSYRELHSVGRTPPMMSGSQRPLSARTYSVDGPHAS
+RPQSARPSVSEIPERTMSVSDFSYSRTSPSKRPNTRVGSEHSLLDPPGKSKVPHDWREQV
+LRHIEAKKLEKSMLSRSFNSNFTAVSNFHYGSSRDLHGSQGSLALSVADGRGSGGHTFRI
+RVRVEKDPELGFSISGGVGGRGNPFRPDDDGIFVTRVQPEGPASKLLQPGDKIIQANGYS
+FINIEHGQAVSLLKTFQNTVELIILREVSS
+>tr|A0A3Q1LW38|A0A3Q1LW38_BOVIN SH2 domain containing 3C OS=Bos taurus OX=9913 GN=SH2D3C PE=4 SV=1
+MTERCSLWSALSAAACCFYRGSFVQVQFSKEKYILDSSPEKLHKELEEELKLSSTDLRSH
+AWYHGRIPREVSETLVQRNGDFLIRDSLTSLGDYVLTCRWRNQALHFKINKVVVKAGESY
+THIQYLFEQESFDHVPALVRYHVGSRKAVSEQSGAIIYCPVNRTFPLRYLEACYGLGQGG
+GKAASPASPSGPKGSHMKRRSVTMTDGLTADKVTRSDGCPTSTSLPHPRESIRNCALSMD
+QIQDLHSPMSPISESPSSPAYSTVTRVHAAPAAPSATALPASPVTRRSSEPQLCPGSVPK
+PHGESDKGPYSSPSHTLCKASPSPSLSSYSDPDSGHYCQLQPPVRGSREWAAAEASGRQA
+RSYGEKLKELSENGASEGDWGRTFTVPVVEATSSFNPATFQSLLIPKDNRPLEVGLLRKV
+KELLAEVDARTLARHVTKVDCLVARILGVTKEMQTLMGVRWGMELLTLPHGRQLRLDLLE
+RFHTMSIMLAMDILGCTGSAEERAALLHKTIQLAAELRGTMGNMFSFAAVMGALDMAQIA
+RLEQTWVTLRQRHTEGAILYEKTLKPFLKSLNEGKEGPPLSNTTFPHILPLITLLECDSA
+PAEGPEPWGSTEHGVEVVLAHLEAARTVAHHGGLYHTNAEVKLQGFQARPELLEVFSTEF
+QMRLLWGSQGASSSQARRYEKFDKVLTALSHKLEPAIRSSEL
+>tr|A0A3Q1MMC7|A0A3Q1MMC7_BOVIN Chymosin OS=Bos taurus OX=9913 GN=CYM PE=3 SV=1
+MRCLVVLLAVFALSQGAEITRIPLYKGKSLRKALKEHGLLEDFLQKQQYGISSKYSGFGE
+VASVPLTNYLDSQYFGKIYLGTPPQEFTVLFDTGSSDFWVPSIYCKSNACKNHQRFDPRK
+SSTFQNLGKPLSIHYGTGSMQGILGYDTVTVSNIVDIQQTVGLSTQEPGDVFTYAEFDGI
+LGMAYPSLASEYSIPVFDNMMNRHLVAQDLFSVYMDRNGQESMLTLGAIDPSYYTGSLHW
+VPVTVQQYWQFTVDSVTISGVVVACEGGCQAILDTGTSKLVGPSSDILNIQQAIGATQNQ
+YGEVSPDPYPHLPRTSGEGTPFDQGFCTSGFQSENHSQKWILGDVFIREYYSVFDRANNL
+VGLAKAI
+>tr|A0A3Q1NNL8|A0A3Q1NNL8_BOVIN G kinase-anchoring protein 1 OS=Bos taurus OX=9913 GN=GKAP1 PE=4 SV=1
+MASAVLSSVPTTASRFALLQVDSGSGSDSEPGKGKGRNTGKSQTNKSTTNEKKREKRRKK
+KEQQQSEANELRNLAFKKIPQKSSHAICNAQHELSLPNPVQKDSREENWQEWRQRDEQLT
+SEMFEADLEKALLLSKLEYEEHKKEYENAENASTQSKVMNKKDKRKTHQGKDKPLTISLK
+EFQSEDHISKKTEELSSQILSHDGGFFNRLEDDVHKILTREKRREQLTEYNGTDNYTVHE
+HNQEVVLKDGRIERLKLELERKDAEIQKLKNVIAQWEAKYKEVKARNAQLLKMLQEGEMK
+DKAEILLQVDESQSIKNELTIQGGRKGKRNSESDQCR
+>tr|A0A3Q1MHM0|A0A3Q1MHM0_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC788607 PE=3 SV=1
+MAPGNDSFMTQFILAGLTDQSVLQLPLFSLFLVMYMVTVMGNLSLIILIGSSSHLHTPMY
+FFLFNLSFIDLCYSSVFTPKMLINITSEKKIISYMGCMTQLYFLCFFGISESYVLTSMAY
+DRYVAICNPLLYNTAMSPKVCSSLMLGSYLMAFFEATTLIGCMLRLTFCAANTINHYLCD
+IYPLLQLSCTSTYIIELEVIIVSGINITVPSLTIFVSYGLILSNILHISSTEGRSKAFST
+CSSHIIAVSLFFGSSAFVYLKPSSVSADDGKISSVFYTNVIPMMNPLIYSLRNKDVKLAL
+RKTLMR
+>tr|E1BB21|E1BB21_BOVIN Desmocollin-3 OS=Bos taurus OX=9913 GN=DSC3 PE=4 SV=3
+MAAPRLRSSLRGALCRQLLLTLVVFSFACEACKKEIFNIPSKLEADKMIGRVNLKECLGS
+VDRIQSSDPDFRVLEDGSVYTAHAVVLSDEKRSFTIWLSDTKKRTQKEILVLLEYQKKVL
+KKRHAKETVLRRSKRRWAPIPCSMQENSLGPFPLFLQQVQSDAAQNYTIFYSISGRGVDK
+EPLNLFFIERDTGNLYCTQPVDREEYDVFDLIAYASTADGYSADFPLPLPIRVEDENDNH
+PIFTEAVYNFEVPESSRVGTTVGVVCATDRDEPDTMHTRLKYSILEQTPRSPGLFSVHPS
+TGVITTVSHYLDREVADKYSLIMKVQDMDGQFFGLMSTATCIITVKDSNDNLPTFRQNAY
+EASVEENTVNVEILRIPVEDKDLINTANWRANFTILKGNENGHFKITTDKATNEGVLSVV
+KPLDYEESHQVVLEIGVANEAPFTRDVALRMTTMNRAVVTVHVKDQDEGPECSPEVQYIR
+IKENSAVGSKISGYKAYDPETKSSSGLRYKILHDPKEWITVNEGSGSLETYKTLDREVIT
+PKNDLYNITVLAIDQDGRSCTGTLAVSIEDVNDNPPEILQDYLVICKGNMDYVDISAIDH
+DSSINGAPFYFSLANTSPEINRLWTITRVNDTAARLAYQKNAQFQEYFIPVAVKDRAGLS
+ATKTLRVNLCDCTNPVQCRAAARSADVILGKWAILAILLGIALLFSILLTLVCGIVSARN
+KKAFPDDLAQQNLIISNTEAPGDDKVCSANGFMTQTVNNANQGFCGTMGSGVKNGGQESI
+EMVKGGQQTLESCRGAGHHHTLDSCRGGTIEVENSRYTYSEWQNFTQPRLGEKLHLCNQD
+EEHMPSQDYVLTYNYEGRGSPAGSVGCCSEKQEEDGLDFLNNLEAKFAALAETCTKR
+>tr|E1BCL2|E1BCL2_BOVIN Ferric chelate reductase 1 like OS=Bos taurus OX=9913 GN=FRRS1L PE=4 SV=3
+NKKISGLSPGSPMVMTSPSNAGGVGSSRPRARGAPAAARAAWLRDPCAAMARSRRSWAQL
+LLLLLAGPAACAASPADDGAGPGGRGPRGRARGDSSADETVPRHDSSYGTFAGEFYDLRY
+LSEEGYPFPTAPPVDPFAKIKVDDCGKTKGCFRYGKPGCNAETCDYFLSYRMIGADVEFE
+LSADTDGWVAVGFSSDKKMGGDDVMACVHDDNGRVRIQHFYNVGQWAKEIQRNPARDEEG
+VFENNRVTCRFKRPVNVPRDETIVDLHLSWYYLFAWGPAIQGSITRHDIDSPPTSERVVS
+IYKYEDIFMPSAAYQTFSSPFCLLLIVALTFYLLMGTP
+>tr|A0A3Q1LFV7|A0A3Q1LFV7_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MAWTVLLLWLLTYDPGQRLGLCILWVSPGETVTLTCGLSSGSVTTSNYPSWLQQTPGSAP
+RTLIYGDTSRASGVPDRFSGSRSGNTATLTISSLQAEDEADYFCASYQSGNTAQCSRPGG
+SETKTCSPQTWPLP
+>tr|F1MC45|F1MC45_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC100336868 PE=4 SV=3
+YRGYFPAHVNQQFVYNCDHYFVPPSQRSWDHLTCTAEGWSPEEPCLRQCIFNYLENGHTP
+HPEEKYLQGETVRVRCYEGYSLQNDQNTMTCTESGWSPPPRCIRVKTCSKSNIRIENGFL
+SKSTFTYPLNKQTEYKCKPGYVTADGKTSGLITCLQNGWSAQPVCIKSCDRPVFEKARVK
+SDGMWFRLNDRLDYECVDGYENRDGRTTGSIVCSQDGWSDKAACYERECSIPEMDPYLNA
+YPRKEIYKVGDVLKFSCSQGRIMVGADSVQCYHFGWSPKLPKCKARKVKSCAPPPQLLNG
+KGKKLHKEEYAHNEVVEYACNPRFLMKGSHKIQCVDGEWTALPVCIEEKRTCGDIPDLDH
+ADIKPSVPPYHHGDSVEFSCREAFTMIGPRFITCISGEWTQPPQCIATDELRKCKWSTLF
+PPEGKPARRIEYDHSTNKSYQCRGKSEHKHSICINGKWDPKVDCKEEAQKQPCPPPPQIP
+NARDMTTTVKYQDGEKISILCKENYLIQDAEEIVCKDGRWQSIPRCTGKKIGCSQPPQID
+HGTINSSSCAEERREIHEQRLYAHGTKLSYACEEGFEISENDVIICHMGKWSSPPQCAGL
+PPYILNGVVSHKKDSYQYGEEVTYKCDEGFGTDGPASVRCLGREWSCPQNCISTNCVNLP
+TFDDAVLIDQEKDFYRSGEQVAFKCLSYYQLDGSNTIQCIKSKWIGRTAYRDVSWVNPPQ
+VENAIIHNQKSRYHSGEKAHYECIGNYDLFGEIHVVCLNGTWTKPPQCKGKCGPPPTIEN
+GDITSLLKSVYPPGVIVEYRCQAYYELRGNRNVVCQNGEWSEPPKCLEACAISEETMKNH
+HIQLRWKHDTKLYSKTEDNIVFMCQHSYRRMTPQHTFRTTCQEGKVMYP
+>tr|A0A3Q1LSW3|A0A3Q1LSW3_BOVIN Spermatogenesis associated 5 OS=Bos taurus OX=9913 GN=SPATA5 PE=4 SV=1
+MSSKKNRKRLNQSAENGSSSASAASFSKGATASAVAPGTLVVINFLEKDDKVPKAFQNSL
+VQLGLNTMKSANICIGRPVLLTSLDGKQEVYTAWPVAGFPGGKVGLSEVAQKNVGVRVGD
+AIHVQPVLGAVLQAEELDVALSDKNADINEEQLAGCILRKLDGKVVLPGNFLYCTFYGRP
+CKLQVLRVKGADGTMLRRAQNDSDTAAQGMASELSSRDPSTLDISLQLSQLDLEVPRRPA
+SSSTPYKPDDRMMNKAGGISSDGTQSPGTGSGRGLEEVTGLECSFESAREGSEQPVNEER
+LLKSPSVGAQSNTDTFYFISSKTRVSFTKNRTNSKDQANQLKVTYDMIGGLNSQLKEIRE
+IIELPLKQPELFKSYGIPPPRGVLLYGPPGTGKTMIARAVANEVGAYVSVINGPEIISKF
+YGETEARLRQIFAEATLRHPSIIFIDELDALCPKREGAQNEVEKRVVASLLTLMDGIGSE
+GSEGQVLVLGATNRPHALDAALRRPGRFDKEIEIGVPNAQDRLDILQKLLRRVPHLLTEA
+ELLQLANSAHGYVGADLKALCNEAGLHALRRVLRRQPNLPDSKMAGLVKITLKDFLQGMN
+DIRPSAMREVAVDVPNVSWSDIGGLENVKLKLKQAVEWPLKHPESFTQMGIQPPKGVLLY
+GPPGCSKTMIAKALANESGLNFLAIKGPELMNKYVGESERAVREIFRKARAVAPSIIFFD
+ELDALAVERGSSSGAGNVADRVLAQLLTEMDGIEQLKNVTILAATNRPDRIDKALMRPGR
+IDRIIYVPLPDAATRREILNLQFHSMPINNEVDLNELILQTDTYSGAENKIPLKYLCNFS
+TCVQHRNLF
+>tr|E1BNT5|E1BNT5_BOVIN Tensin-1 OS=Bos taurus OX=9913 GN=TNS1 PE=4 SV=3
+MCASVSPLHFAGDLFGMRLERVCSFSCHRKCQAKVAAPCIPPSSHELVPVNTETAPKNVV
+DTGEAASRGGNTRKSLEEDSSTRVTPSVQPHPQPIRNMSTSRTTEDSCELDLVYVTERII
+AVSFPSTANEENFRSNLREVAQMLKSKHGGNYLLFNLSERRPDITKLHAKVLEFGWPDLH
+TPALEKICSVCKAMDTWLNADPHNVVVLHNKGNRGRIGVVIAAYMHYSNISASADQALDR
+FAMKRFYEDKIVPIGQPSQRRYVHYFSGLLSGSIKMNNKPLFLHHVIMHGIPNFESKGGC
+RPFLRIYQAMQPVYTSGIYNVQGDSQTSICITIEPGLLLKGDILLKCYHKKFRSPARDVI
+FRVQFHTCAIHDLGVVFGKEDLDDAFKDDRFPEYGKVEFVFSYGPEKIQGMEHLENGPSV
+SVDYNTSDPLIRWDSYDNFNGHRDDGMEEVVGHTQGPLDGSLYAKVKKKDSLHGSTGAVN
+ATRPVLSATPNHVEHTLSVSSDSGNSTASTKTDKTDEPAPGPASAPAALSPEEKRELDRL
+LSGFGLEREKQGAMYHPQHLRSRPVGGPAAPSSGRHIVPAQVHVNGGALASERETDILDD
+ELPNQDGHSVGSMGTLSSLDGVTNTSEGGYPEALSPLTNGLDKPYPMEPMVNGGGYPYES
+ASRAVSAQAGHTAPMRPSYSTQEGLAGYQREGPHPAWPQSATTSHYGHDPNGMFRSQSFP
+ETEPQLPPAPARGGSSREAVQRGLNSWQQQQQQQQQQQQPRPPPRQQERVHLESLGLSRP
+SPQPLAEPPMSGLPEFPRAASQQEIEQSIEALNMLMLDLEPATAGAPLHKSQSVPGAWPG
+ASPLSSQPFSGSSCQSHPLTQSRSGYIPSGHSLGTPEPAPRAPLESVPTGRPYSPYDYQP
+CPTGPNQSYHPKSPATSSSSSFLPTTQSSVGPQQPPASLPGLTTQPQLPPKEVTSDPSRT
+PEEEPLNLEGLVAHRVAGVQAREKQPAEPPAPLRKRAASDGQYENQSPEPTSPRSPGVRS
+PVQCVSPELALTIALNPGGRPKEPHLHSYKEAFEEMEGTSPTSPPPSGVRSPPGLAKTPL
+SALGLKPHNPADILLHPTGEPRSYVESVVRTAVAGPRTQEPEPKSFSAPGAQAYGHETPL
+RIGTLGGSFVSPSPLSTSSPILSADSTSVGSFPSGESSDQGARTPTQPLLDSGFRSGSLG
+QPSPLAQRNYQSSSPLPTAGSSYSSPDYSLQQFSSPEGQARSQFSVAGVHTVPGSPQARH
+RTVGTNTPPSPGFGRRAVNPSLAAPSSPSLSHRQVMGPLGTGFHGNTGSSPQSSAATTPG
+SPSLGRHPGAHQVSNLHGNVVTAPGSPSLGRHPGAHQGTLASNLHSNAVASPGSPSLGRH
+LGGSGSVVPGSPSLDRHVPYGGYSTPEDRRPTLSRQSSASGYQAPSTPSFPVSPAYYPGL
+SSPATSPSPDSAAFRQGSPTPALPEKRRMSMGDRAGSLPNYATVNGKVSSSPVASGMSSP
+SGGSTVSFSHTLPDFSKYSMPDNSPETRAKVKFVQDTSKYWYKPEISREQAIALLKDQEP
+GAFIIRDSHSFRGAYGLAMKVSSPPPTIMQQNKKGDMTHELVRHFLIETGPRGVKLKGCP
+NEPNFGSLSALVYQHSIIPLALPCKLVIPNRDPTDESKDSSGPANSTSDLLKQGAACNVL
+FVNSVDMESLTGPQAISKAISETLAADPTPAATIVHFKVSAQGITLTDNQRKLFFRRHYP
+LNTVTFCDLDPQERKWTKTEGGAPAKLFGFVARKQGSATDNACHLFAELDPNQPASAIVS
+FVSKVMLSAGQKR
+>tr|G3MZZ5|G3MZZ5_BOVIN Pleckstrin homology and RhoGEF domain containing G3 OS=Bos taurus OX=9913 GN=PLEKHG3 PE=4 SV=2
+MPVSASLHQNGSQERPASLTSTTSSSGSSRDSRGAMEEPSGSEALAENGEGSPCGQHLPN
+SNNNSSGWRNMRGSLSPFSKRTRPVAPGDKFGYLGRVVREIVETERTYVRDLRSIVESQE
+FDIYTQYCNNYPNSVAALTECMQDKQQAKFFRDQQDLLQHSLPLGSYLLKPVQRILKYHL
+LLQEIAKHFDEEEDGFEVVEDAIDTMTCVAWYINDMKRRHEHAVRLQEIQSLLLNWTGPD
+LTTYGELVLEGTFRVHRVRSEKTFFLFDKALLVTKKRGGHFVYKSHIPCSSLMLIESTRE
+SLCFTVTHYKHGKQQYNIQAKTVEEKRRWTHHIKRLILDNHHTTIPQKAKEAILEMDSYY
+PNRYRHSPERLKKGSQDDVSAHVHQGRRQSEPTRRLFRQLSEKARATRVKGKERRESEEP
+KSCGRTRSLSPTPEEKCLNFESVTSLPEVEPDTESGTEQEVFASVEGPSAEEIPSDTEAP
+EVLEMQLDPHQLLLGLEPPDDMAEFMVAESTEDPKALSSEDDEEEVGASQEPESLLPPSV
+LDQASVIAELFVSSVSRRSSLALEDGKSSGFGTPRLTSRSSSMVSLDDGEKGPAPRGGTT
+DSLGSQLLPEVDLSIGMAAESEPSVNGTEAPGPGCPAEPDRSSCPKESKLSSQDRQLLDR
+IKRYYENAEHQDAGFSVRRRESLSFIPKGLVRNSVSRINSLRKPDPEPQAPLGRKRQVGS
+RVALFDCPGPGQAGAGDPAPITDAEFRPSSEMVKIWEGMEAPKGSPPKGPGQGQANGFDL
+HEPLFILEEHELAAITEESAAASPDSASPTEPRSPTHLARELKELVRELSSDAQGKLVTP
+LHPHILQLSHVMDSHVSERVKNKVYQLARQYSLRIKSRSVTARPPLHWEKVASTVPMVQE
+EVGAPSGGTGVSKLGPPLSNHKQSAVQERRLPRPGSSREMSPQRVSFSSSATSPRTTPPG
+AWHGPRSPFDTETFNWPDVRELCSKYASLDEVLQTKGGWPSKVPVNRSRSLPENMREPQL
+APSGRLGRCGSLKARRAPVSPEAAQPQPLGESPPSEPDRGEALHVTADLMLEDNRRMIVM
+EKGPLPGPAAGLEAASGQGLGSAAAQMGKDQELQESAEYRPKEEGPRDPADRSQQGRVRD
+LREKFQALNSTG
+>tr|E1BAZ5|E1BAZ5_BOVIN Major facilitator superfamily domain containing 9 OS=Bos taurus OX=9913 GN=MFSD9 PE=4 SV=2
+MRMEPGDQTSGMAPDRGLVSATRRPRQEPGTGTQEGAPGPGAVRARRFLLCLYLVGFLDL
+FGVSMVVPLLSVHVKSLGASPTVAGIVGSSYGILQLFSSTLVGCWSDVVGRRSSLLVCIL
+CSALGYLLLGASTNVFLFTLARVPAGIFKHTLSISRALLADLVTEEERPVVLGQFNTASS
+MGFILGPVVGGYLAELDGGFYLTAFVCSSVFVLNAGLVWLFPWSEVKLSGPEDDLPRGRN
+QALWEKTDSAVQRAASAHGTPASPQGTPVSKGPARLLWAEVLATLWGLRSLVLSALWVVF
+LVRLLMAVAVMLYYSNFVLALEERFSMGPRAAGYVTSCGSALGVLAGFALGPLLRLYGHQ
+SYRLLLHSSVLTSMLLLLFASARSLDVAVACSVLLSFSTAIGRTCITDLQLTVGGVRAGG
+SLLGMGQSVTAVGRVLAPILSGVAQEVSPCGPPGLGAALGFVAVVIMSRNRPHSGGQGGD
+QLKSE
+>tr|F1MY82|F1MY82_BOVIN Protein kinase C eta type OS=Bos taurus OX=9913 GN=PRKCH PE=3 SV=1
+MSSGTMKFNGYLRVRIGEAVGLQPTRWSLRHSLFKKGYQLLDPYLTVSVDQVRVGQTSTK
+QKTNKPTYNEEFCANVTDGGHLELAVFHETPLGYDHFVANCTLQFQELLRTAGASDTFEG
+WVDLEPEGKVFVVITLTGSFTEATLQRDRIFKHFTRKRQRAMRRRVHQINGHKFMATYLR
+QPTYCSHCREFIWGVFGKQGYQCQVCTCVVHKRCHHLIVTACTCQNNINKVDSKTAEQRF
+GINIPHKFSVHNYKVPTFCDHCGSLLWGIMRQGLQCKICKMNVHIRCQANVAPNCGVNAV
+ELAKTLAGMGLQPGNISPTSKLVSRSTLRRQGKESTKEGNGIGVNSSNRLGIDNFEFIRV
+LGKGSFGKVMLARIKETGDLYAVKVLKKDVILQDDDVECTMTEKRILSLARSHPFLTQLF
+CCFQTPDRLFFVMEFVNGGDLMFHIQKSRRFDEARARFYAAEIISALMFLHDKGIIYRDL
+KLDNVLLDHEGHCKLADFGMCKEGICNGVTTATFCGTPDYIAPEILQEMLYGPAVDWWAM
+GVLLYEMLCGHAPFEAENEDDLFEAILNDEVVYPTWLHEDATGILKSFMTKNPTMRLGSP
+SQGGEHAILRHPFFKEIDWAQLNHRQVEPPFRPRIKSREDVSNFDPDFIKEEPVLTPIDE
+GHLPMINQDEFRNFSFVSPELHP
+>tr|A0A3Q1MGD7|A0A3Q1MGD7_BOVIN Ectopic P-granules autophagy protein 5 homolog OS=Bos taurus OX=9913 GN=EPG5 PE=4 SV=1
+MAEAVRPPRRAKAKGSRTKTKEKKKYETLQREESDEISLAQTSRELGILTPACEFKGDSP
+KELIDSQLQNDASGQNESEMFDVPLTSLTLSNEETLTCNTESLNMGQEIRACAGDDAVEL
+KVDPEGSVRTTVETPKNFTEMEKDTLLQCRTSESIQQSNVSYIQQEVENLQVRETQNRKE
+DKEALGLSSEVLQNVGLQSSCEAKDIFQPPRVKKLYPQLPTEIAGDVPALVAVKSLLRNE
+RLYPELPSQPEVVPFTKEQLKIFEPGSWLENVESYLEEFDSMAHQDRHEFYELLLNYSRC
+RKQLLLAEAELLALTSDCQNAKSRLWHFKEEQLSVQGICADQVKVSGHHRYQRVEMNENA
+LAELKKLFDAKSEHLHQTLALHSYTSVLSRLQVESYIYSLLNSSAVLRSLAIYQEGQASK
+QAESVPSDLCQLKECISVLFTFTRRVHEDAQFHDDVLLWLQKLVSVLQRAGCPGDHLFLL
+NHILRCPAGVSKWAVPFIQIKVLNNPSGVFHFMQSLALLMSPVKNRAEFLCHMKPSERNP
+SSSGPASGTWTLVDEGGEEDEDPETSWILLNEDDLVILLSQFPFHELFQHLLGFKAKGDY
+LPETTRPQEMMKIFAFANSLVELLAVGLETFNRARYRQFVKRIGYMIRMTLGYVSDHWAQ
+YVSHNQGSGLALQPYSMEKLQVEFDELFLRAVLHVLKAKRLGIWLFMSEMPFGTLSVQML
+WKLFYLMHRVESGNLDRLSTALLPAECKKHLQDPEHFVNFEKCLSSMNSSEEICLLTTFA
+QMAQARRTNVDEDFIKIIVLEIYEVSYVTLSTRETFSKVGRELLGAIAAVHPEIISVLLD
+RVQDTIDQVGMVSLYLFKELPLYLWRPSASEIAVIRDWLLNYNLTSVKNKLACVILEGLN
+WGFGEQVSLHLDQAVHAEIALMILEAYQKYLAQKPYAGLLSESMKQVSYLASIVRYGETP
+ETSFNQWAWNLILRLKLHKNDYGIQQNCAAVPFSSTVPEMTESPTFHPLLKAVKAGMPIG
+CYLALAMTAVGHSIEKFCAEGIPLLGILVQSRHLRTVVHVLDKILPLFYPCQYYLLKNEQ
+FLSHLLLFLHLDSGVPQGVTQQVTHKVAQHLTGASHGDNVKLLNSMIQAHISVSTQPNEV
+GPVAVLEFWVQALISQHLWYREQPILFLMDHLCKTAFQLRQEDCVQKLLYQQHKNALGYH
+CDRSLLSSLVSWILAGNITPSFVEGLATPTQVWFAWTVLNMESIFEEDSQLRRVVEGELV
+INSAFTPDQALKKAQAQLKLPIVPSLQRLLIYRWAQQALLTPSDHPLLPLIWQKFFLLYL
+HRPGPQYGLPIDGCIGRRFFQSPAHISLLKEMKRRLTEVADFHHAASKALRVPAEGSEGP
+PESRAGALAYLTSPELHTELVRLFNVYILWLEDENFQKGDTYIPSLPKHYDIHRLAKVMQ
+NQQDLWMEYLNMERVHYEFQETVGLWTQAKLESHSAPCSSSVQLDFTDPLLAKERVLSNL
+RKHEDPRPPLVLHLMRPPVPVISSAMLLSQKDATELVRTDLNLLQQQARTAALRESQQVA
+LDSELLDTMPKQYVNREEQTTLHLECRGSSGKKCQGAAVVTVQFEGMHKNEAISQQLHVL
+RKEVKQLQAEAAKPPSLNIVEAAVHAENLITALVNAYQSQPTPGVQKVGISLFFTVVDYV
+SDETQRHPPTRQFFTSCVEILGQVFISGTKSECRKVLETILKNRRLCSLLSPFFTPSAAP
+AEFIQLYEKVVKFLREDNSDMIFMLLTKFDLKQWLNSTKPPLSDRTRLLESIHLALTAWG
+LEPDEDILMPFNLFCKHWTYLLLYQFPDQYSDILRLLMQSSAEQLLSPECWKATLRALGC
+CTPGCQQGAASVESTVLQSSPDVLLSDKQVMETIQWLSNFFYKLRLSTLDFKSFGLFSKW
+SPYMADMKTFLGYLVKRLLDSEMACLAQDPSASSKTVLRSLHSVIIQLFKPWILVLEDNE
+SSQRHYPWLESDAVVASSIVQVFTDCIDSLHGCFKDKLLPGDEGALRLHLMHYCEACTAP
+KMPEFILYAFHSAYQKLPWRDLHPDQMLMEAFFKVERGSPKSCFLFLGSVLCEVNWVSVL
+SDAWSPSPRPETRGMVVCLLFMMILLGKEDQLVDQTDSPLLTLLGQTSSLSWHLVDIVSY
+QSVLGYFSSHYQPSVILAKESSAELIVKLLKVSAGLSVPTDSQKHLDAVPKCQAFTHQMV
+QFLSSLEQNGKITLAVLEQEMSKLLDDIIVFNPPDLDSQTRHMALSSLFMEVLMMMNSAT
+VPTAEFLRGSIRTWIGQKVHGLVVLPLLTAACQSLASVRHMAETTEACITAYFKEDSGNS
+>tr|E1BPG5|E1BPG5_BOVIN G_PROTEIN_RECEP_F1_2 domain-containing protein OS=Bos taurus OX=9913 GN=LOC785144 PE=3 SV=2
+MPPLNTSHPSPVTFSLMGIPGLEHLHVWIGIPFCSMYVVAVVGNVTILAVVRTERSLHKP
+MFLFLCMLSVTDLVLSTSTLPRMLCLFWLGAHDIAFDACLTQMFFIHSFTAMESGFFLSM
+AIDRYVAICHPLRHTTILTHTRIAKMGASVVLRGVAFFSPHPVLLRQLPYCRTRIIAHTY
+CEFMAVVKLACVDTGPTKRYSLSMAFVIGSCDGLFIVVSYVLILRAVFHLPSREASLKAL
+GTCVSHVCVILVFYSTAVFTFLTHRFGHSVAPHIHIFIANIYLLVPPFLNPIVYGIRTKK
+IRDHVLSSLRVKVA
+>tr|A0A3Q1MGV7|A0A3Q1MGV7_BOVIN DnaJ homolog subfamily B member 12 OS=Bos taurus OX=9913 GN=DNAJB12 PE=4 SV=1
+MESNKDEAERCISIALKAIQSNQPDRALRFLEKAQRLYPTPRVHALIESLNQKPQPAGDQ
+PQPTEATHTTHRKAAGANTASANGEAGGESTKGYTAEQVAAVKRVKQCKDYYEILGVSRG
+ASDEDLKKAYRKLALKFHPDKNHAPGATEAFKAIGTAYAVLSNPEKRKQYDQFGDDKGQA
+ARHGHGHGDFHRGFEADISPEDLFNMFFGGGFPSSNVHVYSNGRMRYTYHQRQDRRENQG
+DGGLGVFVQLMPILILILVSALSQLMVSSPPYSLSLRPSVGHVHKRVTDHLNVVYYVADT
+FSQEYTGSSLKMVERNVEDDYIANLRNNCWKEKQQKEGLLYRARYFGDADMYNKAQKMGT
+PSCNRLSEVQASLHG
+>tr|A0A3Q1LYW3|A0A3Q1LYW3_BOVIN Amyloid beta precursor protein binding family A member 2 OS=Bos taurus OX=9913 GN=APBA2 PE=4 SV=1
+MNGQRNILIICFRVISDHLIRIRISSLSKKDFITRRVFRTLPNCLFLWLPPGDDGCMSPT
+MAHRKRQSVASSLLDHRARPGPEPESEDAELALEEYVPTDLELAALRPESPVPAEQGGPD
+QSPDGDSSSDYVNNTSEEEDYDEGLPEEEEGITYYIRYCPEDDSYLQGTDCDGQGYLAHD
+TRHLETDECQEAVEEWTEPTGPHAHGHSDPGSPGYRDGHLSVPEDEASVLDSRDQEEDVH
+YCPSEEAYQDYYPAEANGNAGSTSPYRPRRRDGDPEDQEEDIDQIVAEIKMSLSMSSITS
+GGEASPEHAPRGPEPGPGDSPEACPLAEASRGPNRHEGRPKSLNLPPEAKHPADPQRSFK
+TKTRTPEERPKWPQEEVCNGLEQPRKQQRSDLNGPVDNNNIPETKKVASFPSFVAVPGPC
+EPEDLIDGIIFAANYLGSTQLLSERNPSKNIRMMQAQEAVSRVKNSEGDAQTLTEVDLFI
+STQRIKVLNADTQETMMDHALRTISYIADIGNIVVLMARRRMPRSASQDCIETTPGAQEG
+KKQYKMICHVFESEDAQLIAQSIGQAFSVAYQEFLRANGINPEDLSQKEYSDIINTQEMY
+NDDLIHFSNSENCKELQLEKHKGEILGVVVVESGWGSILPTVILANMMNGGPAARSGKLS
+IGDQIMSINGTSLVGLPLATCQGIIKGLKNQTQVKLNIVSCPPVTTVLIKRPDLKYQLGF
+SVQNGIICSLMRGGIAERGGVRVGHRIIEINGQSVVATAHEKIVQALSNSVGEIHMKTMP
+AAMFRLLTGQETPLYI
+>tr|E1BK57|E1BK57_BOVIN T-box 3 OS=Bos taurus OX=9913 GN=TBX3 PE=4 SV=3
+MSLSMRDPVIPGTSMAYHPFLPHRAPDFAMSAVLGHQPPFFPALTLPPNGAAALSLPGAL
+AKPIMDQLVGAAETGIPFSSLGPQTHLRPLKTMEPEEEVEDDPKVHLEAKELWDQFHKRG
+TEMVITKSGRRMFPPFKVRCSGLDKKAKYILLMDIIAADDCRYKFHNSRWMVAGKADPEM
+PKRMYIHPDSPATGEQWMSKVVTFHKLKLTNNISDKHGFTILNSMHKYQPRFHIVRANDI
+LKLPYSTFRTYLFPETEFIAVTAYQNDKITQLKIDNNPFAKGFRDTGNGRREKRKQLTLQ
+SMRVFDDRHKKENGTSDESSSEQAAFNCFAQSSSPAVSTVGTSNLKDLCPSEGESDAEAD
+SKEEHGPEACDTAKISTTTSEDPCRDKGSPAVKAHLFAAEPGGRARDSGRLDKASPDSRH
+SPATISSSTRGLGAEERRSPGRDSAATSKAAEEARVLPGKEAFAPLTVQTDPAAAHLGQG
+PLPGLGFAPGLAGQQFFNGHPLFLHPGQFAMGGAFSSMAAGMGPLLATVSGASTGVSGLD
+STAMASAAAAQGLSGASATLPFHLQQHVLASQGLAMSPFGSLFPYPYTYMAAAAAASSAA
+ASSSVHRHPFLNLNTMRPRLRYSPYSIPLPVPDSSGLLTTALPSMAAAAATAGPLDGKAA
+ALAASPASVAVDSGSELNSRSSTLSSSSVSLSPKLCPEKEAATSELQNIQRLVSGLEAKP
+DRSRSASP
+>tr|E1BKZ8|E1BKZ8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=SIGLEC8 PE=4 SV=3
+MLLLPLLVALLWRREGAEGQMGPGENYKLQVPELVTVEEGLCVHVPCSFSYPWDVWAIFT
+STLGYWFREGAATSKDAPVATNNPDREVQEETQGRFHLLGDTRAYNCSLEIRDARRRDNG
+SYFFRMERGSVKNNYMSNQLSLHVTALTHTPAILISGTLVSGRPGNLTCSVPWACKRGTP
+PIFSWKGATVSSQVVTTALSSVLTLTPRPQDHGTKLTCQVTLPGAEVTTATVVHLNVSYP
+PQNLTVTVFQGNSTASKAQENGSSLSVSEGQSLRLVCVVDSNPPARISWARGSLTLSASP
+PSHPEMLELPRVLTGDEGEFTCRAQHALGSQHVSLRLSLQRRSARPAEAVLVAIWEVAVK
+TLLLLLFLLGLLVRCCTRTSARPAGGVSEAKETPPLTPQHSSS
+>tr|A0A3Q1LXD0|A0A3Q1LXD0_BOVIN DEAD-box helicase 49 OS=Bos taurus OX=9913 GN=DDX49 PE=3 SV=1
+MAGFAELGLSSWLVEQCRQMGLKQPTPVQLGCIPAILEGRDCLGCAKTGSGKTAAFVLPI
+LQKLSEDPYGIFCLVLTPTRELAYQIAEQFRVLGKPLGLKDCIIVGGMDMVAQALELSRK
+PHVVIATPGRLADHLRSSNTFSIKKIRFLVMDEADRLLEQGCTDFTVDLEVILAAVPARR
+QTLLFSATLTDTLRELQGLATNQPFFWEAQAPVRTVEQLDQRYLLVPEKVKDAYLVHLIQ
+NFQDEHEDWSIIIFTNTCKTCQILCMMLRKFNFPTVALHSMMKQKERFAALAKFKSSIYR
+ILIATDVASRGLDIPTVQVVINHNTPGLPKIYIHRVGRTARAGRQGQAITLVTQYDIHLV
+HAIEEQIKKKLEEFPVEEAQVLQILTQVNVVRRECEIKLEAANFDEKKEINKRKQMILEG
+KDPDLEAKRKAELAKIKQKNRRFKEKVEQTLQRQKASRTDRRGRPPRARPEASLSLAPAQ
+GPA
+>tr|F1MNI7|F1MNI7_BOVIN MLLT3, super elongation complex subunit OS=Bos taurus OX=9913 GN=MLLT3 PE=4 SV=2
+MASSCAVQVKLELGHRAQVRKKPTVEGFTHDWMVFVRGPEHSNIQHFVEKVVFHLHESFP
+RPKRVCKDPPYKVEESGYAGFILPIEVYFKNKEEPKKVRFDYDLFLHLEGHPPVNHLRCE
+KLTFNNPTEEFRRKLLKAGGDPNRSIHTSSSSSSSSCSSSSSSSSSSSSSSSSSSSSSSS
+SSSSSSSSSSTSFSKPHKLMKEHKEKPSKDSREHKSAFKEPSRDHNKSSKESSKKPKENK
+PLKEEKIVPKMAFKEPKPMSKEPKPDSNLLTITSGQQDKKAPSKRPPISDSEELSAKKRK
+KSSSEALFKSFSSAPPLILTCSADKKQIKDKSHVKMGKVKIESETSEKKKSTLPPFDDIV
+DPNDSDVEENMSSKSDSEQPSPASSSSSSSFTPSQTRQQGPLRSIMKDLHSDDNEEESDE
+AEDNDNDSEMERPVNRGGSRSRRVSLSDGSDSESSSASSPLHHEPPPPLLKTNNNQILEV
+KSPIKQSKSDKQIKNGECDKAYLDELVELHRRLMTLRERHILQQIVNLIEETGHFHITNT
+TFDFDLCSLDKTTVRKLQSYLETSGTS
+>tr|E1BAV6|E1BAV6_BOVIN Caudal type homeobox 4 OS=Bos taurus OX=9913 GN=CDX4 PE=4 SV=3
+MYRSCLLEKEADMYSSTLRSPAGGGTAGAGATGDGGSPLPASNFAAAPSYAHYMGYPHMP
+GMDPHGPPLGAWGSPYSPPREDWSVYPGPSSTMGTVPMNDMSSSPAAFSSLEYSNLGPAG
+GGNSGSSLPTPAGGSLFPIDAGIADADESSSRSRHSPYAWMRKTVQVTGKTRTKEKYRVV
+YTDHQRLELEKEFHCNRYITIRRKSELAVNLGLSERQVKIWFQNRRAKERKMIKKKISQF
+ENSGGSVQSDSGSISPGELPNIFFTTPSAVIVSE
+>tr|E1B8G6|E1B8G6_BOVIN Podocalyxin like 2 OS=Bos taurus OX=9913 GN=PODXL2 PE=4 SV=3
+AERPLPCAPGRRIPPPSPVCAGASLGVRAAGSEEPGSEGLPSTSLLDLLLPTGLEPLDSE
+EPSEAVGLGAGLGAPGSGFPSEESEESRILQPPQYFWEEEDALNESSLGLGPTADYAFPD
+LTEKADPTDNTGQSQETPSLASPLPGVDLVGPPWHMAPGEEEEQLLPVTGPQEGARRQAL
+GFLPVGSSRALEPPEHRPEESGDQAASGGEAGSSMEPSLSLPGVSLSPVTLRGQDMAGRG
+PGPTVLPAAGRSSVSEAPPEPSVEAAAAAAGLAGWPGAGPSPASFPQTVAPGGAEGPGRD
+ALQPGGSASLPLAPGGTELTPSSVTLGRDDLRQEPQEGPARAAPSRRPWDSTQVICKDWS
+NLAGKNYVILNMTENVECEVFRRHRGLQLLALVEGVLPRHGRGRHGAWHISLSKPSEKEQ
+HLLMALVGEQGVVPTQDVLSMLGDIRRSLEEIGIQNYSTTSSCQARASQVRSDYGTLFVV
+LVVIGALCVVIIVLGLLYNCWQRRLPKLKHVSHGEELRFVENGCHDNPTLDVASDSQSEM
+QEKQPSLNGGGAVNGPGGWSALMGGKRDPEDSDVFEEDTHL
+>tr|F1MY79|F1MY79_BOVIN Semaphorin-4A OS=Bos taurus OX=9913 GN=SEMA4A PE=3 SV=2
+MGNNWTSIRRGEWGRVRPVRMMEVRLSEGTLERKLARSPASPPPPPAIHTSAAWGSLIGS
+QGGCGKDCSPREYPVPVSPETSSDSPWLSMALPALGLDSWSFLGLFLFQLLLLFLPPATT
+AGREGQGPTPRVKYHAGDGRRALSFFHQKGLQDFDTLLLSDDGGTLYVGAREAILALNIE
+DPGVPRLKNMTQCFNFIRVLVSFNSTHLYACGTFAFSPACTFIELQDSRLLPISEDRVVE
+GKGQSPFDPTHKHTAVMADGMLYSGTMNNFLGSEPILLRTLGSQPVLKTDNFLRWLQPDA
+SFVAAIPSTQVVYFFFEETASEFEFFEKLRISRVAQVCKNDVGGEKLLQKKWTTFLKAQL
+LCTQPEQLPFNVIRHAVLLADNSSTDPQVYAVFTSQWHVGGTRSSAVCAFSLKDIKSVFE
+GKYKELDKETSRWTTYEYPDISPRPGSCSKGPSSDKALTFMKDHFLMDEPVVGTPLLVKS
+GVEYTWLAVETAQGIDGQSHLVMYLGTSTGSLHKAVVSGDHSAYLVEEIQLFPDPEPVRN
+LQLAPTQGAVFVGFSGGIWKVPRANCSVYESCMDCVLARDPHCAWDPESQTCRLLPTPIL
+KSWKQDMQQGNPEWACASGPMGRSLGPRSRPQIIKEVLAVPNSILELPCPQSSALASYHW
+SHGVEAIPEAPSTVYNGSLLLLLRDGAGGLYQCWATENDFSYPVVSYWVHSQDQPLALDP
+KLAGIPRERMEAPLTRVGGGAALAAPKSYWPHFLTVTVLLALVLSGALVTFLVSPLGALR
+ARGKVQGCGTLPSREKAPLSSEQCLQPSKEGRTSASDMDADNNLQGTEVA
+>tr|A0A3Q1LIH9|A0A3Q1LIH9_BOVIN Glutamate-rich protein 5 OS=Bos taurus OX=9913 GN=ERICH5 PE=4 SV=1
+MGCSSSALNKAGDDNRLRSATEESESCFVQPKPRALGRESTLCGKVQKESLPPLDKLKIS
+AVSTANGVQSLPEQPLAKEAADPPGATEETQPLQGLKGSEPPQPGGKDGAPGAEGKEEDV
+EAVTEAPPLKGSAETEPLGAEAENQPLITAGERDSTGAVEGTEDPQAAGEMTPLGTAERV
+PLEAAREPGSQEAGGKGEQSQLPETVPKETESPEILEGSQPVETAEPPQLQETVGENEQS
+QPLETVPKENASLEVSDGSQSVGAEGKKQLQETLGENEQSQLRETILGEHGGPEVSDGSQ
+SVGAEEEKRLQEMLGKDEQPQLRETIPREHGGPEMSDASQSVETAVKADSLHKAPEGPGN
+MEKIQPERTVESMEHPAGILETGAKVEMARKIHTNEEDQHIEGETGETVETEMESEKVSE
+GAETKEEETGEAMDLSAATQIVLVTSSKS
+>tr|F1MQC4|F1MQC4_BOVIN Spermatogenesis-associated protein 22 OS=Bos taurus OX=9913 GN=SPATA22 PE=4 SV=1
+MKRNLNENSTRSTAGCLPVPLFNQKKRNRQPLTSNPCTNDPGISNASDNYDFPPLPSDWA
+WEAVNPELPPLTKTVNTGQIPHSVSNSLRSQDSLSKPIQSNAGSSKSDWSYRDGNKNTSL
+KTWDKNDFKPQCKRRNLMTNNGINSGPINLGAQQQKQLRVSESTNLPNQRESEALRQTKS
+SEIPGSTMRSLDKNSTLQAFKPNFQQNQFKKKMLDGFQEVNTLKKEASSYQLKLREKDNS
+LRIISAVIESMKYWCEHVQKTVLLFEILAVLDSAVRPGPYCSKTFLMRDGKHTLPCVFYE
+IDRELPRLIRGRVHRCVGNYDQKKNIFKCVSVRPASASEQKTFQAFVKIVDAEMRYYTSV
+MNEV
+>tr|E1BBD1|E1BBD1_BOVIN Chromosome 23 C6orf15 homolog OS=Bos taurus OX=9913 GN=C23H6orf15 PE=4 SV=1
+MQGCVVGKRAPLGLLLVCLHLPGLLARSIGVMEEKVPRDLGISLPLLGPSPLTGPSNAEH
+PQPKPAPGPNDLARAALKPNPSPPHGSQPVGGPGVQGWPRSGGLLSMDSWPSEDPWPMLA
+AAVEDHVGEVLPGELSYLSRVAALPVGSRPWPAGPSAHPGDPSPESSLFHRDSESRRPFR
+PNVLGSLGDILARSPLWALINRIRRPLLPGHPWGTLNPSTSWGGGGPGSGWGTRPMPYPV
+GIWGNTHQYPSTSWGNIPLYPGINQFPPRILRPPGSSWSIPAGFPSPQNPGSQWS
+>tr|A0A3Q1N259|A0A3Q1N259_BOVIN Trinucleotide repeat containing 6B OS=Bos taurus OX=9913 GN=TNRC6B PE=4 SV=1
+MREKEHEREEQLMEDKKRKKEDKKKKEATQKVTEQKTKVPEVTKPSLSQPAAASPIGSSP
+SPPVNGGNNAKRVAVPNGQPPSAARYMPREVPPRFRCQQDHKVLLKRGQPPPPSCMLLGG
+GAGPPPSTAPGANPNNAQVTGALLQSEGGTAPESTLGGAAASNYANSTWGPGASSNSGAS
+PNPIHIWDKVIVDGSDMEEWPCIASKDTESSSENTTDNNSASNPGSEKSTLPGSTTSNKG
+KGSQCQSAGSGNECSLGVWKSDPKARSVQSSNSTTESNSGLGNWRNVSGQERVGPGSGFS
+NFNPNSNPSAWPALVQEGNSRKGALETETSNSSAQVSTVGQASREQQSKMENAGVNFVVS
+GREQAQIHNTDGPKNGNTNSLNSSSPNPMENKGMPFGMGLGNASRSTDAPSQSTGDRKTG
+SVGSWGAARGPSGTDTASGQSNSGNNGNNGKEREDSWKGAPVQKSTGSKSDSWDNNNRST
+GGSWNFGPQDSNDNKWGEGNKMTSGVSQGEWKQPTGSDEFKIGEWSGPNQPNSSTGAWDN
+QKGHPLPENQGNAQAPCWGRSSSSTGSEVGGQSTGSNHKAGSSDSHNSGRRSYRPTHPDC
+QAVLQTLLSRTDLDPRVLSNTGWGQTQIKQDTVWDVEEVPRPEGKSDKGTEGWESAATQT
+KSSGGWGDAPSQSNQMKSGWGELSASTEWKDPKNTGGWNDYKNNNSSNWGGGRPDEKTSS
+SWNENSSKDQGWGGGRQPNQGWTSGKNGWGEEVDQAKTSNWENAASKPVSGWGEGGQSEI
+GTWGSGGNASLASKGGWEDCKRSPAWTETGRQPSSWNKQHQQQPPPQQLPPPQPEASGSW
+GGPAPPPPGNGRPSNSNWSSGPQPVTPKDEEPSGWEEPSPQSISRKMDIDDGTSAWGDPN
+SYNYKNVNLWDKNSQGGPAPREANLPTPMTGKSASDSKSMQDGWGENDGPVAGTRHPSWE
+EEDDGGVWNTAGSQGSASSHNSASWGQGGKKQMKCSLKGGNSDSWMNPLAKQFSNMGLLS
+QTEDNPSSKMDLSVGSLPDKKFDVDKRAMNLGDFNDIMRKDRSGFRPPNSKDMGTTDSGP
+YFEKGGNHGLFGNSTAQSRGVHTPVQPLNSSPNLRAQVPPQFISPQVSASMLKQFPNSGL
+NPGLFNVGPQLSPQQIAMLSQLPQIPQFQLACQLLLQQQQQQQLLQNQRKLSQAVRQQQE
+QQLARMVSALQQQQQQQQQQQQRQPSMKHSPSHPVGPKPHLDNMVPNALNVGLPDLQTKG
+PIPGYGSGFSSGGMDYGMVGGKEAGTESRFKQWTSMMEVPSVATQEANMHKNGAIVAPGK
+TRGGSPYNQFDIIPGDTLGGHTGPAGDSWLPAKSPPTNKIGSKSSNASWPPEFQPGVPWK
+GIQNIDPESDPYVTPGSVLGGTATSPIVDTDHQLLRDNTTGSNSSLNTSLPSPGAWPYSA
+SDNSFTNVHSTSAKFPDYKSTWSPDPIGHNPTHLSNKMWKNHISSRNTTALPRPPPGLTN
+PKPSSPWSSTAPRSVRGWGTQDSRLASASTWSDGGSVRPSYWLVLHNLTPQIDGSTLRTI
+CMQHGPLLTFHLNLTQGTALIRYSTKQEAAKAQTALHMCVLGNTTILAEFATDDEVSRFL
+AQAQPPTPAATPSAPAAGWQSLETGQTQSEPVGPALNLFGGSTGLGQWSSSAGGSSGADL
+AGASLWGPPNYSSSLWGVPTVEDPHRMGSPAPLLPGDLLGGGSDSI
+>tr|F1MNT7|F1MNT7_BOVIN Solute carrier family 35 member F3 OS=Bos taurus OX=9913 GN=SLC35F3 PE=4 SV=3
+MGIREFPGSAPRGKGIAIGMRRSPDVSPRRLSDISPQLRQLKYLVVDEAIKEDLKWSRSV
+EDLTSGPVGLTSIEERILRITGYYGYQPWATSYKREERPRDSPGPAEAQVPAGTEAGGRP
+SRHCWRCSRAQLKKIFWGVAVVLCVCASWAGATQLAKLTFRKFDAPFTLTWFATNWNFLF
+FPLYYAGHICKSAEKQSVKQRYRECCRFFGDNGLTLNVFFTKAAPFGVLWTLTNYLYLHA
+IKKINTTDVSVLFCCNKAFVFLLSWIVLRDRFMGVRIVAAILAIAGIVMMTYADGFHSHS
+VIGIALVVGSASMSALYKVLFKLLLGSAKFGEAALFLSILGVFNILFITCIPVILYFTKV
+EYWSSFDDIPWGNLCGFSVLLLTFNIVLNFGIAVTYPTLMSLGIVLSVPVNAVVDHYTSK
+IVFNGVRVIAIIIIGLGFLLLLLPEEWDVWLIKLLTRLKVRKKEEAAEGGADLGLGLQSK
+SRRARPSFAR
+>tr|A0A3Q1M5P5|A0A3Q1M5P5_BOVIN Interleukin 20 receptor subunit alpha OS=Bos taurus OX=9913 GN=IL20RA PE=4 SV=1
+MCAPGPSARAAARGAPAPGLPALLFLLLVAAPTGRAVPCVSGDLPKPTNVAFISINMKNI
+LQWNPPEGLQGMEVSYTVQYFIYGQKKWLNKSECRNISRTYCDLSAETSDYEHQYYAKVK
+AMWGTNCSKWAETGRFYPFLETQIGPPEVALTTDEKSISIVLTAPKKWKKNPEESSISMQ
+QIYSNLKYNVSIYNTKSNRTWSQCVTNHTLVFSWLEPGTLYCVLVESFVPGPPRLTHPSE
+RQCVSTLEDQTTALEVKIILWYVLPISVTVFIFSVMGYSMYRYIHVGKEKHPANLVLIYG
+NEFDKRFFVPTEKIVINFITLNILEDSKTSSKDISVMEKSSEASDLNEPIEDQEPHWEDV
+GAEHLGYVSHAVDIVCDFEKSSKGTSLTQQEPPSRTTPMDKTVIEYEYDVRSSDISVGPR
+GQEFNLQEEVSLQGKIFEQQAPLADLGPQTLLCSYTPQLRDLDHLRQGHVDTEEGPEEEP
+STTLVDWDPQTGKLCIPSLPSFQHDPEGCGHPESEGLGEEGLLSRLYQDQAPDKAPEENE
+AYLMQFMEEWGLYVQMED
+>tr|A0A3Q1N1S7|A0A3Q1N1S7_BOVIN Collectin-12 OS=Bos taurus OX=9913 GN=COLEC12 PE=4 SV=1
+LETWLGRTGIQEGTQCTKCKNNWALKLSIILLYILCALLTITVAILGYKVVEKMDNVTGG
+METSRQTYDDKLTAVESDLKKLGDQTGKKALSTNSELSTFRSDILDLRQQLREITEKTSK
+NKDTLEKLQASGDALVDRQSQLKETLENNSFLITTVNKTLQAYNGYVTNLQQDTSVLQGN
+LQSQMYSHNVVIMNLNNLNLTQVQQRNLITNLQRSVDDTSQAIQRIKNDFQNLQQVFLQA
+KKDTDWLKEKVQSLQTLAANNSALAKANNDTLEDMNSQLSSFTGQMDNITTASQANEQNL
+KDLQDVHRDAENRTAAKFSQLEERFQLFESDIVNIISNISYTAHYLRTLTSNLNEVRTTC
+TDTLTKHTDDLTSLNNTLANIRLDSVSLRMQQDLMRSRLDTEVANLSVIMEEMKLVDSKH
+GQLIKNFTILQGPPGPRGPKGDRGQQGPPGPTGNKGQKGEKGEPGPPGPAGERGPIGPVG
+PPGERGSKGSKGLQGSKGSRGSPGKPGPQGPSGDPGPPGPPGKDGLPGPQGPPGFQGLQG
+TVGEPGVPGPRGLPGLPGMPGMPGIKGPPGPPGPSGAAVPLALKTEPTTAPEDNGCLPYW
+KNFTDKCYYFSTERDFFEDAKLFCERMSSHLVFINTGEEQQWIKNQMVAKQNYWIGLTDL
+EQENEWRWLDGTLLEYKNWKAGQPDNWGHGHGPGEDCAGLINFGQWNDFPCEDMNHFICE
+KDRERELAITL
+>tr|A0A3Q1N6Y8|A0A3Q1N6Y8_BOVIN Protein Jade-1 OS=Bos taurus OX=9913 GN=JADE1 PE=4 SV=1
+CRIFRVPNASLESLSTTWSQNSRSQHRRSSCSRPEDRKPSEVFRTDLITAMKLHDSYQLN
+PDEYYVLADPWRQEWEKGVQVPVSPGTIPQPVARVVSEEKSLMFIRPKKYIVSSGSEPPE
+LGYVDIRTLADSVCRYDLNDMDAAWLELTNEEFKEMGMPELDEYTMERVLEEFEQRCYDN
+MNHAIETEEGLGIEYDEDVVCDVCQSPDGEDGNEMVFCDKCNICVHQACYGILKVPEGSW
+LCRTCALGVQPKCLLCPKKGGAMKPTRSGTKWVHVSCALWIPEVSIGSPEKMEPITKVSH
+IPSSRWALVCSLCNEKFGASIQCSVKNCRTAFHVTCAFDRGLEMKTILAENDEVKFKSYC
+PKHSSHRKAEEGLGEGTAQENGAPECSPRDPLEPFAGLEQNREEAHRVSVRKQKLQQLED
+EFYTFVNLLDVARALRLPEEVVDFLYQYWKLKRKVNFNKPLITPKKDEEDNLAKREQDVL
+FRRLQLFTHLRQDLERVMTDTDTL
+>tr|E1BKL1|E1BKL1_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=2
+GLQQEASLCSVLSFLVSSPTGLVDSEVTQTPKYLIKSRKQQVILRCSPESGHRSVYWYQQ
+ALGQGLQFLIQYYNGAEYEKGNISDRVSGKQFSDSSSELNLTSLELTDSAVYLCASSQDT
+ALHDQVPLGQKHSCPSSGSGCEGDCLPVRPR
+>tr|A0A3Q1NM48|A0A3Q1NM48_BOVIN NudE neurodevelopment protein 1 OS=Bos taurus OX=9913 GN=NDE1 PE=4 SV=1
+MADSGKTFSSEEEEANYWKDLAMTYKQRAENTQEELREFQEESREYEAELETQLQQTESK
+NRDLLMENNHLRMELETIKEKFKTQHSEGYRQISALEDDLAQTKAIKDKLQKYIRELEQA
+NDDLERAKRATIMSLEDFEQRLNQAIERNAFLESELDEKENLLESVQRLKDEARDLRQEL
+AVQQKQEKPRTPMPSSVDAERTDTAVQATGSVPSTPIAHRGPSSSLNTPGTFRRGLDDST
+GGTPLTPAARISALNIVGDLLRKVGVRRHRVAWCPAFMGHPLSSRGLRRELTGRFPSGRG
+FTSAGVGLARLFGKPSSNVSSPSLPSAQGVVKMLL
+>tr|E1BBI3|E1BBI3_BOVIN L1 cell adhesion molecule OS=Bos taurus OX=9913 GN=L1CAM PE=4 SV=2
+MAVALWFLWPLLLCSPCVLIQIPEELMEPPIITEQSPRRVVVFPTDDVSLKCEASGKPEV
+QFRWTRDGILFKPREELGMIVNQVPHSGSFSITGNSSNFAQSFQGTYRCFASNKLGTAMS
+HEIQLMAEGTPKWPKETVKPVEVEEGESVILPCHPPPSAEPLRIYWMNSKILHIKQDERV
+TMGQNGNLYFANVLTSDNHSDYICHAHFPGTRTIIQKEPIDLRVKATNSMMDRKPHLLFP
+TNSSSHLVALQGQPLILECIAEGFPTPTIKWLRPSGPMPADRVTYQNHNKTLQLLNVGEE
+DDGEYRCLAENSLGSDRHAYYVTVEAAPYWLHKPQSHLYGPGETARLDCQVQGRPQPEVT
+WRINGIPVEELGKDQKYRIHHGALVLSNLQPSDTMVTQCEARNRHGLLLANAYIYVVELP
+AKILTPDNETYMAVQGSTAYLLCKAFGAPVPSVQWLDREGKTVLQDERFFPYTNGTLGIR
+DLQTNDTGHYFCQAANDQSNVTIVANLQVKDATRIMQGPRSAIEKRGSRVMFTCQASFDP
+SLQHSTTWRRDALDLQELGDSDKYFIEDERLVIHSLDYSDQGNYSCVASTKLDVVESRAE
+LLVVGSPGPVPQLELSDHHLLKQSQVRLSWSPADDHNAPIEKYDIEFEDKEMAPEKWYSL
+GKVPGNQTSTTLKLSPYVHYTFRVTAINKYGPGEPSPDSETVVTPEAAPEKNPVDVKGEG
+NETNNMVITWKPLRWMDWNAPQVQYRVQWRPQKMQGAWQEQIVSDPFLVVSNTSTFVPYE
+IKVQTVNSQGKGPEPQITIGYSGEDYPQASPLLEPVKALNSSTVLVRWQSVDPAQVKGHL
+RGYNVTYWWEGSQRKHNKRHVHKGHVVVPANATSTILGGLRPYSSYWVEVQAFNGRGLGP
+ASGMTFNTPEGVPGHPEALHLECQSDTSLLLHWQPPLSHNGVLTGYVLSYQPLNDGSKEQ
+LSFDLPDPELRTHNLTNLSPRLRYRFQLQATTREGPGEAIVREGGTMALSGMPDFGNISA
+MAGENYSVVSWVPKEGQCNFGFQIWFKALGDEKLVARLPPQYVSYNQSSYTQWDLQPDTD
+YEIQLLKEQVLLHQMAVKTNGTGRVRLPPAGFATEGWFIGFISAIVVLLLVLLILCFIKR
+SKGGKYSVKDKEDTQVDSEARPMKDETFGEYRSLESDNEEKAFGSSQPSLNGDIKPLGSD
+DSLADYGGSVDVQFNEDGSFIGQYSGKKEKEVAGGNDSSGATSPINPAGTLE
+>tr|A0A3Q1MGB1|A0A3Q1MGB1_BOVIN Leucine-rich repeat-containing protein 7 OS=Bos taurus OX=9913 GN=LRRC7 PE=4 SV=1
+MMENLIRGRNPPQYQRSPCKEVRAALRKRPEEELQCLEMTTKRKIIGRLVPCRCFRGEEE
+IISVLDYSHCSLQQVPKEVFNFERTLEELYLDANQIEELPKQLFNCQALKKLSIPDNDLS
+NLPTTIASLVNLKELDISKNGVQEFPENIKCCKCLTIIEASVNPISKLPDGFTQLLNLTQ
+LYLNDAFLEFLPANFGRLAKLRILELRENHLKTLPKSMHKLAQLERLDLGNNEFSELPEV
+LDQIQNLRELWMDNNALQVLPGSIGKLKMLVYLDMSKNRIETVDMDISGCEALEDLLLSS
+NMLQQLPDSIGLLKKLTTLKVDDNQLTILPNTIGNLSLLEEFDCSCNELESLPSTIGYLH
+SLRTLAVDENFLPELPREIGSCKNVTVMSLRSNKLEFLPEEIGQMQKLRVLNLSDNRLKN
+LPFSFTKLKELAALWLSDNQSKALIPLQTEAHPETKQRVLTNYMFPQQPRGDEDFQSDSD
+SFNPTLWEEQRQQRMTVAFEFEEKKEDDENAGKVKDHSCQAPWERGQRGITLQPARLSGD
+CCTPWARCDQQIQEMPVPQNDPQLAWGCISGLQQERSMCTPLPVAAQSTTLPSLSGRQVE
+INLKRYPTPYPEDLKNMVKSVQNLVGKPSHGVRVENSNPTANTEQTVKEKYEHKWPVAPK
+EITVEDSFVHPANEMRIGELHPSLAETPLYPPKLVLLGKDKKESTDESEVDKTHCLNNSV
+SSGTYSDYSPSQASSGSSNTRVKVGSLQTTAKDAVHNSLWGNRIAQSFPQPLDAKPLLSQ
+REAVPTGNLPQRPDRLPISDAFTDNWTDGSHYDNTGFVAEETTGENANNNPLLSSKARST
+SSHGRRPLIRQDRIVGVPLELEQSTHRHTPETEVPPSNPWQNWTRTPSPFEDRTAFPSKL
+ETTPTTSPLPERKEHIKESTEIPSPFSPGVPWEYHDSNPNRSLSNVFSQIHCRPDSSKGV
+ISISKSTERLSPLMKDIKSNKFKKSQSIDEIDIGTYKVYNIPLENYATGSDHLGSHERPD
+KMLGPEHGMSSMSRSQSVPMLDDEMLTYGSSKGPQQQKASMTKKVYQFDQSFNPQGAVEV
+KAEKRIPPPFQHNSEYVQQPGKNIAKDLVSPRAYRGYPPVEQMFSFSQPSVNEDAVVNAQ
+FASQGARAGFLRRADSLASSTEMAMFRRVSEPHELTPSDRYGRPPYRGGLDRQSSVSVTE
+SQFLKRNGRYEDEHPSYQEVKAQAGSFPVKNLTQRRPLSARSYSTESYGASQTRPVSARP
+TMAALLEKIPSDYNLGNYGDKPSDNSDIKTRPTPVKGEESCGKMPADWRQQLLRHIEARR
+LDRNAAYKHNTVNLGMLPYGGISAMHAGRSMTLNLQTKSKFDLQELPLQKTPSQQSNILD
+NGQEDVSPSGQWNPYPLGRRDVPPDTITKKAGSHIQTLMGSQSLQHRSREQQPYEGNVNK
+VTIQQFQSPLPIQIPSSQATRGPQPGRCLIQTKGQRSMDGYPEQFCVRIEKNPGLGFSIS
+GGISGQGNPFKPSDKGIFVTRVQPDGPASNLLQPGDKILQANGHSFVHMEHEKAVLLLKS
+FQNTVDLVIQRELTV
+>tr|A0A3Q1M2L0|A0A3Q1M2L0_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MATGTYLSVITLNVNGLNAPTKRQRLAEWIQKQDPYICCLQETHLKTGDTYRLKVKGWKK
+IFHANRDQKKAGVAILISDKIDFKTKAVKRDKEGHYIMIKGSIQEEDITIINIYAPNTGA
+PQYVRQMLTSMKGEINNNTIIVGDFNTPLTPMDRSTKQKINKETQTLNDTIDQLDLIDIY
+RSFHPKTMNFTFFSSAHGTFSRIDHILGHKASLGKFKKIEIIPSIFSDHNAVRLDLNYRR
+KTIKNSNIWRLNNTLLNNQQITEDIKKEIKICIETNENENTTTQNLWDTVKAVLRGKFIA
+IQAHLKKQEKSQINNLTLHLKQLEKEEMKNPRVSRRKEILKIRAEINAKETKETIAKINK
+TKSWFFERINKIDKPLARLIKKQREKNQINKIRNENGEITTDNTEIQRIIRDYYQQLYAN
+KMDNVEEMDKFLEKYNFPKLDQEEIENLNRPIKSMEIETVIKNLPANKSPGPDGFTAEFY
+QKFREELTPILLKLFQKIAEEGKLPNSFYEATITLIPKPDKDPTKKENYRPISLMNIDAK
+ILNKILAIRIQQHIKKIIHHDQVGFIPGMQGFFNIRKSINVIHHINKLKNKNHMIISIDA
+EKAFDKIQHPFMIKTLQKAGIEGTYLNIIKAIYDKPTANIILNGEKLKAFPLKSGTRQGC
+PLSPLLFNIVLEVLATAIRAEKEIKGIQIGKEEVKLSLFADDMILYIENPKESTRKLLEI
+INDYSKVAGYKINTQKSLAFLYTNNEKTEREIKETIPFTIAMKRIKYLGIYLPKETKDLY
+IENYKTLVKEIKEDTNRWRNIPCSWIGRINIVKMSILPKAIYRFNAIPIKLPTVFFTELE
+QIISQFVWKYKKPRIAKAILRKKNGTGGINLPDFRLYYKATVIKTVWYWHKDRNIDQWNK
+IESPEINPRTYGHLIFDKGGKNIQWIKDNLFNKWCWEIWSTTCKRMKLDHFLTPYTKINS
+KWIKDLNVRPETIKLLEENIGKTLSDIHHSRILYEPPPRILEIKAKINKWDLINLKSFCT
+SKETISKVKRQPSEWEKIIANEATDKQLISKIYKQLLQLNSRKINDPIKKWAKELNRHFS
+KEDIQMANKHMKRCSTSLIIREMQIKTTMRYHFTPVRMAAIQKSTNNKCWRGCGEKGTLL
+HCWWECKLVQPLWRTVWRFLKKLEIELPYDPATPLLGIHTEETRRERDMCTPMFIAALFI
+IAKTWKQPRCPSADEWIRKLWYIYTMEYYSAIKKNTFETVLMRWMKLEPIIQSEVSQKEK
+HKYSILTHIYGI
+>tr|A0A3Q1LKY5|A0A3Q1LKY5_BOVIN Solute carrier family 7 member 5 OS=Bos taurus OX=9913 GN=SLC7A5 PE=4 SV=1
+MAGSGPKRRAAAATLPANEKEERQARERMLAARGADGAEGEVTLQRNITLLNGVAIIVGT
+IIGSGIFVTPTGVLKEAGSPGLALVVWAVCGVFSIVGALCYAELGTTITKSGGDYAYMLE
+VYGSLPAFLKLWIELLIIRPSSQYIVALVFATYLLKPIFPTCPVPEEAAKLVACLCVLLL
+TAVNCYSVKAATRVQDAFAAAKLLALALIILLGFIQIGKGDVANLDPKSSFEGTKLDVGN
+IVLALYSGLFAYGGWNYLNFVTEEMINPYRNLPLAIIISLPIVTLVYVLTNLAYFTTLTP
+EQMLTSEAVAVAVLRGGPGGPSALHPLHDPPTAADARTLTGVHVHHDPALRLLQRYLLRH
+QLLQLLQLALRGPGHCWHALASLPETGAGAAHQGSSGPPSVLHPGLPLPDRRLLLEDPRG
+VWHRLHHHPQRPARLLPWGLVERQAQVAPPEHLFYDGPVPEAHARGSPGDVSDQNQEATL
+REQLGPTIQAALPGPPLSLPQGAAGPR
+>tr|A0A3Q1NAU7|A0A3Q1NAU7_BOVIN Attractin like 1 OS=Bos taurus OX=9913 GN=ATRNL1 PE=4 SV=1
+MAPHSSTLAWKVPWTEEPSRLHSMGSLGVFIFVILFSRLTEPSGYLTDGPINYKYKTKCT
+WLIEGYPNAVLRLRFNHFATECSWDHMYVYDGDSIYAPLIAVLSGLIVPEIRGNETVPEV
+VTTSGYALLHFFSDAAYNLTGFNIFYSINSCPNNCSGHGKCTTSVSVSNQVYCECDKYWK
+GEACDIPYCKANCGSPDHGYCDLTGEKLCVCNDSWQGPDCSLNVPSTESYWILPNVKPFS
+PSVGRASHKAVLHGKFMWVIGGYTFNYSSFQMVLNYNLESSIWNVGAVSRGPLQRYGHSL
+ALYQENIFMYGGRIETSDGNVTDELWVFNIHSQSWSTKTPTVLGHGQQYAVEGHSAHIME
+LDSRDVVMIIIFGYSAIYGYTSSIQEYHISSNTWLVPETKGAIVQGGYGHTSVYDEITKS
+IYVHGGYKALPGNKYGLVDDLYKYEVNPKTWTILKESGFARYLHSAVLINGAMLIFGGNT
+HNDTSLSNGAKCFSADFLAYDIACDEWKILPKPNLHRDVNRFGHSAVVINGSMYIFGGFS
+SVLLNDILVYKPPNCKAFRDEELCKNAGPGIKCIWNKNHCESWDSGNTNNILRAKCPLKT
+AASDDRCYRYADCASCTANTNGCQWCDDKKCISSTSNCSMSVKNYTKCHVRNEQICNKLT
+SCKSCSLNLNCQWDQRQQECQALPAHLCGEGWIHVGDACLRINSSRENYDNAKLYCYNLS
+GNLASLTTSKEVEFVLDEIQKYTQQKVSPWVGLRKINISYWGWEDMSPFTNTTLQWLPGE
+PNDSGFCAYLERAAVAGLKANPCTSMADGLVCEKPNARPCKKPCSLRTSCSNCTSNGMEC
+MWCSSTRRCVDSNAYIISFPYGQCLEWQTATCSPQNCSGLRTCGQCLEQPGCGWCNDPSN
+TGRGHCIEGSSRGPMKLVGMLNNEMLLDTSLCPKEKNYEWSFIQCPACQCNGHSTCINNN
+VCEQCKNLTTGKQCQDCMPGYYGDPTNGGQCTACTCSGHANICHMHTGKCFCTTKGIKGD
+QCQLCDSENRYVGNPLRGTCYYSLLIDYQFTFSLLQEDDRHHTAINFIANPEQSNKNLDI
+SINASNNFNLNITWSVAGTISGEETPIVSKTNIKEYRDSFSYEKFNFRSNPNITFYVYVS
+NFSWPIKIQIAFSQHNTIMDLVQFFVTFFSCFLSLLLVAAVVWKIKQTCWASRRREQLLR
+ERQQMASRPFASVDVALEVGAEHTDFLRGSLEGAPKPIAIEPCAGNRAAVLTVFLCLPRG
+PSGAPPPGQSGLAIASALIDISQQKPSDSKDKTSGVRNRKHHLSTRQGTCV
+>tr|A0A3Q1LZJ9|A0A3Q1LZJ9_BOVIN Mitogen-activated protein kinase kinase kinase 12 OS=Bos taurus OX=9913 GN=MAP3K12 PE=3 SV=1
+MACLHETRTPSPSFGGFVSTLSEASMRKLDPDTSDCTPEKDLTPTHVLQLHEQDAGGPGG
+ATGSPESRASRVRADEVRLQCQSGSGFLEGLFGCLRPVWTMIGKAYSTEHKQQQEDLWEV
+PFEEILDLQWVGSGAQGAVFLGRFHGEEVAVKKVRDLKETDIKHLRKLKHPNIITFKGVC
+TQAPCYCILMEFCAQGQLYEVLRAGRPVTPSLLVDWSMGIAGGMNYLHLHKIIHRDLKSP
+NMLITYDDVVKISDFGTSKELSDKSTKMSFAGTVAWMAPEVIRNEPVSEKVDIWSFGVVL
+WELLTGEIPYKDVDSSAIIWGVGSNSLHLPVPSSCPDGFKILLRQCWNSKPRNRPSFRQI
+LLHLDIASADVLSTPQETYFKSQAEWREEVKLHFEKIKSEGTCLHRLEEELVMRRREELR
+HALDIREHYERKLERANNLYMELNALMLQLELKERELLRREQALERRCPGLLKSHPSRGL
+LHGNTMEKLIKKRNVPQKLSPHSKRPDILKTESLLPKLDAALSGVGLPGCAKGPPSPGRS
+RRGKTRHRKASAKGSCGDLPGLRAAVPPHEPGGPGSPVGLGGGPSAWEACPPALRGLHHD
+LLLRKMSSSSPDLLSAALGARGRGATGGAGDPGSPPPARGDTPPSEGSAPGSTSPDSPGG
+AKGEPPPPVGPGDSVGLLGTGREGTAGRGGSRAGSQHLTPAALLYRAAVTRSQKRGISSE
+EEEGEVDSEVELASSQRWPQGLKMRQSLSTFSSENPSDGEEGTASEPSPSGTPEVGSTNT
+DERPDERSDDMCSQGSEIPLDPPASEVVTGPEPSSLPVPHHDLLRGEQGPPNPEDSDCDS
+TELDNANSGEALRPPASLPP
+>tr|A0A3Q1MKU1|A0A3Q1MKU1_BOVIN Inositol oxygenase OS=Bos taurus OX=9913 GN=MIOX PE=4 SV=1
+MKVAADPDPSLVSQRDMEPEAAKDKDSFRNYTSGPLLDRVFATYKLMHTWQTVDFVRRKH
+AQFGGFSYKRMTVMEAVDMLDGLVDESDPDVDFPNSFHAFQTAEGIRKAHPDKDWFHLVG
+LLHDLGKVLALAGEPQWAVVGDTFPVGCRPQASVVFRDCTFQDNPDLQDPLYSTELGMYQ
+PHCGLENVLMSWGHDGEAGWGRGRRGPSGAAPLGARGDPPSPTEYMYRMMKFNKFALPPE
+AFYIIRFHSFYPWHKFGDYQQLCNEQDLAMLPWVQEFKYGHSHGRAWGRGSGGFTGQYGH
+GHGHAWGGRLGGFMAAIPVLQQVRPLHQELQPARRGRAEALLPRAR
+>tr|A0A3Q1LXP7|A0A3Q1LXP7_BOVIN Pumilio RNA binding family member 2 OS=Bos taurus OX=9913 GN=PUM2 PE=4 SV=1
+MNHDFQALALESRGMGELLPTKKFWEPDDSTKDGQKGIFLGDDEWRETAWGTSHHSMSQP
+IMVQRRSGQGFHGNSEVNAILSPRSESGGLGVSMVEYVLSSSPADKLDSRFRKGTFGTRD
+AETDGPEKGDQKGKASPFEEDQNRDLKQGDDDDSKINGRGLPNGMDADCKDFNRTPGSRQ
+ASPTEVVERLGPNTNPPEGLGPLPNPTSNKPLVEEFSNPETQNLDAMEQVGLDSLQFDYP
+GNQVPMDSSGATVGLFDYNSQQQLFQRTNALTVQQLTAAQQQQYALATAQQPHIAGVFSA
+GLAPAAFVPNPYIISAAPPGTDPYTAAGLAAAATLAGPAVVPPQYYGVPWGVYPANLFQQ
+QAAAAANNTANQQAASQAQPGQQQVLRAGAGQRPLTPNQGQQGQQAESLAAANPTLAFGQ
+GLATGMPGYQVLAPTAYYDQTGALVVGPGARTGLGAPVRLMAPTPVLISSAAAQAAAAAA
+AGGTANSLTGSTNGLFRPIGTQPPPQQQQQQQPSTNLQSNSFYGSTSLTNSSQSSSLFSH
+GPGQPGSTSLGFGSSSSLGAAIGSALSGFGSSGGLTNGSGRYISAAPGAEAKYRSASGTS
+SLFSSSSQLFPPSRLRYNRSDIMPSGRSRLLEDFRNNRFPNLQLRDLIGHIVEFSQDQHG
+SRFIQQKLERATPAERQMVFNEILQAAYQLMTDVFGNYVIQKFFEFGSLDQKLALATRIR
+GHVLPLALQMYGCRVIQKALESISSDQQSEMVKELDGHVLKCVKDQNGNHVVQKCIECVQ
+PQSLQFIIDAFKGQVFVLSTHPYGCRVIQRILEHCTAEQTLPILEELHQHTEQLVQDQYG
+NYVIQHVLEHGRPEDKSKIVSEIRGKVLALSQHKFASNVVEKCVTHASRAERALLIDEVC
+CQNDGPHSALYTMMKDQYANYVVQKMIDMAEPAQRKIIMHKIRPHISTLRKYTYGKHILA
+KLEKYYLKNSPDLGPIGGPPNGML
+>tr|A0A3Q1M0U3|A0A3Q1M0U3_BOVIN Sorbin and SH3 domain containing 3 OS=Bos taurus OX=9913 GN=SORBS3 PE=1 SV=1
+MLLCPLGRPQSPPPALLGRGHAEEQLSSPDPSMQAPPYSLPAGLSLDDFIPGHLLAHVGS
+STRGTRVPVIRNGGSNTLNFQFHDPAPRTVCNGYFQPRRDASRHPDPAWYQTWPGPGSRP
+PGNQKTPASQHSQKWSATWTKDSKRRDKRWVKYEGIGPVDESGMPIAPRSSVDSPRDWYR
+RMFKQIHRKMPDLQLDWTFEEAPKVDSSCATSADSRHPGPQQRPPARPNQMSPLSGRSWD
+VSEESLRSTFSCSPGAPSSLHQTSKQVLRRQEKADNVWTEESWNQFLQELETGQKPKKPL
+VDDPVEKPSQPIEVLLERELAKLSAELDKDLRAIETGQPSPKSSQAPRRSREPRPPARPA
+SAWSSSSPNALYPSSSLSPHRMADGGSPFLGRRDFVYPSSARDPSASDPGGSPARKEEKK
+RKAARLKFDFQAQSPKELTLKKGDIVYIHKEVDKNWLEGEHHGRLGIFPANYVEVLPADE
+IPKPIKPPTYQVLEYGEAVAQYNFKGDLEVELSFRKGERICLIRKVNEHWYEGRISGTGR
+QGIFPASYVQVTREPRVRLCDDGPQLPASPRLPTARLVCRPSSPLTPRSPADPTDWGVQT
+SPRRTGFSLPPQESRPQTQSLSTPRSALSHPGGSSHPPDLGTSSSTTSEIHWTPYRAMYQ
+YRPQNEDELELREGDRVDVMQQCDDGWFVGVSRRTQKFGTFPGNYVAPVDRLPALPPWGS
+SNKNQLPNLIETKGRLCLEGFLPCHCSLLAILSASLPPAGPGSVVGDGHDSSLVFAAWQE
+VLPEGSLFPKAAKPCAWAVLIIPAALVPRKSGASKDWPVPDVFQGNGYVLSSCQPSSPQV
+PPGSRECKINLGTRDLAFLSFFFGLW
+>tr|F1N6Y7|F1N6Y7_BOVIN Eukaryotic translation initiation factor 5B OS=Bos taurus OX=9913 GN=EIF5B PE=1 SV=3
+MRILGDGTRNETRNDDIDLDALAAEIEGAGAAREQEPQKAKGKKKKEKKRQDFDEDDILK
+ELEELSWEAQGIKADREPAAVKPTENNEDEPISKQDKKKKGQKGKKQSFEDNDSEELEEK
+DSKSKKTAKPKVEMYSGSDDDDDFNKLSKKAKGKAQKSNKKRDASEEDEDNSKRIKERMR
+AHSSGESGDESDEFLQSRKGQKKNQKNKPGPTVESGNEDDDSSFKIKTVAQKKAEKKERE
+RKKRDEEKAKLRKLKEKEELESGKKDLGKPKESQRKPEEEALKSKVTLDSGAAPASEEKG
+EGPIGAEDDNEGDKKKKDKKKKKGEKEEKEKEKKKGPSKATVKAMQEALAKLKEEEERQK
+REEEERIKRLEELEAKRKEEERLEQEKRERKKQKEKERKERLKKEGKLLTKSQREARARA
+EATLKLLQAQGVEVPSKDSLPKKRPIYEDKKKKKPPQQMENKVSEPVELSAAAEVVEQGL
+PEKEETPPPVEPEEEEETEDAGLDDWEAMASDEERETEENTVHIEVKENPEEEEEEEEEE
+EEEEESEDEEEEEGDSEGSEGEDDDEKVSDEKDAGKTLDKKPSKDVSSESEDDSDDDRTK
+EERAYDKAKRRIEKRRLEHSKNVNTEKLRAPVICVLGHVDTGKTKILDKLRHTHVQDGEA
+GGITQQIGATNVPLEAINEQTKMIKNFDRENVRIPGMLIIDTPGHESFSNLRNRGSSLCD
+IAILVVDIMHGLEPQTIESINLLKSKKCPFIVALNKIDRLYDWKKSPDSDVAATLKKQKK
+NTRDEFEERAKAIIVEFAQQGLNAALFYENKDPRTFVSLVPTSAHTGDGMGSLICLLVEL
+TQTMLSKRLAQCEELRAQVMEVKALPGMGTTIDVILINGRLKEGDTIIVPGVEGPIVTQI
+RGLLLPPPMKELRVKNQYEKHKEVEAAQGVKILGKDLEKTLAGLPLLVAYKEDEVPVLKD
+ELIHELKQTLNAIKLEEKGVYVQASTLGSLEALLEFLKTSEVPYAGINIGPVHKKDVMKA
+SVMLEHDPQYAVILAFDVRIERDAQEMADSLGVRIFSAEIIYHLFDAFTKYRQDYKKQKQ
+EEFKHIAVFPCKIKILPQFIFNSRDPIVMGVTVEAGQVKQGTPMCVPSKNFVDIGIVTSI
+EVNHKQVDVAKKGQEVCVKIEPIPGESPKMYGRHFEATDILVSKISRQSIDALKDWFRDE
+MQKSDWQLIVELKKVFEII
+>tr|A0A3Q1LRL4|A0A3Q1LRL4_BOVIN WD repeat and FYVE domain containing 3 OS=Bos taurus OX=9913 GN=WDFY3 PE=4 SV=1
+MNMVKRIMGRPRQEECSPQDNALGLMHLRRLFTELCHPPRHMTQKEQEEKLYMMLPVFNR
+VFGNAPPNTMTEKFSDLLQFTTQVSRLMVTEIRRRASNKSTEAASRAIVQFLEINQSEEA
+SRGWMLLTTINLLASSGQKTVDCMTTMSVPSTLVKCLYLFFDLPHVPEAVGGAQNELPLA
+ERRGLLQKVFVQILVKLCSFVSPAEELAQKDDLQLLFSAITSWCPPYNLPWRKSAGEVLM
+TISRHGLSVNVVKYIHEKECLSTCVQNMQQSDDLSPLEIVEMFAGLSCFLKDSSDVSQTL
+LDDFRIWQGYNFLCDLLLRLEQAKEAESKDALKDLVNLITSLTTYGVNELKPAGITTGAP
+FLLPGFAVPQPAGKGHSVRNIQAFAVLQNAFLKAKTNFLAHIILDAITNIYMADNANYFI
+LESQHTLSQFAEKISKLPEVQNKYFEMLEFVVFSLNYIPCKELISVSILLKSSSSYHCSI
+IAMKTLLKFTRHDYIFKDVFREVGLLEVMVNLLHKYAALLKDPTQALNEQGDSRNNSSFE
+DQKHLALLVMETLTVLLQGSNTNAGIFREFGGARCAHNIVRYPQCRQHALMMIQQLVLSP
+NGDDDMGTLLGLMHSAPPTELQLKTDILRALLSVLRESHRSRTVFRKVGGFVYVTSLLVA
+MERSLSAPPKNGWEKVSQGHVFELLHTVFCTLTAAMRYEPANSHFFKTEIQYEKLADAVR
+FLGCFSDLRKISPMNVFPSNTQPFQRLLEEDVISMDSVSPTLRHCSKLFIYLYRVATDSF
+DRHAYHSVSTPPVYPPKNVADLKPHVATSSLSSSDAVIIHPGAMLAMLDLLASVGSVTQP
+EHALDLQLAVANILQSLVHTERNQQVMCEAGLHARLLQRCSAALADEDHSLHPPLQRMFE
+RLASQALEPMVLREFLRLASPLNCGAWDKKLLKQYRVHKPSSLSFEPEMRSSMITSMEGL
+GSDNVFSLHEDNHYRISKSLVKSAEGSTVPLTRVKCLVSMTTPHDIRLHGSSVTPAFVEF
+DTSLEGFGCLFLPSLAPHNAPTNNAVTTGLIDGAVVSGIGSGERFFPPPSGLSYSSWFCI
+EHFSSPPSNHPVRLLTVVRRANSSEHHYVCLAIVLSAKDRSLIVSTKEELLQNYVDDFSE
+ESSFYEILPCCARFRCGELIVEGQWHHLVLVMSKGMLKNSTATLYIDGQLVSTVKLHYVH
+STPGGSGSANPPVVSTVYAYIGTPPAQRQIASLVWRLGPTHFLEEVLPPSNVTTIYELGP
+NYVGSFQAVCMPCKDAKSEGVVPSPVSLVPEEKVSFGLYALSVSALTVARIRKVYNKLDS
+KAIAKQLGISSHENATPVKLIHNSAGHLNGPARTIGASLIGYLGVRTFVPKPVATTLQYV
+GGAAAILGLVAMASDVEGLYAAVKALVCVVKSNPLASKEMERIRGYQLLAMLFKKKRSLL
+NSHILHLTFSLVGTVDSGHETSIIPNSTAFQDLLCDFEVWLHAPYELHLSLFEHFIELLT
+ESSEASKNAKLMREFQLIPKLLLTLRDMSLSQPTIAAISNVLSFLLQGFPNSNDLLRFGQ
+FISSTLPTFAVCEKFVVMEINHEEKPDTGDIHTSCLLLTFNRFLFKTLKFIVSQEQKACE
+ELVRTLGFDWIMMFMEEHLHSTTVTAAMRILVVLLSNQSILIKFKEGLSGGGWLEQTDSV
+LTNKIGTVLGFNVGRSAGGRSTVREINRDACHFPGFPVLQSFLPKHTNVPALYFLLMALF
+LQQPVSELPENLQFDLDSIWTFIFGVPASSGTVVSSIHNVCTEAAFLLLGMLRSMLNSPW
+QSEEEGSWLREYPVTLMQFFRYLYHNVPDLASMWMNPDFLCALAATVFPFNIRPYSEMVT
+DLDDEVGSPAEEFKAFAADTGMNRSQSEYCNVGVKTYLTNHPAKKFVFDFMRVLIIDNLC
+LTPASKQTPLIDLLLEASPERSTRTQQKEFQTYILDSVMDHLLAADVLLGEDASLPITSG
+GSYQVLVNNVFYFTQRVVDKLWQGMFNKESKLLIDFIIQLIAQSKRRSQGLSLDAVYHCL
+NRTILYQFSRAHKTVPQQVALLDSLRVLTVNRNLILGPGNHDQEFISCLAHCLINLHVGS
+NVDGFGLEAEARMTTWHIMIPSDIEPDGGYSQDISEGRQLLIKAVNRVWTELIHSKKQVL
+EELFKVTLPVNERGHVDIAIARPLIEEASLKCWQNHLAHEKKCISRGEALMPTTQSKLSR
+VSSGFGLSKLTGSRRNRKESGLHKHSLSTQEISQWMFTHIAVVRDLVDTQYKEYQERQQN
+ALKYVTEEWCQIEYELLRERGLWGPPIGSHLDKWMLEMTEGPCRMRKKMVRNDMFYNHYP
+YVPEMEQETNVAKPARYRRAVSYDSKEYYMRLASGNPAIVQDAIVESSEGEAAQQEPEHG
+EDTIAKVKGLVKPPLKRSRSAPDGGDEETQEQLQDQTAEGSSIEEEEKTDNATLLRLLEE
+GEKIQHMYRCARVQGLDTSEGLLLFGKEHFYVIDGFTMTATREIRDIETLPPNMHEPIIP
+RGARQGPSQLKRTCSIFAYEDIKEVHKRRYLLQPIAVEVFSGDGRNYLLAFQKGIRNKVY
+QRFLAVVPSLTDSSESVSGQRPNTSVEQGSGLLSTLVGEKSVTQRWERGEISNFQYLMHL
+NTLAGRSYNDLMQYPVFPWILADYDSEEVDLTNPKTFRNLAKPMGAQTDERLAQYKKRYK
+DWEDPNGETPAYHYGTHYSSAMIVASYLVRMEPFTQIFLRLQGGHFDLADRMFHSVREAW
+YSASKHNMADVKELIPEFFYLPEFLFNSNNFDLGCKQNGTKLGDVILPPWAKGDPREFIR
+VHREALECDYVSAHLHEWIDLIFGYKQQGPAAVEAVNVFHHLFYEGQVDIYNINDPLKET
+ATIGFINNFGQIPKQLFKKPHPPKRVRSRLNGDNAGASVPPGSASDKIFFHHLDNLRPSL
+TPVKELKEPVGQIVCTDKGILAVEQNKVLIPPTWNKTFAWGYADLSCRLGTYESDKAVTV
+YECLSEWGQILCAICPNPKLVITGGTSTVVCVWEMSTSKEKAKTLTLKQALLGHTDTVTC
+ATASLAYHIIVSGSRDRTCIIWDLNKLSFLTQLRGHRAPVSALCINELTGDIVSCAGTYI
+HVWSINGNPVVSVNTFTGRSQQIVCCCVSEMNEWDTQNVIVTGHSDGVVRFWRMEFLQVP
+ETPAPEPAEVLEMQEDCPEAQIGQEAQDEDSSDSEADEQSVSQDPKDTPSQPSSTSHRPR
+AASCRATATWYNDSGSDDSRRWSDQLSLDEKDGFIFVNYSEGQSRPHLQTPLNHPHPNPI
+EARNYSRLKPGYRWERQLVFRSKLTMHTAFDRKDNAHPAEITALGVSKDHSRILVGDSRG
+RVFSWSVSDQPGRSAADHWVKDEGGDSCSGCSVRFSLTERRHHCRNCGQLFCQKCSRFQS
+EIKRLKISSPVRVCQNCYYNLQHERSSEDGPRNC
+>tr|F1MSX0|F1MSX0_BOVIN RNA helicase OS=Bos taurus OX=9913 PE=3 SV=3
+MSHLPMKLLRKKIEKRNLKLRQRNLKLQGASAVSLSETQNGDVPEETVGDGKVKKSLKQS
+VSVGMSEAQNGDVFKETGENVKVKKASKKSTTLTSGEAAMQSPNSESKKKKKKKKRKVVD
+DAGPDSKKAKAEDIGEAEDGAQAPEETENRVEKPDDEGEDSEVPSLPLGLTGAFEDTSFD
+SLTNLVNENTLKAIKEMGFTNMTEIQHKSIRPLLEGRDLLAAAKTGSGKTLAFLIPAVEL
+IVKLKFMPRNGTGVLILSPTRELAMQTFGVLKELMTHHVHTYGLIMGGSNRSAEAQKLAN
+GINIVVATPGRLLDHMQNTPGFMYKNLQCLVIDEADRILDVGFEEELKQIIKLLPTRRQT
+MLFSATQTRKVEDLARISLKKEPLYVGVDDDKANATVDGLEQGYVVCPSEKRFLLLFTFL
+KKNRKKKLMVFFSSCKSVKYHYELLNYIDLPVLAIHGRQKQNKRTTTFFQFCNADSGILL
+CTDVAARGLDIPEVDWIVQYDPPDDPKEYIHRVGRTARGLNGRGHALLILRPEELGFLRY
+LKQSKVPLSEFEFSWSKISDIQSQLEKLIEKNYFLHKSAQEAYKSYIRAYDSHSLKQIFN
+VNNLNLPQVALSFGFKVPPFVDLNVNTNDGKVRKRGGGGGFGYQKAKKVEKSKIFKHISM
+IQPSNLRFSHHRIGSQSTQHLHSRPSPPL
+>tr|A0A3Q1MAB9|A0A3Q1MAB9_BOVIN Mitogen-activated protein kinase kinase kinase 15 OS=Bos taurus OX=9913 GN=MAP3K15 PE=4 SV=1
+MSDVSRQPSLFYHLGVRESFDMANNVILYHDTDADTALSLKDMVTQKNTASSGNYYFIPY
+IVTPCADYFCCESDAQRRASEYMQPNWDTLLGPLCVPLVDRFTSLLKDIHVTSCAYYKET
+LLNDIRRAREKYQGDELAKELARIKLRMDNTEVLTSDIIINLLLSYRDIQDYDAMVKLVE
+TLEMLPTCDLADQHNIKFHYAFALNRRNSAGDREKALQVMLQVLQSCDHPAPDMFCLCGR
+IYKDVFLDSDCKDDASRDSAIEWYRKGFALQPSLYSGINLAVLLIVAGQQFETSMELRKI
+GVRLNSLLGRKGSLEKMNSYWDVGQFFSVSMLASDVGKAVQAAERLFRLKPPVWYLRSLV
+QNLLLIQRFKKPLIEHSPRQERLNFWLDIIFEATNEVTNGLRFPVLVIEPTKVYQPSYVS
+INSEAEERTVSLWHVSPTEMKQIHEWNFTASSIRGIRFCSLVKEMIANGMGSTVELEGET
+DGDTLEYEYDHDEKGERVVLGKGTYGVVYAGRDLSNQVRIAIKEIPERDSRYSQPLHEEI
+ALHKYLKHRNIVQYLGSVSEDGYIKIFMEQVPGGSLSALLRSKWGPMKEPTIRFYTKQIL
+EGLKYLHENQIVHRDIKGDNVLVNTYSGVVKISDFGTSKRLAGVNPCTETFAGTLQYMAP
+EIIDQGPRGYGAPADIWSLGCTIIEMATSKPPFHELGEPQAVMFKVGMFKIHPEIPETLS
+AEARACLLSCFEPDPHKRVTAAGLLQEGFFRQMHKGKKNRIAFKPAEGPRGAVLTLPPSG
+ELPGSSSSEHGSVSPDSDAQPDPFFEKSRLPVQRLSHLLSVPDESSALEDRSAASSPEDR
+DPGLFLLRKDSERRAILYKILWEEQNQVASNLQECVAQSSEELHLSVGHIKQIIAILRDF
+IRCPEHRVMASTISKLKVDLDFDSSSINQIHLVLFGFQDAVNKILRNHLIRPHWMFAMDN
+IIRRAVQAAVTILIPELQAHFEPASETEGVEKDTDEMEEDYPPADLPASEAQVAHGWSEP
+ASAVAQEASPQQHLSFQLSKLRQETSRLLEHLVQKEIEYQNLLRHILEQKTQELYHLRLQ
+FTSNGE
+>tr|F1N0L9|F1N0L9_BOVIN Myopalladin OS=Bos taurus OX=9913 GN=MYPN PE=4 SV=2
+MQDDSLEASTSISQLLRESYLAETRHRGNNERSRAEPSSNPFHFGGSSGAAEGGGGQDDL
+PDLSAFLSQEELDESVNLARLAINYDPLEKADEAQARKRFSSDQTKHSSISSFDPNFCQD
+NSQSPTNSKESLQETKRPQYSSEAQSKKVFLNKAADFIEELSSLFKAHSSKRIRPRACKN
+HKSKLESQNQVMQENSSSFPDLSERRERSSVPIPIPADTRDNEVNHALEQQEAKRREAEQ
+AAGEAASGDTTPGSSPSSLYYEEPLGQPPRFTQKLRSREVPEGTRVQLDCIVVGIPPPQV
+RWYCEGKELENSPDIHIIQAGNLHSLTIAEAFEEDTGRYSCFASNIYGTDSTSAEIYIEG
+VSSSDSEGDPNKDEMNRIQKPNEVSSPPTTSAGIPSAVPQTQHVVVQPRVSTIQQCQSPT
+NYLQGLDGKPIIAAPVFTKMLQNLSASEGQLVVFECRVKGAPSPKVEWYREGTLIEDSPD
+FRILQKKPRSMAEPEEICTLVIAEVFAEDSGCFTCTASNKYGTVSSIAQLDVRGNEDLRN
+NGSLHSANSTTNLALTEQQPSPPNPEPPVEQPPKPKLEGVLVNHNEPRSSSRIGLRVHFN
+LPEDDKGSEESSEGGVVTTHQTRPDSFQERFNGQSAKISEPSSPVKEPPPVLAKPKLDSS
+QLQQLHNQVLLEQHHLQNPSPSSPKEFPFNMSVLNSTTVPAVTVSSKHAKAPPSQTFSLA
+RPKHFFPSTSTTVASVSPSSSPVFTLSSTPQAMQRTMSKESLLVTHPSAQTKSLGGASIQ
+NEPLPTPAPTEPAQLPLTFSISSGNQFQPRCVSPAPVSPTGRIQNPVAFLSSVLPSLPAI
+PPTNAMGLPKSAPSMPSQGLMKKNTKSSHPVSDDYIRETKNAVILDLGKKMNFSDVRSNQ
+QEYKISSFEQRLMNEIEFRLERTPVDESDDEIQHDEIPTGKCIAPIFDKRLKHFRVTEGS
+PVTFTCKIVGIPVPKVYWFKDGKQISKRNEHFKMKREGDGTCSLHINSTSSDDDGNYTIM
+AANPQGRISCSGHLMVQGLPIRSRLTAAGQSHRGRSRVQERDKEPLQERFFRPHFLQAPG
+DMVAHEGRLCRLDCKVSGLPPPELTWLLNGQPVLPDTSHKMLVRETGVHSLLIDPLTQRD
+AGTYTCIATNKTGQNSFSLELTVVAKEVKKAPVILEKLQNSGVPEGHPVRLECRVIGMPP
+PVFYWKKDNETIPFTRERISMHQDTTGYVCLLIQPAKKSDAGWYTLSAKNEAGIVSCTAR
+LDIYAQWHHQIPPPMTVRPSGSRYGSLTSKGLDIFSAFSSMESTMVYSCSSRSVVESDEL
+>tr|A0A3Q1MJ52|A0A3Q1MJ52_BOVIN DENN domain containing 4C OS=Bos taurus OX=9913 GN=DENND4C PE=4 SV=1
+MIENKGPRVVDYFVVAGLTDTSTLLDQEINRLDTKSTGPKAPITDIAVINKSAGETVPEG
+YTCVEATPSGLQANLNYGSLKSPELFLCYKRGREKPPLTDIGVLYDGKERLIPGCEVIQA
+TPYGRCANVNNSSTTSQRIFITYRRAPLIRPQNSLAVTDICVIVTSKGETPPHTFCKVDK
+NLNCGMWGSSVFLCYKKSVPASNAIAYKAGLIFRYPEEDYESFPLSECVPLFCLPMGATI
+ECWDPQSKYPLPVFSTFVLTGSSAEKVYGAAIQFYEPYSRELLTEKQLMHLGLLTPVERK
+MVSKSINSNKCICLLSHWPFFEAFRKFLMFIYKLSVSGPHPLPIEKHISHFMQNIPFPSP
+QRPRILVQLSVHDALILSQPVSTPLPLSGANFSTLLMNLGPENCATLLLFVLLESKILLH
+SLRPAVLTGVAEAVVAMIFPFQWQCPYIPLCPLLLAAVLSAPLPFIVGVDSRYFDLHDPP
+QDVVCIDLDTNMLYLSDEKKNMNWKQLPKKPCKNLISTLKKLYPQLFSVHRKTQEGSAIE
+MTPIEADFSWQKKMTQLEMEIQEAFLRFMASILKGYRTYLRPITEAPSNKATAADSLFDR
+QGFLKSRDRAYTKFYTLLSKTQIFIRFIEECSFVSDKDTGLAFFDDCIEKLFPDKGTEKT
+DKVDVDSAEDTKLIELDDSQKSEHTVFIMPPEPPPDDGKDLSPKYSYKYFPRLDLKLFDR
+PQELKLCFSRHPTGSSITNSPALMAKRTKQEIKTAHKLARRCYTNPPQWAKCLFSHCYSL
+WFICLPAYVRVSHPKVRALQQAYDVLIKMRKTDVDPLDEVCYRVVMQLCGLWGHPVLAVR
+VLFEMKTAKIKPNAITYGYYNKVVLESPWPSSTRSGIFLWTKVRNVVRGLAQFRQPLKNT
+VQKSQVSSISAPQNATGGSDGDTVSHGSVDSSNDANSGEHTVFVRDLIRLDSADNHSSTG
+GQSDQGYGSKDELLKDDAEIHVPEEQISGDLITKTEEVCNVSAVVAKHSQPSAESQSPAE
+PPAWGSSIVKVPSGIFDINGRKSSTGSTSSVLFSSQDPVEDAVFGEVINLKKNGDRGEKR
+QKHFPERSCSFSSESRAGMLLKKSSLDLNSSEVAIMMGADAKILTALTSPKASPLHNARA
+HSFGSISCHPSDTRTRASESTWDSEHRLSVLEMLEESQELIEPGVDENVAKAAMTKDTCD
+SLQNDSNQSRDSKAGSRDLANKRNSLYGVAKAVEREDVETGLDPLSLLATECIGEKTDSE
+EKLFSPVIARNLADEIESYMNLKSPLGSKSPSMELHGEGSRESGATSTFIHSLERRSSLP
+LDHGPPAQESPGSEKGSPAVSRSKTSTGHLKQQTPSRSPKERSTSLSALVRSSPHGSLGS
+VVNSLSGLKLDNILSGPKMDVLKSGMKQAATVASKMWVAVASAYNYSDDEEETNRDYSFP
+AGLEDHILGENISPNTSISGLVPSELTQSNTSLGSSSSSGDIGKLHYSPGELPFLRGMKG
+QEFEKSDHGSSPNTSMSSIYQNCAMEVLMSSCSQCRVCAALVYDEEIMAGWTADDSNLNT
+ACPFCKSNFLPLLNIEFKDLRGSASFFLKPSTSGDSLQSGSIPLASEPLEHKPISSPAEP
+DLINLTDLPKHNQTITEETSSAVESSDEIMKASGDVQTMKISPVPNSLSKRNVSLTRSHS
+VGGPLQNMDFSQRPFHGISTVSLPNSLQEVVLPLSSLSQDSKLVYIQLLWDNINLHQEPG
+EPLYVAWRNFNSEKKSSLLSEEQQATSTLVEIIRQSIQHNDVLKPINLLAQQMKGDVKRQ
+RSLYREILFLSLVSLGRENIDIVIMQMTESQLITISRWYS
+>tr|A0A3Q1N8N5|A0A3Q1N8N5_BOVIN DHR-2 domain-containing protein OS=Bos taurus OX=9913 PE=3 SV=1
+MHVSLSFIYKTLLKSKFTSLLFIYTELFPNGCSAFKKITPNIDEEGAMKEDAGMMDVHYS
+EEVLLELLEQCVDGLWKAERYEVISEISKLIIPIYEKRREFEKLTQVYRTLHGAYTKILE
+VMHTKKRLLGTFFRVAFYGQSFFEEEDGKEYIYKEPKLTGLSEISLRLVKLYGEKFGTEN
+VKIIQDSDKVNVKELDPKYAHIQVTYVKPYFDDKELTERKTEFERNHNINRFVFEAPYTL
+SGKKQGCIEEQCKRRTILTTSNSFPYVKKRIAINYEQQIHLKPIDVATDEIKDKTAELQK
+LCSSADVDMIQLQLKLQGCVSVQVNAGPLAYARAFLNDSQASKYPAKKVNELKDMFRKFI
+QACSIALELNERLIKEDQIEYHEGLKSNFRDMVKELSDIIHEQASIRVIEKENMIWVPKV
+LLSKPISLK
+>tr|F1MQ37|F1MQ37_BOVIN Myosin heavy chain 9 OS=Bos taurus OX=9913 GN=MYH9 PE=1 SV=3
+MAQQAADKYLYVDKNFINNPLAQADWAAKKLVWVPSDKSGFEPASLKEEMGEEAIVELVE
+NGKKVKVNKDDIQKMNPPKFSKVEDMAELTCLNEASVLHNLKERYYSGLIYTYSGLFCVV
+INPYKNLPIYSEEIVEMYKGKKRHEMPPHIYAITDTAYRSMMQDREDQSILCTGESGAGK
+TENTKKVIQYLAHVASSHKSKKDQGELERQLLQANPILEAFGNAKTVKNDNSSRFGKFIR
+INFDVNGYIVGANIETYLLEKSRAIRQAKEERTFHIFYYLLSGAGEHLKSDLLLESYNKY
+RFLSNGHVTIPGQQDKDMFQETMEAFRIMGIPEEEQMGLLRVISGVLQLGNIVFKKERNS
+DQASMPDNTAAQKVSHLLGINVTDFTRGILTPRIKVGRDYVQKAQTKEQADFAIEALAKA
+TYERMFRWLVLRINKALDKTKRQGASFIGILDIAGFEIFDLNSFEQLCINYTNEKLQQLF
+NHTMFILEQEEYQREGIEWNFIDFGLDLQPCIDLIEKPAGPPGILALLDEECWFPKATDK
+SFVEKVMQEQGTHPKFQKPKQLKDKADFCIIHYAGKVDYKADEWLMKNMDPLNDNIATLL
+HQSSDKFVSELWKDVDRIIGLDQVAGMSETAMPGAFKTRKGMFRTVGQLYKEQLAKLMAT
+LRNTNPNFVRCIIPNHEKKAGKLDPHLVLDQLRCNGVLEGIRICRQGFPNRVVFQEFRQR
+YEILTPNSIPKGFMDGKQACVLMIKALELDSNLYRIGQSKVFFRAGVLAHLEEERDLKIT
+DVIIGFQACCRGYLARKAFAKRQQQLTAMKVLQRNCAAYLKLRNWQWWRLFTKVKPLLQV
+SRQEEEMMAKEEELVKVREKQLAAENRLSEMETLQSQLLAEKLQLQEQLQAETELCAEAE
+ELRARLTAKKQELEEICHDLEARVEEEEERCQHLQAEKKKMQQNIQELEEQLEEEESARQ
+KLQLEKVTTEAKLKKLEEDQIIMEDQNCKLAKEKKLLEDRIAEFTTNLMEEEEKSKSLAK
+LKNKHEAMITDLEERLRREEKQRQELEKTRRKLEGDSTDLNDQIAELQAQIAELKMQLAK
+KEEELQAALARVEEETTQKNMALKKIRELESQISELQEDLESERAARNKAEKQKRDLGEE
+LEALKTELEDTLDSTAAQQELRSKREQEVNILKRTLEDEARIHEAQIQDMRQKHSQAVEE
+LAEQLEQTKRVKANLEKAKQTLENERGELANEVKVLQQGKADTEHKRKKVEAQLQELQVK
+FTEGERVRTELADKVSKLQVELDNVTGLLTQSDSKSSKLTKDFSALESQLQDTQELLQEE
+NRQKLSLSTKLKQVEDEKNSLKEQLEEEEEAKRNLEKQISTLHAQVTDMRKKMDDSVGCL
+ETAEEAKRKLQKDLEGLSQCYEEKVAAYDKLEKTKTRLQQELDDLLVDLDHQRQSVSNLE
+KKQKKFDQLLAEEKTISAKYAEERDRAEAEAREKETKALSLARALEEAMEQKAELERLNK
+QFRTEMEDLMSSKDDVGKSVHELEKSKRALEQQVEEMKTQLEELEDELQATEDAKLRLEV
+NLQAMKAQFERDLQGRDEQSEEKKKQLVKQVREMEAELEDERKQRSIAVAARKKLEMDLK
+DLEAHIDSANKNRDEAIKQLRKVQAQMKDYMRELDDTRASREEILAQSKENEKKLKSMEA
+EMIQLQEELAAAERAKRQAQQERDELADEIANSSGKGALALEEKRRLEARIAQLEEELEE
+EQGNTELVNDRLKKANLQIDQLNTDLNLERSHTQKNENARQQLERQNKELKVKLQEMEGT
+VKSKFKASIAALEAKIAQLEEQLDNETKERQAACKQVRRTEKKLKDVLLQVDDERRNAEQ
+YKDQADKASTRLKQLKRQLEEAEEEAQRANASRRKLQRELEDATETADAMNREVSSLKNK
+LRRGDLPFVVPRRMARKGASDCSDDEMDGKADGAEAKAAEARAAE
+>tr|A0A3Q1MJ61|A0A3Q1MJ61_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC511865 PE=3 SV=1
+MDTGNCSSLTEFIFLGITDNTKTKVILFTMFLLVYLITLLANLGMITLIRMDPQLHTPMY
+FFLSHLSFCDLSISTAVGPKMLVDLLAKNKSIPFYGCALQLLVLYTFIDCECLLLAVMAY
+DRYKAISSPLLYAVSMSSGVCSLLMAGVYLVSIAETLIHMTLAFRLCFCGSNEINHFFCD
+VAPLLLLSCSDTQVNELLIFTAFGFIELSTISGVLVSYCYIILSVLKIHSAKAGFKAFST
+CISHLTSVAIFQGTMLFMYFRSSSSYSLDEDKMTSLFYTLVIPMLNPLIYSLRNKDVKQT
+LKKLKDKWF
+>tr|F6R1R5|F6R1R5_BOVIN GMP reductase OS=Bos taurus OX=9913 GN=GMPR PE=3 SV=1
+MSCSDPNEAASRVEPRWGPSKAALVDLERTFTFRNSKQTYSGIPIIVANMDTVGTFEMAV
+VMSQHSMFTAIHKHYTLDDWKLFAANHPECLQHVAVSSGSGKDDLEKMSNILEAVPQVKF
+ICLDVANGYSEHFVEFVKLVRSRFPEHTIMAGNVVTGEMVEELILSGADIIKVGVGPGSV
+CTTRTKTGVGYPQLSAVIECADSAHGLKGHIISDGGCTCPGDVAKAFGAGADFVMLGGMF
+SGHTECAGEVIERNGQKLKLFYGMSSETAMKKHSGGVAEYRAPEGKTVEVPYKGDVENTI
+LDILGGLRSTCTYVGAAKLKELSRRATFIRVTQQHNTVFS
+>tr|A0A3Q1LSL7|A0A3Q1LSL7_BOVIN Ubiquitin specific peptidase 54 OS=Bos taurus OX=9913 GN=USP54 PE=4 SV=1
+MSWKRNYFSGGRGSVQGMFAPRSSTSIAPSKGLSNEPGQNSCFLNSALQVLWHLDIFRRS
+FRQLTTHKCMGDSCIFCALKGIFNQFQCSSEKVLPSDALRSALAKTFQDEQRFQLGIMDD
+AAECFENLLMRIHFHIADETKEDICTAQHCISHQKFAMTLFEQCVCTSCGATSDPLPFIQ
+MVHYISTTSLCNQAICMLERREKPSPSMFGELLQNASTMGDLRNCPSNCGERIRIRRVLM
+NAPQIITIGLVWDSDHSDLAEDVIHSLGTCLKLGDLFFRVTDDRAKQSELYLVGMICYYG
+KHYSTFFFQTKIRKWMYFDDAHVKEIGPKWKDVVTKCIKGHYQPLLLLYADPQGTPVSTQ
+DLPPQVEFQSYSRTCYDSEDSGREPSISSDTRTDSSTESYPYKHFHHESVVSHFSSDSQG
+TVIYNVETDSTSQSSRDTGHLTDSECNQKLPSKKGSLIERKRSSGRVRRKGDESQASGYH
+SEGETLKEKQAPRNASKSSSSTNRLRDFKETVSNMIHNRPSLASQTTLGPPCVGKGGEQT
+DKKPPRSLPLHSRDWEVESTSSESKSSSSSKYRPTWRPKRESLNIDSIFSKDKRKHSGYT
+QLSPFSEDSAKEFTPDESSKPPAYDIRTGPSPQYKIWGPARPGCHFLEQHPRLIQRMESG
+YESSERNSSSPVSLDAALPESSSVCRDPSAKRSAGLAPSWRHIPKSHSSSILEADSAVSR
+SGWMKNQPLSGGEISSKTELDELQEEVARRAQEQELRRKREKELEAAKGFNPHPSRFMDL
+DELQNQAKLRLALHGASSSTHSRALVDKKLQISIRKARSLQDRMQQQQSSQPPSQPSTCL
+PSQGGALPQPTSEQPIPLQVLLSQEAQLEPYTNTEFGASTFIYSPASCHEPHSPLFPESS
+ALSAPQHNSPSGSASNLLTSVEVDSIDPSVFHRQGLPKTPGRPEKSSHHGWESEDELQSC
+RGESSSCARSPQREGRDTDREQLFREKRDPADSSQVMPWPQPIGIIATSERGEAHSLGCG
+PSNSAAQPSLSLYRACHPVKPAPSSSVLHSSNTVQKTNQCLQTQNFKIPLTSNMDRGSEE
+PSMPEFPTTKGLVRSLAEQFQRMHGASTRDGTGAQDRSLPNSLRKDSPPSDCKPPFPQSQ
+GKGHWSWAKQQPSLDGRNKLPSWEEPANHPSLATNSGPPNGEASRGGQPRLAESGIHHGK
+PSQVRDAGPKELGSSVDSGTSLPSDSWVNVTRLCDSQLKHGVPGPGMKSSPHDSHTCVTY
+PERNHIILHPHWNQDTEQETSELESLYQASLQVSQSGCSGCGQQEVAWNPLSQTGSADGV
+GRRLHSAPGLGLSKTPTAEMEHSLHEANSVSASQAAPCQGPSRECGEDDQYGAENFRRIS
+RSLSGTVVSEREEVPVSSHSFDSSSVRKKPLETRHRCSSSSSLPVIHDPPVFLLGPQLYP
+PQPQFLSPDVLMPSMAGEPHRPPGTSRSVQQFLAMCDRGETSEGVKYTGKTLNYRSLPHR
+SRTDASRGLWSEANQHVGARFLTTPGCKPQLTHTATLPERHQGLQVPHAQSWGDLFHSPS
+HPPVVHPVSPPSSSLCVPLRSAWNSGPVPGFRAPGPRRVDMPPDDDWRQSSYALPSRHRR
+TGREEFLFVLADAPNREQIRARALQHSRW
+>tr|F1N4Z8|F1N4Z8_BOVIN Phosphate cytidylyltransferase 1, choline, beta OS=Bos taurus OX=9913 GN=PCYT1B PE=4 SV=2
+MPVLTTDAESETGIPKSLSNEPPSETMEEIEHTCPQPRLTLTAPAPFADETSCLCQAPHE
+KLTIAQARLGTPVDRPVRVYADGIFDLFHSGHARALMQAKTLFPNSYLLVGVCSDDLTHK
+FKGFTVMNEAERYEALRHCRYVDEVIRDAPWTLTPEFLEKHKIDFVAHDDIPYSSAGSDD
+IYKHIKEAGMFVPTQRTEGISTSDIITRIVRDYDVYARRNLQRGYTAKELNVSFINEKKY
+RFQNQVDKMKEKVKNVEERSKEFVNRVEEKSHDLIQKWEEKSREFIGNFLELFGPDGAWK
+QMFQERSNRMLHALSPKQSPVSSPTRSRSPSRSPSPTFLWLPHKPSPPFSPKAASASMSS
+MSEGDEDEK
+>tr|F1MDI6|F1MDI6_BOVIN Zinc finger protein 112 OS=Bos taurus OX=9913 GN=ZNF112 PE=4 SV=2
+MLLSGILGSVVREVSVGRVTSGSGTRGRGLRSSRKGLCLSPGKGQEDDEVPGNQPFKPEL
+IFQLEREERLMMESQRGGCSGTKSPHNMESIQEVGLSCFSPKDLSTWQSWQRGAGRLTGC
+QDSMRNFQENISQLQKQGDSPCQVWAGIPIQISEDENCVLTHIGDGSNDIKNQEFPSWRA
+HHSWRKMYLTESRNYQCRCQQVSKENDFCKSDSISWISHHGDNLGVHRTGKNSSCHDCGE
+DVMKVSLLNHIQTGQKPYPGNEFRKAFSDDSSSEVHQQLDLEGKPCTYSACEKDCSYRSV
+LHIHQSVCRGDHCIFENSHLQSHQKGHAKEKPFKCEYSESINQCSSHNTYQLIPRGETSD
+RYSVYEKGFSHSLDLSSIVRVYIEEESHESEENGNAFNENSCLQAHQKIHTEEKRYTDME
+CEKGFICNSDLNIQHRVHMEEVPYNSEACANGFSLASHFQNLQIVHTREQPYKRYTCGNS
+FSQSSYLQAHQKIHIGEKPYKVCGNGFNWNSKLKDHQRVHTGEKPYKCSACGKGFSHRSV
+LNVHQRVHTGEKPYKCEECDKGFSRSSYLQAHQRVHTGEKPYKCEACGKGFSRNSYLQGH
+QRVHTGEKPYKCEECGKGFSRSSHLQGHQRVHTGEKPFKCEECGKGFSWSFNLQIHQRVH
+TGEKPYKCGECEKGFSKASTLLAHQRVHTGEKPYQCDECGKSFSQRSYLQSHQSVHTGER
+PYICEVCGKGFSQRAYLQGHQRVHTRVKPYKCEMCGKGFSQSSRLEAHQRVHTGGKPYKC
+AVCTKGFSESSRLQAHQRVHTEGRPYKCEQCGKGFSGFSSLQAHHRVHTGEKPYKCEVCG
+KGFSQRSNLQAHQRVHTGEKPYKCDACGKGFRWSSGLLIHQRVHSGDKFYTSEEYSKGYP
+SSENPYRSEVL
+>tr|A0A3Q1MMQ2|A0A3Q1MMQ2_BOVIN G protein signaling modulator 3 OS=Bos taurus OX=9913 GN=GPSM3 PE=4 SV=1
+MEAERPQEEEDGEQHQGPHQDEHGWPPASSSTRPWRSAPPSPPPPGTRPTALGPRSASLL
+SLQTELLLDLVAEAQSRRLEEQRATFHPPKNPLSLGRALPRPLEDREQLYSTILSHQCQR
+MEAQRSEPPLPPGGQELLELLLRVQGGGRMEEQRSRPPTHTC
+>tr|A0A3Q1MKC6|A0A3Q1MKC6_BOVIN Hyperpolarization activated cyclic nucleotide gated potassium and sodium channel 2 OS=Bos taurus OX=9913 GN=HCN2 PE=4 SV=1
+MQRQFGALLQPGVNKFSLRMFGSQKAVEREQERVKSAGAWIIHPYSDFRFYWDFTMLLFM
+VGNLIIIPVGITFFKDETTAPWIVFNVVSDTFFLMDLVLNFRTGIVIEDNTEIILDPEKI
+KKKYLRTWFVVDFVSSIPVDYIFLIVEKGIDSEVYKTARALRIVRFTKILSLLRLLRLSR
+LIRYIHQWEEIFHMTYDLASAVMRICNLISMMLLLCHWDGCLQFLVPMLQDFPRNCWVSI
+NGMVNHSWSELYSFALFKAMSHMLCIGYGRQAPESMTDIWLTMLSMIVGATCYAMFIGHA
+TALIQSLDSSRRQYQEKYKQVEQYMSFHKLPADFRQKIHDYYEHRYQGKMFDEDSILGEL
+NGPLREEIVNFNCRKLVASMPLFANADPNFVTAMLTKLKFEVFQPGDYIIREGTIGKKMY
+FIQHGVVSVLTKGNKEMKLSDGSYFGEICLLTRGRRTASVRADTYCRLYSLSVDNFNEVL
+EEYPMMRRAFETVAIDRLDRIGKKNSILLHKVQHDLNSGVFNNQENAIIQEIVKYDREMV
+QQAELGQRVGLFPPPPPPPQGTSAIATLQQAVAMSFCPQVARPLVGPLALGSPRLVRRLP
+PGPAPTVATAAASPGPAPAASPPGAPASPRAPRTSPFGGAPGSPAAPRAGPALPARRLSR
+ASRPLSASQPSLPHGAPGPAATARPASSSTPRLGPTPATRVAAPSPDRRDSASPSPGTAG
+GPDPLDSARSRLSSNL
+>tr|A0A3Q1LRP1|A0A3Q1LRP1_BOVIN Phospholipase A2 OS=Bos taurus OX=9913 GN=PLA2G4A PE=4 SV=1
+QKVEHHYSHKFTVVVLRATKVTKGTFGDMLDTPDPYVELFISSTPDSRKRTRHFNNDINP
+VWNETFEFILDPNQENILEITLMDANYVMDETLGTTTFPISSMKVGEKKQVPFIFNQVTE
+MILEMSLEVCSSPDLRFSMALCDQEKAFRQQRKENIKENMKKLLGPKNSEGLHSTRDVPV
+VAILGSGGGFRAMVGFSGVMKALYESGILDCATYIAGLSGSTWYMSTLYSHPDFPEKGPE
+EINKELMKNVSHNPLLLLTPQKIKRYVESLWRKKSSGQPVTFTDIFGMLIGETLIHNRMN
+TTLSSLKEKVNTGQCPLPLFTCLHVKPDVSELMFADWVEFSPFEIGMAKYGTFMAPDLFG
+SKFFMGTVVKKYEENPLHFLMGVWGSAFSILFNRVLGVSGSQSKGSTMEEELENITAKHI
+VSNDSSDSDDESQGPKGTEHEEAEREYQNDNQASWVQRMLMALVSDSALFNTREGRAGKV
+HNFMLGLNLNTSYPMSPLRDFTMQESLDEDELDAAVADPDEFEQIYEPLDVKSKKIHVVD
+SGLTFNLPYPLILRPQRGVDLIISFDFSARPSDSSPPFKELLLAEKWAKMNKLPFPKIDP
+YVFDREGLKECYVFKPKNPDVEKDCPTIIHFVLANINFRKYKAPGVPRETNEEKEIADFD
+IFDDPESPFSTFNFQYPNQAFKRLHDLMYFNTLNNIDVIKNAIVESIEYRRQNPSRCSVS
+LSSVEARRFFNKEFLSKPTA
+>tr|F1N6U1|F1N6U1_BOVIN Potassium voltage-gated channel subfamily Q member 4 OS=Bos taurus OX=9913 GN=KCNQ4 PE=3 SV=2
+FLLVFSCLVLSVLSTIQEHQEFANEFLLILEFVMIVVFGLEYIIRVWSAGCCCRYRGWQG
+RFRFARKPFCVIDFIVFVASVAVIAAGTQGNIFATSALRSMRFLQILRMVRMDRRGGTWK
+LLGSVVYAHSKELITAWYIGFLVLIFASFLVYLAEKDANSDFSSYADSLWWGTITLTTIG
+YGDKTPHTWLGRVLAAGFALLGISFFALPAGILGSGFALKVQEQHRQKHFEKRRMPAANL
+IQVGGGARALPAADGALSCRELALLFEHVQRARNGGLRPLEVRRAPVPDGAPSRYPPVAT
+CHRPGSASFCPGESSRMGIKDRIRMGSSQRRTGPSKQHLAPPPMPTSPSSEQVGEASSPT
+KVQKSWSFNDRTRFRASLRLKPRTSAEEGPSEEVAEEKSYQCELTVDDVMPAVKMVIRSV
+RILKFLVAKRKFKETLRPYDVKDVIEQYSAGHLDMLGRIKSLQARVDQIVGRGPGDRKAR
+EKGDKGPSDTEAVDEISIMGRVVKVEKQVQSIEHKLDLLLGFYSRCLRSGTSVSLGTVQV
+PLFDPDITSDYHSPVDHEDISVSAQTLSISRSVSTNMD
+>tr|A0A3Q1M6X5|A0A3Q1M6X5_BOVIN Integrase catalytic domain-containing protein OS=Bos taurus OX=9913 GN=KRBA2 PE=4 SV=1
+MLNSYENAVPQGDFLQFSMTPQRAGNDPRGVSNPSETDMEISTMREKFLTSVTKLVESKS
+YNSKVFSKEKYFQTIKEVKEAKEKGKKSSRDYRRAAKYDVISVAGTEKLIEAAHRERDRI
+RYYVHKEELFDILHDTHLNIGHGGRTRMLKELQGKYGNVTKEVIVLYLTLCKQCHQKNPV
+PKRGLAPKPMPCKDNDSRCQVEILDMQSNADGEFKFILYYQDHLTKFIILRPLKAKQAHE
+VVSVLLDIFTILGPPSVLESDSGMEFTNQVVNELNEVWPDLKIVLGKSHPGQGQGFLERV
+SRDVKTMLSAWMQSNHSHHWTEGLRFMQMVRNQAFDGSLQQSPYEAMFGCKAKFGLYSSH
+LPRETVAVLHTEEELEIAEEQLESSLWVRQEERAEVGADRSDVDEDVDPMPLEASEPSTS
+QAASGLWSW
+>tr|E1BJ99|E1BJ99_BOVIN Lectin, mannose binding 1 like OS=Bos taurus OX=9913 GN=LMAN1L PE=4 SV=3
+MRVVRGLDPLLCLLLLVLLDPHSPEMGHLPQRRFEYKLSFKGPRLALPGVGIPFWSHHGD
+AIPGLEEVRLAPSMRNRSGAVWSTNPVLFPAWEVEIQMRVTGPGRWGAQGMAVWYTRGRG
+QVGPVLGEPDLGDGIGILFDSSAQDPQNSPAIYVLARDRHTLYEPLGDGGSLLLGSCRQD
+FRNRPYPFRARITYWGQRLRVSLNSGLTPSGPDEVCVDVGPLLLAPGGFFGVSAATSILA
+DDHDVLSFLTFSLWEPGPEPPSQPFLETEQLRLAKQLEGLQARLGLGTKEDMTPELNYGV
+WEEGEQSFGLEETLHRHSQILQALQGLSKHLMQAERQWKQQLGSPGHIRPEGGWDSAKVS
+ALLLGQRTLLQDLQEMRDAAAHMASRAQVFYLPVGTKHHFSELAQILSLLQKDLRGPARA
+AAKDPRSPGRSPRVSSCLQPGIFLFFLFIQTVGFFCYVHFSKQKLDKGLQDYLSTCSLPL
+SSTPQIPRVLGALRRQPFSPSIQA
+>tr|A0A3Q1N3A8|A0A3Q1N3A8_BOVIN Kinesin-like protein OS=Bos taurus OX=9913 GN=KIF23 PE=3 SV=1
+MRRARAHLAPQRRFPDSSSAALEPPSRGPCGRSLRPAVLVNPRPSPASPRARLGSSELTT
+MKPARTKTPRKPPVKKGSQTSLKDPVGVYCRVRPLSLPEQECCIEVINNTTVQLHTPEGN
+RLNRNGDYKETQYSFKQVFGIHTTQKELFDVVANPLVDDLIHGKNGLLFTYGVTGSGKTH
+TMTGSPGEGGLLPRCLDMLFNSIGSFQAKRYVFKSNDRNSMDIQCEVDALLERQKREATP
+NPKTPSSKRQVDPEFADMINVQEFCKAEEVDEDSVYGVFVSYIEIYNNYIYDLLEEVPFD
+PIKPKWNSCSTPMRNTDFVPPQSKLLREDKNHNMYVAGCTEVEVKSTEEAFEVFWRGQKK
+RRIANTHLNHESSRSHSVFNIKLVQAPLDADGDNVLQEKEQITISQLSLVDLAGSERTNR
+TKAEGNRLREAGNINQSLMTLRTCMEVLRENQTYGTNKMVPYRDSKLTHLFKNYFDGEGK
+VRLIVCVNPKAEDYEESLQVMRFAEVTQEVEVARPTDKAICGLTPGRRYRNQARAGPVGD
+EPLVSEVVLQSFPPLPSCELLDVNDEQTLPRLIEALERRHHLRQMMIEEFNRQSITFKAL
+LQEFDNAVLNKENYIQGKLNEKEKVISGQKLEIERLEKKNKTLEYKVEILEKTTTIYEED
+KRNLQQELETQNEKLQRQFSDKRRLEARLQGMVTETTMKWEKECERRVAAKQLEMQNKLW
+VKDEKLKQLKAIVTEPKTEKPERPSRERDREKVTQRSVSPSPIPNAPPIRLRHRRSRSAG
+DRWVDHKPATNLQTETVMQPHVPRAITVSVANEKALAKCEKYMLTHQELASDGEIETKLI
+KGDVYKTRGGGQSVQFTEIETLKQESPTSRKRRSSTVAPAQPDGTESEWTDVETRCSVAV
+EMRAGSQLGPGYQHHAQPKRKKP
+>tr|A0A3Q1M7D6|A0A3Q1M7D6_BOVIN Zinc finger protein 316 OS=Bos taurus OX=9913 GN=ZNF316 PE=4 SV=1
+MRGGGASPSPSVFQAELRLLAEAMAAPRTTPDSPAAQLERLDGSECGPDQEEEEEEGKGD
+QVEALEERLAEEVVVDADVEVDATVEAALAGEQSPASGTQECPHGGRDTESPGLQEKALQ
+AFRAPATPRDEDLEREGEGDEEEEDEDEVDSLTSGSQGLVTFEDVAVYFSLEEWERLDAE
+QRELYKEVMRENYGILVSLGYPVPKPDLIFRLEQGEEPWVPDSPRPEEGDIVTGVYTGAW
+FWTDDIEDHEEDDDEDFLAEVAEEENEPPGLWSAAYGVGDVPGTWGPDDSDSAQTPEGWG
+LDPGGLGVLAPGSESKPFLPDREPGASLLAPWAFPAAVAVPAGRPETTCDVCGKVFPHRS
+RLAKHQRYHAAVKPFGCDECGKGFVYRSHLAIHQRTHTGEKPFPCPDCGKRFVYKSHLVT
+HRRIHTGERPYRCAFCGAGFGRRSYLVTHQRTHTGERPYPCPHCGRSFSQSSALARHQAV
+HTADRPHCCPDCGQAFRLRADFQRHRRGGGCAEPGSEGPRPEPCSETRPAAGPEEAEVGP
+EGLEGPEIDEADGETEGEAEVREAAVEAPTPRSKDDPEPDRRFLGLGNGLGEGEGPSSHP
+LGFHFPMHPKSWLHPDGFPILGLPDFGERLPADGRPLPGPLGGRLSLVEGAGLACDPFGG
+GAGPGGASGLRAFGPAVGGLLAEPAPAALAEEESPWICSDCGKTFGRRAALAKHQRYHAG
+ERPHRCADCGKSFVYGSHLARHRRTHTGERPFPCPECGARFARGSHLAAHVRGHTGEKPF
+VCGVCGAGFSRRAHLTAHGRAHTGERPYACGECGRRFGQSAALTRHQWAHAEEKPHRCPD
+CGKGFGHSSDFKRHRRTHTGEKPFRCTDCGRGFAQRSNLAKHRRGHTGERPFPCPECGKR
+FSQRSVLVTHQRTHTGERPYACANCGRRFSQSSHLLTHMKTHRGAAGAPVQAPAAPVPKA
+EAPAKGPPSAGTGTGPGEHGSTLLEFAGGTSFGSEPTAAFAGPSGAYEETIL
+>tr|A0A3Q1LKP4|A0A3Q1LKP4_BOVIN Beta-defensin 1 OS=Bos taurus OX=9913 GN=DEFB1 PE=4 SV=1
+MRLHHLLLELLFVVLSAGSGISDFASCHTNGGICLPNRCPGHMIQIGICFRPRVKCCRSW
+>tr|A0A3Q1NNE0|A0A3Q1NNE0_BOVIN Acetylcholine receptor subunit epsilon OS=Bos taurus OX=9913 GN=CHRNE PE=3 SV=1
+MDTLLLPNSAGRNCICVTLVGLWVVLSVAGKGCHLHRAADSEDTSAVLKAGPSTPPLPGR
+GEGKNEELRLYHYLFDTYDPGRRPVQEPEDTVTISLKVTLTNLISLNEKEETLTTSVWIG
+IDWQDYRLNYSKGDFGGVETLRVPSELVWLPEIVLENNIDGQFGVAYEANVLVSEGGYLS
+WLPPAIYRSTCAVEVTYFPFDWQNCSLVFRSQTYNAEEVEFVFAVDDEGKTISKIDIDTE
+AYTENGEWAIDFCPGVIRRHDGDSAGGPGETDVIYSLIIRRKPLFYVINIIVPCVLISGL
+VLLAYFLPAQAGGQKCTVSINVLLAQTVFLFLIAQKTPETSLSVPLLGRYLIFVMVVATL
+IVMNCVIVLNVSLRTPTTHAMSPRLRYVLLELLPQLLGSGAPPEIPRAASPPRRASSLGL
+LLRAEELILKKPRSELVFEQQRHRHGTWTATLCQNLGAAAPEIRCCVDAVNFVASSTRDQ
+EATGEEVSDWVRMGKALDSICFWAALVLFLVGSSLIFLGAYFNRVPQLPYPPCM
+>tr|A0A3Q1LV61|A0A3Q1LV61_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MSELRPAVLIGDSGVGKSNLLSRFAQNELSLESKSTIGVEFATRSIQVDGKTIKVQIQDL
+PGQEPYHSRTSAYYCGAVGALLVYDIAKHLTYENVERWLKELRDHADSNVVIMPVGNKSD
+LHHLRAVPADESRAFAEENDLSFIETSALDPTNVEKQMSDRRENNMSPGNNVVPIHVPPT
+TENKPKVQCCCV
+>tr|F6PU96|F6PU96_BOVIN Hexosyltransferase OS=Bos taurus OX=9913 GN=CHSY1 PE=3 SV=1
+MAVRGRRAWLSVLLGLVLGFVLASRLVLPRASELKRAGPRRRASPEACRPGQAAAVPLAG
+GARGDARGQRLWPHGEAQDGVPRDRNFLFVGVMTAQKYLQTRAVAAFRTWSKTIPGKVEF
+FSSEGSDTSISIPVVPLRGVDDSYPPQKKSFMMLKYMHDHYLDKYEWFMRADDDVYIKGD
+RLESFLRSLNSSEPLFLGQTGLGTTEEMGKLALEPGENFCMGGPGVIMSREVLRRMVPHI
+GKCLREMYTTHEDVEVGRCVRRFAGVQCVWSYEMQQLFYENYEQNKKGYIRDLHNSKIHR
+AITLHPNKNPPYQYRLHSYMLSRKIAELRHRTIQLHREIVLMSKYSNTEVHKEDLQLGIP
+PSFMRFQPRQREEILEWEFLTGKYLYSAADSQPPRRGMDSAQREALDDIVMQVMEMINAN
+AKTRGRIIDFKEIQYGYRRVNPMYGAEYILDLLLLYKKHKGKKMTVPVRRHAYLQQTFSK
+IQFVEHEELDAKELANKINQESGSLSFLSNSLKKLVPFQLPGSKNEHKEPKEKKINILIP
+LSGRFDMFVRFMGNFEKTCLIPNQNVKLVVLLFNSDSNPDKAKQVELMRDYRIKYPKADM
+QILPVSGEFSRALALEVGSSQFNNESLLFFCDVDLVFTAEFLQRCRANTVLGQQIYFPII
+FSQYDPKIVYSGKVPSDNHFAFTQKTGFWRNYGFGITCIYKGDLVRVGGFDVSIQGWGLE
+DVDLFNKVVQAGLKTFRSQEVGVVHVHHPVFCDPNLDPKQYKMCLGSKASTYGSTHQLAE
+MWLEKNDPNYSKSSNNNGSVRTA
+>tr|F1MS88|F1MS88_BOVIN HORMA domain-containing protein 2 OS=Bos taurus OX=9913 GN=HORMAD2 PE=4 SV=1
+MATVQLSRSIRIRKTSKKTVFPSQITNEHESLIMVKKLFATSISCITYLRGLFPESSYGE
+RHLDDLSLKILREDKKCPGSLHIIKWIQGCFDALEKRYLRMAVLTLYTNPKEPEKVTEIY
+QFKFKYTKEGATMDFDSSNTSFESGTNSEDIKKASVLLIRKLYMLMQNLGPLPNDVILTM
+TLHYYNAVTPNDYQPPGFKEGVSSHLLLFEGEPVNLQVGMVSTGFHSMKVKVTTEATRVS
+DLESSLFQESGTTEIAHQGLDCDEEEEECNTEIQKMNFVCSQQSSERSRKKRKVSEPVKV
+FIPDRK
+>tr|A0A3Q1LW46|A0A3Q1LW46_BOVIN Ryanodine receptor 2 OS=Bos taurus OX=9913 GN=RYR2 PE=4 SV=1
+KEQQKLCLAAEGFGNRLCFLESTSNSKNVPPDLSICTFVLEQSLSVRALQEMLANTVEKS
+EGTAQGGGHRTLLYGHAILLRHSYSGMYLCCLSTSRSSTDKLAFDVGLQEDTTGEACWWI
+IHPASKQRSEGEKVRVGDDLILVSVSSERYLHLSYGNGSLHVDAAFQQTLWSVAPISSGS
+EAAQGYLIGGDVLRLLHGHMDECLTVPSGEHGEEQRRTVHYEGGAVSVHARSLWRLETLR
+VAWSGSHIRWGQPFRLRHVTTGKYLSLMEDKSLLLMDKEKADVKSTAFTFRSSKEKLDVG
+VRKEVDGMGTSEIKYGDSVCYIQHINTGLWLTYQSVDVKSVRMGSIQRKAIMHHEGHMDD
+GLNLSRSQHEESRTARVIRSTVFLFNRFIRGLDALSKKVKASTVDLPIESVSLSLQDLIG
+YFHPPDEHLEHEDKQNRLRALKNRQNLFQEEGMINLVLECIDRLHVYSSAAHFADVAGRE
+AGESWKSILNSLYELLAALIRGNRKNCAQFSGSLDWLISRLERLEASSGILEVLHCVLVE
+SPEALNIIKEGHIKSIISLLDKHGRNHKVLDVLCSLCVCHGVAVRSNQHLICDNLLPGRD
+LLLQTRLVNHVSSMRPNIFLGVSEGSAQYKKWYYELMVDHTEPFVTAEATHLRVGWASTE
+GYSPYPGGGEEWGGNGVGDDLFSYGFDGLHLWSGCIARTVSSPNQHLLRTDDVISCCLDL
+SAPSISFRINGQPVQGMFENFNIDGLFFPVVSFSAGIKVRFLLGGRHGEFKFLPPPGYAP
+CYEAVLPKEKLKVEHSREYKQERTYTRDLLGPTVSLTQAAFTPIPVDTSQIVLPPHLERI
+REKLAENIHELWVMNKIELGWQYGPVRDDNKRQHPCLVEFSKLPEQERNYNLQMSLETLK
+TLLALGCHVGISDEHAEEKVKKMKLPKNYQLTSGYKPAPMDLSFIKLTPSQEAMVDKLAE
+NAHNVWARDRIRQGWTYGIQQDVKNRRNPRLVPYALLDDRTKKSNKDSLREAVRTLLGYG
+YNLEAPDQDHAAGGRRFRIFRAEKTYAVKAGRWYFEFEAVTAGDMRVGWCRPGCQPDQEL
+GSDERAFAFDGFKAQRWHQGNEHYGRSWQAGDVVGCMVDMTEHTMMFTLNGEILLDDSGS
+ELAFKDFDVGDGFIPVCSLGVAQVGRLNFGKDVSTLKYFTICGLQEGYEPFAVNTNRDIT
+MWLSKRLPQFLQVPSNHEHIEVTRIDGTIDSSPCLKVTQKSFGSQNSSTDIMFYRLSMPI
+ECAEVFSKTAAGGIPGTGLFGPKNDLEDYDVDSDFEVLMKTAHGHLVPDRGDRDKEATKP
+EFNNHKDYAQEKPSRLKQRFLLRRTKPDYSTSHSARLTEDVLADERDDYDYLMQTSTYYY
+SVRIFPGQEPANVWVGWITSDFHQYDTGFDLDRVRTVTVTLGDEKGKVHESIKRSNCYMV
+CAGESLSPGQGRNNNGLEIGCVVDAASGLLTFTANGKELSTYYQVEPSTKLFPAVFAQAT
+SPNVFQFELGRIKNVMPLSAGLFKSEHKNPVPQCPPRLHVQFLSHVLWSRMPNQFLKVDV
+SRISERQGWLVQCMEPLQFMSLHIPEENRSVDILELTEQEELLKFHYHTLRLYSAVCALG
+NHRVAHALCSHVDEPQLLYAIENKYMPGLLRTGYYDLLIDIHLSSYATARLMMNNEFIVP
+MTEETKSITLFPDEKKKHGLPGIGLSTSLRPRMQFSSPSFVSINTEGYQYSPEFPLDILK
+AKTIQMLTEAVKEGSLHARDPVGGTTEFLFVPLIKLFYTLLIMGVFHNEDLKHVLQLIEP
+SVFKEAASPEEESEVAEKEPFVEDSKLEGAAEEENKGAKRPKEGLLQMKLPEPVKLQMCL
+LLQYLCDCQVRHRIEAIVAFSDDFVAKLQDNQRFRYNEVMQALNMSAALTARKTKEFRSP
+PQEQINMLLNFKDDKSECPCPEEIRDQLLDFHEDLMTHCGRLDEDRSLDGNNDLTIRGRL
+LSLVEKVTYLKKKQAEKPVESDSKKSSTLQQLISETMVRWAQESVIEDPELVRAMFVLLH
+RQYDGIGGLVRALPKTYTINGVSVEDTINLLASLGQIRSLLSVRMGKEEEKLMIRGLGDI
+MNNKVFYQHPNLMRALGMHETVMEVMVNVLGGGESKEITFPKMVANCCRFLCYFCRISRQ
+NQKAMFDHLSYLLENSSVGLAMRGSTPLDVAAASVMDNNELALALREPDLEKVVRYLAGC
+GLQSCQMLVSKGYPDIGWNPVEGERYLDFLRFAVFCNGESVEENANVVVRLLIRRPECFG
+PALRGEGGNGLLAAMEEAIKIAEDPSRDGPSPTTGSRGRIYYHEEDDTIHMGNAIMTFYS
+ALIDLLGRCAPEMHLIHAAKGEAIRIRSILRSLIPLGDLVGVISIAFQMPTIAKDGNVVE
+PDMSAGFCPDHKAAMVLFLDRVYGIEVQDFLLHLLEVGFLPDLRAAASLDTAALSATDMA
+LALNRYLCTAVLPLLTRCAPLFAGTEHHASLIDSLLHTVYRLSKGCSLTKAQRDSIEVCL
+LSICGQLRPSMMQHLLRRLVFDVPLLNEHAKMPLKLLTNHYERCWKYYCLPGGWGNFGAA
+SEEELHLSRKLFWGIFDALSQKKYEQELFKLALPCLSAVAGALPPDYMESNYVSMMEKQS
+SMDSEGNFNPQPVDTSNITIPEKLEYFINKYAEHAHDKWSMDKLANGWIYGEIYSDSSKV
+QPLMKPYKLLSEKEKEIYRWPIKESLKTMLAWGWRIERTREGDSMALYNRTRRISQTSQV
+NITVMSVCKIFCLFKAMAEMMAENYHNIWAKKKKLELEAKGGGNHPLLVPYDTLTAKEKA
+KDREKAQDILKFLQINGYAVSRGFKDLELDTPSIEKRFAYSFLQQLIRYVDEAHQYILEF
+GSRSKGEHFPYEQEIKFFAKVVLPLIDQYFKNHRLYFLSAASRPLCSGGHASNKEKEMNF
+SEQFIQLFVSSLGNDATSIVNCLHILGQTLDARTVMKTGLESVKSALRAFLDNAAEDLEK
+TMENLKQGQFTHTRNQPKGVTQIINYTTVALLPMLSSLFEHIGQHQFGEDLILEDVQVSC
+YRILTSLYALGTSKSIYVERQRSALGECLAAFAGAFPVAFLETHLDKHNIYSIYNTKSSR
+ERAALNLPANVEDVCPNIPSLEKLMEEIVDLAESGIRYTQMPHVMEVVLPMLCSYMSRWW
+EHGPENNPGRAEMCCTALNSEHMNTLLGNILKIIYNNLGIDEGAWMKRLAVFSQPIINKV
+KPQLLKTHFLPLMEKLKKKAAMVVSEEDHLKSEARGDMSEAELLILDEFTTLARDLYAFY
+PLLIRFVDYNRAKWLKEPNQEAEDLFRMVAEVFIYWSKSHNFKREEQNFVVQNEINNMSF
+LIMDTKSKMSKSNGNKTVSDQERKKMKRKGDRYSMQTSLIVAALKRLLPIGLNICAPGDQ
+ELIALAKNRFSLKDTEDEVRDIIRSNIHLQGKLEDPAIRWQMALYKDLPNRTEDTSDPEK
+TVERVLDIANVLFHLEQVEHPQRSKKAVWHKLLSKQRKRAVVACFRMAPLYNLPRHRAVN
+LFLQGYEKSWIETEEHYFEDKLIEDLAKPGAEPPEEDEGTKRVDPLHQLILLFSRTALTE
+KWYGWGEKEMEKQKLLYQQARLHDRGAAEMVLQTISASKGETGPMVAATLKLGIAILNGG
+NSTVQQKMLDYLKEKKDVGFFQSLAGLMQSCSVLDLNAFERQNKAEGLGMVTEEGSGEKV
+LQDDEFTCDLFRFLQLLCEGHNSDFQNYLRTQTGNNTTVNIIISTVDYLLRVQESISDFY
+WYYSGKDVIDEQGQRNFSKAIQVAKQVFNTLTEYIQGPCTGNQQSLAHSRLWDAVVGFLH
+VFAHMQMKLSQVKSLSSDSSQIELLKELMDLQKDMVVMLLSMLEGNVVNGTIGKQMVDML
+VESSNNVEMILKFFDMFLKLKDLTSSDTFKEYDPDGKGVISKRDFHKAMESHKHYTQSET
+EFLLSCAETDENETLDYEEFVKRFHEPAKDIGFNVAVLLTNLSEHMPNDTRLQTFLELAE
+SVLNYFQPFLGRIEIMGSAKRIERVYFEISESSRTQWEKPQVKESKRQFIFDVVNEGGEK
+EKMELFVNFCEDTIFEMQLAAQISESDLNERSANKEESEKEKPEEQGPRMGFFSIMTVKS
+ALFALRYNILTLMRMLSLKSLKKQMKKVKKMTVKDMITAFFTSYWSILMSLLHFVASVFR
+GFSRIIGSLLLGGSLVEGAKKIKVAELLANMPDPTQDEVRGDGEEGERKTLEGALPSEDL
+TDLKELSEESDLLSDIFGLDLKREGGQYKLIPHNPNAGLSDLMSNPVPIPEVQEKFQVTY
+LQSQQLSGLQEQKAKEEEKEEKEESKSEPEKAEYVSFLCPLLCVSVFHTSSPFGDAVERI
+SGCKNYFARNFYNMRMLALFVAFAINFILLFYKVPLRNVPTEEYGILEGFSLDSSSPRII
+AVHYVLEESSGYMEPTLRILAILHTVISFFCIIGYYCLKVPLVIFKREKEVARKLEFDGL
+YITEQPSEDDIKGQWDRLVINTQSFPNNYWDKFVKRKVMDKYGEFYGRDRISELLGMDKA
+ALDFSDAREKKKPKKDSSLSAVLNSIDVKYQMWKLGVVFTDNSFLYLAWYMTMSILGHYN
+NFFFAAHLLDIAMGFKTLRTILSSVTHNGKQLVLTVGLLAVVVYLYTVVAFNFFRKFYNK
+SEDGDTPDMKCDDMLTCYMFHMYVGVRAGGGIGDEIEDPAGDEYEIYRIIFDITFFFFVI
+VILLAIIQGLIIDAFGELRDQQEQVKEDMETKCFICGIGNDYFDTVPHGFETHTLQEHNL
+ANYLFFLMYLINKDETEHTGQESYVWKMYQERCWEFFPAGDCFRKQYEDQLN
+>tr|A0A3Q1M0A7|A0A3Q1M0A7_BOVIN Receptor for retinol uptake STRA6 OS=Bos taurus OX=9913 GN=STRA6 PE=4 SV=1
+MATYSSIHAWRISWTEKPEEKGLRMSTQAAGNQTSSGATDSEDSYDSWYIDEPQGGQELQ
+PEGLVPSCQPNVPPSLYHTCLAVLSILVLFLLAMLVRRRQLWPRCGHGRPGLPSPVDFLT
+GDRPRTVPAAVFMVLFSSLCLLLPTEDPLPFLSLASPPGRDGEAETSRGPWKILALLYYP
+ALYYPLAACATVRHGAAHLLGSLLSWAHLGVQVWQRAECPESPKIYKYYSLLASLPLLLG
+LGFLSLWYPVQLVRSFGHGAATGSKGLQSSYSEEYLRTLLCQKKLKSSSHTCKRGFASQA
+WMYFRHSVYIPQQGFRLPLKLVLSVTLTGTAIYQVALLLLVGVVPTIQKVRAGITTDVSY
+LLAGFGIVLSEDRQEVVELVKHHLWALEVCYISALVLSCLLTFLMLVHSLVTHRTNLRAL
+HRGGALDIGPLTQSPRPSRQAIFCWMSFTAYQTAFTCLGLLVQQILFFLGTLTLAFLVFM
+PMLHGRNLLLLHYLKSSWPFWLTLALAVTLQNAAAHWAFLDTHHGRPGLTNRRALYAATF
+LLFPVNVLVGTMVAAWRVLLSALYNAVHLGRMDLSLLPLRAATLDPGYHTYCNFLRMEAS
+QSHPAATAFCALLLRTQRPKPRATPQDGLRLGEEEEGIQLLQTKDLVAKGAGPRARQGRA
+RWGLAYTLLHNPALQAFRKTALPGARPNGAQP
+>tr|A0A452DJG7|A0A452DJG7_BOVIN Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit 2 OS=Bos taurus OX=9913 GN=RPN2 PE=3 SV=1
+MLRGSSTVFLLALTIIASTQALTPTHYLTKHDVERLKASLDRPFTSLESAFYSIVGLSSL
+GAQVPDVKKACTFIKSNLDPSNVDSLFYAAQSSQALSGCEISISNETKDLLLAAVSEDSS
+VAQIYHAVAALSGFGLPLASQEALGALTARLSKEETVLATVQALQTASYLSQQADLRSIV
+EEIEDLVARLDELGGVYLQFEEGLETTALFVAATYKLMDHVGTEPSIKEDQVIQLMNAIF
+SKKNFESLSEAFSVASAAAALSENRYHVPVVVVPEGSPSYTQEQAILRLQVTNVLSQPLT
+QATVKLEHAKSVASRATVLQKTSFTLIGDVFELNFMNVKFSSGYYDFSVKVEGDNRYIAN
+TVELRVKISTEVGITNVDLSTVDKDQSIAPKTTRVTYPAKAKGTFIADSHQNFALFFQLV
+DVNTGAELTPHQTFVRLHNQKTGQEVVFVAEPDSKNVYKFELDTSERKLEFDSASGTYTL
+YLIIGDATLKNPILWNVADVVIRFPEDDVPSTVLSKNIFTPKQEIQHLFREPEKRPPTVV
+SNTFTALILSPLLLLFALWIRIGANVSNFTFAPSTIVFHLGHAAMLGLMYVYWTQLNMFQ
+TLKYLAILGSVTFLAGNRMLAQQAIKRTAH
+>tr|A0A3Q1NGW6|A0A3Q1NGW6_BOVIN Glycerol kinase OS=Bos taurus OX=9913 GN=GK PE=3 SV=1
+MASAKKAVLGPLVGAVDQGTSSTRFLVFNSKTAELLSHHQVEIKQEFPREGWVEQDPKEI
+LQSVYECIEKTCEKLGQLNIDISNIKAIGVSNQRETTVVWDKLTGEPLYNAVAAPVSPGP
+SDPAAVVPSGSSVPAAGASSVWLDLRTQSTVESLSKRIPGNNNFVKSKTGLPLSTYFSAV
+KLRWLLDNVRKVQKAVEEDRALFGTIDSWLIWSLTGGASGGVHCTDVTNASRTMLFNIHS
+LEWDKELCEFFEIPMKILPNVRSSSEIYGLMKAGALEGVPISGCLGDQSAALVGQMCFQD
+GQAKNTYGTGCFLLCNTGRKCVFSEHGLLTTVAYKLGRDKPVYYALEGSVAIAGAVIRWL
+RDNLGIIKSSEEIEKLAKEVGTSYGCYFVPAFSGLYAPYWEPSARGIICGLTQFTNKCHI
+AFAALEAVCFQTREILDAMNRDCGIPLSHLQVDGGMTNNKILMQLQADILYIPVVKPSMP
+ETTALGAAMAAGAAEGVGVWSLEPEDLSAVTMERFEPQINAEGMP
+>tr|A0A3Q1LVX6|A0A3Q1LVX6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=SNTG2 PE=4 SV=1
+MQGLSAIQVQALHGVSSGPLQFHTAQERADWLRMVSANISDLMLRNVSVETVPVPGFAFQ
+SVEIVTTLCYLRLTLKQLVRTPRFLALRGSSLYVFISPPVSTLDWVRAEKTYHLCEVLFK
+VHKVSLSILCTPRVSIGSHVFSVELGSELAAWELSFQRATFRAVQRTRVSGLGQGDTLCF
+TVDFALGFTCFDSKTKSVLWRFKFSQLKGSSDDGKARVKLLFQSLDTKQIEMKELEFQDL
+TAVLHCIHSFMAARVASLDPAFMDTQSIARRYMYSS
+>tr|A0A3Q1M0V8|A0A3Q1M0V8_BOVIN Thimet oligopeptidase OS=Bos taurus OX=9913 GN=THOP1 PE=3 SV=1
+MKPPAACTGDALDVVAPCSAVNHLRWDLSAQQIAELTTELIEQTKRVYDRVGAQEPQDVS
+YENTLKALADVEVSYTVQRNILDFPQHVSPSKDIRTASTEADKKLSEFDVEMSMRQDVYQ
+RIVWLQEKVQKDSLRPEASRYLERLIKLGRRNGLHLPEETQEKIKSIKKKLSLLCIDFNK
+NLNEDTTFLPFTREELGGLPEDFLNSLEKTEDEKLKVTLKYPHYFPLLKKCHVPETRRKV
+EEAFNCRCKEENCAILRELVRLRAQKSRLLGFSTHADYVLEMNMAKTSQVVATFLDELAQ
+KLKPLGEQERAVILELKRAECEQRGLAFDGRINAWDMRYYMNQVEETRYRVDQNLLKEYF
+PMQVVTRGLLGIYQELLGLSFQLEEGAAVWHEDVALYAVRDAASGKLIGKFYLDLYPRCV
+HGGPVSQAGLGTLSAHPQWPRLTPRPWSPPREGKYGHAACFGLQPGCLRKDGSRQIAIAA
+MVANFTKPTPDAPSLLQHDEVETYFHEFGHVMHQLCSQAEFAMFSGTHVERDFVEAPSQM
+LENWVWEAEPLLRMSQHYRTGSSIPQELLDKLIKSRQANTGLFNLRQIVLAKVDQALHTQ
+TAADPAKEYARLCQEILGVPATPGTNMPATFGHLAGGYDAQYYGYLWSEVYSADMFHTRF
+KQEGVLSGKVGMDYRSCILRPGGSEDASVMLKLFLGRDPKQDAFLLSKGLQVEGCEPPAC
+>tr|F1MGY2|F1MGY2_BOVIN Growth factor receptor bound protein 10 OS=Bos taurus OX=9913 GN=GRB10 PE=4 SV=2
+MDASLESLCAASETVPLLHNGQHSRGPVPRARVLQPQAAPRQRVQRSQPVSILAVRRLQE
+EDLHLRTSSLPAIPNPFPELCGPGSPPALTPGSLPPGPAAAKQDVKVFSEDGTSKVVEIL
+ADMTARDLCQLLVYRSHCVDDNSWALVEHHPHLGLERCLEDHELVVQVESTMASESKFLF
+RKNYAKYEFFKNPMNFFPEQMVTWCQQSNGSHTQLLQNFLNSSSCPEIQGFLHVKELGRK
+SWKKLYVCLRRSGLYCSTKGASKEPRHLQLLADLEDSSIFSLIAGRKQYSAPTDYGFCIK
+PNRVRNEAKELRLLCAEDEQSRTCWMTACRLLKYGMLLYQNYRIPQQRKAMLSHFSTPVR
+SVSENSLVAMDFSGQTGRVIENPAEAQSAALEEGHAWRKRSTRMNILGSQSPLHPSALST
+VIHRTQHWFHGRISREESHRIIKQQGLVDGLFLLRDSQSNPKAFVLTLCHHQKIKNFQIL
+PCEDDGQTFFSLDDGNTKFSDLIQLVDFYQLNKGVLPCRLKHHCIRVAL
+>tr|A0A3Q1LQ39|A0A3Q1LQ39_BOVIN Tensin-4 OS=Bos taurus OX=9913 GN=TNS4 PE=4 SV=1
+MSQVMSSPLLAGGPAVGLAPCEEPRRVLHPAPSPSLPPPCPYYTTEGWGAQALMAPMPCK
+GPPGRLQPAPQAGANASCLLKAPGEQVSGAQEDLDSYIDFSLESLNQMILELDPTFQLLP
+PGPGGPEAQPTQSTTLGKKKEEPEALDIKYIEVTSTRSRCHDGPRSCCSPSVTPPFGSPR
+TGGLLLSRDLPRETRSSSESLIFSGSQGRGHQHPAPPSAVPSSHPPTSPSISIPGMGSKA
+SGPHGLGSPLVASPSLEKGLGGQGPQLSSRVSMLSGSPASDISYVFGSSQSLLHSSISSH
+QSSSRSLESPSSSSSSLNNLGPVSLYTRASDLQVPSNATPSMGQPRATRSPPLAKEHASS
+CPPSITNSMTDIPIVLINGFPEPGSPPSQRTPGPQDFVRPGATSSSMPCPATRSHSQTLP
+EAPPTASPEGPARDMQPTMKFVMDTSKYWFKPSISREQAIELLRKEEPGAFIVRDSSSYR
+GSFGLALKVQEAPTPAQSRPGEDSSDLIRHFLIESSAKGVHLKGADEEPYFGSLSAFVCQ
+HSIMALALPCKLVIPQKELGGGDGASDPSADGQASCLKKSAGCHALYLSSVSVETLSGAL
+AVEKAISATLERDVLPTPTVVHFKVTEQGITLTDVQRKVFFRRHYPLATLRFCGMDPEQR
+KPARSQTESQENVCHLFAEYDTLQPASQVIRLVGALLQDPERM
+>tr|G3N3D6|G3N3D6_BOVIN Phosphoinositide phospholipase C OS=Bos taurus OX=9913 GN=PLCH1 PE=4 SV=2
+MSYWNLEKRNCVQYRRHFLVDNSPFHVERCMSVMQSGTQMIKLKRGTKGLVRLFYLDEHR
+TRLRWRPSRKSEKAKILIDSIYKVTEGRQSEIFHRQAEGNFDPSCCFTIYHGNHMESLDL
+ITSNPEEARTWITGLKYLMAGISDEDSLWVKQTFEEADKNGDGLLNIEEIHQLMHKLNVN
+LPRRKVRQMFQEADTDENQGTLTFEEFCVFYKMMSLRRDLYLLLLSYSDKKDHLTVEELA
+QFLKVEQKMTNVTTDYCIDIIRKFEVSEENKVKNVLGIEGFTNFMRSPACDIFNPLHHEV
+YQDMDQPLCNYYIASSHNTYLTGDQLLSQSKVDMYARVLQEGCRCVEVDCWDGPDGEPVV
+HHGYTLTSKILFRDVVETINKHAFVKNEFPVILSIENHCSIQQQRKIAQYLKGIFQDKLD
+LSSIDTGETKQLPSPQSLKGKILVKGKKLPYHLGDDAEEGEVSDEDSADEIEDECKFKLH
+YNNGTTEHQVESFIRKKLESLLKESQIRDKEDPDSFTVRALLKATHEGLNAHLKQHPDAK
+ESGKKAHGRSLMTNFGKHKKTTKSRSKSYSTDDEEDAQQNPGKETGQLYRLGRRRKTMKL
+CRELSDLVVYTNSVAAQDIVDDGTTGNVLSFSETRAHQVVQQKSEQFMIYNQKQLTRIYP
+SAYRIDSSNFNPLPYWNAGCQLVALNYQSEGRMMQLNRAKFKTNGNCGYVLKPQQMCKGT
+FNPFSGDPLPANPKKQLILKVISGQQLPKPPDSMFGDRGEIIDPFVEVEIIGLPVDCSKD
+QTRVVDDNGFNPVWEETLTFTVHMPEIALVRFLVWDHDPIGRDFVGQRTVTFSSLVPGYR
+HVYLEGLTEASIFVHITINEIYGKNRQLQGLKGLFNKNPRHNSSENTCHYIRKRSIGDKI
+LRRTASAPAKGRKKSKMGFQEMVEMKDSVSEAARDQDGVLRRTTRSLQARPVSMPVDRSL
+LGALSLPVSETTKDTEGKENSQAEDKDARRDGKASVKDQHLPNFNKKLSSSSSALIHKDI
+SQGNSAVSTANLSITEQLVPGPKGGRTKSNMLSDCWEHQCLSRSLSPRQHLAHDPAVSPS
+KDLCGVKTKENGNAGGFVVGKSTLSGSILSQSNLEIKKLEGNWDKGRAATSFSLSDVSTL
+CSDAPDLHSTAILQESEISHLIDNVTLTNENEPGSSISALIGQFDETGNQANPTVVSHLQ
+SPSVMSGHPPVPTMDLKMPFKPGFSNGKPKSSFLCSSPEQIALSGHETCECSTHTAVGET
+MCTPVSKMKPDNDLSGKAMTGAIENNLPQSSNTSHCWLPESPTHGKDWEILKNPSPATST
+DLTLEDVIAAPTLSLNSGESSLVEMDGDSENLSLTTYEYRREGTSHLTSPLKVKYSPAAV
+EHFQRGLRNGYCKETLHPSVSEIFNNTQDVKNQNISHLAYQGAGFMHNHFSNSDAKTNQT
+SGPLPCAHDLHAPAPEQSTHSALKLSSPCKSKSLGDLTSEDIACNFESKYQCISKSFVTT
+GIRDKKGMTVKTKSLEPMDALTEQLRKLVSFDQEDGCQVLYSKQDANQFPRALVRKLSSR
+SQSRVRNIASRAKEKQEANRQKSVNPSTVGGVVLRSKPCAPAPTGNRHSTGSYIAGYLRS
+AKGGSLEGRGIPEGACAALRSGHVDRFCSHHSVLQTEPSSDDKPEIYFLLRL
+>tr|A0A3Q1NFW5|A0A3Q1NFW5_BOVIN Ligand dependent nuclear receptor corepressor like OS=Bos taurus OX=9913 GN=LCORL PE=4 SV=1
+MDKGRERMAAAAAAAAAAAAAAAQCRSPRCAAERRGFRRELDSWRHRLMHCVGFESILEG
+LYGPRLRRDLSLFEDCEPEELTDWSMDEKCSFCNLQREAVSDCIPSLDSSQSTPTEELSS
+QGQSNTEKIECQAENYLNALFRKKDLPQNCDPNIPLVAQELMKKMIRQFAIEYISKSGKI
+QENRNGSIGPSLICKSIQMNQAENSLQEEQEGPLDLTVNRMQEQNTQQGDGVLDLSTKKT
+SIKSEESSICDPSSENSVAGSTVDAKSEEATKMEKGKSALSKVLESLCIHHQEQVLAMLK
+FLVQEQNAASLCCCNSSYTVSSESQNPLIEDDLDGLFCSCEYRLAERGYLHNERQSPGFV
+PLPVCIKDLHCLSCQTVTIEHIKKVVNRGIANSYNSHRCCSGLLADIDSTESGFQSPHSS
+REIGDVSVNLQDVCRSRSPSPPPLSPVQAEGFEKLKDVISECSALENNRLETNINQPPSL
+TPAEISNDEDDHEGKMPKTKKSSNSDSLLLEDSNNYTTNHEKGETTIIFQDLMDRINEKL
+KSIETIDMTNLIQLSSSDCSTDNDLKLRDLITSLLHNAKASDYSFMELLSQHDKKIENKI
+IQTRFRKRQETLFAMHSSPDSPIFRRQSLQIKRELASLDENFMRKKYTEKNPRKFLRNDE
+LFSADKEQFYHCQGPSLQNSKSLQDNNHVETSFLPDYGLQSLQLPLSNLETNLAFDAFSE
+SFKTASAEKMSIRRSQEKSVARKKFLQNHKENPKLENTQTPLKSDIPGLLSRTKRNIVPP
+GWYSIYVTNNYVFKKSPKAKKVSDSTKGKDEVKNIQVESSHSIDLNKIAMNSNLQVVVER
+LEDTINMAKKSWNNQSLSEGYKASKKLIEVDGKDQPASRNMTLTVSRMTCKEKSLSKSIV
+ASTNIKTNHIPTIDLNSKRLNQKKSSVLNTSSLISSVENVPTKYEAIESSSFATYSSPIK
+LMFLSEVKSSEGVKYTLTSVNNSESNHDFSSEKQPTHQVTENRKETNETIPNANSANYSS
+NLNDSDTLQKELNKFNCAKEMAESSAVFTDDMKNDKPQELPKEYSSSTIDSSFKRKPGRP
+KKIGPQVVKQIKRPIGRPPKPKTDQTDIAICQNEPISAEKKSPESLISEVKEGIYQKSIT
+VTVIYGRSRRTKRHVSEGSINKSNGMSLKNVTDFPTEYNSLRNIREYDTDLGERISAISS
+LTTESEILGSGFEYVRPFKNKPVIPQPSKNIIRPNHKPLAIIRKPGRPAKVKISGISVTI
+NRISPQEREVSISSCLPPLEQENIFQKNLPEENHQYTMDTRHTEADKLKNESESMVAAVP
+LRHSIRDRKPSLHFLHSLASSSSLIYRNSLLHKSYKLHLQKGKSQEDKHKQSRIKIASKG
+PPGARNSRNAKKHLEDKLIPNSEVSLDPIISSNPLLRWWAASTSNDSLLEELNNRFEQIT
+NAWVPVSGDEAENCVHKKRDHIENDNFKIASPLETCLLELEVSPVKMLFQKKYDLNELCT
+WFMQTTETQSLSLVRKANARNPLEVINTRGIKLGTKYSDFNTSPFRKHFKKFALSSPSKS
+AGKLHILHKMVSSPLLNVKSNLTLTRLKRTEFKRLHHERWRRQGKLHSHGTGAWISKRRN
+LRFFCQNQLLSKTEGVTNADVPLQGKNTVDNQFIFPPEIRDNFLQQRLAMSDFKTHASLE
+NKFNSEAKENGTNCSQKDFEKGQRLGNVCSNNWRSKTLKDCRIFLRKINYLEHRNTFKLN
+TIIYSPESVNCGSNHQTHIEESKRFTLRSQSARQNSFKKQSKEIENAKANSPSSDKFPAQ
+LENSKLNKCVNYDKNPSDNCEVLSKLNKRKRPPWKTTEMSTKRHKRQSCNSGQMANYFSK
+YQLACYK
+>tr|A0A3Q1MNQ2|A0A3Q1MNQ2_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MFKLVLENRGTRAQIANIHWIMEKAREFQKNIYFCFITYAKAFNCVDHNKLWKILKEMGI
+TRNAGLEEAQAGIKIAGRNINNLRYTDDTTLTAESEQELKGLLMKVKEESEKVGLKLNIQ
+KTKIMESGPITSRQIDGETMETQSDFIFGGSKITADGDCSHEIKRRLLLGRKVMTNLDSI
+FKSRDITLPTKVHLVKAMVFPVVMYGCESWTIKKAECRRIDAFELWCWRRLLRSKEIQQV
+HHKGDQPWCSLEGLMLKLKFQYFGHLMQRADSLEKTLMLGKIEGRRRKG
+>tr|A0A3Q1MY99|A0A3Q1MY99_BOVIN Par-3 family cell polarity regulator OS=Bos taurus OX=9913 GN=PARD3 PE=4 SV=1
+MWWCCSLPRRTLRSEGYAAFECRGHRVRLDGCMPRLLKRRPQDPSYWIQVHRLEHGDGGI
+LDLDDILCDVADDKDRLVAVFDEQDPHHGGDGTSASSTGTQSPEIFGSELGTSNVSAFQP
+YQATSEIEVTPSVLRANMPLHVRRSSDPALIGLSTSVSDNNFSEEPSRKNPTRWSTTAGF
+LKQNTAGSPAACDRKKDENYRSLPRDTSNWSNQFQRDNARSSLSASHPMVDKWLEKQEQD
+EDVAEEDSSRVEPVGHADTGVENIPNFSLDDMVKLVQVPNDGGPLGIHVVPFSARGGRTL
+GLLVKRLEKGGKAEQENLFHENDCIVRINDGDLRNRRFEQAQHMFRQAMRTSIIWFHVVP
+AANKEQYEQLSQSERNNYYSSRFSPDSQHVDHRGVTSAGPQALPRASRLNPPPEHADPHP
+RLLPQSAQPSGKPPAAPALAGHHVFSPSSGYNTKKIGKKLNIQLKKGTEGLGFSITSRDV
+TIGGSAPIYVKNILPRGAAIQDGRLKAGDRLIEVNGVDLAGKSQEEVVSLLRSTKMEGTV
+SLLVFRQEDAFHPRELNAEPSQMQIPKETKAEDEDTVLTPDGTREFLTFEVPLNDSGSAG
+LGVSVKGNRSKENHADLGIFVKSIINGGAASKDGRLRVNDQLIAVNGESLLGKTNQDAME
+TLRRSMSTEGNKRGMIQLIVARRISKCTELKSPGSPSGPELPIETVLDDRERRISHSLYS
+GLEGLDESPTRNAALSRIMGKYQLSPTVNMPQDDTVIIEDDRLPVLPPHLSDQSSSSSHD
+DVGFVTTDAGTWAKVGISDSADCSLSPDVDPVLAFQREGFGRQSMSEKRTKQFSDASQLD
+FVKTRKSKSMDLGSSPSRDVGPSLGLKKSSSLESLQTAVAEVTLNGDIPFHRPRPRIIRG
+RGCNESFRAAIDKSYDKPAVDDDDEGMETLEEDTEESSRSGRESVSTASDQPSHSLERQM
+NGNQDRGEKPDRRKEKIGKEKKKDRDKEKEKMKAKKGKLRGLGDMFRFGKHRKDDKIEKT
+GKIKIQDSLTSEEERVRMKQEQERIQAKTREFRERQARERDYAEIQDFHRTFGCDDELMY
+GGISSYEGSMALSTRPQSPREGHMMDALYAQVKKPRNSKPLPADSNRSTPSNHDRIQRLR
+QEFQQAKQDEDVEDRRRTYSFEQPWPNSRPSAQSGRHSVSVEVQMQRQRQEERESFQQAQ
+RQYSSLPRQSRKNASSVSQDSWEQNYPPGEGFQSAKENPRYSSYQGSRNGYLGGHGFNAR
+VMLETQELLRQEQRRKEQQMKKQPPAPEGLNNYDSYKKVQDPSHISAKGPFRQDVPPSPS
+QVARLNRLQTPEKGRPFYS
+>tr|A0A3Q1MHZ4|A0A3Q1MHZ4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MAAPCTATYLKQKPRLLRLSNHPDPRTTVTPKVPVYNTPGLWILNRCTHLRHQIAPHFQP
+VILELLVCPLSKKAHRCEESTDKLINEYVGNPYHIIDGILNMIQQGAKTYQNKKWNNTRS
+LHIFIIKHFHADTKQLYPLNTSRVSTNTWERLFLH
+>tr|A0A3Q1MIU9|A0A3Q1MIU9_BOVIN Signal peptide, CUB domain and EGF like domain containing 2 OS=Bos taurus OX=9913 GN=SCUBE2 PE=4 SV=1
+MGVAGRGCPRAAPALLLLLLLLLLLLLTAAVPPYRGRAEGPPEDVDECAQGLDDCHINAL
+CENTPTSYKCSCRPGYQGEGRQCEDIDECENELNGGCVHDCLNIPGNYRCTCFDGFMLAH
+DGHNCLVTCNHGNGGCQHSCEDTAEGPECSCHPRYKMHSDGRSCLEREDIALEVMESNTT
+SVADGDKRVKRRLLMETCAVNNGGCDRTCKDTSTGVHCSCPVGFTLQMDGKTCKDIDECQ
+TRNGGCDHFCRNTVGSFDCSCKKGFKLLTDEKSCQDVDECSLDRTCDHSCINHPGTFTCA
+CSEGYTLYGFTHCGDTNECSVNNGGCQQVCVNTVGSYECHCHSGYKLHWNKKDCVEVKGG
+LPTSLSPHVSLQCGKSGGGDRCFLRCHSGIHLSPGLQEAYSVTCGSSSPLRNKQQKSNDS
+AFGDVATVRTSVTFKLNEGKCSLKKAELFPEGLRPVLPEKHSSVKESFRYANLTCSSGKQ
+VPGGPGRPNAPKEMFITVEFELETNQKEVTVSCDLSCIVKRTEKRLRKAIRTLRKAAHRE
+QLHLQLSGVNLEVAKKSPRTSERQIESCAMGQDHGGNQCVSCRAGTYYDGAQERCILCPN
+GTFQNEEGQITCEPCPRPGSPGTLKTPEAWNVSECGGLCQPGEYSADGFTPCQPCARGTF
+QPEAGRTSCFPCGGGLPTKHPGATSFQDCETRVQCSPGHFYNTTTHRCIRCPAGTYQPEF
+GKNHCVSCPGNTTTDFDGSTNITQCKNRRCGGELGDFTGYIESPNYPGNYPANTECTWTI
+NPPPKRRILIVVPEIFLPIEDDCGDYLVMRKTASSNSVTTYETCQTYERPIAFTSRSKRL
+WIQFKSNEGNSARGFQVPYVTYDEDYQELIEDIVRDGRLYASENHQEILKDKKLIKALFD
+VLAHPQNYFKYTAQESREMFPRSFIRLLRSKVSRFLRPYK
+>tr|G3MYB3|G3MYB3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=2
+MQLALENMTRSTELEEAYQDAASNVLVAICRHSWQVVARHLETEVLSGVFPHRSIFYVMG
+IMTSDETLFKEGEKRPSARPPVCSQMAIKSVPFLNKEGWSKELLGALTRPDRTQQEQPPE
+KAFLFIYYGLMLQAEENGTNVRTHLKTLLETSHQWPKQREGIALTVGLAATRHLDHVWAV
+LEQFGRSTPIRWSLNSFSPKVLNSGDLRWKWASSTILLAYGQMADKAKAQILPWVDNILS
+RMIFFFHYSSWDETLKQSFLTAIPMLVGAINRNEGAQSYEFSQVSELLECLMLLMEKEPQ
+DTLCTPTRQQTIRIISSLCKLRPQLDLEKKSRLLSISLRSVMSLPLLEVLEKHTCLFLEP
+PNIQVRGWHLHPFRRGAPGEECPVPRTALGLGGGR
+>tr|A0A3Q1NMU5|A0A3Q1NMU5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+RGRAANRTLSAGAAAVGGRRPGGALARGGRELNGTVRAPGSPEAGSRRGQPAAAVAAASA
+AVTYETCWGYYDVSGQYDKEFECNNSESGYLYCCGTCYYRFCCKKRHEKLDQRQCTNYQS
+PVWVQTPSTKVVSPGPENKYDPEKDKTNFTVYITCGVIAFVIVAGVFAKVSYDKAHRPPR
+EMNIHRALADILRQQGPIPIAHCERETISAIDSSPKENTPVRSSSKNHYTPVRTAKQTPG
+SPSASLSPAAASELNHVRVSCTYDIMILHSPLCFYPWIF
+>tr|A0A3Q1MSF1|A0A3Q1MSF1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC101902664 PE=4 SV=1
+MEVSVNHVAKIEKRSCLGRCKHFFWLGITFDTVGAAMLFTGVFTRILYYDMLLYLGASIV
+FLSLLWWTFWYSGNIELSSEEAFNSPYRLPSAYTLEVLSQTISNRFTLTIGSVSNTFMRI
+RRRRRQRQRFLKGRTSLDMTVTGQVESQLDQDEDRAEGAAEKGEPQDFGSKDLPKPEAVK
+ILKEAGSQVPDAGDLGREASLPKFVKGPSTNLVQPFTPSPLEQAPTPVILTSKSLPVVSL
+ASMSQTAPILTSKSQPVVDLVSTSQPLAVTLVSVSQLAAPLASTSQPPRLLTSKSQPVVS
+STSQAPAVTLASTRQPVVPLTSTIQPQVVFASKSLPVVSSSFASQPLTILTSQSHPLMPR
+TSQSQLPVPVASEGHPLVPVASESHPLVPVASESHPLVPVTSESHPPVPVTSQVQLPVPV
+ASEGQPLIPVAAKGQPLVPRTSQSHPLVPRTSQSHPLEPRISQSYPLVLRTSQSQLPVPV
+ASEGHPLVPVASEGHPLVPRASQSYPLMPRTSQSHPLVPVASQSQLPVPVASEGHSLVPV
+ASQQQLQNLLEVCQTGPPPLQASQAQSLATISLLQVLPTESLQTQPVDLQVVQAILDLQA
+TYHTQQASQSSSLVQEIRPSQPPSAWEFHTQPVDLETPPPADQELSQNRPDAAALPPQSP
+APAAQAQQSVPSGRTPTLARGKKSHSI
+>tr|A0A3Q1LWN6|A0A3Q1LWN6_BOVIN Myosin heavy chain 11 OS=Bos taurus OX=9913 GN=MYH11 PE=1 SV=1
+MAQKDQLSEDEKFLFVDKNFINNPVAQADWAAKKLVWVPSEKQGFEAASIKEEKGDEVIV
+ELVENGKKVTVGKDDIQKMNPPKFSKVEDMAELTCLNEASVLHNLRERYFSGLIYTYSGL
+FCVVVNPYKQLPIYSEKIIEMYKGKKRHEMPPHIYAIADTAYRSMLQDREDQSILCTGES
+GAGKTENTKKVIQYLAMVASSHKGKKDTSITGELEKQLLQANPILEAFGNAKTVKNDNSS
+RFGKFIRINFDVTGYIVGANIETYLLEKSRAIRQARDERTFHIFYYLIAGAKEKMRNDLL
+LEGFNNYTFLSNGFVPIPAAQDDEMFNETVEAMAIMGFTEEEQLSILRVVSSVLQLGNIV
+FKKERNTDQASMPDNTAAQKVCHLMGINVTDFTRSILTPRIKVGRDVVQKAQTKEQADFA
+VEALAKATYERLFRWILTRVNKALDKTHRQGASFLGILDIAGFEIFEVNSFEQLCINYTN
+EKLQQLFNHTMFILEQEEYQREGIEWNFIDFGLDLQPCIELIERPTNPPGVLALLDEECW
+FPKATDKSFVEKLCTEQGSHPKFQKPKQLKDKTEFSIIHYAGKVDYNASAWLTKNMDPLN
+DNVTSLLNASSDKFMADLWKDVDRIVGLDQMAKMTESSLPSASKTKKGMFRTVGQLYKEQ
+LGKLMTTLRNTTPNFVRCIIPNHEKRSGKLDAFLVLEQLRCNGVLEGIRICRQGFPNRIV
+FQEFRQRYEILAANAIPKGFMDGKQACILMIKALELDPNLYRIGQSKIFFRTGVLAHLEE
+ERDLKITDVIMAFQAMCRGYLARKAFAKRQQQLTAMKVIQRNCAAYLKLRNWQWWRLFTK
+VKPLLQVTRQEEEMQAKEDELQKTKERQQKAESELKELEQKHSQLTEEKNLLQEQLQAER
+KKMAQQMLDLEEQLEEEEAARQKLQLEKVTAEAKIKKLEDDILVMDDQNNKLSKERKLLE
+ERISDLTTNLAEEEEKAKNLTKLKNKHESMISELEVRLKKEEKSRQELEKLKRKLDGEAS
+DLHEQIAELQAQIAELKMQLAKKEEELQAALGRLDDEMAQKNNALKKIRELEGHISDLQE
+DLDSERAARNKAEKQKRDLGEELEALKTELEDTLDSTATQQELRAKREQEVTMLKKALDE
+ETRSHESQVQEMRQKHTQVVEELTEQLEQFKRAKANLDKNKQALEKENAELAGELRVLSQ
+AKQEVEHKKKKLEVQLQELQSKYSDGEKVRAELNDKVHKLQNEVESVTGMLNEAEGKAIK
+LAKDVASLGSQLQDTQELLQEETRQKLNVSTKLRQLEDERNSLQEQLDEEMEAKQNLERH
+ISTLNIQLSDSKKKLQDFASTVELLEEGKKKFQKEIESLTQQYEEKAAAYDKLEKTKNRL
+QQELDDLVVDLDNQRQLVSNLEKKQKKFDQLLAEEKNISSKYADERDRAEAEAREKETKA
+LSLARALEEALEAKEELERTNKMLKAEMEDLVSSKDDVGKNVHELEKSKRALETQMEEMK
+TQLEELEDELQATEDAKLRLEVNMQALKVQFERDLQARDEQNEEKRRQLQRQLHEYETEL
+EDERKQRALAVAAKKKLEGDLKDLELQADSAIKGREEAIKQLRKLQAQMKDFQRELEDAR
+ASRDEIFATAKENEKKAKSLEADLMQLQEDLAAAERARKQADLEKDELAEELASSVSGRN
+ALQDEKRRLEARIAQLEEELEEEQGNTEAMSERVRKATQQAEQLSNELATERSAAQKNEN
+ARQQLERQNKELRSKLQEMEGAVKSKFKSTIAALEAKIAQLEEQVEQEAREKQATAKALK
+QKDKKLKEALLQVEDERKMAEQYKEQAEKGNLRVKQLKRQLEEAEEESQRINANRRKLQR
+ELDEATESNEAMGREVTALKSKLRRGNETSFVPTRRSGGRRVIENADGSEEEMDARDADF
+NGTKASE
+>tr|A0A3Q1LT75|A0A3Q1LT75_BOVIN WD repeat domain 78 OS=Bos taurus OX=9913 GN=WDR78 PE=4 SV=1
+MPISPVNGRRQPNVGVNVGLGPRKSISFVGPGKGALMKGGYAGANQSRMAMSKNMMILPE
+AKQMEKMTISSAKTVTQVTDIHGVDVTPKPLYRPDPYSGTGKPNKLLTSQDGSHTSDFIA
+SYSLYQNTINPSMLGQFTRSVLGSSTVSKSSVSTSESMAEDLEEPSFKRERLSSFTDLRV
+MRTAPGKIITKEDLEKTVEIILTETETMNFLNLPTVMFSVESEEAEKVSQRNKNYETLCR
+NRLGNDLYVERMMQTINGAPKNKDVQCDKILKEDKVSEGSSLMDIENVILAKVRDEEEDN
+SDAVFKSDKFQQDLFFMERVIMENIFQPKLAAYRQLPILKEPEPEEPGDFLVDGKLEVVE
+EEAKKEEEEDLEISSEQSTIPANLERLWSFSCDLTKGLNVSSLAWNKANPDLLAVGYGHF
+GFKEQKRGLACCWSIKNPMWPERTYQSPYGVTAVDFSIGSPNLLAVGYHNGTIAIYNVQN
+NSNLPVLDSSESPQKHLGPVWQLQWIEQDRGTTGDDKREILVSISADGRISKWVIRKGLD
+CHDLMRLRRTTASTSKKGGEKEKKGEALISRQAPGMCFAFHPKDTNIYLAGTEEGHIHKC
+SCSYNEQYLDTYRGHKGPVYKIAWNPFCHDVFLSCSADWGVIIWQHENLKPFLSFYPTTY
+VVYDIAWSPKSSYIFAAANESRVEIWDLHISTLDPLIVNVANPGIKFTTVLFAKQTDCLL
+VGDSDGQVAVYELKNMPTTLDSNRGDVIVTLLGPKSSQSIIHHQ
+>tr|A0A3Q1LGC2|A0A3Q1LGC2_BOVIN Hormonally up-regulated Neu-associated kinase OS=Bos taurus OX=9913 GN=HUNK PE=4 SV=1
+MSDDGGVDPWCVAIKVIDKKRAKKDTYVTKNLRREGQIQQMIRHPNITQLLDILETENSY
+YLVMELCPGGNLMHKIYEKKRLEEAEARRYIRQLISAVEHLHRAGVVHRDLKIENLLLDE
+DNNIKLIDFGLSNCAGILGHSDPFSTQCGSPAYAAPELLARKKYGPKIDVWSIGVNMYAM
+LTGTLPFTVEPFSLRALYQKMVDKEMNPLPAQLSTGAVNFLRSLLEPDPVKRPNIQQALA
+NRWLNENYVGKVPCNITYPNRISLEDLSPSVVLHMTEKLGYKNSDVINTVLSNRACHILA
+IYFLLNKKLERYLSGKSDFQDSVCYKTQLYQIDRCRPTKEPYEASLDTWTRDLEFHAVQD
+KKPKEQEKRGDFLHRPFSKKLDKNLPSHKQPSASLITQIQSTRALLKDRKASKPGFPDKD
+SFGCRNIFRKTSDSNCVASSSMEFIPVPPPRTPRIVKKPEPPQQGPGSTSLPIKEDPLML
+DMVRSFESVDRDDQTELLSPSHHYRILNSPGGLARCERTLSPVLLPGSPLPLQTPLHPTL
+VSFAHEEKNSPPKEEGTCSPAPGPGNGPAQPLGSPNCVKSRGRFPMMGIGQMLRKRHQSL
+QPSADRPLEASMPPLQPLAPTSLSFDLADGVKGQC
+>tr|A0A3Q1M7R2|A0A3Q1M7R2_BOVIN Matrix metallopeptidase 19 OS=Bos taurus OX=9913 GN=MMP19 PE=3 SV=1
+MPLSCWTIPLHLSRAFQEASELPVSGQLDDATSARMRQPRCGLEDPFNQKTLKYLLLGHW
+RKKHLTFRILNLPSTLPSSTARAALLQAFQYWSNVAPLTFREVKAGWADIRLSFHGRHSL
+YCSNSFDGPGRVLAHADIPELGSVHFDEDELWTEGTYRGVNLRIIAAHEIGHALGLGHSR
+YTQALMAPVYAGYRPYFKLHPDDVAGIQALYGKKSPEIEEEEEEEDTALPTIPLVPTEPS
+PMPDPCSSDLDAVMLGPRGKTYAFKGNYVWTVTDSGLGPLFQVSALWEGLPGNLDAAVYS
+PRTQWIHFFKGDKVWRYINFKMSPGFPKKLNRVGPNLDAALYWPINKKVFLFKGSGYWQW
+DELATTDFSRYPKPTKGLFTGVPDQPSAAMSWRDGRVYFFKGKQYWRLNQQLRAEKGFPR
+DIAHNWMHCRPPNTTASDGDTDSSVTGTDHAATG
+>tr|G3N042|G3N042_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC538744 PE=3 SV=2
+EQFPEDMKFGNHTSVTEFILLGLTEDPTLCGIFFVVFLGIYAVTLVGNISIIILIRICSQ
+LHTPMYLFLSHLAFVDSGCTTSVTPMMLIGFLRQGVTITASGCEAQLCFVVMFGSAECFL
+LAAMAYDRYVAICLPLFYSTHMSPRVCALLIGVSYLGGCVNAWTFTSCLLTLSFCGPNHI
+NDFFCDFSPLLKLSCSDVSIIEIIPSISSGSILAFTVSIIALSYICILNTILKMRSTEGR
+HKAFSTCTSHLTAVTLYYGTITFIYVMPKSTYSTDQNKVLSVFYTVVIPMLNPLIYSLRN
+RDVKEALRKVSVRIYS
+>tr|A0A3Q1N8Q8|A0A3Q1N8Q8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=TBX1 PE=4 SV=1
+MHFSTVTRDMEAFTASSLSGLGAAGGFPGAASPGADPYGAREPPPPRYEPCSAAAPGAPG
+PPHAYPFAPAAGAASSAAEPEGPGSSCVAAAKAPVKKNAKVASVSVQLEMKALWDEFNQL
+GTEMIVTKAGRRMFPTFQVKLFGMDPMADYMLLMDFVPVDDKRYRYAFHSSSWLVAGKAD
+PATPGRVHYHPDSPAKGAQWMKQIVSFDKLKLTNNLLDDNGHIILNSMHRYQPRFHVVYV
+DPRKDSEKYAEENFKTFVFEETRFTAVTAYQNHRITQLKIASNPFAKGFRDSRERGPGAL
+PIMSAFARSRNPVASPTQPNGAEKGRPGSWDPDGRPRPASPAPQGRSRPSRRLRNDCGGR
+ASAHSPVGPTAASARPPRPARRRARAPGEGPELGRGAGRGPARSRRLRRGSAVGPPRRHG
+>tr|A0A3Q1LLY3|A0A3Q1LLY3_BOVIN ERGIC and golgi 2 OS=Bos taurus OX=9913 GN=ERGIC2 PE=4 SV=1
+MRRLNRRKTLSLVKELDAFPKVPESYVETSASGGTGEYQFKSELLQEVHLLNVGADVLDL
+AETMVASADGLVYEPAIFDLSPQQREWQRMLQLFQSRLQEEHSLQDVVFKSVFKSASTAL
+PPREDDSSQPPDACRIRGHLYVNKVAGNFHITVGKAIPHPRGHAHLAALVNHDSYNFSHR
+IDHLSFGELVPGIINPLDGTEKIALDHNQMFQYFITIVPTKLQTYKISADTHQFAVTERE
+RVINHAAGSHGVSGIFMKYDLSSLMVTVTEEHMPFWQFFVRLCGIVGGIFSTTGMLHGIG
+KFIVEIIYCRFRLGAYKPVNSVPFEDGHTDNHVPLLENNTH
+>tr|A0A3Q1MS71|A0A3Q1MS71_BOVIN LIM domain binding 1 OS=Bos taurus OX=9913 GN=LDB1 PE=4 SV=1
+MSVGCACPGCSSKSFKLYSPKEPPNGNAFPPFHPGTMLDRDVGPTPMYPPTYLEPGIGRH
+TPYGNQTDYRIFELNKRLQNWTEECDNLWWDAFTTEFFEDDAMLTITFCLEDGPKRYTIG
+RTLIPRYFRSIFEGGATELYYVLKHPKEAFHSNFVSLDCDQGSMVTQHGKPMFTQVCVEG
+RLYLEFMFDDMMRIKTWHFSIRQHRELIPRSILAMHAQDPQMLDQLSKNITRCGLSNSTL
+NYLRLCVILEPMQELMSRHKTYSLSPRDCLKTCLFQKWQRMVAPPAEPARQQPSKRRKRK
+MSGGSTMSSGAATPTTATARRKAQPAPSPSPARYLM
+>tr|F1MRZ4|F1MRZ4_BOVIN Phosphatidylserine lipase ABHD16A OS=Bos taurus OX=9913 GN=ABHD16A PE=4 SV=3
+MAVRIWLNLEDTYYQPRALEKHADSILALASVFWSISYYSSPFAFFYLYRKGYLSLSKVV
+PFSHYAGTLLLLLAGVACLRGIGRWTNPQYRQFITILEATHRNHSAENKRQLANYNFDFR
+SWPVDFHWEEPSSRKESRGGPSRRGVALLRPEPLHRGTADTFLNRVKKLPCQITSYLVAH
+TLGRRMLYPGSVYLLQKALMPVLLQGQARLVEECHGRRAKLLACDGNEIDTMFVDRRGTA
+EPQGQKLVICCEGNAGFYEVGCVSTPLEAGYSVLGWNHPGFAGSTGVPFPQNEANAMDVV
+VQFAIHRLGFQPEDIILYAWSIGGFTATWAAMSYPDISAVILDASFDDLVPLALKVMPDS
+WRGLVTRTVRQHLNLNNAEQLCRYQGPVLLIRRTRDEIITTTVPEDIMSNRGNDLLLKFL
+QHRYPRVMAEEGLRVVRQWLEASSQLEEASIYSRWEVEEDWCLSVLRSYQAEHGPEFPWS
+VGEDMSADGRRQLALFLAQKHLNNFEATHCTPLPTQNFQMPWHL
+>tr|E1BNF6|E1BNF6_BOVIN Spen family transcriptional repressor OS=Bos taurus OX=9913 GN=SPEN PE=4 SV=3
+MVRETRHLWVGNLPENVREEKIIEHFKRYGRVESVKILPKRGSEGGVAAFVDFVDIKSAQ
+KAHNSVNKMGDRDLRTDYNEPGTIPSAARGLDDTVSIASRSREVSGFRGGGGGPAYGPSP
+SLHAREGRYDRRLDGASDNRERAYEHSAYGHHERGTGGFDRTRHYDQDYYRDPRERTLQH
+GLYYTSRSRSPNRFDAHDPRYEPRAREQFTLPSVVHRDTYRDDITREVRGRRPERNYQHS
+RSRSPHSSQSRNQSPQRLASQASRPTRSPSGSGSRSRSSSSDSISSSSSTSSDSTDSSSS
+SSDDSPARSVQSAAVPAPTSQLLSSLDKDEPRKSFGIKVQNLPVRSTDTSLKDGLFHEFK
+KFGKVTSVQIHGASEERYGLVFFRQQEDQEKALTASKGKLFFGMQIEVTAWIGPETESEN
+EFRPLDERIDEFHPKATRTLFIGNLEKTTTYHDLRNIFQRFGEIVDIDIKKVNGVPQYAF
+LQYCDIASVCKAIKKMDGEYLGNNRLKLGFGKSMPTNCVWLDGLSSNVSDQYLTRHFCRY
+GPVVKVVFDRLKGMALVLYNEIEYAQAAVKETKGRKIGGNKIKVDFANRESQLAFYHCME
+KSGQDIRDFYEMLLERREERRGSYDYSQDRTYYENVRTPGTYPEDSRRDYPARGREFYSE
+WETYQGDYYESRYYDDPREYRDYRNDLYEQDIREYSYRQRERERERERFESDRDRDHERR
+PIERSQSPVHLRRPQSPGTSPSQSERLPSDSERRVYSRSSDRSGSCSSLSPPRYDKLDKP
+RLERYTKNEKTDKERAFDPERVERERRLIRKEKVEKDKTDKQKRKGKVHSPSSQSSETDQ
+ENEREQSPEKLRSSNKLSREKADKEGLAKNRLELMPCVVLTRVKEKEGKVIDHAPLEKLK
+TKLDNDAVKSSALDQKLQTSQTEPAKSELSKLESVRMKVPKERGLSSHIEVVDKEGRPKP
+RKHLKPEQSADGVSAGDLEKLEARKRRFADSNLKVERQKSDLKKSSPEMEDARVLLKKQP
+DMSSRDVILLREGESEKKPVRKEILKRESKKLKLDRLNVVPSPKDCQELTSISVGTGSRP
+NSDLQARLREPVGESVENQEIQSKKPTASKPQLKQLELLDDQGPEREDNRKNYCSLRDEP
+LECKSGQEKPHSVNTEEKIGIDIDHTQSYRKQMEQSRRKQQMEMEIAKSEKFGSPKKDVD
+EYERRSLVHEVGKPPQDVTDDSPPSKKKRMDHVDFDICTKRERNYRSSRQISEDSERTGG
+SPSVRLGSFHDDDDPIGSPRVMSIRGSPKLDDKGLPYSHITVREESLKFNPYDSSRREQM
+ADMAKIKLSVLNPEDELNRWDSQMKHDASRFDVSFPNSIIKRDSLRKRSVRDLEPGEVPS
+DSDDDGEHKSHSPRASALFESSRLSFLLRDREDKLRERDERLSSSLERNKFYSFALDKTI
+TPDTKALLERAKSLSSSREENWSFLDWDSRFANFRNNKDKEKVDSAPRPIPSWYMKKKKI
+RTDSEGKMDDKKDDHKEEEQERQELFASRFLHSSIFEQDSKRLQHLERKDEESDFISGRL
+YGRQTSDGLNNTTDLIQEPVVLFHSKFMELTRMQQKEKEKDQKPKEVEKQEDTEDHPKTP
+ESASENKEPELKTPSSVGPPSVTAIAPESAAASLEKTAGEKTGEGPPVPEEKTIEPASVP
+EEAKSTSTDLAPVTVEQPEQGDLPPGVDTRKDTAEPPSTVERGSSVDQLPYLDAKPPTPG
+ASFSQVEISVDPEPNSTQTLPKPTQKPEEADEPQVEKPDLAANVEPNTSQKTEDVPEVPP
+PATEVVEVDPPVAAKDKKPNKSKRSKTPIQAAAASVEKPVTRKSERIDREKLKRSNSPRG
+EAQKLLELKMEAEKITRTASKNSATDPEHPEPSLPLGRTRRRNVRSVYATMGDHDNRSPV
+KEPVEQPRVTRKRLERELQEAAAVPTTPRRGRPPKTRRRADEDDETEAKEAETVKPAEGW
+RSPRSQKVAAGGQQGKRGRNEPKVDPERPEATTEGSPQVNVRENNTKSKADKEAAASEQK
+HDKKEISTDRNPPETPPVEVVEKKAAPEKNSKSKRGRSRNSRSAVDKSANVKNAEATISP
+SVAAGPSELPVEEEAGVVAVSPEKSKSPQKEGSVSSQLNSEPAEPDKEPEKEDVSASKQS
+PEANQLARQMELEQAVENIEKLTETSTPAAFKAAAPDATEGLSTEDRDKPAHQASETELA
+AAIGSIINDISGEPENFPAPPPYPAESQTDPPPPEEGMEPETNEAVSGILETEAAAESSR
+PPGSAPDPSAGPADKKEARGDSSETSHPVPGAKGSKEEVTLVRKDKGRQKTTRSRRKRNT
+NKKIGGTTETHVPEPDQVQSKSPASSDGTTVQPPEAPQEEKQNDKPHKTPSREDLPQESS
+MDEETPTKAPALPDPTPLSQPAPVHDEPQARFKVHSIIESDPVTPPSDSSMPTPPIPSVT
+IAKLPLPVVAGGIPHQSPPAKVTEWITRQEEPQAQSTPSPALPPDTKASDIDTSSSTLRK
+ILMDPKYVSATGVTSTSVTAAIAEPVSAAPCLHEALPPPAESKKPVLEEKTATPVTNTSD
+TQASEVPVAADKEKVTPVIAPKITSVISRMPVSIDLENSQKITLAKPAPQTLTGLVSALT
+GLVNVSLVPVNALAGPVNALKGPVKGSVATLKGLVNTPAGPVNVLKGPVNVLTGPVNVLT
+TPVNAATSVVNAATGTVNASPGTVNAAAGAVTVTAGAVTAASGGVTATTTGAVTVAGAVI
+APSAKCKQRSSSSDNSRFHPGSMSVIDDRPADTGSGAGLRVNTSEGVVLLSYSGQKTEGP
+QRISAKISQIPPASAMDIEFQQSVSKSQVKPDSVTSSQPPAKGPQTPSGYANVATHSTLV
+LTAQTYNASPVISSVKADRPSLEKPEPIHLSVSTPVTQGGTVKVLTQGINTPPVLVHNQL
+VLTPSIVTTNKKLADPVTLKIETKVLQPANLGSTLTPHHPPALPSKLSAEVNHVTSGPST
+PTDRTVSHLAASKPDAHSPRPSGPAPSPFPRACHPSSTTSAALSTNATVMLAAGIPVPQF
+ISSIHPEQSVIMPPHSITQTVSLSHLSQGEVRMNTPTLPSITYSIRPETLHSPRAPLQPQ
+QIEVRAPQRAGTPQPAAAGVPTLASQHPPEEEVHYHLPVARAAAPVQSEVLVMQSEYRLH
+PYTVPRDVRIMVHPHVTAVSEQPRAADGVGKVPAASKAPQQPGKEAAKTADAKAAPAPAP
+HGEARIVTVTPGSQLQGLPLTPPVVVTHGVQIVHSSGELFQEYRYGDVRSYHGPAQLTHT
+QFPATASIGLPSRTKVPTQGPPEGEPLQPAQPTQPLQPVQSAQPAQPAQPSQLSQPPSSK
+MPPVSQEAKGTQTGVEQPRLPNVSANRPAEPHTQVQRAQAETSQTSYPSPVCVSLKPDLP
+TPLPAQAAPKQPLFVPTSSGPSTPPGLALPHTEAPLTPKQDSSPHLTSQRPVDMVQLLKK
+YPIVWQGLLALKNDTAAVQLHFVSGNNVLAHRSLPLSEGGPPLRIAQRMRLEASQLEGVA
+RRMTVETDYCLLLALPCGRDQEDVVSQTESLKAAFITYLQAKQAAGIINVPNPGSNQPAY
+VLQIFPPCEFSESHLSRLAPDLLASISNISPHLMIVIASV
+>tr|F1N513|F1N513_BOVIN SLIT and NTRK like family member 3 OS=Bos taurus OX=9913 GN=SLITRK3 PE=4 SV=2
+MMKPSIAEMLHRGRMLWIILLSTIALGWTTPIPLIEDSEEIDEPCFDPCYCEVKESLFHI
+HCDSKGFTNISQITEFWSRPFKLYLQRNSMRKLYTNSFLHLNNAVSINLGNNALQDIQTG
+AFNGLKILKRLYLHENKLDIFRNDTFLGLESLEYLQADYNVIKRIESGAFRNLSKLRVLI
+LNDNLIPMLPTNLFKAVSLTHLDLRGNRLKVLFYRGMLDHIGRSLMELQLEENPWNCTCE
+IVQLKSWLERIPYTALVGDITCETPFHFHGKDLREIRKTELCPLLSDSEVEASLGIPHLS
+SNKENAWPTKPSSMLSSVHFTASSVEYKSSNKQPKPTKQPRTPRPPSTSQALYPGPNQPP
+IAPYQTRPPIPIICPTGCTCNLHINDLGLTVNCKERGFNNISELLPRPLNAKKLYLSSNL
+IQKIYRSDFWNFSSLDLLHLGNNRISYVQDGAFINLPNLKSLFLNGNDIEKLTPGMFRGL
+QSLHYLYFEFNVIREIQPAAFSLMPNLKLLFLNNNLLRTLPTDAFAGTSLARLNLRKNYF
+LYLPVAGVLEHLNAIVQIDLNENPWDCTCDLVPFKQWIETISSVSVVGDVLCRSPENLTH
+RDVRTIELEVLCPEMLHIAPAGASPAQPGDAHLAGGPTSASPYEFSPPGGPVPLSVLILS
+LLVLFFSAVFVAAGLFAYVLRRRRKKLPFRSKRQEGVDLTGIQMQCHRLFEDGGGGGGGN
+GGGGRPTISSPEKAPPVGHVYEYIPHPVTQMCNNPIYKPREEEEVVVSSGPEAGGAERGA
+PVTQPPGMGEVLLGSEQFAETPKENHSNYRTLLEKEKEWALAVSSSQLNTIVTMNHHHPH
+PHHSAVGGVSGVVAGTGGDLVGFRHHEKNGGVVLFPPGGGCGGSSMLLDRERPQPAPCTV
+GFVDCLYGTVPKLKELHVHPPGMQYPDLQQDARLKETLLFSAGKGFTDHQTQKSDYLELR
+AKLQTKPDYLEVLEKTTYRF
+>tr|F1MUJ4|F1MUJ4_BOVIN Inactive serine protease PAMR1 OS=Bos taurus OX=9913 GN=PAMR1 PE=3 SV=1
+MELGWWPQLGLAFLQLLLISSLPREYTVINEACPGAEWNIMCRECCEYDQIKCECPGKKE
+VVGYTIPCCRNEENECDSCLIHPGCTIFENCKTCRNGSWGGTLDDFYVKGIYCAECRAGW
+YGGDCMRCGQVLRVPKGQILLESYPLNAHCEWTIHAKPGFIIQLRIVMLSLEFDYMCQYD
+YVEVRDGDSSDSQIIKRFCGNERPAPIRSTGSSLHILFHSDGSKNFDGFHAIFEEITACS
+SSPCFHDGTCLLDSTGSYKCACLAGYTGKHCENLLEERNCSDPGGPVNGYKKITGGPGLI
+HGHYAKIGTVLTFFCNSSYVLSGNEMRTCQQNGEWSGKQPICIKACREPKISDLVRRKVL
+PMQVQSRETPLHQLYSSAFSKQKLQDAPTKKPVLPFGDLPPGYQHLHTQLQYECISPFYR
+RLGSSRRTCLRTGKWSGRAPSCIPICGKTENVSAPKTQGTRWPWQAAIYRRAGGVHGGGL
+HKDAWFLVCSGALVNERTVVVAAHCVTDLGRVTVIKTADLKVVLGKFYRDDDRDEKSIQS
+LRISAIILHPNYDPILLDMDIAILKLLDKARMSTRVQPICLAAPRDLSTSFQESRITVAG
+WNVLADSRSPGYKDDTLRSGVVRVADSLLCEEQHEAQGIPVSVTDSMFCAGRDPTAPSDI
+CTAETGGIAAVSFPGRASPEPRWHLVGLVSWSYDKTCSHSLSTAFTKVLPFKDWIERNMK
+>tr|A0A3Q1LSP8|A0A3Q1LSP8_BOVIN Formin homology 2 domain containing 3 OS=Bos taurus OX=9913 GN=FHOD3 PE=4 SV=1
+MATLACRVQFLDDTDPFNSTNFPEPSRPPLFTFREDLALGTQLAGVHRLLRAPHKLDDCT
+LQLSHNGTYLDLEATLAEQRDELEGFQDDAGRGKKHSIILRTQLSVRVHACIEKLYNSTG
+RDLRRALFSLKQIFQDDKDLVHEFVVAEGLTCLIKVGAEADQNYQNYILRALGQIMLYVD
+GMNGVINHNETIQWLYTLIGSKFRLVVKTALKLLLVFVEYSESNAPLLIQAISAVDTKRG
+VTPWSNIMEILEEKDGVDTELLVYAMTLVNKTLSGLPDQDTFYDVVDCLEELGIAAVSQR
+HLTKKGADLDLVEQLNIYEVALRLEDGDETAEPPPSGCRDRRRASVCSGGTGSEPRGLDR
+RRSRRHSVQNIKSPLSPPSSPCSLSAPDFKPSQVRDLRENSSDSLHLSTYSASEPYNFRS
+FSSNRYSSFGNNSHHSSRPSPGSSVPTTPTSSVSPPQEARPERSSVSGLLTSSFRQHQES
+LAAERERRRQEREERLQRIEREERNKFRYKYLEQLAAEAHEKELRSRSASRGRADLSLDL
+TSPAAPASPAPLGRSPSSLDSQEVPTVSSSSPGTPQHPQASAGEPGPEPEADVEAEVGQV
+ADEAGQELAPASAGAESEVERALEPEHEERASLSEKERQNEAVNERDNCSASSVSSSSST
+LEREDREDKLSRDKGTGLWSAGVQDAGVNEQCGDILTSKRFMLDMLYAHNRKPADDEEKG
+EGEAARTAQGAEAVASLASRISTLQASSLAQDESVKRVDVGCLDNRGSVKAFAEKFNSGD
+LGRGSVSPDAEPNDKVAEKTSAQPKTESDYIWDQLMANPRELRIQDMDFTDLGEEDDIDV
+LDVDLGHPEAPGPPPPPPPTFLGLPPPPPPPLLDSVPPPPVPGNLLAPPPVFNAPQGLGW
+PQIPRGQPAFTKKKKTIRLFWNEVRPFEWPCKNNGRCREFLWSKLEPIKVDTSKLEHLFE
+SKSKELSVSKKTAADGKKQEIIVLDSKRSNAINIGLTVLPPPRTIKIAILNFDEYALNKE
+GIEKILTMIPTEEEKQKIQEAQLANPEVPLGSAEQFLLTLSSISELSARLHLWAFKMDYE
+TTEKEVAEPLMDLKEGIDQLENNKTLGFILSTLLAIGNFLNGTNAKAFELSYLEKVPEVK
+DTVHKQSLLHHVCTMVVENFPDSSDLYSEIGAVTRSAKVDFDQLQDNLCQMERRCKASWD
+HLKAIAKHEMKPVLKQRMSEFLKDCAERIIILKIVHRRIINRFHSFLLFMGHPPYAIREV
+NINKFCRIISEFALEYRTTRERVLQQKQKRANHRERNKTRGKMITDSGKFSGGSPAPLSQ
+PQGLSYAEDAAEHENMKAVLKTSSPAVEDATPALGVRTRSRASRGSASSWTMGMDDSPNV
+TDDAADEIMDRIVKSATQVPSQRVVPRERKRSRANRKSLRRTLKSGLTPEEARALGLVGT
+SELQL
+>tr|F1MXN5|F1MXN5_BOVIN Coiled-coil domain containing 88C OS=Bos taurus OX=9913 GN=CCDC88C PE=4 SV=2
+MSCHTLWTDSPAQHSCRGASGHACPGPGARMSVLSPGDLKPKRGSPHRGSLDHKDASADP
+AVKPWPLELGPRPCSTSAITTTPSSASSISRHPGRTKGYNSDDSLCEPSLELEFLHPRQY
+ASRPGSLESSRNASSGSSPLNLKGSSDQLHGRSESFSSEDLIPSRDTATLPRDASTPGRP
+ALSRHEYPPPRNGPLPQEGVQKRSTAQPHGGARPCSTSPSSEMVTLEEFLEESNRDSPSP
+DAPSCRDDLLSDYFRKASDLPSTGGQPGPLPRKEGAKMPTSFVAPTIKMPVCTSEGKPLK
+PGQYVKPNFRATEAEAMPSVPQRQAQPSQSLSLGRARQAAMPHASHTPASRSASLNRAFS
+LASADLLRASGPEACKQELGGPEASGGRDPGSHNLQSSTAPGSHGLARERTPLVGKAGGS
+CQGPSPRSRPLDLRRFSLAPPKEERPPPLQQSATSPAIATGGGGSSGSSSSQLQHFSPSA
+APVPRTKPQGPLHPGELATIPPVRGGLSPSEGDGVPGQGHSEGLPAKVPGRSPDLAPQGS
+RTPEDFGRGSSSKSTPASPEPGGDQQTVWYEYGCV
+>tr|F1N4U0|F1N4U0_BOVIN G_PROTEIN_RECEP_F1_2 domain-containing protein OS=Bos taurus OX=9913 PE=3 SV=2
+MKPGNHTFSVSEFLLLGLSEHQTQQPLLFGIFLSVYLITVVGNIAISLAIVSDPHLHTPM
+YFFLAHFSLTDLGLSSTTVPRMLVNIQAHRHTITYAGCLSQIYFFLWFIGLDVFLLAVMA
+YDRLVAICHPLHYTLVMSPRCCILLVVMPLILAQAYSLTHTLLLAQISFCTDNIIPHFFC
+ELLPLLKLSCSDTYANRCVLTYWGGALTILIPLLIVISYARIVAAIVHIPSASGKWKAFS
+TCSSHLSAICLFYVSAIGVYFIPSSADSASKDRIAAVMYAVVTPMLNPFIYSLRNRDMKS
+ALKRFLSRRALQSP
+>tr|F6Q1T7|F6Q1T7_BOVIN L-Fucosyltransferase OS=Bos taurus OX=9913 GN=FUT1 PE=3 SV=1
+MWAPGHHHLCLIFLLTCVFACVFFLLIHQNLFHSGLDLFLPCPDRSRVRSPVAILCLSGT
+LMNPNATFTCPRHSASVSGTWTIDPKGRFGNQMGQYATLLALAQLNGRQAFIQPSMHAVL
+APVFRITLPVLAPEVDRHAPWQELELHDWMSEEYAHLKEPWLKLTGFPCSWTFFHHLRDQ
+IRSEFTLHEHLRQEAQRSLSGLRFPRTGGRPSTFVGVHVRRGDYLQVMPLHWKGVVGDRA
+YLQQAMDWFRARHKAPIFVVTSNGMKWCRENIDTSRGDVIFAGDGQEGAPNKDFALLTQC
+NHTIMTIGTFGFWAAYLAGGDTIYLANFTLPDSSFLKIFKPEAAFLPEWVGINADLSPLQ
+>tr|A0A3Q1M8A6|A0A3Q1M8A6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=MCAM PE=4 SV=1
+MGRSGLICAFLLAVCCCSRRAAGVPGEAEQPEPELVEVEVGHTALLKCGLSHAQGNLSHV
+DWFSVHKEKRMLIFRVRQGQGQSEPGEYHNRLSLQDKGTTLVLTGVTPHDDRIFTCQGKR
+RAQEHRIRLRVYKAPEEPSIQVNTLGISVNSEEPVEVATCVGRNGYPVPQVTWYKNGQSL
+KEEKNRVHIQSSQTEESNGLFTLRSVLQAQVSKEDKDAQFYCELSYRLPSGNHMKESKEV
+TVPVFYPAERVWLEVEPEGMLKEGDRVEIRCLADGNPQPPFSIIKQNLHTREMEEERTDD
+NGVLVLDPAQKEHSGRYECQGLDLETTTSLQSDQQELVVNYVSDVRVSPAAPESQEGSSL
+TLNCEAESNQDLQFQWLREKTGKLLAKGQALQLHNLKREEGGGYRCLASVPSVPGLNRSQ
+LVNVAIFGPPWMALREKKMWVKENSVLNLSCEASGHPRPSIVWSIQGTANEQDQEPQSVL
+SVLNVLVTPELLETGAECVASNSLGRNTTIIFLELDSNRTSNLSTSTVSPPARANGTSTE
+KKLTEPESKGVVIVAVTVCVLVLALLGAVLYFFYKKGKLPCGRSGKQEITLPPSRKSEFV
+VEVKSDKLPEEMGLLQGSSGDKRAPGDQGEKYIDLRH
+>tr|E1BAQ1|E1BAQ1_BOVIN Cell division control protein OS=Bos taurus OX=9913 GN=CDC6 PE=3 SV=1
+MPRTRSQSQATISFPKKKPSRTVDKAKSSSDTKLELTNAQAILCSPRAEILPLSPRKRLG
+DDNLCNTPRLSPCSPPKQGKKENGPPRSQTSKGRRLVFDSQLTTKSPSKREHTRVHQNKI
+HPSVPKGQDITTNSEQRCPPEKESACLRLFKQEGTCYQQAKQVLTTAVPDQLPAREKEMD
+VIRNFLREHIYGKKAGSLYLSGAPGTGKTACLSRILQDLKKELKGFKTIVLNCMSLRSAQ
+AVFPAIAQEICQEEVSRPAGKDMMRRLENHMTAEKGPMIVLVLDEMDQLDSRGQDVLYTL
+FEWPWLSNSRLVLIGIANTLDLTDRILPRLQAREKCKPQLLNFPPYTKNQIATILQDRLN
+QASNDQVLDNAAIQFCARKVSAVSGDVRKALDICRRAIEVVESDVKSQTILKPLSECKSP
+SESLVPKRVGVIHISQVISEVDGNRMTLSQEGAQDSFPLQQKILVCSLLLLTRRLKIKEV
+TLGKLHEAYSNVCRKQQVAAVAQSECLSLSGLLEARGIFGLKKNKETRFTKVSLKIEETE
+IEHALKDKALVGNILATGLP
+>tr|F1MMS1|F1MMS1_BOVIN TATA-box binding protein associated factor 4 OS=Bos taurus OX=9913 GN=TAF4 PE=4 SV=3
+MAAGSDLLDEVFFNSEVDEKVVSDLVGSLESQLAASAAHHHHLAPRAPEARAAAAGALGN
+HVGAGAVPAEGAPAAAPEPPPAGRARRGLSAGGRRPGPRPHRAAPLVSAGPAAKLNALEA
+GAGAGAGAGAGSAAGACPPGAAAAPEPAAKPAARNGPPGGPGAAQTLNGSAALGNSLRAA
+AAPAVSLLHNGPAPAPPPPKPAAATVIQTPPFLGAPAAPAPRAPSPPAAPAPAAPPAPAA
+PAAAAPPPPPPAAASLARPPGPPAGPPAAAQNGGSAAPAPAPAPAPAPAPAPAPAPAPAA
+NSQPGPAAAPAQVAKADSPKTALQPAPQPPQTLATSCPAGAGSGMILGPTMQGALPAAAG
+LPPPTPGTPTGLPKGSASAVTQSLPRTPSATTGGIRATLTPTVLAPRLPQPPQNPTNIQN
+FQLPPGMVLVRSENGQLLMIPQQALAQMQAQAHAQAQPQTTMAPRPATPTSAPPVQISTV
+QAPGTPIIARQVTPTTIIKQVSQAQTTVQPSTALQRSPGVQPQLVLGGTAQTTSLGTATA
+VQTATPQRTVPGATTTSTAATETMENVKKCKNFLSTLIKLASSGKQSTETAANVKELVQN
+LLDGKIEAEDFTSRLYRELNSSPQPYLVPFLKRSLPALRQLTPDSAAFIQQSQQQPPASQ
+ATTALTAVVLSGSVQRTAGKTAATVTSALQPPVISLTQPTQVGVGKQGQPTPLVIQPPPK
+PGALIRPPQVTLTQTPMVALRQPHNRIVLTTPQQIQLNQLQPVPVVKPAVLPGTKALSTV
+SAQAAAAQKNKLKEPGGGSFRDDDDINDVASMAGVNLSEESARILATNSELVGTLTRSCK
+DETFLLPAPLQRRILEIGKKHGITELHPDVVSYVSHATQQRLQNLVEKISETAQQKNFSY
+KDDDRYEQASDVRAQLKFFEQLDQIEKQRKDEQEREILMRAAKSRSRQEDPEQLRLKQKA
+KEMQQQELAQMRQRDANLTALAAIGPRKKRKVDSPGPGSGPEGSGPGSAVPGSSGVGTPR
+QFTRQRITRVNLRDLIFCLENERETSHSLLLYKAFLK
+>tr|F1MN23|F1MN23_BOVIN Dehydrogenase/reductase SDR family member 7B OS=Bos taurus OX=9913 GN=DHRS7B PE=3 SV=1
+MVSAATRKSLLRARVMDFITSTAILPLLLGCVGLFSLFKLLQWLRMRAYVRNAVVVITGA
+TSGLGRECARVFHAAGARLVLCGRNAEALEELSQELAASRAPEVQTHKPCTVTFDLADPG
+AIAGAASEILQCFGHVDVLINNAGISYRGAIVDTSPDVDKRVMETNYFGPVALTKALLPA
+MIRRRQGHVVAISSIQGKISLPFRSAYAASKHATQAFFDCLRAEVEQHDIEVTVISPGYI
+HTNLSLNAVTADGSKYGVMDETTAQGRSPVQVAQDILAALGKKKKDVVLADPMPSLAVYL
+RTLAPGLFFRLMASRARKERKSKHS
+>tr|A0A3Q1LR05|A0A3Q1LR05_BOVIN Integrator complex subunit 11 OS=Bos taurus OX=9913 GN=INTS11 PE=4 SV=1
+MPEIRVTPLGAGQDVGRSCILVSIAGKNVMLDCGMHMGFSDDRRFPDFSYITRSGRLTDF
+LDCVIISHFHLDHCGALPYFSEMVGYDGPIYMTQPTQAICPILLEDYRKIAVDKKGEANF
+FTSQMIKDCMKKVVAVHLHQTVQVSPPLPAPNSLCCHPRDPWRQKVSVGPSSDPALSRAP
+ATPWLCLSAAAWIDKCRPSLLITESTYATTIRDSKRCRERDFLKKVHETVERGGKVLIPV
+FALGRAQELCILLETFWERMDLKAPIYFSTGLTEKANHYYKLFIPWTNQKIRKTFVQRNM
+FEFKHIKAFDRAFADSPGPMVVFATPGMLHAGQSLQIFRKWAGNEKNMVIMPGYCVQGTV
+GHKILSGQRKLEMEGRQVLEVKMQVEYMSFSAHADAKGIMQLVGQAEPENVLLVHGEAKK
+MEFLKQKIEQEFRVNCYMPANGETVTLPTSPSIPVGISLGLLKREMAQGLLPDAKKPRLL
+HGTLIMKDSNFRLVSSEQALKELGLAEHQLRFTCRVHLHDTRKEQEMAMRVYSHLKSVLK
+DHCVQHLPDGSVTVESILVQAAAHSEDPGTKVLLVSWTYQDEELGSYLTSLLKKGLPQAS
+>tr|F1N6U3|F1N6U3_BOVIN Palmitoyltransferase OS=Bos taurus OX=9913 GN=ZDHHC7 PE=3 SV=2
+MPSSGHRLRDVEHHPLLTENDSYDSAASSPAEADAADRVWFIRDGCGMICAVLTWLLVVY
+ADFVVTFVMLLPSKDFWYSVVNGVVFNCLAVLALSSHLRTMLTDPGAVPKGNATKEYMES
+LQLKPGEVIYKCPKCCCIKPERAHHCSICKRCIRKMDHHCPWVNNCVGEKNQRFFVLFTM
+YIALASVHALVLCGLQFIACVRGQWTECSDFSPPVTVILLIFLCLEGLLFFTFTAVMFGT
+QIHSICNDETEIERLKSEKPTWERRLRWEGMKSVFGGPPSLLWMNPFVGFRFRRLQTRPR
+KGAPEFSV
+>tr|A0A3Q1MDM6|A0A3Q1MDM6_BOVIN Delta-like protein OS=Bos taurus OX=9913 GN=JAG1 PE=4 SV=1
+MENVNGELQNGNCCGGSRNPGDRKCSRDECDTYFKVCLKEYQSRVTAGGPCSFGSGSTPV
+IGGNTFDLKASRGNERNRIVLPFSFAWPRSYTLLVEAWDSSNDTVQPDSIIEKASYSGMI
+NPGRQWQTLKQNTGVAHFEYQIRVTCDDYYYGFGCNKFCRPRDDFFGHYACDQNGNKTCM
+EGWMGPECNKAICRQGCSPKHGSCKLPGDCRCQYGWQGLYCDKCIPHPGCVHGTCNEPWQ
+CLCETNWGGQLCDKDLNYCGTHQPCLNGGTCSNTGPDKYQCSCPEGYSGPNCEIAEHACL
+SDPCHNRGSCKETSLGFECECSPGWTGPTCSTNIDDCSPNNCAHGGTCQDLVNGFQCVCP
+PQWTGKTCQLDANECEAKPCVNARSCKNLIASYYCNCLPGWMGQNCDININDCVGQCQND
+ASCRDLVNGYRCICPPGYAGDHCETDIDECASNPCLNGGHCQNEINRFQCLCPTGFSGNL
+CQLDIDYCEPNPCQNGAQCYNRASDYFCKCPEDYEGKNCSHLKDHCRTTPCEVIDSCTVA
+MASNDTPEGVRYISSNVCGPHGKCKSQSGGKFTCDCNKGFTGTYCHENINDCSQNPCHNG
+GSCRDLVNDFYCDCKNGWKGKTCHSRDSQCDEATCNNGGTCYDEGDAFKCMCPGGWEGTT
+CNIARNSSCLPSPCHNGGTCVVNGESFTCVCKEGWEGPICTQNTNDCSPHPCYNSGTCVD
+GENWYRCECAPGFAGPDCRININECQSSPCAFGATCVDEINGYRCICPPGHSGAKCQEVS
+GRSCVTMGSVIPDGAKWDADCNACQCLDGRVACSKVWCGPRPCSLHRGHSECPSGQSCVP
+ILDTQCFVRPCTGVGECRSSSLQPVKTKCASDPYYQDNCANITFTFNKEMMSPGLTTEHI
+CSELRNLNILKNVSAEYSIYIACEPSPSANNEIHVAISAEDIRDDGNPIKEITDKIINLV
+SKRDGNSSLIAAVAEVRVQRRPLKSRTDFLVPLLSSVLTVAWVCCLVTAFYWCVRKRRKP
+GSHARAASEDNTTNNVREQLNQIKNPIEKHGANTVPVKDYESKNSKMSKIRTHNSEVEED
+DMDKHQQKARFAKQATYTLVDREEKPAHGTPAKHPNWTNKQDNRDLESAQSLNRMEYIV
+>tr|G3X828|G3X828_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=OR13C3 PE=3 SV=2
+YYFIIICTLLNISYRRSSFDILKAEDMDKINQTFLLEFLLLGLSEYPKIEIVYFVVILSM
+YLVVLIGNGVLITASIFDSHLHSPMYFFLGNLSFLDICYTSSSVPSTLVSLISKKRNISF
+SGCAVQMFFGFAMGSTECLLLGMMAFDRYVAICNPLRYPVIMSKVVYILMASVSWLSGGI
+NSIVQTSLAIRLPFCENNVINHFTCEILAVLKLACTDISLNIITMMVSNMAFLVLPLLVI
+FFSYMFILYTILRMNSATGRHKAFSTCSAHLTVVIIFYGTILFMYAKPKSQELHGENKLQ
+ASDKLISLFYGVVTPMLNPIIYSLRNKDVKAAVKYLLNQKPIQ
+>tr|A0A3Q1M6Y5|A0A3Q1M6Y5_BOVIN Guanine nucleotide-binding protein G(o) subunit alpha OS=Bos taurus OX=9913 GN=GNAO1 PE=4 SV=1
+MGCTLSAEERAALERSKAIEKNLKEDGISAAKDVKLLLLGAGESGKSTIVKQMKIIHEDG
+FSGEDVKQYKPVVYSNTIQSLAAIVRAMDTLGIEYGDKERKADAKMVCDVVSRMEDTEPF
+SPELLSAMMRLWGDSGIQECFNRSREYQLNDSAKYYLDSLDRIGAADYQPTEQDILRTRV
+KTTGIVETHFTFKNLHFRLFDVGGQRSERKKWIHCFEDVTAIIFCVALSGYDQVLHEDET
+TNRMHESLKLFDSICNNKWFTDTSIILFLNKKDIFEEKIKKSPLTICFPEYTGRDGRFPQ
+GLQGPLSPPSPCAADAPGSAPEDPAQSRRQVPCLPVMCCSKGPGAASWAS
+>tr|M0QW03|M0QW03_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=PRP6 PE=3 SV=2
+IGKRIMLRSHSGGYNPWSCLLLLLVMSNLLLCQGNSCPSCCPDVSDIPLDLLRELFLNAT
+LLSQSILKHSRIMLNEFDEKYAQGKLYYLTATKSCHTNSLHTTEDMDKAEKIDNEDLSKW
+ILMLLYSWHRPLNHLVTDMRGMIEVSDTILSNAKENVKKVEELQAFIERQFCQIIIPVMR
+KMLENNIYWSGLPSLLSSDEDVRHSAFYSLFHCLHRDTLEIDIYTKILARRMINT
+>tr|F1MYN0|F1MYN0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=BOLA2B PE=3 SV=3
+MELSAEYLREKLQRDLEAEHVEVEDTTPNRCASSFRVLVVSAKFEGKPLLQRHRLVNTCL
+AEELLHIHAFEQKTLTPEQWAREQQK
+>tr|F1MVQ3|F1MVQ3_BOVIN Calsenilin OS=Bos taurus OX=9913 GN=KCNIP3 PE=4 SV=3
+MSRACEVMKVSDGSLLGEPGRTPLSKKEGVKWQRPRLTRQALMRCCLVKWILSSAAPQGS
+DSSDSELELSAVRHQPEGLDQLQAQTKFTKKELQSLYRGFKNECPTGLVDEDTFKLIYSQ
+FFPQGDATTYAHFLFNAFDADGNGAIRFEDFVVGLSILLRGTVHEKLKWAFNLYDINKDG
+YITKEEMLAIMKSIYDMMGRHTYPILREDAPLEHVERFFQKMDRNQDGVVTIDEFLETCQ
+KDENIMSSMQLFENVI
+>tr|A0A3Q1NI39|A0A3Q1NI39_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MNKASGGDGIPVELFQILKDDAVKVLHSMCQQIWKTQQWPQDWKRSVFIPILKKGNAKEC
+SNYRTVALISHASKVMLKILQARLQQYVNCELPDVQAVFRKGRGTRDQTANIHWIIEKAR
+EVQKNIYFCFIDYAKAFDGVDHYKLWKILKEMGIPDHLTCLLRNLYAGQEATVRTGHGTT
+DWFQTGKGVCQGCILSPCLFNFYAEYIMRNTGLDEAQDGIKIAGRNINNLRYADDTTLMA
+ESEEELKSLLMKVKEESEKVGLKLNIQKTKIMESGLITSWEIDRETLETMADFIFGGFKI
+TADGDCSHETKRRLLLGRKVMTNLDSIFKSRDITLPTKVRLVKAMVFPVVMYGCESWTVK
+KAEHRRIDAFELRCWRRLLRVPWTARRSNQSILKEISPGCSLEGLMLKLKLQYFGHLMRR
+VDSLEKTLMLGGIGGRRRRGRQRMKWLDGITDSTDMSLGELRELVMDREAWRAAIHGVAK
+CRTQLSD
+>tr|E1B7S8|E1B7S8_BOVIN Acrosin binding protein OS=Bos taurus OX=9913 GN=ACRBP PE=4 SV=2
+MRHLAAGSFLSLLRVLLLPLAPAPAQDSASTSTPGSPLSPTEYERFFALLTPTWKAETTC
+RLRATHGCRNPTLVQLDQYENHGLVPDGAVCSDLPYASWFESFCQFTQYRCSNHVYYAKR
+VRCSQPVSILSPNTLKEVDSSPEVPPPTTATSPKSSHVTATERQVFQPWPERLNSNVEEL
+LQSSLSLGGQEQGQEPKQEQGQEHKQERGQEHKQEEGQEQEEQEEEQEEEKQEGQGTEEA
+LESVSRLQADPEPKFRSELVSSNPFSFTPRVREVESTPMLMENLQELIRSAQEMNEMNDV
+YDGETIWRSQSPGSLLQLPHVEALLTLCYSIVENTCVITPTAKAWQYLENEILGFGISVC
+DSLGRRHLAACTLCDFCSLKLEQCHSEANLQRQQCDSSHKTPFVSPLLASQSMTIGTQIG
+SLKSGRFYGLDLYGGLRMDFWCARLATKGCEDNRVASWLQTEFLSFQDGDFPTRICDTEY
+VQYPNYCAFKSQQCMMRNRDRKVSRMRCLQNETYTVLTPDKSEDLVLRWSQEFSTLTLGQ
+AG
+>tr|A0A3Q1MI24|A0A3Q1MI24_BOVIN TOR signaling pathway regulator OS=Bos taurus OX=9913 GN=TIPRL PE=4 SV=1
+MMIHGFQSSHQDFSFGPWKLTASKTHIMKSADVEKLADELHMPSLPEMMFGDNVLRIQHG
+SGFGIEFNATDALRCVNNYQGMLKVACAEEWQESRTEGEHSKEVIKPYDWTYTTDYKGTL
+LGESLKLKVVPTTDHIDTEKLKAREQIKFFEEVLLFEDELHDHGVSSLSVKIRVMPSSFF
+LLLRFFLRIDGVLIRMNDTRLYHEADKTYMLREYTSRESKIANLMHVSPSLFTEPNEISQ
+YLPIKEAVCEKLIFPERIDPNPADSKECTPVE
+>tr|A0A3Q1NJT2|A0A3Q1NJT2_BOVIN Translocon-associated protein subunit alpha OS=Bos taurus OX=9913 GN=SSR1 PE=1 SV=1
+MSSLRRLLLLLLLVFPATLLLRVGPGGSLAVAQDLTEDEETVEDSIIEDEDDEAEVEEDE
+PTDLAEDKEEDDVSGEPEASPSADTTILFVKGEDFPANNIVKFLVGFTNKGTEDFIVESL
+DASFRYPQDYQFYIQNFTALPLNTVVPPQRQATFEYSFIPAEPMGGRPFGLVINLNYKDL
+NGNVFQDAVFNQTVTIIEREDGLDGETIFMYMFLAGLGLLVVVGLHQLLESRKRKRPIQK
+VEMGTSSQNDVDMSWIPQETLNQINAGGGRRTEAPRPLHGAAGRPAPGGPARPRAPRGTL
+RAAPRRWPAAGPGGAWTAAGRGLGGRLPSAPGGRVRPTGGPGPGPRPVLRPPARPRHEH
+>tr|A0A3Q1MAK4|A0A3Q1MAK4_BOVIN Anoctamin OS=Bos taurus OX=9913 GN=ANO9 PE=3 SV=1
+MRGEDSLRILVPTEGESLPLMDINTCEAMEDQEKEFFGIRADNRMFDRYWRLTETEDTVP
+RGELSRPTSIRATNRIRIVDFVLNSKMAAGDTLQDLVKDGVFEAGFPLHKGEEHLKKKWA
+RWRSMFQRQPISDIRDYFGEKVALYFAWLGWYTYMLVPAAVIGLIVFLSGFSQFEASQIS
+KEICKAHDIYMCPRGDHNRRFQRLSDTCAYAKLTHLFDNEGTVLFAIFMALWATVFLELW
+KRERARVVLQWELYGWDEDQEEMALGLISCPDHQPQLHQHSYLRSTVILLLSLLMICLMI
+GMAHVLVVYRVLAAALFNSALPFLGEQVTTAVVVSGALVHYVIILIMTKINKYVALKLCD
+FEKPRTFSERESKFTIKFFTLQFFAHFSSLIYIAFILGRINGHPGKTVRLAGLWKLEECH
+LSGCMMDLFVQMAIIMGLKQTLSNCVEYLKPWLAHKCRSLRAPSQDPELGHWQRNYRLNP
+VYTFSLFNEFMEMMIQYGFTTIFVAAFPLAPLLALFSNLVEIRLDAIKMVRLQRRLVPRK
+AKDIGTWLQVLEIIGVLAVIANGMVIAFTSEFIPRVVYKYRYGPCRRGAQSEVDCFTGYV
+NHSLSVFYTKDFQDPAKIEGWENVTECRYRDYFSAQDSNFSEQQWFLLAIRLAFLILFEH
+VALCIKLIAAWFVPDVPQSVKNEVLKKKYQRLEEKSCSPKSTDV
+>tr|A0A3Q1MA20|A0A3Q1MA20_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC507383 PE=3 SV=1
+MATGNHSSIKEFILLGLSADTHIQALLFVLFLMIYLLTLLGNLLIILVTHTDSTLHTPMY
+FFLSHLSFQDLCYSSVTVPKMLENLLSQRKVISVEGCMAQVFFVFATTGIEACLLSVMAY
+DRYAAICHPLLYRQVMRKQLCVRLVWGSWVVAFLDALINILLALDLDFCEVQTIHHYSCE
+LPSLFPLSCSDVSTNAAMLLSSALLHAIGTCLLIFFSYTRIVSTILSISSTTGRSKAFST
+CSSHLTAVILFYGSAILRYLMPTSGSPLELILSIQYSVITPLVNPLIYSLKNKEVKAALR
+RTILKYLQCLRQHGRDRP
+>tr|A0A3Q1MJF8|A0A3Q1MJF8_BOVIN RRM domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MAEVDAAMAARPHSIDGRVVEPKRAVAREESGKPGAHVTVKKLFVGGIKEDTEEHHLRDY
+FEEYGKIDTIEIITDRQSGKKRGFGFVTFDDHDPVDKIVLQKYHTVNVHNAEVRKALSRQ
+EMQEVQSSRSGRGGNFGFGDSRGGGGNFGPGPGSNFRGGSDGYGSGRGFGDGYNGYGGGP
+GGNYGSGNYNDFGNYNQQPSNYGPMKSGNFGGSRNMGGPYGRGNYGPGGSGGSGGYGGRS
+R
+>tr|A0A3Q1LF73|A0A3Q1LF73_BOVIN EPH receptor A7 OS=Bos taurus OX=9913 GN=EPHA7 PE=4 SV=1
+MVFQTRHPSWIILCYIWLLHFAHTGEAQAAKEVLLLDSKAQQTELEWISSPPNGWEEISG
+LDENYTPIRTYQVCQVMEPNQNNWLRTNWISKGNAQRIFVELKFTLRDCNSLPGVLGTCK
+ETFNLYYYETDYDTGRNIRENLYVKIDTIAADESFTQGDLGERKMKLNTEVREIGPLSKK
+GFYLAFQDVGACIALVSVKVYYKKCWSIIENLAIFPDTVTGSEFSSLVEVRGTCVSSAEE
+EAENSPRMHCSAEGEWLVPIGKCICKAGFQQKGDTCEPCGRGFYKSSSQDLQCSRCPTHS
+FSDKEGSSRCDCEDGYYRAPSDPPYVACTRPPSAPQNLIFNINQTTVSLEWSPPADNGGR
+NDVTYRILCKRCSWEQGECVPCGSNIGYMPQQTGLEDNYVTVMDLLAHANYTFEVEAVNG
+VSDLSRSQRLFAAVSVTTGQAAPSQVSGVMKERVLQRSVELSWQEPEHPNGVITEYEIKY
+YEKDQRERTYSTLKTKSTSASINNLKPGTVYVFQIRAFTAAGYGNYSPRLDVATLEEATG
+KMFEATAVSSEQNPVIIIAVVAVAGTIILVFMVFGFIIGRRHCGYSKADQEGDEELYFHS
+LVTNEHLSVL
+>tr|F1ML43|F1ML43_BOVIN Kelch like family member 32 OS=Bos taurus OX=9913 GN=KLHL32 PE=4 SV=2
+LPSQKCPINIQEMLTGQRLCHSESHNDSVLAALNQQRSDGILCDVTLIAVLAACSDYFRA
+MFSLCMVESGADEVNLHGVTSLGLKQALEFAYTGQELNSFNYLDLYRLADLFNLTLLEKA
+VIDFLVKHLSELLKSRPEDILTLPYCLLQEVLKSDRLTSLSEEQIWQLAVRWLEHSCHYQ
+YLDELLQYIRFGLMDVDTLHTVALSHPLVQASETATALVNEALEYHQSIYAQPVWQTRRT
+KPRFQSDTLYIIGGKKREVCKVKELRYFNPVDQENALIAAVANWSELAPMPVGRSHHCVA
+VMGDFLFVAGGEVEHASGRTCAVRTACRYDPRSNSWAEIAPMKNCREHFVLGAMDEYLYA
+VGGRNELRQVLPTVERYCPKKNKWTFVQSFDRSLSCHAGYVADGLLWISGGVTNTAQYQN
+RLMVYEPNQNKWISRSPMLQRRVYHSMAAVQRKLYVLGGNDLDYNNDRILVRHIDSYNID
+TDQWTRCNFNLLTGQNESGVAVHNERIYLVGGYSIWTNEPLACIQVLDVSREGKEEVFYG
+PRLPFASNGIAACFLPAPYFTCPNLQTLQVPHHRIGTV
+>tr|A0A3Q1LQL8|A0A3Q1LQL8_BOVIN Microfibrillar-associated protein 2 OS=Bos taurus OX=9913 GN=MFAP2 PE=4 SV=1
+MRAASLFLLFLPAGLLAQGQYDLDPLPPYPDHVQYTHYSEQIGKPTAHPQMTPRPPEEQF
+QFQSQQQVQQEVIPAPTLAQPPDPTCHPDCREEQYPCTRLYSIHKPCKQCLNEVCFYSLR
+RVYVVNKEICVRTVCAHEELLRADLCRDKFSKCGVLASSGLCQSVAAACARSCGGC
+>tr|A0A3Q1LS26|A0A3Q1LS26_BOVIN Very-long-chain enoyl-CoA reductase OS=Bos taurus OX=9913 GN=TECR PE=4 SV=1
+MDLSVSLAARDSSQGLSPGFGLNGLPRPAPPKATKKPKKAVLFFEVEILDAKTREKLCFL
+DKVEPQATIAEIKNLFTKTHPQWYPARQSLRLDPKGKSLKDEDVLQKLPVGTTATLYFRD
+LGAQISWVTVFLTEYAGPLFIYLLFYFRVPFIYGRKYDFTSSRHTVVHLACICHSFHYIK
+RLLETLFVHRFSHGTMPLRNIFKNCTYYWGFAAWMAYYINHPLYTPPTYGAQQVKLALAI
+FVICQLGNFSIHMALRDLRPAGSKTRKIPYPTRNPFTWLFLLVSCPNYTYEVRVLALFSL
+VGFTQMTIWAKGKHRSYLKEFRDYPPLRMPIIPFLL
+>tr|E1BPI7|E1BPI7_BOVIN MON2 homolog, regulator of endosome-to-Golgi trafficking OS=Bos taurus OX=9913 GN=MON2 PE=4 SV=3
+MSGTNSPEAVKKLLENMQSDLRALSLECKKKFPPVKEAAESGIIKVKTIAARNTEILAAL
+KENSSEVVQPFLMGCGTKEPKITQLCLAAIQRLMSHEVVSETAAGNIINMLWQLMENSLE
+ELKLLQTVLVLLTTNTVVHDEALSKAIVLCFRLHFTKDNITNNTAAATVRQVVTVVFERM
+VAEDERHRDITDQPVLVQGNSNRRSVSTLKPCAKDAYMLFQDLCQLVNADAPYWLVGMTE
+MTRTFGLELLESVLNDFPQVFLQHQEFSFLLKERVCPLVIKLFSPNIKFRQGSSTSSSPA
+PVEKPYFPICMRLLRVVSVLIKQFYSLLVTECEIFLSLLVKFLDADKPQWLRAVAVESIH
+RLCVQPQLLRSFCQSYDMKQHSTKVFRDIVNALGSFIQSLFLVPPTGNPAATNQAGNNNS
+GGPVSAPANSGMLGIGGGVTLLPAFEYRGTWIPILTVTVQGSAKATYLEMLDKVEPPTIP
+EGYAMSVAFHCLLDLVRGITNMIEGELGEVETECQTTTTEAVSSPTQSSEQQELQSTSDQ
+MDKEIVSRAVWEEMVNACWCGLLAALSLLLDASTDEAATENILKAELTMAALCGRLGLVT
+SRDAFITAICKGSLPPHYALTVLNTTTAATLSNKSYSIQGQSVMMISPSSESHQQVVAVG
+QPLAVQPQGTVMLTSKNIQCMRTLLNLAHCHGAVLGTSWQLVLATLQHLVWILGLKPSSG
+GALKPGRAVEGPSTVLTTAVMTDLPVISNILSRLFESSQYLDDVSLHHLINALCSLSLEA
+MDMAYGNNKEPSLFAVAKLLETGLVNMHRIEILWRPLTGHLLEVCQHPNSRMREWGAEAL
+TSLIKAGLTFNHDPPLSQNQRLQLLLLNPLKEMSNINHPDIRLKQLECVLQILQSQGDSL
+GPGWPLVLGVMGAIRNDQGESLIRTAFQCLQLVVTDFLPTMPCTCLQIVVDVAGSFGLHN
+QELNISLTSIGLLWNISDYFFQRGETIEKELNKEEAAQQKQAEEKGVVLNRPFHPAPPFD
+CLWLCLYAKLGELCVDPRPAVRKSAGQTLFSTIGAHGTLLQHSTWHTVIWKVLFHLLDRV
+RESSTTADKEKIESGGGNILIHHSRDTAEKQWAETWVLTLAGVARIFNTRRYLLQPLGDF
+SRAWDVLLDHIQSAALSKNNEVSLAALKSFQEILQIVSPVRDSDKPETPPAVNVPAPVLL
+GPISGPSLNRPFVRTDSIGERLGRYSSSEPPVVTDELEDLNLWWAAWNTWYRIGSESTKP
+PITLDKLTFIPSQPFLTALIQIFPALYQHIKTGFNMDDLQKLGVILHRAVSVPISSDASP
+FILPSYTEAVLTSLQEAVLTALDVLQKAICVGPENMQIMYPAIFDQLLAFVEFSCKPPQY
+GQLETKHIANAKYNQIQLFAPAEWVALNYVPFAERSLDVVVDLYQKTACHKAVVNEKVLQ
+NIIKTLRVPLSLKYSCPSESTWKLAVSSLLKVLSIGLPVARQHASSGKFDSMWPELANTF
+EDFLFTKSIPPDNLSIQEFQRNESIDVEVVQLISTEILPYANFIPKEFVGQIMTMLNKGS
+IHSQSSSFTEAEIDIRLREEFSKMCFETLLQFSFSNKVTTPQEGYISRMALSVLLKRSQD
+VLHRYIEDERLSGKCPLPRQQVTEIIFVLKAVSTLIDSLKKTKPENVDGNTWAQVIALYP
+TLVECITCSSSEVCSALKEALVPFKDFMQPPTSKVQNGES
+>tr|A0A452DI70|A0A452DI70_BOVIN Beta-nerve growth factor OS=Bos taurus OX=9913 GN=NGF PE=3 SV=1
+MSIHRGQCSWTLRVLAWGRAVQGAGWHAGPKLSSASGPNNGFSKGTAFCPGHTKVHSVMS
+MLFYTLITALLIGIQAAPHTESNVPAGHAIPQAHWIKLQHSLDTVLRRAHSAPAGPIAAR
+VAGQTHNITVDPKLFKKRRLRSPRVLFSTQPPPVAADTQDLDFEAGGASSFNRTHRSKRS
+SSHPVFHRGEFSVCDSISVWVGDKTTATDIKGKEVMVLGEVNINNSVFKQYFFETKCRDP
+NPVDSGCRGIDAKHWNSYCTTTHTFVKALTMDGKQAAWRFIRIDTACVCVLSRKTGQRA
+>tr|A0A3Q1LMV2|A0A3Q1LMV2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=PMEPA1 PE=4 SV=1
+MHRLMGVNSTAAAAAAAGQPNVSCTCNCKRSLFQSMEITELEFVEITIIVVVVMVMVVVI
+TCLLSHYKLSARSFIGRHSQSRRREDALSSEGCLWPSESTVSGNGIPEPQVYAPPRPTDR
+LAVPAFAQRDRFHRFQPTYPYLQHEIDLPPTISLSDGEEPPPYQGPCTLQLRDPEQQLEL
+NRESVRAPPNRTIFDSDLMESAMLGGPCPPSSNSGISATCYGGGGRMEGPPPTYSEVIGH
+YPGASTFQHQQSSGPASLLEGTRLHPAHIAPLESTAAWSKEKDKQKGHPL
+>tr|A0A3Q1M848|A0A3Q1M848_BOVIN Fermitin family member 1 OS=Bos taurus OX=9913 GN=FERMT1 PE=4 SV=1
+MLSSSDFASASWELVVRVDHPNEEEQKDITLRVSGDLHIGGVMLKLVEQINIAQDWSDFA
+LWWEQKHCWLLKTHWTLDKCGVQADAKLVFTPQHKMLRLRLPNMKTVRLRVSFSTVVFRA
+VSDICRALNIRRAEELSLLKPSGEYFKKKKKKDKNNKEPIIEDILNLEGSPTISGPSVSP
+GLYSKTMTPTYDPINGTPASSTMTWFSDSPLAEQNCSILAFSQPPQSPEALADMYQSRSL
+ADKAKLNSGWLDSSRSLMEQGIQEDEQLLLRFKYYTFFDLNPKYDAVRINQLYEQARWAI
+LLEEIDCTEEEMLIFAALQYHISKLSLSTEIQDFTNESEVDEVEAALSNLEVTLEGGKAD
+NTLEDITDIPKLADNLRLFRPKKLILKAFKQYWFVFKDTSIAYFKNKELEQGEPVEKLNL
+RGCEVVPDVNVAGRKFGIKLLIPVADGMNEVYLRCDHESQYAQWMAACILASKGKTMADS
+SYQPEVLNILSFLKMKNRNSAPQVASGLENMDMNPECFVSPRCAKKHKSKQLAACILEAH
+QNVAQMPLVEAKLRFIQAWQSLPEFGLTYYLVRFKGSKKDDILGISYNRLIRIDAATGIP
+ITTWRFTNMKQWNVNWEIRQVAIEFDQNVSIAFTCLSADCKVVHEYIGGYIFLSTRSKDQ
+NETLDEDLFHKLTGGQEAI
+>tr|A0A3Q1LT02|A0A3Q1LT02_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=C14H8orf76 PE=4 SV=1
+METGCWLLGGEFEDSVFEERRERRPGPPESYRAKRCEPQWFYEETGSSDDVEALTVQKFK
+GDLAYRRQEYQKALQEYSSISEKLPSTNFAMKRDVQEGQARCLVHLGRHKEALEIATNLE
+NKATNTDHLTTVLYLQFTICSSLQNLEKTIFCLQKLISLHPFNPWNWGRLGEAYLNLGPV
+LSASLASSQKENSFTSSDTAIKSSFPHSGKDCLLCFPETLPEGSVEVSSGNKQRNEKALK
+NIQNRMTEERAAVLLETQMKACASFIRTRLLLQLAQCQQTSFALERNLKTQQEIEDKMKR
+FSFKEDTLLLIAEQKEENSSPGQNGKVISISHPLHCSFLSQAPSQNRQRSGFVKLLL
+>tr|A0A3Q1M9A8|A0A3Q1M9A8_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=OR51G2 PE=3 SV=1
+MRILYNSSLQKNSSSMSSTFLLSGIPGLEHMHTWISIPLCFIYIVSILGNCTIILIIKTE
+PSLHEPMYLFLSMLALTDLGLSLCTLPTVLGIFWVGARDIGHDACFAQLFFIHCLSFLES
+SVLLSMAFDRFVAICRPLHYASILTNTVIGRIGLASLGRSVALIIPLPFMLKRFPYCGSP
+VLSHSYCLHQEVMKLACADIRANSIYGMFVIVSTVGIDSLLILFSYALILRTVLSIASRA
+ERLKALNTCVSHICAVLLFYTPMIGLSVIHRFGKQTPHLFQVILGFVYLLFPPLMNPIIY
+SVKTKQIRDRVTHAFCS
+>tr|F1MM69|F1MM69_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=OR4C3 PE=3 SV=3
+MEIPHNITEFFMLGLSQNPEVQRALFVAFLTIYGITVCGNILIVITITCNPTLDSPMYFF
+LANLSFIDTCYSSSMAPRLIADSLYEGRAISYEGCMAQLFGAHFFGGAEIILLTVMAYDR
+YVAICKPLHYTAIMTRHLCALLVGLAWLGGFLHSLVQLLLVLQLPFCGPNVINHFVCDLY
+PLLELACTDTYVIGLLVVANSGVICLLNFLLLAASYLVILCSLRSHSAEGRRRALSSCGA
+HFTVVALFFVPCMFTYVRPSSNLSIDKNMAVFYGILTPMLNPLIYTLRNEEVKNALTKFF
+TQQDLKCS
+>tr|A0A3Q1M1J1|A0A3Q1M1J1_BOVIN START domain-containing protein OS=Bos taurus OX=9913 GN=LOC781298 PE=4 SV=1
+MEPGTGTFSEEQFREACAELQRPALSGAAWELLEETQGISVYRLLDQPTGLYEYKFIGTL
+RAPPDLLVDICMDLGYIRRQIPQVTEAYETECNGETVTYMKMEFPFFVSSRDCVYVKQRR
+ELDFRGRKIQVVLAKGTSLPQFPERPGFIRVSQCQVKLAVESAGHNRSKVFIYCFVNPGG
+WIPSWLINLLVKNGIPGFLADLENACLRYQRRT
+>tr|A0A3Q1NKH1|A0A3Q1NKH1_BOVIN GDNF family receptor alpha OS=Bos taurus OX=9913 GN=GFRA2 PE=3 SV=1
+MILANAFCLFFFLDETLRSLASPSSPQGPELHGWRPPVDCVRANELCAAESNCSSRYRTL
+RQCLAGRDRNTMLANKECQAALEVLQESPLYDCRCKRGMKKELQCLQIYWSIHLGLTEGE
+EFYEASPYEPVTARLSDIFRLASIFSGTGADPAVSTKSNHCLDAAKACNLNDNCKKLRSS
+YISICNREISPTERCNRRKCHKALRQFFDRVPSEYTYRMLFCSCQDQACAERRRQTILPS
+CSYEDKEKPNCLDLRSLCRTDHLCRSRLADFHANCRASYQTLTSCPTDNYQACLGSYAGM
+IGFDITPNYVDSSPTGIVVSPWCSCRGSGNMEEECEKFLKDFTENPCLRNAIQAFGNGTD
+VNLSPKSPPFQATQAPRVDKTPSLPDDLSDSTSLGTSVISTCTSVQLTTNIIPGSKRVIK
+PNSGPRRTRPSAALTAASFLMLKLAL
+>tr|A0A3Q1M783|A0A3Q1M783_BOVIN Sushi domain-containing protein OS=Bos taurus OX=9913 GN=IL15RA PE=4 SV=1
+MLSGWLLKKDPGPLLDGGCPYSTSSTCLNLGGGSPGSLGFKVTDFHPSILQSKLQSAHIF
+SLFYASVGITCPTPTSVEHADIQVKSYSINSRERYVCNSGFKRKAGTSSLTQCVFNETAK
+VAHWTTPNLKCIRDPSLSHQRPPSTAAPTGLTPEPESPTPSGKEPDLTSKSDTKVATRPA
+TGPGSRLPSTAPPVGTTGVVSKETTYVPAQTAAKAPEHTYPALQDTPGAYQNNPRVVTAA
+VSTVTVLFVVCLVFLLGRCLWSRRAHQTPGVEMESMESVPMTTGADARGEDTEIHPHGLG
+GSGDAEASSGRSEGPALPQSERT
+>tr|F1N2F6|F1N2F6_BOVIN Testis expressed 11 OS=Bos taurus OX=9913 GN=TEX11 PE=4 SV=3
+MAVNLWNWIITNNIGLVINEEQKAKVRHVACKLIRMCEHPDASEEAIHRQILMNMKTGKG
+WVNVGNVVLADDFFQSAITSLEKLYSKITQRSPTEEHVMVQKNTVERDLLKVLSYQAEAA
+VAGGNFQKASRCILRCKDMLVRLPQMVCYLHTVCYNFGLQTYRENKYEESCFWLSQSFDI
+GKTDGNSVEPEMLAKVLRLLATAYLDWDSSEYRDKALNIINLANKEHLDPVGLFLKVKIL
+LKGEIGNEELFQNVMEILHLGMTMNFCLNIVKLLMDHERDSVGFYFLKIICEHFQSSEDT
+SKAQLLHIDMLLQRKEDLFAKEKVEEIIIGHQTGKPLASELIGYFHNIIWKQAAKSFEVH
+NFDDALHWYCYSLRFYAADQSDLDLAKLQRNMASCYLHLGQFDKAKDAVIKAAQRDPRNI
+FTQFYIFKISIVEGNSGRALQAIFSLEYLLTTEEQNENNVSTKRTSGVMLFCLAAQFALE
+NGQQVVAVKALENLAKYSEEPPQVLTALKCLFRLCLPRISQMPESENKRQEMDRILAYFN
+TALLNLTQLFEGETWTLASKINEAHWFRKTAWNLAVQCEKDPLSMREFFVLSYKMSLFCP
+SDQVILIAQKTCLLMAAAVDLQQGRRASTAFEQNCFLNRALDLIRKCRDIWNVLKTTGDF
+SRDPCETLLILYEFEVRAKLNDPLLDHFLEPVWELPTLESKAFETMAALAMEMPACYPSI
+ALKALKKALFFYKRKESIDVVRYSQCMHSLVNLLVPDGVPNTELCPMEEIWGNFEDALIF
+ISQTEGYPEMEVLCLMIKSWNIGIFLYSERMYVSTEKWCGLALRLLDYLGSLKSTYETQV
+RKEKVRGGWHDSGDREVLSSSQ
+>tr|A0A3Q1MU15|A0A3Q1MU15_BOVIN E3 ubiquitin-protein ligase E3D OS=Bos taurus OX=9913 GN=UBE3D PE=4 SV=1
+MAVSVTPSSLRMQSPGGCTELRLPAGVRLAPSTCRGLQHVPGDGLHLRLHARAESRPELI
+SVFNQSSQDQECCTFYCQSCGEVIIRDRMLLRVLPLPGDNWGALVDEWCCHPDPFANKPL
+HPRENDCFTGDCFYLVNLKSDLWQPRPEGAPVETHHLSSENHLKLKPKANTKVICKRCKV
+MLGETVSSETTKFYMTEIIILPSERNFPIIPRSQFVQSVLAQCVVELSSARSTFRFTIQG
+HDDKVYILLWLLNSDSLVIESLGQYTNIKKFPVLEDVLKPDSNSACNAVKVLYQPCIKSR
+NAELSSLWENDLSVHSLTLPSTTCLELLLILSKSNATLPPSLRCMNSFQVRTGSLRVSFL
+SLTCHCVLRILTFIRTAHGKVHFHMIINIMQVLPSLTHSK
+>tr|A0A3S5ZP98|A0A3S5ZP98_BOVIN Alpha-aminoadipic semialdehyde dehydrogenase OS=Bos taurus OX=9913 GN=ALDH7A1 PE=3 SV=1
+MWRVPGLLCVRVARKSKFSGSWNRPAAFMSTLLINQPQYAWLKELGLREENDGVYNGSWG
+GRGEVITTYCPANNEPIARVRQASMADYEETVEKAREAWSIWADIPAPKRGEVVRQIGDA
+LREKIQVLGSLVSLEMGKILVEGVGEVQEYVDVCDYAVGLSRMIGGPILPSERPGHALIE
+QWNPVGLVGIITAFNFPVAVYGWNNAIAMICGNACLWKGAPTTSLISVAVTKIIAKVLED
+NKLPGAICSLTCGGADIGTAMAKDERVDLLSFTGSTQVGKQVALMVQERFGRSLLELGGN
+NAIIAFEDADLSLVVPSALFAAVGTAGQRCTTARRLFLHESIHDEVVNRLKKAYAQIRVG
+NPWDSNVLYGPLHTKQAVSMFLGAVEEAKKEGGTVVYGGKVMDRPGNYVEPTIVTGLDHD
+ASIVHTETFAPILYVFKFKNEDEVFAWNNEVKQGLSSSIFTKDMGRIFRWLGPKGSDCGI
+VNVNIPTSGAEIGGAFGGEKHTGGGRESGSDAWKQYMRRSTCTINYSKDLPLAQGIKFQ
+>tr|A0A452DJB2|A0A452DJB2_BOVIN Histone H4 OS=Bos taurus OX=9913 PE=3 SV=1
+MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLK
+VFLENVIRDAVTYTEHAKRKTVTYRGKKSRKQGIKLKHIEKI
+>tr|F1MPX8|F1MPX8_BOVIN Glutamate receptor interacting protein 1 OS=Bos taurus OX=9913 GN=GRIP1 PE=4 SV=3
+MKKEGTTLGLTVSGGIDKDGKPRVSNLRQGGIAARSDQLDVGDYIKAVNGINLAKFRHDE
+IISLLKNVGERVVLEVEYELPPVSVQGSSVIFRTVEVTLHKEGNTFGFVIRGGAHDDRNK
+SRPVVITCVRPGGPADREGTIKPGDRLLSVDGIRLLGTTHAEAMSILKQCGQEATLLIEY
+DVSVMDSVATASGPLLVEVAKTPGASLGVALTTSMCCNKQVIVIDKIKSASIADRCGALH
+VGDHILSIDGTSMEYCTLAEATQFLANTTDQVKLEILPHHQTRLALKGPDHAALVSSSFS
+PTSMSAYSLSSLNMGTLPRSLYSTSPRGTMMRRRLKKKDFKSSLSLASSTVGLAGQVVHT
+ETTEVVLTADPVTGFGIQLQGSVFATETLSSPPLISYIEADSPAERCGVLQIGDRVLAIN
+GIPTEDSTFEEANQLLRDSSITSKVTLEIEFDVAESVIPSSGTFHVKLPKKHNVELGITI
+SSPSSRKPGDPLVISDIKKGSVAHRTGTLELGDKLLAIDNIRLDNCSMEDAVQILQQCED
+LVKLKIRKDEDNSDEQESSGAIIYTVELKRYGGPLGITISGTEEPFDPIIISSLTKGGLA
+ERTGAIHIGDRILAINSSSLKGKPLSEAIHLLQMAGETVTLKIKKQTDAQSASSPKKFPV
+ASHLSDLGDVEDPSPAQKPSKLSDTYPSTVPSVDSAVDSWDGSGIESSYGNQGTGFQASG
+YNFNTYDWRSPKPRGSLSPVTKPRSQTYPDVGLSNEEWDRSTVSGSFTGAPDSTEAEQEE
+NFWSQALEDLETCGQSGILRELEATIMSGSTMSLNHETPSSRSQLGRQASFQERSSSRPH
+YSQTTRSNTLPSDVGRKSVTLRKMKQEIKEIMSPTPVELHKVTLYKGSDMEDFGFSVADG
+LLEKGVYVKNIRPAGPGDLGGLKPYDRLLQVNHVRTRDFDCCLVVPLIAESGNKLDLVIS
+RNPLASQKSLEHTLPGGEWSEQNSAFFQQPSHGGNLEIREPTNTL
+>tr|E1BD38|E1BD38_BOVIN Tyrosine kinase non receptor 1 OS=Bos taurus OX=9913 GN=TNK1 PE=3 SV=3
+MERCPGSPRPDMLPEAGSLWLLRLLRDLQLAQFYRPILEELNVTRPEHFDFVRAEDLDGI
+GMGRPAQRRLAEALKRHRSGLKSKNWVYKILGGFASGQKETTPPSDSLPSLPEPDGRLKC
+LIPNRAVCRGELLGSGCFGMVHRGLWTLPSGQTVPVAVKSLRVGPEGPEGTELEDFLREV
+SVMMNLEHPHVLRLHGLVLGQPLQMVMELAPLGSLHARLTAPAPTPPLALALLCLFLRQV
+AGAMAYLGARGLVHRDLATRNLLLASPRTIKVADFGLVRPLGGARGRYIMGGPRRIPFAW
+CAPESLRHGAFSSASDVWMYGVTLWEMFAGGEEPWAGVPPYLILQRLEKDRARLPKPPLC
+SRALYTLALRCWASHPADRPTFSDLEGLLQEAWPPEGRCVREVMEAGALRMEPGDPITII
+EGSPDSTTWKGQNGRTFKVGSFPASAVTLVDPPATRPILRGTPARVERHQRNADGDRGKA
+KLQDAPQARGQRRNVALQRTKGISKSLESVLSLGPRPTGGGSSPPELRHARTGPQGPPSR
+SPRLPMATSSSQPSRERPPWPKRESPQSHPVGAPGASKAAIPSGRPLSDPELQRRVLEVE
+LSVHGVTHQECQAALRATGGDVVSAIRNLKVEQLFYLSSWSRADCRRILERYQWDLSAAS
+RYVLARP
+>tr|A0A3Q1NEH2|A0A3Q1NEH2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+IVSVPDSSGPKCILSISADIETIGEILKKIIPTLEEGLQLPSPTATSQLPLESDAVECLN
+YQYYKGRGIIGVKGAQIKELRENTQTTIKLFQECCPQSTERVILIGGKPDRVVECIKIIL
+DLISESPIKGRAQPYDPNFYDETYDYGGFTMMFDDRRGRPVGFPMRGRGGFDRMPPGPGG
+HPMPLSRRDYEDMNPRRGPPPPPPGRGARGGSRAQNLPLPPTPPPRGGDLIAYDRRGRPG
+DRYDSMVGFSADETWDSAIDTWSPSEWQMAYEPQGGSGYDYSYAGGCGSYGDLGGPIITT
+QVTIPKDLAGSIIGKGGHRIKQIRHESGASIKIDEPLEGSEDQIITITGTQDQIQNAQYL
+LQNSVKQYYSGKFL
+>tr|G3MYM5|G3MYM5_BOVIN IG domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=2
+MGPRLLCCVTLCLLGAGAVHAGVTQDPRFQVVRRGQSGTLKCTQDLGHDRMYWYRQDLGH
+GLRPIHYSAGVSTSEPRDVPDGYSVSRSSTENFPLMLESANHSQTSVYFCASSYSTALQG
+HLLSVEKDKGPTCRILALVT
+>tr|G3N3P4|G3N3P4_BOVIN Placental prolactin-related protein 3 OS=Bos taurus OX=9913 GN=PRP3 PE=3 SV=1
+MVMSNLLLCQGNSCPSCCPDVFDIPLESLTHLFLNASRLSHDIVNHTTIMFHEFDEKYAQ
+NQPYTINATKSCHTNSLHTPQEREKALRMNNEDLSKWILMLLYSWHRPLYLLVKDLQSMK
+EVSDTILSSAKENMRKIEELQAFIERQFSQVIYPVIRTIFKARIYWSGLASLVSNDEDVR
+HSAFYKLFMCLYRDSRKLDMYTEILACRITNTC
+>tr|A0A3Q1MLF5|A0A3Q1MLF5_BOVIN AP complex subunit sigma OS=Bos taurus OX=9913 GN=AP1S2 PE=3 SV=1
+MQFMLLFSRQGKLRLQKWYVPLSDKEKKKITRELVQTVLARKPKMCSFLEWRDLKIVYKR
+YASLYFCCAIEDQDNELITLEIIHRYVELLDKYFGSVCELDIIFNFEKAYFILDEFLLGG
+EVQETSKKNVLKAIEQADLLQEDSKPRSARLQNCKKS
+>tr|A0A3Q1LYX3|A0A3Q1LYX3_BOVIN Double zinc ribbon and ankyrin repeat domains 1 OS=Bos taurus OX=9913 GN=DZANK1 PE=4 SV=1
+MTAGSVCVPQIIPLRVPQPGKANHEIDNNTLLEMKSDTPDVNIYYTLDGSKPEFQKKIGY
+GENTTFKYTKPITLPDGKIQVKAIAVTKDCRESAIVTKVFQVDPIPPDPVSPEDTVENAL
+KDPPKQELKNGFVESKGRKKYKNPESKLGWNVNLGKFPDMGERSDPKTLKDLRFSESPRE
+APTFRDELGSRPAPQQPQFPGFAHITGQKSLTSTEIMRIHRETDFLKCARCLVARPSDPF
+ARYCLECGSSVPPIFGCRLPPPEGAQMGLCTECENVVPLNTPICVVCEAPLAPQLQPQAS
+FRLKERVICRDCGTGNPAQLRYCVSCEGTLPSSPQEPSVPTCYSVCFKCGASNHPSARFC
+GSCGLYVRSLARPYVESSLAPAAGEFGLFAEPRPVWQPLNVPLPRPDAGPKRDVGTQTTG
+LFYPSGTLLAKKELEVASQKQRQEKTSDHKPLLTAVSPGRGYWRKQVDHISAHLRSYAQN
+NPEFRALIAEPRMGKLISATVHEDGYEVSIRLNYIQVSNKNFYLNKAMNFSDHFLSSITE
+GGNGLFGSGSSLVSDYSQGALDTEEKVTRTKNFKTKPFQEKKQQLSPEDRLLLKEVGPAG
+EGRASVMEQLLDEGADPNCSDNQDRPVLSLAAMNQHHEAIPVLVQRGADVDQQWGPHDSH
+LPSLLPPLIRSLS
+>tr|G5E5K3|G5E5K3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=1 SV=1
+RLLLDGAPLIAIHKARYYKRKDGLALGPGPFVTALEYATDTKATVVGKPEKTFFLEALRG
+TGCEPEETVMIGDDCRDDVGGAQNAGMRGILVKTGKYRAADEDKINPAPYLTCESFPHAV
+DHILQHLL
+>tr|F6RP02|F6RP02_BOVIN Serine/threonine-protein kinase OS=Bos taurus OX=9913 GN=PIM2 PE=3 SV=1
+MLTKPLQGLPVAPMTPTPLPGGKDREAFEAEYQLGPLLGKGGFGTVFAGHRVTDRLQVAI
+KVIPRNRVLGWSPLSDSVACPLEVALLWKVGAGGGHPGVIRLLDWFETPEGFMLVLERPL
+PAQDLFDYITEQGPLGEGRSRCLFAQVVAAVRHCHARGVVHRDIKDENILMDLRRGCTKL
+IDFGSGALLHDEPYTDFDGTRVYSPPEWISRHQYHALPATVWSLGILLYDMVCGDIPFER
+DQEILEAELHFPAHVSPDCCALIRRCLAPKPSARPSLEEILLDPWMQTPAEDASLNTPKG
+GPTPLDWSLLP
+>tr|A0A3Q1MLV0|A0A3Q1MLV0_BOVIN Tropomyosin 4 OS=Bos taurus OX=9913 GN=TPM4 PE=1 SV=1
+MEAIKKKMQMLKLDKENAIDRAEQAESDKKAAEEKCKQVEEELTHLQKKLKETEDELDKY
+SENLKDAQEKLELTEKKASDAEGDVAALNRRIQLVEEELDRAQERLATALQKLEEAEKAA
+DESERGMKVIENRAMKDEEKMEIQEMQLKEAKHIAEEADRKYEEVARKLVILEGELERAE
+ERAEVSELKCGDLEEELKNVTNNLKSLEAASEKYSEKEDKYEEEIKLLSDKLKEAETRAE
+FAERTVAKLEKTIDDLEDELYTQTLTYKATSEELAHAFTDTTSL
+>tr|F1MLA9|F1MLA9_BOVIN Cysteine--tRNA ligase, mitochondrial OS=Bos taurus OX=9913 GN=CARS2 PE=4 SV=2
+MLWTRRACGGAWLLRAARGPEPGRSASGARGQGWLQPTGYDTGVKVYNSLTRRKDPLIVS
+RADAASWYSCGPTVYDHAHLGHACSYVRFDIIRRILSRVFGCTVVMVMGITDVDDKIIRR
+ANEMSVSPASLARLYEEDFKQDMAALKVLPPTAYLRVTEHVPQIVAFIERLIANGHAYCT
+AKGNVYFDLQSRGDRYGKLVGVAPGPVGEPVDSDKRHTSDFALWKAAKPQEPFWGSPWGD
+GRPGWHIECSTIASLVFGSQLDIHSGGVDLAFPHHENEIAQCEAFHQCPQWGNYFLHSAI
+GHGPPRWGCRWVASCSLAGGELLCLSAAGRLCCVTRLWVQGHAQQRSASPAGLLGLCQAS
+PVPGKPGGPRARDGREEAAPQGAGDGRADLRDVPAAVQAALADDFDTVRAVDAVMDLVHH
+GNRQLKAASEEPRGPRSPAVLGAVVASVEHFFETVGVSLAERQCVPGAGSPAALHSLVEE
+LVCFRLKVRQFALASGEAPGEARRQRLLERQPLLEACDALRRDLAVHGISIKDRSGSSTW
+ELLDPRTEDPKPRS
+>tr|A0A3Q1N1M4|A0A3Q1N1M4_BOVIN Acetyl-CoA carboxylase 1 OS=Bos taurus OX=9913 GN=ACACA PE=4 SV=1
+MDEPSSLAKPLELNQHSRFIIGSVSEDNSEDEISNLVKLDLLEEKEGSLSPASVSSDTLS
+DLGISSLQDGLALHMRSSMSGLHLVKQGRDRKKIDSQRDFTVASPAEFVTRFGGNKVIEK
+VLIANNGIAAVKCMRSIRRWSYEMFRNERAIRFVVMVTPEDLKANAEYIKMADHYVPVPG
+GPNNNNYANVELILDIAKRIPVQAVWAGWGHASENPKLPELLLKNGIAFMGPPSQAMWAL
+GDKIASSIVAQTAGIPTLPWSGSGLCVDWHENDFSKRILNVPQELYEKGYVKDVDDGLKA
+AEEVGYPVMIKASEGGGGKGIRKVNNADDFPNLFRQVQAEVPGSPIFVMRLAKQSRHLEV
+QILADQYGNAISLFGRDCSVQRRHQKIIEEAPAAIATPAVFEHMEQCAVKLARMVGYVSA
+GTVEYLYSQDGSFYFLELNPRLQVEHPCTEMVADVNLPAAQLQIAMGIPLYRIKDIRMMY
+GVSPWGDAPIDFENSAHVPCPRGHVIAARITSENPDEGFKPSSGTVQELNFRSNKNVWGY
+FSVAAAGGLHEFADSQFGHCFSWGENREEAISNMVVALKELSIRGDFRTTVEYLIKLLET
+ESFQLNRIDTGWLDRLIAEKVQAERPDTMLGVVCGALHVADVSLRNSISNFLHSLERGQV
+LSAHTLLNTVDVELIYEGVKYVLKVTRQSPNSYVVIMNGSCVEVDVHRLSDGGLLLSYDG
+SSYTTYMKEEVDRYRITIGNKTCVFEKENDPSVLRSPSAGKLIQYIVEDGGHVFAGQCYA
+EIEVMKMVMTLTAAESGCIHYVKRPGAALDPGCVIAKMQLDNPSKVQQAELHTGSLPRIQ
+STALRGEKLHRVFHYVLDNLVNVMNGYCLPDPFFSSRVKDWVERLMKTLRDPSLPLLELQ
+DIMTSVSGRIPPNVEKSIKKEMAQYASNITSVLCQFPSQQIANILDSHAATLNRKSEREV
+FFMNTQSIVQLVQRYRSGIRGHMKAVVMDLLRQYLRVETQFQNGHYDKCVFALREENKSD
+MNTVLNYIFSHAQVTRKNLLVTMLIDQLCGRDPTLTDELLNILTELTQLSKTTNAKVALR
+ARQVLIASHLPSYELRHNQVESIFLSAIDMYGHQFCIENLQKLILSETSIFDVLPNFFYH
+SNQVVRMAALEVYVRRAYIAYELNSVQHRQLKDNTCVVEFQFMLPTSHPNRGNIPTLNRM
+SFSSNLNHYGMTHVASVSDVLLDNAFTPPCQRMGGMVSFRTFEDFVRIFDEVMGCFCDSP
+PQSPTFPEAGHTSLYDEDKVPRDEPIHILNVAIKTDCDIEDDSLAAMFREFTQQNKATLV
+EHGIRRLTFLVAQKVLACELCTSFEEDRIYRHLEPALAFQLELNRMRNFDLTAIPCANHK
+MHLYLGAAKVEVGTEVTDYRFFVRAIIRHSDLVTKEASFEYLQNEGERLLLEAMDELEVA
+FNNTNVRTDCNHIFLNFVPTVIMDPSKIEESVRSMVMRYGSRLWKLRVLQAELKINIRLT
+PTGKAIPIRLFLTNESGYYLDISLYKEVTDSRTAQIMFQAYGDKQGPLHGMLINTPYVTK
+DLLQSKRFQAQSLGTTYIYDIPEMFRQSLIKLWESMSSQAFLPPPPLPSDILTYTELVLD
+DQGQLVHMNRLPGGNEIGMVAWKMTLKSPEYPDGRDIIVIGNDITYRIGSFGPQEDLLFL
+RASELARAEGIPRIYVAANSGARIGLAEEIRHMFHVAWVDPEDPYKGYKYLYLTPQDYKR
+VSALNSVHCEHVEDEGESRYKITDIIGKEEGLGAENLRGSGMIAGESSLAYDEIITISLV
+TCRAIGIGAYLVRLGQRTIQVENSHLILTGAGALNKVLGREVYTSNNQLGGIQIMHNNGV
+THSTVCDDFEGVFTVLHWLSYMPKSVYSSVPLLNSKDPIDRVIEFVPTKAPYDPRWMLAG
+RPHPTQKGQWLSGFFDYGSFSEIMQPWAQTVVVGRARLGGIPVGVVAVETRTVELSIPAD
+PANLDSEAKIIQQAGQVWFPDSAFKTYQAIKDFNREGLPLMVFANWRGFSGGMKDMYDQV
+LKFGAYIVDGLRECSQPVMVYIPPQAELRGGSWVVIDPTINPRHMEMYADRESRGSVLEP
+EGTVEIKFRRKDLVKTMRRVDPVYIHLAERLGTPELSVAERKELESKLKEREEFLLPIYH
+QVAVQFADLHDTPGRMQEKGVINDILDWKTSRTFFYWRLRRLLLEDLVKKKIHNANPELT
+DGQIQAMLRRWFVEVEGTVKAYVWDNNKDLVEWLEKQLTEEDGVRSVIEENIKYISRDYV
+LKQIRSLVQANPEVAMDSIVHMTQHISPTQRAEVVRILSTMDSPST
+>tr|A0A3Q1M686|A0A3Q1M686_BOVIN DAB1, reelin adaptor protein OS=Bos taurus OX=9913 GN=DAB1 PE=4 SV=1
+MSTETELQVAVKTSAKKDSRKKGQDRSEATLIKRFKGEGVRYKAKLIGIDEVSAARGDKL
+CQDSMMKLKGVVAGARSKGEHKQKIFLTISFGGIKIFDEKTGALQHHHAVHEISYIAKDI
+TDHRAFGYVCGKEGNHRFVAIKTAQAAEPVILDLRDLFQLIYELKQREELEKKAQKDKQC
+EQAVYQTILEEDVEDPVYQYIVFEAGHEPIRDPETEENIYQVPTSQKKEGVYDVPKSQPV
+SNGRAFEDFDERFAAATPAVTQLELFGDMSTPPDITSPPTPATPGDAFIPSSSQTLPASA
+DVCGSLPFGTAAVPSGYVAMGAVLPSFWGQQPLVQQQIAMGAQPPVAQVMPGAQPIAWGQ
+PGLFPAAQQPWPAVAGQFPPAAFMPTQTVMPLPAAMFQGPLTPLATIPATGDSARSSPQT
+DKPRQKMGKEMFKDFQMAQPPPVPSRKPDQPSLTCTSEAFSSYFNKVGVAQDTDDCDDFD
+ISQLNLTPVTSTTPSTNSPPTPAPRQSSPSKSSASHASDPTADDIFEEGFESPSKSEEQE
+AEPEPLYAQINRCKK
+>tr|A0A3Q1MDZ7|A0A3Q1MDZ7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=C2CD3 PE=4 SV=1
+MKQRKAQGPGGGRSRKKRDSSTGLSDISPSTSLPPLVEGQLRCFLKLSVNKVIWKIAKPP
+ACILVRVRWWGETSEGTLFCPRDALQTEPKAVRTTTRYAIRCGPKQFTSYLTDMAVLVLE
+VITKLEHLPIGRVQISGLAQLSPTHHIDGFFTIVSPTSKKLGELQVSLALEPLSETYDSY
+NPLPTTNMTENVLLSERGFREYSEASNTQFLVPSRIHTTKIDEKDLAANSSRSTPPRGKD
+HLYFAENSDTIKDSFCGLQHHLNSGQSLESVTLKGKAPWKQMSLLNSSEFQPQISTVAKS
+HSDSCILSSNNRPTKDLLSALLEQGNKLRNAMVISAMKSSPDTSMLLEEVHPSMKEDLLR
+TSSQ
+>tr|F1MJS5|F1MJS5_BOVIN HECT domain E3 ubiquitin protein ligase 3 OS=Bos taurus OX=9913 GN=HECTD3 PE=4 SV=1
+MAGPGPGAALESPRQLLGRVRFLAEAAKSLRAGRPLPAALAFVPREVLYKLYKDPAGPSR
+VLLPVWEAEGLGLRVGATGPAPGTGSGALRAARDSIELRRGACVRTTGEELCNGHGLWVK
+LTKEQLAEHLGDCGLDEGWLLVCRPAEGGARLVPIDTPDHLQRQQQLFGVDYRPVLRWEQ
+VVDLTYSHRLGSRPQPAEAYTEAVQRLLYVPPTWTYECDEDLIHFLYDHLGKEDENLGSV
+KQYVESIDVSSYTEEFNVSCLTDSNADTYWESDGSQCQHWVRLTMKKGTIVKKLLLTVDT
+TDDNFMPKRVVVYGGEGDNLKKLSDVSIDETLIGDVCVLEDMTVHLPIIEIRIVECRDDG
+IDVRLRGVKIKSSRQRELGLNADLFQPTNLVRYPRLEGTDPEVLYRRAVLLQRFIKILDS
+VLHHLVPAWDHTLGTFSEIKQVKQFLLLSRQRPGLVAQCLRDSESSKPSFMPRLYINRRL
+AMEHRACPLRDPACKNAVFTQVYEGLKPSDKYEKPLDYRWPMRYDQWWECKFIAEGIIDQ
+GGGFRDSLADMSEELCPSSADTPVPLPFFVRTANQGNGTGEARDMYVPNPSCRDFAKYEW
+IGQLMGAALRGKEFLVLALPGFVWKQLSGEEVSWSKDFPAVDSVLVKLLEVMEGMDKETF
+EFKFGKELTFTTVLSDQQVVELIPGGSGIVVGYEDRSRFIQLVQKARLEESKEQVAAMQA
+GLLKVVPQAVLDLLTWQELEKKVCGDPEVTVDALRKLTRFEDFEPSDTRVQYFWEALNNF
+TNEDRSRFLRFVTGRSRLPARIYIYPDKLGYETTDALPESSTCSSTLFLPHYASAKVCEE
+KLRYAAYNCVAIDTDMSPWEE
+>tr|G3X6W7|G3X6W7_BOVIN Guanylate cyclase OS=Bos taurus OX=9913 GN=NPR2 PE=4 SV=1
+MALPSLLLVVAALAGGVRPPGARNLTLAVVLPEHNLSYAWAWPRVGPAVALAMEALGRAL
+PVDLRFVSSELDGACSEYLAPLRAVDLKLYHDPDLLLGPGCVYPAASVARFASHWRLPLL
+TAGAVASGFSAKSEHYRTLVRTGPSAPKLGEFVVMLHGHFNWTARAALLYLDARTDDRPH
+YFTIEGVFEALQGSNLSVQHQVYAREPGGPEQATHFIRANGRIVYICGPLEMLHEILLQA
+QRENLTNGDYVFFYLDVFGESLRAGPTRSMGRPWQDNRTREQAQALREAFQTVLVITYRE
+PPNPEYQEFQNRLLIRAREDFGVELAPSLMNLIAGCFYDGILLYAEVLNETIQEGGTRED
+GLRIVEKMQGRRYRGVTGLVVMDKNNDRETDFVLWAMGDLVSGDFQPAAHYSGAEKQIWW
+TGRPIPWVKGVPPLDNPPCAFDMDDPSCDKTPLSTLAIVALGTGITFIMFGVSSFLIFRK
+LMLEKELASMLWRIRWEELQFGNSERCHKGAGSRLTLSLRGSSYGSLMTAHGKYQIFANT
+GHFKGNVVAIKHVNKKRIELTRQVLFELKHMRDVQFNHLTRFIGACIDPPNICIVTEYCP
+RGSLQDILENDSINLDWMFRYSLINDLVK
+>tr|A0A3Q1LZ00|A0A3Q1LZ00_BOVIN Uridine-cytidine kinase OS=Bos taurus OX=9913 GN=UCK2 PE=3 SV=1
+MAGDSEQTLQNHQQPNGGEPFLIGVSGGTASGKSSVCAKIVQLLGQNEVDYRQKQVVILS
+QDSFYRALTSEQKAKALKGQFNFDHPDAFDNELIFKTLKEITEGKTVQIPVYDFVSHSRK
+EETVTVYPADVVLFEGILAFYSQEVRDLFQMKLFVDTDADTRLSRRVLRDISERGRDLEQ
+ILSQYITFVKPAFEEFCLPTKKYADVIIPRGADNLVAINLIVQHIQDILNGGLSKRQTNG
+YLNGYTPSRKRQASESSSRPH
+>tr|A0A3Q1MEU3|A0A3Q1MEU3_BOVIN Peptidase S1 domain-containing protein OS=Bos taurus OX=9913 PE=3 SV=1
+MVLFLLLAALPLFPTGEAGKSLGGMMPSHTPIPYMAFFEYQFSEEIFSSGGFLVHKEFVL
+TAAHCLGRAHNIMEQERIQQVIPMRRAIRHPDYNGKSWAKDIMLLQMGCMKATLTVAVSP
+INLPRRWQKVKRGIMCSVAGLGEVGVDMLCADKLQEADSKVQREEKCITCFDDYIPIMQI
+CAGDSNKSRDSFLGDSRGALVCNGVAQGSVSRGEEYGRTPNVYTRISSFLSWIQTTMRQY
+KW
+>tr|A0A3Q1MYF5|A0A3Q1MYF5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MSNITLNFFFFFSKKHLPNQLRCCPGPFCSHPSLLSRPRAPPPQCSVLYACALCSCILNK
+SEINVFDAEPQTALASLSDLGYQYKLALWDRLKLGW
+>tr|A0A3Q1MQI6|A0A3Q1MQI6_BOVIN Zinc finger matrin-type protein 5 OS=Bos taurus OX=9913 GN=ZMAT5 PE=4 SV=1
+MGKRYFCDYCDRSFQDNLHNRKKHLNGLQHLKAKKLWYDMFRDAAAILLDEQNKRPCRKF
+LLTGQCDFGSNCRFSHMSERDLQELSVQVEEERRAREWPLDVAELPEVCLEDWLEKRAKR
+LSSAPSSRYRPRGPPSVPSRSRTPASSPLSPPTAQGPDMDAHIGLRRGPTSGLSVPRPWP
+EADGHSLVGSRAQPWWLSPYSSLHGLKVGRCPSQLESTSPGIPSQREGPDHGHCPL
+>tr|A0A3Q1MZ61|A0A3Q1MZ61_BOVIN YLP motif containing 1 OS=Bos taurus OX=9913 GN=YLPM1 PE=4 SV=1
+MYPNWGRYGGSSHYPPPPPVPPPPPVALPEASPGPGYSSSTTPAAPSSSGFMSFREQHLA
+QLQQLQQMHQKQMQCVLQPHHLPPPPLPPPPGMPGGGYGDWQPPPPPMPPPPGPALSYQK
+QQQYKHQMLHHQRDGPPGLVPMELDSPPESPPVPPGSYMPPSQSYLPPPQPPPSYYPPAS
+SQPYLPPAQPSPSQSPPSQSYLAPTPSYSSSSSSSQSYMSHSQSYLPSSQASPSRPSQGH
+SKPQLLAPPPPSAPPGNKTTVQQEPLESGAKNKSAEQQQAAPEPDPSTMTPQEQQQYWYR
+QHLLSLQQRTKVHLPGHKKGPVVAKDAAEPVKEEVTVPATSQVPEPSASEEPPLPPTNED
+AAPPLPPEEPQSEDPEEDARLKQLQAAAAHWQQHQQHRVGFQYQGIMQKHTQLQQILQQY
+QQVIQHPPHIQTMSVDVQLRHYEMQQQQFQHLYQEWEREFQLWEEQLHSYPHKDQLQEYE
+KQWKTWQGHMKATQTYLQDKVSALQNVKNQYMGNMSMPPPFVPYSQMPPPPPTMPPPVLP
+PSLPPPVMPPALPSVPPPGMPPPVMPPSLPSSVPPPVMPPSLSSTGPPPVLPPPSLSSAG
+PPPVLPPPSLASTAPPPVMPLAPLSSATPPPGIPPPGVPQGMPPPLTAAPVPPASSSQNS
+QVPEKPRPALLPTPVSFGSAPPSVYHPPLQSALGPSDQVNSKAPLSKSALPYSSFSSEQG
+LGESSAAPSQPLATVKDMPGRSGGLLPDPPRSSYLEGPRGPREQKEQLQKMKDYGSEPQM
+PDHLPPQDSRLQSTPSRPGLYPPPGSYRPPPPMGKPPGSIVRPPAPPARSSVPVTRPPIP
+IPPPPPPPPPPPPPPPVIKPQTSAVEQERWDEDSFYGLWDTNDEQGLNSEFKSEPAAIPS
+APVLPPPPVHPSIPPPGPMPMGMPPMSKPPPVQQTVDYGHGRDISTNKVEQIPYGERITL
+RPDPLPERSTFETEHAGQRDRYDRDRDREPYFDRQSNVMADHRDFKRDRETHRDRDRDRG
+VIDYDRDRFDRERRPRDDRTQSYRDKKEHSSSRRGGFDRPSYDRKSDRPAYEGPSMFGGE
+RRSYPEERMPLPAPSISHQPPPAPRVEKKPESKNVDDILKPPGRESRPERIVVIMRGLPG
+SGKTHVAKLIRDKEVEFGGPAPRVLSLDDYFITEVEKEEKDPDSGKKVKKKVMEYEYEAE
+MEETYRTSMFKTFKKTLDDGFFPFIILDAINDRVRHFDQFWSAAKTKGFEVYLAEMSADN
+QTCGKRNIHGRKLKEINKMADHWEAAPRHMMRLDIRSLLQDAAIEEVEMEDFDANIEEQK
+DEKKDAEEEESELGYIPKSKWEMDTSEAKLDKLDGLRTGTKRKRDWEAIASRMEDYLQLP
+DDYDTRASEPGKKRVRWADLEEKKDADRKRAIGFVVGQTDWEKITDESGHLAEKALNRTK
+YI
+>tr|A0A3Q1LMZ8|A0A3Q1LMZ8_BOVIN Septin 6 OS=Bos taurus OX=9913 GN=SEPTIN6 PE=3 SV=1
+TAVKVIRPSGEGCRTVPLAGHVGFDSLPDQLVNKSVSQGFCFNILCVGETGLGKSTLMDT
+LFNTKFEGEPATHTQPGVQLRSNTYDLQESNVGLKLTIVSTVGFGDQINKEDSYKPIVEF
+IDAQFEAYLQEELKIRRVLHTYHDSRIHACLYFIAPTGHSLKSLDLVTMKKLDSKVNIIP
+IIAKSDAISKSELTKFKIKITSELVNNGVQIYQFPTDDESVAEINGTMNAHLPFAVIGST
+EELKIGNKMMKARQYPWGTVQVENEAHCDFVKLREMLIRVNMEDLREQTHSRHYELYRRC
+KLEEMGFKDTDPDSKPFSLQETYEAKRNEFLGELQKKEEEMRQMFVQRVKEKEAELKEAE
+KELHEKFDRLKKLHQDEKKKLEDKKKSLDDEVNAFKQRKTAAELLQSQGSQAGGSQTLKR
+DKEKKK
+>tr|A0A3Q1MWL9|A0A3Q1MWL9_BOVIN Thioredoxin domain-containing protein 12 OS=Bos taurus OX=9913 GN=TXNDC12 PE=1 SV=1
+MELRPRLGATCLLGFSFLLLVTSSHGPNGLGKGFGDHIHWRTLEDGKKEAAASGLPLMVI
+IHKSWCGACKALKPKFAESTEISELSHNFVMVNLEVRIPELLSAVILSLHYPSGKVRPEI
+INENGNPSYKYFYISAEQVVQGMKEAQERLTGDAFREKHLEDEL
+>tr|F1MZV6|F1MZV6_BOVIN Mab-21 like 4 OS=Bos taurus OX=9913 GN=MAB21L4 PE=4 SV=2
+MAVQVPLWHHYLQALRSRAASRAQEHQRTEDVVLTLLERAHALDPRFLVDYSRDLEAFQF
+ALRSSEGPLDVEVPLWVDAEALVIEQMGAAEAGDGLGCCRLGLPKEAAGLERWKADDVFE
+ASPEGSAGCCGHIVPSKVLRVLKDLLVAAVVYCKHHGLITPGSLSADSLREEQLGLSLRV
+SSGWRTLHFNMVPVVRKRLRVPALEGAQLVPGFPEGALRGIVRQEVALVPAGAELWRVST
+DYLLTRLLGALGCLRGHRLDSLSILDRVNCESWRDGGRSPGLTFGHLKMVLLWAAALFPA
+PEDWAELQGAVYRPLVVLLCCLATRNLPHFLHPERNLLQDTGLDLGALYQRVERFASQPE
+AALRIHATHLGRSPPPRVGSGLRALLQLPAGEPAYWATAYFDVLLDKFQAFNIQDEQRVS
+AMQSVFLKTKTLGAEER
+>tr|A0A3Q1MIM2|A0A3Q1MIM2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=C25H16orf58 PE=4 SV=1
+MADVLCSEQFGSGAARGCRAAPDGSLQWEAWGRRWWGFSGAFTAKPGGRDGGGGVAPGTA
+SPPFSRLLAVFLPQGFPDSVSPDYLPYQLWDSVQAFASSLSGSLATHAVLLGIGVGDAKA
+SVSAATATWLVKGESKMDCNAKQWRLFADILNDIAMFLEIMAPILPFCFTITVCISNLAK
+VPILGHPYTQDSCPAGALWGLTWSPRGSPAWALCSRHF
+>tr|A0A3Q1LZG6|A0A3Q1LZG6_BOVIN CTP synthase OS=Bos taurus OX=9913 GN=CTPS2 PE=3 SV=1
+MKYILVTGGVISGIGKGIIASSIGTILKSCGLRVTAIKIDPYINIDAGTFSPYEHGEVFV
+LNDGGEVDLDLGNYERFLDINLYKDNNITTGKIYQHVINKERRGDYLGKTVQVVPHITDA
+VQEWVMNQAMVPVDGHKEEPQICVIELGGTIGDIEGMPFVEAFRQFQFKAKRENFCNIHV
+SLVPQPSATGEQKTKPTQNSVRALRGLGLSPDLIVCRSSTPIEMAVKEKISMFCHVNPEQ
+VICIHDVSSTYRVPVLLEEQGIIKYFKERLDLPIGDSASSLLSKWRNMADRYERLQKTCS
+IALVGKYTKLRDCYASVFKALEHSALAINHKLNLMYIDSIDLEQTTEVEDPVKFHEAWQK
+LCKADGVLVPGGFGIRGTLGKLQAISWARSRKIPFLGVCLGMQLAVIEFARNCLNLKDAD
+STEFEPNARVPVVIDMPEHNPGNLGGTMRLGIRRTVFKTENSILRKLYGDVPFIEERHRH
+RYEVNPSLISQLEQKDLSFVGQDVDGERMEIIELANHPYFVGVQFHPEFSSRPMKPSPPY
+LGLLLAATGNLNAYLLQGCKLSSSDRYSDASDDSFSEPRQAVILVQKGASQDSSHRIPGC
+SCLTGIVSRTNLTADYASGNLEHP
+>tr|A0A3Q1MGH0|A0A3Q1MGH0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=3 SV=1
+LKNPTKSCKSRGSNLRVHFKNTRETAQAIKGMHIRKATKYLKDVTLQKQCVPFRRYNGGV
+GRCAQAKHAELLLHMLKNAESNAELKGSDVDSLVVEHIQVNKAPRMRRRTYRAHGRINPY
+MSSPCHIEMILTEKEQIVPKPEEEVAQKKKISQKKLKKQKLMAQE
+>tr|A0A3Q1N924|A0A3Q1N924_BOVIN PDZ domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MFNLMKKDKDKDGGRKEKKEKKEKKERMSAAELRSLEEMSLRRGFFNLNRSSKRDSRTRL
+EISNPIPIKVASGSDLHLTDIDSDSNRGSVVLDSGHLSTASSSDDLKGEEGGGRGSVLQR
+AAKFGSLAKQNSQMIAKRFSFSQRSRDESTSETSTPSEHSAAPSPQVEVRTLEGQLTQHP
+GLSIPRSGPRSRAPELVTKRFPADLRLPPVLPPPPPALRELELLRRPTGDFGFSLRRTTM
+LDRGPEGQVYRRVVHFAEPGAGTKDLALGLVPGDRLVEINGLKVENKSRDEIVEMIRQSG
+DSVRLKVQPIPELSELSQSWLRSVEGLRREPAHLDPEAASPAHSQVGHSCPAQEPSGSHP
+LTAPGLCPLIASHAGCLFHGRYVLGYELGPGGDELNEP
+>tr|F1N397|F1N397_BOVIN Leucine rich repeats and WD repeat domain containing 1 OS=Bos taurus OX=9913 GN=LRWD1 PE=4 SV=3
+MPLQPHSRRTSGGATAAAAMAASRRPGRRGGAAGAAGSGSPCAGREVRGDASFRWSPPRT
+DSRGATSWTEASAGASIGFPCCGLGTRGRRLLAMAPLSTRLLIQRGRPKSNRLGKIRSLD
+LSGLNLLSEDLDHTLLGRLKQLQELDLSDNQLETLPADLGLPHLHVLHCANNQLSDVTHL
+CQFPQLEELSLEGNPFLTVSDSLKVTFLLPELRKFNGKDASTTRSKAESLNRELTTRVAT
+HWKKFMAALSPEEQAQAQADFVKSAVRNVRYGPMSLSEFTQWRVRMISEELVASGGTHAR
+ETDMPEGSHKATATQQPRARPVALRRPGDTLLSLSPQKRMCTSLLAPVEGSLVGSDSSQL
+EPLHFLQCHSKNNSPSDLKTQLWACAFEPAWDEGQAGAASQTVATCGGEAVCVIDCQTGI
+VLHKYKVPGEEFFSVAWTALTVVTQTGHKKRWNVLAAAGLRGLVRLLHVQAGFCCGLIRG
+HRKAIATLCFSPTHETHLFTASYDKRIILWDIGTPNQDYEFQASHLLTLDTTSTPLRLCP
+VPPCPDAYLLAGCEGGCCCWDVRLDQPQKKRVCEVEFVFSEGSKVSGQRVDGLAFVNEDV
+VASKGSSLGTICLWSWSQTWQGRGSQPTVAVVVLAWLQWSPTILAYFSLSTCPDKGLVLC
+GDEQGSVWIYDVRPLLAQRSPPQTAPQAPTQILKWPQPQAMGQTVTKTMVNTVVANPAFT
+YLTALTDSNIVAIWRRGEP
+>tr|A0A3Q1M302|A0A3Q1M302_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=KNL1 PE=4 SV=1
+MDGISSEANDENGNVERPVGRRHSSILKPPRSPLQDLRGGNGNETIQEPNALRNKKNSRR
+VSFADTIKVFQTESHMKIVKKSEISETEAGENVLFIQNKNPEDNYCEITGMNTLLCAPIQ
+TQMPQREISMTEYSHERKHANDQTVIFSDENQMDLTASHTVMITKGLLDCTKSEKSTKID
+TTSFLANLKLHTEDSRMKKELNFSVDQNTSSEKKINFNDFIKRLKIGKSNAFPFTGPDKE
+NSEMPIHSKEANKTTSVHQMHVSLGVDENTRNMTRLFREQDDGMNFTQCHTTNIQTFVPT
+SSEASLREFKGDDITVYGNDFMDLTISHTVQKLPSADNLPEIENQTQDVMMDVSTCYETK
+ALEQKTVFKDKPNDAFQDLSLNPEGNIHMIRSHITGTEIQTGTQATNQNIRPLATIPESK
+YSGPVMQDDKTFFYSSCNDVMELTKCHSSMREEKNLVKHDNNYSKMYPNPDGSSLLGEKT
+VYSGEDSMDITKSHTVKIDNQIFKQVQTNVQKATAPVSEKEMMIQNHIIVSENWDINVNC
+HSVPLVSKERLQQSPENPLFVSLTDKRTEIFMDEDMDLTKSHTVNLGSQFPLPSYDLTSE
+NTSKSHSHSKSLSDEWEKMTKDHIDLSQQQNIISKNIPANTWDKEKSQVLKISPFLDKDS
+PQSPDTNQDVATKHNIVYSREDLDKQVPLGNNRNTISCEQALFPTSKPLFSSRGWPAMRN
+HNVVNSQTVKSVLGQNSKLPEPLRKCLGNPIPDCSDKKVICSEKEEDMDLTNSHTVITGF
+GPSEVLELSKTNLENTNSQLSTVNRQKAVKVKKCNESPIEKMGVFISNGIMDVLEDKNVQ
+KSGILNEKQDVKICGRKSLGRLKVDKTVVFSEGNENDMDITKSCTVEINHRPLLDEHDSR
+LVPLAGNSNTVLYACGQDDMEVTRSHTTAIECKTVSPDKTTTRPVDKTVVFVDNHDELEM
+TKSHTVFIDCQTKERTVLPDRPDFELSKRKSLEKSKVSPTSTEESVFFQQNVKRDHSVAK
+VSQLTLPGKWSNHGPLEKAEAFIADNTEVSKSTIWKNDRNVQKPILLNEPLSGKNQRRKS
+LRLKNDTTIAFSKNDKNDMDVLQCCTVEINNKSVLEDREDSHLVPLEGTSNTVLYTCGQD
+DMEITRSHTTALEYKPLSPDKITTRTTDKTVMFVDNHSDLEVTKSHTVLIDCQATEKILQ
+ECPAFGIAKRKTLGVSFPQDGNSIQEVTKKQALAVENKIVLHSEQKHNVIPFVPTNTLSG
+GQGETDVKFHSTSVEEEVMKVVGQAYTLEKAKIESCQLNSTDRRNVDFTGSPATAICGCG
+DNYSCLPNVTSFFGNLEKNVLSLHDKDEDLKASHCPVQNDLPYANNSASDDDLKSEGLSH
+SVPCLLEKEKVVQTNTQGQLHCAPKVLKDQDLIKEPPSLISNETLVYGEDSGKMAKLNSK
+PVSFKLPRDQVEPSVDKAEHSLKKTFVDDVSQHHLLTQLPPLPQQGQNSVNKDEAILSKA
+GNNLNIVGGNSSEPTCENNSTMLYNGKQFTMAYKKEPKKNIQTAKCNTAIDFHNNSALTK
+QVIQTLINPREASDPVIASSVATCSSVKPNLNNLNGKTEEFLDFQPVHLPPSPEQLLELV
+NKAHRDMSIVQATEAHNVNTVSSSAKDDKDEENNIPHNGAEATSVPLMTTVKDKMRRCSL
+GIFLPRLPNKRNCSVTGIDDLKQVPADTTDLNHLETQPVSNKDSGIGIVRAKLNLSPSQY
+INEENLPIYPGEINSSDSISIDTEEKALTETYQKETSPSENKMEETCNSQKRTWVQDDDD
+IQNEKKIRKNEIVFSDTAQDQEISDLRAEGEMDKNANSVLIKSLSRTPSSCSSSLDSVKV
+DGTSLDLSTHLGSQIESQFLRDTVCEESLKEKLKDGRITIKEFFILLQVHILIQKPRQSN
+LPAKFAVNTSPTLEDLMLSQYVYRPKIQIYKEDCEVLCRKIEELKLSALNQDKLLTDINR
+NLWEKMKHCSDEELKAFGIYLNKIKSRFTKMTKVFTHQGKVALYSKLVQSAQSEREKLHK
+RINEMDNTLKKIDNYLTEVEIETKSLEDEQKDSPVEELNSEVRAAEKELEQLKTEEEKLQ
+RNFLELEIQKEQILAQLDFVQKQTKRTEELLDQLSLSEWDVIEWSDDQAVFTFLYDTIEL
+IITFGEPVVGLPFLGKAYRKIVDLNFQSLLDEDKAPPSSLLVHNLIFQYIEQQESWKKTC
+TTQHQVPKVNSH
+>tr|F1MXP0|F1MXP0_BOVIN DUF4203 domain-containing protein OS=Bos taurus OX=9913 GN=LOC507581 PE=4 SV=1
+MEEALLPLAMTSDPRPFNQQLPEPPDLRCVLQPQDNPDLAPALVCALCCCFGIIYCCFGY
+RCFKAVMFLSGLLSGALVIFLLCHKERVLETQLSLEVSAGIALGIGLLCGLVTMLVRSVG
+LFLTGLLLGLTLGAGVLLGTEPIYQPPSAWVPAGGLVGLALLGALFTLRWPRPFTVLGTA
+LLGAAVLVACADYFLEGLALGSRLGQRLQALPALPPLCWYSWVLLGTWPALGALGALAQW
+KLMDEEHGGHTNVVLSHQRRHLQLLRIRHQEAKWHRTSPRAGLCEGSYRHQLPASARNPA
+DSLAPSYFQSLRERQLGPGTQATAPHTVLDLDSDCGSTVPLTAPSGSTHPDLSLNLH
+>tr|A0A3Q1MTN9|A0A3Q1MTN9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=C8orf88 PE=4 SV=1
+MIMETKKLIGKPLQPARPVRHLSSPPGAVFPFNFQNEYPCNTQYLQSGVSRCKTNGMQAF
+SQGLNEQQHHSPVKKERIKYSRDFLLKLSSVSICRKKPDFLPDHPIVLQKPHQSFQ
+>tr|A0A3Q1MPH3|A0A3Q1MPH3_BOVIN ADAM metallopeptidase domain 11 OS=Bos taurus OX=9913 GN=ADAM11 PE=4 SV=1
+MSLGRRQKELLVLVLRAFPYSPTPQYHVLSLPACWVEGTPRPPSHKGVPWVLDNGQELVS
+SSGVLGRREHCELRVSEPLSPGAVLSSRGSSTHLSLCKIGGLPSRLVGESSGGEVRKQQL
+DTRVRQEPPGGPHQGSRNSWLPGTPGSQARRPRGVRRGHPTVHSETKYVELIVINDHQLF
+GQMRQSVVLTSNFAKSVVNLADVMYKEQLNTRIVLVAMETWADGDKIQVQDDLLETLARL
+MVYRREGLPEASDATHLFSGRTFQSTSSGAAYVGGICSLSRGGGVNEYDNMGAMAVTLAQ
+TLGQNLGMMWNKHRSSAGDCKCPDNWLGCIMEDTGFYLPRKFSRCSIDEYNQFLQEGGGS
+CLFNKPLKLLDPPECGNGFVEAGEECDCGSVQECSRAGGNCCKKCTLTHDAMCSDGLCCR
+RCKYEPRGVSCREAVNECDIAETCTGDSSQCPPNLHKLDGYYCDHEQGRCYGGRCKTRDR
+QCQALWGHAAADRFCYEKLNVEGTERGNCGRKGSGWVQCNKQDVLCGFLLCVNISGAPRL
+GDLGGDISSVTFYHQGKELDCRGGHVQLADGSDLSYVEDGTACGPNMLCLDHRCLPASAF
+NFSTCPGSGERRICSHHGVCSNEGKCICQPDWTGKDCSIHNPLPTSPPTGETERYKGPSG
+TNIIIGSIAGAVLVAAIVLGGTGWGFKNIRRGRYDPTQQGAV
+>tr|A0A3Q1MEJ3|A0A3Q1MEJ3_BOVIN Taste 1 receptor member 1 OS=Bos taurus OX=9913 GN=TAS1R1 PE=3 SV=1
+MQMLGVAIPHRHVPGLKEFEEAYVQADKGVLRPCPQGSWCNSNQLCTECWAFTAQAMPTL
+AEFSMSSAYNAYQAVYAVAHGLHQLLGCSSGACSRGRVYPWQLLEQIRKVKFLLHKDAVT
+FNDDGNTLSNYDIIAWDWSGPNWTFRVIGSSSPFSVLLDINTTKIRWHGNDNQVPTSVCS
+RDCPEGHQRVITGFHHCCFECVPCEAGTFLNKSDPYRCRPCGKEEWAPERSQTCFPRTVV
+FLTWHEPVSLVLLAANTLLLLLVAGTAGLFAWHLDTPVVRSAGGRLCFFMLGSLAGGTWG
+LYGFFGEPTLPTCLLRQGLFSLGFAIFLSCLTVRSFQLVFIFKFSARLPTFFRAWVQNRG
+AGLFVMISSTIQLLICLIWLAVWTPLPTREYKHFPQLVVLGCTEANSLGFMLAVTYNGLL
+SISAFACSYLGKDLPENYNEAKCVTFSLLLNFVSWIAFFTMAIVYQGKYLPAVNALAMLS
+SLSGGFSGYFLPKCYVILYRPDLNSTEHFQASIQDYTRRCGST
+>tr|E1BMK9|E1BMK9_BOVIN Centlein OS=Bos taurus OX=9913 GN=CNTLN PE=4 SV=3
+MAARSPPSQLPPPPARHLGPWSPRVGRGAVAHAVRSETSGPEGAAREGVVDKSDLPWLGE
+EGSEGQRGPAGTAPAQAPLLSAPTGSMRLEGISVEEAMVTRTQLLEEELSSVKEELALCQ
+ADKEFVWSLWKRLQVTNPDVTQAVSLVVEREKRKSEAKDRKVLEILQVKDAKIQELEQRE
+SGLTQEINDLVKRKIAVDEENDFLRKEFSDLQKKFKEKSQEVKAFEDNLIEARQEIEVSQ
+SKYNALSLELNNKQTELMQKDMDITLVRKELQELQNLYKQNSAHTAQQAELIQQLQVLNM
+DTQKVLRNQEDVHTAESISYQKLYNELHICFETTKSSEAMLRQSVVTLQDQLFQKEQENA
+KLKEKLQQSQETLYPLPRECDSDRSEQVSQQPSLSSLETLMVSQKSEIEYLQEKLKIANE
+KLSENRSTNKGSSEKSILTSTEGKRKEPPVKRSRSLSPKSSFADSEELKKLRKAERRIEN
+LEKALQLKNQENDELRDAHEKRKERLQMLQTNYRAVKEQLKQWEEGSGMMEIRKVKRADP
+QQLQQEDSDAVWNELAYFKRENQELMIQKMHLQEELDKLKVHMSVDKATIQELNTFMAER
+REEQLFRHDEDDGVKKSTPEKNWKEMSEQTLQKVIELENRLKSFEKRSRKLKEGNKNLIK
+ENDFLKSLVKEQQEDAKTREKELEQLKKGSKGVEKDKAKLEAKISELEIEVISLRRQVAE
+ANELRNENEELMNSVEKLQHSADKAKSEMATTEARSGSGQSECKTTTTKVKFKTAKKKCS
+VGRYHTVLNHSIKVMSNVFENLSKDDWEDVSESSDSETQNLRNLGTIIVETSQKISPTED
+RRDQKERDQTEDSQMQGKEIVQIYPNVEDKTPKNYFHKNAKKSALQKKNSSIQKTSHTAG
+PTRVNREKWKNITAQKSNSNVILLRERIISLQQQNSVLLNAKKAAELAVKEYKEVNEKLL
+HQQQVSDQRFQTSRQTIKKLNSDLAELQKEKEDLLKRVESSSEITCLTEEVAQVTVPRIQ
+ITSLGPSRSMDLEMKQLQCKLKNATNELTKQSSNLKSLKFELLAKEEHIKEMHEKMSRME
+RDITMKRHLIEDLKFRQKVNLESNESINEMLENLEKKVKTLTEECSNKKVSIDSLKQRLS
+VAVKEKSQYEEMYQQTKEELEKKDVKLTFLLSKINETESAMAEIETAASKHLQGLAMQSE
+QALEGAQKKLLLASEKVEEFTIFVKALVRELQNDVHLIRRQIRELKKMQKNRDACKTSTH
+KAQTLAASILNISRSDLEEILDTEDEAEIERTKTEAENDKEWLLYIRKLLEGQLPFATYL
+LEAILEKINEKKRLVEEYFTVMKDTR
+>tr|A0A3Q1NJI6|A0A3Q1NJI6_BOVIN Unc-5 netrin receptor C OS=Bos taurus OX=9913 GN=UNC5C PE=4 SV=1
+NPMDGGACNFTFTFHFHALEKEMATHSKTFPSDPPEPLPHFLIEPEEAYIVKNKPVNLYC
+KASPATQIYFKCNSEWVHQKDHIVDERVDETSGLIVREVSIEISRQQVEELFGPEDYWCQ
+CVAWSSAGTTKSRKAYVRIAYLRKTFEQEPLGKEVSLEQEVLLQCRPPEGIPVAEVEWLK
+NEDIIDPVEDRNFYITIDHNLIIKQARLSDTANYTCVAKNIVAKRKSTTATVIVYVNGGW
+STWTEWSVCNSRCGRGYQKRTRTCTNPAPLNGGAFCEGQSVQKIACTTLCPVDGRWTSWS
+KWSTCGTECTHWRRRECTAPAPKNGGKDCDGLVLQSKNCTDGLCMQTAPDSDDVALYVGI
+VIAVIVCLAISVVVALFVYRKNHRDFESDIIDSSALNGGFQPVNIKAARQDLLAVPPDLT
+SAAAMYRGPVYALHDVSDKIPMTNSPILDPLPNLKIKVYNTSGAVTPQDDLSEFASKLSP
+QMTQSLLENEALNLKNQSLARQTDPSCTAFGTFNSLGGHLIIPNSGVSLLIPAGAIPQGR
+VYEMYVTVHRKENMRPPMEDSQTLLTPVVSCGPPGALLTRPVILTMHHCADPSTEDWKIQ
+LKNQAAQGQWEDVVVVGEENFTTPCYIQLDAEACHLLSENLGTYALVGQSTTKAAAKRLK
+LAIFGPLCCSSLEYSLRVYCLDDTQDALKEVLQLERQMGGQLLEEPKALHFKGSTHNLRL
+SIHDIAHSLWKSKLLAKYQEIPFYHVWSGSQRNLHCTFTLERFSLNTVELVCKLCVRQVE
+GEGQIFQLNCTVSEVRGARASTITTMTGPSAFSIPPPIRQKLCSSLDAPQTRGHDWRMLA
+HKLNLDRYLNYFATKSSPTGVILDLWEAQNFPDGNLSVLAAVLEEMGRHETVVSLAEGQY
+>tr|F1N5H1|F1N5H1_BOVIN Nicotinamide riboside kinase 1 OS=Bos taurus OX=9913 GN=NMRK1 PE=4 SV=2
+MKTFVIGISGVTNGGKTTLAKNLQKHLPNCSVLSQDDFFKPESEIETDENGFLQYDVLEA
+LNMEEMMSAISCWMENPTHSLASTHEESIKEIPILIIEGFLLFHYKPLDTIWNRCYFLTI
+PYEECKRRRSTRVYKPPDAPGYFDGHVWPMYLKHRKEMENVSWEIVYLDGTKSEEDLFSQ
+VYEDLIQELAKQKA
+>tr|F1N148|F1N148_BOVIN 39S ribosomal protein L54, mitochondrial OS=Bos taurus OX=9913 GN=MRPL54 PE=4 SV=1
+MAARRLFGATGSWARWRAWELPDPAGSVRLHVRDYAKRPVFKGGKGAKGAAVGETLKDPE
+VCTDPVQLTTHAMGVNIYKEGQDVVLKPDSEYPEWLFQMNVGPPKKLEELDPETREYWRL
+LRKHNIWRHNRLSKNQKF
+>tr|A0A3Q1N3F5|A0A3Q1N3F5_BOVIN Hydroxysteroid 17-beta dehydrogenase 7 OS=Bos taurus OX=9913 GN=HSD17B7 PE=4 SV=1
+MRKVVLITGASSGVGLALCRRLLEEDDALHLCLACRNMSKAEAVRTSLLASHPAAEVSIV
+QVDVSSLPSVFQATKELKQRFQRLDYVYLNAGIMPNPQLNIKALFRGLFSRKVIHMFSTA
+EGLLTQNDKITPDGLQEVFETNVFGHFILIQELESLLCHSESPSQLIWTSSRNAKKSNFS
+FEDVQHSKGQEPYSSSKYAIDLLSVALNRHFNHQGLYSSVVCPGTMLTNLTYGILPPFVW
+MLIMPIIWLLRFFANAFTLTPHNGTEALMDLDEDTAEKFYQKLLKLEKEIRVTIQKTNNQ
+N
+>tr|F1MFZ8|F1MFZ8_BOVIN Steroid sulfatase OS=Bos taurus OX=9913 GN=STS PE=4 SV=3
+MMTLLLLLLFLCETQSWAASKPNFVLLMADDLGIGDPGCYGNKTLRTPNIDRLARGGVKL
+TQHLAASPLCTPSRAAFMTGRYPVRSGMASQSQVGVFLFSASSGGLPPSEITFAKLLKDQ
+GYSTALIGKWHLGISCHDPGDFCHHPTSHGFDYFHGLPLTNMRDCKLGEGSVFTAAFRLL
+VLLPMQLIALALLTLVVLKWLGLFRAPPCAFLFLFLLATLLLGLLLGFLHYFRPLNCFLM
+RNRDITQQPMSYDNLTQRLTADAAHFLRRNAETPFLLVLSFLHMHTALFSSKDFAGKSQH
+GSYGDAAEEMDWSVGQILDVLHELKLANNTLVYFSSDQGAHVEEVTVKGEVQGGSNGIYK
+GGKANNWEGGIRVPGIVRWPGVIQAGLEIDEPTSNMDIFPTVAKLAGSPLPQDRVIDGRD
+LMPLLQMRTQRSEHEFLFHYCNSYLNAVRWHPPNSTSIWKAFFFTPKFSPEGANGCFDTH
+VCFCYGQYVTYHDPPLLFEISRDPRERNPLTPTSEPRFWEILEAMQEAAARHARTLQDVP
+NQLSLGNLMWKPWLQLCCSSLGLSCQCDREDQDGRHSP
+>tr|G3MWN9|G3MWN9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=3 SV=2
+TEEQKMKIRKRDLWVNNPPKSIWIGQTKYVLLIGFICYCMMGAKIFQALETDIQEELKLA
+FLDAETNLMETYVNITSEELEIFLQILSLSIKHGIIPVRNGAIYFSWDFRNSFSFVTSTL
+STIGYGLIAPRTPMGQMFCVFYSLLGIPLTIIFLQSVSNALLQPLSEFEKYLQNMEMKET
+QIRTCELLFFLVTGLSIFILLPPLLFMKMEGWTYNEGLYFAFISLSTIGFGDYIIGKSLL
+YKGLFYMAFSCL
+>tr|A0A3Q1LLT5|A0A3Q1LLT5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=3 SV=1
+DAMLLVAERLEGPFNIESVMDPIDVKISEAIMNMQENSMQVSAKVFQGCGQPKPAPALRS
+ARSAPENFNTRFRPYNPEERPTTAAGTSLDRLVTDIKEKLKLSKKVWSTLPYTICKDESV
+TAGTSNEEECWNGHSKARYLPEIMNDGLTNQINNPEVDVDITRPDTFIRQQIMALRVMTN
+KLKNAYNGNDVNFQDTSDESSGSGSGSGCMDDVCPTEFEFVTTEAPAVDPDRREVDSSAA
+QRGPSLLSGSLVCVALALQRLCR
+>tr|E1BAV1|E1BAV1_BOVIN Leucine rich repeats and guanylate kinase domain containing OS=Bos taurus OX=9913 GN=LRGUK PE=4 SV=2
+MATSGREPKFPKPSSLQGGKSISRTGAQSKVLHSEKDRHSCWSPFPMGQRPKNTLCKASS
+YLLQHLIHRYQESDVDRDYYDLEEGEGEGEVESEESSESEMLNFEGGFDGVLREEVVAEK
+LYQLGRSGSGTEQVYLSLTLSDLDLTDVSILCGYVHLQKLDLSVNKIEDLSCVSCMPYLL
+ELNASQNHLKTFFNFKPPKKLKKVDFSYNQISEMCSLSAYESLTKLILDSNEITEISGLE
+LCSSLTYLSLANNKITTINGLGMLPIKILCLSNNQIEKMTGLDDLRVLQILDLSQNQISS
+LQGLEGHDFLEVINLEDNKVAELGEIEYIENLPLLRVLNLLRNPVREKPDYWSFVIFMLL
+RLTELDQKKIKVDEKISAVNKYNPPPEVVAAQDHMTHVVNSVMQPQRIFDSTLPSLDAPY
+PMLVLAGPQACGKRELAHRLCRQFSTYFRYGACHTTRLPYFGEGDRVDYHFTSQEVFDEM
+QNMGKFILTYNYGNHKYGLSRDTIEGIARDGLASCVHMEIEGVRSLKHCYFEPRYILVVP
+MDKQKYEGYLRRKGLFSRVEIEFAVSRVDLYIKINQKYPGYFDAVINADDLDVAYQKLSQ
+LIREYLGFSEVTAKSLAPTTDTKKPQLKPEKISRKLRVSNEFESLDFADRNYFVKLWARL
+SGKKTPVERESLARQYETARQTLMGNTPPQHTFLFQRGLVPAPIINSLRYFTALELKKSF
+ELYGDYFKAPFGPSEKSVMDSSDSMQYPELLHSCPWPKDLPFQPLEDRIYSQPGSIIGDT
+EDHALKALYKQAFPHGKGSLQHRRHMVSAISRPGSNIKPTLPPIPQGRK
+>tr|E1BDD5|E1BDD5_BOVIN Integrin subunit alpha 10 OS=Bos taurus OX=9913 GN=ITGA10 PE=3 SV=1
+MEFPLIPHLFLPLMFLTGLCSSFNLDVHRPRLFLGPPEAEFGYSVLQHVGGGRQWMLVGA
+PWDGPSGDRRGDVYRCLVGGSHSAPCAKGHLGDHPLGNSSRPAVNMHLGMSLLETDGNGG
+FMACAPLWSRACGSSVFSSGICARVDASFRPQGSLAPTAQRCPTYMDVVIVLDGSNSIYP
+WSEVQTFLRRLVGRLFIDPEQIQVGLVQYGESSVHEWSLGDFRTKEEVVRAARNLSRREG
+RETKTAQAIMMACTEGFSQSRGGRPEAARLLVVVTDGESHDGEELPTALQACEAGRVTRY
+GIAVLGHYLRRQRDPSSFLREIRAIASDPDEKFFFNVTDEAALTDIVDALGDRIFGLEGS
+HGENESSFGLEMSQIGFSTHQLKDGILFGMVGAYDWGGSVLWLEEGRRLFPPRTALEDEF
+PPALQNHAAYLGYSVSSMFLRGGRRLFLSGAPRFSHRGKVIAFQLKKDGAVRVAQSLQGE
+QIGSYFGSELCPLDIDGDGTTDVLLVAAPMFLGPQNKETGRVYVYLVGQPSLLTLQRTLQ
+PESPQDARFGFAMGALPDLNQDGFADVAVGAPLEDGHRGALYLYHGAQRGVRPRPAQRIA
+AVAMPQALSYFGRSVDGRLDLDGDDLVDVAVGAQGAAILLSSRPIVRLAPSLDVTPPAIS
+VVQRDCKRRGQEATCLSAALCFQVTSRTPGHWDRRFHVRFTASLDEWTTAARAAFDGSGQ
+RLSPRRLRLSVGNITCEQLHFHVLDTSDYLRPVSLSVTFALDNTTKPGPVLDEGSPTSIR
+KLVPFSKDCGPDNECVTDLVLQANMDIRGSRKDPFVVRGGRRKVLVSATLENKKENAYNT
+SLSLNFSRNLHLSSFTPQSNSPVKVECAAPTPHTRLCSVGHPVFQTGAKVTFLLEFEFSC
+SFLLSQVLVRLTATSSSLERNGTLRDNTAQTSAYIQYEPHLLFSSESTLHRYEVHPYGTL
+PVGPGPEFKTTLRVQNLGCYVVSGLIVSALLPAVAYGGNYFLSLSQVITNNASCTVQNLT
+EPPGPPVHPEELQHTSRLNESNTRCQVVRCHLGRLAKGTEISVGLLRLVHNEFFRRAKFK
+SLTVVSTFELSTEEGSVLLLTEASRWSESLLEVIQSRPVLISLWILIGSVLGGLLLLALL
+VFCLWKLGFFARKKIPEEEKREDKLEQ
+>tr|F1N6I4|F1N6I4_BOVIN Aldo_ket_red domain-containing protein OS=Bos taurus OX=9913 GN=LOC788425 PE=4 SV=3
+MAQPQSYPRGVPTRPATVLGAMEMGRRMDVPSSAAAVRAFLERGHTEIDTAFVYADGQSE
+SILGGLGLGLGGSGCKVKIATKANPLEENSLKPDSLRSQLETSLQRLRCPCVDLFYLHLP
+DHGTPVEETLRACHQLHQEGKFVELGLSNYAAWEVAEICTLCRSNGWILPTVYQGMYNAT
+TRQVETELLPCLRHFGLRFYAYNPLAGGLLTGRYQYEDKDGKQPLGRFFGNSWAEAVAPW
+KEHHFKGIALVEKALQAAYGTSAPSMTSAALRWMYHHSQLQGAHGDAVILGMSSLEQLEE
+NLAATEEGPLEPAVVQAFDQAWRLVAHDCPNYFR
+>tr|F1MY53|F1MY53_BOVIN Neuromedin U receptor 1 OS=Bos taurus OX=9913 GN=NMUR1 PE=3 SV=2
+QVPLCFNCSILPGDRSLGARSPLSCNGSRALGPFDPQDLNLTDEELRLKYLGPQQTELFV
+PICVTYLLIFAVGAVGNALTCTVILRHRAMRTPTNYYLFSLAVSDLLVLLVGLPLELYEM
+QNNYPFLLGAGGCYFRTLLFETVCLASVLNVTALSVERYVAVVHPLRARSVVTHAHVRRV
+LAAIWGLAVLCSLPNTSLHGIRQLDVPCRGLVPSSTVCTLVRPKAIYNLVVQATAVLFFC
+LPMATISVLYLLIGLRLRRERQLIPRQEAKGRARTSDSRRLRGLQDRGRTQVTKMLFVLV
+VVFGICWAPFHIDRLMWSFVSRWTEGLLLAFQYVHVISGVFFYLSSAANPVLYSLMSTRF
+RDAFREAMCPGTQCRGHRAHHSSYSLSRVTVGSILGDTGSPGSQAQPLAENSSPGGQQGT
+HPS
+>tr|G5E560|G5E560_BOVIN PH and SEC7 domain-containing protein 1 OS=Bos taurus OX=9913 GN=PSD PE=4 SV=2
+MAQGAMRFCSEGDCAISPPRCPRRWLPEGPVPQSPPASMYGSTGSLLRRVAGPGPRSREL
+GRVTAPCTPLRGPPSPRIAPSPWAPSSPTGQPPPGARSSVVIFRFVEKASVRPLNGLPAP
+GGLSRSWDLGGVSPPRPTPALGPGSHQKLRLEASTSDPLPAGGGSARPGTQGLLQGPPTQ
+PQVGADGLYSSLPNGLGGPSEHLATLFRGPADTGLLNQGDIWSSPREVSSHAQRIARAKW
+EFFYGSLDPPSSGAKPPEQAPPSPPGVGSGQGSGVAVGRAAKYSETDLDTVPLRCYRETD
+IDEVLTEREEADSAIESQPSSEGLPGTARPPAPRPGPCLGPHPSLGSGNEDEDEAGGEED
+VDDEVFEASEGARPGTRMPHSGPLKSPLPFLPGTSPSADGPDSFSCVFEAILESHRAKGT
+SYTSLASLEALASPGPTQSPFFTFELPPQPPAPRPDPPAPAPLAPLEPDSGTSSAADGPW
+TQRGEEEEAEAGAKQTPGRDPPSPCHSEDSFGLGAAPLGSEPPLNQLVSDSDSELDSTER
+LALGSTDTLSNGQKADLEAAQRLAKRLYRLDGFRKADVARHLGKNNDFSKLVAGEYLKFF
+VFTGMTLDQALRVFLKELALMGETQERERVLAHFSQRYFQCNPGALSSEDGAHTLTCALM
+LLNTDLHGHNIGKRMTCGDFIGNLEGLNEGGDFPRELLKALYSSIKNEKLQWAIDEEELR
+RSLSELADPNPKVIKRVSGGSGSGSSPFLDLTPEPGAAVYKHGALVRKVHADPDCRKTPR
+GKRGWKNFHGILKGMILYLQKEEYQPGKALSEAELKNAISIHHALATRASDYSKRPHVFY
+LRTADWRVFLFQAPSLEQMQSWITRINVVAAMFSAPPFPAAVSSQKKFSRPLLPSAATRL
+SQEEQVRTHEAKLKAMASELREHRATQLTKKARGKEAEEQRQKEAYLEFEKSRYGTYAAL
+LRVKLKAGSEELDAVEAAVAQAGGTEDGLPPPHSSPSLPANTSSQPRAQCSDSEARAGAG
+SGRWKP
+>tr|G3X6X8|G3X6X8_BOVIN Trimethyllysine dioxygenase, mitochondrial OS=Bos taurus OX=9913 GN=TMLHE PE=4 SV=1
+PDGHVTRYDLDWLMKNSYEGQKQKVIQPRILWNAEIYQQAQVPAVDFQTFLETKEGLKNF
+LQNFLLYGIAFVENVPPTQKHTEKLAERISLIRETIYGRMWFFTSDFSRGDTAYTKLALD
+RHTDTTYFQEPCGIQVFHCLKHEGTGGRTLLVDGFYAAEQVLQKAPEEFELLSKVPLKHE
+YIENVGECQNHMIGVGPVLNIYPWNKELYLIRYNNYDRAVINTVPYDVVHRWYTAHRTLT
+RELRRPENEFWVKLKPGKVLFIDNWRVLHGRESFTGYRQLCGCYLTRDDVLNTARLLGLQ
+A
+>tr|A0A3Q1LQ89|A0A3Q1LQ89_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MYTSEERYNQRTQKRKIYHVCPQKGKKIFIHVHEITQIDDQIYECLEREQNFCENLALIM
+CERTHTGEKPYRCDMCEKTFIQSSDLISHQRIHSYEKPYKCSKCEKSFWHHLALSGHQRT
+HAGKKFYTCDICGKNFGQSSDLLVHQRSHTGEKPYLCSECDKCFSRSTNLIRHRRTHTGE
+KPFKCLECEKAFSGKSDLISHQRTHTGERPYKCNKCEKSYRHRSAFIVHKRVHTGEKPYK
+CGACEKCFGQKSDLIVHQRVHTGEKPYKCLECMRSFTRSANLIRHQATHTHTFKCLEYEK
+SFSCSSDLIVHQRIHMEEKPHQWSACDSGFLLGMDFVAQQKMRTQTEELHYKYSVCDKSF
+HQSSALLQHQTIHFGEKPYISNVAEKGLELSPPDVSEASQMS
+>tr|A0A3Q1LWK4|A0A3Q1LWK4_BOVIN Carbonic anhydrase 5A OS=Bos taurus OX=9913 GN=CA5A PE=3 SV=1
+MTAHSHRATASYIPWEKDSVLGVVPGRRWSEGPAYKGGVTRPRVRWSQAPRGARFPKAGS
+SGTKFTSFCLLALRLEWRPCTMRVFSSLSLPPVHPVWKGPASVPGGTRQSPINIRWRDSV
+YDPQLQPLGVSYNAEACLYVWNTGYLFQVEFDDSTEGSGISGGPLENHYRLKQFHFHWGA
+VNEWGSEHTVDDRVYPAELHLVHWNAVKYQNYTDAVTGADGLAVVGVFLKLGARHEELQE
+LVAVLPDIKHKDARAALGPFQPSCLLPACQDYWTYPGSLTTPPLSESVTWIIHKKPIEVA
+QDQLAAFRSLLFSVPGEEEKTMVNNYRPLQPLMNRKVRSSFQATHEGARL
+>tr|A0A3Q1MLU3|A0A3Q1MLU3_BOVIN HECT, C2 and WW domain containing E3 ubiquitin protein ligase 1 OS=Bos taurus OX=9913 GN=HECW1 PE=4 SV=1
+MAALSEPKEDCLSEEIFKSIGSDETVQGQGSRRLISFSLSDFQAMGLKKGMFFNPDPYLK
+ISIQPGKHSIFPALPHHGQERRSKIIGNTVNPIWQAEQFSFVSLPTDVLEIEVKDKFAKS
+RPIIKRFLGKLSMPVQRLLERHAIGDRVVSYTLGRRLPTDHVSGQLQFRFEITSSIHPDD
+EEISLSTEPESAEIQDSPMNNLVASSCGEPPCDAPEPSGTPKPEQPSQGSTVGPPEIEGL
+ELTSLVEAAAGTTEAGDDDGIVSVGPEGAGELLAPGPEDTEPALSAEELAERLDLGGEVA
+LPGMLEDGQAPSSPGEPVAEERATESRAGGQEEEKEQMEEEEGDVSALGQGEDRLHLRAS
+VKRKTRPCSLPVSELETVIASACGEPETPRTHYIRIHTLLHSLPSAQGGSADDDDDGAEE
+EATLKDASEKDALSEMDTVAAEPPPLEEDGEAPEGATPGTAPLGHSGLANGSAPDGDALP
+STGSESDSSPRQGGDHSCCDASCCSPSCYSSSCYSSSCYSASCYSPSCYNGSRFASHTRF
+SSVDSAKISESTVFSSQDDEEEENSAFESVPDSVQSPELDQESANGAGPWQDELAAPDGS
+MARTMEGLESPVAGPSSRREGECPILHNSQPVSQLPSLRPEHHHYPTIDEPLPPNWEARI
+DSHGRVFYVDHVNRTTTWQRPTAAATPDGMRRSGSIQQMEQLNRRYQNIQRTIATERPEE
+DSGSQICDPVPAGGGGGGGSDSEAESSSLDLRRDGSLSPVNSQKITLLLQSPAVKFITNP
+EFFTVLHANYSAYRVFTSSTCLKHMILKVRRDARNFERYQHNRDLVNFINMFADTRLELP
+RGWEIKTDQQGKSFFVDHNSRATTFIDPRIPLQNGRLPNHLTHRQHLQRLRSYSAGEASE
+VSRNRGASLLARPGHSLVAAIRSQHQHDSLPLAYNDKIVAFLRQPNIFEMLQERQPSLAR
+NHTLREKIHYIRTEGNHGLEKLSCDADLVILLSLFEEEIMSYVPLQAAFHPGYSFSPRCS
+PCSSPQNSPGLQRASARAPSPYRRDFEAKLRNFYRKLEAKGFGQGPGKIKLIIRRDHLLE
+GTFNQVMAYSRKELQRNKLYITFVGEEGLDYSGPSREFFFLLSQELFNPYYGLFEYSAND
+TYTVQISPMSAFVENHLEWFRFSGRILGLALIHQYLLDAFFTRPFYKALLRLPCDLSDLE
+YLDEEFHQSLQWMKDNNITDILDLTFTVNEEVFGQVTERELKSGGANTQVTEKNKKEYIE
+RMVRWRVERGVVQQTEALVRGFYEVVDSRLVSVFDARELELVIAGTAEIDLADWRNNTEY
+RGGYHDGHLVIRWFWAAVERFNNEQRLRLLQFVTGTSSVPYEGFAALRGSNGLRRFCIEK
+WGKITSLPRAHTCFNRLDLPPYPSYSMLYEKLLTAVEETSTFGLE
+>tr|E1BFP8|E1BFP8_BOVIN Fibroblast growth factor OS=Bos taurus OX=9913 GN=FGF19 PE=3 SV=1
+MRSAPSRCAVARALVLAGLWLAAAGRPLAFSDAGPHVHYGWGESVRLRHLYTAGPQGLYS
+CFLRIHSDGAVDCAQVQSAHSLMEIRAVALSTVAIKGERSVLYLCMDADGKMQGLTQYSA
+EDCAFEEEIRPDGYNVYWSRKHHLPVSLSSSRQRQLFKSRGFLPLSHFLPMLSTIPAEPE
+DLQEPLKPDFFLPLKTDSMDPFGLATKLGSVKSPSFYN
+>tr|A0A3Q1LWC1|A0A3Q1LWC1_BOVIN NADH dehydrogenase [ubiquinone] iron-sulfur protein 2, mitochondrial OS=Bos taurus OX=9913 GN=NDUFS2 PE=3 SV=1
+MAALRALCRLRGAAAQVLRPGAGVRLPIQPSRGARQWQPDVEWAEQYGGAVMYPTKETAH
+WKPPPWNDVDPPKDTLVSNLTLNFGPQHPAAHGVLRLVMELSGEMVRKCDPHIGLLHRGT
+EKLIEYKTYLQALPYFDRLDYVSMMCNEQAYSLAVEKLLNIQPPPRAQWIRVLFGEITRL
+LNHIMAVTTHALDIGAMTPFFWMFEEREKDLPLGLMDDIYEFSKNFSLRIDELEEMLTNN
+RIWRNRTVDIGIVTAEDALNYGFSGVMLRGSGIQWDLRKTQPYDVYDQVEFDVPIGSRGD
+CYDRYLCRVEEMRQSIRIISQCLNKMPPGEIKVDDAKVSPPKRAEMKTSMESLIHHFKLY
+TEGYQVPPGATYTAIEAPKGEFGVYLVSDGSSRPYRCKIKAPGFAHLAGLDKMSKGHMLA
+DVVAIIGTQDIVFGEVDR
+>tr|F1MKR5|F1MKR5_BOVIN Enamelin OS=Bos taurus OX=9913 GN=ENAM PE=4 SV=2
+KMLLQCRHEASSPKLDYLVPSGKMKILLVFLSLLGYSIAMPLQMHMPRIPGFSSKSEEMM
+RYGHFNFMNFPHLAHLSPFYGNGIQLPQLFPQYQMPMWPQPPPNKKSPQKPSSPAAPKQT
+DQAPETPSPNQPQPTDSPPNQHLKQPSTTTAQPQEEETQTPQAFPPFGNGLFPYQQPPWH
+IPHVSIPPGFGRPPGSNEEGGNPFFGFFGYHGFGGRPPYYSEEMFEDFEKPKEEDPPKTE
+TPATDPSVNSTVPETNSTQPGAPSPRAGQGGNDTSPAGNNGQDPNTVSNPTVQNNPVVNV
+SGQGVPRSQTPWRPSQTNIHENYPYPNIRNFPAGRQWHPTGTSMGNRRNGPFYRNQQIQR
+APRWNSFVLEGKQAIRLGYPIYRRAYASTVRGNYPNYAGNPVNFRRKPEGPSKQPEGTIA
+PLGPKHGTTGHNENIQNPKEKPVSQKERIVIPTRDPNGPWRNSQDYGVTKSNYKLPHPEG
+NILVPNFNSIDQHENSYYPRGDSRGTPNSNGQTQSQNLPKGIILEPRRIPYESEINQPEI
+KHSTHQPVYPEGSPSPARERFPAGRNTWNQQEISPPFKEDPGRKEEHLPHPSLGSRGRIY
+YTDYNPYDRRENPPYLRSNSWDERNDPPNTMGQSENPHYPMNTPDPKETIPYNEEGPADP
+TGDETFPGQTRWSVDESNFKTAPTARYEGKQYTSNQPKEYSPYSLDNLPKPREYFPYGEF
+YPWSPDENFPSYNTAPTIPLLVENRGYYPTNAVGQEENTMFPSWNSWDHMVQVQGQKERR
+PYFTRTFWGQPTNLPKAPASPPYHKENQPYFSNSPTGLQKDPTWHEGENLNYGMQITRLN
+SPEGGHLAFPDLIPPHYPGSQKETRLFHLSQRGPCCAGGSIGPKDNPLALQDYTLFFGLA
+PGENQDTSPLYTEDSHTKHERYTISPTSILPGQRNSSEKRLPGESQNPSPFRDDVSTLRR
+NTPCSINNQLSQRGIRPLPEASSLQSKNIPCLKSDLEDGNHVLEQTLEGNQLNERPVDLT
+PEQLVMDTPDEGPKPEGIPSEVQGNGGKRQQQRPSTILKLPCFDSKLTKYYTSSTGTPSS
+LGRQGSFDGDPIMPTEIPNSLAELATGAQFQNINVDPLNADDHTPFDPLQVGTNPQDQVQ
+DCLLLQA
+>tr|A0A3Q1MZU3|A0A3Q1MZU3_BOVIN Programmed cell death 4 OS=Bos taurus OX=9913 GN=PDCD4 PE=4 SV=1
+GKNPDNLSDSLFSGDEENAGTEEIKNEINGNWISASSINEARINARAKRRLRKNSSRDSG
+RGDSVSDNGSEGLRCGVSAPTSPKGRLLDRRSRSGKGRGLPKKGGAGGKGVWGTPGQVYD
+VEEVDVRDPNYDDDQENCVYETVVLPLDEMAFEKTLTPIIQEYFEHGDTNEVAEMLRDLN
+LGEMKSGVPVLAVSLALEGKASHREMTSKLLSDLCGTVMSTNDVEKSFDKLLKDLPELAL
+DTPRAPQLVGQFIARAVGDGILCNTYIDSYKGTVDCVQARAALDKATVLLSMSKGGKRKD
+SVWGSGGGQQSVNHLVKEIDMLLKEYLLSGDMSEAEHCLKELEVPHFHHELVYEAIVMVL
+ESTGESTFKMILDLLKSLWKSSTITLDQMKRGYERIYNEIPDINLDVPHSYSVLERFVED
+CFQAGIISKQLRDLCPSRGRKRFVSEGDGGRLKPESY
+>tr|A0A3Q1MKM1|A0A3Q1MKM1_BOVIN Striatin-3 OS=Bos taurus OX=9913 GN=STRN3 PE=4 SV=1
+MDELAGGGGGGPAMASPPRQQQGPGGNMSLSPGGNGAAGGGGPPATEGAGPAAGPELSRP
+QQYTIPGILHYIQHEWARFEMERAHWEVERAELQARIAFLQGERKGQENLKKDLVRRIKM
+LEYALKQERAKYHKLKYGTELNQGDLKMPTFESEETKDTEAPTAPQNSQLTWKQGRQLLR
+QYLQEVGYTDTILDVRSQRVRSLLGLSNSEPNGSVETKNLEQILNGGESPKQKGQEIKRT
+SGDVLETFNFLENADDSDEEEENDMIEGIPEGKDKHRINKHKIGNEGLAADLTDDPDTEE
+ALKEFDFLVTAEDGEGAGEARSSGDGTEWGVNRTKLYDTIADLGDDELPLIPSGIINQSR
+SASTRMTDHEGARAEEAEPITFPSGGGKSFIMGSDDVLLSVLGLGDLADLTVTNDADYSY
+DLPANKDAFRKTWNPKYTLRSHFDGVRALAFHPVEPVLVTASEDHTLKLWNLQKTVPAKK
+SASLDVEPIYTFRAHIGPVLSLAISSNGEQCFSGGTDATIQWWNMPSPNVDPYDTYEPNV
+LAGTLIAHTDAVWGLAYSGIKNQLLSCSADGTVRLWNPQEKLPCICTYNGDKEHGIPTSV
+DFIGCDPAHMVTSFNTGSTVIYDLETSQSLVMLSSQMDSGLQSSNHINRVVSHPTLPVTI
+TAHEDRHIKFFDNKTGKMIHSMVAHLDAVTSLAVDPNGIYLMSGSHDCSIRLWNLDSKTC
+VQEITAHRKKLDESIYDVAFHPSKAYIASAGADALAKVFV
+>tr|A0A3Q1MCI3|A0A3Q1MCI3_BOVIN G_PROTEIN_RECEP_F1_2 domain-containing protein OS=Bos taurus OX=9913 GN=GPR25 PE=3 SV=1
+MRPTEPWSPSAGTAPWDYYSGSGAPDELEPEELCAARDLPYSHAYIPALYLAAFAVGLPG
+NAFVLWLLSGARGRRRLVDTFVQHLAAADLGFVLTLPLWAAAAARGGRWPFGEGLCKLSS
+FALAGTRCAGALLLAGLSVDRYLAVGRPLAARSPRSRRCALAACAGVWAAALAAGLPSLA
+FRRLRPLPGQDRGSQCGEESSDAFQGLSLLLLLLTLVLPLAVTVVCYCRVSRRLRGPPHL
+GRARSRSLRIILAVEGAFVGSWLPFCALRAVFHLASLGALPLPCRLLLALRWGLTVATCL
+AFVNSCANPLIYLLLDRSFRAQLRQRGACGRADRPARGSSSASSLSGEDGSPFRSPARAG
+GRAQTASAPSAVGP
+>tr|A0A452DI25|A0A452DI25_BOVIN Hemopexin OS=Bos taurus OX=9913 GN=HPX PE=4 SV=1
+MARALRVPVALWLLGLCWSLAKAHPLARAPELGHGVEGGNVAKPDPEVTERCSDGWGFDA
+TTLDEHGNMLFLKGEFVWKGHAWARQLISERWKDAPSPVDAAFRYDRNSVLLIKGDKFWV
+YPPEKGEEYPKLLQEKFPGIPFPLDAAVECHRGECSHEGVFFFQGNHTWFWDFSTKTIKK
+RSWPAVGNCSSAIRWLNRYYCFRGNKFLRFDPVTGEVNSTYPRDVRDYFMSCPNRGHAHR
+NATQHMDKRCSPHLVLSALLSDNHSATYAFSENHYWRLDSSRDGWHSWLIEHLWPQGPST
+VDAAFLWDKKLYLIQGTQVYIFLTRAGYTLVKDYPKQLEKEFGSPDGVCLHSVDAAFTCP
+GSSQLYIMAGQKLWRLDLNLGAQATWTELPWLHTKVDGALCTEKSLGPHSCSANGLGLYL
+VQGPNLYCYKDVEELSKTKDLPQAQRMNSLLGCAPHQHS
+>tr|A0A3Q1MRG1|A0A3Q1MRG1_BOVIN Cullin 3 OS=Bos taurus OX=9913 GN=CUL3 PE=3 SV=1
+MSNLSKGTGSRKDTKMRIRAFPMTMDEKYVNSIWDLLKNAIQEIQRKNNSGLSFEELYRN
+AYTMVLHKHGEKLYTGLREVVTEHLINKDRVYVQQNNVENVYNLGLIIFRDQVVRYGCIR
+DHLRQTLLDMIARERKGEVVDRGAIRNACQMLMILGLEGRSVYEEDFEAPFLEMSAEFFQ
+MESQKFLAENSASVYIKKVEARINEEIERVMHCLDKSTEEPIVKVVERELISKHMKTIVE
+MENSGLVHMLKNGKTEDLACMYKLFSRVPNGLKTMCECMSSYLREQGKALVSEEGEGKNP
+VDYIQGLLDLKSRFDRFLQESFNNDRLFKQTIAGDFEYFLNLNSRSPEYLSLFIDDKLKK
+GVKGLTEQEVETILDKAMVLFRFMQEKDVFERYYKQHLARRLLTNKSVSDDSEKNMISKL
+KTECGCQFTSKLEGMFRDMSISNTTMDEFRQHLQATGVSLGGVDLTVRVLTTGYWPTQSA
+TPKCNIPPAPRHAFEIFRRFYLAKHSGRQLTLQHHMGSADLNATFYGPVKKEDGSEVGVG
+GAQVTGSNTRKHILQVSTFQMTILMLFNNREKYTFEEIQQETDIPERELVRALQSLACGK
+PTQRVLTKEPKSKEIENGHIFTVNDQFTSKLHRVKIQTVAAKQGESDPERKETRQKVDDD
+RKHEIEAAIVRIMKSRKKMQHNVLVAEVTQQLKARFLPSPVVIKKRIEGLIEREYLARTP
+EDRKVYTYVA
+>tr|F1MH60|F1MH60_BOVIN Sprouty related EVH1 domain containing 1 OS=Bos taurus OX=9913 GN=SPRED1 PE=4 SV=2
+MSEETATSDNDNSYARVRAVVMTRDDSSGGWLPLGGSGLSCVTVFKVPHQEENGCADFFI
+RGERLRDKMVVLECMLKKDLIYNKVTPTFHHWKIDDKKFGLTFQSPADARAFDRGIRRAI
+EDISQGCPSFKNEAEGTEDNLQAAEEDTSSSLVKDHLFHQDTVVTSEPYRSSRPSPFEGL
+NARSVYLRSQANQIMFSHPGLDIQDRSIEYGQRQMPKESGGLKSQNKVPLKSIRHVSFQD
+EDEIVRINPRDILIRRYADYRHPDMWKNDLERDDTDSSVQFSKPDSKKSDYLYSCGDETK
+LSSLKDSVVFKTQPSSLKFKKSKRRKEDGERSRCVYCQERFNHEENGRGKCQDAPDPIKR
+CIYQVSCMLCAESMLYHCMSDSEGDFSDPCSCDTSDDKFCLRWLALVALSFIVPCMCCYV
+PLRMCHRCGEACGCCGGKHKAAG
+>tr|A0A3Q1ML79|A0A3Q1ML79_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=ZNF557 PE=4 SV=1
+PEPSPKCTVGTRGGASWFSSSLLLRKTSLSELQDGDHGPVPDLCSVVPVHSPSPERIRRE
+GRVGPWLPDRLVTGYHVDKPSLISQLEQEDKVVTEEGGILPGTRPDLETVLKAKWLTPKK
+PIFRKEHSNDVRAERSHLGVKLNECNQCFKVFSTKSNLTQHKRIHTGEKPYDCNQCGKSF
+SSRSYLTIHKRIHNGEKPYECNDCGKAFNDPSSLRLHVRIHTGEKPYECNQCFHVFRTSC
+NLKSHKRIHTRENHHECNQCGKAFSTRSSLTGHNSIHTGEKPYECNDCGKTFRKSSYLTQ
+HMRTHTGEKPYECNQCGKSFSSSFSLTVHKRIHTGEKPYECSNCGKAFNNLSAVKKHVRT
+HTGEKPYECNHCGKSFTTNSYLSVHKRIHNRWI
+>tr|A0A3Q1MS68|A0A3Q1MS68_BOVIN IGc1 domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MGSLGWNPSCRGRTQMLQPRPQSRPQAGYLSGSVAMCSWDTRQIFFGAGTKLFVEPQSQP
+AASPSVFVMKNGTNVACLVKEFYPKDVTISLQSSKKIIEYDPAIAISPGGKYSAVKLGQY
+GDPDSVTCSVEHNKQTWHSTDFEPKKTIPETTPKPMAYENSTKAEAPVTCQEPQVQPGKV
+NMMSLSVLGLRMLFAKSVAVNFLLTAKLFFF
+>tr|F1MPJ0|F1MPJ0_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=OR2T1 PE=3 SV=3
+MEEYNTSSTDFTFMGLFNRKETSGLLFAIISIIFFTALMANGVMIFLIRTDSHLHTPMYF
+LLSHLSFIDMMYISTIVPKMLVDYLLDQRTITFVGCTAQHFLYLTLVGAEFFLLGLMAYD
+RYVAICNPLRYPILMSRRVCWMIIAGSWFGGSLDGFLLTPITMSFPFCNSREINHFFCEA
+PAVLKLACADTALYETVMYVCCVLMLLIPFSVVIASYAQILTTVHRMSSVEGRKKAFATC
+SSHMTVVTLFYGAAMYTYMLPHSYHRPEQDKVFSVFYTILTPMLNPLIYSLRNKDVTGAM
+KRVLDRFKRTQRVSGDAF
+>tr|G5E6Q4|G5E6Q4_BOVIN T cell-interacting, activating receptor on myeloid cells 1 OS=Bos taurus OX=9913 GN=TARM1 PE=4 SV=2
+MMLSKLLLLLCFRLCVGQADRGRAEVLPKPSLRAWPSSVVPDGSSVTLRCGTPTRDVSFA
+LRKGARVWEMVQSPDSTEGQAEFHLPDVKSSHAGEYTCEYHRRGNPHVSARPSDALLLLV
+TGYLRQPSLQAHRRGPVTEGQEVTLQCQRPAAELGPVMFALLKAGSAAPVQVRAPAGRET
+DFSLRNLSAGDSGNYSCVYYQARAPFRASQASPRLEIRVAASLPSITSDYTTGNHIRLAL
+AAVIMVIAGAFLLEAWCRQRHVHGESGKSKTVLNTSFHGPLS
+>tr|E1BF70|E1BF70_BOVIN Ubiquitin specific peptidase 8 OS=Bos taurus OX=9913 GN=USP8 PE=3 SV=3
+LTGLRNLGNTCYMNSILQCLCNTPHLADYFNRNCYQDDINRHKGEVAEEFGIIMKALWTG
+QYRYISPKDFKITIGKINDQFAGYSQQDSQELLLFLMDGLHEDLNKYQRTYTYTYRHTHI
+CKHKQLNESIIVALFQGQFKSTVQCLTCHKKSRTFEAFMYLSLPLASTSKCTLQDCLRLF
+SKEEKLTDNNRFYCSHCRARRDSLKKIEIWKLPPVLLVHLKRFSYDGRWKQKLQTSVDFP
+LENLDLSHYICPVFQKHSKYNFCSVVVAAIMLKLIKIVSV
+>tr|F1MR20|F1MR20_BOVIN Proto-oncogene vav OS=Bos taurus OX=9913 GN=VAV1 PE=4 SV=2
+QFLCLKNIRTFLSTCYEKFGLKRSELFEAFDLFDVQDFGKVIYTLSALSWTPIAQNKGIM
+PFPTEESVGDEDIYSGLSDQIDDTVEEDEDLYDCVENEEAEGDEVYEDLMRSEPVPMPPK
+MTEYDKRCCCLREIQQTEEKYTDTLGSIQQHFMKPLQRFLKPQDVEIIFINIEDLLRVHT
+HFLKEMKEALANPGASTLYQVFIKYKERFLIYGRYCSQVESASKHLDRVATAREDVQMKL
+EECSQRANNGRFTLRDLLMVPMQRVLKYHLLLQELVKHTQDAMEKDNLRLALDAMRDLAQ
+CVNEVKRDNETLRQITNFQLSIENLDQSLAHYGRPKIDGELKITSVERRSKMDRYAFLLD
+KALLICKRRGDTYDLKDFVNLHSFQVRDDSSGERDNKKGSEVVTGRPQCWGPSMPLELST
+WPLECLCVCVCDLALKHVYYHMGLPGGSDDKESASNAGDLGLIPVLGRPPWRRAWQSLRY
+SCLKNSMGRGPGIQVTSSCSTSLLPLGIPWASLYHPLPSPPGLPKMEVCQEYYGLPPPPG
+AIGPFLRLNPGDIVELTKAEAEQNWWEGRNISTNEVGWFPCNRVKPYVHGPPQDLSVHLW
+YAGPMERAGAESILTNRSDGTFLVRQRVKDAAEFAISIKYNVEVKHIKIMTAEGLYRITE
+KKAFRGLTELVEFYQQNSLKDCFKSLDTTLQFPFKEPERRAINKPSAGSIKIFGTAKARY
+DFCARDRSELSLKEGDIVKILNKKGQQGWWRGEIYGRIGWFPSNYVEEDYSEYC
+>tr|E1BHH5|E1BHH5_BOVIN Pleckstrin and Sec7 domain containing 2 OS=Bos taurus OX=9913 GN=PSD2 PE=4 SV=3
+MDEDTLLSTLPGEDGATWDPSLEPEEEPGVQNGMASSEDLNSSHTSPGHAMRGPLAGTEG
+HTKGLDVALHGLSLGLSLTNGLALGPDSNVLEHSTDPRPWRAGGLAEGDDASRSLCPDTE
+DPPLGPGGPGEPDVRDGFSATFEKIVESELLRGTQYSSLDSLDVLSLTDESDSCVSFEAP
+LTPLIQQRARDSPELGAGLGTGDMGSEGDMGAAGGGGVLGSPLRRSISGSRSENVLSRLS
+LTAVPNGFHEDGPLGPRGDEEDDEEEEEEEEDTDKLLNSASDPSLKDGLSDSDSELSSSE
+GLESGSTDPLANGGQGVSEAARRLARRLYHLEGFQRCDVARQLGKNNEFSRLVAGEYLSF
+FDFSGLTLDRALRTFLKAFPLMGETQERERVLTHFSRRYCQCNPDDSTSEDGIHTLTCAL
+MLLNTDLHGHNIGKKMSCQQFIANLDQLNDGQDFAKDLLKTLYNSIKNEKLEWAIDEDEL
+RKSLSELVDDKFGTGTKKVTRILDGSNPFLDVPQALSATTYKHGVLTRKTHADMDGKRTP
+RGRRGWKKFYAVLKGTILYLQKDEYRPDKALSEGDLKNAIRVHHALATRASDYSKKSNVL
+KLKTADWRVFLFQAPSKEEMLSWILRINLVAAIFSAPSFPAAVSSMKKFCRPLLPSCTTR
+LCQEEQLRSHENKLRQVTAELAEHKCHPVERGIKSKEAEENRLKEHYLTFEKSRYETYIH
+LLAVKIKVGSDDLERIEARLATLEGDDPSLRKTHSSPALSQGHGTVTGSKAMRDTTGPDT
+>tr|A0A3Q1LWE8|A0A3Q1LWE8_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC613418 PE=3 SV=1
+MVRIMSPHRNGNLSVMPLQEFVLDGFGGGPQTQALLSALFLVLYLVDVLGNLTMIVVITL
+DARLHSPVYFFLKNLSFLDLCYSSVIYPKALFDLMSSTKVITFAGCVTQFFFFSTMITTE
+GFLLAVMAYDRFMAICSPLRYPISMCPLVCARLMLSCYCGGCLNSILQTSFTFSLPFCSS
+NHIDHFLCDVPPLLKLACADTTVNELVLFSICGLIIVGTTLVVLTSYGYITVTILRMCSG
+GGRHKLFSTCGSHMTAVSLFYGTLFVMYAQPGAVESMEQGKVVSVFYTLVIPMLNPLIYS
+LRNKEVKDAPRRLRQKHTAT
+>tr|A0A3Q1LP15|A0A3Q1LP15_BOVIN PINc domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MGKQKKTWKYATMKRMLSLRDQRLKEKDRLKPKKKEKKDPSALKEREVPQHPSCLFFQYN
+TQLGPPYHILVDTNFINFSIKAKLDLVQSMMDCLYAKCIPCITDCVMAETEKLGQKYRVA
+LRIAKDPRFERLPCTQKGIYADDCLVQRVTQHKCYIVATVDRDLK
+>tr|F1MWW0|F1MWW0_BOVIN Ankyrin repeat and SOCS box containing 6 OS=Bos taurus OX=9913 GN=ASB6 PE=4 SV=2
+MPFLHGFRRIIFEYQPLVDAILGSLGIQDPERQEPLDGPSYATSEESRILVLTELLERKA
+HSPFYQEGVSNALLKMAELGLTRAAAVLLRNGANLNFEDPVTYYTALHIAVLRNQPDMVE
+LLVRHGADINRRDRIHESSPLDLASEEPERLPCLQRLLDLGADVNAADKHGKTALLHALA
+SSDGVQVHNTENIRLLLEGGADVKATTKDGDTVFTCIIFLLGETVGGDKEEAQLINRFCF
+QVTQLLLAHGADPSECPAHESLTHICLKSFKLHFPLLRFLLESGAAYNCSLHGASCWSGF
+HIVFERLCSHPGCAEDESHADLLRKAETVLDLMVTSSQKLRLPESFDIHPVGSLADKIQA
+LHSSLRQLESYPPPLKHLCRVCIRLCLQPWPVDAKVKALPLPDRLKWYLLSEHSGAVEDD
+L
+>tr|A0A3Q1MVE3|A0A3Q1MVE3_BOVIN Muscular LMNA interacting protein OS=Bos taurus OX=9913 GN=MLIP PE=4 SV=1
+MDFEKHGKGSLLNENLEEKLTFDSSEYFTLNVGRQQERERGALTCPSEAEDKASQGRESK
+EKKPQGMQQSDLFKAEYVFIVDSEGEEEVPGRKGDQGPPVGTGPPAARPASLAISSSLAS
+DAVRPKTRGADLQAPSHPERPQAMASQQRHGQLTSPTASEQLARKPPAFSFVSPTNQKTP
+PAPGDLASASVLEEFHSRRLDARGALVEETTTYFQTSAHSAPFFAPKGTSSTSQVPQPAQ
+LSGSPSSSPSTAQQNPGQTSEVLKKTVTSNVLSPRESPRASSPSPASGASLKSSAASYIP
+VRIVTHSLSPSPRAFPSPFHGSSSTVCSQASSSGSLSRSGVKPPVPSRLSVLTAILKSSP
+SHQRPLSPASCPTFSLNSLASSTLTLDQKVKQTPSTPKKSLSSCSLRSGSPEQRENPVSD
+LSQPSFPFPSPTKAAPPPQASALFPPKQGGSSFASVIVEKMPSPTLKSSPVTSQLQTGTS
+SSVGLPPVPPSFSPLSSKGRQDADPRGPGKPRDICSHASTSPSSTLSSVSPPTNPRAMLS
+SPERRYHPSPALSNLINRSKSAQAPVSSQRQTPSTPPPAPVSSSSSASLPCLGSSALPLA
+NPPTKTHQLSPSALHPNLSLPSRLGKSESSISDHRSSVSAPSPPFCLTRTKELSSPCALS
+MSAGPENKKPKQYKTKSSYKAFAAIPTNTLLLEQKALDEPAKTDSISKDSTLDPPLEMPT
+TLPRAAGRETKYANLSSPSSTVPESQLTKPGVIRPAPVKSKILLKKEEEVYEPNPFSKYL
+EDNSDFFSEQDVPAPPKPVSLHPLYQTRLHPPAKSLLRPQTRPHADGLTPGPFSHLSSFS
+LSDEQENSHTLFSHNAYNKLSHPMMAIPEHETLDSKEQ
+>tr|F1MZJ4|F1MZJ4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=RHOBTB2 PE=4 SV=3
+MAAGRKGQYDLRRSSMDSLSQLMDSDMDYERPNVETIKCVVVGDNAVGKTRLICARACNA
+TLTQYQLLATHVPTVWAIDQYRVCQEVLERSRDVVDDVSVSLRLWDTFGDHHKDRRFAYG
+RSDVVVLCFSIANPNSLHHVKTMWYPEIKHFCPRAPVILVGCQLDLRYADLDAVNRARRP
+LARPIKPNEILPPEKGREVAKELGIPYYETSVVAQFGIKDVFDNAIRAALISRRHLQFWK
+SHLRNVQRPLLQAPFLPPKPPPPLIVVPDPPSSSEECPAHLLEDPLCADVILVLQERVRI
+FAHKIYLSTSSSKFYDLFLMDLSEGELGGPSGSGGPRSEDHRGHPDQHHHHHHHHHHHGR
+DFLLRAASFDVCESVEEGGGSGPVGLRASTSDGILRGNGTGYLPGRGRVLSSWSRAFVSI
+QEEMTEDPLTYKSRLMVVVKMDNSIQPGPFRAVLKYLYTGELDENERDLMHIAHIAELLE
+VFDLRMMVANILNNEAFMNQEITKAFHVRRTNRVKECLAKGTFSDVTFILDDGTISAHKP
+LLISSCDWMAAMFGGPFVESSTREVVFPYTSKSCMRAVLEYLYTGMFTSSPDLDDMKLII
+LANRLCLPHLVALTEQYTVTGLMEATQMMVDIDGDVLVFLELAQFHCAYQLADWCLHHIC
+TNYNNVCRKFPRDMKAMSPGEHPQRHLSPPSPWVQASDLTPGRPEAAKDPCGWGVACKHA
+PSPAEGVLEKALVWRAAPLPWPTRDLGQFTLPL
+>tr|A0A3Q1MG61|A0A3Q1MG61_BOVIN Kinesin-like protein OS=Bos taurus OX=9913 GN=KIF22 PE=3 SV=1
+MLGSDPTHSSGVSSITSTSKIPTLVYSCVLSCVTLFATLWTVARQAPLSIGFPRQEYWSG
+LPCPSPGDLPNLGFEAVSLTSPTLAGGFFTTSATWEAHSYFYLSPKLPPYFTPKNDLLGA
+GRCRLSKVGAGRRPPPARVRVAVRLRPFVDGTAGENDTPCVRGLDSCSLEIANWRNHQET
+LKYQFDAFYGERSSQQDIYAGSVQPILRHLLEGQNASVLAYGPTGAGEEARYRAALGQKG
+LGNGEENLTALSTLSPGKTHTMLGSPEQPGVIPRALMDLLQLTREEGAEGRPWALSVTMS
+YLEIYQEKVLDLLEPSSGDLVIREDCRGNILIPGLTQKPITSFADFERHFLPASRNRTVG
+ATRLNQRSSRSHAVLLVKVDQRERLAPFRQREGKLYLIDLAGSEDNRRTGNKGLRLKESG
+AINTSLFVLGKVVDALNQGLPRVPYRDSKLTRLLQDSLGGSAHSILIANIAPERRFYLDT
+VSALNFAARSKEVINRPFTNESLQLPVLAPVKLSQKELLGPSEAKRARGPEEEETESPEL
+PIAPASASQKLSPLQKLSSMDPAMLERLLSLDRLLGSQGSQGTPLLSTPKRERMVLMKTV
+EEKDLEIERLKMKQKELEAKVLAQEAADPKEKENYSTTMLRPLARRTVTVAKPLKKAVVM
+PLQLIQEQAASPNAKIHILKKKGRKRKLESLDASQPEKAEDGWELQISPELLAHGRQKIL
+DLLNEGSARDLRSLQRIGQKKAQLIVGWRELHGPFSQVEDLERVEGISGKQMESFLKANI
+LGLAAGQGCGPS
+>tr|G1K1P7|G1K1P7_BOVIN Vascular endothelial growth factor B OS=Bos taurus OX=9913 GN=VEGFB PE=3 SV=2
+QAPVSQPDAPGHQKKVVSWIDVYARATCQPREVVVPLNMELMGTVAKQLVPSCVTVQRCG
+GCCPDDGLECVPTGQHQVRMQILMIQYPSSQLGEMSLEEHSQCECRPKKRESAVKPDRAS
+TPHHRPQPRSVPGWDPAPGAPSPADITHPTPAPGPSAHAAPSAASALTPGPATAAADAAA
+SSVVKGGA
+>tr|E1BJU2|E1BJU2_BOVIN Fibroblast growth factor 23 OS=Bos taurus OX=9913 GN=FGF23 PE=3 SV=1
+MLGARLGLWVCTLSCVVQAYPNSSPLLGSSWGGLTHLYTATARNSYHLQIHGDGHVDGSP
+QQTVYSALMIRSEDAGFVVITGVMSRRYLCMDFTGNIFGSHHFSPESCRFRQRTLENGYD
+VYHSPQHRFLVSLGRAKRAFLPGTNPPPYAQFLSRRNEIPLPHFAATARPRRHTRSAHDS
+GDPLSVLKPRARATPVPAACSQELPSAEDSGPAASDPLGVLRGHRLDVRAGSAGAERCRP
+FPGFA
+>tr|A0A3Q1MVF0|A0A3Q1MVF0_BOVIN NLR family pyrin domain containing 1 OS=Bos taurus OX=9913 GN=NLRP1 PE=4 SV=1
+MAGEARSLEDLEEKKKQKLKELRLWLPDEEPRLQSPEVVHAQPEKPRSRKTMTILSVMKE
+VQHECMAIHTKGPHVNEQGACTKTLEETDLNSALYSSFSHSPSAPNLESPSWPTSTKVLT
+FCKPKQPVLNPLKWSSSPLIFASGYRKGSRQRYQDQRRETPCSTWSWKNEDLHPKFMQLL
+LLHTPYHKDYESLNRESWDHGVVEGQGHLIEVRDLFGSDLGSQEGPHTVILHGVAGIGKS
+TLARHIRRAWEEGQLYRNHFQHVFYFNCRELATSKLTSLEELITKDQSTTVAPAGQIQSQ
+PEQLLFILDGLDELECVSEEKRAELCLHRSQQQSVQTLLGSLVEKTIFPEASLLITARTA
+ALQKFIPSLKQPCWVEVLGFSESSRKDYFYEYFTEESQATRAFSVVESNQALLTMCLTPL
+VSWLACTCLKQQMEAGEELSLKSQTMTALYLRYLAQAIQAQPLGTQLRGFCTLAAEGICQ
+GKTLFSPGDLKKHGLDGVISATPLKMDVLQKHPTSLNYSFIHLCFQEFFAAVSFALGDIR
+DKSDHPNSTGSMEKLVEVYGINNLFGAPTVHFLSGLLSEQGAREMENIFQCKLSWERKRE
+SLLRWAELELRREHYSLQLFHCLYEIQDEEFLTQAMAHFQGMRVCIRTSMELLVFTFCIR
+FCSHVWRLQLNEGRQHPGACRPTDTVLLSWVPATEACWQVLCSVLQVTGNLKELDLSRNI
+LSRSAVQSLDEALRCPGCQLETLRLASCSLTAESCKDIASGLSTSQTLMQLELSFNTLLD
+AGAEHLCQGLRQPTCKLQRLLLAGCGLTSGCCQDLASMLRVSPSLMELNLLQNDLDDLGV
+RLLCEGLRHPSCQLICLWLDQTQLSEEVTKMLRALERQKPQLLVSGIWKPSLRILHEGPG
+GAEMSDTSSLKRQRQASEGSSPQAAQVRLFCPSSPAPPEDEHMESLGTEDDLWGPTGPVA
+TEAVDEERSLYRVHLPMAGFYHWPNTGLRFEVRGPVTVEIEFCAWDQFLNRNFPQRSWLA
+AGPLFDIKAEPGAVAAVFLPHFVAFRGNDVDISQFQVAHFKEEGMLLEKPARVTPCYAVL
+ENPSFSPMGVLLRMVQTALRFIPITSTVLLYHYLQPEEVTFHLYLIPSDCSIQKAIDNEE
+KMFQFVRIHKPPPLTPLYMGSRYIVSGSEKLEILPEELELCYRSPRESQLFSEFYVRHLR
+SGIRLQIRSKKDGTVVWEALVKPGDLRRAVTPVSPGLRASPDSPAALRLRHFVDRHREQL
+VARVTSVDPILDKLHGQVLSEEQYEGVRAETTAPGQMRRLFGFSRSWDWACKDRLYQALK
+ETHPHLIMELWEKWGSEETRPGS
+>tr|G3X6Z1|G3X6Z1_BOVIN Patatin like phospholipase domain containing 7 OS=Bos taurus OX=9913 GN=PNPLA7 PE=4 SV=2
+ISGPLHPWPPGALCVQPVFGVGGSWCLADGCSVYDLAQLLGIVIGVLLALALIGTTIFFV
+YRRVSQFRQLQPSPQYRFRKRDKVMFYGRKIMRKVTTLPHTLVGNTAAPRQRVRKRTKVL
+SLAKRILRFKKEYPTLQPKEPPPSLLEADLTEFDVKNSHLPSEVLYMLKNVRVLGHFEKP
+LFLELCKHMVFVQLLEGEYIFRPGELDNSIYVVQDGRLEVRVQDSDGTEVTVKEVLAGDS
+VHSLLSILDVITGHAAPYKTVSARAAVPSTILRLPATAFQGVFERYPETLVRVVQIIMVR
+LQRVTFLALHNYLGLTTELFNPESQAIPLMSVASVTAGKAKKPTCCNLEERPPRPQEPCA
+DRGGSRATVCGPLPKRSHSVLLPSVYEEVAAELSQAQAGIQASSAPAGATAGAASDLRVV
+CDRARVLPHTEEHPGGAVASKPKKSVVVAETPSAVLPNSDGTLDESVTSKKTDSILRAAT
+QDLLTLMKLDDPSLLDGRVALLHVPGGTVVLRQGDQDTSILFVVSGLLHVYQRKIDSEED
+TFLFMARPGEMVGQLAVLTGEPLIFTIRANRDCSFLSISKAHFYDIMRRQPAVVLSVAHT
+VVRRVSSFVRQIDFALDWMEVEAGRAIYRQGDKSDCTYIVLSGRLRAVIQKDDGKKRLAG
+EYGRGDLVGVVETLTHQARATTVHAIRDSELAKLPEGALNSIKRQYPQVVTRLIRLLGEK
+ILGSLQQGAAAGHQFGFHTVGSKWDSGNAASNLSTVAVMPVSEDVPLTAFTLELKHALSA
+IGPALLLTSDSIKQRLGSAALDSIHEYRLSSWLGQQEDIHRIVLYQADSTLTPWTQRCIR
+QADCILIVGLGEQEPTVGELERMLETAAVRAQKQLVLLHRQDGPAPARTAEWLNMRGWCS
+GHLHLCCPRRVFSRRSLPKLVELYERVCQRPPDRHSDFSRLARVLTGNAIALVLGGGGAR
+GCAQVGIIRALTEYGIPVDMVGGTSIGAFMGALYSEERSYSQIRIRAKQWAEDMMSMVKT
+MLDLTYPITSMFSGAGFNSSISSVFKDRQIEDLWIPYFAITTDISASAMRVHTDGSLWRY
+VRASMSLSGYMPPLCDPKDGHLLMDGGYINNLPADVARSMGAKVVIAIDVGSRDETDLTN
+YGDALSGWWLLWKRWNPLATKVKVLNMAEIQTRLAYVCCVRQLEMVKSSDYCEYLRPPID
+SYGTLEFSKFDEICEVGYQHGRTVFDIWGRSGVLEKMLQDRQGTSKMKACDVLTCPNASF
+TDLAEIVSRIEPAKVAAGDDESDYQTEYEEVLLGGPKDAYVDFQSAPADRGSDSVSCLDT
+LCPLAEPRALRAPKQATAPSLLPSRVAPP
+>tr|F1MVB5|F1MVB5_BOVIN Chromosome 23 C6orf136 homolog OS=Bos taurus OX=9913 GN=C23H6orf136 PE=4 SV=1
+MYQPSRGAARRLGPCLRAYQARPQDQSSPWALPFPPLWPHSTTTTSPSLPLFWSPPPPSP
+PTRLLPPAPPLPLPQVQALTSPWVVLPPGKGEEGPGPELLSGCLDGLRSLFEGPPCPCPG
+ALIPFQAPGTAHPSPATPSGDPSMEEHLAVMYERLRQELPNLFLHSHDYTLYSSDVEFIN
+EILNMRTKGRTWYILSLTLCRFLAWNYFAQLRLEILQLTRHPENWTLQARWRLVGLPIHL
+LFLRFYKRDKEELYRTYDAYSTFYLNSNGLICRHRLDKLMPSHSPPAPVKKLLVGALVAL
+GLSEPEPNLHLCSKD
+>tr|F1N5V5|F1N5V5_BOVIN TNFAIP3 interacting protein 1 OS=Bos taurus OX=9913 GN=TNIP1 PE=4 SV=3
+MEGRGPYRIYDPGGGVPLGEASSAFERLVEENSRLKEKMQGIKMLGELLEESQMEASRLR
+QKAEELVKDSEPLPPSSPSLASFNDLAELAGKDTAVPATPADPAHPSDKPEPVPKPPSSN
+TSSEFESSGHTRNTMELGPLPQEDSTLMLHLQRLETTLSVCAEEPDHSQLFTHLGRMALE
+FNRLASKVHKNEQRTSILQTLCEQLRKENEALKSKLDKGLEQRDQAAERLREENLELRKL
+LMSSSKDGASGRPGSPKMEGAGKKGAAAPQQTDVVDLDKKTTGVTSCNTSRAGVTTSKIP
+EVGSLGAAEKKVRMLEQQRTELLEVNKQWDQHFRSMKQQYEQKITELRQKLADLQKQVTD
+LEAEREQKQRDFDRLLVHSMGVGAVEPAQCIRASFSPSSGHYSTATRQAQLGKRSQQ
+>tr|A0A3Q1LQV0|A0A3Q1LQV0_BOVIN C2 calcium dependent domain containing 3 OS=Bos taurus OX=9913 GN=C2CD3 PE=4 SV=1
+MQNLMLPIPKSPSPFIPNSSTFMAAPASHDLVNEMNGSTEESALLLHVLLMVPDGKDFVT
+GESEKQPSSNIYLNCKLFSTEEVTRSVIAWGTTKPVFNFSQVIPVSLSSKCLERLKNNVM
+IIETWNKVRSPGQDKLLGLVKLPLHQFYMSFKDPKVSRLLLDARYPVVAVDSYMPVIDVF
+SGHQNGSLRVFLAMGSADQIIALQRLKNEEGTLTPFNPRPAHFLDQPSVASVAMAGDHGN
+GLMEHHFELFVDKVKGLAPLQATVWGEADCYVQYYFPVQDSQSSVLKGPEFLENGITLKP
+FRSAATLCVPDPVFNSRHHHSLLLPAEVPVQRLLLSTFSAHGLVPGGGVQFEIWCRYYYP
+NVRDQMVAKGTLPLSRICALVTMQHREDGGIQTFNLPLTPRLENRKELRNQSSGLLDVGL
+RYRRTPRTAEGVLAARTVSISVQIIRACGLQAAAKALAEQEPALQFSATVGVNAFVTTHL
+SFLPKGEQRQTRPVACSFCPEFSHHSEFSCNLVTQHCSGEACFLAELLEFAEVTFAVYHE
+DTKSGITGWYPIVFPEEGALPHGLELMQKIVGGLELSVSFTHPGDRERVLEAAELLGWSF
+ESHLKDFVKMDEEEPATVTISTPRLWLPIHCVLLAGHNHIHKNTYCYLRYKLYDHEGFWT
+PLKKPKESTNKKQVMVTFKASKRAEVTRNPSLLWYFREERLEIQVWRAYGNESVERPHQT
+DSWIGSAYVDLTRLGERSARTLTVSGVYPLFGRNASNLSGAALRVHVVLSSLSSHPGPTH
+ELDSMDCSSHSESEQVPRGIDEIQLSPPHGHQKSPASTQVPCNNTTAEVCPAQEGPPESD
+GTFTVSILVERAMHLSLKGSPLTQRKVSIPSCCVSFVTADEPSPIYTQVVENTDSPIWNF
+QQQSRLSKELLLDPQQTLVFKVWHKGDEERVIGFASVDLSPLLSGFQFVCGWYNITDFSG
+ECQGQIKVAISPLESLVHFKEERQARREVENPGSLIPVYTAFSFPASAVYAAFPGCTVGQ
+PPELPAHPSSKEADFSSPGTSGTTRSQASRHEEHVQNIRRFHESLQQAEAILPNDDRLTT
+SPLSSRTSILTSLRRNLSELDQMQRYFNQKLTKPLLPLSPQTHTAILQCQESQTDRLRPA
+ASSLDPESQCILEKSNNLVLQVSSLITDLQTLTRNSQGSVSSHQARSRSREVTALPDAQD
+RGTMQERSTSPDLPLARAPDEGRSSPPPSALKETSSGRGKVHEALEHTVPITKMQSTEDT
+EAGPAFSDEDYEEDIIEPRTLNEITTVTDKTSPWSSFTSDASGGMSPQPDEAQREAFSCP
+SESFPREELRVRNSFLSSPERTVNAYLHRQGSPSQSTASCEGVASKARVGEPASADPQLT
+SHMTLSGAQQSDTCVGWRSPKADQDQGPKPQSQAKKEVASDELSDSADSSEKFPLQPASQ
+NRRENHKEPPITCPDIRQKQTTSGSEVSTRQSLLPEPIVVPNFFLPPQELAASLRMLSIS
+AALPPPATTDQDKSQATRGSLSQRPCRPRPSSLPPTLPEEETRRIARIFSSQFSQKD
+>tr|F1MBH7|F1MBH7_BOVIN G protein-coupled receptor kinase OS=Bos taurus OX=9913 GN=GRK3 PE=3 SV=2
+SIRSVMQKYLEERHEITFDKIFNQRIGFLLFKDFCLNEINEAVPQVKFYEEIKEYEKLEN
+EEDRLCRSRQIYDTYIMKELLSCSHPFSKQAVEHVQSHLSKKQVTSTLFQPYIEEICESL
+RGSIFQKFMESDKFTRFCQWKNVELNIHLTMNDFSVHRIIGRGGFGEVYGCRKADTGKMY
+AMKCLDKKRIKMKQGETLALNERIMLSLVSTGDCPFIVCMTYAFHTPDKLCFILDLMNGG
+DLHYHLSQHGVFSEKEMRFYATEIILGLEHMHNRFVVYRDLKPANILLDEHGHVRISDLG
+LACDFSKKKPHASVGTHGYMAPEVLQKGTAYDSSADWFSLGCMLFKLLRGHSPFRQHKTK
+DKHEIDRMTLTMNVELPDVFSPELKSLLEGLLQRDVSKRLGCHGGSAQELKTHDFFRGID
+WQHVYLQKYPPPLIPPRGEVNAADAFDIGSFDEEDTKGIKLLDCDQELYKNFPLVISERW
+QQEVAETVYEAVNADTDKIEARKRAKNKQLGHEEDYALGRDCIVHGYMLKLGNPFLTQWQ
+RRYFYLFPNRLEWRGEGESRQSLLTMEQIVSVEETQIKDKKCILLRIKGGKQFVLQCESD
+PEFVQWKKELTETFMEAQRLLRRAPKFLNKSRSAVVELSKPPLCHRNSNGL
+>tr|A0A3Q1LKS7|A0A3Q1LKS7_BOVIN Sulfatase domain-containing protein OS=Bos taurus OX=9913 GN=ARSD PE=4 SV=1
+EEKGVTEDEMVGWTPNIDRLAEEGVRLTQHLAAAPLCTPSRAAFLTGRHAFRSGMDATDR
+YRALQWNAGSGGLPQNETTFARILQGQGYTTGLIGKWHQGVNCASRNDHCHHPLHHGFDY
+FYGMPFTLVNDCHPDRPPSLDSGLRARLWLYTQIMALGVLTIVAGKACRLISISWKLVLS
+VATLVLLFFTSWYASFGFVRRWNCILMRNHEVTEQPMVLERAASLVLKEDVFSSFRNKQG
+PFLLFVSLLHVHIPLVTTERFLGKSRHGLYGDNVEEMDWLVGEILNAVEEHGLKNTTLTY
+FTSDHGGHLQARDGHVQLGGWNGIYRGGKGMAGWEGGIRVPGIFRWPGVLPAGHVIHEPT
+SLMDVFPTVVQLAGGQVPQDRVIDGRSLLPLLRGDAERSAHEFLFHYCGQYLHAARWHEK
+DSGRLWKVHYTTPDFHPEGAGACRGSSMCPCSGAGVTHHDPPLLFDLSGDPSEARPLSPD
+AGPVYREVVARVGRAVREHRRSVRPVPEQLSFQNVAWKPWLQPCCGPFPFCACSRDADPG
+ET
+>tr|F1N5L8|F1N5L8_BOVIN SLIT and NTRK like family member 4 OS=Bos taurus OX=9913 GN=SLITRK4 PE=4 SV=3
+MFLWLFLILSALISSTNADSDISVEICNVCSCVSVENVLYVNCEKVSLGNNKLQNIEGGA
+FLGLSALKQLHLNNNELKILRADTFLGIENLEYLQADYNLIKYIERGAFNKLHKLKVLIL
+NDNLISFLPDNIFRFASLTHLDIRGNRIQKLPYIGVLEHIGRVVEFCDLLPLKAWLENMP
+YNIYIGEAICETPSDLYGRLLKETNKQELCPMGTGSDFDVRILPPSQLENGYTTPNGHTT
+QTSLHRLVTKAPKTTNPSKISGIVAGKALSNRNLSQIVSYQTRVPPLTPCPAPCFCKTHP
+SDLGLSVNCQEKNIQSMSELIPKPSNAKKLHVNGNSIKDVDISDFTEFEGLDLLHLGSNQ
+ITAIKGDVFRNLTNLRRLYLNGNQIERLYPEMFSGLHNLQYLYLEYNLIKEILAGTFDSM
+PNLQLLYLNNNLLKSLPVYIFSGAPLARLNLRNNKFMYLPVSGVLDQLQALTQIDLEGNP
+WDCTCDLVALKLWLEKLNDGIVMKELKCETPVQFANIELKSLKNEILCPKLLNKPSAPFT
+SPAPAITFTTPLGPIRSPPGGPVPLSILILSILVVLILTVFVAFCLLVFVLRRNKKPTVK
+HEGLGNPECGSMQLQLRKHDHKTNKKDGLGTEAFIPQTIEQMSKSHTCSLKDSETGFMFS
+DPPGQKVMMRNVTDKEKDVLHVDTRKRLSTIDELDELFPSRDSNVFIQNFLESKKEYNSI
+GVSGFEIRYPEKQDKKTKKSLIGGNHSKIVVEQRKSSEYFELKAKLQSSPDYLQVLEEQT
+ALNKI
+>tr|F1MPT7|F1MPT7_BOVIN Ribosome biogenesis regulatory protein OS=Bos taurus OX=9913 GN=RRS1 PE=3 SV=1
+MEGQSVEELLAKAERDEAEKLQRITVLKELELEFDLGNLLASDRNPPTGLRHAGPTQEAE
+LRALARDNTQLLINQLWQLPTERVEEALVARLPEPSTRLPREKPVPRPRPLTRWQQFARL
+KGIRPKKKTNLVWDEVSGQWRRRWGYQRARDDTKEWLIEVPGNADPMEDQFAKRIQAKKE
+RVAKNELNRLRNLARAHKMQLPSAAGMHPTGHQSKEELGRAMQVAKVSTASVGRFQERLP
+KEKAPRGSGKKRKFQPLFGDFAAERKSQLEMLRVMNSKKPQMDITRATNKQMREEDQEEA
+AKRRKMSQKGKRKGGRQGPGSKRKGGTPSQGGKRKGGLGGKMNSGPPGLSGKRKGGQHQG
+GKRRK
+>tr|F1MDA6|F1MDA6_BOVIN Vacuolar protein sorting 45 homolog OS=Bos taurus OX=9913 GN=VPS45 PE=3 SV=1
+MNVVFAVKQYISKMIEDSGPGMKVLLMDKETTGIVSMVYTQSEILQKEVYLFERIDSQNR
+EIMKHLKAICFLRPTKENVDYLIQELRRPKYSIYFIYFSNVISKSDVKSLAEADEQEVVA
+EVQEFYGDYIAVNPHLFSLNILGCCQGRNWDPVQLSRTTQGLTALLLSLKKCPMIRYQLS
+SEAAKRLAECVKQVITKEYELFEFRRTEVPPLLLILDRCDDAITPLLNQWTYQAMVHELL
+GINNNRIDLSRVPGISKDLREVVLSAENDEFYANNMYLNFAEIGSNIKNLMEDFQKKKPK
+EQQKLESIADMKAFVENYPQFKKMSGTVSKHVTVVGELSRLVSERNLLEVSEVEQELACQ
+NDHSSALQNVKRLLQNPRVTEFDAARLVMLYALHYERHSSNSLPGLMMDLRNKGVSEKYR
+KLVSAVIEYGGKRVRGSDLFSPKDAVAITKQFLKGLKGVENVYTQHQPFLHETLDHLIKG
+KLKENLYPYLGPSTLRDRPQDIIVFIIGGATYEEALTVYNLNRTTPGVRIVLGGTTVHNT
+KSFLEEVLASGLHSRSKESSQVTSRSASRR
+>tr|E1BIG5|E1BIG5_BOVIN DND microRNA-mediated repression inhibitor 1 OS=Bos taurus OX=9913 GN=DND1 PE=4 SV=1
+MQSKRECELWCERVNPENKAALEAWVRETGIRLVQVNGQRKYGGPPPGWVGSPPPAGSEV
+FIGRLPQDVYEHQLIPLFQRVGRLYEFRLMMTFSGLNRGFAYARYSSRRGAQAAIATLHN
+HLLRPSCPLLVCRSTEKCELSVDGLPPGLSHRALLRALQPLGPGLQEALLLPSPGPTPAQ
+IALLKFSSHRAAAMAKKALVEGQSHLCGEQVAVEWLKPDLKQRLRQQLMGTSLQCLQPEG
+NRLALARDQGLEFQGSQVALQLLCQRMKLGSPVFLTKCLGTSPAGWHRFWYQVVIPGHPV
+PFSGLIWVVLTPDGQDGHEVAKDAVSARLLEAMSESRASLLWSTGAEAGGTMVQQ
+>tr|A0A3Q1M2T6|A0A3Q1M2T6_BOVIN Kruppel like factor 8 OS=Bos taurus OX=9913 GN=KLF8 PE=4 SV=1
+MDQLINNWEVQLNSEGGSMHMFKQVTGPVQIRDSLKRADRGNMTSPPLLDANSMKNPALL
+NDIKIEPPEELLANDFNLPQVEPVDLSFHKPKAPLQPASMLQSPIRSPKPQPASQTLVVS
+TSTSDTGSSASIRTVLTPGSILASSQGTGGHQILHVIHTIPSVNLPNKMGSLKAIPVVVQ
+SLPMVYTTLPADGNPAAITVPLIGGDGKNVGSVKVEPTSLSPLEIPSDNEENAIESGSLQ
+GIQGLQQEPAAMTQMQEESLDLKRRRIHQCDFAGCSKVYTKSSHLKAHRRIHTDSKKPAC
+GPEVAFQSSVCILVLKTLHP
+>tr|E1BP99|E1BP99_BOVIN Apolipoprotein B mRNA editing enzyme catalytic subunit 1 OS=Bos taurus OX=9913 GN=APOBEC1 PE=4 SV=1
+MASDRGPPAGDPTLRRRIEPWEFEFSFDPRKFCKEACLLYEIQWGNNRDVWRHSGKNTTK
+HVERNFIEKIASERYFCPSIRCFIFWYLSWSPCWECSKAIREFLNQHPNVTLVIYIARLF
+QHMDPQNRQGLKDLVQSGVTIQVMRAPEYEYCWRNFVNYPRGKEAHWPRYPPLWMNLYAL
+ELYCIILGLPPCLHISRRYQNQLIVFRLTLQNCHYQMIPPYILLATGMVQLPMTWR
+>tr|F1N725|F1N725_BOVIN Leishmanolysin like peptidase OS=Bos taurus OX=9913 GN=LMLN PE=4 SV=3
+MVTTLGLKMAAESGRRAVSSDSGLGPSRWHWSGPLWVRGVLLILGGLRASTTSIPVSLRS
+SPPCRHHVPSDSEVINKVHLKNHVVKRDVNEHLRIKIIYDKSIEELLPEKRHLVKNKLFP
+QAVSYLEKTFQVRRPAGTILLSRQCATNQYQYLRKENDHHRCCTEECAEHTKCGPIIVPE
+EHLQQCRVCCGGKWPCGAVGVPDQEGVRDADFVLYVGALATERCSHENIISYAAYCQQEA
+KMDRPIAGYANLCPNMISTQPQEFVGMLSTVKHEIIHALGFSAGLFAFYHDKDGNPLTSR
+FADGLPPFNYSLGLYQWSDKVVRKVERLWDIRDNKRVPHTVNLLVTPRVVDEARKHFNCP
+ILEGMELENQGGMGTELNHWEKRLLENEAMTGSHTQNRVLSRITLALMEDTGWYKANYSM
+AEKLDWGRGMGCDFVRRSCKFWIDQQRQKRQMLNPYCNTLRSNPLQLTCRQDQRAVAVCN
+LQKFPKPLPQEYQYFDELTGVPAEDLPYYGGSVEIADYCPFSQEFSWHLSGEYQRSSDCR
+VLENQPDLFKNYGAEKYGPHSVCLIQKSAFVMEKCERKLSYPDWGSGCYQVSCSPEGLKV
+WVQDTSYLCSRAGQVLPVSIQMNGWIHDGNLLCPSCWDFCELCPPETDPPAVNLTRALPL
+DLCSCSSSLVVTLWLLLGNLFPLLAGFLLCVWH
+>tr|A0A3Q1LST8|A0A3Q1LST8_BOVIN Sp3 transcription factor OS=Bos taurus OX=9913 GN=SP3 PE=4 SV=1
+RTAPPVKQEEMAALDVDSGGGGGGHGEYLQQQQQHGNGAVAAAAAADTQPSPLALLAATC
+SKIGPPSPGDDEEEAAAAAGAPAAAAAGATGDLASAQLGGAPNRWEVLSATPTTIKDEAG
+NLVQIPSAATSSGQYVLPLQNLQNQQIFSVAPGSDSSNGTVSNVQYQVIPQIQSSDGQQV
+QIGFTGSSDNGGINQESGQIQIIPGSNQTLLASGTPPANIQNLIPQTGQVQVQGVAIGGS
+SFPGQTQVVANVPLGLPGNITFVPINSVDLDSLGLSGSSQTMTAGINADGHLINTGQAMD
+SSDNSERTGERVSPDINETNTDTDLFVPTSSSSQLPVTIDSTGILQQNTNSLTTTSGQVH
+SSDLQGNYIQSPVSEETQAQNIQVSTAQPVVQHLQLQESQQPTSQAQIVQGITPQTIHGV
+QASGQNITQQALQNLQLQLNPGTFLIQAQTVTPSGQITWQTFQVQGVQNLQNLQIQNTAA
+QQITLTPVQTLTLGQVAAGGALSSTPVSLSTGQLPNLQTVTVNSIDSTGIQLHPGENADS
+PADIRIKEEEPDPEEWQLSGDSTLNTNDLTHLRVQVVDEEGDQQHQEGKRLRRVACTCPN
+CKEGGGRGTNLGKKKQHICHIPGCGKVYGKTSHLRAHLRWHSGERPFICNWMFCGKRFTR
+SDELQRHRRTHTGEKKFVCPECSKRFMRSDHLAKHIKTHQNKKGIHSSSTVLASVEAARD
+DTLITAGGTTLILANIQQGSVSGIGTVNTSTTSNQDILTNTEIPLQLVTVSGNETME
+>tr|F1MF28|F1MF28_BOVIN Netrin 5 OS=Bos taurus OX=9913 GN=NTN5 PE=4 SV=2
+MPVTFALWLLLSQASADPCYHPGGRPRFCLPPVTQLAGMAASCPQACGPSPGVDLGPRAP
+CNGSLTLGLGGPFLLSSVSLRFCTPGPPALVLSAAWATGGPWRSLWRRPAWPGVLGGPER
+VIFRVPAGPKASVVASHLRLELGGRGGLAAAGVRGRCQCHGHAARCAARDRPPRCRCRHH
+TTGPGCESCQPSHRDWPWRPATPRHPHPCLPCSCNQHARRCRFNSELFRLSGGRSGGVCE
+RCRHHTAGRHCHYCQPGFWRDPGQPISSRKACRACQCHPIGATGGACNQTNGQCSCKLGV
+TGLTCNRCGPGYQQSRSPRMPCQRILEATTTLATTPHAYSSDPQCQNYCNTSDTRIHMSL
+QRYCQQDYVLHAQVLASEAADTTWQRLAVRVLAVYKQGAQPVRRGSQAAWVPRADLTCGC
+LRLRPASHYLLLGRTAGGPDPTRLVLDRHGLALPWRPRWARPLRRLQQEERAGGCRTLRP
+STLSPEPRL
+>tr|A0A452DI87|A0A452DI87_BOVIN Succinate dehydrogenase [ubiquinone] iron-sulfur subunit, mitochondrial OS=Bos taurus OX=9913 GN=SDHB PE=3 SV=1
+MGLVGKACRGAQTAAAAAPRIKKFAIYRWDPDKTGDKPHMQTYEIDLNNCGPMVLDALIK
+IKNEIDSTLTFRRSCREGICGSCAMNINGGNTLACTRRIDTNLSKVSKIYPLPHMYVIKD
+LVPDLSNFYAQYKSIEPYLKKKDESQGGKEQYLQSIEDREKLDGLYECILCACCSTSCPS
+YWWNGDKYLGPAVLMQAYRWMIDSRDDFTEERLAKLQDPFSLYRCHTIMNCTQTCPKGLN
+PGKAIAEIKKMMATYKEKQASA
+>tr|A0A3Q1LZ36|A0A3Q1LZ36_BOVIN ADAM metallopeptidase domain 32 OS=Bos taurus OX=9913 GN=ADAM32 PE=4 SV=1
+MIGPNICVYIFFLLLLMVLDAVINCFFLSPDFQNSFLQIILPEKVQANTSESENSEVENK
+QVSYSISIDEKPYTVHLKQRFFLANNFMVYMYNQGSVNSQSSNIQGQCYYQGYIEGYPNS
+FVTLSTCSGLRGILQFENVSYGIEPLESAFEFQHLLYKLGNGKNEFTVLTENKEDTEQNP
+MDYNIFISEKPESTVSTDLIPLYLEMHIVVDKALFDYLGSDSMIVTNKIIEIIGLVNSMF
+AQLKISIVLSSLELWSDKNKISTAGEAEELLHRFLEWKNSYLTLRPHDIAYLFIFREYPN
+YVGAAFPGKMCVTGYSAGVALYPKEMTLEAVSVIVTQMLGLSLGISYDDPKKCRCSGAIC
+IMSTKAVQSSGMKTFSDCSLRDFEHFISNVGAQCLQNKPQMQDNPTEICGNGRVEGNEAC
+DCGSEEDCGPDSCCDPLTCLMKPETECSEGSCCDDCQFMEAGAVCRPTAHPECDIPEFCN
+GSSGMCPADITILNGQECEGGKAYCFDGGCQDIDARCESIFGKGSRNAPFACYEEIQSQT
+DRFGNCGKSGGKYTFCPWRSLICGRLICTYPLQTPFLRDSASVIYAFVRSTICITMLYET
+TDAQDALVVKSGSICDKGRICVNRRCSEVGPYINRVNQCSAQCGGNGVCTSRMICNCTEG
+YAPPNCTTRARISPGAQDLLTKRTSEKGGEKQWLLAIYIALPVLVVATIIVASWKLSKKW
+FSKKEESQSSGSESGIQDQTYILCSEVIKKNQICALLIMSSLQV
+>tr|F1MKL3|F1MKL3_BOVIN NACHT, LRR and PYD domains-containing protein 9 OS=Bos taurus OX=9913 GN=NLRP9 PE=4 SV=1
+MAESFFSDFGLLWYLEELKKEEFWKFKELLKQEPLKLKLKPIPWTELKKASRENVSKLLS
+KHYPGKLAWDVTLNLFLQISRDDLWRKARNEIRQKINPYRSHMKQKFQVLWEKEPCLLVP
+EDFYEETTKIEYELLSTVYLDAFKPGESSPTVVLHGPEGIGKTTFLRKVMLEWAKGNLWR
+DRFSFVFFLTGREMNGVTDMSLVELLSRDWPESSEPIEDIFSQPERILFILDGMEELKFD
+LDCNADLCEDWEQPQSMQVVLQSLLQKQMLPECSLLLALSKMGMRKNYSLLKHMKCIFLL
+GFSEHQRKLYFSHYFQEKDASSRAFSFVREKSSLFVLCQSPFLCWLVCTSLKCQLEKGED
+LELDSETITGLYVSFFTKVFRSGSETCPLKQRRARLKSLCTLAAEGMWTCTFLFCPEDLR
+RNGVSESDTSMWLDMKLLHRSGDCLAFIHTCIQEFCAAMFYMFTRPKDPPHSVIGNVTQL
+ITRAVSEHYSRLSWTAVFLFVFSTERMTHRLETSFGFPLSKEIKQEITQSLDTLSQCDPN
+NVMMSFQALFNCLFETQDPEFVAQVVNFFKDIDIYIGTKEELIICAACLRHCHSLQKFHL
+CMEHVFPDESGCISNTIEKLTLWRDVCSAFTASEDFEILNLDNCRFDEPSLAVLCRTLSQ
+PVCKLRKFVCNFASNLANSLELFKVILHNPHLKHLNFYGSSLSHMDARQLCEALKHPMCN
+IEELMLGKCDITGEACEDIASVLVHNKKLNLLSLCDNALKDDGVLVLCEALKNPDCALEA
+LLLSHCCFSSAACDHLSQVLLYNRSLTFLDLGSNVLKDEGVTTLCESLKHPSCNLQELWL
+MNCYFTSVCCVDIATVLIHSEKLKTLKLGNNKIYDAGAKQLCKALKHPKCKLENLGLEAC
+ELSPASCEDLASALTTCKSLTCVNLEWITLDYDGAAVLCEALVSLECSLQLLGLNISSYD
+EEIKMMLTQVEEMNPNLIISHHLWTDDEGRRRGILV
+>tr|A0A3Q1NMF2|A0A3Q1NMF2_BOVIN Discs large MAGUK scaffold protein 5 OS=Bos taurus OX=9913 GN=DLG5 PE=3 SV=1
+MEPQRRELLAQCQQSLAQAMTEVEAVLGLLEAAGALSPGERRQLDEEAGGAKAELLLKLL
+LAKERDHFHDLRAALEKTQPHLLPILYLNGVVGPPQPAEGAGTTGKAPSPPPVLSDRQVN
+EKVENLSIQLRLMTRERNELRKRLAFATHGTTFDKRPYHRLNPDYERLKIQCVRAMSDLQ
+SLQSQHTNALKRCEEVAKETDFYHTLHSRLLSDQTQLKDDVDMLRRENGQLLRERNLLQQ
+SWEDMKRLHEEDQKEIGDLRAQQQQVLKHNGSSEILNKLYDTAMDKLEVVKKDYDALRKR
+YSEKVAIHNSDLSRLEQMEEENQRLLKQTEMLTQQRDTAIQLQHQCALSLRRFEAIHHEL
+NKATAQNKDLQWEMELLQSELTELRTTQAKTAKESEKYKEERDAVYSEYKLIMSERDQVI
+SELDKLQTEVELAESKLKSSTSEKKAASEEMEALRQIKDTVTMDAGRANKEAEILRKQCK
+ALCQELKEALQEADVAKCRRDWAFQERDKIVAERDSIRTLCDNLRRERDRAVSELAEALR
+SLDDTRKQKNDVSRELKELKEQMESQLEKEARFRHLMAHSSHDSAIDTDSMEWETEVVEF
+ERETEDIDLKALGFDMAEGVNEPCLPGDCGIFVTKVDKGSIADGRLRVNDWLLRINDVDL
+INKDKKQAIKALLNGEGAINMVVRRRKSLGGKVVTPLHINLSGHKDSGISLENGVYAAAV
+VPGSPAAKEGSLAVGDRIVAINGIALDNKSLNECESLLRSCQDSLTLSLLKVFPQSASWS
+GQNIFENIKDSDKMLSYRAHGPEVQAQNKRNLMQHNNSTQTDIFCADRLEDRKELGPPGG
+SSSFLHKPFPGGPFSVSPQACPSASERSLSSFRSDASGERGYGPADMRSRRPLLPFETEV
+GPCGAAEAPLDKAEPDSAHSGGTWPKAVLSSVAGPEKLSVYRKPKQRKSIFDPNTFKRPQ
+TPPKIDYLLPGSGPAHSPLPSKRVGPLTPPKPPRRGDSIKFQHKLETSSESEATLVGSSP
+STSPPSALPPDVDPGDPMHASPPRKARVRIASSYCPEGDRDSSYLPAKKSCDEDLTSQKV
+EELGQKRRRPKSAPIIRPKLAPVVIPAQFLEEQKCTPASGELSPDLQEWAPYSPGHSSRH
+SNPPFYPSRASVGTVPRSLAPSTVSSVLRNPIYTVRSHRVGPCSSPPIPREAGPHGLHPS
+VQHQGRLSLDLSPRACSDCSDMRASHGSNSLPSSARLGSSSNLQFKAERIKIPSTPRYPR
+SVVGSDRGSLSHSECSTPPQSPLSVEGLSSCSQSQTSAATLPRIAVNPAAPGERRKDRCL
+HHHRSLLRLPAAARPRSSSLRSLRPYVEEPRHVKLQKGSEPLGISIVSGEKGGVYVSKVT
+GGSIAHQAGLEYGDQLLEFNGINLRSATEQQARLIIGQQCDTITILAQYNPHMHQLSSHS
+RSSSHLDPVGIHSTLQGSGATTPEHPSVIDPLMEQDEGPGTPPAKQSIPSSRMVGDATKK
+TPEPRVVFIKKSQLELGVHLCGGNLHGVFVAEVEDDSPARGPDGLVPGDLILEYGGLDVR
+SKTVEEVYVEMLKPKDSVRLKVQYRPEEFSKIKGLPGDSFYIRALYERLAEVEPELSFKK
+DDILYVDDTLPQGSFGSWMAWQLDENAQKMQRGQIPSKYVMDQEFSRRLSMSEVKDDSSA
+AKTLSAAARRSFFRRKHKHKRSGSKDGKDLLALDTFSNDSIPLFEDSVSLAYQRVQKVDC
+TSLRPVLILGPFLDVVKEMLVNEAPSKFCRCPLEVMKASQQAIERGVKDCLFVDYKRRSG
+HFDVTTVASIKEITEKNRHCLLDVAPHAIERLHHMHIYPIVIFIHYKSAKHIKEQRDPIY
+LKDKVTQRHSKEQFETAQKIEQEYSRYFTGVVQGGALSSICTQILAVVNQEQNKVLWIPA
+CPL
+>tr|A0A3Q1LQC9|A0A3Q1LQC9_BOVIN GC-rich sequence DNA-binding factor 2 OS=Bos taurus OX=9913 GN=GCFC2 PE=4 SV=1
+MAHRPKRTFRPRRADSSDSDGAQETFAEPGATGEQAARDPAVEGPPSGRGHAEVAELLRR
+GRGRRRGRAWASSRHAARAAPRLDESSDVPESRMVDLSADEEDGEHHSSESKDDQSSSPN
+SSRTLEDEFSSVVNIPDAAVMQATYRKCKLARAQEDYISLDVKHTFTNSGMKKNSEDSES
+EPDDFKDIMPFTPKPQTLRQRMAEETTTRNEETSDDSQDEKTQDIWEQQQMRKAVKITKG
+QDIDLSYSHESQTVKKFDASISFPPVSLEIIKKKLNTRLTLLQDTHRSHLREYEKYIQDI
+KSSKSTIQNLENSSNQTLSFRFYKSMKIYVENLIDCLNEKIISIQEIESAMHALLLKQAM
+IFMKRRQDELKHESAYLQQLSCKPETSINKSLAMDEKTQWILEEAESRRARRRQARVLSG
+NCTHEEGTSSDDELSSADMIDFQKSQGDILQDHKKIFEDVHSDFCNIQNILLKFRQWREK
+FPDSYYEAFISLCIPKLLNPLIRFQLIDWNPLKFDSIGLKQMPWFTSIEEFIDCSMEDSK
+KEDSSDKKILSTVINKTVIPRLIGFVEFIWDPLSTTQTTSLVTQCRMILEEHSTCENEVN
+KGKQDLLKSIVSRMKKAIEDDVFIPLYPKSAVENRTSPHSKFQERQFWSGLKIAAYLPEK
+WFQNSAMRTSIPQLENFIQFLLQSARKLSRNEIRDELKEIILILVKIKALNQAESFIEEC
+HLDHLRSVIKEFE
+>tr|A0A3Q1M4A9|A0A3Q1M4A9_BOVIN Complement C3d receptor 2 OS=Bos taurus OX=9913 GN=CR2 PE=4 SV=1
+MGAAGPIWVFLTLLAPGVLGQCKFLPRYPFAKPKIQSDQSEFAVGKTWEYECLPGYFKKS
+FFVTCLKTSNWSNAQQFCKRKSCTVPRELLHGSVHTPQGIMFGATITFSCDRGYRLIGDT
+SATCLISDNTVTWDKDLPLCESIPCEPPPAISNGDFQSSNRDYFYYGTVVTYQCHVGQNG
+KKLFDLLGEKSIYCTSKDNQVGIWNSPPPQCIPVVKCPIPEVENGAMESGFRRSFSLNDS
+VMFKCKPGFTMKGSNTVWCQPNSKWNPPLPKCFKGCLPPPHTHHGNYNQLDEEFFAVGQE
+VSYSCEPGYTLIGTNPIQCTSLGTWSHLVPKCEVKSCDDIPNQLLNGRVVAPPNLQLGAE
+VSFVCDKGYRLNGQSSSQCVSEGMRVFWSNKFPVCERIFCDPPPSIKNGRSSYYSGPVAH
+NAVVTYTCQSAFRLIGERRLFCISKDNVNGIWDKAPPICEYYDRNSVCSEPIVPGGYRSK
+TSRPPFRHGDAVTFSCNANFTMKGNKTVWCRANRRWGPTPLPTCESDIPLECPSLPTIAN
+GHHTGESVGSFAPGLTVTYSCEPGYLLLGENTIHCLSSGDWSAVAPTCKEAECEAPGPFL
+NGQIKRPTSFGVGATVNFSCNEGYRLQGPLSSQCVIFGQRTFWTRMPACEEILCPSPPPI
+LNGRHTGTSSVIFRYGSTVSYTCDPGPEKGVNFILVGERTIRCTTDSQKTGTWSGPAPRC
+ELSVSELLCPPPQIPRGQISSGQRDQYSYNDTVVFACMFGFTMKGSRAVRCNAQGTWEPS
+VPVCEKDCQAPPKILNGQKEDRHRVRFDPGTSIKYSCDLGYELVGEESIHCTPDGVWMPT
+APTCKVAECEPVGKQVFKKPKNQFIRPDVNSSCDEGYRLGESVYQQCQGTIPWFMEIRLC
+KDITCPPPPVIYNGVHTGSSSEDVLYGTTVTYTCNPGPERGVKYNLIGESTIRCISNDQE
+TGIWSGPAPLCKLSLPAVQCSHVHVANGYKISGKEAPYFYNDSVIFKCDDGFILKGSSQV
+RCKANNTWDPEIPVCEKGKNQVEKKWRYLFVYSCFLFVLYMFFIQMLPWIWDKDPPVINN
+GRYRGVLPGGFPYGSEVSYECDQGFDLLGEKSIRCISDSKGLVSWSGRAPQCLKSPPVTH
+CPNPEVMHGHKLNKTYSSYSHNDILHIACNPGFIMNGSHLIRCHTNNKWVPGVPTCIKKA
+FFGCQRPLTISHGNHTGGDITRFSPGMSILYSCNQGYLLVGEALLLCTHEGTWNQPAPYC
+KEVNCSFPEHINGIQNGLEPGRMYQYGAVVTLMCEDGYTLEGSPQSQCQEDHRWNPPLAV
+CKSPSKCKELLCSFSAGVIALFCLGAVALYMISKHRERNYYTNTNYKEDVHLETLDIYSV
+DPYSPAN
+>tr|A0A3Q1M0D5|A0A3Q1M0D5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+FKQYLEDTMKNPSIVGALGTDSQWLNLGCLRTLSHEHAGVISVLAQQAAKLTLDPTDIPV
+VCLELDNGDVRSTTASHWPCTKWPLDDS
+>tr|A0A3Q1ME78|A0A3Q1ME78_BOVIN Pogo transposable element derived with KRAB domain OS=Bos taurus OX=9913 GN=POGK PE=4 SV=1
+MASTACPLNLTLKEEEEEEEIQSRELEDGPTDMQKVRICSEGGWVPALFDEVAIYFSDEE
+WEVLTEQQKALYREVMRMNYETVLSLEFPFPKPDMITRLEREEESQNSDEWQLQGGTFAG
+YKSQHATSYAEKEESDMKPPDWAGPMNVASQFPQAQHLDGFGLRLPRDITELPEWGEGYP
+FYMAMGFPGYDLSADDLAGKFQFSRGMRRSYDAGFKLMVVEYAESTNNCQAAKQFGVLEK
+NVRDWRKVKPQLQNAHAMRRAFRGPKNGRFALVDQRVAEYVRYMQAKGDPITREAMQLKA
+LEIAQEMNIPEKGFKASLGWCRRMMRRYDLSLRHKVPVPQQLPEDLTEKLVTYQRSVLAL
+RRAHDYQVAQMGNADETPICLEVPSRVTVDNQGEKPVLVKTPGREKLKITAMLGVLADGR
+KLPPYIILRGTYIPPGKFPSGMEIRCHRYGWMTEDLMQDWLEVVWRRRTGAVPKQRGLLI
+LNGFRGHATDSVKSSMESMNTDMVIIPGGLTSQLQVLDVVVYKPLNDSVRAQYSNWLLAG
+NLALSPTGNAKKPPLGLFLEWVMVAWNNISSESIVQGFKKCHISSNLEDEDDVLWEIESE
+LPGGGEPPKECDTESLTESH
+>tr|A0A3Q1LVV5|A0A3Q1LVV5_BOVIN T cell activation inhibitor, mitochondrial OS=Bos taurus OX=9913 GN=TCAIM PE=4 SV=1
+LFCHLFPMKLCPGKILPYCFLLSRALSGAEAVNALRPFYFAVHPDFFGQHPREREVNENS
+LKRLSAYLENLQKPGFKSLKPTQLVFYVRETDQNPSDGQEHFSTSGFRAVKFTLHTRDLL
+STVLYILNSCSLSIEHVQSLNTNVHSQPLKEAKRTSDRPIKWDKSYYSFTGFKDPDEDLE
+QVWRTETTLTSWLDNNGESAVKKLKNSLPLRQELDRLKDELSHQLQLSDIRWQRSWGIAH
+RCSQLHSLGRLAQQNLETLKNAKGKQFPFTSEIHIP
+>tr|A0A3Q1MBW5|A0A3Q1MBW5_BOVIN AIG1-type G domain-containing protein OS=Bos taurus OX=9913 GN=LOC511617 PE=4 SV=1
+MEMAHLRIIVLSCLNWRCSQVYTNSYKDTCFYSFLLFILEVNGSAAWEQIWTMAEGRIEH
+QGFEPSSSLRIILVGKTGSGESATRNSILSQPMFESKLGAQSVTRKCQRATGTWNGRSIL
+VVDMPPIFESRAQDQEVYENIGACYLLSVPGPHVLLLVTQLGRFTEQDAVAVTRVKEVFG
+AGAERYMVILFTHKEDLAGGSLDEYMANTDNLRLRSLVQKCRRRCSSRWGVAPMEKVRGA
+TWTSMKATLEKKEVTCKTAFPTSL
+>tr|A0A3Q1M1D8|A0A3Q1M1D8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=ARHGEF33 PE=4 SV=1
+MHLEDATRFCPKEERESEQTSFSDQNPRQDQKGGFRSSFRKLFKKKGLFGWWPHTPRMLL
+RDEARHGARCNRKPEKGFSSL
+>tr|A0A3Q1MUV7|A0A3Q1MUV7_BOVIN 5'-nucleotidase OS=Bos taurus OX=9913 GN=LOC534181 PE=3 SV=1
+MTNLDNILKSRDIIKKHFQLFSNREAKEARSVDRAAVARVGEVASASVCALVAGVVLAQY
+IFTLKRKTGRKTKIIEMMPEIQKNSVHIKNPTRIEEIICGLIKGGAAKLQIITDFDMTLS
+QFSYKGKRCPSCHNVIDNYKLITDECREKLLQLKEKYYAIEVDPVLTIEEKYPYIVEWYT
+KSHSLLVEQALPKAKLKEIVEESDIMLKEGYENFFDKLQQYSIPVFIFSAGIGDVLEEVI
+RQAGVYYPNVKVVSNFMDFDDNGLLKGFKGELIHVFNKHDGSLKNTEYFNQLKNNSNIIL
+LGDSQGDLKMADGVANVEHILKIGYLNDRVDELLEKYMDSYDIVLVKDESLDVANSILQK
+IL
+>tr|E1BC09|E1BC09_BOVIN Amine oxidase OS=Bos taurus OX=9913 GN=AOC2 PE=3 SV=2
+MGQGDARGSRTLSQTTEQKIGAELYKSRSEISRPTHRVEFSLFLSILLNINPDLMSVTGR
+SSWWDSQLSAFTMNLKVVLVFLALSLITIFALAYVLLTSRGGSSLPPRCPSVSPRAQPWT
+HPGQSQLFADLSREELMAVMSFLTQKLGPDLADAAQARPSDNCIFSVELQLPPKAAALAH
+LDRGRPPPAREALAIVFFGRQPQPNVTELVVGPLPQPSYMRDVTVERHGGPLPYHRRPVL
+ATESAQMWMHLKKVEFPKAPVFLASVFNYNGSTLAALHATPRGLRSGDRATWIALYHNIS
+GVGIFLHPVGLELLLDHRALDPAHWAVQQVFYLGHYYSDLGQLEWEFKAGRLDVVRVPLP
+LPDGASSLRSRVSPGPLPPLEFSPQGSRYSVQGHLVESFLWTFTFGHGAFSGMRIFDVRF
+KGERVAYEVSVQECLSVYGADSPKTMMTRYLDSSYGLGRHSRGLVRGVDCPYQSTMVDTH
+VLVGQGAVQLLPGAVCVFEEAQGLPLRRHHNQLQSHFYGGLAGSALVVRSVSSVGNYDYI
+WDFVLHPNGALEGRVHATGYINTAFLSGGEESLLFGNRVGERVLGAVHTHAFHFKLDLDV
+AGLKNWVVAEDVVFKPVAAPWSPEHQLQRPQLTRQVLGREDLTAFFLGSPLPRYLYLASN
+QTNAWGHQRGYRIQIHSPLGIHMPLDSTMERALSWGRYQLAVTRRKEEESQSSSIYYQND
+IWTATAAFADFINNETLLGEDLVAWVTTSFLHIPHAEDVPNTVTLGNRVGFLLRPYNFFD
+EDPSIFSPGSVYFEKGQDAGLCSVNHVACIPHLAACVPDLPPFSYQDL
+>tr|A0A3Q1MS55|A0A3Q1MS55_BOVIN Antithrombin-III OS=Bos taurus OX=9913 GN=SERPINC1 PE=3 SV=1
+MISNGIGTVTAGKRSICLLPLLLIGLWGCVTCHRSPVEDVCTAKPRDIPVNPMCIYRSSE
+KKATEGQGSEQKIPGATNRRVWELSKANSHFATAFYQHLADSKNNNDNIFLSPLSISTAF
+AMTKLGACDNTLKQLMEVFKFDTISEKTSDQIHFFFAKLNCRLYRKANKSSELVSANRLF
+GDKSITFNETYQDISEVVYGAKLQPLDFKGNAEQSRLTINQWISNKTEGRITDVIPPQAI
+NEFTVLVLVNTIYFKEVGQASLSAWQDSQMTPWGYYLCLALFIREKAQHFGGWSLRRRLV
+GGRRQKAIRFKLQKRLAKRPGLWKSKFSPENTRKELFYKADGESCSVLMMYQESKFRYRR
+VAESTQVLELPFKGDDITMVLILPKLEKTLAKVEQELTPDMLQEWLDELTETLLVVHMPR
+FRIEDSFSVKEQLQDMGLEDLFSPEKSRLPGIVAEGRSDLYVSDAFHKAFLEHKAWMNTS
+LTSVQWMKEKTKSK
+>tr|F1MZA0|F1MZA0_BOVIN Amino_oxidase domain-containing protein OS=Bos taurus OX=9913 GN=LOC782545 PE=4 SV=3
+MSGLTAAKALQDAGHQVTILEASNHVGGRVMTFRNEKEGWYYELGPMRIPKSHRLVHTYV
+RKLGLKLNKFLQYHDNTWFLINRKRYRAGEVKANPELLGYSMNPREKGKNAINLFYQSIG
+KLKSLKELNCSHLMSFYDSYSTKAYLLKEGMLSQRGVKMIRDVMNEDAGYYKSLLESLRM
+DMIFTSNDFSEITGGFDQLPKALNASLKPGTIRLGSKVEKVVRDGPEVRISYRMGETNSP
+LHTLTADFVIISASAKATHLITFEPPLSQDKMDALRSVHYTSATKVVLACNESFWERDGI
+QGGVSITDLPSRYIYYPSHRLPSGKGVLLASYTVDKDSLFFISMQRDQVVDIVLRDLAAV
+HQIPKEELRRMCPSSVVKRWSLDPLFMGAFTEFTPYQFVDYSQQLFQPEGRIHFAGEHTC
+LPHAWIDTAIKSGLQVAKNIQAAVDKEITER
+>tr|A0A3Q1MNH9|A0A3Q1MNH9_BOVIN Zinc transporter ZIP13 OS=Bos taurus OX=9913 GN=SLC39A13 PE=4 SV=1
+MPGCPCPGIGMAGQRLLFLAALALELLGGAGGSQQALRSRGVAAACRLDSKESESWGALL
+SGERLETWICSLLGSLMVGLSGVFPLLVIPLEMGTTLRSEAGARRLKQLLSFALGGLLGN
+VFLHLLPEAWAYTNSASSGGERQSLQQQQQLGLWVIAGFLTFLVLEKLFFDSKGKEETSQ
+APSKDPAAAAALNGGHYLAQPAAEPGPSAVVRNIKVSGYLNLLANTIDNFTHGLAVAASF
+LVSKKIGLLTTMAILLHEIPHEVGDFAILLRAGFDRWSAAKLQLSTALGGLLGACFAICA
+QSPKGVGTGVGVVAVRRGALAEGHGRPDPGIRARLCSGPPRGMGGGRYTWPPSPPQPVRA
+PPPATEETVAWILPFTSGGFLYIALVNVLPDLLEEDDPW
+>tr|A0A3Q1M9V1|A0A3Q1M9V1_BOVIN Calcium/calmodulin-dependent protein kinase type II subunit delta OS=Bos taurus OX=9913 GN=CAMK2D PE=1 SV=1
+MASTTTCTRFTDEYQLFEELGKGAFSVVRRCMKIPTGQEYAAKIINTKKLSARDHQKLER
+EARICRLLKHPNIVRLHDSISEEGFHYLVFDLVTGGELFEDIVAREYYSEADASHCIQQI
+LESVNHCHLNGIVHRDLKPENLLLASKSKGAAVKLADFGLAIEVQGDQQAWFGFAGTPGY
+LSPEVLRKDPYGKPVDMWACGVILYILLVGYPPFWDEDQHRLYQQIKAGAYDFPSPEWDT
+VTPEAKDLINKMLTINPAKRITASEALKHPWICQRSTVASMMHRQETVDCLKKFNARRKL
+KGAILTTMLATRNFSAAKSLLKKPDGVKEPQTTVIHNPDGNKESTESSNTTIEDEDVKAR
+KQEIIKVTEQLIEAINNGDFEAYTKICDPGLTAFEPEALGNLVEGMDFHRFYFENALSKS
+NKPIHTIILNPHVHLVGDDAACIAYIRLTQYMDGSGMPKTMQSEETRVWHRRDGKWQNVH
+FHRSGSPTVPIKPPCIPNGKENFSGSTSLWQYI
+>tr|A0A3Q1M0F5|A0A3Q1M0F5_BOVIN Cytoglobin OS=Bos taurus OX=9913 GN=CYGB PE=3 SV=1
+PPRRARGHAANARECLTQSQFPLAGRVHTRPQTRTSAAHSCAARAAPRPVTASPLLPGPP
+VPPPHLRPCPARPSNSGLEGGQAPPASPPPRPSFTLPELAHGHGFGGLERIYFFSHFFQQ
+TTSPPPARGPPPPAHIPYTRAHTHTHTHTRRAQTDTLPPSGALYHSPPAAHAAGPGSPRP
+APRAPPSPSEAGLGLELLMEKVPGEMEIERRERSEELSEAERKAVQATWARLYANCEDVG
+VAILVRFFVNFPSAKQYFSQFKHMEEPLEMERSPQLRKHACRVMGALNTVVENLHDPEKV
+SSVLSLVGKAHALKHKVEPVYFKILSGVILEVIAEEFANDFPPETQRAWAKLRGLIYSHV
+TAAYKEVGWVQQVPNATT
+>tr|A0A3Q1MDA4|A0A3Q1MDA4_BOVIN Dystroglycan OS=Bos taurus OX=9913 GN=DAG1 PE=4 SV=1
+MPWLAERVFRVPGASPGLWEGPLHRGALLGSGAVLSSDSMVDIALGAGTEEDVTQSHYPR
+LSRRASAASISHTVALGALGWSRCAEGEDPVLGPRCLLLFLERLICLNRLEPGMRMSVGS
+SLPLPLWGRTFLLLLSVAVTQSHWPSEPSEAVRDWENQLEASMHSALSDLHETVPTVVGI
+PDGTAVVGRSFRVTIPTDLIASNGEVIKVSAAGKEALPSWLHWDPQSHTLEGLPLDTDKG
+VHYISVSAARLGANGSHVPQTSSVFSIEVYPEDHSEPQSLRAASPDPGEVVSLVCAADEP
+VTVLTVILDADLTKMTPKQRIDLLRRMRGFSEVEPHNMKLVPVVNNRLFDMSAFMAGPGN
+AKKVVENGALLSWKLGCALNQNSVPDIRGVEVPAREGAMSAQLGYPVVGWHIANKKPSLP
+KRIRRQIHATPTPVTAIGPPTTAIQEPPSRIVPTPTSPAIAPPTETMAPPVRDPVPGKPT
+VTIRTRGAIIQTPTLGPIQPTRVSEAGTTVPSQIRPTMTIPGYMEPTAVITPPTTTTKKP
+RVSTPRPATPSTDSSTTTTRRPTKKPRTSRPVPRVTTKAPITRLETASPPTRMRTTTSGV
+PHGGEPNQRPELKNHIDRVDAWVGTYFEVKIPSDTFYDNEDTTTDKLKLTLKLREQQLVG
+EKSWVQFNSNSQLMYGLPDSSHVGKHEYFMHATDKGGLSAVDAFEIHVHRRPQGDKAPAR
+FKAKLTGDPAAVTNDIHKKIALVKKLAFAFGDRNCSTITLQNITRGSIVVEWTNNTLPLE
+PCPKEQITALSRRIAEDDGKPRGAFVNALEPDFQAMSITVTGSGSCRHLQFVPVAPPMRV
+PSEAPATEVPDRDPEKSSEDDVYLHTVIPAVVVAAILLIAGIIAMICYRKKRKGKLTLED
+QATFIKKGVPIIFADELDDSKPPPSSSMPLILQEEKAPLPPPEYPNQSMPETTPLNQDTV
+GEYAPLRDEDPSAPPYQPPPPFTAPMEGKGSRPKNMTPYRSPPPYVPP
+>tr|G3MZ58|G3MZ58_BOVIN Kinesin motor domain-containing protein OS=Bos taurus OX=9913 GN=KIF26B PE=3 SV=2
+MMMDWKAVAAQKLNLSSKKKKHRPSTSSVAEPPLFATSFSGILQTSPPPAPPCLLRAVNK
+VKDTPGLGKVKVMLRICSTLARDTSESSSFLKVDPRKKQITLYDPLACGGLNAFQKRGNQ
+VPPKMFAFDAVFPQDASQAEVCAGTVAEVIQSVVNGADGCVFCFGHAKLGKSYTMIGKDD
+SMQNLGIIPCAISWLFKLINERKEKTGARFSVRISAVEVWGKEENLRDLLSEVATGSLQD
+GQSPGVYLCEDPICGTQLQNQSELRAPTAEKAAFFLDAAIASRRGNQQDCDEDDLRNSHM
+LFTLHIYQYRMEKSGKGGMSGGRSRLHLIDLGSCVKALSKNREGGSGLCLSLSALGNVIL
+ALVNGSKHIPYKESKLTMLLRESLGNVNCRTTMIAHISAAAGNYAETLSTIQIASRVLRM
+KKKKTKYTSSSSGGESSCEEGRMRRPTQLRPFHARAAVESDFPIPHLSSDPDYSSSSEQS
+CDTVIYIGPNGTALSDKELTDNEGPPDFVPIVPALQKARGDSRSTEAGEAGASKSERDCL
+KCNTFAELQERLDCIDGSEEPSKFPFEELPAQFGVEQAGKSVPLSQAAGASPLCESDKED
+DGSDGQLTDKEGAEPPASKVQRNHSPVPAALLTNSPTPASPRSIPGSSSQHNSSQLAQSP
+SLRSSRESLNSCGFVEGKPRPMGSPRLGIASLSKTSEYKPASSPSQRCKVYTQKGVLPSP
+APLPTLSKDSGIVSSESLLQPEVRTPPVGMSPQVLKKSMSTGSEGFPETPVDDDHQAATS
+PDSKKEILSTTMVTVQQPLELNGEDELVFTLVEELTISGVLDSGRPTSIISFNSDCSVQA
+LASGSRPVSIIGSISEDLECYSNVAPVSEVSITQFLPLPKLNLDEKAREAGSRRSSISSW
+LSEMSTGSDGEQPCHSFIAQACFGHGEAMADPPASEFVGSIQNTAVVCREKPKASPDNLL
+ILSEMGEDSFNKAAPIKGCKISTLGKAMVTISNTASLSNCEGYIPMKTNITVYPCISMSP
+RNVQEPEVSLATVSSVPKAAQSQESKESGAKKEMKFEDPWLKREEEVKKENAYPNEEGSR
+FETATGPPKPEARAEQDKKASPSDRLSNSSGEVSASPGADNFRRVVDGCEMALPSVAAQS
+PVHLNKSLKSSSLPRAFQKASRQEELDSLFYHCASETNGIGSASSTQPSKATLEKKVASP
+KHCVLARPKGTPPLPPVRKSSLDQKNRASPQHSACSSSSSSPLNQPAPFLASFPDEPSSK
+MKDASSSSKLFSAKLEQLASRTNSLGRTTVSHYECLSLERAESLSSMSSRLHTGKDSTMP
+RTGRSPGRSAGASPTNPGPTQSAGASPKASQSKISAVSKLLLASPKARSLSTSTTKTLSF
+STKSLPQAVGQSSGPPPGGKHMSWSTQSLSRSRGSGLASKLPLRAVNGRISELLQGGAGG
+RGPPGRAGPEAEDRAIPTDERPPPTAPLPSPYSKITPPRRPHRCSSGHGSDNSSVLSGEL
+PPAMGKTALFYHSGGSSGYESMMRDSEATGSASSTQDSMSENSSSVGGRCRSLKAPKKRA
+NSGSQRRRLIPALSLDTPSPVRKPTNSAGIRWVDGPLRSTQRGLGEPFEIKVYEIDDVER
+LQRRRGGSSKEVMCFNAKLKILEHRQQRIAEVRAKYEWLMKELETTKQYLMLDPNKWLSE
+FDLEQVLELDSLEYLEALECVTERLESRVNFCKAHLMMITCFDITSRRR
+>tr|A0A3Q1NBL7|A0A3Q1NBL7_BOVIN GRIP and coiled-coil domain containing 2 OS=Bos taurus OX=9913 GN=GCC2 PE=4 SV=1
+MMLIQKTKLRCTELEKEVEELKSKLVAGGADIIKALTERLDAVLLEKAETEQHCVSLKKE
+NIKMKQEVEDSVTKLEDVQKELEQSQRSYGKEIENLRNELTTVHSKYSNDKADWQKEVEE
+AAKKQLELSEQLRFQSDSEDSVKKLQEEIQKIKLAFEDQILCLQKQLETATNEKEQEITH
+LQGVIEANCQQYQKDINSLQEELVRLKSTHQEEVKELMCQIETSAKEHEAEVNYLIQLKD
+NLVRKCEAGEMNIQKYKCELENEGKASGAGQENQVCSLLLQEDSLVEQAVNEKVRHLEDA
+LKELESQHSILKDELTYMNNLKLKLEIDAQHIKDEFFHEREDLEFKINELLLAKEEQGYI
+IEKLKSELEDANKQFCCTIEQHNKEVQSLKKQHQKEITELNETFLSDSEKEKLTLMFEIQ
+GLKEQCEKLQQEKQEAMLNYEGLREIMEILQTELGESAEKISQEFESMKQQQAFNINELQ
+QKLQTAFNEKDALLETVNYLQKENDNLLSQQECVPELENTIKNLQEKNELYLVSLSQRDT
+MLQEFEAKISSLTEEKYDFISKMRSSHEEMDNLHKKCEREERLTVELREKVDQTAQYNSE
+LEQKVNELTAGLEETLKEKDEKNRKLEKLTAQLKILSKDQEATSLEVRSLREENSRLSSE
+KNLLSRDLEALLSQKEGDVTFQEQINKLEEELRLAVRERDNLNKLLENEQVQKLFVKAQL
+CGFLEQMESKVSQEREEQDVVNILQAMGKSLDKINEEKHNLAFQYDKRIVELEEEIKLLQ
+EEHMVQCEELRSLLTDYEQKKIVLRKELEEALLEKEALQSDLLEMKNASEQTRFENQNLL
+IQVEEMSQKLCRENENHHKKEKDLIKELENLRPLLEQKESELQDVKAELISLKDSFKKST
+SVESDQPSVKEFEEKIGYLEKEAKEKEEKINKLKLVAVKAKKELDSSRKEAQTLQEELQS
+VRSERDQLSTSMRDLIQGAENYKNLLSEYDKQSEQLDAEKERANNFEHQVEDLTRQLRNL
+TFQCEKLTSDNEDFLARIETLQSNACLLEAQILEVHKAKAMADKELEAEKLQKEQKIKEH
+ASSVNELEELQLQLQKEKKQLQKTMQELELVRKDAQQTTLMNMEIADYERLMRELNQKLS
+NKNSKIEDLEQEIKIQKQKQETLQEEMTSLQAVLQQYEEKNTKIKQLLVKTKKELADLRQ
+AETDHLMLQASLKGELEASQQQVEVYKIQLAEVTAEKHKAHEHLKASADQHQRTLGAYQQ
+RVSALQEECREARAEQAAVTSEFESYKVRVHNVLKQQKNKSVSQTEAEGAKQEREHLEML
+IDQLKLKLQDTQNSLQISTSELQALQCEHCALLERHNRVLQEAVGKEAELREKLCSVQSE
+NSALKAEHAQIVDQLTAQHEALRAGFREQVRQLQEEHRRTVETLQQQLCRLEAQLLEPRS
+EPATRSPASSQQPLRSLRERRSADLPFLDVQVTAREEGEGMETTDLEHGSPASTPAQSLE
+QLLSSPEARLGELFCVIVSSCLINVTLIYTCSFELLSEKYFQYSPVLQMENSATVRFGFL
+FFAC
+>tr|A0A3Q1M2S7|A0A3Q1M2S7_BOVIN Solute carrier family 12 member 6 OS=Bos taurus OX=9913 GN=SLC12A6 PE=4 SV=1
+MHPPETTTKMASVRFMVTPTKIDDIPGLSDTSPDLSSRSSSRVRFSSRESVPETSRSEPM
+SEMSGATTSLATVALDQASDRTSNPQDVTEDDGHKKARNAYLNNSNYEEGDEYFDKNLAL
+FEEEMDTRPKVSSLLSRLANYTNLTQGAKEHEEAENITEGKKKPTKTPQMGTFMGVYLPC
+LQNIFGVILFLRLTWVVGTAGVLQAFAIVLICCCCTMLTAISMSAIATNGVVPAGGSYFM
+ISRALGPEFGGAVGLCFYLGTTFAAAMYILGAIEIFLVYIVPRAAIFQSDDALKESAAML
+NNMRVYGTAFLVLMVLVVFIGVRYVNKFASLFLACVIVSILAIYAGAIKSSFAPPHFPVC
+MLGNRTLSSRHIDVCSKTKEVNNMTVPSKLWGFFCNSSQFFNATCDEYFVHNNVTSIQGI
+PGLASGVITENLWSNYLPKGEIIEKPSAKSSEVLGSLNHEYVLVDITTSFTLLVGIFFPS
+VTGIMAGSNRSGDLKDAQKSIPIGTILAILTTSFVYLSNVVLFGACIEGVVLRDKFGDAV
+KGNLVVGTLSWPSPWVIVIGSFFSTCGAGLQSLTGAPRLLQAIAKDNIIPFLRVFGHSKA
+NGEPTWALLLTAAIAELGILIASLDLVAPILSMFFLMCYLFVNLACALQTLLRTPNWRPR
+FRYYHWALSFMGMSICLALMFISSWYYAIVAMVIAGMIYKYIEYQGAEKEWGDGIRGLSL
+SAARFALLRLEEGPPHTKNWRPQLLVLLKLDEDLHVKHPRLLTFASQLKAGKGLTIVGSV
+IVGNFLENYGEALAAEQTIKHLMEAEKVKGFSQLVVAAKLREGISHLIQSCGLGGMKHNT
+VVMGWPNGWRQSEDARAWKTFIGTVRVTTAAHLALLVAKNISFFPSNVEQFSEGNIDVWW
+IVHDGGMLMLLPFLLKQHKVWRKCSIRIFTVAQLEDNSIQMKKDLATFLYHLRIEAEVEV
+VEMHDSDISAYTYERTLMMEQRSQMLRHMRLSKTERDREAQLVKDRNSMLRLTSIGSDED
+DETETYQEKVHMTWTKDKYMASRGQKAKSMEGFQDLLNMRPDQSNVRRMHTAVKLNEVIV
+NKSHEAKLVLLNMPGPPRNPEGDENYMEFLEVLTEGLERVLLVRGGGSEVITIYS
+>tr|F1N189|F1N189_BOVIN Family with sequence similarity 114 member A2 OS=Bos taurus OX=9913 GN=FAM114A2 PE=4 SV=1
+MSNKDDLETAVITEATPIREDENCEPVKNFESVDQSAKSESKSEPVASTRKRPESKPSSD
+LETEVLPVQASQAAGKETVSKDVPQSGWGYWGSWGKSLLSSASATVATVGQGISNVIEKA
+ETSLGIPSPSEISTEVQYATGETSAKENGNSSPMSGPFGVFSTISTAVQSTGKSVISGGL
+DALEFIGKKTMDVIAEGDPGFKRTKGLMNRTSTLSQVLREAKEKEELWTSNEVTMETDKK
+THYGLLFDEFQGLSHLEALEMLSRESEIKVKSILNSLSGEELETLKLELEQLKEAFSLAE
+LCEEEEEEKKGDEDFTKEITELFSQLHVSSKPEKLARARNTAYEWIRTSLAKPLKEKEEG
+EKQLEAENTEQINNKSIEDIHAFAIRSLAELTACSIELFHKTAALVLHGRKQEVTTIERS
+RALCQMTVLLCKELSCLSKEFTTCLTTAGVKEKADVLNPLITAVFLEASNSASYIQDAFQ
+LLLPVLEISFIENKTELPEA
+>tr|A0A452DIG9|A0A452DIG9_BOVIN Calcium-binding mitochondrial carrier protein SCaMC-2 OS=Bos taurus OX=9913 GN=SLC25A25 PE=3 SV=1
+MLCLCLYVPLIGEAQTEFQYFESKGLPAELKSIFKLSVFIPSQEFSTYRQWKQKIVQAGD
+KDLDGQLDFEEFVHYLQDHEKKLRLVFKSLDKKNDGRIDAQEIMQSLRDLGVKISEQQAE
+KILKRIRMGHFWGPVTYMDKNGTMTIDWNEWRDYHLLHPVENIPEIILYWKHSTIFDVGE
+NLTVPDEFTVEERQTGMWWRHLVAGGGAGAVSRTCTAPLDRLKVLMQVHASRSNNMCIVG
+GFTQMIREGGARSLWRGNGINVLKIAPESAIKFMAYEQIKRLIGSDQETLRIHERLVAGS
+LAGAIAQSSIYPMEVLKTRMALRKTGQYSGMLDCARKILAREGMAAFYKGYVPNMLGIIP
+YAGIDLAVYETLKNAWLQRYAVNSADPGVFVLLACGTMSSTCGQLASYPLALVRTRMQAQ
+ASMEGAPEVTMSSLFKQILRTEGAFGLYRGLAPNFMKVIPAVSISYVVYENLKITLGVQS
+R
+>tr|E1B7E1|E1B7E1_BOVIN Teneurin transmembrane protein 4 OS=Bos taurus OX=9913 GN=TENM4 PE=4 SV=3
+MDVKERKPYRSLTRRRDAERRYTSSSADSEEGKAPQKSYSSSETLKAYDQDARLTYGSRV
+KDLVPQEAEEFCRAGTNFSLRELGLGEVTPPHGTLYRTDIGLPHCGYSLGASSEAELEAD
+AALSPEHPVRLWGRSTRSGRSSCLSSRANSNLTLTDTEHENTETDHPGGLQNHSRLRTPP
+PPLSHAHTPNQHHAASISSLNRGNFTPRSNPSPAPTDHSLSGEPPAGGAQEQTHAQDNWL
+LNSNIPLETRNLGKQPFLGTLQDNLIEMDILSASRHDGAYNDGHFLFKPGGTSPLFCSTA
+PGYPLTSSTVYSPPPRPLPRTTFSRPAFNLKKPSKYCNWKCAALSAIAISATLVILLAYF
+VAMHLFGLNWHLQPMEGQMYEITEDTASSWPVPTDVSLYPSGGTGLEIPDRKGKGAAEGK
+PSSFFPEDSFIDSGEIDVGRRASQKIPPGTFWRSQVFIDHPVHLKFNVSLGKAALVGIYG
+RKGLPPSHTQFDFVELLDGRRLLTQEARSLEGPQRQSRGAIPPSSHETGFIQYLDSGIWH
+LAFYNDGKESEVVSFLTTAIESVDNCPSNCYGNGDCISGTCHCFLGFLGPDCGRASCPVL
+CSGNGQYMKGRCLCHSGWKGAECDVPTNQCIDVACSHHGTCIMGTCICNPGYKGENCEEV
+DCMDPTCSGRGVCVRGECHCSVGWGGTNCETPRATCLDQCSGHGTFLPDTGLCSCDPSWT
+GHDCSIEICAADCGGHGVCVGGTCRCEDGWMGAACDQRACHPRCAEHGTCRDGKCECSPG
+WNGEHCTIEGCPGLCNGNGRCTLDLNGWHCVCQLGWRGAGCDTSMETACGDSKDNDGDAL
+VDCMDPDCCLQPLCHVNPLCLGSPDPLDIIQETQAPVSQQNLHSFYDRIKFLVGRDSTHI
+IPGENPFDGGHACVIRGQVMTSDGTPLVGVNISFVNNPLFGYTISRQDGSFDLVTNGGVS
+IILRFERAPFIAQEHTLWLPWDRFFVMETIVMRHEENEIPSCDLSSFARPNPVVSPSPLT
+SFASSCAEKGPIVPEIQALQEEIAISGCKMRLSYLSSRTPGYKSVLRISLTHPTIPFNLM
+KVHLMVAVEGRLFRKWFAAAPDLSYYFIWDKTDVYNQKVFGLSEAFVSVGYEYESCPDLI
+LWEKRTAVLQGYEIDASKLGGWSLDKHHALNIQSGILHKGNGENQFVSQQPPVIGSIMGN
+GRRRSISCPSCNGLADGNKLLAPVALTCGADGSLYVGDFNYIRRIFPSGNVTNILELSHS
+PAHKYYLTTDPMSGAVFLSDTTSRRVFKIKSTVVVKDLVKNSEVVAGTGDQCLPFDDTRC
+GDGGKATEATLTNPRGITVDKSGLIYFVDGTMIRRIDQNGVISTLLGSNDLSSARPLSCD
+SVMDISQVRLEWPTDLAVNPMDNSLYVLDNNVVLQISENHQVRIVAGRPMHCQVPGIDHF
+LLSKVAVHATLESATALAVSHNGVLYIAETDEKKINRIRQVTTSGEISLVAGAPSGCDCK
+NDANCDCFSGDDGYAKDAKLNIPSSLAVCADGELYVADLGNIRIRFIRKNKPFLNTQNMY
+ELSSPIDQELYLFDTSGKHLYTQSLPTGDYLYNFTYTGDGDVTLITDNNGNMVNVRRDST
+GMPLWLVVPDGQVYWVTMGTNSALKSVTTQGHELAMMTYHGNSGLLATKSNENGWTTFYE
+YDSFGRLTNVTFPTGQVSSFRSDTDSSVHVQVETSSKDDVTITTNLSASGAFYTLLQDQV
+RNSYFIGADGSLRLLLANGMEVALQTEPHLLAGTVNPTVGKRNVTLPIDNGLNLVEWRQR
+KEQARGQVTVFGRRLRVHNRNLLSLDFDRVTRTEKIYDDHRKFTLRILYDQTGRPSLWSP
+SSRLNGVNVTYSPGGHIAGVQRGIMSERMEYDQAGRITSRIFADGKTWSYTYLEKSMVLL
+LHSQRQYIFEFDKNDHLSSVTMPNVARQTLETIRSVGYYRNIYQPPEGNASVIQDFTEDG
+HLLHTFYLGTGRRVIYKYGKLSKLAELLYDTTKVSFTYDETAGMLKTINLQNEGFTCTIR
+YRQIGPLIDRQIFRFTEEGMVNARFDYNYDNSFRVTSMQAVINETPLPIDLYRYDDVSGK
+TEQFGKFGVIYYDINQIITTTVMTHTKHFDAYGRMKEVQYEIFRSLMYWMTVQYDNMGRV
+VKKELKVGPYANTTRYSYEYDADGQLQTVSINDKPLWRYSYDLNGNLHLLSPGNSARLTP
+LRYDLRDRITRLGDVQYKMDEDGFLRQRGSDIFEYNSAGLLIKAYNRGGGWSVRYRYDGL
+GRRVSSKSSQSHHLQFFYADLTNPTKVTHLYNHSSSEITSLYYDLQGHLFAMELSSGDEF
+YIACDNIGTPLAVFSGTGLMIKQILYTAYGEIYMDTNPNFQIIIGYHGGLYDPLTKLVHM
+GRRDYDVLAGRWTSPDHELWKHLSSSNIMPFNLYMFKNNNPISNSQDIKCFMTDVNSWLL
+TFGFQLHNVIPGYPKPDMDAMEPSYELVHTQMKTQEWDNSKSILGVQCEVQKQLKAFVTL
+ERFDQLYGSTITSCHQAPETKKFASSGSVFGKGVKFALKDGRVATDIISVANEDGRRVAA
+VLNNAHYLENLHFTIDGVDTHYFVKPGPSEGDLAILGLSGGRRTLENGVNVTVSQINTML
+NGRTRRYTDIQLQYGALCLNTRYGTTLDEEKARVLEMARQRAVRQAWAREQQRLRDGEEG
+LRAWTEGEKQQVLNTGRVQGYDGFFVISVEQYPELSDSANNIHFMRQSEMGRR
+>tr|A0A3Q1MCR7|A0A3Q1MCR7_BOVIN Protein phosphatase 1 regulatory subunit OS=Bos taurus OX=9913 GN=PPP1R12B PE=4 SV=1
+MAELEHLGGKRAESARARRAEQLRRWRGSPTEQEPADRPGAGPQARRGGPRVRFEDGAVF
+LAACSSGDTDEVRRLLARGADVDTANVDGLTALHQACIDENLDVVKFLVENGASVNQQDN
+EGWTPLHAAASCGYLNIAEYFINHGASVGIVNSEGEVPSDLAEEPAMKDLLLEQVKKQGL
+DLEQARRQEEQQMLQDARQWLNSGRIADVRQARSGATALHVAAAKGYSEVLRLLIQAGYE
+LNVQDHDGWTPLHAAAHWGVKEACSILAEALCDMDIRNKLGQTPFDVADEGLVEHLETLQ
+KRQSVLRSEKETRNKLIESDLNSKLHSRLFKNKEKMLCEEETPKSQRVEESKESSSSSSD
+EGEDEASESEAEKEAGDPEAGRPQSAGGRHVSEGRWSQPWGTDSQTGPRPFASPFSSCEP
+SSLFSKPEETRDESPCSWRLGLRKTGSQNVLSEAAGAREALRDRGASVHRSASSPRISAL
+MDNREKERETRSSLSSLGLRRPGSTSDLEEKENRESAANLVRSGSQPRQPWRDAAKGSET
+PQTAAPSTYVSTFLKRTPYRSQADSTAERADGVACSSPLCVITNRPPPSTASGVPATTLL
+CAPGPEPSVEARQRRRYGLTPVGSCLELGTIRPLRSFPARSRGGGVTLTDLQEAERTFSR
+SRVERQAQEQPSLKPVGPGALEGGPRKHEPLAAPTQEAGESRQPWGRSPEGEPVYHPLRC
+PAQPEQPTAPASPSAPRPSLYSSSYLLRTGGSSAPDSQSSEPPTDTAGPRDMDKNGTLGF
+GNTSGENVRCPLASPPTPCCSRNQLPLPCVSHRLESGGSDASTERASARARREAREARLA
+TLSSRTEEDGGRDYRKLYESALTENQKLKTKLQEAQLELADVKSKLEKMAQYSVSCSVEQ
+ERRALERKMSEMEEEMKVLTELKSDNQRLKDENGALIRVISKLSK
+>tr|G5E5H6|G5E5H6_BOVIN WD repeat-containing protein 53 OS=Bos taurus OX=9913 GN=WDR53 PE=4 SV=1
+MAVKWTGGHSSPILCLNASQEGLVASGAEGGDLVVWGEDGTLLGHTCFQGAEDVTNVLFS
+PSCPTKLYASHGETISILDVRSLKEPLDDFHVNEEEINCLSLNETENLLASADDSGTIKI
+LDLENKKISRSLKRHSNICSSVAFRPQRPQSLVSCGLDMQVMLWNLRKARPLWITNLQED
+ETEEMESPQSPGQLLNPALAHSVSVASCGNVFSCGAEDGKVRIFRVMGVKCEQELGFKGH
+SLGVSQVCFLRESYLLLTGGNDGKIKLWDVSSEIEKKHKSPTKHTHRKKTKRAAYXEHGE
+KVNWLLSTKIKGYRNILVADQTSCISVYPLKEF
+>tr|F1MB53|F1MB53_BOVIN Annexin A10 OS=Bos taurus OX=9913 GN=ANXA10 PE=4 SV=2
+MFCGDYVQGTIFPAPNFNPVLDAELLGGALQGFGCDKDLLIDILTQRCNTQRLLIAEAYL
+GAFSRDLVGDLKEKLSNHFKDVMVGLMYPPPSYDAHELWHAMKGAGTDENCLIDILASRT
+NGEIFQMREAYYLQYSRDLQEDIYSETSGHFRDMLVSLVQGTREEGYTDPATATQDAMVL
+WEACQQRTGEHKTLLQLMLCNKSYQQLCLVFQEFQNISGQDIVDAINECYDGYFRELLVA
+TVLCIRDKPAYFAYRLYSAIHDFGFHNKTVIRILIARSEIDLMTIRKRYKERYGKSLFHD
+IKNFASGHYEKALLAICAGDADDY
+>tr|A0A3Q1LJM3|A0A3Q1LJM3_BOVIN Inositol hexakisphosphate and diphosphoinositol-pentakisphosphate kinase OS=Bos taurus OX=9913 GN=PPIP5K1 PE=3 SV=1
+MWSLPASEGESATAHFFLGAGDEGLGTRGLGMRPEESDSELLEDEEDEVPPEPQIIVGIC
+AMTKKSKSKPMTQILERLCRFDYLTVIILGEDVILNEPVENWPSCHCLISFHSKGFPLDK
+AVAYSKLRNPFLINDLAMQYYIQDRREVYRILQEEGIDLPRYAVLNRDPARPEECNLIEG
+EDQVEVNGAVFPKPFVEKPVSAEDHNVYIYYPSSAGGGSQRLFRKIGSRSSVYSPESSVR
+KTGSYIYEEFMPTDGTDVKVYTVGPDYAHAEARKSPALDGKVERDSEGKEIRYPVMLTAM
+EKLVARKVCVAFKQTVCGFDLLRANGHSFVCDVNGFSFVKNSMKYYDDCAKILGNTIMRE
+LAPQFQIPWSIPMEAEDIPIVPTTSGTMMELRCVIAIIRHGDRTPKQKMKMEVTHPRFFS
+LFEKHGGYKTGKLKLKRPEQLQEVLDITRLLLAELEKEPGGEIEEKTGKLEQLKSVLEMY
+GHFSGINRKVQLTYYPHGVKASNEGQDTQREALAPSLLLVLKWGGELTPAGRVQAEELGR
+AFRCMYPGGQGDYAGFPGCGLLRLHSTFRHDLKIYASDEGRVQMTAAAFAKGLLALEGEL
+TPILVQMVKSANMNGLLDSDGDSLSSCQHRVKARLHHILQQDAPFGPEDYNQLAPTGSTS
+LLSSMAVIQNPVKVCDQVFDLIENLTHQIRERMQDPKSVDLQLYHSETLELMLQRWSKLE
+RDFRQKSGRYDISKIPDIYDCVKYDVQHNGSLGLQGTAELLRLSKALADVVIPQEYGISR
+EEKLEIAVGFCLPLLRKILLDLQRTHEDESVNKLHPLYSRGVLSPGRHVRTRLYFTSESH
+VHSLLSVFRYGGLLDETKDTQWQRALAYLSAISELNYMTQIVIMLYEDNTRDPLSEERFH
+VELHFSPGVKGVEEEGSAPTGCGFRPASSENEERKADQGSVEDLCPGKASDEPDRALQTS
+PLPSEGPGLPKRSPLIRNRKAGSMEVLSETSSSRPGGHRLFSSSRPPTEMKQSGLGFEGC
+SMVPTIYPLETLHNALSLRQVSEFLSRVCQRHTEAQAQASAALFDSMHSNQASDSPFSPP
+RTLHSPTLQLQQRSEKPPWYSSGPSSTVSSAGPSSPTAVDGNCPFGFSDQPSVSSHVTEE
+YQGLGLLQEAPGSGAQEPPLEGQQEPFEQNQSPQEPPVETKKPCQEVAEEVSQPCQDIPE
+EVNQPCQQVSDICQPCEENHDDVDQTCQEVPQISQPCEDASQLYQKVSKEVCELCQNSEE
+VNQPCQGVPVEIGRLVHGFPVGVGGLAQEVLGEVGRPTQEIPEELSQSCQEFSVDIGRLA
+QEASAINLLSPDTPEVDNPPLEFPGEGALQAQEVSEWVKQQQSYVVPELIDQLSREEVPQ
+VQCPPSNANPQSQSLAPDQNAPLPPATCDSSFSH
+>tr|A0A3Q1M0L4|A0A3Q1M0L4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+LRQSEREAYECIQEFLEQGQLLEMDKLKFLSAVDTLSGAVHAQANGNMDDFFPKTVLAEK
+ITKLILEESTEALVSTVRQQAMLCVVALRCLGPQGRGWGRPGERSTIQALDDMLQALMME
+DLEPSMVFLQSFLEVVLPCLVQSDKVHEQTRILGAISRILRFICNFPELAHTAEFSMTGK
+LMGTLGLLCMSPNLEVGMAASEALHYLFKVLALQRSDPAPSSQFFRKYLTPEERADVMLV
+SLEALTKPSRHDARAASRVLRTILKSSVPDIGKVPEIIKHVYHHMPSATDATAQETIRKV
+LHVLAQTYTDEVVLTLFEMDEQSQRGARKPWEILASFPKGYEVIMEHLLQRLTPQQRSRP
+QEPGCRAQISPLIATRALHELLLEPSRRMEVQAFFPHLFLALLFRISSLLGVGAAQPPVS
+QGMDPVSCSVEALKTLMRSAGYSDHVSYIQKLQGWELLTSLERHHEGVALLGRAMVVRSC
+WHTRPVFRLTVRVLQDPDLENHMTALVFFTELLQCPDVAAAADDEMVRTLANWFQREEPA
+VVKLLLRAVGILSRHESTVSFPQGPRVANLPGLLEPGVLTRDEELRALQPYVLSCCYSVD
+GSIVAETFQVLRDLVDQLPWQHSAAFLIQLAFTLAPFLEEESEHLRLTAFEIYGALLAKV
+SRRVFVFPLRHQVLNLLILLVLHLEDANGRIEGYRNSQRTVWPSFWLISLSPVSARMPSG
+SWPGLHPDSSGWASLSLQIARPTLCHLATLLGWSKLRATFAEKDIWTILSALLQQEVGRA
+LWFLKQSIQLFRSPQVPIRRAAVWFAGQIIQTLGAEEAREMDEVHAALRHMRADPDPTVS
+CLATQTSYILEAKEKTWVTSSTSCFCSRRLRKAYC
+>tr|A0A3Q1M7U0|A0A3Q1M7U0_BOVIN NADPH--cytochrome P450 reductase OS=Bos taurus OX=9913 GN=POR PE=3 SV=1
+MELSKGQSLKTCGACWFGASRACRGKGSCAPLCPCGAEAGWCGEPVAPPQGTGGPVLVPA
+CAQVHLGLSRHHAGRMLARFWSRGSACCCIPWGVQPRPKPSGGSPAGPRRPLLTSVVLCL
+DRFLMNMADSNMDAGTTTSEMVAEEVSLFSTTDVILFSLIVGVMTYWFLFRKKKEEVPEF
+TKIQTTTSSVKDRSFVEKMKKTGRNIIVFYGSQTGTAEEFANRLSKDAHRYGMRGMAADP
+EEYDLADLSSLPEIEKALAIFCMATYGEGDPTDNAQDFYDWLQETDVDLSGVKYAVFALG
+NKTYEHFNAMGKYVDKRLEQLGAQRIFDLGLGDDDGNLEEDFITWREQFWPAVCEHFGVE
+ATGEESSIRQYELMVHTDMDMAKVYTGEMGRLKSYENQKPPFDAKNPFLAVVTTNRKLNQ
+GTERHLMHLELDISDSKIRYESGDHVAVYPANDSALVNQLGEILGADLDIIMSLNNLDEE
+SNKKHPFPCPTSYRTALTYYLDITNPPRTNVLYELAQYASEPTEHEQLRKMASSSGEGKE
+LYLRWVLEARRHILAILQDYPSLRPPIDHLCELLPRLQARYYSIASSSKVHPNSVHICAV
+AVEYETKTGRINKGVATSWLRAKEPAGENGGRALVPMYVRKSQFRLPFKATTPVIMVGPG
+TGVAPFIGFIQERAWLRQQGKEVGETLLYYGCRRSDEDYLYREELAGFHKDGALTQLNVA
+FSREQLQKVYVQHLLKKDKEHLWKLIHEGGAHIYVCGDARNMARDVQNTFYDIVAEQGAM
+EHAQAVDYVKKLMTKGRYSLDVWS
+>tr|A0A3Q1M4S2|A0A3Q1M4S2_BOVIN Epsin 3 OS=Bos taurus OX=9913 GN=EPN3 PE=4 SV=1
+MTTSALRRQVKNIVHNYSEAEIKVREATSNDPWGPPSSLMSEIADLTFNTVAFAEVMGML
+WRRLNDSGKNWRHVYKALTLLDYLLKTGSERVAHQCRENLYTIQTLKDFQYVDRDGKDQG
+VNVREKVKQVMALLKDEERLRQERTHALKTKERMALEGTGISSGQLGLSRARGSPSSYNS
+SSSSPRYTSDLEQARPQTSGEEELQLQLALAMSREEAEKPVPPTSHRDEDLQLQLALHLS
+RQEQEKEVRSWQGDDSPVANGAGAGAHRRWDREPERAEREEEKLKTSQSSSLDLVDIFAS
+ASTLPSTHCSADPWDIPGLRPNTEPSGSSWGPSADPWSPVPSGSIMSQSQPWDLPPVLSS
+SEPWGRTPVLPAGPPTTDFWAQNSPHHKLPNNGAKPWGNLVETFNTPALDGSSTFDPLAK
+PPVSTETKEGLECTQALPSGKPSSPVELDLFGDHTPSVKQNGTKEPDAFDLDVLGEALAQ
+SSRDTPARRTPESFLGPSASSLVNLDSLVKVPQAVKTRNPFLTGLSTPSPTNPFGGGDQS
+RRTLNQMRTGSPALSLTAGGPIGAPFGSMTYSASLPLPLSSVPAGVTLPASVSVFPQARA
+FTPPPSGSLPQPLLPTSGSAGPLNQTPLTDTNPFL
+>tr|A0A3Q1MJD6|A0A3Q1MJD6_BOVIN Targeting protein for Xklp2 OS=Bos taurus OX=9913 GN=TPX2 PE=4 SV=1
+MSQVTTSYSYDAPTDFINFSSLTDEEDTQHIDSWFDEKANLENKFTGKDGTGGLYQGKTP
+LRKANLHRDVTPLRPVDNTYNKQAEKENLVEESIPSNECPSMKVKETTSRNNPVQPQRRS
+LRLSAKKNLEQKEKQHVKMKAKRCGTPVIINEFPPSKKMKVSHNKKNLEEEEEGNACQDI
+SEKNESSPEKAKGRHTAPCIPPVRQKILKSTEEQELEKRMKMQQEVVEMRKRNEEFKKFA
+LAGAGQPVKKSVSQVTKAVDFHFRTDERVKQHSKNQEEYKEVNFTSELRKHPPSPARVTK
+GCTIVMPFNLSQGKKRTFDETASTYVPLAQQVEAFHKRTPTRYHLRNRKDDIMPLPSKSV
+VRICRDPQTPVLQTKHRTRPVTCKSAADLEAEELEKQQQYKFKAQELDPRILEGGPILPK
+KPPVKPPTQPVGFDLEIEKRIQERESKKKLEEEHYEFHSRPCPTKILEDVVGVPEKKELP
+ITVPKSPAFALKNRIRMPTKEDEEEEEPVMIRAQPVPYFGMPFKPQIPVGRTVEVCPFSF
+DSRDKERQLQKEKKIKELQKGEVPKFKAHPLPHFDTINLPEKKVKNTTQVEPFCLETDRR
+GALKAQTWKHQLDEELKQQKEAACFKARPNTVISQEPFVPKREKKSVIEGLSGSLVQEPF
+QLATEKRAKERQELEKRMAEVEALKAQQLEEARQQEEEQQKEELARLRKELVHKANPIRR
+YQGVEVKSSDQPLTVPVSPKFSTRFHC
+>tr|A0A3Q1NAB5|A0A3Q1NAB5_BOVIN Unc-5 netrin receptor C OS=Bos taurus OX=9913 GN=UNC5C PE=4 SV=1
+TTERLHFHFSLSCIGEGMATHSSVFAWRIPGKGEPDDDFFHELPETFPSDPPEPLPHFLI
+EPEEAYIVKNKPVNLYCKASPATQIYFKCNSEWVHQKDHIVDERVDETSGLIVREVSIEI
+SRQQVEELFGPEDYWCQCVAWSSAGTTKSRKAYVRIAYLRKTFEQEPLGKEVSLEQEVLL
+QCRPPEGIPVAEVEWLKNEDIIDPVEDRNFYITIDHNLIIKQARLSDTANYTCVAKNIVA
+KRKSTTATVIVYVNGGWSTWTEWSVCNSRCGRGYQKRTRTCTNPAPLNGGAFCEGQSVQK
+IACTTLCPVDGRWTSWSKWSTCGTECTHWRRRECTAPAPKNGGKDCDGLVLQSKNCTDGL
+CMQTAPDSDDVALYVGIVIAVIVCLAISVVVALFVYRKNHRDFESDIIDSSALNGGFQPV
+NIKAARQDLLAVPPDLTSAAAMYRGPVYALHDVSDKIPMTNSPILDPLPNLKIKVYNTSG
+AVTPQDDLSEFASKLSPQMTQSLLENEALNLKNQSLARQTDPSCTAFGTFNSLGGHLIIP
+NSGVSLLIPAGAIPQGRVYEMYVTVHRKENMRPPMEDSQTLLTPVVSCGPPGALLTRPVI
+LTMHHCADPSTEDWKIQLKNQAAQGQWEDVVVVGEENFTTPCYIQLDAEACHLLSENLGT
+YALVGQSTTKAAAKRLKLAIFGPLCCSSLEYSLRVYCLDDTQDALKEVLQLERQMGGQLL
+EEPKALHFKGSTHNLRLSIHDIAHSLWKSKLLAKYQEIPFYHVWSGSQRNLHCTFTLERF
+SLNTVELVCKLCVRQVEGEGQIFQLNCTVSEEPTGMDLPLLDPASTITTMTGPSAFSIPP
+PIRQKLCSSLDAPQTRGHDWRMLAHKLNLDRYLNYFATKSSPTGVILDLWEAQNFPDGNL
+SVLAAVLEEMGRHETVVSLAEGQY
+>tr|A0A3Q1MFV3|A0A3Q1MFV3_BOVIN ATP-synt_C domain-containing protein OS=Bos taurus OX=9913 PE=3 SV=1
+MYTCAKFVSTPSLIRRTSTLLSRSLSAVVVRRPETLTDESHSSLAVVPRPLTTSLTPSRS
+FQTSAISRDIDTAAKFIGAGAATVGVAGSGAGIGTVFGSLIIGYARNPSLKQQLFSYAIL
+GFALSEAMGLFCLMVAFLILFAV
+>tr|A0A3Q1M2M2|A0A3Q1M2M2_BOVIN Chromosome 11 C2orf68 homolog OS=Bos taurus OX=9913 GN=C11H2orf68 PE=4 SV=1
+DGSGAKSRVGTLLQAWRATGHEPRLRAPHPTEPARPVPPRPAPPAPAPAWPDPAVPDSRS
+APRRDDYDKKVKQAAKEKARRRHTPAPTRPRKPDLQVYLPRHRDGSTHPSNPDCEESGES
+SSSGSSEPEPPGHQLFCLEYEADSGDITSVIVYQDDDPGRVSEEVSAHTPLEPLMREALK
+LRIQEEIAKRQSRH
+>tr|E1BDS3|E1BDS3_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=OR52K1 PE=3 SV=2
+MAASNITSTHPAAFLLVGIPGLEHLHVWISIPFCFAYTLALLGNCTLLFIIRGDAALHEP
+MYLFLAMLAIIDLVLSSTTLPKMLAIFWFRDREINFYACLVQMFFLHSFSIMESAVLLAM
+AFDRYVAICKPLHYSTILTGPLITKIGLAAVTRAVTLMTPLPFLLRRFHYCRGPVIAHCY
+CEHMAVVRLACGDTRFNNIYGLAVAMFIVVLDLLFVILSYIFILQAVLQLASQEARYKAF
+GTCVSHIGAILSTYTPVVISSVMHRVARRAAPHVHILLAIFYLLFPPMVNPIIYGVKTKQ
+IRDHVLSLFWRKNV
+>tr|E1BP37|E1BP37_BOVIN Fibroblast growth factor OS=Bos taurus OX=9913 GN=FGF20 PE=3 SV=1
+MAPLAEVGGFLGGLEGLGQQVGSHFLLPPAGERPPLLGERRSAAERGARGGPGAAELAHL
+HGFLRRRQLYCRTGFHLQILPDGSVQGTRQDHSLFGILEFISVAVGLVSIRGVDSGLYLG
+MNDKGELYGSEKLTSECIFREQFEENWYNTYSSNIYKHGDTGRRYFVALNKDGTPRDGAR
+SKRHQKFTHFLPRPVDPERVPELYKDLLMYS
+>tr|A0A3Q1LUC8|A0A3Q1LUC8_BOVIN Glutamate-cysteine ligase catalytic subunit OS=Bos taurus OX=9913 GN=GCLC PE=1 SV=1
+MGLLSQGSPLSWEETQRHADHVRRHGILQFLHIYHAVKDRHKDVLKWGDEVEYMLVSFDH
+EKKKAQLVLSGEELLETLQEKGERTNPNHPTLWRPEYGSYMIEGTPGQPYGGTMSEFNTV
+EDNMRKRRKEATSLLGENQALCTITSFPRLGCPGFTLPEFKPNPVEGGASKSLFFPDEAI
+NKHPRFSTLTRNIRHRRGEKVVINVPIFKDKNTPAPFIETFPEDEEATKASKPDHIYMDA
+MGFGMGNCCLQVTFQACSISEARYLYDQLATICPIVPLKNNHYRISKSRYDSIDSYLSEC
+GEKYNDIDLTKDKEIYEQLLQEGLDHLLAQHVAHLFIRDPLTLFEEKIHLDDANESDHFE
+NIQSTNWQTMRFKPPPPNSDIGWRVEFRPMEVQLTDFENSAYVVFVVLLTRVILSYKLDF
+LIPLSKVDENMKVAQKRDAVLQELFYFRKDICKAGGNAVVDGCGKAAGRAEPAAEEYALM
+TIDTIINGKEGVFPGLIPVLNSYLESMEVDVDTRCSILNYLKLIKKRASGELMTVAKWMR
+EFIAKHPDYKQDSVITDQMNYSLLLKCNQIANESCECPELLGPAFRKVRYSGSKTDSST
+>tr|A0A3Q1LUU3|A0A3Q1LUU3_BOVIN Signal transducing adaptor molecule OS=Bos taurus OX=9913 GN=STAM PE=4 SV=1
+MPLFATNPFDQDVEKATSEMNTAEDWGLILDICDKVGQSRTGPKDCLRSIMRRVNHKDPH
+VAMQALTLLGACVSNCGKIFHLEVCSRDFASEVSNVLNKGHPKVCEKLKALMVEWTDEFK
+NDPQLSLISAMIKNLKEQGVTFPAIGSQAAEQAKASPALVAKDPGTAANKKEEEDLAKAI
+ELSLKEQRQQSTTLSTLYPSTSNLLTNHQHEGRKVRAIYDFEAAEDNELTFKAGEIITVL
+DDSDPNWWKGETHQGMGLFPSNFVTADLTVEPEMIKTEKKTVQFSDEVQVETIEPEPEPA
+YIDEDKMDQLLQMLQSTDPSDDQPDLPELLHLEAMCHQMGPLIDEKLEDIDRKHSELSEL
+NVKVMEALSLYTKLMNEDPMYSMYAKLQNQQYYIQSSGVSGSQVYPPQSGAYLVAGSAQM
+SHLQSYSLPPEQLSSLSQGAVPPSANPALPTQQAQASYPSTMVSSVPGSTYPSQASVYSP
+PPAATAAPDVTIYQNTGTSLSQVSHHK
+>tr|A0A3Q1NN01|A0A3Q1NN01_BOVIN Unc-13 homolog A OS=Bos taurus OX=9913 GN=UNC13A PE=4 SV=1
+GDGCGCGTCAALGRGNHTDSIYLPGGGLIIIDSMPDIRKRKPIPLVSDLSLVQSRKAGIT
+SALASSTLNNEELKNHVYKKTLQALIYPISCTTPHNFEVWTATTPTYCYECEGLLWGIAR
+QGMRCTECGVKCHEKCQDLLNADCLQRAAEKSSKHGAEDRTQNIIMVLKDRMKIRERNKP
+EIFELIQEIFAVTKTAHTQQMKAVKQSVLDGTSKWSAKISITVVCAQGLQAKDKTGSSDP
+YVTVQVGKTKKRTKTIYGNLNPVWEENFHFECHNSSDRIKVRVWDEDDDIKSRVKQRFKR
+ESDDFLGQTIIEVRTLSGEMDVWYNLDKRTDKSAVSGAIRLHISVEIKGEEKVAPYHVQY
+TCLHENLFHFVTDVQNNGVVKIPDAKGDDAWKVYYDETAQEIVDEFAMRYGVESIYQAMT
+HFACLSSKYMCPGVPAVMSTLLANINAYYAHTTASTNVSASDRFAASNFGKERFVKLLDQ
+LHNSLRIDLSMYRNNFPASSPERLQDLKSTVDLLTSITFFRMKVQELQSPPRASQVVKDC
+VKACLNSTYEYIFNNCHELYSREYQTDPKGEVPPEEQGPSIKNLDFWSKLITLIVSIIEE
+DKNSYTPCLNQFPQELNVGKISAEVMWNLFAQDMKYAMEEHDKHRLCKSADYMNLHFKVK
+WLYNEYVAELPAFKDRVPEYPAWFEPFVIQWLDENEEVSRDFLHGALERDKKDGFQQTSE
+HALFSCSVVDVFSQLNQSFEIIKKLECPDPQIVGHYMRRFAKTISNVLLQYADIISKDFA
+SYCSKEKEKPCILMNNTQQLRVQLEKMFEAMGGKELDAEASDILKELQVKLNNVLDELSR
+VFATSFQPHIEDCVKQMGDILSQVKGTGNVPASACSSVAQDADNVLQPIMDLLDSNLTLF
+AKICEKTVLKRVLKELWKLVMNTMEKTIVLPPLTDQTGTQMIFNAAKELGQLSKLKDHMV
+REEAKSLTPKQCAVVELALDTIKQYFHAGGVGLKKTFLEKSPDLQSLRYALSLYTQATDL
+LIKTFVQTQSAQVHGGKGTRFTLSEDIYPEKGSGVEDPVGEVSVHVELFTHPGTGEHKVT
+VKVVAANDLKWQTSGIFRPFIEVNIIGPQLSDKKRKFATKSKNNSWAPKYNESFHTLSAD
+TGPECYELQVCVKDYCFAREDRTVGLAVLQLRELVQRGSAACWLPLGRRIHMDDTGLTVL
+RILSQRSNDEVAKEFVKLKSDTRSAEEGGAAPAP
+>tr|A0A3Q1N9Y5|A0A3Q1N9Y5_BOVIN Sulfhydryl oxidase OS=Bos taurus OX=9913 GN=QSOX1 PE=4 SV=1
+MAGGVCGLHLPTEAGGAAAEPLSSKSSENLCAAVASARRPRMGWCGRGSGPPPSRLLMLL
+SLLLAVRGAGAAPRSALYSSSDPLTLLRADTVRSTVLGSSSAWAVEFFASWCGHCIAFAP
+TWKALANDVKDWRPALNLAALDCAEETNSAVCRDFNIPGFPTVRFFKAFSKTGSGTTLSV
+AGADVQTLRERLIDALESHSDTWPPACPPLEPARLEEITGFFARNNEEYLALIFEKEGSY
+LGREVTLDLSQHQGIAVRRVLNTERDVVNRFGVTNFPSCYLLSRNGSFSRVPALTESRSF
+YTTYLRKFSGSTRGAVQTTAAPATTSAVAPTVWKVADRSKIYMADLESALHYILRIEVGK
+FSVLEGQRLVALKKFMAVLAKYFRGRPLVQNFLHSMNDWLKKQQRKKIPYGFFKNALDSR
+KEGTVIAEKVNWVGCQGSEPHFRGFPCSLWILFHFLTVQAAQEGVDHPQERAKAQEVLQA
+IRGYVRFFFGCRECAGHFEQMASGSMHRVGSLNSAVLWFWSSHNKVNARLAGAPSEDPQF
+PKVQWPPRELCSACHNELRGTPVWDLDNILKFLKTHFSPSNIVLDFPSAGPGPWRGAERM
+AVIPKQVELELATGNVTLAPEKAEIPVGSGIKAPGGTIPVAGLGANHPKMQAGLGAATDE
+PDPGAPEHVVELHRDKSKQPEREQRLSRRDTGAVLLAEFLAGRNLPGGPSELGRVGRSSQ
+QLAGIPDREPEAGAGQGQGQWLQMLGGNFSHLDISLCVGLYSLSFMGLLAVYTYFRARIR
+ALKGYASLPTA
+>tr|A0A3Q1M4Q4|A0A3Q1M4Q4_BOVIN S5 DRBM domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+KWDDPGATGGPGGPRGPGMGGRSGFRGGEAEDKEWLPVTKLGRLVKDMKIKSLEEIYLFS
+LPIKESEIIDFFLGASFKDKVLKIMPMQKQPSAGLWTRFKAFIAIGDYNGHVDLGNEGTG
+IVSPLCPRSCC
+>tr|A0A3Q1MQ50|A0A3Q1MQ50_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=SPATA13 PE=4 SV=1
+MVARGEMARFWSLESLHTVSSDGGAEPSALVDDNGSEEDFSYEDLCRANPRYLQPGGEQL
+AINELIGDGSVVCAEALWDHVTMDEQELGFKAGDVIQVLEASHKDWWWGRSADREAWFPA
+SFVRLRVNQEELSEGSSGSPGEEQEEDTGRARHKHPESKQQMRANVVQEIMDTERVYIKH
+LRDICEGYIRQCRKHTAMFSVAQLATIFGNIEDIYRFQRKFLKDLEKQYNKEEPHLSEIG
+SCFLQHQEGFAIYSEYCNNHPGACVELAGLMQQRRYRHFFEACRLLQQMIDIALDGFLLT
+PVQKICKYPLQLAELLKYTAPEHSDYDNIKAAYEAMKNVACLINERKRKLESIDKIARWQ
+VSIVGWEGQDLLERSSELIHSGELTSVTRQGKSQQRTFFLFDHQLVFCKKDLLRRDVLYY
+RGRADTDAVELVDLEDGRDGARGPGLRNAFRLASRTGDEVHLFCARKPEDKARWLQAFRD
+ERRRVQEDRALGMEISENQKKLAMLNAQKAAHGKSKGYGGCPAAPPLQSLRPIHQRHITV
+PSSLPQQQVFALAEPKRKPSLFWHTFNKLAPFRK
+>tr|A0A3Q1MK88|A0A3Q1MK88_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC521224 PE=4 SV=1
+MAKRSSLSIRIVEGKNLPAKDMGLWAVLRVVSCRTATVWKTLCPFWGEEYEVHLPPTFHS
+VAFYVMDEDALSRDDVIGKVCLTRDTLAAHPKGFSGWAHLTEVDPDEEVQGEIHLRLEVV
+PGTRACRLRCSVLEARDLAPKDRNGASDPFVRVRYNGRTQETSIVKKSCYPRWNETFEFE
+LEEGAAEVLCVEAWDWDLVSRNDFLGKVVVNVQRLWAAQREEGWFRLQPDQSKSRREEGH
+LGSLQLEVRLRDETVLPSSCYQPLVQLLCREVKPGTQGPGQLISLIEETTSTECRQEVAT
+TLLKLFLGQGLAKDFLDLLFQLELSRTSEANTLFRSNSLASKSMESFLKVAGMRYLHGVL
+GPIIDRVFEEKKYVELDPSKVEVKDVGCSGLHRPQTEAEVLEQSVQTLCAHLGALLSALS
+RSVRACPAVVRATFRQLFRRVRERFPSAQDEAVQNVGNMDTPASRAKEAWMEPLQPTVRQ
+GVAQLKDFITKLVDIQEKDELDLQRALSLQAPPVKEGPLFIHRTKGKGPLTSSSFKKLHF
+SLTTEALSFAKTPSSKKSTLIKLANIRAAEKVEEKSFSSSHVMQVIYTDDAGKLQTAYLQ
+CKSVNELNQWLSALRKVCINNTGLLGSYHPGIFRGDKWSCCHQRDKTDLGCNKTRSWVTL
+QEWNDPLDHDLEAQLIYRHLLGVEAALRPQRCAGGRGAPRDPLAELLQVLGDLQEAHRSS
+PAGSPPS
+>tr|A0A3Q1LRF3|A0A3Q1LRF3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+ARSLDKLYNFADCSGLHLIFALNALRRNPNNSWNSSSALSLLKYSASKKYNISWELGNEP
+NNYRTMHGRAVNGSQLGKDYIQLKSLLQPIRIYSRASLYGPNIGRLFSNTLSDQIRKIQK
+VVNTYTPGKKIWLEGVVTTSAGGTNNLSDSYAAGFLWLNTLGMLANQGIDVVIRHSFFDH
+GYNHLVDQNFNPLPDYWLSLLYKRLIGPKVLAVHVAGLQRKPRPGRVIRDKLRIYAHCTN
+HHNHNYVRGSITLFIINLHRSRKKIKLAGTLRDKLVHQYLLQPYGQEGLKSKSVQLNGQP
+LVMVDDGTLPELKPRPLRAGRTLVMPPVTMGFYVVKNVNALACRYR
+>tr|A0A3Q1M918|A0A3Q1M918_BOVIN Growth hormone releasing hormone receptor OS=Bos taurus OX=9913 GN=GHRHR PE=3 SV=1
+MNQALPLSSDHLTSQPRRSTHWLGSCHSSSAAQVLGHVHPECDVITQLREDEQACLQAAE
+GMPNSTLGCPRIWDGLLCWPTAGSGEWVSLPCPAFFSHFSSEPGAVKRDCTIAGWSEPFP
+PYPEACPVPLELLTEEKSYFSAVRIIYTMGHSVSAAALLVAIIILVALRRLHCPRNYIHT
+QLFITFILKAAAVFLKDATLFHQENTDHCSFSTVLCKVSVATSHFATMTNFSWLLAEAVY
+LTCLLVSTLPSTRRVFWWLVLAAWGLPLLFTGMWVGCKLAFEDVACWDLDDSSPYWWIIK
+GPIVLSVGVNFGLFLNIIRILLRKLEPTQGSLHTQHQYWRLSKSTLLLIPLFGIHYVIFN
+FLPDSAGLDIRLPLELGLGSFQVTVPVPSCPQAGEPFIGTHSGE
+>tr|A0A3Q1MBB2|A0A3Q1MBB2_BOVIN Inhibitor of nuclear factor kappa-B kinase subunit alpha OS=Bos taurus OX=9913 GN=CHUK PE=4 SV=1
+MTLASREKKDARASAARNRPRNSGGASGRSPSRPMERPPGLRPGAGGPWEMRERLGTGGF
+GNVCLYQHRELDLKIAIKSCRLELSTKNRERWCHEIQIMKKLNHANVVKACDVPEELNFL
+INDVPLLAMEYCSGGDLRKLLNKPENCCGLKESQILSLLSDIGSGIRYLHENKIIHRDLK
+PENIVLQDVGGKIMHKIIDLGYAKDVDQGSLCTSFVGTLQYLAPELFENKPYTATVDYWS
+FGTMVFECIAGYRPFLHHLQPFTWHEKIKKKDPKCIFACEEMTGEVRFSSHLPQPNSLCS
+LIVEPMENWLQLMLNWDPQQRGGPVDLTLKQPRCFVLMDHILNLKIVHILNMTSAKIISF
+LLPPDESLHSLQSRIERETGINTGSQELLSEMGISLDPRKPASQCVLDGVRGCDSYMVYL
+FDKSKTVYEGPFASRSLSDCVNYIVQDSKIQLPIIQLRKVWAEAVHYVSGLKEDYSRLFQ
+GQRAAMLSLLRYNTNLTKMKNTLISASQQLKAKLEFFHKSIQLDLERYSEQMTYGISSEK
+MLKAWKEMEEKAIHYAEVGVIGYLEDQIMSLHTEIMELQKSPYGRRQGDLMESLEQRAID
+LYKQLKHRPSDHSYSDSTEMVKIIVHTVQSQDRVLKELFGHLSKLLGCKQKIIDLLPKVE
+MALSNIKEADSTVMFMQGKRQKEIWHLLKIACTQSSARSLVGSSLEGVTPQLPPTSAERE
+HPLSCVVTPQDGMRCAVSRASGSLLACVLSLFLQLMETSTVPAFPGSSAHN
+>tr|A0A3Q1LW62|A0A3Q1LW62_BOVIN ELAV-like protein OS=Bos taurus OX=9913 GN=ELAVL2 PE=3 SV=1
+METQLSNGPTCNNTANGPTTINNNCSSPVDSGNTEDSKTNLIVNYLPQNMTQEELKSLFG
+SIGEIESCKLVRDKITGQSLGYGFVNYIDPKDAEKAINTLNGLRLQTKTIKVSYARPSSA
+SIRDANLYVSGLPKTMTQKELEQLFSQYGRIITSRILVDQVTGISRGVGFIRFDKRIEAE
+EAIKGLNGQKPPGATEPITVKFANNPSQKTNQAILSQLYQSPNRRYPGPLAQQAQRFRLD
+NLLNMAYGVKSRFSPMTIDGMTSLAGINIPGHPGTGWCIFVYNLAPDADESILWQMFGPF
+GAVTNVKVIRDFNTNKCKGFGFVTMTNYDEAAMAIASLNGYRLGDRVLQVSFKTNKTHKA
+>tr|E1BGW1|E1BGW1_BOVIN Mucin-15 OS=Bos taurus OX=9913 GN=MUC15 PE=4 SV=3
+MLTSAKILLISILSSLLLFGSHGEEGQKTNTTESTAEDLKTMENQSVPLESKANLTSDKE
+NRETSNPKASNFSFEDPSNKTHETGFYSNLSTDNSSRSPSLMPTLSPRSPSTHSFVSKLP
+WNSSIADNSLLPASAPPNTTVPVSSENFTLSSINDTMKAPDNSSITVSNLPSGPNTTSVT
+PMVTEGWPTTTRESVEGFTVYQETTLHPTLKFTNNSKIFPNTSDPQEVLRLDNAPEPYDM
+SFGNSSYYNPTANDSSTSAGGENAHDSIPMDDIPPLRTSV
+>tr|A0A3Q1LL75|A0A3Q1LL75_BOVIN G_PROTEIN_RECEP_F1_2 domain-containing protein OS=Bos taurus OX=9913 GN=LOC100299628 PE=3 SV=1
+VKMRNHTPVTEFLLMGIPHTQGLEHALFVFFLTFYLLTLVGNLLILLATLTSSNLHTPMY
+FFLGNLSVFDIFFPSVSSPKMMLYLLGQSRTISYQGCACQLFFYHFLGCTECFLYTVMAY
+DRFAAICHPLRYTAIMNPRVCAILTLSTWMGSSVHASVLTFLVFKLPYCGPKEVGNFFCD
+IPVVLSLACADTSLAHRVSVTNVGVVALLCFLLVLTSYTRIIISILRISSSEGRHRAFST
+CSAHLTSVLLFYGPVVLIYLRPASSSWLDSMVQVLNNIVNPSLNPLIYSLRNKDVKLALR
+KALIQGVRTSGV
+>tr|A0A3Q1MBF3|A0A3Q1MBF3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC100140261 PE=4 SV=1
+MSMKRLSLLLLLQLTCYFSGGSCGKVLVWPVEFSPWLNIKTILEELVTRGHEVTVLISSA
+STIPNSSKPSTMKFETFPVSLTKSDYENFMERILEELTYVAKDSFWSYLSTVKNVFWEFF
+DIALHMCEDAVSNKKLMTKLQESRFDILIADAVGPCGELLAELLKIPFVYSHYTSPGHII
+EKKSGRLPFPPSYVPVMFSELSDRMTFLERIKNMLYALYFDLFFMTYKEKKWNQFYSEVL
+GRPTTLSETMGKAEMWLIRTYWDFSFPRPRLPNVEFVGGLHCKPAKPLPKEMEEFVQSSG
+ENGIVVFSLGSMVSNVSEDRAKVIASAFAQIPQKVLWRYDGKKPDTLGPNTRLYKWLPQN
+DLLGETCQNK
+>tr|G3MXI1|G3MXI1_BOVIN Olfactory receptor OS=Bos taurus OX=9913 PE=3 SV=2
+MASGQNHSTVPRFILLGLTDRADQKQLLFATFLLIYLVTLVGNLGLIDVIRASSTLHTPM
+YFLLSVLSFLDVCNSSLFTPRLLISFLTVDQSISFEGCVVQMALMTLHGSGECVLLSIMA
+YDRFVAICHPLLYHSIMSKRLCVQLVGLTYAVGGFISAVQTGNVFSLPYCGPNVIDHYFC
+DIPPVLHLACSDTTVANVILLIFSALTTVPTVSVILVSCAYILVTICGMRSLEAQRKAFS
+TCASHLTALCLFYGSVFLVYVQPNPESVSAYNKILSVFYTIVIPMLNPPVYSLRNKDVKA
+AVLLRVLNLSRKQIC
+>tr|A0A3Q1LRF9|A0A3Q1LRF9_BOVIN VPS53, GARP complex subunit OS=Bos taurus OX=9913 GN=VPS53 PE=4 SV=1
+MMEEEELEFVEELEAVLQLTPDVQLAIEQVFPSQDPLDRADFNAVEYINTLFPTEQSLAN
+IDEVVNKIRLKIRRLDDNIRTVVRGQTNVGQDGRQVKEITRDIKQLDHAKRHLTTSITTL
+NHLHMLAGGVDSLEAMTRRRQYGEVANLLQGVMNVLEHFHKYMGIPQIRQLSERVKAAQT
+ELGQQILADFEEAFPSQGTKRPGGPSNVLRDACQVANILDPRIKQEIIKKFIKQHLSEYL
+VLFQENQDVAWLDKIDRRYAWIKRQLVDYEEKYGRMFPREWYMAERIAVEFCHITRTELA
+KIMRTRAKEIEVKLLLFAIQRTTNFEGFLAKRFSGCTLTDGTLKKLESPPASTNPFLEDE
+PAAEMEELAMEKGDLEQPKKPKAPDNPFHGIVSKCFEPHLYVYIESQDKNLGELIDRFVA
+DFKAQGPPKPNTDEGGAVLPSCADLFVYYKKCMVQCSQLSTGEPMIALTTIFQKYLREYA
+WKILSGNLPKTTSSSGGLTISSLLKEKEGSEAAKFTLEELCLICSILSTAEYCLATTQQL
+EEKLKEKVDVSLTERINLTGEMDTFSTVISSSIQLLVQDLDAACDPALTAMSKMQWQNVE
+HVGDQSPYVTSVILHIKQNVPIIRDNLASTRKYFTQFCIKFANSFIPKFITHLFKCKPIS
+MVGAEQLLLDTHSLKMVLLDLPSIGSQVVRKAPASYTKIVVKGMTRAEMILKVVMAPHEP
+LVVFVDNYIKLLTDCNTETFQKILDMKGLKRSEQSSMLELFRQRLPAPPSGPEGSSSLSL
+LAPTPEQESSRIRKLEKLIKKRL
+>tr|G3MYG6|G3MYG6_BOVIN Solute carrier family 39 member 6 OS=Bos taurus OX=9913 GN=SLC39A6 PE=4 SV=1
+MGIQVPLNATEFNYLCPAIINQIDTRSCLIHTTSEKKAEIPPKTYSLQIAWVGGFIAISV
+ISFLSLLGVILVPLMNRVFFKFLLSFLVALAVGTLSGDAFLHLLPHSHASHHHSHSHEEP
+AMEMKKGPLFSHLSSQNIQENTYFDSTWKGLTALGGLYFMFLVEHVLTLIKQFKDKKKKN
+QKKPENDDDVEIKKQLSKYESQLSTNEEKVDADDRPEGYLRADSQEPSHFDSQQPAILEE
+EEVMIAHAHPQEVYNEYVPRGCKNKCHSHFHDTLGQSDDLIHHHHDYHHILHHHHHQNHH
+PHSHSQRYSREELKDAGIATLAWMVIMGDGLHNFSDGLAIGAAFTEGLSSGLSTSVAVFC
+HELPHELGDFAVLLKAGMTVKQAVLYNALSAMLAYLGMATGIFIGHYAENVSMWIFALTA
+GLFMYVALVDMVSF
+>tr|A0A3Q1MTL2|A0A3Q1MTL2_BOVIN EMI domain containing 1 OS=Bos taurus OX=9913 GN=EMID1 PE=4 SV=1
+MGGPRAWALLCLGLLLPGGSAAWSVGGAPFSARRNWCSYVVTRTVSCHVQNGTYLQRVLQ
+NCPWPMSCPGNSYRTVVRPTYKVMYKMVTAREWRCCPGHSGPSCEEVTGSPGFVEPGWSG
+SATRRMALRPTGFSGCLNCSKVSELTERLKVLEAKVAVLTVTERAVPPTPGAPEDPAPLW
+GSPAVQGSPGDGGLRGLPGTRENQRPPLLPRDDRVGARGLPGPAGPKGDPGSRGPMGMRG
+PPGLQGPPGSPGQAGAVGIPGERGPPGPPGPPGPPGPPAPVGPPYARISQHGDPILSNTF
+TETSSHWPQGPAGPPGLPGPMGPPGLPGPMGIPGSPGHMGPPGPIGPKGISGHPGEKGER
+GLRGEPGPQGSMGQRGEPGPKGDPGEKSHWVSSSPALTSHCPPALDTQEVIWGWGKPIQI
+PFATWSPGAEPCQFSALAPSQHPVPPQWAGPAL
+>tr|F1N7Q7|F1N7Q7_BOVIN Collagen alpha-2(IV) chain OS=Bos taurus OX=9913 GN=COL4A2 PE=4 SV=3
+PWGPCRKVSAPLKRWLSGSHCLIGVKKLDVPCGGRDCSGGCQCYPEKGGRGQPGPVGPQG
+YTGPPGLQGFPGLQGRKGDKGQRGAPGITGPKGDVVRMGPWALLCGGGGGSWPGPQGPKG
+QKGEPYALSSEDRDKYRVSLQGRGMTEPHGPPGRPGPVGQMGPVGAPGRPVSSRLTCSPS
+WVPGQRSFHRGVSVSVPGVRGEPGSPGAPGEPGDRGVPGDPGLPGRPGTTIGDEDEKRGL
+PGEMGPKGFTGEQGFPALYPGPPGTDGQPGLRGPPGLPGPPGPDGFLFGLKGEKGSGGFP
+GSSGFPGARGQKGWKGDAGDCKCADQFIRGPPGLPGPKGFAGANGQPGSKGSQGDPGQHG
+VPGFAGFKGAPGNVGPPGPKGMKGDSRTITTKGERGQPGVPGAPGLKGSDGIPGPPGLDG
+FHGLPGPPGDGIKGPRGDTGQPGAPGTKGLPGERGPPGLGLPGPKGERGFPGDAGLPGPP
+GFPGPPGLPGSPGQIDCDSGVKRPIGADGQETIQPGCVGGPKGSPGQPGLPGPPGAKGLR
+GIPGFSGADGAPGLKGLPGDPGREGFPGPPGFMGPRGSKGAVGPPGLDGLPGASGLPGPV
+GPPGDRGLPGEVLGAQPGPRGDSGLPGRPGPKGPPGERGPPGFRGSQGMPGMPGQKGQPG
+SPGLSGQPGLPGPPGQHGFPGAPGREGPLGPPGAPGFQGLPGDRGEPGDTGVPGPVGMKG
+GSGDRGDPGQQGERGHPGHPGFKGVSGMPGAPGLKGARGSPGMDGFEGMLGLKGRPGLPG
+IKGEAGFFGIPGLKGLAGEPGVKGSRGDPGPPGPPPLIEPGMKDIKGEKGDEGPMGLKGY
+LGLKGLPGMPGIPGLSGIPGLPGRPGQIKGVKGDTGIPGVPGSPGFPGVPGSPGIMGFQG
+FTGSRGDKGVPGRAGLFGEVGPTGDFGDIGDTIDLPGSPGLKGERGTTGIPGQKGFFGER
+GTEGDIGFPGITGLAGVQGPPGFKGQKGFPGLTGLQGPQGDPGRAGAPGIKGDSGWPGNP
+GLPGLRGISGLHGLPGSKGFPGSPGADVHGDPGFPGPAGDKGDPGEPNTLPGPTGAPGQK
+GERGAPGERGPIGSPGLQGFPGITPLSNISGSPGDVGAPGIFGLEGYRGPPGPPGPAALP
+GSKGDEGSPGTPGSPGTKGWIGDPGPQGRPGVFGLPGEKGPKGEPGFMGNIGPTGSPGDR
+GPKGPKGDRGLPGAPGAVGTPGITGIPQRIAIERGPVGPQGRREPGFRGAQGKAGPQGRG
+GVSAIPGFRGDQGPVGLQGPVGFEGEPGRPGSPGLPGMPGRSISIGYLLVKHSQTDKEPM
+CPVGMNKLWSGYSLLYFEGQEKAHNQDLGLAGSCLARFSTMPFLYCNPGDVCYYASRNDK
+SYWLSTTAPLPMMPVAEEDIRPYISRCSVCEAPAVAIAVHSQDVSIPHCPAGWRSLWIGY
+SFLMHTAAGDEGGGQSLVSPGSCLEDFRATPFIECNGARGTCHYYANKYSFWLTTIPEQS
+FQGTPSADTLKAGLIRTHISRCQVCMKNL
+>tr|A0A3Q1MKA8|A0A3Q1MKA8_BOVIN WD repeat domain 78 OS=Bos taurus OX=9913 GN=WDR78 PE=4 SV=1
+HPSSPAAALDTNTHTYTPHTLLLNLTWPRKSISFVGPGKGALMKGGYAGANQSRMAMSKN
+MMILPEAKQMEKMTISSAKTVTQVTDIHGVDVTPKPLYRPDPYSGTGKPNKLLTSQDGSH
+TSDFIASYSLYQNTINPSMLGQFTRSVLGSSTVSKSSVSTSESMAEDLEEPSFKRERLSS
+FTDLRVMRTAPGKIITKEDLEKTVEIILTETETMNFLNLPTVMFSVESEEAEKVSQRNKN
+YETLCRNRLGNDLYVERMMQTINGAPKNKDVQCDKILKEDKGIMSTAWDLYDSYNAMELS
+SLPPKRSMIDSSISEGSSLMDIENVILAKVRDEEEDNSDAVFKSDKFQQDLFFMERVIME
+NIFQPKLAAYRQLPILKEPEPEEPGDFLVDGKLEVVEEEAKKEEEEDLEISSEQSTIPAN
+LERLWSFSCDLTKGLNVSSLAWNKANPDLLAVGYGHFGFKEQKRGLACCWSIKNPMWPER
+TYQSPYGVTAVDFSIGSPNLLAVGYHNGTIAIYNVQNNSNLPVLDSSESPQKHLGPVWQL
+QWIEQDRGTTGDDKREILVSISADGRISKWVIRKGLDCHDLMRLRRTTASTSKKGGEKEK
+KGEALISRQAPGMCFAFHPKDTNIYLAGTEEGHIHKCSCSYNEQYLDTYRGHKGPVYKIA
+WNPFCHDVFLSCSADWGVIIWQHENLKPFLSFYPTTYVVYDIAWSPKSSYIFAAANESRV
+EIWDLHISTLDPLIVNVANPGIKFTTVLFAKQTDCLLVGDSDGQVAVYELKNMPTTLDSN
+RVRLESQNLMSFYDFIQL
+>tr|A0A3Q1MS86|A0A3Q1MS86_BOVIN RING-type domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MAAPASDRGGGGCGDRNPTSSPGSSTGAGGTAARAGDGGDAGARSAAVTVPGLPPLEDYE
+CKICYNYFDADRRAPKLLACLHTFCQECLSQLQLRAAAAATAVPERTPRPPPWHGPPGAI
+ACPVCRHRTPLPDSRVHGLPNNTKLAEAFPLALRAAHDPLPQDRLPPLPARRPAPTSAPS
+TAAAPAPPPPQRSSEDAAGGPSARAGLRAPGNYESCQNCKRAALTAGCVCVVFSFLSMVV
+LLFTGLIFVNHYGGGGGGGGGAPPGGGAPPGAAPAAGSPSPSPVGPICLSVASILALFSV
+VITWIICWLKYRPEGAASGSAGGGGGPRARAVAAASGARRSGT
+>tr|A0A3Q1LLK1|A0A3Q1LLK1_BOVIN Rhomboid domain containing 3 OS=Bos taurus OX=9913 GN=RHBDD3 PE=4 SV=1
+MTRKNHPRPYLSILSFRFPGEHTVPRPPLSTFSQVMHARGPPGLPTPALPLASSVLMLLM
+STLWLLGAGPSLVLAPELLLDPRQAHRLLTHALGHTALPGLLLSLLLLPTLGWQQERHLG
+TLRFLHASSLLALASGLLAVLLAGLGVSGAAGGCGYMPVHLAMLAGQGSRPRRPRGALPP
+WLLPWLLLALTPLLSSEPPFLQLFCGLLAGLAYAAGAFRWLELSEQRLQALQEGVLCKAL
+AGCWPLRLLPAPGGPAELPVAHPAGVRPPTPGPPRMASPSLWPLSEGSAPILPGLKPVQP
+LLEGSSEAGLAWSRPGFPPGTPLWAALDQQMLQEGIEASLLEGPAQGPESPLWLPKSSVS
+SLRLQQLERMGFPTEQAVVALAATGRVEGAVALLVGGEVGTEALVTQGREGPTHPEGPGP
+P
+>tr|A0A3Q1NL86|A0A3Q1NL86_BOVIN Syntaxin 3 OS=Bos taurus OX=9913 GN=STX3 PE=4 SV=1
+RLWSVCRPPPTHASARDSWTLTGCIAGAKTLLCGPGVPPPSCPLPPQLVFIEEAPEVPRA
+QREPRNCRDLGGISVELREGGSGTFALLRLGPGAYHWAHFQNIPHTWEKAMAPHSSILAW
+RIPDSFKSLTHKVISQKQGGGRGGYSKELTPVQAAQIVLDLGGHFSSQEKKTILKNTTMS
+IHFPSAPVSSTSLELIQEGKKARTRRKERPWTF
+>tr|A0A3Q1LYI7|A0A3Q1LYI7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=CBFA2T3 PE=4 SV=1
+MSRASPVLDSGPSASTSCSTPRGPRKGGPADRKEKATAMPDSPAEVKTQPRATPPSMPPP
+PPAASQGATRHPSFTPHTNREDGPAMSLPHGRFHGCLKWSMVCLLMNGSSHSPTAISGAP
+STPNGFSNGPATSCTAALSTQQLPPACGARQLSKLKRFLATLQQFGSDISPEIGERVRTL
+VLGLVNSTLTIEEFHSKLQEATNFPLRPFVIPFLKANLPLLQRELLHCARLAKQSPAQYL
+AQHEQALLDADASSPVDSSELLLEVNDNGKRRTPDRTKENGSDRDPLHPDHLSKRPCTLS
+PAQRYSPSNGLPHPPPPPHYRLEDMAMAHHFRDSYRHLDPRELRERHRQLAMHGSRPEEV
+IDHRLTEREWAEEWKHLNNLLNCIMDMAEKTRRSLTVLRRCQEADREELNHWIRRCSDVA
+EDSKKGPGPTARPLGSSVGTEGAQLDVHREFMPRTLSGYMPEEIWRKAEEAVNEVKRQAM
+SELQKAVSDAERKAHELISTERAKMERALAEARRQASEDALTVVNQQEDSSESCWNCGRK
+ASETCSGCNAARYCGSFCQHKDWEKHHHVCGQSLQGPAAAVAEPVPGQSDTATGLGPCLP
+PAAASPSEAGSTGPSRPGSPGPPGPLDAAPR
+>tr|A0A3Q1MRV3|A0A3Q1MRV3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC112445816 PE=4 SV=1
+MQDIAFLSGGRGKDDAWIITFPENCNFKCIPEEVIAKVLTYLTSVARQNGSDSRFTIILD
+RRLDTWSSLKMSLQKISSSFPGNLHLVLVLRPTSFLQRTFTDIGFRFSQEDFMLKLPVVM
+LSSVSDLLTYIDDKQLTTELGGTLQYSHSEWITFRNAIENFALTVKDTAQMLQSFGTELA
+ETELPGDIPAMEEILAIRAERYHLLKKDITAVTKEGKFLLTNLEVPDAEGAVSSRLENDQ
+PTSGDWQTINKLLTQVHDMEIAFDGFWEKHQLKMEQYLQLWKFEQDFQELVSEAELILNQ
+QAELADVTGTIAQVKQKIKKLENLDENSQDLLAKARFVIIHGHRLAASHHYALDLICQRC
+NELRYLSDILVNEIRTKRIQLNRTFKMHKLLQQALQCCDEGECLLANQEIDKFQSKEDAQ
+KALQDIENFLEMALPFINYDPETLQYEFGIILSPELKVQMQTIQLKLENIRSIFENQQAG
+FRNLTDKHVRPIPFVVSASDNLVRSGAPFFHLHKVEYLRFPFSLSFLLTEAVYDCQEKRS
+SAQSSNLDNDNSLDILKNHVLNELIQTERAYVRELFTGYRAEMDNPEMFVLMPPLLRSKR
+DVLFGNMAEIYEFHNNIFMSSLENCVDAPERVGSCFLERKDDFQMYAKYCQNKPRSEAIW
+KKYSECAFFQECQRKLKHRLGLDSYLLKPVQRITKYQLLLKELLKYSKDCVGSVELKEAL
+DTMLDLLKSVNDLMHQTAINGYIGNLNELGKMIMQGAFSVWIGHKKGTTKMKDFARFKPM
+QRHLFLYEKAVVFCKRRVESGEGGDRYPSYSFKHCLKMDELGITEYVKGDNRKFEIWNAG
+KEEVYIVQASNIDMKMMWLKEIRTILLKQQELLTVKNREQHNQLTEQNHFSSQQNDDILG
+PLKCYSLDYYNWFYFSPCGVPVTAILHDFLTVWTEMSSPAKVSDEPEKWSSNYFYSSYDG
+HEEERPLMKPESEMAVLF
+>tr|A0A3Q1M996|A0A3Q1M996_BOVIN Tripeptidyl-peptidase 2 OS=Bos taurus OX=9913 GN=TPP2 PE=4 SV=1
+MATAATEEPFPFHGLLPKKETGAAAFLCRYPEYDGRGVLIAVLDTGVDPGAPGMQVTTDG
+KPKIIDIIDTTGSGDVNTATVVEPKDGEIVGLSGRVLKIPVTWTNPSGRYHIGIKNGYDF
+YPKALKERIQKERKEKIWDPVHRAALAEACRKQEEFDVANNCPSQANKLIKEELHSQVEL
+LNSFEKKYSDPGPVYDCLVWFDGETWRACIDSSEDGDLSKSTVLRNYKEAQEYGSFGAAE
+MLNYSVNIYDDGNLLSIVTSGGAHGTHVASIAAGHFPEEPERNGVAPGAQILSIKIGDTR
+LSTMETGTGLIRAMIEVINHKCDLVNYSYGEATHWPNSGRICEVINEAVWKHNIIYVSSA
+GNNGPCLSTVGCPGGTTSSVIGVGAYVSPDMMVAEYSLREKLPANQYTWSSRGPSADGAL
+GVSVSAPGGAIASVPNWTLRGTQLMNGTSMSSPNACGGIALVLSGLKANDVNYTVHSVRR
+ALENTAVKADNIEVFAQGHGIIQVDKAYDYLVQNTSFANKLGFTVTVGTNRGIYLRDPVQ
+VAAPSDHGVGIEPVFPENTENSEKISLQLHLALTSNSSWVQCPSHLELMNQCRHVNIRVD
+PRGLREGLHYTEVCGYDIASPNAGPLFRVPITAVIAAKVNESTHYDLALTDVHFKPGQIR
+RHFIEVPEGATWAEVTVCSCSSEVSAKFVLHAVQLVKQRAYRSHEFYKFCSLPEKGTLTE
+AFPVLGGKAIEFCIARWWASLSDVNIDYTVSFHGIVCTAPQLNIHASEGINRFDVQSSLK
+YEDLAPCITLKSWVQTLRPLSAKTKPLGSRDVLPNNRQLYEMILTYNFHQPKSGEVTPSC
+PLLCELLYESEFDSQLWIIFDQNKRQMGSGDAYPHQYSLKLEKGDYTIRLQIRHEQISDL
+ERLKDLPFIVSHRLSNTLSLDIHENHSLALLGKKKSSNLTLPPKYNQPFFVTSLPDDKIP
+KGAGPGCYLTGSLTLSKTELGKKAGQSAAKRQGKFKKDVIPVHYYLISPPTKTKNGSKDK
+EKDSEKEKDLKEEFTEALRDLKIQWMTKLDSSDIYNELKETYPNYLPLYVARLHQLDAEK
+ERMKRLNEIVEAANAVISHIDQTALAVYIAMKTDPRPDAAIIKNDMDKQKSTLVDALCRK
+GCALADHLLQAQDQDGAVSSDSEGREEEGESTLDSLTETFWETTKWTDLFDNKVLTFAYK
+HALVNKMYGRGLKFATKLVEEKPTKENWKNCIQLMKLLGWTHCASFTENWLPIMYPPDYC
+VF
+>tr|A0A3Q1LYQ3|A0A3Q1LYQ3_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 GN=LOC509006 PE=3 SV=1
+MFLFPSNVPLIVIPYYLSQRTQGWEGCLCLKIHTILFHFPLVESPWGLPSVADGPASMAK
+VVMAELANLKLENKSWPRGTHSLRYHYLDLSEPDPSLPKFQAVGYLDDQPFIRYDSHVDK
+AEPQAPWIMPMNAKYWEKETKKQKKWAELHQVETWQMMGYHNHSSGMHSTHRMFGCEIQE
+DGHSNSFWQFGYDGQDHVSLDMETLSWVSANPVALLTKRRMETEHCYAEYNKAYLEGPCL
+ASLRRYLELGGQRFTRREPPTVWVTKHSAQDGGTTLRCWALGFYPQDITLSWWLGEKKLN
+SKLEYVEARPSGDGTYQTWMAVWVPAGDETQYTCHVQHCSLNHTLTVSWEMPSHPGLTAM
+VISLILILLVLVVV
+>tr|A0A3Q1LJZ2|A0A3Q1LJZ2_BOVIN Calcium/calmodulin dependent protein kinase II alpha OS=Bos taurus OX=9913 GN=CAMK2A PE=4 SV=1
+APSSSTCSSFSVVRRCVKVLAGQEYAAKIINTKKLSARDHQKLEREARICRLLKHPNIVR
+LHDSISEEGHHYLIFDLVTGGELFEDIVAREYYSEADASHCIQQILEAVLHCHQMGVVHR
+DLKPENLLLASKLKGAAVKLADFGLAIEVEGEQQAWFGFAGTPGYLSPEVLRKDPYGKPV
+DLWACGVILYILLVGYPPFWDEDQHRLYQQIKAGAYDFPSPEWDTVTPEAKDLINKMLTI
+NPSKRITAAEALKHPWISHRSTVASCMHRQETVDCLKKFNARRKLKGAILTTMLATRNFS
+GRAQDTVAGATSPSRSLLSLCVPSCLTVRKQEIIKVTEQLIEAISNGDFESYTKMCDPGM
+TAFEPEALGNLVEGLDFHRFYFENLWSRNSKPVHTTILNPHIHLMGDESACIAYIRITQY
+LDAGGIPRTAQSEETRVWHRRDGKWQIVHFHRSGAPSVLPQ
+>tr|A0A3Q1M0R1|A0A3Q1M0R1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=BBS7 PE=4 SV=1
+MDLNLNRADYLQVGVTSQKTMKLLPASKHRATQKVVVGDHDGIVMCFGMKKGEAVTVFKT
+LPGQKIARLELGGALNTPQEKIFIAAGSEIRGFTKRGKQFLSFETNLTESIKAMHISGSD
+LFLSASYIYNHYCDCKDQHYYLSGDKINDVICLPVERLLREVPVLACQDRVLRVLQGSDV
+TYEIEVPGPPTVLALHNGNGGDSGEDLLFGTSDGKLGLIQITTSKPIHKWEIRNEKKRGG
+ILCVDSFDIVGDGVKDLLVGRDDGMVEVYGFDNANEPVLRFDHTLSESVTSIQGGCVGKD
+GYDEIVVSTYSGWITGLTTEPVHKESGPGEELKFNQEMQNKISSLRSELEQLQYKVLQER
+EKYQQSSQSSKAKSAVPSFSVNDKFTLNKDDASYSLILEVQTAIDNVLIQSDVPIDLLDV
+DKNSAVVSFSSCDSESNDNFLLATYRCQANTTRLELKIRSIEGQYGTLQAYVTPRIQPKT
+CQVRQYHIKPLSLHQRTHFIDHDRKGEGVFKSDNISTISILKDVLSKEATKRKINLNISY
+EINEVSVKHTLKLIHPKLEYQLLLAKKVQLIDALKELQVHEGNTNFLIPEYRCILEEADH
+LQEEYKKQPAHLERLYGMITDLFIDKFKFKGTNVKTKVPLLLEILDSYDQNALIAFFDAA
+>tr|A0A3Q1MVY3|A0A3Q1MVY3_BOVIN Tumor necrosis factor receptor superfamily member 6 OS=Bos taurus OX=9913 GN=FAS PE=4 SV=1
+MSGMLYGENAHMAGINSEGLKLNITEANSCQEGLYREHQFCCQPCPPGKRKNGDCKRDGD
+TPECVLCSEGNEYTDKSHHSDKCIRCSICDEEHGLEVEQNCTRTRNTKCRCKSNFFCNSS
+PCEHCNPCTTCEHGIIEKCTPTSNTKCKGSRSHANSLWALLILLIPIVLIIYKVAKSRER
+NKKNDYCNSAASNDVSTVLYFLDVDLGKYIPSIAEQMRITEVKEFVRKNGMEEAKIDDIM
+HDNVHETAEQKVQLLRNWYQSHGKKNAYCTLTKSLPKALAEKICDIVMKDITNERENANL
+QNENENLV
+>tr|A0A3Q1M082|A0A3Q1M082_BOVIN Phospholipid-transporting ATPase OS=Bos taurus OX=9913 GN=ATP8B2 PE=3 SV=1
+MTVPKEMPEKWARAGAPPSWSRKKPSWGTEEERRARANDREYNEKFQYASNCIKTSKYNI
+LTFLPVNLFEQFQEVANTYFLFLLILQLIPQISSLSWFTTIVPLVLVLTITAVKDATDDY
+FRHKSDNQVNNRQSQVLINGILQQEQWMNVCVGDIIKLENNQFVAADLLLLSSSEPHGLC
+YIETAELDGETNMKVRQAIPVTSELGDISKLAKFDGEVICEPPNNKLDKFSGTLYWKESK
+FPLSNQNMLLRGCVLRNTEWCFGLVIFAGPDTKLMQNSGRTKFKRTSIDRLMNTLVLWIF
+GFLVCMGVILAIGNAIWEHEVGTRFQVYLPWDEAVDSAFFSGFLSFWSYIIILNTVVPIS
+LYVSVEVIRLGHSYFINWDKKMFCTKKRTPAEARTTTLNEELGQVEYIFSDKTGTLTQNI
+MVFNKCSINGRSYGDVFDVLGHKAELGERPEPVDFSFNPLADKKFLFWDPTLLEAVKMGD
+PHTHEFFRLLSLCHTVMSEEKSEGELYYKAQSPDEGALVTAARNFGFVFRSRTPKTITVH
+EMGTAITYQLLAILDFNNIRKRMSVIVRNPEGKIRLYCKGADTILLDRLHHSTQELLNTT
+TDHLNEYAGEGLRTLVLAYKDLDEEYYEEWAGRRLQASLAQDSRDDRLASVYEEVESDMM
+LLGATAIEDKLQQGVPETIALLTLANIKIWVLTGDKQETAVNIGYSCKMLTDDMTEVFIV
+TGHTVLEVREELRKAREKMMDSSRAVGNGFTYQEKLSSSRLTSVLEAVAGEYALVINGHS
+LAHALEADMELEFLETACACKAVICCRVTPLQKAQVVELVKKYKKAVTLAIGDGANDVSM
+IKTAHIGVGISGQEGIQAVLASDYSFSQFKFLQRLLLVHGRWSYLRMCKFLCYFFYKNFA
+FTMVHFWFGFFCGFSAQTVYDQYFITLYNIVYTSLPVLAMGVFDQDVPEQRSMEYPKLYE
+PGQLNLLFNKREFFICIAQGIYTSVLMFFIPYGVFAEATRDDGTQLADYQSFAVTVATSL
+VIVVSVQIGLDTGYWTAINHFFIWGSLAVYFAILFAMHSNGLFDMFPNQFRFVGNAQNTL
+AQPTVWLTIVLTTVVCIMPVVAFRFLRLNLKPDLSDTVRYTQLVRKKQKAQHRCMRRVGR
+TGSRRSGYAFSHQEGFGELIMSGKNMRLSSLALSSFTTRSSSSWIESLRRKKSDSASSPS
+GGADKPLKG
+>tr|F1MPG2|F1MPG2_BOVIN Zinc finger X-chromosomal protein OS=Bos taurus OX=9913 GN=ZFX PE=4 SV=1
+MDEDGLELQPQEPNSFFDTTGADATHMDGDQIVVEVQETVFVSDVVDSDITVHNFVPDDP
+DSVVIQDVIEDVVIEDVQCPDIMEEADVSETVIIPEQVLDSDVTEEVSLAHCTVPDDVLA
+SDITSASMSMPEHVLTSESIHVSDIGHVEHVVHDSVVEAEIVTDPLTADVVSEEVLVADC
+ASEAVIDANGIPVDQQEDDKGNCEDYLMISLDDAGKIEHDGSSGMTMDAESEIDPCKVDG
+TCPEVIKVYIFKADPGEDDLGGTVDIVESEPENDHGVELLDQNNSIRVPREKMVYMTVND
+SQQEDEDLNVAEIADEVYMEVIVGEEDALPLQQPLPPMQQMDDNEIKTFMPIAWAAAYGN
+NSDGIENRNGTASALLHIDESAGLGRLAKQKPKKRRRPDSRQYQTAIIIGPDGHPLTVYP
+CMICGKKFKSRGFLKRHMKNHPEHLTKKKYRCTDCDYTTNKKISLHNHLESHKLTSKAEK
+AIECDECGKHFSHAGALFTHKMVHKEKGANKMHKCKFCEYETAEQGLLNRHLLAVHSKNF
+PHICVECGKGFRHPSELKKHMRIHTGEKPYQCQYCEYRSADSSNLKTHVKTKHSKEMPFK
+CDICLLTFSDTKEVQQHALIHQESKTHQCLHCDHKSSNSSDLKRHIISVHTKDYPHKCDM
+CDKGFHRPSELKKHVAAHKGKKMHQCRHCDFKIADPFVLSRHILSVHTKDLPFRCKRCRK
+GFRQQNELKKHMKTHSGRKVYQCEYCEYSTTDASGFKRHVISIHTKDYPHRCEYCKKGFR
+RPSEKNQHIMRHHKEVGLP
+>tr|A0A3Q1LRE0|A0A3Q1LRE0_BOVIN Protein Asterix OS=Bos taurus OX=9913 GN=WDR83OS PE=4 SV=1
+STSYFSLPGSTFRLFGNSVGNTETCLQLPSFLPHFRSSRSIFGFSEFSVPWLGGVPVTVD
+RNGSARAESVTLKTILCFQAGSCRKLPEAAIPAAEEARLLTRYVREQYVGPTEAQQSAEL
+KWCAWVAVYCSFISFANSRSSEDTKQMMSSFMLSISAVVMSYLQNPQPMTPPW
+>tr|F1N650|F1N650_BOVIN Annexin OS=Bos taurus OX=9913 GN=ANXA1 PE=3 SV=1
+MAMVSEFLKQAWFIENEEQEYIKTVKGSKGGPGSAVSPYPTFNPSSDVEALHKAITVRGV
+DEATIIEILTKRNNAQRQQIKAAYLQEKGKPLDEVLKKALLGHLEEVVLALLKTPAQFDA
+EELRAAMKGLGTDEDTLNEILASRTNREIREINRVYREELKRDLAKDIASDTSGDYEKAL
+LSLAKGDRSEELAVNDDLADSDARALYEAGERRKGTDVNVFITILTTRSYPHLRRVFQKY
+SKYSKHDMNKVLDLELKGDIEKCLTVIVKCATSQPMFFAEKLHQAMKGIGTRHKTLIRIM
+VSRSEIDMNDIKACYQKLYGISLCQAILDETKGDYEKILVALCGRD
+>tr|A0A3Q1M6F9|A0A3Q1M6F9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+IHRKHLQEIPDQSSNVTASFTWGWGSSKTSEMLSGMGVSALEKEEVDSENIPQELLSNLS
+HPQSSPRKWLKSKVNYKDVNFPDVSTLAGRCPNLVHLDLSDSVMLKNDCFPEFYQLNYLQ
+HLSFSWCYEWHPTPYDIIPETLLELAEIPTLKTLQVFGIMPDSTFQLLKEALPHLQINCS
+HFTTITRPTIGNKKNQEIWGIKCSKFESCLPSCHSS
+>tr|A0A3Q1M056|A0A3Q1M056_BOVIN Tetratricopeptide repeat domain 7B OS=Bos taurus OX=9913 GN=TTC7B PE=4 SV=1
+MATRKAGSRLETEIERCRSESQWERIPELARQLSAKLIAHDDMAELLLGEAKLEQYLKEH
+PLRQGASPRGPRPQLTEVRKHLTAALDRGNLKVPEFLQESSLVLAKLHYVEGDCEGALRL
+YARAGLEDWPLTGAPPYRLRMAAEAYATQGLCLEKLPISSSTSSLRVDREQDIITCYEKA
+GDIALLYLQEIERVILSNIQNRSPKPGPAPHDQELGFFLETGLQRAHVLYFKNGSLTRGV
+GRFRELLRAVETRTTQNLRMTIARQLAEILLRGMCEQSYWNPLEDPPCQSPLDDPLRKGA
+NTKTYTLTRKARVYSGENIFCPQENTEEALLLLLISESMANRDAVLSRIPEHKSDRLISL
+QSASVVYDLLTIALGRRGQYEMLSECLERAMKFAFEEFHLWYQFALSLMAAGKSARAVKV
+LKECIRLKPDDATIPLLAAKLCVGSLHWLEEAEKFAKTVVDAGEKTSEFKAKGYLALGLT
+YSLQATDASLRGMQEVLQRKALLAFQRAHSLSPTDHQAAFYLALQLAISRQIPEALGYVR
+QALQLQGDDANSLHLLALLLSAQKHYHDALNIIDMALSEYPENFILLFSKVKLESLSRGP
+DEALLTCKHMLQIWKSCYNLTNPSDSGRGSSLLDRTIADRRQLNTITLPDFSDPETGSIH
+ATSVAASRVEQALSEVASSLQSSAPKQGPLHPWMTLAQIWLHAAEVYIGIGKPAEATACT
+QEAANLFPMSHNVLYMRGQVAELRGNVDEARRWYEEALSISPTHVKSMQRLPHLWSWGTV
+GWPRTPRSLGDSVS
+>tr|A0A3Q1LQU9|A0A3Q1LQU9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC521656 PE=3 SV=1
+MQFPILFVPSPLGSCLTTRTVQELLRLMDEAMYLQTSVRCRVVKKYGNIIRLDFGVIHFI
+VITGLPYIKEALVNQEQNFVNRPMIPLQKHIFNNKGLVRSNGQVWKEQRRFTLTTLRNFG
+LGRKSLEERIQEEVTYLIQAIGEENGQPFDPHFIINNAVSNIICSITFGERFDYKDDQFQ
+ELLRLLDEILCIQASVCCQLYNAFPRIMNFLPGSHHTLFRKWEKLKMFVANVIENHRKDW
+NPAEARDFIDAYLQEIEKHKGNATSSFDDENLICSTLDLFLAGTETTSTTLRWGLLFMAL
+NPEIQEKVQAEIDRVLGQSQKVSTASRESMPYTNAVIHEVQRMGNIVPMNVPREVTVDTV
+LAGYHLVKGTMVLTNLTALHRDPAEWATPDTFNPEHFLENGQFKKRESFLPFSIGKRMCL
+GEQLARTELFIFFTSLLQKFTFRPPENEKLSLKFRESLTSSPASYRLCAIPRA
+>tr|A0A3Q1LW42|A0A3Q1LW42_BOVIN Integrator complex subunit 6 OS=Bos taurus OX=9913 GN=INTS6 PE=4 SV=1
+MNELKNLQAEGLTTLGQSLRTAFDLLNLNRLVTGIDNYGQGRNPFFLEPAIIITITDGSK
+LTTTSGVQDELHLPLNSPLPGSELTKEPFRWDQRLFALVLRLPGTMSVESEQLTGVPLDD
+SAITPMCEVTGGRSYSVCSPRMLNQCLESLVQKVQSGVVINFEKAGPDPSPVEDGQPDIS
+RPFGSQPWHSCHKLIYVRPNPKTGVPIGHWPVPESFWPDQNSPTLPPRTSHPIVKFSCTD
+CEPMVIDKLPFDKYELEPSPLTQFILERKSPQTCWQVYVSNSAKYSELGHPFGYLKASTA
+LNCVNLFVMPYNYPVLLPLLDDLFKVHKAKPTLKWRQSFESYLKTMPPYYLGPLKKAVRM
+MGAPNLIADSMEYGLSYSVISYLKKLSQQAKIESDRVIGSVGKKVVQETGIKVRSRSHGL
+SMAYRKDFQQLLQGISEDVPHRLLDLNMKEYTGFQVALLNKDLKPQTFRNAYDIPRRNLL
+DHLTRMRSNLLKSTRRFLKGQDEDQVHSVPIAQMGNYQEYLKQVPSPLRELDPDQPRRLH
+TFGNPFKLDKKGMMIDEADEFVAGPQNKHKRPGEPNMQGVPKRRRCMSPLLRGRQQSPVV
+NNHIGGKGPPAPMTQAQPDLIKPLPLHKISEATNDSTMDDVVENHVADQLSSDTTPNAME
+AEFSGSSPANLLERPTNHTEALGHDHLGTNDLTVGGFLENHEEPRDKEQCPEENIPASSL
+NRGKKLMHCRSHEEVNTELKAQIMKEIRKPGRKYERIFTLLKHVQGSLQTRLIFLQNVIK
+EASRFKKRMLIEQLENFLDEIHRRANQINHINSN
+>tr|F1N450|F1N450_BOVIN Regulator of G protein signaling 22 OS=Bos taurus OX=9913 GN=RGS22 PE=4 SV=3
+MCLNREQGIHWVKKERLPTFLESDCYFEYRLAKLISQVRWSESGMNIILGTEFSPWVLKK
+PPSPPPPPVEEDDVIIMKKFYISLGEASYTQTKDWFALAKQCQQTVPTFSLPSCVFHNKI
+ESPVVSSASESFIFDDGVHPRTKKGLLKTTRLTSEFEEDGSVSLQDTPSQALLRIYLEKQ
+QNVDGSLTLHFSTCDEFLRSYVSFILRVAIHQILGATLRESPDYVNFRNVTEVVFDDIGG
+PTSGKNVLFNEMLREESGDMLEPAVSKPDSIGLDSRADWCISHKTYDIGNRKEFERFKKF
+LKGTLGEKYWWLWMDIERLKVLKNPGRHQRHIEKMKKCFLVSSGDYYLSAEVLSKFKLLD
+GSQWNEEHLKKIQTEVLKPLLLYWAPRFCVTHSSSTKHASAELKFWHLRQEQPRKDVDPF
+PQMATLLPLRPKSCIPQLPEMQKEESSLLQLPKSPKKSPRVKTAVYKPWKSESLNPVSSK
+DDVIEKGSRHMSESSKVIRLTSFTDISECLKPQLDRRYTYTEEPLIKTMGDGTLGGFDME
+NLLQSLYVENRAGFFFTKFCENSGNKLWKNCVYFWFDLQAYHQLFYQETLHPFKVCKQAQ
+YLFATYIAPSATLDIGLQQEKKREIYMKIQPPFEDLFDAAEEYILLLLLEPWTKMIKSDQ
+VAYRKVELVEETRQLDSTYLRKLQALHKETVFKKAEDTTSEIGTGISPLPHVSKNTEYWN
+MVPEEYRHFNFNELLNNKLEFEHFRQFLEAHSSSMDLMCWTDIEQFRRITYRDRKQREAK
+SIYIKNKYLNKKYFFGPNSPASVYQQDQIMNLSGGWGKILHEQLDAAVLIEIQKHVLNRL
+ENVWLPLFLASEQFAARQKIKVQIKDIAEELLLQKRERKIGVWKPVESKWISSSCEIIAF
+RKALLNPVTARQFQRFVALKGDLLENGVLFWQEVQKYKDLCHSHCDESIIHKKIMTIINC
+FINSSIPPALQIDIPVEQAQKIIEHRKELGPYVFREAQMTIFGVLFKFWPQFCEFRKNLT
+DEKIMSVLERRQEYSKQKKKMAVIEDEKFVKDGIKQYSSTSGSVIKAAALTSDPGLGMQA
+YGRQPTWCYSKYIEALEQERILLKIQEELERKLFAATPSFTNFKSTASTLSLKKTVSSHN
+IQRIL
+>tr|A0A3Q1LFR8|A0A3Q1LFR8_BOVIN Dynein axonemal heavy chain 17 OS=Bos taurus OX=9913 GN=DNAH17 PE=4 SV=1
+TRASPAEENRPSVASLVLRFKPDKWSKMMGTEENMALFTDFFEKPDVLVLVLTLNPAGMI
+IPCLGFPASLKSKGMYFIKKRPENIGKDNYKERLVYGDMSPTPVDQLIAVVEEVLYSLLN
+QSENMSGWPRVVSEDIVKQVHKLKNEMFVMSGKIKGKTLLPIPEHLGSLDGTLESMERSG
+PGWGTIIIDWSHQIRDVLSKDSAQALLDGLHPLPRVEFEFWDARLMNLKYIHEQVMALLP
+LVASFHSCGDEPQFLFHAGLKEANDIVLYLKPLRILLEEMEQADFTAVRQLERVLYTICF
+IWATSEHYNTPSRIIVILQEFCNQLIDMVAPRPQCLPSPTPSVPLPHPTLHPGPWYGGFL
+HQDLYKTAIEFLKLEKIELGGVRGNILGSQVAQIYDEVFELVKVFADCKYDPLDPGDSVS
+RGTKIQDLDRRLATIFCQGFDDCNSIESSAKVGLLHMCGGLLERPLILAEMVPRYSVMLE
+LFDTELDNTKILYDAQIAASADGNIPPIHKNMPPVAGQLKWSLELQERLEGIIHLYVQGL
+NLEETRELTGCCNTPGSESLGWGASEAPWETVSDPPPNSDSRVMSSVEAKLVYQKYDEMM
+ELLRSYRERIYQQWVSGVDKDCHFNLGQPLIQRDPVTNLIRVNFSKAVGAVLLREVKYLN
+FQHQKDIPTSAENLFSQYETFRKFVGNLELIVGWYNEVSWCHIGFLFDVEFPLIKSELEA
+IDVKLLNAETTLFWNGEGVKAIFRKQVDPVKQQAAMWFCLQENAELFRADTTSQSWKDYV
+NYIDSMVLDEFDQFIRKSLNYLMDNMVADVSDSVAPLFEVRMELEDDGLVFNPSLEVGGD
+RGFLALIGSLVTDIYNAAKLIPRLAKGRMHYKTDLEDMTDLIEMREEISNLVISAMKEAE
+EYQDSFERYSYLWTDDPQEFMRNFLTYGRAISREDLDSRPEETLPKTPPTLAQFQQQIDS
+YEKLYEEVSKCDNTKVFNGWLQCDCRPFKQALLNTIKRWSLMFKRHLGNHVINSLADLEA
+FMKVARMGLTKPVKEGDYDGLVEVMGHLMKVKERQTATDNMFEPLKQTIELLKSYGEEMP
+EETHVKLQELPEQWTNTKKLALQVKQNVSPLQANEVSILRRKCQQFEQHEFRERFRQEAP
+FSFSTPLTGFLLCPQQQKSIAAMESIMDALCKSGSLFEVSVPDYKQLKACHKEVRLLKEL
+WDMIIMVNTSIDDWKTTKWKNINVEQMDIDCKKFAKDVRSLDKEMKSWDAFVGLDNTVKN
+MITSLRAVSELQNPAIRDRHWQQLMQATQVHTDAGTTLADLLQLNLHKYEDEVRNIVDKA
+VKESGMEKVLKALDSTWSTMEFEHEPHPRTGTMMLKSDEVLVETLEDNQVQLQNLMMSKY
+LSHFLKEVTSWQQKLSTADSVISIWFEVQRTWSHLESIFIGSEDIRAQLPEDSRRFDDID
+IEFKVNAVKTPNVVEATNKPGLYDKLEDLKKRLAVCEKALAEYLETKRLAFPRFYFVSSA
+DLLDILSNGNDPVEVSRHLSKLFDSLCKLKFRLDASGKPLKFGLGMYSKEDEYVDFDQEC
+NLSGQVEVWLNRVLDRMCATLRHEIPEAVVTYEEKPREQWIFDYPAQIALTCTQIWWATE
+VGLAFARLEEGYENAIKDYNKKQISQLNALITLLIGNLSAGDRMKIMTICTIDVHARDVV
+AKMITAKVESSQAFTWQSQLRHRWDEEKKHCFANICDAQIQYSYEYLGNTPRLVITPLTD
+RCYITLTQSLHLIMGGAPAGPAGTGKTETTKDLGRALGTMVYVFNCSEQMDYKSCGNIYK
+GLAQTGAWGCFDEFNRISVEVLSVIAVQVKCVQDAIRAKKKTFNFLGEMISLIPTVGIFI
+TMNPGYAGRTELPENLKALFRPCAMVVPDFELICEIMLVAEGFLEARLLARKFITLYTLC
+KELLSKQDHYDWGLRAIKSVLVVAGSLKRGDPSRAEDQVLMRALRDFNIPKIVTDDLPVF
+MGLIGDLFPALDVPRKRDLNFEKVIKQSIVELQLQAEDGFVLKVVQLEELLQVRHSVFVI
+GNAGSGKSQVLRSLNKTYQNLKRKPVAVDLDPKAVTCDELFGIINPATREWKDGLFSTIM
+RDLANITHDGPKWIVLDGDIDPMWIESLNTVMDDNKVLTLASNERIPLNRTMRLVFEISH
+LRTATPATVSRAGILYINPADLGWNPVVSSWIERRKVQSEKANLMILFDKYLPTCLDKLR
+FGFKKITPVPEITIIQTILYLLECLLTEKNAPPDSAKELYELYFVFACFWAFGGAMFQDQ
+LVDYRVEFSRWWINEFKTIKFPSQGTVFDYYIDPDTKKFLPWTDKVPAFELDPDVPLQAS
+LVHTTETIRIRYFMDLLMEKSWPVMLVGNAGTGKSVLMGDKLDSLSTDDYLVQAVPFNFY
+TTSAMLQGILEKPLEKKSGRNYGPPGTKKLIYFIDDMNMPEVDKYGTVAPHTLIRQHMDH
+GHWYDRQKLTLKDVHNCQYVACMNPTSGSFTIDPRLQRHFCVFAVSFPGQEALTSIYSTI
+LSQHLSYRSAPFAVQRMSSHLVASALALHQKVTSTFLPTAIKFHYVFNLRDLSNIFQGLL
+FSTAEILKFPLDLVRLWLHEAERVYGDKMVDEKDQDTLRRVTIDLGDELLFAKPNIFCHF
+AHGIGDPKYLPVTDLAPLNKLLVEVLDSYNEVNAVMNLVLFEDAVAHICRINRILESPRG
+NALLVGVGGSGKQSLSRLAAYISALDVFQITLKKGYGIPDLKLDLGAQYIKSAVKNVPSV
+FLMTDSQVAEEQFLVLINDLLASGEIPGLFMDDEVENIISSMRPQVKSLGLTDTREACWK
+FFIDKVRRNLKVILCFSPVGSILRVRARKFPAVVNCTAINWFHEWPEDALVSVSARFLED
+TEGIQPEVKASISLFMSYVHTTVNEMSKVYLATERRYNYTTPKTFLEQIKLYQNLLAKKR
+MELVAKIERLENGLMKLQSTASQVDDLKARLAVQEAELKQKNENADKLIHVVGVETEKVS
+KEKAIADEEEIKVEVINKNVTEKQKACETDLAKAEPALLAAQEALDTLNKNNLTELKSFG
+SPPDAVVNVTAAVMILTAPGGKIPKDKSWKAAKIMMGKVDTFLDSLKKFDKEHIPEACLK
+AFKPYQGNPTFDPEFIRSKSAAAAGLCSWCINIVRFYEVYCDVAPKRQALEEANAELAEA
+QEKLSRIKNKIAELNANLSNLTSAFEKATAEKIKCQQEADATNRVISLANRLVGGLASEN
+VRWAESVESFKGQGITLCGDVLLISAFVSYVGYFTKKYRNELMERFWIPYINHLKVPIPI
+TKGLDPLTLLTDDADVATWNNQGLPSDRMSTENATILCNTERWPLIVDAQLQGIKWIKNK
+YGSELKAIRLGQKSYLDIIEQAISEGDTLLIENIGETIDPVLDPLLGRNTIKKGKYIKIG
+DKEVEYHPNFRLILHTKYFNPHYKPEMQAQCTLINFLVTRDGLEDQLLAAVVAKERPDLE
+QLKANLTKSQNEFKIVLKELEDSLLARLSAASGNFLGDTALVENLETTKHMASEIEEKVQ
+EAKITEVKINEARENYRPAAARASLLYFILNDLNKINPIYQFSLKAFNVVFEKAILKTTP
+ADEVKQRVINLTDEITYSVFMYTARGLFERDKLIFLAQVAFQVLSMKKELNPVELDFLLR
+FPFKAGVVSPVDFLQHQGWGGIKALSEMDEFKNLDNDIEGSAKRWKKLVESEAPEKEIFP
+KEWKNKTALQKLCMVRCMRPDRMTYAVKNFVEEKMGSKFPSPCFSCFSSGKKLGFTIDNG
+KLHNVSLGQGQEVVAENALDVAAENGHWVILQNIHLVARWLSTLDKKLERHSAGSHDDYR
+VFISAEPAPSPESHIIPQGILENAIKITNEPPTGMYANLHKALDLFTQDTLEMCTKEIEF
+KCILFALCYFHAVVAERRKFGAQGWNRSYPFNNGDLTISINVLYNYLEANPKVPWDDLRY
+LFGEIMYGGHITDDWDRRLCRTYLAEYIRAEMLEGEILLAPGFQIPPNLDYKGYHEYIDE
+NLPPESPYLYGLHPNAEIGFLTVTSEKLFRTVLEMQPKETDSGAGTGVSREEKVKAVLDE
+ILEKIPETFNMAEIMAKAAEKTPYVVVAFQECERMNILTNEMRRSLKELNLGLKGELTIT
+TDMEDLSTALFYDTVPDSWVARAYPSMMGLAAWYADLLLRIRELEAWTTDFALPTTVWLA
+GFFNPQSFLTAIMQSMARKNEWPLDKMCLSVEVTKKNREDMTAPPREGSYVYGLFMEGAR
+WDTQTGVIAEARLKELTPAMPVIFIKAIPVDRMETKNIYECPVYKTRIRGPTYVWTFNLK
+TKEKAAKWILAAVALLLQV
+>tr|A0A3Q1MC77|A0A3Q1MC77_BOVIN Chromosome 15 C11orf49 homolog OS=Bos taurus OX=9913 GN=C15H11orf49 PE=4 SV=1
+MLSPERLALPDYEYLAQRHVLTYVEDAVCQLLENKEDISQYGIARFFTEYFNSVCQGTHI
+LFREFSFIQATPHNRASFLRAFWRCFRTVGKNGDLLTMREYHCLLQLLCPDFPLELTQKA
+ARIVLMDDAMDCLMSFSDFLFAFQIQFYYSEFLESVAAIYQDLLSGKNPNTVIVPTSSSG
+QHRHRPSTGEAGTPEGVEASLFYQCLENLCDRHKYSCPPPALVKEVLSNVQRLTFYGFLV
+ALSKHHGINQALGALPDKGDLMHDPAMDEELERLLAQIPGLVTSVTAGPEASYLPPRTPP
+RVGSPWRPLHHARKVDGESDGSTEETDESET
+>tr|A0A3Q1LR62|A0A3Q1LR62_BOVIN Poly(U)-binding-splicing factor PUF60 OS=Bos taurus OX=9913 GN=PUF60 PE=4 SV=1
+MATATIALGTDSIKMENGQGTAAKLGLPPLTPEQQEALQKAKKYAMEQSIKSVLVKQTIA
+HQQQQLTNLQMAAVTMGFGDPLSPLQSMAAQRQRALAIMCRVYVGSIYYELGEDTIRQAF
+APFGPIKSIDMSWDSVTMKHKGFAFVEYEVPEAAQLALEQMNSVMLGGRNIKVGRPSNIG
+QAQPIIDQLAEEARAFNRIYVASVHQDLSDDDIKSVFEAFGKIKSCTLARDPTTGKHKGY
+GFIEYEKAQSSQDAVSSMNLFDLGGQYLRVGKAVTPPMPLLTPATPGGLPPAAAVAAAAA
+TAKITAQEAVAGAAVLGTLATPGLVSPALTLAQPLGALPQAVMAAQAPGVITGVTPARPP
+IPVTIPSVGVVNPILASPPTLGLLEPKKEKEEEELFPESERPEMLSEQEHMSISGSSARH
+MVMQKLLRKQESTVMVLRNMVDPKDIDDDLEGEVTEECGKFGAVNRVIIYQEKQGEEEDA
+EIIVKIFVEFSVASETHKAIQALNGRWFAGRKVVAEVYDQERFDNSDLSA
+>tr|A0A3Q1MA27|A0A3Q1MA27_BOVIN Eukaryotic translation initiation factor 2 alpha kinase 4 OS=Bos taurus OX=9913 GN=EIF2AK4 PE=4 SV=1
+MAGGRGAPGRGRDEPPESYPQRQDHELQALEAIYGADFQDLRPDARGRVKEPPEINLVLY
+PQGLTGEEVYVKVDLRVKCPPTYPDVVPEIELKNAKGLSNESVNLLKSRLEEVAKKHCGE
+VMIFELAYYVQSFLCEHNKPPPKSFHEEMLERRAQEEQRRQLEAKRKEEEEQREILHEIQ
+KRKEEIKEEKKRKEMAKQERLEIAILSNQDHTSRKDPGGHRTAAILHGGSPDFVGNGKHR
+TNSSGRSKRERQYSMCNSEDSPGSCEILYFSVGSPDQLMVHKGKCIGSDEQLGKLVYNAL
+ETATGSFVLLHEWVLQWHKKMGPFLTSQEKEKIDKCKKQIQGAETEFSSLVKLSHPNIVR
+YFAMNLKEQDDSIVVDILVEHTNGISLAAHLGHSGPIPVHQLRKYAAQLLSGLDYLHSNS
+VVHKVLSALNVLVDAEGTIKITDYSISKRLADICKEDVFEQTRVRFSDSALPYKTGKKGD
+IWRLGLLLLSLSQGQECEEYPVTIPSDLPADFQDFLKKCVCLDDKERWSPQQLLKHSFIN
+PQSKIPLLEQSPEDSGGQDYVETVIPSNQLPSAAFFSETQRQFSRYFIEFEELQLLGKGA
+FGAVIKVQNKLDGCCYAVKRIPINPASRHFRRIKGEVTLLSRLHHENIVRYYNAWIERHE
+RPACPATPPPNSGPQAQDGQALAQPAGDSDPDGPGSVEAAAPPPILSSSVEWSTSGERSA
+STRFPAAGPDSSSDEDDDEEQGVFSQSFLPASDSDSDIIFDNEDENSKSQNQDEDCNGKN
+SCHESEPPATTEAVHYLYIQMEYCEKSTLRDTIDQGLYRDTVRLWRLFREILDGLAYIHE
+KGMIHRDLKPVNIFLDSDDHVKIGDFGLATDHLAFAADGKQDDTSGDHLIKSDPSGHLTG
+MVGTALYVSPEVQGSTKSAYNQKVDLFSLGIIFFEMSYHPMVTASERIFVLNQLRDPTSP
+KFPEDFDDGEHTKQKSVISWLLNHDPAKRPTATELLKSELLPPPQMEESELHEVLHHTLA
+NVDGKAYRTMMGQIFAQRISPAIDYTYDSDILKGSFSIRTAKIQQHVCETIIRIFKRHGA
+VQLCTPLLLPRNRQIYEHNEAALFMDHSGMLVMLPFDLRVPFARYVARNNILNLKRYCIE
+RVFRPRKLDRFHPKELLECAFDIVTSTTNSSLPTAEIIYTIYEIIQEFPALQERNYSIYL
+NHTMLLKAILLHCGIPEDKLSQVYVILYDAVTEKLTRREVEAKFCNLSLSSSSLCRLYKF
+IEQKGELQDLTPTINSLIKQKTGVAQLVKYGLKDLEEVVGLLKKFGIKLQVLINLGLVYK
+VQQHSGIIFQFVAFIRRRQRAISEILAAGGRYDLLVTVSSCDLLVVSVGQMSMSRAINLT
+QKLWSAGITAEIMYDWSQSQEELQEYCRHHEITYVALVSDKEGSHVKVKSFEKDRQTEKR
+VPESDLVDHVVQKLRTKVSDERTTREASDNLTVQNLKGSFSNASGLFEIHGATVVPIVSV
+VAPEKLSASTRRRYETQVQTRLQTSLANLHQKSSEIEILAVDLPKETILQFLSLEWDADE
+QAFNTTVKQLLSRLPKQRYLKLVCDEIYNIKVEKKVSVLFLYSYRDDYYRILF
+>tr|A0A3Q1LPJ8|A0A3Q1LPJ8_BOVIN Progestin and adipoQ receptor family member 5 OS=Bos taurus OX=9913 GN=PAQR5 PE=4 SV=1
+MAPSPPSGCGDTLWPDLELHFGGGRPTVFVAAGPSASCSLLLSSVLLFLLFPSVDSAWQL
+ERLVRLPLGKYFIRPGAMSQVAGNPCFGGWPSPTRASFLPVFFEIQKPGICKMLRVLAFA
+FPYTWDSLPVLFRVFLLPWESAENEATVYHQKHVAMTLLASFFYSAHLPERLAPGRFDYI
+GHSHQLFHVCVILATHMQMEAILLDKTLRKEWLLTHCRPLVFAQIAGAILLCLIFSLSNI
+VYFSAALYRIPEPELHKKET
+>tr|A0A3Q1LTX6|A0A3Q1LTX6_BOVIN Lactamase beta like 1 OS=Bos taurus OX=9913 GN=LACTBL1 PE=4 SV=1
+MTGCFLWQYYLPKLKSGSLGPEVTSAPVRMCPQHPEPVPLAHPLPVLKEALEKVGRILRQ
+ALSAPGLAAMSAVVIHNDTVLWTGNFGKKNGSDPASGPPNEYTMYRISSVSKIFPVLMLY
+RLWEEGIVASLDDPLERYASAFTINNPLGMASASQQRSLVDGLEEVGPAPRPSPVTLRRM
+ASQLSGLPRRLRSTSLLWRGSTQEALSLLKDDVLVADPGTRCHYSTLAFSLLAHVLAAHT
+VQGDYQRWILEKVLEPLGMADTGFDLTPPVRARLAVGFYGSGRLAPLYDLGWYRPSGQMY
+STTADLAKLAMVLLGGGPQRLLRPDASKTLLAPLLACPGAYFANETGTPWEFHAQRGYRV
+VRKDGELDGYAATFSLVPPLRLGLTLLLAGPRPPGPDLVAQAYDVLLPAMERALRGAELS
+PAPPPSTRPFTGYFTFSNLTFYDVRPGPAGELRLRQFGPRVEALVPAAFRTLTLRHVRGR
+VFQLHVAREFPCALPLGDSWLSLEAQHGQLVNFYPLDRHGLSPGFDVPGLNTYRVLRLSH
+KPVFKTQ
+>tr|F1ME34|F1ME34_BOVIN Death effector domain containing OS=Bos taurus OX=9913 GN=DEDD PE=4 SV=1
+MAGLKRRASQVWPEEHGEQEHGLYSLHRMFDIVGTHLTHRDVRVLSFLFVDVIDDHERGL
+IRNGRDFLLALERQGRCDESNFRQVLQLLRIITRHDLLPYVTLKRRRAVCPDLVDKYLEE
+TSVRYVTPRALSDPEPRPPHPPKTVPPHYPVVCCPASGPQMCSKRPARGRATLGSQRKRR
+KSVTPDPKEKQTCDIRLRVRAEYCQHETALQGNVFSNKQDPLERQFERFNQANTILKSRD
+LGSIICDIKFSELTYLDAFWRDYINGSLLEALKGVFITDSLKQAVGHEAIKLLVNVDEED
+YELGRQKLLRNLMLQALP
+>tr|A0A3Q1M8B2|A0A3Q1M8B2_BOVIN Pituitary adenylate cyclase-activating polypeptide type I receptor OS=Bos taurus OX=9913 GN=ADCYAP1R1 PE=3 SV=1
+MRGGRHWPEPPCRLRSVMASIAQVSLAALLLLPMATAMHSDCIFKKEQAMCLEKIQRVND
+LMGLNDSSPGCPGMWDNITCWKPAHVGEMVLVSCPELFRIFNPDQVWETETIGEFGFADS
+KSLDLSDMRVVSRNCTEDGWSEPFPHYFDACGFEEYESETGDQDYYYLSVKALYTVGYST
+SLVTLTTAMVILCRFRKLHCTRNFIHMNLFVSFMLRAISVFIKDWILYAEQDSNHCFVST
+VECKAVMVFFHYCVVSNYFWLFIEGLYLFTLLVETFFPERRYFYWYIIIGWGTPTVCVSV
+WAMLRLYFDDTGCWDMNDNTALWWVIKGPVVGSIMVNFVLFIGIIVILVQKLQSPDMGGN
+ESSIYLRLARSTLLLIPLFGIHYTVFAFSPENVSKRERLVFELGLGSFQGFVVAVLYCFL
+NGEVQAEIKRKWRSWKVTVLGMKSRKLQEKINPWMSIYFLFFFIFF
+>tr|A0A3Q1M2N8|A0A3Q1M2N8_BOVIN Adenosylhomocysteinase 3 OS=Bos taurus OX=9913 GN=AHCYL2 PE=4 SV=1
+MDGSPPGFSLSKAGKLCVPAMNVNDSVTKQKFDNLYCCRESILDGLKRTTDMMFGGKQVV
+VCGYGEVGKGCCAALKAMGSIVYVTEIDPICALQACMDGFRLVKLNEVIRQVDIVITCTG
+NKNVVTREHLDRMKNSCIVCNMGHSNTEIDVASLRTPELTWERVRSQVDHVIWPDGKRII
+LLAEGRLLNLSCSTVPTFVLSITATTQALALIELYNAPEGRYKQDVYLLPKKMDEYVASL
+HLPTFDAHLTELTDEQAKYLGLNKNGPFKPNYYRYLELPKNGEAWVIMSFLHWSWSWHPS
+PYFHELRKINPLSPLPPPKDSLKPCPNIK
+>tr|E1BK63|E1BK63_BOVIN Ribosomal protein L15 OS=Bos taurus OX=9913 PE=3 SV=1
+MGAYKYIQELWRKKQSDVMRFLLRVRCWQYRQLSALHRAPRPTRPDKARRLGYKAKQGYV
+IYRIRVRRGGRKHPVPKGATYGKPVHHGVNQLKFARSLQSVAEERAGRHCGALRVLNSYW
+VGEDSTYKFFEVILIDPFHKAIRRNPDTQWITKPVHKHREMRGLTSAGRKSRGLGKGHKF
+HHTIGGSRRAAWRRRNTLQLHRYR
+>tr|A0A3Q1MIW1|A0A3Q1MIW1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MLKKIEISQHAAYTCSFCGKSMMKRQAEGIWHCDSFMKMAAGGAWTYNTVSAVTV
+>tr|A0A3Q1M2C8|A0A3Q1M2C8_BOVIN Cilia and flagella associated protein 58 OS=Bos taurus OX=9913 GN=CFAP58 PE=4 SV=1
+MNKILPCLFLETAYEEIERDFQEVLNELSGDRSLEKFRVEYEKLHAVMKKSYDNEKRLMA
+KCRELNAEIVVNSAKVATALKLSQDDQTTIASLKKEIEKAWKMVDSAYDKEQKAKETILA
+LKEEIVNLTKLVEQGSGLSMDQDSNIRDLLKFKEEVTKERDQLLSEVVKLRECLAQTIEQ
+QQEMERSREEAEQAIIQFQQEIQQRQNEASRETRKKEKLEKELRQIQMDMDSRQTEIRAL
+QQYVQKSKEELQRLEQQLKEQKILNERAAKELEQFQMRNAKLQQENEQHSLVCEQLSQEN
+QQKALELKAKEEEVHQMRLDIGKLNKIREQIHKKLHQIEDQKGEVEQHKETLKNQILGLE
+REVEASKKQAELDKKAMDELLRERDILNKNMLKAVSATQKQIDLVKLHEQAKRNLEEEIQ
+NYKEEAQKQRKIIFQLEKERDRYINEASDLTQKVLMNMEDIKVREMQIFDYRKKIAESET
+KLKQQQNLYEAVRSDRNLYSKNLVEAQDEITEMKRKLKIMTHQVDQLKEEISAKESALVK
+LHLEQQRIEKEKETLKAELQKLRQQALETKHFIEKQEAEERKLLRIIAEADGERLRQKKE
+LDQVISERDILGSQLVRRNDELALLYEKIKIQQSVLNKGESQYNQRLEDMRILKLEIKKL
+RREKGILARSVANVDELRQELFHTQREFLKERTRCRALEEELENPMNVHRWRKLEASDPS
+TFELIQKIHTLQKRLISKTEEVVEKELLLQEKEKLYVELKHILARQPGPEAAEQLQIYRH
+TLREKTKQLKVLSSELNMYESQSQEYKYEIEKLSNELLNLKKKYLAQKRKEYIQNMEDTF
+SMAKPSGPRFTGGGFPLSKSSKAKS
+>tr|F1N3B9|F1N3B9_BOVIN Thyroid hormone receptor interactor 13 OS=Bos taurus OX=9913 GN=TRIP13 PE=3 SV=2
+MDEAVGDLKQALPCVAEAPTVHVEVHQRSGSTAKKEDIKLSVRKLLNRHNIVFGDYTWSE
+FDEPFLTRNVQSVSIVDTELKAKDPQPIDLSACNIALHIFQLNEDGPSSENLEEETENII
+AANHWVLPAAEFHGLWDSLVYDMEVKSHLLDYVMTTLLFSDKNVDSNLITWNRVVLLHGP
+PGTGKTSLCKALAQKLTIRLSSRYRYGQLVEINSHSLFSKWFSESGKLVTRMFQKIQDLI
+DDKDALVFVLIDEVESLTASRNACRAGTEPSDAIRVVNAVLTQIDQIKRHSNVVILTTSN
+ITERIDVAFVDRADIRQYIGPPSAAAIFKIYLSCLEELMRCQIIYPRQQLLTLRELEMIG
+FIENNVSKLSLLLSEISRKSEGLSGRVLRKLPFLAHALYIQAPTVTIEGFLQALSLAVEK
+QFEERKKLSSCV
+>tr|A0A3Q1ND84|A0A3Q1ND84_BOVIN Leucine-rich repeat flightless-interacting protein 2 OS=Bos taurus OX=9913 GN=LRRFIP2 PE=4 SV=1
+MRELERQQKEHSHHSFDRKWGQIQKWLEESERARSSHRSSHRRPSLGVEDALSIRSLGSH
+RYDALKDRSSRLSSLNHSYSHSYGMKKRSSGSHKDPLSGLYFDQRNYSSLRHSKPTSAYY
+SRQSSSLYSDPLATSKSYRVSLYNGGLYNPYGSRTPSEYSFHSSRASSSRSSPVFLDDDT
+ASIASSGCASRGRRDSVVSAADYFSRSNRRGSVVSDVDDVSIPDLTSLDEKSDKQYAENY
+TRPSSRNSASATTPLSGNSSRRGSGDTSSLIDPDTSLSELRDIYDLKDQIQDVEGRYMQG
+LKELKESLSEVEEKYKKAMVSNAQLDNEKNNLIYQVDTLKDVIEEQEEQMAEFYRENEEK
+SKELERQKHMCSVLQHKMDELKEGLRQRDELIEEKQRMQQKIDTMTKEVFELQETLLWKD
+KSIRALEKLKEHAGCLRSERDALREELAKLKAAVEVGEKHGLVIIPDGTPNGDVHQEPAV
+GAITVVSQEAAQVLESAGEGPLDVRLRKLAGEKEELLSQIRKLKLQLEEERQKCSGRDGT
+AGDLAELQNGSDLQLIEMQRDANRQISEYKFKLSKAEQDITTLEQSISRLEGQVLRYRTA
+AENAEKVEDELKAEKRKLQRELRTALDKIEEMEMTNSHLAKRLEKMKANRTALLAQQ
+>tr|A0A3Q1M7D5|A0A3Q1M7D5_BOVIN Sodium/hydrogen exchanger OS=Bos taurus OX=9913 GN=LOC112445029 PE=3 SV=1
+MARRGWLRAPLRRVGVFGWAMGLRTALAEKPRAMDEEIVSEKQAEESHRQDSANLAHLHS
+CCSRSTILTIWLFKHRRARFLHETGLAMIYGLLVGLVLRYGIHVPSDSSPTTLLVNVSGK
+FYEYTLKGEISSHELNNVQDNEMLRKVTFDPEVFFNILLPPIIFYAGYSLKRRHFFRNLG
+SILAYAFLGTAISCFVIGSIMYGCVTLMKVTGQLAGDFYFTDCLLFGAIVSATDPVTVLA
+IFHELQVDVELYALLFGESVLNDAVAIVLSSSIVAYQPAGDNSHTFDVTAMFKSIGIFLG
+IFSGSFAMGAATGVVTSYVTKFTKLREFQLLETGLFFLMSWSTFLLAEAWGFTGVVAVLF
+CGITQAHYTYNNLSTESQHRTKQLFELLNFLAENFIFSYMGLTLFTFQNHVFNPTFVVGA
+FIAIFLGRAANIYPLSLLLNLGRRSKIGSNFQHMMMFAGLRGAMAFALAIRDTATYARQM
+MFSTTLLIVFFTVWVFGGGTTAMLSCLHIRYVLIGDLILGIPESERRTTKAESAWLFRMW
+YNFDHNYLKPLLTHSGPPLTTTLPACCGPIARCLTSPQAYENQEQLKDDDSDLILNDGDI
+SLTYGDSTVNTESASSGAPRRLMGNSSEDAFDRELAFGDHELVIRGTRLVLPMDDSEPPL
+NLLDNTRHGPA
+>tr|A0A3Q1LVI8|A0A3Q1LVI8_BOVIN G protein-coupled receptor kinase OS=Bos taurus OX=9913 GN=GRK3 PE=3 SV=1
+MEAGIVKNMSLFSSSIRSVMQKYLEERHEITFDKIFNQRIGFLLFKDFCLNEINEAVPQV
+KFYEEIKEYEKLENEEDRLCRSRQIYDTYIMKELLSCSHPFSKQAVEHVQSHLSKKQVTS
+TLFQLTMNDFSVHRIIGRGGFGEVYGCRKADTGKMYAMKCLDKKRIKMKQGETLALNERI
+MLSLVSTGDCPFIVCMTYAFHTPDKLCFILDLMNGGDLHYHLSQHGVFSEKEMRFYATEI
+ILGLEHMHNRFVVYRDLKPANILLDEHGHVRISDLGLACDFSKKKPHASVGTHGYMAPEV
+LQKGTAYDSSADWFSLGCMLFKLLRGHSPFRQHKTKDKHEIDRMTLTMNVELPDVFSPEL
+KSLLEGLLQRDVSKRLGCHGGSAQELKTHDFFRGIDWQHVYLQKYPPPLIPPRGEVNAAD
+AFDIGSFDEEDTKGIKLLDCDQELYKNFPLVISERWQQEVAETVYEAVNADTDKIEARKR
+AKNKQLGHEEDYALGRDCIVHGYMLKLGNPFLTQWQRRYFYLFPNRLEWRGEGESRQSLL
+TMEQIVSVEETQIKDKKCILLRIKGGKQFVLQCESDPEFVQWKKELTETFMEAQRLLRRA
+PKFLNKSRSAVVELSKPPLCHRNSNGL
+>tr|A0A3Q1M238|A0A3Q1M238_BOVIN Signal peptide peptidase like 2A OS=Bos taurus OX=9913 GN=SPPL2A PE=4 SV=1
+MGRQSPLPPAAAALLLCFLLPLTAAHEAILHASGNGRPSPSKDYCMLYNPHWTSLPSTLE
+NATSMSLMNLTTTPLCNVSDIPPDGIKNKAVVVQWGTCHFLEKAKIAQTGGAEALLVANN
+SVLFPPSGNKSEFLDVKILIAFINHKDFKDMKQTLGDNIMVKMYSPSWPNFDYTMVVIFV
+IAVFTVALGGYWSGLIELENMKAMTNTEDREMRKKKEEYFTFSPLTVIIFVVICCIMMVL
+LYFFYKWLVYVMIAIFCIASAMSLYNCLAALVRKIPCGQCTITCRGKSIEVRLIFLSGLC
+IAVAVVWAVFRNEDRWAWILQDILGIAFCLNLIKTLKLPNFKSCVILLGLLLLYDVFFVF
+ITPFITKNGESIMVELAAGPFGNNEKLPVVIRVPKLAYFSVMSVCLMPVSILGFGDIIVP
+GLLIAYCRRFDVEIGSSVYYVSSTIAYAIGMILTFVVLVLMKKGQPALLYLVPCTLITAS
+LVAWRRKEMKKFWKGSSYQYSFKGAVVYYKHLSSFLFLCCFLRRKEMLGKTGLQPKDAFI
+FPMNKCSALDHLNFTLKNCDFEMMILLHSSYYKV
+>tr|E1BEY4|E1BEY4_BOVIN Vomeronasal type-1 receptor OS=Bos taurus OX=9913 GN=BOSTAUV1R403 PE=3 SV=2
+MLASDAILEFFFISQICIGLMGNSVLFKLKMCTFITRSHLKKPIDVIFIHLTLVNVLTIM
+FKLIPDVMSSFGVRHFLDDVGCKATLFTHRVTRGLSICTTALLSAYQAITISPVHSKWAW
+LKLKLSTCIYPSFLFFWVINMLIYIHIIKTVVANLNFTIVGSGYSTLYCQTNQLEHHYYS
+MAFLSVILIRDLLFVSLMAWSSLYMVTLLYKHRRRALHIHSPTLSPRPSPEIQATHIILL
+LVSCFVFFYFSDNFITLYLFYRHEKNWRLKRMNGIISSCYPVICPFVLMKNNKIVSKCIS
+SISKMRMTFSRRTVKR
+>tr|F1N234|F1N234_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=ACOT2 PE=4 SV=2
+MEIAALTRWAFVGKVISLLFNMPSRLVIAFFPRSKCLLIPWLQLPSSTDRGCVSGMTVTV
+MLEPAGRCRWDERVHITVSGLAPRQPVTLRASLRDEKGALFRAHARYCADAAGLLDLERA
+PALGGSFAGLEPMGLFWALEPEKPLLRLVKRDVQTPFVVELEVVDGHEPGAQRLLGRAVH
+ERDFLAPGVRREPVRAGRVRATLFLPPEPAPFPGIVDISAAGGGFLEYRASLLAGKGFAV
+LALAYYNYEDLPKSLRHVHLEYFEEAVNYLLNHPQVKGPGVGLLGISKGGELCLSMASFL
+KGISAAVIINGSVHNVWGTLHYKGETLPPVGFNQNRIKMTKDGFVDIVEVLNSPLEGPDQ
+KSFIPVERAECPFLFLVGQDDHNWKSEFYANEASKRLQAHGKAKPQVICYPGTGHYIEPP
+YFPLCPASLHTFVGTPVIWGGEPMAHARAQVDAWQQLQTFFHKHLSGEKGTIPAKL
+>tr|A0A3Q1NN45|A0A3Q1NN45_BOVIN AP complex subunit sigma OS=Bos taurus OX=9913 GN=AP2S1 PE=3 SV=1
+MGKLRRRRIRFILIQNRAGKTRLAKWYMQFDDDEKQKLIEEVHAVVTVRDAKHTNFVEFR
+NFKIIYRRYAGLYFCICVDVNDNNLAYLEAIHNFVEVLNEYFHNVCELDLVFNFYKVYTV
+VDEMFLAGEIRETSQTKVLKQLLMLQSLE
+>tr|G3N3U7|G3N3U7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=EIF4EBP3 PE=4 SV=1
+MPHPPAARFMGAGKGCPTATAARRGRAGGTLYAATPGGTRIIYDLKFLLECKNSPTARRP
+PCCLPQIPGVTAPPTAPPPKLEELTEQKETEEEIPDDEQFGMDI
+>tr|A0A3Q1MJE5|A0A3Q1MJE5_BOVIN Alpha-S1-casein OS=Bos taurus OX=9913 GN=CSN1S1 PE=1 SV=1
+MKLLILTCLVAVALARPKHPIKHQGLPQEVLNENLLRFFVAPFPEVFGKEKVNELSKDIG
+SESTEDQAMEDIKQMEAESISSSEEIVPNSVEQKHIQKEDVPSERYLGYLEIVPNSAEER
+LHSMKEGIHAQQKEPMIGVNQELAYFYPELFRQFYQLDAYPSGAWYYVPLGTQYTDAPSF
+SDIPNPIGSENSEKTTMPLW
+>tr|F1MN52|F1MN52_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=SPRED2 PE=4 SV=3
+MASPASDSYIVRVKAVVMTRDDSSGGWFPQEGGGISRVGVCKVMHPEGNGRSGFLIHGER
+QKDKLVVLECYVRKDLVYTKANPTFHHWKVDNRKFGLTFQSPADARAFDRGVRKAIEDLI
+EGSTTSSSTIHNEAELGDDDVFTTATDSSSNSSQKREQPTRTISSPTSCEHRKVYTLGHL
+HDPYPTDHYHLEQPMPRPYRQVSFPDDDEEIVRINPREKIWMTGYEDYRHAPVRGKYLDH
+TEDADSYVRFAKGEVPKHDYNYPYVDSSDFGLGEDPKGRGGSVIKTQPSRGKSRRRKEDG
+ERSRCEYCRDMFNHEENRRGHCQDAPDSVRTCIRRVSCMWCADSMLYHCMSDPEGDYTDP
+CSCDTSDEKFCLRWMALIALSFLAPCMCCYLPLRACYHCGVMCRCCGGKHKAAV
+>tr|E1BH71|E1BH71_BOVIN Deltex E3 ubiquitin ligase 3L OS=Bos taurus OX=9913 GN=DTX3L PE=4 SV=1
+MASSLCPPSPLLVRASHPCTRLQWKLEKYFQSRESGGGECTVLALDPSDPNSNTFRVQFN
+KRAGKDGVLKKGKHQIVVNNQPVTIFLEPNENAEEKKTIMSSSTQPQKGARHGEKPPNVK
+DIHNAVDSCLPKIFLTVTAELNCQLFSKEQRDLITVHCPNVKRVKDHDGTEKICSNFRDI
+EKVHGFLSELLLEREQKHESSPLTSEREPLSQQDRNSCVSPFEPESRSEEKSCRFEVPLA
+FFEYFTHSCPDKIASIEKKFGTKIKSQASSPNMVYLDFTSSRSGDLEAAHKNFVGEFQKC
+VETLKQECVAVADSKQATKIKEELNHHFAKLLIKEKGRELTLLGTADDISAAKDFLDSKN
+FESLVKPPVKILTPKHMMKGIEVDTAHYKLLEAELSQEISKIEKKYNTQSNILGINQKTC
+IQFEPKTKDLDLSIHAYSSFIDTYQYVSCQITREVLSLKLLGKERKHLFGTKFSDDFRKR
+HPDIHFVLNRESMTLIGLPNHLTKAKQYVLNRCGVSPSATEKWNETLMDTDSHNSKTAPP
+TLQHPASSEVSEMNEEQDMCAICLNTISNKQVLSKCKHKFCSPCIQAAFSYKPVCPVCQT
+SYGVQKGNQPDGRMSTFVVRSSLPGYESCGTIEITYDIEGGIQTKEHPNPGERFSGIRRT
+AYLPDNKEGNEVLRLLRRAFDQKLIFTVGESRTLGVSGVITWNDIHHKTSRTGGSQRYGY
+PDPDYLKRVKQELKDKGIE
+>tr|A0A3Q1M2A8|A0A3Q1M2A8_BOVIN Complement factor I OS=Bos taurus OX=9913 GN=CFI PE=1 SV=1
+MKLGHVILLLLCFYLSFCEDNFRKRGKSKAVKKSEAHHAPEASLSKETEASSEVKPTSTQ
+DTSQKDFVDKKCLTEKHTHLSCNKVFCQPWQKCIDGTCLCKLPYQCPKNGTRVCSTNGKS
+YSTYCQQKSFECYRPEAKFLKSGACTGGGQFSVSLSNGKQDSEGIVAVKLADLDTKMFVC
+GDSWSITEANVACIDRGFQLGALDTHRRDPDPNSAECLHVRCRGLETSLAECTFTKGVHN
+SEGLAGVVCYTESAAPPKKDSFQCVNGKRIPQKKACDGVNDCVDKSDELCCKETGHPEIK
+EAAEMLTADMDAERKFTKSFLPKLSCGVKNNMHIRRKRVVGGKPAKMGEFPWQMAIKEGD
+KIHCGGIYIGGCWILTAAHCVRISRMHRYQIWTSFTDWLRPGFQTVVHSVNRIIIHENYN
+GTTYQNDIALIEMKKRPNEKECVLSKSIPACVPWSPYLFQPNDKCIVSGWGREKDNQKVY
+SLRWGEVHLINNCSEFYPGRYFEKEMQCAGTDDGSIDACKGDSGGPLVCQDVNNVTYVWG
+VVSWGENCGKSEFPGVYTKVANYFDWISQHVGRSLISQHNI
+>tr|A0A3Q1MJR8|A0A3Q1MJR8_BOVIN Nuclear transcription factor Y subunit gamma OS=Bos taurus OX=9913 GN=NFYC PE=4 SV=1
+MSTEGGFGGAGSSDAQQSLQSFWPRVMEEIRNLTVKDFRVQELPLARIKKIMKLDEDVKM
+ISAEAPVLFAKAAQIFITELTLRAWIHTEDNKRRTLQEEVRQSVTPAEPVQYYFTLAQQP
+TAVQVQGQQQGQQTTSSTTTIQPGQIIIAQPQQGQTTPVTMQVGEGQQVQIVQAQPQGQA
+QQAQSGTGQTMQVMQQIITNTGEIQQIPVQLNAGQLQYIRLAQPVSGTQVVQGQIQTLAT
+NAQQITQTEVQQGQQQFSQFTDGQQLYQIQQVTMPAGQDLAQPMFIQSANQPSDGQAPQV
+TGD
+>tr|G5E5F8|G5E5F8_BOVIN Coronin OS=Bos taurus OX=9913 GN=CORO2B PE=3 SV=2
+MSWRPQYRSSKFRNVYGKVANREHCFDGIPITKNVHDNHFCAVNARFLAIVTESAGGGSF
+LVIPLEQTGRIEPNYPKVCGHQGNVLDIKWNPFIDNIIASCSEDTSVRIWEIPEGGLKRN
+MTEALLELHGHSRRVGLVEWHPTTNNILFSAGYDYKVLIWNLDVGEPVKMIDCHSDVILC
+MSFNTDGSLLTTTCKDKKLRVIEPRSGRVLQEANCKNHRVNRVVFLGNMKRLLTTGVSRW
+NTRQIALWDQEDLSMPLIEEEIDGLSGLLFPFYDADTHMLYLAGKGDGNIRYYEISTEKP
+YLSYLMEFRSPAPQKGLGVMPKHGLDVSACEVFRFYKLVTLKGLIEPISMIVPRRSDSYQ
+EDIYPMTPGTEPALTPDEWLGGINRDPVLMSLKEGYKKSPKMVFKAPIKEKKSVVVNGID
+LLENVPPRTENEVRKISYCLQTHWQSETFAFQL
+>tr|A0A3Q1MR49|A0A3Q1MR49_BOVIN Ligand dependent nuclear receptor corepressor like OS=Bos taurus OX=9913 GN=LCORL PE=4 SV=1
+MDHGYEETSVYLKDCIPSLDSSQSTPTEELSSQGQSNTEKIECQAENYLNALFRKKDLPQ
+NCDPNIPLVAQELMKKMIRQFAIEYISKSGKIQENRNGSIGPSLICKSIQMNQAENSLQE
+EQEGPLDLTVNRMQEQNTQQGDGVLDLSTKKTSIKSEESSICDPSSENSVAGSTVDAKSE
+EATKMEKGKSALSKVLESLCIHHQEQVLAMLKFLVQEQNAASLCCCNSSYTVSSESQNPL
+IEDDLDGLFCSCEYRLAERGYLHNERQSPGFVPLPVCIKDLHCLSCQTVTIEHIKKVVNR
+GIANSYNSHRCCSGLLADIDSTESGFQSPHSSREIGDVSVNLQDVCRSRSPSPPPLSPVQ
+AEGFEKLKDVISECSALENNRLETNINQPPSLTPAEISNDEDDHEGKMPKTKKSSNSDSL
+LLEDSNNYTTNHEKGETTIIFQDLMDRINEKLKSIETIDMTNLIQLSSSDCSTDNDLKLR
+DLITSLLHNAKASDYSFMELLSQHDKKIENKIIQTRFRKRQETLFAMHSSPDSPIFRRQS
+LQIKRELASLDENFMRKKYTEKNPRKFLRNDELFSADKEQFYHCQGPSLQNSKSLQDNNH
+VETSFLPDYGLQSLQLPLSNLETNLAFDAFSESFKTASAEKMSIRRSQEKSVARKKFLQN
+HKENPKLENTQTPLKSDIPGLLSRTKRNIVPPGWYSIYVTNNYVFKKSPKAKKVSDSTKG
+KDEVKNIQVESSHSIDLNKIAMNSNLQVVVERLEDTINMAKKSWNNQSLSEGYKASKKLI
+EVDGKDQPASRNMTLTVSRMTCKEKSLSKSIVASTNIKTNHIPTIDLNSKRLNQKKSSVL
+NTSSLISSVENVPTKYEAIESSSFATYSSPIKLMFLSEVKSSEGVKYTLTSVNNSESNHD
+FSSEKQPTHQVTENRKETNETIPNANSANYSSNLNDSDTLQKELNKFNCAKEMAESSAVF
+TDDMKNDKPQELPKEYSSSTIDSSFKRKPGRPKKIGPQVVKQIKRPIGRPPKPKTDQTDI
+AICQNEPISAEKKSPESLISEVKEGIYQKSITVTVIYGRSRRTKRHVSEGSINKSNGMSL
+KNVTDFPTEYNSLRNIREYDTDLGERISAISSLTTESEILGSGFEYVRPFKNKPVIPQPS
+KNIIRPNHKPLAIIRKPGRPAKVKISGISVTINRISPQEREVSISSCLPPLEQENIFQKN
+LPEENHQYTMDTRHTEADKLKNESESMVAAVPLRHSIRDRKPSLHFLHSLASSSSLIYRN
+SLLHKSYKLHLQKGKSQEDKHKQSRIKIASKGPPGARNSRNAKKHLEDKLIPNSEVSLDP
+IISSNPLLRWWAASTSNDSLLEELNNRFEQITNAWVPVSGDEAENCVHKKRDHIENDNFK
+IASPLETCLLELEVSPVKMLFQKKYDLNELCTWFMQTTETQSLSLVRKANARNPLEVINT
+RGIKLGTKYSDFNTSPFRKHFKKFALSSPSKSAGKLHILHKMVSSPLLNVKSNLTLTRLK
+RTEFKRLHHERWRRQGKLHSHGTGAWISKRRNLRFFCQNQLLSKTEGVTNADVPLQGKNT
+VDNQFIFPPEIRDNFLQQRLAMSDFKTHASLENKFNSEAKENGTNCSQKDFEKGQRLGNV
+CSNNWRSKTLKDCRIFLRKINYLEHRNTFKLNTIIYSPESVNCGSNHQTHIEESKRFTLR
+SQSARQNSFKKQSKEIENAKANSPSSDKFPAQLENSKLNKCVNYDKNPSDNCEVLSKLNK
+RKRPPWKTTEMSTKRHKRQSCNSGQMANYFSKYQLACYK
+>tr|E1BP18|E1BP18_BOVIN Nucleoredoxin like 1 OS=Bos taurus OX=9913 GN=NXNL1 PE=4 SV=1
+MASLFSGRVLIRNNSDQDELDTEAELSRRLENRLVLLFFGAGSCPECQAFAPILRDFFVR
+LTDEFYVLRAAQVALVYVSQDPTEEQQDLFLRDMPEKWLFLPFEDDLRRDLGRQFSVERL
+PAVVVLKPSGDVLTLDAADEIRRLGPACFANWQEAAEVLDRSFLQPEDLDDPAPRSLTEP
+LRRCKYRVDPAARRARGRGRAGGSGQEGEAEGEAAGLF
+>tr|A0A3Q1MFQ5|A0A3Q1MFQ5_BOVIN Transmembrane protein 128 OS=Bos taurus OX=9913 GN=TMEM128 PE=4 SV=1
+MDVLRAREQLRRRYLFPQDAEVPLEHEGYPRPETSTAVEKKEKPLPRLNIHSGFWILASI
+VVTYYVDFFQTVKENFHTSSWFLFGSALLLVSVSIAFYCIVYLEWYRGIEDYDIKYPALI
+PITTATFIVAGIWWKGVSVVNILPAKSTADAVVNTVCFCIYKVNGFLGRILTL
+>tr|A0A3Q1MB27|A0A3Q1MB27_BOVIN Beta-defensin OS=Bos taurus OX=9913 GN=DEFB110 PE=3 SV=1
+MKIHLFFFILLFGVTILPARKRFPHYGSVDMRRECAKGNGRCKTECHISEVRIAYCIRPG
+SLCCLQKYR
+>tr|A0A3Q1M2F0|A0A3Q1M2F0_BOVIN ATP synthase subunit e, mitochondrial OS=Bos taurus OX=9913 GN=ATP5ME PE=4 SV=1
+MWLRPHWSRGRGGTGRRELGFSLCTVDSQFSNICTRGVRASICAQPGSQEGRTCCREREL
+AARPLPMRKPPRAAPDDVTRACARAICPPAARGRHSAPSPCGRRMRTPGRASASASPRCS
+GPCGILFGPNCASGARVTDSMVPPVQVSPLIKLGRYSALFLGMAYGAKRYNYLKPRAEEE
+RRLAAEEKKKRDEQKRIERELAEGGHAFGSPRFSPAPRGFQKQLLLHTEQQVERKGCLLH
+FHWPLGHPLLSGVGVGKESRCWGRVLTCL
+>tr|G3MXI8|G3MXI8_BOVIN Nedd4 family interacting protein 1 OS=Bos taurus OX=9913 GN=NDFIP1 PE=4 SV=2
+MELKSGEELQNEEEPGEPEQAAGDAPPPYSSISAESAAYFDYKDESGFPKPPSYNVATTL
+PSYDEAERTKAEATIPLVPGRDEEFAGREEFDDPDQMRIGNDGIFMLTFFMAFLFNWIGF
+FLSFCLTTSAAGRYGAISGFGLSLIKWILIVRFSTYFPGYFDGQYWLWWVFLVLGFLLFL
+RGFINYAKVRKMPENFSNLPRTRVLFIY
+>tr|A0A3Q1LS81|A0A3Q1LS81_BOVIN Androgen induced 1 OS=Bos taurus OX=9913 GN=AIG1 PE=4 SV=1
+MALVPCQVLRVAILLSYCSILCNYKAIEMPSHQTYGGSWKFLTFIDLVIQAVFFGICVLT
+DLSSLLTRGSGNQEQERQLKKLISLRDWMLAVLAFPVGVFVVAVFWIIYAYDREMIYPKL
+LDNFIPGWLNHGMHTTVLPFILIEMRTSHHAYPSRSSGLAAICTFSVGYILWVCWVHHVT
+GMWVYPFLEHIGPGARILFFGSTTILMNFLYLLGEVLNSYIWDTQRSKERPYLNLS
+>tr|A0A3Q1NDQ3|A0A3Q1NDQ3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+PLFGALRQRSGLGPRDPKHQKAAACLSQRPSSGASYCEAPLPDEQGPVSGHNSHSR
+>tr|E1B8Z3|E1B8Z3_BOVIN Dishevelled associated activator of morphogenesis 2 OS=Bos taurus OX=9913 GN=DAAM2 PE=4 SV=3
+MAPRKRSRHGLGFLCCFGGSDLPEINLRDNHPLQYMEFSSPIPNPEELNIRFAELVDELD
+LTDKNREAVFALPPEKKWQIYCSKKKEQEDPNKLATSWPDYYIDRINSMAADTCLADGSG
+LGRFVTRFIELEGLTCLLNFLRSMDHATCESRIHTSLIGCIKALMNNSQGRAHVLAQPEA
+ISTIAQSLRTENSKTKVAVLEILGAVCLVPGGHKKVLQAMLHYQVYAAERTRFQTLLNEL
+DRSLGRYRDEVNLKTAIMSFINAVLNAGAGEDNLEFRLHLRYEFLMLGIQPVIDKLRQHE
+NAILDKHLDFFEMVRNEDDLELARRFDMVHIDTKSASQMFELIHKKLKHTEAYPCLLSVL
+HHCLQMPYKRNGGYFQQWQLLDRILQQIVLQDERGVDPDQAPLENFNVKNIVNMLINENE
+VKQWRDQAEKFRKEHAELVSRLERKERECETKTLEKEEMMRTLNKMKDKLARESQELRQA
+RGQVAELVAQLSEISVCGLSSPFTGPVSSPPPPGGPLTLSSSMTNNDLPPPPPPLPFACC
+PPPPPPPLPPGGPPTPPGAPPCFSMGMPLPPDPFPSSDVPLRKKCVPQPSHPLKSFNWVK
+LNEERVPGTIWNEIDDMKVFRILDLEDFEKMFSAYQRHQLGSTEDIHLASRKVKELSVID
+GRRAQNCIILLSKLKLSNEEIRQAILKMDEQEDLAKDMLEQLLKFIPEKSDVDLLEEHKH
+EIERMARADRFLYEMSRIDHYQQRLQALFFKKKFQERLAEAKPKVEAILLASRELIRSKR
+LARMLEVVLAIGNFMNKGQRGGAYGFRVASLNKIADTKSSIDRNISLLHYLIMILEKHFP
+DILNMPSELQHLPEAAKVNLAELEKEVGNLRRGLRAVEVELEYQKRQVRDPNDKFVPVMS
+DFITVSSFSFSELEDQLNEARAKFSKALMHFGEQDSKMQPDEFFGIFDAFLQAFSEARQD
+LEAMRRRKEEEERRARMEAMLKEQRERERWQRQRKVHAGSALEEGGEFDDLVSALRSGEV
+FDKDLCKLKRSRKRSGSQALEATRERAINRLNY
+>tr|G5E5Y2|G5E5Y2_BOVIN Olfactory receptor OS=Bos taurus OX=9913 PE=3 SV=2
+MSSWTNGSSNLSYTSFVLLGFPGLKESRALLVLPFLSIYLVIVSANALVIHTVVAQRSLH
+QPMYLLIALLLAVNICAATTVVPAMLLSFSSRFSRISLARCLVQMFCIYFLIVFDCNILL
+VMALDRYVAICYPLRYPEIVTGQLLAGLVGAAAARSTCIVAPVVALASRVRFCRSDVIHH
+FACEHMALMKLSCGDISLNKTVGLTVCIFNRVLDMLLLGASYSRIIHAAFRISSGRARSK
+ALNTCGSHLLVIFTVYASTMSSSIVYRVARTASQDVHNLLSAFYLLLPCLLNPIIYGART
+KEIRQHLATAFQRAQHQVSSEKLQPLPSHRELPA
+>tr|A0A3Q1LGQ8|A0A3Q1LGQ8_BOVIN Nebulin OS=Bos taurus OX=9913 GN=NEB PE=4 SV=1
+MTFFLQNLYKADLKDLSKKGYDLRIDAIPIKAAKAARQAASDVQYKKDYEKAKGKMVGFQ
+SLQDDPKLVHYMNVAKIQSDREYKKAYEKTKTRHNTPHDMVNIVAAKKAQDVASNVNYKH
+SLHHYTYLPDAMDLELSKNMMHIQSDNVYKEDYNNWMKGIGWIPIGSLEVEKVKKAGDAL
+NEKKYRQHPDTLKFTSIVDSPVMVQAKQNTQQVSDILYKAKGEDVKHKYTMSPDLPQFLQ
+AKCNAYNLSDVCYKRDWHDLIAKGTNVLGDAIPITAAKASRNIASDYKYKEAYEKAKGKQ
+VGFRSLQDDPKLVHYMNVAKLQSDREYKKNYENTKTSYHTPGDMVSITAAKMAQDVATNV
+NYKQPIHHYTYLPDALSLEHIRNVNQIQSDNVYKDEYNHFFKGMGWIPIGSLEVEKVKKA
+GDALNEKKYRQHPDTIKFTSVPDSMGMVLAQHNTKQLSDLNYKVEGEKVKHKYTMDPDVP
+QFIQAKVNAYNMSDSHYKADWKKTLAKGYDLRPDAIPIVAAKSSRNIASDFKYKEAYEKT
+KGKQIGFRSLQDDPKLVHFMNVAKMQSDREYKKGYEASKTKYHTPLDMLSVTAAKKSQEV
+ATNTNYKQPFHHYTLLPDALNVEHSRNAMQIQSDNLYKSDFTNWMKGIGWLPLESLEVEK
+AKKAGEILSEKKYRQHPEKLKFTYAMDTMEQALNKSNKLIMDKRLYTEKWNKDKTTIHVM
+PDTPDILLSRVNQITMSDKLYKAGWEEEKKKGYDLRPDAISIKAARASRDIASDYKYKQA
+YEQAKGKQIGFRSLEDDPKLVHFMQVAKMQSDREYKKAYEKSKTSFQTPVDMLSVVAAKK
+SQEVATNANYRNVIHTYNMLPDAMSLELAKNMMQIQSDNQYKADYADFMKGIGWLPLGSL
+EAEKNKKAMEIISEKKYRQHPDTLKYSTLMDSMNMVLAKNNAKIMNEHLYKQAWEADKTK
+VHIMPDIPQIILAKANAINISDKLYKLSLEEAKKKGYDLRTDAIPIKAAKASRDIASDYK
+YKHSYEKERGKMVGFRSLEDDPKLVHSMQVAKMQSDREYKKNYEKTKTSYHTPADMLSVT
+AAKDAQANITNTNYKHLIHKYILLPDAMNIQLSKNMNRIQSDNEYKQDYNEWYKGLGWSP
+AGSLEVEKAKKATEYASDQKYRQHPSNFQFTKLNDSMDMVLAKQNAHTMNKYLYTVDWNK
+DKTKIHVMPDTPDILQAKQNQTMYSQKLYKLGWEEALKKGYDLPVDAISVQAAKASRDIV
+SDFKYKQGYRKQIGHHIGFRSLQDDPKLVLSMNVAKMQSEREYKKDFEKWKTKFSSPVDM
+LGVVLAKKCQALVSDVDYKNYLHGWTCLPDQNDVIHAKKAYDLQSENLYKSDLEWLKGIG
+WSPLGSLEAEKNKRASEIISEKKYRQPPDRNKFTSIPDAMDIVLAKTNAKNRSDILYREA
+WDKDKTQIHIMPDTPDIILAKANLINTSDKFYRMGYEELRKKGYDLPVDAIPIKAAKASR
+EIASEYKYKEGFRMQLGHHIGARNIKDDPKMMWSMHVAKIQSDREYKKDFEKWKTKFSSP
+VDMLGVVLAKKCQTLVSDIDYKNYLHQWTCLPDQSDVIHARRAYDLQSDNVYKSDLQWLR
+GIGWVPIGSVDVVKCKRAAEILSDNLYRQPPDTLKFTSVPDSLEQVLAKNNAINMNKRLY
+TEAWDKDKTQIHIMPDTPEIMLARQNKLNYSENLYRQAMEEAKKEGYDLRSDAIPIVAAK
+ASREIASDYKYKEAYRKQLGHHIGARAIHDDPKMMWSVHVAKMQSDREYKKDFEKYKTRF
+SSPVDMLGIVLAKKCQTLVSDVDYKHPLHEWTCLPDQNDIIHARKAYDLQSDNLYKSDLE
+WLKGIGWVPIGSVEVLKAKRAGEILSDNIYRQRPDTLKFTSVTDSPEQVLAKNNAINMNK
+RLYTEAWDNDKKTIHVMPDTPEIMLAKLNRINYSDKLYKLALEESRKEGYDLRLDAIPIQ
+AAKASREIASDYKYKEGYRKQLGHHIGARNIKDDPKMMWSIHAGKLQSDLEYKKDFEKWK
+TKFSSPVDMMGLVQAKKCQILVSDIDYKNLLHEWTCLPDQNDIIQARKAYDLQSDAIYKA
+DLEWLRGIGWVPIDSVGVEHAKRAGEILSERKYRQPAVQLKFTSITDTPEIVLAKNNALN
+VSKHLYTEAWDADKTSIHVMPDTPEILLAKSNSANISHKLYTKGWDESKMKDYDLRADAI
+SIKSAKASRDIASDYKYKEAYEKHKGHHIGARSVEDDPRIMCAMNAGRIQSEREYKKEFQ
+KWKTKFSSPVDMLGILLAKKCQTLVSDIDYRNYLHHWTCLPDQNDIIQARKAYDLQSDNV
+YKSDLEWLRGIGWMPEGSVEMNRVKNAQDLINERRYRTRPEALTFTSIVDTPEVVLAKAN
+SLQMSEKLYQEAWNKDKTNISIPSDTPLILQAQINAMQISNKLYQKDWDEAKQKGYDLRA
+DAIEIKHAKASREIASEYKYKEGYRKQLGHHVGFRTLQDDPKLVWSIHAAKIQSDREYKK
+DYEKSKGIHNTPLDMMSIVQAKKCQVLVSDIDYRNYLHQWMCLPDQNDVIQAKKAYELQS
+DNVYKSDLEWLKGIGWLPEGSVEVMRVKNAQNLLNERLYRTRPEALKFTSIVDTPEVIMA
+KINSVQISEPLYRDAWEKEKANVNIPADTPLMLQSKINAVQISNKQYQQAWEDVKMTGYD
+LKADAIGIQHAKASRDIASDYLYKTAYEKQKGHYIGCRNAKEDPKLVWAANVLKMQNDRL
+YKKAYNDHKARITIPVDMVSINAAKEGQALASDVDYRQYLHQWSCFPDQNDVIQARKAYD
+LQSDAIYKADLEWLRGIGWMPEGSPEVLRVKNAQHIFRDSVYRTPVVKLKYTSIVDTPEV
+VLAKSNAENISIPKYREVWDKDKTSIHIMPDTPEINLARTNALNVSNKLYREGWDEMKMS
+CDVRLDAIPIQAAKASREIASDYKYKLDHEKQKGHYVGTRTARDDNKIRWALIAGKIQNE
+REYRLHWAKWKSKFQSPPDMLSIEHSKNSQTLVSDIDYRHYLHQWTCMPDQNDVIQARKA
+YDLQSDAIYKADLEWLRGIGWMPADSVSVNHAKHMADIFNEKKYRTKIETLSFTPVDDRV
+DYVTAKHSGEILNDIKYRKDWNDTKSKYTLTETPQLHTAQEAARILDQYLYKESWEKQKA
+TGYILPPDAVPFVHAHHSGDVQSELKYKAEHVKQKGHYVGVPTMRDDPKLVWFEHAGQIQ
+NDRLYKENYHKTKAKIHIPPDMVSVLAAKEGQALASDIDYRNYLHQWICHPDQNDVIQAR
+KAYDLQSDNIYKADLEWLRGIGWIPLDSVDHVRVTRNQEMMNQIKYKKDALANYPNFTSV
+VDPPEIVLAKINSVNQSDVKYKETFNKLIKGKYIFSPDTPYITHSKDMEKLYSTILYKRA
+WDGTKAYGYTLDERYIPIVGAKHADFVNSELKYKETYEKLKGHYLAGKEISEFPNVVHCL
+DFQKMRSLLNYRRHYEDTKANVHIPQDMMNHVLAKRCQYILSDLEYRHYFHQWTSLPEEP
+NVVRVRHAQEILSDNVYKDDLNWLKGIGCYVWDTPQILHAKKSYDLQSQILYTAAGKENL
+KNYNLVTDTPLYVTALQSGINASEVKYKENYHQTKDKYTTVLETVDYDRIKNLKDLFSSN
+LYKEAWDKVKATSYILPPNTVSLTHAKNQKYMASHIKYREEYEKFKALYTLPRSVEDDPN
+TARCLRVGKFNIDRLYRSVYEKNKMKIHIVPDMVEMVTAKDSQKKVSEIDYRLHLHEWIC
+HPDLQVNSHVRKVTDQISDIVYKDDLTWLKGIGCYVWDTPEILHAKHAYDLRNDIKYKAH
+VLKTRNNYKLVTDTPVYVQAVKSGKQLSDAVYHYDYVHSIRGRVAPTTKTVDLDRALHAY
+KLQSENLYRKAGLHALPTGYRLPVDTPHFKHTKDTRYMSSYFKYKEVYEHMKAYGYTLGP
+NDVPFVNVRRVNNITSERLYRQLYHKLKDKIHTTPDTPEIRQVKKTQEAVSELIYKSDFF
+KMQGHMISLPYTPQVLHCRYVGDITSDIKYKEDLQVLRGMGCFLYDTPDMVRSRHLRKLW
+SHYLYTDKARKMRDKYKVVLDTPEYRKVQELKTHLSELVYRAAGRKQKSIFTSVPDTPDL
+TRAKRGQKLQSQYLYVELATKERPHHHAGNQTTALKHARHVKDMVSENKYKIQYEKMKDK
+YTPVPDTPILIRAKRAYWNASDLRYKETFQKTKGKYHTVKDALDIVYHRTVTDHISKIKY
+KENYMSQLGIWRSIPDRPEHFHHRAVTDAVSDVKYKQDLTWLKGIGCYAYDTPDFTLAEK
+NKTLYSKYKYKEVFERTKSNFKYVADCPINRHFKFATQLMNERKYKSSAKMLLKQGCNEI
+LRPDMLTALYNTYMWSQIKYRKNYEETKDKFTSIVDTPEHLRTTKVNKQISDILYKLEYN
+KAKPRGYTTIHDTPMLLHVRKVKDEVSDLKYKEVYQRTKSNCTIEPDAVHIKAAKDAYKV
+NTNLDYKKKYEATKAYWKWTPDRPDFIQAAKSTLQQSDFEYKLDREYLKGCKLSVTDDKD
+MVLALKNSIIESDLKYKEKHVKERGSCHAVPDTPQILLAKTVSSLVSENKYKSYVKKHLA
+QGSYTTLPETRDTIHVKEVTKNVSDTNYKKKFVKEKGKSNYSIMLEPPDVKHAMDVAKKQ
+SNVAYKKDAKENLHYTTVADRPDIKKATQAAKQASEVEYRAKHRKEGSHGLSMLGRPDIE
+MAKKAAKLSSQVQYRENFNKEKGKTPKYNPKDSQLYKVMKDANTLASEVKYKADLKKLHK
+PVTDMKESLIMNHVLNTSHLASSYQYKKNYEKSKGHYHTIPDNLEQLHLKEATELQSIVK
+YKEKYEKERGKPMLDFETPTYITAKESQQMQSGKEYRKDYEESIKGRNLTGLEVTPALLH
+VKYATKIASEKEYRKDLEESIRGKGLSEMEDTPDMLRAKNATQILNEKEYKRDLELEVKG
+RGLNAMANETPDFLRARNATDIASQIKYKQSAEMEKANFTSVVDTPEIIHAQQVKNLSSQ
+KKYKEDAEKCMSYYETVLDTPEMQRVRENQKNFSLLQYQYDLKNSKGKITVVQDTPEILR
+VKENQKNFSSVLYKEDVSPGTAIGKTPEMMRVKQTQDHISSVKYKEVIGQGTPIPDLPEV
+KRVKQTQKHISSVMYKENLGTGIPTPVTPEIERVKRNQENFSSNLSLKHEVTSKSIILTK
+YNSLKLPPHTPLPPVLYKENLGKGTPTPITPEMERVKRNQENFSSILYKENLSKGTPLPV
+TPEMERVKRNQENFSSVLYSDSFRKQIQGKAAYVLDTPEMRRVRETQRHISTVKYHEDFE
+KHKGCFTPVVTDPITERVKKNTQDFSDICYRGIQRKVVEMEQKRNDQDQETITGLRVWRT
+NPGSVFDYDPAEDNIQSRSLHMINAQAQRRSREQSRSASGLSISGGEEKSEHSEAAHLST
+YSDGGVFFSAASTGYKHARTTELPQQRSSSVATQQTTVSSIPSHPSTAGKIFRAMYDYMA
+ADADEVSFKDGDAIVNVQAIDEGWMYGTVQRTGRTGMLPANYVEAI
+>tr|A0A3Q1LZ59|A0A3Q1LZ59_BOVIN Myotubularin related protein 14 OS=Bos taurus OX=9913 GN=MTMR14 PE=4 SV=1
+MAGARAAAAASAGSSASSGSPQPQEPGLGELLEEFSRTQYRAKDCSGTGGSKVERIEKRC
+LELFGRDYCYSVIQNVNGDICGHYPQHIVFLEYESSEKEKDTFQSTVQVSKLQDLVNRSK
+TARCRGRFVCPVILFKGKHICRSATLAGWGELYGRTGYNYIFSGGADDAWADAEDLTEED
+CALRSSDTHLFDKVRGYDIKLLRYLSVKYICDLMVENKKVKFGMNVTSSEKVDKAQRYAD
+FTLLSIPYPGCEFFKEYKDRDYMAEGLIFNWKQDYVDAPLSIPDFLTCSLNIDWSQYQSW
+DLVQQTQNYLKLLLSIINNDDDSGLLVHCISGWDRTPLFISLLRLSLWADGLIHTSLKPA
+EILYLTVAYDWFLFGHMLVDRLSKGEEIFFFCFNFLKHIISEEFSALKTQRRKSLPARDA
+GFTVEDICMLRRKDRGSTTSLGSDFSLVMESSPGAAGSFTYETVELVPAGSQTQAAWRKS
+HSSSPQSMLWNRPQPSEDRLPPHQGLVEAKSSSSSSSNHSDNFFRMGSSPLEVPKPRSVD
+HPLPGSSLSTDFGSWQMVTGCGSIQERAVLHTDSSLPFSFPDELPNNCLLTALSERETRL
+QEVRSAFLAAYSSTVGLRAAAPSPSGAIGGLLEQFVRGVGLRGTSSSTL
+>tr|A0A3Q1LX54|A0A3Q1LX54_BOVIN LTO1, ABCE1 maturation factor OS=Bos taurus OX=9913 GN=LTO1 PE=4 SV=1
+MAGDQDMFDAVVMADERFHGEGYREGYEEGSSLGMIEGRQHGTLHGAKIGSEIGCYQGFA
+FAWRGLLHSCATERDRKSRCMEILEGVRLIPRSKCAPFPWSGMDSVPGKLELQFVGGGPV
+LRGQLHPLWV
+>tr|E1BLG8|E1BLG8_BOVIN AMP deaminase OS=Bos taurus OX=9913 GN=AMPD2 PE=3 SV=2
+MASEARGGLGAPPLQSARSLPGPAPCLKHFPLDLRTSMDGKCKEIAEELFSRSLAESELR
+SAPYEFPEESPIEQLEERRQRLERQISQDVKLEPDILLRAKQDFLKTDSDSDFQLYKEKG
+EGQGDRGLWERDAVLEREFQRVTISGEEKCGVPFTDLLDAAKSVVRALFIREKYMALSLQ
+SFCPTTRRYLQQLAEKPLETRTYEQGPDTPVSADAPVHPPVLEQHPYERCEPSTMPADLG
+LGLRMVRGVVHVYTRREPDEHCSEVELPYPDLQEFVADVNVLMALIINGPIKSFCYRRLQ
+YLSSKFQMHVLLNEMKELAAQKKVPHRDFYNIRKVDTHIHASSCMNQKHLLRFIKRAMKR
+HLEEIVHVEQGREQTLREVFESMNLTAYDLSVDTLDVHADRNTFHRFDKFNAKYNPIGES
+VLREIFIKTDNRVSGKYFAHIIKEVMSDLEESKYQNAELRLSIYGRSRDEWDKLARWAVT
+HRVHSPNVRWLVQVPRLFDVYRTKGQLANFQEMLENIFLPLFEATIHPASHPELHLFLEH
+VDGFDSVDDESKPENHVFNLESPLPEAWVEEDNPPYAYYLYYTFANMAMLNHLRRQRGFH
+TFVLRPHCGEAGPIHHLVSAFMLAENISHGLLLRKAPVLQYLYYLAQVGIAMSPLSNNSL
+FLSYHRNPLPEYLSRGLMVSLSTDDPLQFHFTKEPLMEEYSIATQVWKLSSCDMCELARN
+SVLMSGFSHKVKSHWLGPSYTKEGPEGNDIRRTNVPDIRVGYRHETLCQELALITQAVQS
+EMLETIPEEGGITMSPGPQ
+>tr|A0A3Q1MFT4|A0A3Q1MFT4_BOVIN Cache domain containing 1 OS=Bos taurus OX=9913 GN=CACHD1 PE=4 SV=1
+MTREPEEEEAAGAAALARGSRGSLGRIQARRPPLWLLCLAVGWLLGAGADAEFSILDEAQ
+VLASQMRRLAAEELGVVTMQRIFNSFVYTEKISNGESEVQQLAKKIREKFNRYLDVVNRN
+KQVVEASYTAHLTSPLTAIQDCCTIPPSMMEFDGNFNTNVSRTISCDRLSTTVNSRAFNP
+GRDLNSVLADNLKSNPGIKWQYFSSEEGIFTVFPAHKFRCKGSYEHRSRPIYVSTVRPQS
+KQVVVILDHGASVTDTQLQIAKDAAQVILSAIDEHDKISVLTVADTVRTCSLDQCYKTFL
+SPATSETKRKMSTFVSSVKSLDSPTQHAVGFQKAFQLIRSTNNSTKFQANTDMVIIYLSA
+GITSKDSSEEDKKATLRVINEENSFLNNSVMILTYALMNDGVTGLKELAFLRDLAEQNSG
+KYGVLDRIALPVIKGSMMVLNQLSNLETTVGRFYTNLPNRMIDEAVFSLPFSDEMGDGLI
+MTVSKPCYFGNLLLGIVGVDVNLAYILEDVTYYQDSLASYTFLIDDKGYTLMHPSLTRPY
+LLSEPPLHTDIIHYENIPKFELVRQNILSLPLGSQIIAVPVNSSLSWHINKLRETGKEAY
+NVSYAWKMVQDTSFILCIVVIQPEIPVKQLKNLNTVPSSKLLYHRLDLLGQPSACLHFKQ
+LATLESPTVMLSAGSFSSPYEHLSQPETKRMVEHYTAYLSDNTRLIANPGLKFSVRNEVM
+ATSHVTDEWMTQMEMSSLNTYIVRRYIATPNGVLRIYPGSLMDKAFDPTRRQWYLHAVAN
+PGLISLTGPYLDVGGAGYVVTISHTIHSSSTQLSSGHTVAVMGIDFTLRYFYKVLMDLLP
+VCNQDGGNKIRCFIMEDRGYLVAHPTLIDPKGHAPVEQQHITHKEPLVANDILNHPNFVK
+KNLCNSFSDRTVQRFYKFNTSLVGDLTNLVHGSHCSKYRLARIPGTNAFVGIVNETCDSL
+AFCACSMVDRLCLNCHRMEQNECECPCECPLEVNECTGNLTNAENRDCFGVLDCEWCMVD
+SDGKTHLDKSYCAPQKECFGGIVGAKSPYVDDMGSIGDEVVTLNMIKSAPVGPVAGGIMG
+CIMVLVLAVYAYRHQIHRRSHQHMSPLAAQEMSVRMSNLENDRDERDDDGPEDRGIISNT
+RFIAAVIERHAHSPERRRRYWGRSGTESDHGYSTMSPQEDSENPPCTNDPLSAGVDVGNH
+DEDLELDTPPQTAALLSHKFHHYRLHHPTLHHSHHLQAAVTVHTVDAEC
+>tr|G3X7Z4|G3X7Z4_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=2
+MSLSLLCWVALFLWGAGSMDTEVTQSPGHLVKGKGQKAKMDCVPIKGHVHVYWYHKKPEG
+AFEFLVYLWNGKVTEDTAMFKQRFSAECPQNSPCSLEINSTEAADSALYFCASSQSTVLH
+VSSSSNTNSPWTQLRKSVVL
+>tr|F1MHS0|F1MHS0_BOVIN KIAA0586 OS=Bos taurus OX=9913 GN=KIAA0586 PE=4 SV=3
+MDPEENSVQNCGTRFVTSNMKGSEFCLEKKKKVKVPARRLREIVSPNQGDNLALLKGELS
+RVPPALSANKRLPVRTGKSLNGTLCGSSDLTSARNYQQPPVENPTVSESDFSKDAAVQVL
+PLNKMEENRQKANDIFISQYTVGQKDALRAVLKQKTQSMPVFKEVKVQLLEDAGTEKDPV
+TQENRISPSGIDSATTVAAATAAAIAAAAPLIKVQSELEAKVNSVTELLNKLQETDKQLQ
+RVTEQQTTMQNKQETLHCHDHEKQMNVFMEQHIRHLEKLQQQQIDIQAHFISAALKTSSF
+QPVTVPPSRAVEKYSVKPDRLNFGSSNLSSHNVFTSKQAPSREVEDTSFDKQRSPLETPA
+PRRFAPVPVSKDDKISKKENPIEEKENMELSCHAGSVGFLEQILNNHDSLTRISESSDKT
+SLARSKMGWNPERRNSLDTIATQRFPSCEELGTAKVTVQKSDDIHELDQKRKETNSILQL
+KESQMSGLADLPQNSVKLQITNTRSVLKDAEKILRGVQNNKKVLEENLEAIIRAKDGAAM
+YSFINALSNNREISEKIRIKKTVDDWIKTISAEIQDELTRKDYEQKRFDQKNERNKRAHN
+MNKYIKTNTQDKTVNNSVILRKHSQKQKEDHLRNPPIRSMPASSLQKERKEGFLKATTVV
+QDKDYMLQIYGKPVYQGHRSTLKKGPYLRFNSPSPKSRPQRPKVIERVKGTKVKSIRTQT
+DFSATKPTKVDSKLQHSITTLSPGDQQYLFSPSREMPTFSGTLEGHLIPMAVLLGQTQSN
+SDSMPPAGVIVNKPHPVTVTTSIPPSSRKIEPGVKKPNIAVVEMKSEKRDPPQLSVQVLP
+NVDIDSISDGSAEVGLSPSSPKEASSPPLRTWIQAPEFTKADEEEMKFPGMNFDEVIDIV
+QEEEKCDEISEYSEPILEFNRSVKAVSTKYNGPPFPPVASTSQPTTDILDKVIHRKETLE
+NSLIQWVEQEIMSRMISGLLPIQQQATANVSVSVSEASEPLTSDIVEGTSSGALQLFVDA
+GVPVNSDMIRHIVNEALAETIAVMLGDREAKKPDPVVTGVSGDVSTSETHLPARVCTPLA
+TPQPTPPRSPSSPPKEHVLVKTPDSSPSVSDHDVAFPMKEILAEEGDDVPAITLVNTPAV
+TPVTTPPPAAALTPTLSETSIDKLKMSSPELPKPWSDGDLPLEEENPNSLQEELHPRAIV
+MSVAKDEEPESEDFPAQLAPPKPVALMPLPAGIKAPSPIQMPSSDSSTVESTLSVTATES
+ETLDRPISEGEILFNCGLKVAPKILGDEGLYLANLNDSLSSTLQDALEMEDDPPSEGQVI
+RMPHKKVHADAVLSLLAKQNPKSLVSQQAIYHSEDLENSVGELSEGQRLTAAAAENLFRE
+LSAYVQQPVAEAESSDAKCAPKPSSQQCDTVADAVYEDLCASHGPMSLGELELQPDPHVT
+LPTTLLTAQERDVKLSEAAEGFPQYPQKQGQDVKQVEHKPVQSRLIRVRNKPDISLSQQQ
+ASPGDTDQTQIEPSLYLTSLFTGGKAVPLFTSQTSPAKMSVTLPSVNLEDCSQSLSVGTV
+QGDADSSGADTF
+>tr|F1MX01|F1MX01_BOVIN PARN like, ribonuclease domain containing 1 OS=Bos taurus OX=9913 GN=PNLDC1 PE=4 SV=3
+MKSLAMVCAWGLFFFFFFFAFPAGLDIEFTGLRSNLSGPQQISLFDLPSEWYLKTRQSVQ
+QFTICQIGLSVFSSIEGESNKYVAHSCNFFLFPTTFGVLDSEFSFQASSVQFLNQYGFDY
+NKFLKNGIPYMNEEQEKKIKHNILTGNWKVRSSLDKDQIKVVIDEVTRWLDLAEEGDWMT
+LPGIAGFQAFEVQLVLRKALPDIWTVLRDQGVIVKKVSTQHRWYLETTSCDRESCWKEKI
+LLSARGFSVFFQMLVKARKPLVGHNMMMDLLHLHEKFFRPLPESYDEFKLNIHNLFPVLI
+DTKNVTKDIWKELNFPRVSNLSEVYEVLNSDLNPTKNSGPVIIHASRCEKYVETKYPHEA
+AYDAFLCGSVLLKVAHLLLWKVHSAVPIPEPSFPLYLDVLAPYVNQVNLIRAGVPKINFA
+GPDSPSIRPPILILTVRKWPGVSEQQVYREFQNLCKFDVRRLTRSQFLLMTNKFKDTRSI
+LKEYRCHPTLRISLYRYWRHSPDINCLLQVCSVITTWAFIAFLLGGAGL
+>tr|A0A3Q1M0L7|A0A3Q1M0L7_BOVIN Poly(A)-specific ribonuclease PARN OS=Bos taurus OX=9913 GN=PARN PE=4 SV=1
+MEIIRSNFKSNLHKVYQAIEEADFFAIDGEFSGISDGPSVTALTNGFDTPEERYQKLKKH
+SMDFLLFQFGLCTFKYDYTDSKYITKSFNFYVFPKPFNRSSPDVKFVCQSSSIDFLASQG
+FDFNKVFRNGIPYLNQEEERQLREQYDEKRSQSNGAGALSYTSPNTSKCPVTIPDDQKKF
+IDQVVEKIEDLLQSEENKNLDLEPCTGYPKGIHVETLETEKKERYIVISKVDEEERKRRE
+QQKHAKEQEELNDAVGFSRVIHAIANSGKLVIGHNMLLDVMHTVHQFYCPLPADLNEFKE
+MTTCDIINNTSLAELEKRLKETPFNPPKVESAEGFPSYDTASEQLHEAGYDAYITGLCFI
+SMANYLGSFLSPPKSHVSARSKLIEPFFNKLFLMRVMDIPYLNLEGPDLQPKRDHVLHVT
+FPKEWKTSDLYQLFSAFGNIQISWIDDTSAFVSLSQPEQVPIAVNTSKYAESYRIQTYAD
+YVGKKREEKQMKRKWTEDSWKEVEPKRLNTQCGSYSLQNHHYHANSLTATSTVGKRNLSP
+SRAEAGLEARASGEISDTELEQTDPCAEPLSEGRKKAKKLKRMKKDLSPTGLYRVSPRAW
+S
+>tr|A0A3Q1LNK8|A0A3Q1LNK8_BOVIN Calcium homeostasis endoplasmic reticulum protein OS=Bos taurus OX=9913 GN=CHERP PE=4 SV=1
+MEMPLPPDDQELRNVIDKLAQFVARNGPEFEKMTMEKQKDNPKFSFLFGGEFYSYYKCKL
+ALEQQQLICKQQAPELEPAATLPPLPQPPLAPAAPVAPAQGTPSMDELIQQSQWNLQQQE
+QHLLALRQEQVTAAVAHALEQQMQKLLEETQLDMNEFDSLLQPIIDTCTKDAISAGKNWM
+FSNAKSPPHCELMAGHLRNRITADGAHFELRLHLIYLINDVLHHCQRKQARELLAALQKV
+VVPIYCTSFLAVEEDKQQKIARLLQLWEKNGYFDDSIIQQLQSPALGLGQYQATLITEYS
+SVVQPVQLAFQQQIQTLKTQHEEFVNSLTQQQQQQQQQQQQIQMPQMEADVKATPPPPAP
+PPAPTPAPAIPPTTQPDDSKPPIQMPGSSEYDTSGGVQDPAASGPRGPGPHDQIPPNKPP
+WFDQPHPVAPWGQQQPPEQPPYPHHQGGPPHCPPWNNSHEGMWGEQRGDPGWNGQRDAPW
+NSQPDPNWNSQFEGPWNSQHEQPPWGGGQREPPFRMQRPPHFRGPFPPHQQHPQFNQPPH
+PHNFNRFPPRFMQDDFPPRHPFERPPYPHRFDYPQGDFQAEMGPPHHHPGHRMPHPGINE
+HPPWGGPQHPDFGPPPHGFNGQPPHMRRQGPPHINHDDPSLVPNVPYFDLPAGLMAPLVK
+LEDHEYKPLDPKDIRLPPPMPPSERLLAAVEAFYSPPSHDRPRNSEGWEQNGLYEFFRAK
+MRARRRKGQEKRNSGPSRSRSRSKSRGRSSSRSNSRSSKSSGSYSRSRSRSCSRSYSRSR
+SSFHSNKCIRSFVKDVTCLNFSSSAGLGSNSAPPIPDSRLGEENKGHQMLVKMGWSGSGG
+LGVKEQGIQDPIKGGDVRDKWDQYKGVGVALDDPYENYRRNKSYSFIARMKAREECK
+>tr|A0A3Q1MM45|A0A3Q1MM45_BOVIN Amyloid beta precursor protein binding family B member 3 OS=Bos taurus OX=9913 GN=APBB3 PE=4 SV=1
+MLGKDYMLAIILVNCDDDLWGDQSLEGEPGLPPGWRKIRDAAGTYYWHVPSGSTQWQRPT
+WESGDAEDPGKKTEGIWGLRPPKGRSFSSLESSLDQSNSLSWYGEESYIQRMEPGAKCFA
+VRSLGWVEVPEEDLVPGKSSIAVNNCIQQLAQTRSRSQPPDGAWGEGQNMLMILKKDAMS
+LVNPLDHSLIHCQPLVHIRVWGVGSSKGRDRDFAFVAGDKDSCMLKCHVFRCDVPAKAIA
+SALHGLCAQILSERVEVSGDSPCCSLDPITPEDLPRQVELLDAVSQAAQKYEALYMGTLP
+VTKAMGMDVLNEAIGTLTTRGDQDTWVPAMLSVSDSVMTAHPIQAEAGAEEEPLWQCPVR
+LVTFIGVGRDPHTFGLIADLGHQSFQCAAFWCQPHAGGLSEAVQAACMVQYQKCLVASAA
+RGKAWGAQARARLRLKRTSSVDSPGGPLPLPMLKGGVGGAGAAPRKRGVFSFLDAFRLKP
+SLLHMP
+>tr|A0A3Q1M942|A0A3Q1M942_BOVIN tRNA-dihydrouridine(47) synthase [NAD(P)(+)] OS=Bos taurus OX=9913 GN=DUS3L PE=3 SV=1
+MAEGAAVVSAESDGGGDLGTVEPERGVAPIKLQYLTTKEQFHEFLEAKGQEKPSQESEAV
+DPAGNDLAEPEAKRIRLEDGQTEDGQAEEAAEPGEQLQAQKRARGQNKCRPHVKPTHYDK
+NKLCPSLVQESAARCFYGDRCRFLHDVGHYLETKPADLGPSCVLFETFGRCPYGVTCRFA
+GAHLGPEGQNLVREGLVQAPPVRNGLDKALQQQLRKRKIHFKRAEQALRQLSRGPLPGPT
+PEATVPEGREAEGAPGQDNCDIQLAPQEPDTVGPPSGPLRTCGPLTDEDVVRLRPHEKRR
+LDISGKLYLAPLTTCGNLPFRRICKRFGADVTCGEMAVCTNLLQGQTSEWALLKRHPCED
+IFGVQLEGAFPDTMTKCAELLNRTIEVDFVDINVGCPIDLVFKKGGGCALMNRLAKFQQI
+VRGMNQVLDVPLTVKLRTGVQERVNLAHRLLPDLRDWGAALVTLHGRSREQRYTKLADWQ
+YIEQCVAAARPMPLFGNGDVLSYEDANRALQTGVAGVMIARGALLKPWLFTEIKEQRHWD
+ISSSERLDILRDFTHYGLEHWGSDTQGVEKTRRFLLEWLSFLCRWDGAAGRWGGPGTVCG
+EPQPNLIPATLTHRYVPVGLLERLPQRINERPPYYLGRDYLETLMASQKAADWIRIRCPG
+TEALGDRGIDDLVGLG
+>tr|A0A452DJ68|A0A452DJ68_BOVIN Mpv17-like protein 2 OS=Bos taurus OX=9913 GN=MPV17L2 PE=3 SV=1
+MGGAARCAGSCVRRTMPPGGWRWLRGLWAAGQPLFQGRALLVTNTLGCGVLMAAGDGARQ
+TWEIRARPGQKFDPRRSVSMFAVGCSMGPFLHYWYLWLDRLFPASGFPGLPNVLKKVLID
+QLVASPMLGVWYFLGLGCLEGQTLDKSCQELRDKFWEFYKADWCVWPAAQLVNFLFVPPQ
+FRVTYINGLTLGWDTYLSYLKYRIPGPLTPPGCVALGTIQTEPPDARQQGKTD
+>tr|A0A3Q1LZ10|A0A3Q1LZ10_BOVIN Septin 11 OS=Bos taurus OX=9913 GN=SEPTIN11 PE=3 SV=1
+MAVAVGRPSNEELRNLSLSGHVGFDSLPDQLVNKSTSQGFCFNILCVGETGIGKSTLMDT
+LFNTKFESDPATHNEPGVRLKARSYELQESNVRLKLTIVDTVGFGDQINKDDSYKPIVEY
+IDAQFEAYLQEELKIKRSLFNYHDTRIHACLYFIAPTGHSLKSLDLVTMKKLDSKVNIIP
+IIAKADTIAKNELHKFKSKIMSELVSNGVQIYQFPTDEETVAEINATMSVHLPFAVVGST
+EEVKIGNKMAKARQYPWGVVQVENENHCDFVKLREMLIRVNMEDLREQTHTRHYELYRRC
+KLEEMGFKDTDPDSKPFSLQETYEAKRNEFLGELQKKEEEMRQMFVMRVKEKEAELKEAE
+KELHEKFDLLKRTHQEEKKKVEDKKKELEEEVNNFQKKKAAAQLLQSQAQQSGAQQTKKD
+KDKKNSPWLCTE
+>tr|A0A3Q1N2W6|A0A3Q1N2W6_BOVIN Regulator of G protein signaling 13 OS=Bos taurus OX=9913 GN=RGS13 PE=4 SV=1
+MSRRNCWICKMCRDESKRPPSNLTLEEVLQWAQSFETLMATKYGPVVYAAYLKTEHSDEN
+IKFWMACETYKKTASQWSRTSRAKKLYKVYIQPQSPREINIDSSTREAIIKNIQEPTQTC
+FEEAQKIVYMHMERDSYPRFLKSEMYQKLLKTIQANNNS
+>tr|A0A3Q1LS66|A0A3Q1LS66_BOVIN Erbb2 interacting protein OS=Bos taurus OX=9913 GN=ERBIN PE=4 SV=1
+MTTKRSLFVRLVPCRCLRGEEETVTTLDYSHCSLEQVPKEIFTFEKTLEELYLDANQIEE
+LPKQLFNCQSLHKLSLPDNDLTALPASIANLINLRELDVSKNGIQEFPENIKNCKVLTVV
+EASVNPISKLPDGFSQLLNLTQLYLNDAFLEFLPANFGRLTKLQILELRENQLKMLPKTM
+NRLTQLERLDLGSNEFTEVPEVLEQLSGLKEFWMDGNRLTFIPGFIGSLKQLTYLDISKN
+NIEMVEEGISGCENLQDLLLSSNSLQQLPETIGSLKNVTTLKIDENQLMYLPDSIGGLVS
+IEELDCSFNELEALPSSIGQLTNIRTFAADHNYLQQLPPEIGSWKNITVLFLHSNKLETL
+PEEMGDMQKLKVINLSDNRLKNLPFSFTKLQQLTAMWLSDNQSKPLIPLQKETDSETQKM
+VLTNYMFPQQPRTEDVMFISDNESFNPSLWEEQRKQRAQVAFECDEDKDEREAPPREGNL
+KRYPTPYPDELKNMVKTVQTIVHRLKDEETNEDSGKDLKPHEDQQVVNNDVGVKTSESIT
+PVRSKADEREKYMIGNSIQKTSEPEAEVSSGNLPVTANVKASENLKHIVNHDDVFEESEE
+LSSDEEMKMAEMRPPLIETSINQPKVVALSSNKKDDTKDTDSLSDEVTHNSNQNNSNCSS
+PSRMSDSVSLNTDSSQDTSLCSPVKQTHIGINSKIRQEDENFNSLLQNGDILNHSTEEKI
+KVPDKKDFNLPEYDLNIEERLVLIEKGVDSTATSDESHKLDHINMNLNKLVTNDTFQPEI
+VERSKTQDTALGMGFLSNNAKGEAEHLENGNKFPNVECINKVNGHSEETPQSPRRTEPHD
+TDSSVDVGHSKSTEDLSPQKSGPIGPVVKSHSITNMETGGLKIYDILSDNGPQQPSATAK
+VTSTIDGKNIVRSKSATLLYDQPLQVFTGSSSSSDLISGAKAIFKFDSNHNPEEPHIRGP
+TTSGPQSVPQMYGPPQYNIQYSGSAAVKDTLWHSKQNPQVDPVSFPSQRFPRSESTESHS
+YAKHSANMNFSNHNNVRANTGYHLHQRLGPGRHGDMWAISPNDRLIPGATRTTIQRQSSV
+SSAASVNLGEPGSTRRTQVPEGDYLSYRELHSVGRTPPMMSGSQRPLSARTYSVDGPHAS
+RPQSARPSVSEIPERTMSVSDFSYSRTSPSKRPNTRVGSEHSLLDPPGKSKVPHDWREQV
+LRHIEAKKLEKMPLSNGQMGQPLRPQANYSQIHHPLPQASVARHPSREQLIDYLMLKVAH
+QPPYTQPHCSPRQGHELARQEIRVRVEKDPELGFSISGGVGGRGNPFRPDDDGIFVTRVQ
+PEGPASKLLQPGDKIIQANGYSFINIEHGQAVSLLKTFQNTVELIILREVSS
+>tr|F1ML45|F1ML45_BOVIN Serine palmitoyltransferase long chain base subunit 3 OS=Bos taurus OX=9913 GN=SPTLC3 PE=3 SV=3
+MADPGGGAVYNGTPHNQSAADCHGGNCWKNGVVKESQQNGNSNFYRPIVESFEEAPLHVM
+VFTYLGYGIGTLFGYLRDFLRNSGIEKCNAAVEREEQKDFVPLYQNFENFYIRNIYMRIR
+DNWNRPICSAPGAVFDVMERVTDDYNWTFRFTGRIIKDVINMGSYNFLGLAAKYDESMRT
+VKEVIETYGLGVGSTRQEMGTLDKHKELEDLVAKFLNVEAAMVFGMGFATNSMNIPALVG
+KGCLILSDELNHASLVLGARLSGATIRIFKHNNVQSLEKLLRDAVIYGQPRTRRAWRKIL
+ILVEGIYSMEGSIVHLPDIVALKKKYKAYLYMDEAHSIGSVGPTGRGVVEFFGLDPRDID
+VYMGTFTKSFGAAGGYIAGRKDLVDYLRTHSHSAAYGTSMSPPVAEQIIRVMKLIMGLDG
+TTEGLQRVQQLAKNTRYFRQRLSKMGFIVYGNEESPVVPLLLYMPAKVAAFARHLLNRKI
+GVVVVGFPATPLAEARARFCVSAAHTQEMLDTVLEALDEMGDLLNLKYSRNRKSARPELY
+DEMSFELED
+>tr|E1BLV9|E1BLV9_BOVIN KIAA1522 OS=Bos taurus OX=9913 GN=KIAA1522 PE=4 SV=3
+MVVFLGRHLPALLGLFKKKGSAKAESDKRLSVGPGQGPGSVVDDHQDNVFFPTGRPPHLE
+ELHTQAQEGLRSLQQQEKQKLNKSAWDHGDTQSLQSSRTGPDDDSISFCSQTTSYTAESS
+TAEDALSIRSEMIQRKGSTFRPHDSFPKPSGKSGRRRRERRSTVLGLPQHVQKELGLRNE
+REPPGTPRPPGPRDAVRIPTVDGRPAGPAAGPGARVSLQALEAEAEAGAEALLQRHIDRI
+YRDDTLFGRSTGARPPPLIRPMSLAVPGLTGGAGPPEPLSPAMSISPQATYLSKLIPHAV
+LPPTVDVVALGRCSLRTLSRCSLLSASPASVRSLGRFSSVSSPRPRSRHPSSSSDTWSHS
+QSSETIVSDGSTLSSKGGSEGRPEGSVANSSVAPPPQGGSGRGSPSGGSTAEASDTVSIR
+SGGQLSGRSVSLRKLKRPPPPPRRTHSLHQRSSAVPDGPLGLPPKPERKQQLQPPRPPTT
+GGSEGMAAPHCPPSSAGGWVSGLSPGGSRRPPRSPERTLSPSSGYSSQSGTPTLPPKGLA
+GAPASPGKAQPPKPERVTSLRSPGASVSSSLTSLCSSSSDPAPSDRSGPHMSTALGDRFV
+IPPHPKVAAPFSPPPSKPKSPNQAALAPAVVPGPVSTTAASPESPPTPQTSLTPPQASPA
+ASKDQSPPPSPPPSYHPPPPPTKKPEVVEGALSAPETAEELLQDPNWPPPPPPTPEEQDL
+SMADFPPPEEAFFSVASPEPAGPSPLPEASLPAVSFQNQPSGTPDPPPAPPAPPAAGSVA
+GLLAKAPRKEPVGCSKGGGLPREDASAPLVTPSLLQTVRLRSVGAPTVTPNPASGPSPPQ
+KPLRRALSGRASPASAASSGLHAAVRLKASNLVVSTGPLGAQPNGPPEAEPRSPASTASF
+IFSKGTKKLQLERPVSPETQADLQRNLVAELRSISEQRPPQAPKKTPKAPPPVARKPSGG
+IPLPTSPSFPRAEPLTAPPTNGLPHAEDRTKEELAENGSVLQLVGPDEQKLGPPSTDA
+>tr|A0A3Q1M2M8|A0A3Q1M2M8_BOVIN LIM and calponin homology domains 1 OS=Bos taurus OX=9913 GN=LIMCH1 PE=4 SV=1
+MACPVLGLEALQPLQPEPPPEPAFSEAQKWIEQVTGRSFGDKDFRTGLENGILLCELLNA
+IKPGLVKKINRLPTPIAGLDNIILFLRGCKELGLKESQLFDPSDLQDTSNRVAVKSLDYS
+RKLKNVLVTIYWLGKAANSCASYSGTTLNLKEFEGLLAQMRKETDDIESPKRSIRDSGYI
+DCWDSERSDSLSPPRHGRDDSFDSLDSFGSRSRQTPSPDVVLRGSSDGRGSDSESDLPHR
+KLPDVKKDDMSARRTSHGEPKSAVPFNQYLPNKSNQTAYVPAPLRKKKAEREEYRKSWST
+ATSPLGGERPFSYPETIEEEEGEVGSHCEEDPVSQMDTSGKPPDGGSELPTSCGKEQPPP
+EGAVVAPAPKSEEKAAAEMQKRRRLEQAGIKVMPAAQRFASQKQLNDEKEAVRNIILRKE
+NPFLVHQHGRDSESEDEVACRLPDLEKDDFAARRARMNQTKPVVPLNQLLYGPYRKKEAE
+KSDGSKQHSKGISEKKTLEHKRNQGQTEEVKSRVTCIVRAQESEPAEAGLRKVPDLYKDD
+LAQRRIQSRLGPHREAPSFITVASITEADLETWERLKVSEKTRDGDVEHTCAPEPSPEIK
+AETAIRDDFASRKARAYKKASSSPRQKFVHFGPVTEIDQQTWKRLSIGRAGPRADAEEGI
+SHDSKTHTCAVSAASAATSSLKEDRMLNPQNDCRTVTSDVDDCCKRVSQLAPVPESQEER
+SFSAGEGPRRTEEVTRKQQPQDRKEEPEGVAQRDSEMAPKAERSEEGGQPLYGPRTPVSD
+DAESTSMFDMRCEEEAAVQPHSRARQEQLQLINSQLREEDDKWQDDLARWKSRRRSASQD
+LIRKEEERKKMEKLLAGEDGTRERRKSIKTYREIVQEKERRERELHEAYKNARTQEEAEG
+ILQQYIERFTISEAVLERLEMPKILERSHSTEPNLSPFLSDPNPMKYLRQQSLPPPKFTA
+TVETTIARPSVLDASMSAGSGSPSKTVSPKAVPMLTPKPYSQPKNSQEVLKTFKVDGKVT
+MNGETTHGDEEEKERECPTVALAPSLTKSQMFEGEARVHGSPVELKQDSNSIEINIKKPS
+SLPQELTATTEETEANSREDENDGEEAEKGNTEVAPSEPQLFTTTVTRSSPTVAFVELSP
+SPQLKNEVPEEKEQKKPENEMSGKVELVLSQKVVKPKSPEPEATLTFPFLDKMPETNQSP
+LPNLNSQESPGTASIPLRVQNSWRRSQFFSQSVDSPSSEKSPVTTPQFKFWAWDPEEERR
+RQEKWQQEQERLLQERYQKEQDKLKEEWEKAQKEVEEEERRYYEEERKIIEDTVVPFTVS
+SSSADQLSTSSSVTEGSGTVNKMDLENCCEEEQETRQKRPLQEDNSDSLLKTREGDPLEE
+KGSSLTQEVLTHSENPASEGIYHQLDTEAGAPQCGVNPQLAQDPSQNQQVSNPPMHILED
+VKPKTLPLDKSINHQIESPSERRKSISGKKLCSSCGLPLGKGAAMIIETLNLYFHIQCFK
+CGICKGQLGDAVSGTDVRIRNGLLNCNDCYMRSRSAGQPTTL
+>tr|A0A452DIJ6|A0A452DIJ6_BOVIN Keratin, type II cytoskeletal 79 OS=Bos taurus OX=9913 GN=KRT79 PE=3 SV=1
+MRSSVSRQTYSTKGAFSSSSASGGGGSQARTSFSSVTVSRNSGRGGGPRCGPSMGGFGSQ
+SLYNLGGSKSISVSVAGGASAGRVLGGFGLGGGAYMGLGACRPMLGPVCPPGGIQQVTVN
+QSLLTPLHVEIDPEIQRVRTEEREQIKTLNNKFASFIDKVRFLEQQNKVLETKWALLQEQ
+GQKSGVTRNNLEPLFEHFINNLRGKLDNLQSERGRLDSELRNVQDLAEDFKTKYEDEINK
+RTAAENEFVVLKKDVDAAYVGRMDLHGMVDHLMGEIDFLRHLYEEELSQVQTHVSDTSVI
+LSMDNNRNLDLDSIIAEVKAQYEQIAQRSRAEAESWYQTKYEELQVTAGKHGDNLRDTKN
+EIAELTRTVQRLQGEADAVKKQCQQLQTAIADAEQHGELALKDAQKKLGDLDAALNQAKE
+DLARLLRDYQALMNVKLALDVEIATYRKLLESEESRWTLSLAAVTGNSTTVCGGGAAGFG
+GGISLGGGGGASKGRFSTNAGYSTVKGGPVSGGTSILRKTTTVKTSSRRY
+>tr|F1MC93|F1MC93_BOVIN Neuronal acetylcholine receptor subunit beta-4 OS=Bos taurus OX=9913 GN=CHRNB4 PE=3 SV=2
+MRSALPLVLFSLVALCGRGDCRVANAEEKLMDDLLNKTRYNNLIRPATSSSQLISIQLQL
+SLAQLISVNEREQIMTTNIWLKQEWTDYRLAWNSSRYEGVNILRIPANRVWLPDIVLYNN
+ADGTYEVSLYTNVVVRSNGSVMWLPPAICKSACKIEVKHFPFDQQNCSLKFRSWTYDHTE
+IDMVLKMPSASMDDFTPSGEWDIVALPGRRTVNPQDPSYVDVTYDFIIKRKPLFYTINLI
+IPCVLITSLAILVFYLPSDCGEKMTLCISVLLALTVFLLLISKIVPPTSLNVPLIGKYLM
+FTMVLVTFSIVTSVCVLNVHHRSPSTHTMAPWVKRCFLHKLPTFLFMKRPDSSPSRAPQS
+SLARLTKSEATTTTTLAMGPTSSSNLYGNSMYFVNPGLAAPKSPVASDSAGIPRDFRLRS
+SGRFRQDVQEALEGVSFIAQHMKSDDLDQSVIEDWKYVAMVVDRLFLWVFVVVCVLGTVG
+LFLPPLFQTHTPSEEP
+>tr|G3N122|G3N122_BOVIN Leucine rich repeat containing 63 OS=Bos taurus OX=9913 GN=LRRC63 PE=4 SV=2
+MQKHPQLLRRPLPPNLPKLSLCQKKVHAVGTGETSLPHVHFVQEKTTSFERDKTSFPVVP
+RDRAQTPVSIQNLFLDHHVQQRVMTISTTRKSSKQVYWHIPETATGSIFFPRCRSASARV
+FGKEISKMEGPEKSEGPLKIQNIYASILKDILILSSEFSRVPSATPSAPSPKLKEVHSDH
+FLQESNIYTFKQPLFRLSATVPIPVPRTPVKARQWSDRVRSRTVTLNITDFPGPMLIPSP
+VLPRKPLRQSVIESRVTEHEHIETVPKQSMLSLHEGSIKSKKIEPTVAPVIQGEGFKTVV
+ATRYETIVAMTNLAIINCQIHGRNALNLKGFFLLNCPDLTPLAFQLIYLNLSYNNICYFP
+TEIYCLKHLQILNLRNNPIKEIPSTIQQLKLLKSLNVAFNLITTLPPGSLEKLMVDGNEL
+TSFPHGILKLNLKKILFENNFTNPIFWKENSMNDPQHLTQIAALFFLKNNLHKYYNVIPE
+EIQKLLKCTSQCEWCHGPMFGEGFRIIRSYDVFGVTQLPVIFYVCSSSCYRKVKESNIVF
+DHVPEKRISLNPELMNPTVMYESHFKNH
+>tr|A0A3Q1MH98|A0A3Q1MH98_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=DUSP28 PE=4 SV=1
+MDPEQAGQRADAAAPPPPFVRVASSLFLGSARAAAAPEPLARAGVTLCVNVSRQQPGPGA
+PGVAELRVPVFDDPAEDLLAYLEPTCAAMEAAVSAGGACLVYCKNGRSRSAAVCTAYLMR
+YRGLSLERAFRTVKSARPVAEPNPGFWSQLQKYEEALQSRSLLPKEPSGPTEP
+>tr|A0A3Q1MGX2|A0A3Q1MGX2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC100296627 PE=4 SV=1
+CYQFSRSVMSDSLRPHESQHTRPPCPSPTPGVHSDSGPSNWLDRLYIVLGTLAAIIHGTG
+FPLIMLVFGDMTDSFAGAGNFGNITFSNMTNESKCFSLYKSGVCAAFLLLFRYAYYYSGI
+GAGVLIAAYIQVSFWCLAAGRQVHRIRKQFFHAIMQQEIGWFDVHDGELNTRLTNDISKI
+NEGIGDKIGMFFQAMATFFTGFIIGFTEGWKLTLVILAISPVLGLSAAVWAKILSSFTDK
+ELLAYAKAGAVAEEVLAAIRTVTAFGGQKKELERYNKNLEEAKRIGIKKAITANISMGAA
+FLLIYASYALAFWYGTSLVLSGEYSIGQVLTVFFSVLIGAFSVGQASPNIEAFANARGAA
+YEVFKIIDHKPSIDSYSNTGHKPDNIKGNLEFRNVHFHYPSRNEVKILKGLNLKVGSGQT
+VALVGNSGCGKSTTVQLMQRLYDPTEGMVSIDGQDIRTINVRYLREIIGVVSQEPVLFAT
+TIAENIRYGREDVTMDEIQKAVKEANAYDFIMKLPNKFDTLVGERGAQLSGGQKQRIAIA
+RALVRNPKILLLDEATSALDTESEAVVQAALDKAREGQTTIVIAHHLSTVRNADVIAGLD
+DGVIVEEGNHNELMGKRGIYFKLVTMSGRSPGEGNGNPIQYSCWENSIDRGNNCTSVQSI
+KISYFPNRFVVIFCVLQDESVPPVSFWRILKLNITEWPYFVVGVCCAIINGALQPGFSVI
+LSRIIGVSVYVHFGDLPVRHPVSLLISLPQDVSWFNDPKNTTGALTTRLASDAAQVKGAI
+GSRLAVITQNIANLGTGIIISLIYGWQLTLLLLAIVPILEVTGVLEMKMLSGQALKDKKE
+LEGAGKIATEAIENFRTVVSLTWEERFEYIYAQSLQVPYRNSLRKAHVFGITFAFTQAMM
+YFSYAGCFQFGAYLVAQGIMEFQDVLLVFSAIVFGAMAVGQVSSFAPDYAKAKVSAAHVI
+NIIEKIPLIDSYSTEGLKPSTVEGNVAFNDVVFNYPTRPDIPVLRGLSLEVKKGQTLALV
+GNSCCGKGTVIQLLERFCDPLAGTVLIDGKEIKQLNVQWLRAHMGIVSQEPILFDCSIGE
+NIAYGDNSRVVSQEEIERAAKEANIHPFIEMLPDKYNTRVGDKGTQLSGGQKQRIAIARA
+LVRQPHILLLDEATSALDTESEKVVQEALDKAREGRTCIVIAHRLSTIQNADLIVVFQNG
+RIKEHGTHQQLLAQKGIYFTMVSVQAGTKRQ
+>tr|E1BGX7|E1BGX7_BOVIN Tensin 2 OS=Bos taurus OX=9913 GN=TNS2 PE=4 SV=2
+MKSSGPVERLLRALGRRDSSRATSRPRKAEPHSFREKVFRKKPPVCAVCKAAIDGTGVSC
+RVCKVATHRKCEAKVTSSCQALPPVELRRNTAPVRRIEHLGSTKSLNHSKQRSTLPRSFS
+LDPLMERRWDLDLTYVTERILAASFPARPDEQRHRGHLRELAHVLQSKHRDKYLLFNLSE
+KRHDLTRLNPKVQDFGWPELHAPPLDKLCSICKAMETWLSADPQHVVVLYCKGSKGKLGV
+IVSAYMHYSKISAGADQALATLTMRKFCEDKVAAELQPSQRRYITYFSGLLSGSIRMNSS
+PLFLHHVLVPVLPAFEPGAGFQPFLKIYQSMQLVYTSGIYHIAGPGPQQLCISLEPALLL
+KGDVMVTCYHKGSRGTDRTLVFRVQFHTCTIHGPRLTFSKDQLDEAWTDERFPFQASVEF
+VFSSSPEKIKGNTPQNEPSVSVDYNTAEPAVRWDSYENFNLHHEDSADDSVTHTRGPLDG
+SPYAQVQRAPRQTPPAPSPEPPPPPLLSVSSDSGHSSTLTTEPAAESPGRPPPTAAERQE
+LERLLGGCGVASGGRGAGRETAILDDEDQPAAGGGPHLGIYSGHRPGLSRHCSCRQGYRE
+PCGVPNGGYYRPEGTLERRRLAFGAYEGPPQGYAEPSVEKRRLCRSLSEGPYPYPSELGK
+PANGDFGYRPPGYREVVILEDPGLPPLCSCPACEEKLALPTAALYGLRLEREAGEGWASE
+AGKPLLHPVRPGHPLPLLVPACGHHHTPLPDYSCLKPPKAGEEGHEGCSYALCPEGRYGH
+PGYPALVTYGYGGAVPSYCPAYGRVPHSCGSPGEGRGYPSPRAHSPRAGSISPGSPPYPQ
+SRKLSYEIPAEEGGDRYLLPGHLAPAGPLASAESPEPVSWREGPSGHSTLPRSPRDAQCS
+AASELSGPSTPLHTSSPVQGKESARRQDTRSPTLAPTQRLSPAEALPPVSQGGADKAPEL
+PARSGPEPPAPGAFSLASPPSSPNDWPQERSPGGRSDSASPRGPVPNTLPGLRHAPWQGL
+RDPPDSPDGSPLTPVPTQMPWLVASPEPPQSSPTPAFPLAASYDINGAPQPPLPEKRHLL
+GPGQQPGPWGPEQASPPARGASHHVTFAPLLPDNTPQPPEPPMQESQSNVKFVQDTSKFW
+YKPHLSRDQAITLLKDKDPGAFLIRDSHSFQGAYGLALKVATPPPSAQSWKGDPSEQLVR
+HFLIETGPKGVKIKGCPSEPYFGSLSALVSQHSISPLSLPCCLRIPSKDPLEEVPEAPVP
+SNMSTAADLLRQGAGSSFAAIIQSTASPFPALTLRTGDGPTPTGPPPRSLVLWPRSREAL
+GRTCVTSLQSLTQISPQAPLSPSSPKFYWARGNEGRPQAPSPRQHCAPLSTTALTSPGLD
+PGDPGAGLSPRSGERTHWPGTLLSSPAPSLLSEVDGPMR
+>tr|E1BDE3|E1BDE3_BOVIN CTD small phosphatase 1 OS=Bos taurus OX=9913 GN=CTDSP1 PE=4 SV=2
+MDSSAVITQISKEEARSPLRSKGDQKSAASQKPRSRGILHSLFCCVCRDDGEALPAHSGA
+PLLVEENGAVPKTPVQYLLPEAKAQDSDKICVVIDLDETLVHSSFKPVNNADFIIPVEID
+GVVHQVYVLKRPHVDEFLQRMGELFECVLFTASLAKYADPVADLLDKWGAFRARLFRESC
+VFHRGNYVKDLSRLGRDLRRVLILDNSPASYVFHPDNAVPVASWFDNMSDTELHDLLPFF
+EQLSRVDDVYSVLRQPRPGS
+>tr|A0A3Q1MKT2|A0A3Q1MKT2_BOVIN TR_THY domain-containing protein OS=Bos taurus OX=9913 GN=LOC100296324 PE=4 SV=1
+NATTRVSPRTITLNQGSVLPEAPPRGLSGLPADPDHPRKEKKLHRCRALGLIGLTAPLPV
+AGVGASWSAARPSPHRPLPVLRPSPSGPAQHSTPTSEPCPSYPAPHTPPLLPTLRGRSTP
+LPPGGASVSSAGLRVAFALLAPATTTKKTPRTPWVGTFHSSPDWRTRGRCQGRASRGGSG
+AGGVVTSGLLASPQVGRHELWGRPAAADTPATRGLPGGTASYQGVWTQGLPHKRIPAPLV
+AQGRGMEPGSSPLTTHVLDTASGLPAQGLYLRLSRLEDCGQQWTELKKSCTDRDGRCPGL
+LPPGQMKAGTYKLSFDTKGYWQKRGQESFYPYVEVVFTITNETHKFHVPLLLSPWSYTTY
+RGS
+>tr|A0A3Q1LQ77|A0A3Q1LQ77_BOVIN RAB11 family interacting protein 5 OS=Bos taurus OX=9913 GN=RAB11FIP5 PE=4 SV=1
+MALVRGAEPAAGPSRWLPTHVQVTVLRARGLRGKSSGAGSTSDAYTVIQVGREKYSTSVV
+EKTPGCPEWREECSFELPPGALDGLLRAQEADAGPAPWAAGSAAACQLVLTTMHRSLIGV
+DKFLGQATVALDEVFGAGRAQHTQWYKLHSKAGKKEKERGEIQVTIQFTRNNLSASMFDL
+SVKDKPRSPFSKIKDKMKGKKKFDLESASAILPSSALEDPELGSLGKMGKAKGFFLRNKL
+RKSSLTQSNTSLGSDSTLSSASGSLAYQGPGAELLTRSPSRSSWLSSEGGRDSAQSPKLL
+THKRTYSDEASQMRAAPPRALLDLQGHLDGASRSSLCVNGSHIYNEEPPAPPRHRSSISG
+PFPPSSSLHAGSSRPSEEGPRSADDSWARGSRRPSSSEAVPGQEELSSQPRVLAVGARRS
+GEEEGARPSEGRPVQVTTPMVASSEAAVEKEGARKDERKPRMGLFHHHHQGLSRSEMGRR
+GSLGEKGSPTLGASPHHSSSGEEKAKSSWFGLREAKEPSQKPSPHPVKPLSTAPVEGSPD
+RKQSRSSLSTALSSGLEKLKTVTSGSIQPVAPAPQVGQTADAKRLKDSGVLDQSAKYYHL
+THDELIGLLLQRERELSQRDEHVQELESYIDRLLVRIMESSPTLLQIPPAPPK
+>tr|A0A3Q1N724|A0A3Q1N724_BOVIN Jade family PHD finger 2 OS=Bos taurus OX=9913 GN=JADE2 PE=4 SV=1
+MEEKRRKYSISSDNSDTTDSHATSASRCSKLPSSTKSGWPRQNEKKPSEVFRTDLITAMK
+IPDSYQLSPDDYYILADPWRQEWEKGVQVPAGAEAIPEPVVRILPPLEGPPTQVSPSGSE
+LGEGSQPDWAGGSRYDLDEIDAYWLELINSELKEMEKPELDELTLERVLEELETLCHQNM
+ARAIETQEGLGIEYDEDVVCDVCRSPEGEDGNEMVFCDKCNVCVHQACYGILKVPTGSWL
+CRTCALGVQPKCLLCPKRGGALKPTRSGTKWVHVSCALWIPEVSIGCPEKMEPITKISHI
+PASRWALSCSLCKECTGTCIQCSMPSCVTAFHVTCAFDHSLEMRTILADNDEVKFKSFCQ
+EHSDGGPRGEAPSEPVEPSPASEDLEKVTLRKQRLQQLEEDFYELVEPAEVAERLDLAEA
+LVDFIYQYWKLKRKANSNQPLLTPKTDEVDNLAQQEQDVLYRRLKLFTHLRQDLERVRNL
+CYMVTRRERTKHAICKLQEQIFHLQMKLIEQDLCRERSGRKTKGKKSDSKRKGREGPKGS
+PEKKEKVKAGSDSVLGQLGLSTSFPIDGTFFNSWLAQSVQITAENMAMSEWSLNNGHRED
+PAPGLLSEELLQDEETLLSFMREPSLRPGDPARKARGRTRLPAKKKPPQDGPGSRTTPDK
+PPKKPWGQDTGSSKGGQGPPARKPPRRTPSHLPSSPTAGDCPIPAAPESPPPLAPETPEE
+AAPVAADSNVQVPGPTASPKPLGRLRLPRESKGTRRSPGARPDAGTGPPSAVAERPKVSL
+HFDTETDGYFSDGEMSDSDVEAEDSGVQPGPREAGAEEVVRMGVLAS
+>tr|A0A3Q1LSK1|A0A3Q1LSK1_BOVIN Solute carrier family 12 member 2 OS=Bos taurus OX=9913 GN=SLC12A2 PE=4 SV=1
+MEPGPTAPSSGAPRLAGVGETPPVAPLAAAGMDLPGTAVPSVPEDAAAVSRGGGGVRGEG
+AAAAGDGLGRPLGPTPSQSRFQVDLVSENAGRAAAAAASAGAGGKETPADGKASVESGQA
+KASEEAKGRFRVNFVDPAASSSADESVSDAAGIGGDGPNVSFQNGGDTVLSEGSSLHSGG
+GGGGSGHHQHYYYDTHTNTYYLRTFGHNTMDAVPRIDHYRHTAAQLGEKLLRPSLAELHD
+ELEKEPFEDGFANGEESTPTREAVVTYTAESKGVVKFGWIKGVLVRCMLNIWGVMLFIRL
+SWIVGQAGIGLSVIVIAMATVVTTITGLSTSAIATNGFVRGGGAYYLISRSLGPEFGGAI
+GLIFAFANAVAVAMYVVGFAETVVELLKEHSILMIDEINDIRIIGAITVVILLGISVAGM
+EWEAKAQIVLLVILLLAIADFVIGTFIPLESKKPKGFFGYKYEIFSENFGPDFREEETFF
+SVFAIFFPAATGILAGANISGDLADPQSAIPKGTLLAILITTLVYIGIAVSVGSCVVRDA
+TGNVNDTIVTELTNCTSAACKLNFDFSSCETNPCSYGLMNNFQVMSMVSGFAPLISAGIF
+SATLSSALASLVSAPKIFQALCKDNIYPAFQMFAKGYGKNNEPLRGYILTFLIALGFILI
+AELNVIAPIISNFFLASYALINFSVFHASLAKSPGWRPAFKYYNMWISLLGAILCCIVMF
+VINWWAALLTYVIVLGLYIYVTYKKPDVNWGSSTQALTYLNALQHSIRLSGVEDHVKNFR
+PQCLVMTGAPNSRPALLHLVHDFTKNVGLMICGHVHMGPRRQAMKEMSIDQAKYQRWLIK
+NKMKAFYAPVHADDLREGAQYLMQAAGLGRMKPNTLVLGFKKDWLQADMRDVDMYINLFH
+DAFDIQYGVVVIRLQEGLDISHLQGQEELLSSQEKSPGVKDVVLSVEYSKKSDLDTSKPS
+SEKSITHKESKGPAVPLNVADQKLLEASTQFQKKQGKNTIDVWWLFDDGGLTLLIPYLLT
+TKKKWKDCKIRVFIGGKINRIDHDRRAMATLLSKFRIDFSDIMVLGDINTKPKKENIVAF
+DEMIEPYRLHEDDKEQDIADKMKEDEPWRITDNELELYKTKTYRQIRLNELLKEHSNTAN
+IIVMSLPVARKGAVSSALYMAWLEALSKDLPPILLVRGNHQSVLTFYS
+>tr|A0A3Q1LT68|A0A3Q1LT68_BOVIN Kinesin light chain 3 OS=Bos taurus OX=9913 GN=KLC3 PE=4 SV=1
+MSVQVAAPGGLGLGLERPSPEELVRQTRQVVKGLEALRAEHRGLAGHLAEALAAQGPAAG
+LELLEEKQQVVSHSLEAIELGLGEAQVLLALSAHVGALEAEKQRLRAQARRLAQENAWLR
+EELEETQRRLRASEEAVAQLEEEKSHLEFLGQLRQYDPPAESQQPESPPRRDSLASLFPS
+EEEERRGPEAVGAAAAQQGGYEIPARLRTLHNLVIQYAGQGRYEVAVPLCRQALEDLERS
+SGHCHPDVATMLNILALVYRDQNKYKEATDLLHDALQIREQTLGPEHPAVAATLNNLAVL
+YGKRGRYREAEPLCQRALEIREKVLGADHPDVAKQLNNLALLCQNQGKFEEVERHYARAL
+SIYEALGGPHDPNVAKTKNNLASAYLKQNKYQQAEELYKEILHREALPAPLGAPNTGTTS
+DTQQQTLRRSSSFSKLRESIRRGSEKLVSRLRGPHVAPASPRAMSLSMLNTDGSRAPENQ
+FPRQHLSEASRTLSTSTQDLGPR
+>tr|G5E544|G5E544_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC515090 PE=3 SV=2
+MRGKNVTQVSAFILVGFPTAPQLQYLLFLLFLLTYLFVLVENLAIILTVWGSPSLHRPMY
+YFLGIMSSLEIWYVCDIIPKMLDGFLLQRRRISFVGCMTQLYFFSSLVCTECVLLASMAY
+DRYVAICHPLRYQAIMTTGLCVQLVAFSFMSGFTISVIKVYFISSAMFCGSNVLNHFFCD
+IAPILKLACMDYSTAELVDFVLAFLILVFPLVATVLSYGHITLAVLHIPSASGRWRAFST
+CASHFTMVTIFYTALLFTYVRPRAIDSRSSNKLISAVYTVLTPIINPLIYCLRNKEFKGA
+LKMALGFGRASL
+>tr|F1N4L1|F1N4L1_BOVIN Anion exchange protein OS=Bos taurus OX=9913 GN=SLC4A2 PE=3 SV=2
+MSSGPRRPASGADSFRTPEPESLGPVTAPGFAAEQEEDELHRTLGVERFEEILQEAGSRG
+GEELGRSYGEEDFEYHRQSSHHIHHPLSTHLPPDTRRRKTPQGPGRKPRRRPGASPTGET
+PTIEEGEEEEDEASEAEGARALSQPSPASTPSSVQFFLQEDEGADRKAETTSPSPPPPLP
+HQEAAPRATKAAQTGAQVEEVVAVASGTAGGDDGGASGRLLTKAQPGHRSYNLQERRRIG
+SMTGAEQALLPRVPTDESEAQTLATADLDLMKSHRFEDVPGVRRHLVRKNAKASVQSGRE
+GREPGPTPRARPRAPHKPHEVFVELNELLLDKNQEPQWRETARWIKFEEDVEEETERWGK
+PHVASLSFRSLLELRRTLAHGAVLLDLDQQTLPGVAHQVVEQMVISDQIKAEDRANVLRA
+LLLKHSHPSDEKDFSFPRNISAGSLGSLLGHHHGQGAESDPHVTEPLIGGVPETRLEVER
+EREPPPPAPPAGITRSKSKHELKLLEKIPENAEATVVLVGCVEFLARPTMAFVRLREAVE
+LDAVLEVPVPVRFLFLLLGPSSANMDYHEIGRSISTLMSDKQFHEAAYLADEREDLLTAI
+NAFLDCSVVLPPSEVQGEELLRSVAHFQRQMLKKREEQGRLLPPGAGLEPKSAQDKALLQ
+MVEVAGAVEDDPLRRTGRPFGGLIRDVRRRYPHYLSDFRDALDPQCLAAVIFIYFAALSP
+AITFGGLLGEKTHDLIGVSELIMSTAIQGVLFCLLGAQPLLVIGFSGPLLVFEEAFFSFC
+SSNNLEYLVGRVWIGLWLVLLALLMVALEGSFLVRFVSRFTQEIFAFLISLIFIYETFYK
+LVKIFQEHPLHGCSVSNSSEAGSGENATWPGAGATLGPRNESSARPPGQGRPRGQPNTAL
+LSLVLMAGTFFIAFFLRKFKNSRFFPGRVRRVIGDFGVPIAILVMVLVDYSIEDTYTQKL
+SVPSGFSVTAPEKRGWVINPLGEKSTFPVWMMVASLLPAILVFILIFMETQITTLIISKK
+ERMLQKGSGFHLDLLLIVAMGGICALFGLPWLAAATVRSVTHANALTVMSKAVAPGDKPK
+IQEVKEQRVTGLLVALLVGLSLVIGDLLRQIPLAVLFGIFLYMGVTSLNGIQFYERLHLL
+LMPPKHHPDVTYVKKVRTLRMHLFTALQLLCLAVLWAVMSTAASLAFPFILILTVPLRMV
+VLTRIFTEREMKYLDANEAEPVFDEREGVDEYNEMPMPV
+>tr|A0A3Q1LG10|A0A3Q1LG10_BOVIN FA core complex associated protein 100 OS=Bos taurus OX=9913 GN=FAAP100 PE=4 SV=1
+MADPRPRVEYLAGFCCPLGGVAAGKPRVLCHGAEIFLSTGGEMVYVYDREGRLLIAVYKF
+PGQVWHLELLALRKVLYVLCARSGIYCLSLDQTTRSVSRDEEDGGDDQDREDGQVGEPPV
+PVIPVDPDSCVLPDASLHAFTVLDDTLVTLALGPTQWKMQLFERPSPGEDPRPGGQIGEV
+ELSTCTPPAGSQGESAAPRFLPVLCCASPPGSRTPLGHSRSSEGVMLEGALFGLLFGADA
+ALLESPVVLCGLPDGQLCCVIIKTLVTSMSAPGDPKALVKILHHLEEPVVFIGALRTEPL
+AEDMEDVHCDCLVALGHHGRTLAIKASWDEAGHLVPELREYSIPGPVLCAACDRSSHVYH
+STSAGLRVVDLAQEGSPLDPTERDGAPGSLPPLLYPDNLGVGSLVTLCVSSSAPEGGAEL
+LALSAKGRLMICHLDPHNEAPQSSRVTSAKAGKKIKQLLSGIGTVSERVSSLKKAVDQRD
+KALTCLNEVMNVSCALLSSREGPRPISCTISTTWSHLQLQDVLVATCLLENSSGFSLDRG
+WALCIQVLRSSRALDLDSAGSAITYTIPVDQLGPGGRREVTLPLGPGEDGALDLPVTVSC
+ALFYSLREVVGRALTPQDPFKDPPSAECTPIVLPEQDGVCLPLSEHTVDMLQGLRFPSLG
+VPHTQALGPARDPIHTFLGTCRVPGSQPAGPESLRAKYLPPSVATIKVSAELLRAALGDS
+HAGVSLGCATLQWLLAENAAADIIKAQALSSVQGVAPDGTDVHLIIREATVTDLCPAGPI
+QAMEIQVESSSLANMCRAHHAIVGRLQTLLREVQTLRDRLCTEDEASSCATAQRLLQVYR
+RLRSPSLLLL
+>tr|F1MXD2|F1MXD2_BOVIN CUB domain containing protein 1 OS=Bos taurus OX=9913 GN=CDCP1 PE=4 SV=3
+MSKRWETTLSIKAGEKKVFSFSCQDPHNYFVIEIQKNIDCVSGQCPFGEVYLQPSTSVLP
+TLNRTFIWDIKASKNVSLELQFSLSRLRQIGLTENCPDGVTHRISGRVDASTMVRIGTFC
+GNGTVSRIKMQEGVNLALDLPWFHSRNVSGFSIANRSSIKRLCIIESVFEGEGSATLMSA
+NYPAGFPEDELMTWQFVIPAPLRASVSFLQFNVSNCVKKEERVEYYIPGSTTNPEVFKLE
+DQQPGNMAGNFNLSLQGCDQDAQNPGILRLQFQVSVQHPQNDSNKTYVVDLSKERTMSLS
+IEPRPNKLKREFVPSCFVCLNSRTCSTNLTLTAGSKHTISFLCDDLTRLWINAEKTISCL
+DHRYCHRMRYSLQLPPSVFQLPVQLHDFTWKLLVPKDRLSLALVPAQKLQQHTHERVCNT
+SFSYLVASAVPGQNLYFGSFCSGGSIEQIQVKENISVTLRTFAPNFQHESAKQDLTVSFI
+PHFKEESVFTVTPDTKNKVYLRTPNWDRGLPSLASVSWNISVPRNQVACLTFLKERTGVV
+CQTGRAFMIIQEQRAHAEEIFSLEEEVLPKPSFRYHSFWVNISNCSPMSGKQLDLLFWVS
+LTPRTTDLTVIILTVVGGGALLLFALGFIICFVKKNKKKKITKGPAVGIYNGNVNTQMPM
+QRKKFQKGRKDNDSHVYAVIDDTMVYGHLLQDASGPFIQAEVDTYRPFQGPTGDFPPSPP
+PTNSKAPTVKLATDEPPPGSPSESESEPYTFSHPKDGKVSNRSTDTPLLDAHEPTEPGE
+>tr|E1BHK4|E1BHK4_BOVIN Claudin OS=Bos taurus OX=9913 PE=3 SV=1
+MALVFRAVAQLGGISLSLLGWVLSCLTNYLPQWKNFNLDLNEMENWTMGLWQACVVQEEV
+GMQCKDFESFLGLPAELRISRVLMFVSNGLGFLGLLVSGFGLDCLRIGETQRDVKKRLLI
+LGGILFWTAGVTALVPVSWVAHVTVQEFWDESIPEIVPRWEFGEALFIGWFAGFSLLLGG
+CLLNWAACGTQAPLASGRYAVAETQPHHLHLEMRTTHLKL
+>tr|A0A3Q1M4E5|A0A3Q1M4E5_BOVIN Fucokinase OS=Bos taurus OX=9913 GN=FCSK PE=4 SV=1
+MEQPKGVDWTVIILTCQYKDSVEVFQRELEIRQKREQIPAGTLLLAVEDPEVHVGSGGAT
+LNALLVAAEHLSARAGFTVSGPDVPSSARLLCLTPLPQGRDFPFDDCGRAFTCLPVENPQ
+APVEAVVCNLDCLLDIMSHRLGPGSPPGVWVCSTDMLLSVPPDPGISWDGFRGARGIALP
+GSMAYARSHGVYLTDSEGFVLDIYYQGTEAEIQRCARPDGRVPLVSGVVFFSVETAERLL
+ATHVSPPLDACTYLGLDSGARPGQLSLFFDILLCMARNVQREDFLVGRPPEMGQGDADVA
+GYLHGARAELWRQLRGQPLTVAYVPDGSYSYMTNSASEFLHSLTSPGALGAQVIHSQVEE
+WLLLEAGSAVVSCLLEGPVRLGPGSVLQHCHLRGPIHVGTGCFVSGLDVAQSQALHGVEL
+RDLVLRGHHVQLHGAPSRAFTLVGRLDSWERQGTGTYLNMSWSKFFQKTGIRDWDLWDPD
+VPPTERCLLSARLFPVLHPSRAPGPRDLLWMLDPQEDGGRALRAWRACWRLSWEQLQPCL
+DRGATLAARRDLFFRQALHKARHVLEARQDLSLRPLTWAAVHEGCPGPLLATLDQVAAGA
+EDPGVAARALACVADVLGCMAEGRGGLRSGPAANPEWVSPFSFLERGDLARGVEGLTRER
+ARWLSRPALLVRAARHYEGAGQLLIRRAVMSAQRFVCCMPVELPAPGQWVVAECPARVDF
+SGGWSDTPPLAYELGGAVLGLAVRVDSRRPIGARARRIPEPELRLAVGPRQDQMAVKIVC
+CNLDDMRGYCQPQAPGALLKAAFVCAGIVSVSSELSLREQLLRAFGGGFELHTWSELPHG
+SGLGTSSILAGAALAAVQRAAGRVVGAEALIHAVLHLEQVLTTGGGWQDQVGGLMPGIKV
+GRSQAQLPLKVEVEEVAVPEGFVQKLNDHLLLVYTGKTRLARNLLQDVLRSWYARLPAVV
+QNARRLVQQTEECAEAFRQGSLPLLGQCLTSYWEQKKLMAPGCEPLVVRLLMDVLAPYVH
+GQSLAGAGGGGFLCLLTKEPRQKEALEAVLAKTKGLGNYSIHLVEVDTQGLSLQLLGTET
+ST
+>tr|A0A3Q1MSU5|A0A3Q1MSU5_BOVIN Urokinase-type plasminogen activator OS=Bos taurus OX=9913 GN=PLAU PE=3 SV=1
+MRVLLACLLVCALVVSDSDGSNEVHKESGESNCGCLNGGKCVTYKYFSNIQRCSCPKKFQ
+GEHCEIDTSKTCYQGNGHSYRGKANRDLSGRPCLAWDSPTVLLKMYHAHRSDAIQLGLGK
+HNYCRNPDNQRRPWCYVQIGLKQFVQFCMVQDCSVGKSPSSPREKEEFQCGQKALRPRFK
+IVGGQVTNAENQPWFAAIYRRHRGGSITYLCGGSLISPCWVVSATHCFIDHPKKENYIVY
+LGQSRLNSDTRGEMQFEVEKLILHEDYSAESLAHHNDIALLKIRTSRGQCAQPSRSIQTI
+CLPPEHEDAHSRTRCEITGFGKENPSDYRYSDELKMTFVSLVSHEVCQQPHYYGAEVTDK
+MLCAADPQWETDSCQVRVPSIFLHHPALPGLLGFFLSTLGSLSPVEVPRSQDQDRGSKHL
+SRAWLCARHFRLRKGQGLRP
+>tr|A0A3Q1MB17|A0A3Q1MB17_BOVIN CAS1 domain containing 1 OS=Bos taurus OX=9913 GN=CASD1 PE=4 SV=1
+MSLPPPPPPAAGDPVTPEDSLGSVTPEDWATGPPLQAQPLLRQEAKGKEEEGEETGVQGA
+WGKGTAEQRRRGWGEAAEAAAAEEGQVDAGGAAGSASPAGAAGGGREGWRRLLAWLRRRQ
+PQCCPCAAPLPRSAAHCCHGGTKMAALAYNLGKREINHYFSVRSAKVLALVAVLLLAVCH
+LASRRYRGNDSCEYLLSSGRFLGEKVWQPHSCMMHKYKISEAKNCLVDKHIAFIGDSRIR
+QLFYSFVKIINPQFKEEGNKHENIPFEDKIASVKVDFLWHPEVNGSMKQCIKVWTEDSVA
+KPHVIVAGAATWSIKIHNGSNEALSQYKMNITSIAPLLEKLAKTSDVYWVLQDPVYEDLL
+SENRKMITNEKIDAYNEAAVSILNSSTRNSKSHVKVFSVSKLIAQETIMESLDGLHLPES
+SRETSAMILMNVYCNKILKPVDGSCCQPRPPLTLIQKLAACFFTLSIVGYLIFYIIHRNA
+HRKNKPCTDLESGEEKKNIINPPVSPLEIFLQSFCKLGLIMAYFYMCDRANLFMKENKFY
+THSTFFIPIIYILVLGVFYNENTKETKVLNREQTDEWKGWMQLVILIYHISGASTFLPVY
+MHIRVLVAAYLFQTGYGHFSYFWVKGDFGIHRVCQVLFRLNFLVVVLCIVMDRPYQFYYF
+VPLVTVWFMVIYVTLALWPQITQKKANGNCLWHFGLLLKLAFLLLCICFLAYSQTSLMFR
+NVPKTSAPSFPDFPDCQINKKGAFEKIFSLWPLSKCFELKGNVYEWWFRWRLDRYVVFHG
+MLFAFIYLALQKRQILSEGKGEPLFSSKISNFLLFISVVSFLTYSIWASSCKNKAQCNEL
+HPSVSVVQILAFILIRNIPGYARSVYSSFFAWFGKISLELFICQYHIWLAADTRGILVLI
+PGNPMLNIIVSTFIFVCVAHEISQITNDLAQIIIPKDNSSLLKRLACIAAFFCGLLILSS
+IQDKSRH
+>tr|A0A3Q1LPY2|A0A3Q1LPY2_BOVIN Zinc finger protein 185 with LIM domain OS=Bos taurus OX=9913 GN=ZNF185 PE=4 SV=1
+MSISALGGSSKGKPLPAGEEERNTVLKQMKVRTTLKGDKSWITKQDESEGRTLELPSGWT
+HATSLSSAGEVPKASRPLSTRAPTGYIIRGVFTKPVDSSSQPHQHFPKANGAPKSMASLG
+KAAPTGPPRPFSSGYKMTTEDYKKLAPYNIRRSSASRAAEEEEVRFSSDEQKRRSEAASS
+VVRKTAPREHSYVLSAKACHSGPKCICFSLSQRVVEDGSSEKSQDPPALSRFLAGSSRYL
+PPLKCGCLTSVSFHYRSSSGSKDKEVPHPREPKRNLTNEGAFRSPDADSERSIAQSSDGN
+MGSEAMGSPDEDLVGADVSSGRGGPCSPAGFTPLLDDQEVPSANSQPLKPAPATTSTLVV
+FEEKNVVTKVGEAWQGRPRVLQDDQGDPAVPTQKCTDPSTSEQSSPRNPEQRLEVDSPAN
+STLVVFEEKNVVTKVGEAWQGRPGVLQDDQGDPAVPAQQCTDPSTSEQSSPRNPEQRLEV
+DSPANRMRNPSSCMVSVPSPAPPEQHPIHMPASPSELEPNSTSKGILFVKEYVNAGEVSS
+RKPLSSFYGSNEDSCNMEEKLPYDGTPYSERTSGGICTYCNREIRDCPKITLEHLGICCH
+DYCFKCGICSKPMGELLDQIFIHRDTIHCEKCYEKLF
+>tr|G3MWQ4|G3MWQ4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC781895 PE=4 SV=1
+MAKMSKKPSEPNTETDQPTSSSEQGKMKKVPGQHKSASGGKALKTATKVKKTLQRTLSKK
+VSEKTTNSIRKSKKTRQSTRFGHYHRLNETLRQNDPEQNQEEVQKPISGRKDLGSQATSE
+>tr|A0A3Q1LQU7|A0A3Q1LQU7_BOVIN Coiled-coil domain containing 93 OS=Bos taurus OX=9913 GN=CCDC93 PE=4 SV=1
+MGLSKGPEGQGLPEVETREDEEQNVKLTEILELLVAAGYFRARIKGLSPFDKVVGGMTWC
+ITTFIGLSMDEALSEKIVSVLPRMKCPHQLEPHQIQGMDFIHIFPVVQWLVKRAIETKEE
+MGDYIRSYSISQFQKTYSLPEDDDFMKRKEKAIRTVVDLSDAYKPRRKYKRQQGAEELLD
+EESRIHATLLEYGRRYGFSRQSKTEKAEDKKTALPTGLLTAEKADAHEEDELQAAEEVRF
+PLCESQCIRPTASSVGQIVGLCSAEIKQIVSEYAEKQSELSAEESPEKLGISQLHRRKVI
+SLNKQILQKTKQLEELQASHTDLQAKYEGKKKTLTELKGHSEKLDKEQTALEKIEAKADP
+SILQNLRALVAMNENLKSQEQEFKAHCREEMARLQQEIESLKAERAPGRDEKTLSGGESH
+GALTSAMTHNEDLDRRYNMEKEKLYKIRLLQARRNREIAILHRKIDEVPSRAELIQYQKR
+FIELYRQISAVHKETKQFFTLYNTLDDKKVYLEKEISLLNSIHENFSQAMASPASRDQFL
+RQMEQIVEGIKQSRMKMEKKKQENKMRRDQLNDQYLELLEKQRLYFKTVKEFKEEGRKNE
+MLLSKVKAKAS
+>tr|E1BCC6|E1BCC6_BOVIN SKI family transcriptional corepressor 2 OS=Bos taurus OX=9913 GN=SKOR2 PE=4 SV=3
+MASSPLPGPNDILLASPSSAFQPDALSQPRPGHANLKPNQVGQVILYGIPIVSLVIDGQE
+RLCLAQISNTLLKNFSYNEIHNRRVALGITCVQCTPVQLEILRRAGAMPISSRRCGMITK
+REAERLCKSFLGENRPPKLPDNFAFDVSHECAWGCRGSFIPARYNSSRAKCIKCSYCNMY
+FSPNKFIFHSHRTPDAKYTQPDAANFNSWRRHLKLTDKSPQDELVFAWEDVKAMFNGGSR
+KRALPQPGTHPACHPLSSVKAAAVAAAAAVAGGGGLLGPHLLGAPPPPPPPPPLAELAGA
+PHAPHHKRPRFEDDDDSLQEAAVVAAASLSAAAAASLSVAAASGGAGAAGGGAGGGCVTG
+AGAAAGAKGPRSYPVIPVPSKGSFGGVLQKFPGCGGLFPHPYTFPAAAAAFGLCHKKEDA
+GAAAEALGGAGGAGAAPKAGLSGLFWPAGRKDAFYPPFCMFWPPRTPGGLPVPTYLQPPP
+QPPSALGCALGESPALLRQAFLDLAEPGGAGAGAGAEAAPPPGQPPPVVANGPGSGQPPP
+AGGAGARDALFESPPGGGGGDCSAGSTPPADPGSVAGAAGAGPAGARGPAAPHPHLLEGR
+KAGGGSYHHSSAFRPVGGKDDAESLAKLHGASAGAPHSAPAHHHHHHHHHPHQHHHHHHH
+PPQPPSPPLLLLPPQPDEPGSERHHAAPPPPPPPPPPLAPQPHPRGLLSPGGTSCSYPSE
+DSSEDEDDEEEEQEVDVEGHKPPEGEEEEDEGREPEDDEEEDEETGVLLADPLVGGGRFL
+QGRGLSEKGSSRDRPLAAAGPFPLALNSSRLLQEDGKLGDPGGPDLPPPPPPPLASQKPS
+GGLGSSPGSPVHHPSLEEQPSYKDVNIPFRSLVDFVFCLILDKNKEHSFFITDSDASGDF
+WRERSGEHTQETNSPHSLKKDVENMGKEELQKVLFEQIDLRRRLEQEFQVLKGNTSFPVF
+NNFQDQMKRELAYREEMVQQLQIIPYAASLIRKEKLGAHLSKS
+>tr|A0A3Q1MMM7|A0A3Q1MMM7_BOVIN Ubiquinol-cytochrome c reductase complex assembly factor 1 OS=Bos taurus OX=9913 GN=UQCC1 PE=4 SV=1
+MSLLLNMLSRNQISISQWVPGCSRLLLASRTQGQQGRTLSGTFQMSQALACGGSVQIPGV
+DIELRRKYHTTSKLSSTKDSPQPVEEKVGAFTKIIEAMGFTGPLKYSKWKIKIAALRMYT
+SCVEKTDFEEFFLRCQMPDTFNSWFLITLLHVWMCLVRMKQEGRSGKYMCRIIVHFMWED
+VEQRGRIMGVNPYILKKNMMLMTNNFYAAILGYDEGILSDDRGLAAALWRTFFNQKCEDP
+RQLELLVEYVRKQIQYLDSMNGEDLLLTGEVSWRPLVEKNPQSILKPHSPTYNDEGL
+>tr|E1BKN0|E1BKN0_BOVIN Histone-lysine N-methyltransferase OS=Bos taurus OX=9913 GN=KMT2B PE=4 SV=2
+MAAAAGGGSCPGPGSARGRFPGRPRGSGGGGGRGGRGNGAERVRVALRRGGGAAGPGGAE
+PGEDTALLRLLGLHRGLRRLRRLWAGPRIQRGRGRGRGRGWGPSRGCLLEEESSDGESDD
+EEFQGFHSDEDAASSSLRSALRSQRGRAPRGRGRKHKTTPLPPPRLADVAPAPPKTPARK
+RGEEGTERMVQALTELLRRAQAPPAPRSRACESSTPRRSRGRPPGRPAGPCRKKQQAVVV
+AEAAVTIPRPEPPPPVVPVKHRTGSWKCKEGPGPGPGTPKRGGQSGRGGRGGRGRGRGRL
+PLVIKFVSKAKKMKMGQLSLGLESGQGQDQHEESWQDAPQGRVGSGQGEGPCWRKEQKLE
+EEGEEEKEEEDEEEERAVAEEAMVLAEEKEEAKLPSPPLTPPAPPPPPSLPPASASPPSP
+LCPPPPPVSPPPPPTPPPPRAPEEQEESPPPVVPATCSRKRGRPPLTPSQRAEREAARAG
+PEGTSPPTPVPSTATGGPLEDSPTVAPKSTTFLKNIRQFIMPVVSARSSRVIKTPRRFMD
+EDPPKPPKVEVSPTLRPPIATSPLAPQEPAPAPSPPRAPTPPSTPVPLPEKRRSILREPT
+FRWTSLTRELPPPPPAPPPAPPPLPAPVTPSRRPLLLRAPQFTPSEAHLKIYESVLTTPP
+LGAPEAPEPEPPPADDSPAEPEPRALGRTNHLSLPRFAPVVATPIKAEMPSPGAPAPSSG
+QQPHAQLQQPLQALQTQLLPPALPPQQSLLQPQLQLQPPPQQAPPLEKARIAGLGSLPLS
+GVEEKMFSLLKRAKVQLIKIDQQQQQKVASLMPPSPGGQMEEVVGTVKQIPDRGSVKSED
+ESVETKRERPSGPESPVQGPRIKHVCRHAAVALGQARAMVPEDVPRLSALPLRDRQDLNA
+EDTSSASETESVPSQSQPGKVESTGPGGDSEPAGSGGTLAHAPRRSLPSHHGKKMRMARC
+GHCRGCLRVQDCGSCVNCLDKPKFGGPNTKKQCCVYRKCDKIEARKMERLAKKGRTIVKT
+LLPWDSDESPEASPGPPGPRRGAGAGGPREEVVAPPGPEEQDSFLLQRKSARRCVKQRPS
+YDIFEDSDDSDPGGPPAPRRRTPRENELPVPEPEEQSRPRKPTLQPVLQLKARRRLDKDA
+LAPGPFASFPNGWTGKQKSPDGVHRVRVDFKEDCDLENVWLMGGLSVLTSVPGGPPMVCL
+LCASKGLHELVFCQVCCDPFHPFCLEEAERPLPQHHDTWCCRRCKFCHVCGRKGRGSKHL
+LECERCRHAYHPACLGPSYPTRATRKRRHWICSACVRCKSCGATPGKNWDVEWSGDYSLC
+PRCTQLFEKGNYCPICTRCYEDNDYESKMMQCAQCDHWVHAKCEGLSDEDYEILSGLPDS
+VLYTCGPCAGATHPRWREALSGALQGGLRQVLQGLLSSKVAGPLLLCTQCGQEGQQLHPG
+PCDLHAVRRRFEEGHYKSVHSFMEDVVGILMRHSEEGEMLERRAGGQTKGLLLKLLESAF
+GWFDAHDPKYWRRSTRLPNGVLPNAVLPPSLDHVYAQWRQQEPETPESGQPPGDPSTAFQ
+GKDSAAFSHLEDPRQCALCLKYGDADSKEAGRLLYIGQNEWTHVNCAIWSAEVFEENDGS
+LKNVHAAVARGRQMRCELCLKPGATVGCCLSSCLSNFHFMCARASYCIFQDDKKVFCQKH
+TDLLDGKEIVNPDGFDVLRRVYVDFEGINFKRKFLTGLEPDAINVLIGSIRIDSLGTLSD
+LSDCEGRLFPIGYQCSRLYWSTVDARRRCWYRCRILEYRPWGPREEPVHLEAAEENQTIV
+HSPAPCSEPPDHVDPPPDTDALIPRAPEHHPPVQNPDPPPRLDPSSAPPPAPRSFSGARI
+KVPNYSPSRRPLGGVSFGPLPSPGSPSSLTHHIPTVGDPDFPAPPRRSRRPSPLASRLPP
+SRRASPPLRTSPQLRVPPPTSVVRALTPTSGELAPPSRAPSPPPPPEDLGPDFEDMEVVS
+GLSAADLDFAASLLGTEPFQEEIVAAGAGGSSHGGLGDSSEEEAGPTPRYVHFPVTVVSG
+PALAPGALPGAPRIEQLDGVDDGTDSEAEAVQQPRGQGTPTSGPGAGRAGVIGAAGDRAR
+PPEDLPSEIVDFVLKNLGGPGEGGAGPREEPLPPAPPLANGSQPPQGLPPNPADPTRTFA
+WLPGPPGVRVLSLGPAPEPPKPAASKIILVNKLGQVFVKMAGEGEPVSPPVKPPPLPPPM
+PPTAPTSWTLPPGPLLGVLPVVGVVRPAPPPPPPPLTLVLSSGPPSPPRQAIRVKRVSTF
+SGRSPPAPPPSKTPRLEEDGESLEDPPQGPGPCGSGFSRVRMKTPTVRGVLDLDDSGELT
+AEESPRPLQDRSPLLPLPEGGPPRAPDGPPDLLLESQWHHYSGEASSSEEEPPSPEDKEN
+QAPKRAGPHLRFEISSEDGFSVEAESLEGAWRTLIEKVQEARGHARLRHLSFSGMSGARL
+LGIHHDAVIFLAEQLPGAQRCQHYKFRYHQQGEGQEEPPLNPHGAARAEVYLRKCTFDMF
+NFLASQHRVLPEGATCDEEEDEVQLRSTRRATSLELPMAMRFRHLKKTSKEAVGVYRSAI
+HGRGLFCKRNIDAGEMVIEYSGIVIRSVLTDKREKFYDGKGIGCYMFRMDDFDVVDATMH
+GNAARFINHSCEPNCFSRVIHVEGQKHIVIFALRRILRGEELTYDYKFPIEDASNKLPCN
+CGAKRCRRFLN
+>tr|A0A3Q1NNL3|A0A3Q1NNL3_BOVIN Myocyte enhancer factor 2D OS=Bos taurus OX=9913 GN=MEF2D PE=4 SV=1
+MGRKKIQIQRITDERNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNHSNKLFQYAST
+DMDKVLLKYTEYNEPHESRTNADIIEALHKKHRECESPEVDEVFALTPQTEEKYKKIDEE
+FDKMMQSYRLASAVPAPNFAMPVTVPVSSQSSLQFSNPSGSLATPSLVTSSLTDPRLLSP
+QQPALQRSSVSPGLPQRPASAGAMLGGDLSSANGACPSPVGNGYVSARASPGLLPVANGN
+SLNKVIPAKSPPPPAHSAQLGAPSRKPDLRVITSQGGKGLMHHLTEDHLDLNNAQRLGVS
+QSTHSLTTPVVSVATPSLLSQGLPFSSMPTAYNTDYQLTSAELSSLPAFSSPGGLSLSNV
+TAWQQPQQPPQPQPPQQPQPQPPQPPQQPQQPPQQQPHLVPVSLSNLIPGSPLPHVGAAL
+TITTHPHISIKSEPVSPSRERSPAPPPPAVFPATRPEPGDALSSPAGGSYETGDRDDGRG
+DFGPTLGLLRPAPEPEAEGSAVKRMRLDTWTLK
+>tr|F1N3S7|F1N3S7_BOVIN Janus kinase and microtubule interacting protein 3 OS=Bos taurus OX=9913 GN=JAKMIP3 PE=4 SV=2
+MSKKGAGGRSKGDKAEVLAALQAANEDLRAKLTEIQIELQQEKSKVGRVEREKNQELRQA
+REHEQHKSAVLLTELRTKLHEEKMKELQALREALLRQHEAELLRVIRTKDSENQRLQALL
+HAVRDGGPDRARTVLLSEAKEEAKKGFEVEKVKMQQEISELKGAKRQVEEALTLVIRADK
+IKAAEIRSVYHLHQEEISRIKKECEREIRRLMEEIKFKDRAVFVLERELGVQAGHAQRLQ
+LQKEALDEQLSQVKETDRHPGSPRRELPHASGAGDASDHSGSPEQQLDEKDARRFQLKIA
+ELSAIIRKLEDRNALLSEERNELLKRVREAESQYKPLLDKNKRLTRKNEDLSHSLRRMEN
+KLKFVTQENIEMRQRAGIIRRPSSLNDLDQSQDERDVDFLKLQIVEQQHLIDELSKTLET
+AGYVKSVLERDKLLRYRKQRKKMAKLPKKPVVVETFFGYDEEASLESDGSSISYQTDRTD
+QTPCTPDDDLEEGMAKEETELRFRQLTMEYQALQRAYALLQEQVGGTLDAEREVKTREQL
+QADAQRAQARIEDLQKALAEQGQDMKWIEEKQALFRRNQELVEKIKQMETEEARLKHEVQ
+DAKDQNELLEFRILELEERERKSPAITFHHTPFVDGKSPLQAYCEAEGVTDILVSELMKK
+LDLLGDNANLTNEEQVVVIQARTVLTLAEKWLQQIEETESALQRKMVDLESEKELFSKQK
+GYLDEELDYRKQCLDQAHKRILELEAMLYDALQQEAGAKVAELLSEEEREKLGVAVEQWK
+RQVMSELRERDAQILRERMELLQLAQQRIKELEERIEAQKRQIKELEEKFLFLFLFFSLA
+FILWS
+>tr|E1BEI0|E1BEI0_BOVIN Apoptosis inhibitor 5 OS=Bos taurus OX=9913 GN=API5 PE=4 SV=3
+MPTVEELYRNYGILADATEQVGQHKDAYQVILDGVKGGTKEKRLAAQFIPKFFKHFPELA
+DSAINAQLDLCEDEDVSIRRQAIKELPQFATGENLPRVADILTQLLQTDDSAEFNLVNNA
+LLSIFKMDAKGTLGGLFSQILQGEDIVRERAIKFLSTKLKTLPDEVLTKEVEELILTESK
+KVLEDVTGEEFVLFMKILSGLKSLQTVSGRQQLVELVAEQADLEQTFNPSDPDCVDRLLQ
+CTRQAVPLFSKNVHSTRFVTYFCEQVLPNLSSLTTPVEGLDIQLEVLKLLAEMSSFCGDM
+EKLETNLRKLFDKLLEYMPLPPEEAENGENAGNEEPKLQFSYVECLLYSFHQLGRKLPDF
+LTAKLNAEKLKDFKIRLQYFARGLQVYIRQLRLALQGKTGEALKTDENKIKVVALKITNN
+INVLIKDLFHIPPSYKSTVTLSWKPVQKVELGQKRATEDTTSGSPPKKSSAGPKRDARQI
+YNPPSGKYSSNLSNFNYERSLQGK
+>tr|F1MJ80|F1MJ80_BOVIN Nicotinamide phosphoribosyltransferase OS=Bos taurus OX=9913 GN=NAMPT PE=3 SV=2
+GEQVTHYKQYPPNTSKVYSYFECREKKTENSKIRKVKYEETVFYGLQYILHKYLKGKVVT
+KEKIQEAKEVYREHFQDDVFNEKGWNYILEKYDGHLPIEVKAVPEGSVIPRGNVLFTVEN
+TDPECYWLTNWVETILVQSWYPITVATNSREQKKILAKYLLETSGNLDGLEYKLHDFGYR
+GVSSQETAGIGASAHLVNFKGTDTVAGIALIKKYYGTKDPVPGYSVPAAEHSTITAWGKD
+HEKDAFEHIVTQFSSVPVSVVSDSYDIYNACEKTWGEDLRHLIVSRSTEAPLIIRPDSGN
+PLDTVLKVLDILGKKFPVTENSKGYKLLPPYLRVIQGDGVDINTLQEIVEGMKQKKWSIE
+NVSFGSGGALLQKLTRDLLNCSFKCSYVVTNGLGINVFKDPVADPNKRSKKGRLSLHRTP
+AGNFVTLEEGKGDLEEYGHDLLHTVFKNGKVTKSYSFDEVRKNAQLNIELEAAPH
+>tr|A0A3Q1LL29|A0A3Q1LL29_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=RALGDS PE=4 SV=1
+MLVVPPPGARADESSTQEIGEELVNGVIYSISLRKIQVHHGASKGQRWLGYENESALNLY
+ETCKVRTVKAGTLEKLVEHLVPAFQGSDLSYVTIFLCTYRAFTTTQQVLDLLFKRYGCIL
+PYSSEDGGPQDQLKNAISSILGTWLDQYSEDFCQPPDFPCLRQLVAYVQLNMPGSDLERR
+AHLLLAQLEHADLSEAEPEAPVPALKPAAEPEPTLGPDLEPAPALAPEPALMPAPTLPSE
+LEPALSQTLELEPPAAPDPPWPLPVATENGLGEEKPHLLAFPPDLVAEQFTLMDAELFKK
+VVPYHCLGSIWSQRDKKGKEHLAPTVRATVAQFNSVANCVITTCLGDRSVSARHRARVVE
+HWIEVARECRVLKNFSSLYAILSALQSNSIHRLKKTWEEVSRDSLRVFQKLSEIFSDENN
+YSLSRELLIKEGTSKFATLEMNPKRAQRRPKEAGVIQGTVPYLGTFLTDLVMLDTAMKDY
+LYGRLINFEKRRKEFEVIAQIKLLQSACNNYSITPEEHFGAWFRAMERLSEAESYTLSCE
+LEPPSESASNTLKVKKNTAIVKRWSDRQAPSTELSTSGSCHSKSCDQLRYGPYLSSGDIA
+DALSVHSAGSSSSDVEEINMSFVPESPDGQEKKFWESASQSSPETSGISSASSSTSSSSA
+STTPVASTRTHKRSVSGVCSYGSSLPLYNQQVGDSCIIRVSLDVDNGNMYKSILVTSQDK
+APAVIRKAMDKHNLDEDEPEDYELVQVISDDRKLKIPDNANVFYAMNSTANYDFVLKKRT
+FTKGTKVRHGASSTLPRMKQKGLKIAKGIF
+>tr|F1MPT0|F1MPT0_BOVIN Glucoside xylosyltransferase 2 OS=Bos taurus OX=9913 GN=GXYLT2 PE=4 SV=3
+GRYKRPKRPGELRSFQAVLPPELWIHLAVVACGNRLEETLVMLKSAVLFSHRKMQFHIFT
+EESLKPEFDKQLRQWPDSYTKKFEHRIYPITFSAGNPQEWKKLFKPCAAQRLFLPVILRD
+VDSLLYVDTDVLFLRPVDDIWKLLRQFNSTQLAAMAPEHEIPKIGWYSRFARHPFYGSAG
+VNSGVMLMNLTRIRSAQFKNSMISTGLAWEDMLYPLYQKYKNAITWGDQDLLNIIFYFNP
+ECLYVFPCQWNYRPDHCMYGSNCREAEREGVSVLHGNRGVYHDEKQPTFKALYEAIRDFP
+FQDNLFQSMYYPLQLKFLETVHTLCGRIPQVFLKQIEKTMKRAYEKHVIIHVGPNQMH
+>tr|G3MZ83|G3MZ83_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=2
+MSDGFDRAPGAGLGRSWGLGRGGGGPEGSGFLKGAGPAELPCHQPPPPPQPKAPDFLQPP
+PLCQTRTAPPPGAECEVPAGPQRPARPGALPEQTRPLRGPSSSPDNITQQNSQSAMAKPQ
+VAVAPVLMSKLSVNAPEFYPSSYFSNYTESYEDGFEDYPTLSEYVQDFLNHLTEQPGNFE
+TEIGQFAETLNGWVTTDDALQQLVELIYQQATSIPNFSYMGARLCNYLCHHLTINPQSGS
+FRQLLLQRCRTEYEVKDQEIFKNCDKV
+>tr|F1MVP8|F1MVP8_BOVIN 2-hydroxyacyl-CoA lyase 1 OS=Bos taurus OX=9913 GN=HACL1 PE=1 SV=3
+MSESNLAALSDGLEGQVSGARVIAQALKTQDVNYMFGIVGIPVTEIALAAQEVGIRYIGM
+RNEQAACYAASAVGYLTGRPGVCLVVSGPGLVHSLGGMANANKNCWPLIVIGGSSERSQE
+TMGAFQEFPQVEACRLYSKFSARPSSIEAIPSIIEKAVRSSIYGRPGACYVDIPADFVSL
+QVNVSSVKYVECCMPPPISMAETSAVRMAASVIRNAKQPLVIIGKGAAYAHAEESIRKLV
+EQCKLPFLPTPMGKGVIRDNHPNCVAAARSRALQFADVIVLLGARLNWILHFGLPPRYQP
+DVKFIQVDICAEELGNNVRPAVTLLGDVNAVTKQLLEQFDKTPWQYPPESEWWKVLREKM
+KSNEALSKELASKKSLPMNYYTVFYHVQEQLPRECFVVSEGANTMDIGRTVLQNYLPRHR
+LDAGTFGTMGVGLGFAIAAAIVAKDRNPGQRVICVEGDSAFGFSGMEVETICRYNLPIVL
+LVVNNNGIYQGFDTDSWKEMLKFGDATTVAPPMCLLPHSHYEHVMTAFGGKGYFVQTPEE
+LQKSLRQSLADTTNPSLINIMIEPQGTRKAQDFHWLTRSNL
+>tr|E1BMM4|E1BMM4_BOVIN VPS26, retromer complex component B OS=Bos taurus OX=9913 GN=VPS26B PE=4 SV=3
+MSFFGFGQSVDVDILLNDADSRRRAEHKTEDGKKDKYFLFYDGETVSGKVSLALKNPNKR
+LEHQGIKVEFIGQIELYYDRGNHHEFVSLVKDLARPGEVTQSQAFDFEFTHVEKPYESYT
+GQNVKLRYFLRATISRRLNDVVKEMDIVVHTLSTYPELNSSIKMEVGIEDCLHIEFEYNK
+SKYHLKDVIVGKIYFLLVRIKIKHMEIDIIKRETTGTGPNVYHENDTIAKYEIMDGAPVR
+GESIPIRLFLAGYELTPTMRDINKKFSVRYYLNLVLIDDEERRYFKQQEVVLWRKGDIVR
+KSMSHQAAIASQRFEGTTPLGEARTPGPLSDSGNRQ
+>tr|A0A3Q1M7S5|A0A3Q1M7S5_BOVIN Sorcin OS=Bos taurus OX=9913 GN=SRI PE=4 SV=1
+MRLFLVLQYGGAPGGPAFPGQTQDPLYGYFAAVAGQDGQIDADELQRCLTQSGIAGGYKP
+FNLETCRLMVSMLDRDMSGTMGFNEFKELWAVLNGWRQHFISFDSDRSGTVDPQELQKAL
+TTMGFRLSPQAVNSIAKRYSTNGKITFDDYIACCVKLRALTDSFRRRDTAQQGVVNFPYD
+DFIQCVMSV
+>tr|F1MIF2|F1MIF2_BOVIN AP complex subunit beta OS=Bos taurus OX=9913 GN=AP1B1 PE=3 SV=2
+MTDSKYFTTTKKGEIFELKAELNSDKKEKKKEAVKKVIASMTVGKDVSALFPDVVNCMQT
+DNLELKKLVYLYLMNYAKSQPDMAIMAVNTFVKDCEDPNPLIRALAVRTMGCIRVDKITE
+YLCEPLRKCLKDEDPYVRKTAAVCVAKLHDINAQLVEDQGFLDTLKDLISDSNPMVVANA
+VAALSEIAESHPSSNLLDLNPQSINKLLTALNECTEWGQIFILDCLANYTPKDDREAQSI
+CERVTPRLSHANSAVVLSAVKVLMKFMEMLSKDLDYYGTLLKKLAPPLVTLLSAEPELQY
+VALRNINLIVQKRPEVLKHEMKVFFVKYNDPIYVKLEKLDIMIRLASQANIAQVLAELKE
+YATEVDVDFVRKAVRAIGRCAIKVEQSAERCVSTLLDLIQTKVNYVVQEAIVVIKDIFRK
+YPNKYESVIATLCENLDSLDEPEARAAMIWIVGEYAERIDNADELLESFLEGFHDESTQV
+QLQLLTAIVKLFLKKPTETQELVQQVLSLATQDSDNPDLRDRGYIYWRLLSTDPVAAKEV
+VLAEKPLISEETDLIEPTLLDELICYIGTLASVYHKPPSAFVEGGRGVVHKSLPPRTASS
+ESTESPETAPAGAPPGEQLDAVPTQGDLLGDLLNLDLGPPVSGPPLAASAVQMGAVDLLG
+GGLDSLMGDEPEGIGAPSFVAPPAAAVPANLGAPMGSGLSDLFDLTSGVGTLSGSYVAPK
+AVWLPAMKAKGLEISGTFTRQAGTISMDLQLTNKALQVMTDFAIQFNRNSFGLAPAAPLQ
+VHAPLSPTQTVEISLPLSTAGSVMKTEPLNSLQVAVKNNIDVFYFSTL
+>tr|A0A3Q1MME1|A0A3Q1MME1_BOVIN Ubiquitin carboxyl-terminal hydrolase OS=Bos taurus OX=9913 GN=LOC790134 PE=3 SV=1
+QPMRSLHVRGWGQGCGPSAAGADALRGPSVPEGPSPALGRPQRGDLAPGSAGLTPGQKGA
+LSWKGPWGVGAGLQNLGNTCYVNAALQCLSHTPPLASWMVSQQHATLCPARSACTLCAMR
+AHVTRALLHAGEVIRPRKDLLAGFHRHQQEDAHEFLMFTLNAMQQGCLSASQPSGHASED
+TTVIRQIFGGTWRSQIQCLRCLGVSDTFDPYLDISLDITAAQSVEQALRELVKPEKLDAD
+NAYDCGVCLRKVPATKRLTLHSTSQVLVLVLKRFTPVSGAKRAQEVRYPQCLDLQPYTSE
+RKAGPLGYVLYAVLVHSGWSCERGHYFCYVRAGNGQWYKMDDAKVTACDETAALSQSAYV
+LFYAREGAWEGGAGGGAAAPVGADPTEPGQPAGDASGRAPGSEESPGDTDVEGMSLEQWR
+RLQEHSRPKPALELRKVPSALPAGAVVIHQSKHGGGRNRTPPQQEHERLDRPSTDTPPPG
+PKNVGNGPCAGGRARATKGKNKKPRPSLGLWR
+>tr|A0A3Q1MFC9|A0A3Q1MFC9_BOVIN Calponin-homology (CH) domain-containing protein OS=Bos taurus OX=9913 GN=LRCH2 PE=4 SV=1
+MISGAPFCQGGGGNSGGGGCGGGGSSGGGGGAGGGGGGAGVGGGGGGGGTMVVPIPVPTL
+FGQPFPNGPQWNPGSLQPQHTVRSLDRALEEAGNSGILSLSGRKLRDFPGSGYDLTDTTQ
+ADLSRNRFTEIPSEVWLFAPLETLNLYHNCIKIIPEAIKNLQMLTYLNISRNLLSTLPKY
+LFDLPLKVLVLSNNKLVSIPEEIGKLKDLMELDISCNEIQVLPQQMGKLQSLRELNIRRN
+NLQALPDELGDLPLVKLDFSCNKVTEIPVCYRKLHHLQVIILDNNPLQVPPAQICLKGKV
+HIFKYLNIQACCRMDKKPDSLDLPSLSKRMPSQPLTDSMEDFYPNKNHGPDSGIGSDNGE
+KRLSTTEPSDDDTISLHSQVSESNREQTSRNDSHSTGSKPDSQKDQEVYDFTDANTNDVV
+VPEQGDAHIGSFVAFLKGKEKGPEKSQKNEELGDAKRLGKEQLLTEEEDDGLKEVTDLRK
+IAVQLLQQEQKNRILNHSTYVIRNKPKQTMECEKSVSADEVNSPLSPLIWQPLENQKDQT
+DGQQWPESHPIIWQSEERRRSKQIRKEYLKEYDRTDVFSHGPFGLKPRSAFSRSSRQEYG
+AADPGFTMRRKMEHLREEREQIRQLRNNLESRLKVILPDDIGAALMDGVVLCHLANHIRP
+RSVASIHVPSPAVPKLSMAKCRRNVENFLDACKKLGVSQERLCLPHHILEERGLVKVGVT
+VQALLELPTTKASQLSTA
+>tr|A0A3Q1LZV8|A0A3Q1LZV8_BOVIN Tudor domain-containing protein OS=Bos taurus OX=9913 GN=SMN2 PE=4 SV=1
+MSLLQKVWAPLSQLLPHRYYGGGGVPSMGTLSEPSDDSDVWDDTALIKAYDKAVASFKHA
+LKNGDISEASEKPKGTPKRKSAKNKSQRKNTTSPSKQWKVGDNCCAIWSEDGCIYPATIA
+SIDFKRETCVVVYTGYGNREEQNLSDLLSPTSEVANIEQNAQENENESQISTDESENSSR
+SPLNKPNNIRSRAAPWNSFLPPPPHMPRSGLGPGKSGLNFSGPPPPPPPPPHFLSRWLPP
+FPAGPPMIPPPPPICPDSLDDADALGSMLISWYMSGYHTGYYMGFKQSQKEGRYSHFN
+>tr|A0A3Q1MS93|A0A3Q1MS93_BOVIN Cell division cycle protein 27 homolog OS=Bos taurus OX=9913 GN=CDC27 PE=4 SV=1
+MTLVTVKLYIYVFFSLYLQAAIWQALNHYAYRDAVFLAERLYAEVHSEEALFLLATCYYR
+SGKAYKAYRLLKGHSCTTPQCKYLLAKCCVDLSKLAEGEQILSGGVFNKQKSHDDIVTEF
+GDSACFTLSLLGHVYCKTDRLAKGSECYQKSLSLNPFLWSPFESLCEIGEKPDPDQTFKL
+TSLQNFSSCLPNSCTTLVSNHSLSHRQPETVLTETPQDTIELNRLNLESSNSKYSLNTDS
+SVSYIDSAVISPDTVPLGTGTSILSKQVQNKPKTGRSLLGGPAALSPLTPSFGILPLETP
+SPGDGSYLQNYTNTSSVIDVPPTGAPSKKSVARIGQTGTKSVFSQSGNSREVTPILVAQT
+QSSGPQTSTTPQVLSPTITSPPNALPRRSSRLFTSDSSTTKENSKKLKMKFPPKIPNRKT
+KSKTNKGGITQPNINDSLEITKLDSSIISEGKISTITPQIQAFNLQKAAAEGLMSLLREM
+GKGYLALCSYNCKEAINILSHLPSHHYNTGWVLCQIGRAYFELSEYMQAERIFSEVRRIE
+NYRVEGMEIYSTTLWHLQKDVALSVLSKDLTDMDKNSPEAWCAAGNCFSLQREHDIAIKF
+FQRAIQVDPNYAYAYTLLGHEFVLTEELDKALACFRNAIRVNPRHYNAWYGLGMIYYKQE
+KFSLAEMHFQKALDINPQSSVLLCHIGVVQHALKKSEKALDTLNKAIVIDPKNPLCKFHR
+ASVLFANEKYKSALQELEELKQIVPKESLVYFLIGKVYKKLGQTHLALMNFSWAMDLDPK
+GANNQIKEAIDKRYLPDDEEPITQEEQIMGTDESQESSMTDADDTQLHAAESDEF
+>tr|E1BJ55|E1BJ55_BOVIN Zinc finger protein 428 OS=Bos taurus OX=9913 GN=ZNF428 PE=4 SV=1
+MTETREPAETGGYASLEEDDEDLSPGPEHSSDSEYTLSEPDSEEEDEEEEEEEETTDDPE
+YDPGYKVKQRLGGGRGGPSRRAPRAAQPPGPPAQPCQLCGRSPLGEAPPGTPPCRLCCPA
+VAPQEAPAPETRALGEEEGGAPRAGEGRPTGRDEEDEEEEEEEEGTYHCTECEDSFDSLG
+ELHGHFMLHARGEV
+>tr|F6PZM2|F6PZM2_BOVIN TNF alpha induced protein 6 OS=Bos taurus OX=9913 GN=TNFAIP6 PE=4 SV=1
+MIILIYLFVLLWEEAHGWGFKNGIFHNSIWLEQAAGVYHREARSGKYKLTYAEAKAVCEY
+EGGHLATYKQLEAARKIGFHVCAAGWMAKGRVGYPIVKPGPNCGFGKTGIIDYGIRLNRS
+ERWDAYCYNPHAKECGGVFTDPKRIFKSPGFPNEYDDNQICYWHIRLKYGQRIHLSFLDF
+DLEDDPACLADYVEVYDSYDDVHGFVGRYVLLLHTGRNVMTLKFLSDASVTAGGFQIKYV
+AVDPVSKSSQGKNASTTSTTSTGNKNFLAGRFSHL
+>tr|A0A3Q1M8C2|A0A3Q1M8C2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC100297097 PE=3 SV=1
+MLRGARRTVLNFPSPFLGENWTQRIKFPFCCLIWRLQFPPLLLLGVTCHAVVGSLWRPSL
+QGNANLLHLSRNRSNPEQGYCERGARLVSSLDFWTLSSENLIAVLPTDPVKENYVRKVKN
+CVFSIAFPTPFQSRVRLVAVSKEVLEDILDLDLSVSETDDFIQLVSGGKIVFGSIPLAHR
+YGGHQFGIWADQLGDGRAHLIGIYMNRQGEKWELQLKGSGKTPYSRNGDGRAILRSSLRE
+FLCSEAMHYLGIPTSRAASLVVSDDVVWRDQFYNGNLTKERGAVVLRVAKSWFRIGSLEI
+LTHSGELDLLRMLLDFIIQEYFPLVDVKEPNRYVDFFSIVVFETAQLIALWMSVGFARGV
+CNTDNFSLLSITIDYGPFGFMEAYNPDFVPNTSDDERRYKIGNQANIGMFNLNKLLQALN
+PLLNPRQKQLVTQILKEYPVLYYTRFRELFKAKLGLLGKSEGDDDLIAFLLHLMEKTEAD
+FTMTFRQLSEITQSQLQELVIPQEFWALKMISKHKLFPAWVSQYLSRLKSNISDSDSERR
+KRMTAVNPRYVLKNWMAESAVQKAERNDFSEVHLLQQVLRHPFQKHSAAERAGYSSPTPS
+WARDLRVSCSS
+>tr|J9JH85|J9JH85_BOVIN Kelch-like protein 3 OS=Bos taurus OX=9913 GN=KLHL3 PE=4 SV=1
+LPVHSIKPSSQPLIQTGDDEKNQRTITVNPAHMGKAFKVMNELRSKQLLCDVMIVAEDVE
+IEAHRVVLAACSPYFCAMFTGDMSESKAKKIEIKDVDGQTLSKLIDYIYTAEIEVTEENV
+QVLLPAASLLQLMDVRQNCCDFLQSQLHPTNCLGIRAFADVHTCTDLLQQANAYAGTEQH
+FPEVMLGEEFLSLSLDQVCSLISSDKLTVSSEEKVFEAVISWINYEKETRLEHMAKLMEH
+VRLPLLPRDYLVQTVEEEALIKNNNTCKDFLIEAMKYHLLPLDQRLLIKNPRTKPRTPVS
+LPKVMIVVGGQAPKAIRSVECYDFEEDRWDQIAELPSRRCRAGVVFMAGHVYAVGGFNGS
+LRVRTVDVYDGVKDQWTSIASMQERRSTLGAAVLNDLLYAVGGFDGSTGLASVEAYSYKT
+NEWFFVAPMNTRRSSVGVGVVEGKLYAVGGYDGASRQCLSTVEQYNPATNEWTYVADMST
+RRSGAGVGVLSGQLYATGGHDGPLVRKSVEVYDPGTNTWKQVADMNMCRRNAGVCAVNGL
+LYVVGGDDGSCNLASVEYYNPVTDKWTLLPTNMSTGRSYAGVAVIHKPL
+>tr|A0A3Q1LQP8|A0A3Q1LQP8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC528748 PE=4 SV=1
+MPRSGIAGSYGSSTFSFLRNFHIVPIVAVPIYIPTNSAGGYPSLHILSSICYLKIFLAIL
+VKLDYTQPISDENVTVMDTTFSDIPVRLYLPKRKRESQRPAVIFIHGGGFVLGSYKHTPL
+DLLNRWTANKVDAVVVGVDPRLAPEYPFPVPYEDVVSVVKYFLHDKILAKYGVDPNRICI
+SGDSSGGALAAGLAQLIQNDPEFKNKLKAQALIYPGLQLVDVSMPSHRENEHGPLLSRKL
+AIKLACLYFTRDKALPQAMMKNQHMPYGSMHLFKLVNWSTFLPEKYKKNHVYTEPIIGKL
+NPSYSILLDSRLSPLAANDSQLRNLPLTYILTCKHDILRDDGLMYVLRLQNVGVKVSHDH
+MEDGIHGALSFMAAPVYLKLGIRIKDKYINWLEENL
+>tr|A0A3Q1MQX5|A0A3Q1MQX5_BOVIN ARFGEF family member 3 OS=Bos taurus OX=9913 GN=ARFGEF3 PE=4 SV=1
+MEEILRKLQKEASGSKYKAIKESCTWALETLDGVDTIVKIPPHLLREKCLLPLQLALESK
+NVKLAQHALAGIQKLLAEERFVSMETDSDEKQLLNQILNAVKVTPSLHEDLQVEVMKVLL
+CITYTPTFDLNGSAVLKIAELCFACHKAGCPQMHEMIKMSLTTLLTALCLCASLGDPWPV
+AFHEIIENPDVPQEFRSQGSTVESLCDDLVSVLTVLCEKLQAAINDSQQLQLLYLECILS
+VLSSSSSSMQLHRGFTDLIWKNLCPALIVILGNPIHDKTITSAHSSSTSTSIESDSVSPG
+VSDHGRGSGCSSTAPALSGPVARTIYYIAAELVRLVGSVDSMKPVLQSLYHRVLLYPPPQ
+HRVEAIKIMKELLGSPKRLCDLAGPSSTEPDSRKRSISKRKSHLDLLKLIMDGMTEACIK
+GGIEACYAAVSCVCTLLGALEELSQGRGLSESQVQLLLLRLEELKDGAESSRDSMEINEA
+DFRWQRRVLSSEHTPWELGNERSLDISISVTTDTGQTTLEGELGQTTPEDHSENPKNGLK
+SPAVQEGKETLSKVSEPEAVDQPDVVQRSHTVAYPDITNFLSVDCRMRSYGSRYSESNFS
+VDDQDLSRTEFDSCDQYSMAAEKDSGRSDVSDIGSDNCSLADEEQTPRDCLGHRSLRTAA
+LSLKLLKNQEADQHSARLFVQSLEGLLPRLLALPSVEEVDSALQNFVSTFCSGMMHSPGF
+DGNSSLGLQMLMNADSLYSAAHCALLLNLKLSHGDYYRKRPTLAPSTMKEFMKQVQTSGV
+LMVFSQAWIEELYHQVLDRNMLGEAGYWGSPEDNSLPLITMLTDIDGLESSAIGGQLMAS
+ASMESPFTQGRRIDDSTVAGVAFARYILIGCWKNLIDTLSTPLTGRMAGSSKGLAFILGA
+EGIKEQNQKERDAICMSLDGLRKAARLSCALGVAANCASALAQMAAASCVQEEKEEKETQ
+EPSDAIAQVKLKVEQKLEQIGKLQGVWLHTAHVLCMDAILSVGLEMGSHNPDCWPHVFRV
+CEYVGTLEHTHFSDGTSQPPLTISQPQKAPRGGLLGDLESEGSAQEQSLEQESSLSAAPV
+IQPLSIQELVREGSRGRASDFRGGSLMTGSSAAKVVLTLSTQADRLFEDATDKLNLMALG
+GFLYQLKKASQSQLFHSVTETVDYSLAMPGEVKSTQDRKSALHLFRLGDAMLRIVRSKSR
+PLLHVMRCWSLVAPHLVEAACHKERHVSQKAVSFIHDILTEVLTDWNEPPHFHFNEALFR
+PFERIMQLELCDEDVQDQVVTSIGELVEVCSAQIQSGWRPLFSALETVHSGNKSEVKEYL
+VGDYSMGKGQAPVFDVFEAFLNTDNIQVFANAATSYIMCLMKFVKGLGEVDCKEIGDCVP
+GPGAASPDLCLPALDYLRRCSQLLAKIYKMPLKPIFLSGRLASLPRRLQEQSASSEDGIE
+SVLSDFDDDTGLIEVWIILLEQLTAAVSNCPRQHQPPTLDLLFELLREVTKTPGPGFGIY
+AVVHLLLPVMSLWLRRSHKDHSYWDIASANFKHAIGLSCELVVEHIQSFIHSDIRYESMI
+NTMLKDLFELLVACVAKPTETISRVGCSCIRYVLVTAGPVFTEEMWRLACCALQDAFSAT
+LKPVKCQIHDRAWSCVHPANDHCVSFSGEGCQVRVAAPSSSPSAEAEYWRIRAMAQQVFM
+LDTQCSPKTPNNFDHAQSCQLIIELPPDEKPNGHTKKSVSFREIVVSLLSHQVLLQNLYD
+ILLEEFVKGPSPGEEKIQGPETKLAGFLRYISMQNLAVIFDLLLDSYRTAREFDTSPGLK
+CLLKKVSGIGGAANLYRQSAMSFNIYFHALVCAVLSNQETITADQVKKVLFEDDERSTDS
+SQQCSSEDEDIFEETAQVSPPRGKEKRQWRARMPSLSVQPVSNADWVWLVKRLHKLCMEL
+CNNYIQMHLDLENSMEEAPIFKSDPFFILPSFQSESSTPSTGGFSGKDTPSEDDRSQTRD
+HAGEPLSLRGTSGELLLLPPSPKVEKKDPSRKKEWWENAGNKIYTMAADKTISKLMTEYK
+RRKQQHNLPVFPKEIKVEKKGEVLGARGQGSPLLQRPQHLMDQGQMRHSFSAGPELLRQE
+KRPRSGSTGSSRSVSARDAEAQIQAWTNMVLTVLNQIQILPDQTFTALQPAVFPCISQLT
+CHVTDIRVRQAVREWLGRVGRVYDIIM
+>tr|F1MAX1|F1MAX1_BOVIN Transporter OS=Bos taurus OX=9913 GN=SLC6A3 PE=3 SV=3
+MSEGRCSVAHMSSVVAPAKEANAMGPKAVELVLVKEQNGVQLTNSTLLNPPQSPTEAQDR
+ETWSKKADFLLSVIGFAVDLANVWRFPYLCYKNGGGAFLVPYLFFMVVAGVPLFYMELAL
+GQFNREGAAGVWKICPILRGVGYTAILISLYIGFFYNVIIAWALHYLLSSFTTELPWTHC
+NHSWNSPRCSDARAPNASSGPNGTSRTTPAAEYFERGVLHLHESQGIDDLGPPRWQLTSC
+LVLVIVLLYFSLWKGVKTSGKVVWITATMPYVVLFALLLRGITLPGAVDGIRAYLSVDFH
+RLCEASVWIDAAIQICFSLGVGLGVLIAFSSYNKFTNNCYRDAIITTSVNSLTSFSSGFV
+VFSFLGYMAQKHSVPIGDVAKDGPGLIFIIYPEALATLPLSSVWAVVFFVMLLTLGIDSA
+MGGMESVITGLADEFQLLHRHRELFTLLVVLATFLLSLFCVTNGGIYVFTLLDHFAAGTS
+ILFGVLMEVIGVAWFYGVWQFSDDIKQMTGRRPSLYWRLCWKFVSPCFLLFVVVVSIATF
+RPPHYGAYVFPEWATALGWAIAASSMSVVPIYAAYKLCSLPGSSREKLAYAITPETEHGR
+VDSGEPVSFAAPPLARGVGRWRKRKSCWVPSRGPGRGGPPTPSPRLAGHTRAFPWTGAPP
+VPRELTPPSTCRCVPPLVCAHPAGRINWAVFRVLEPLPALHPHRPTRAPGARGGRRPACG
+GERTVITGHRFSAESPGAHNSFLHPLFCPH
+>tr|A0A3Q1N1Q4|A0A3Q1N1Q4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MGAVAAEAPLRLPAAPPLAFCCYTSVLLLFAFSLPGSRASNQPPGGGGGGDCPGGKGKSV
+NCSELNVRESDLRVCDESSCKYGGVCKEDGDGLKCACQFQCHTNYIPVCGSNGDTYQNEC
+FLRRAACKHQKEITVVARGPCYSDNGSGSGEGAEEEGSGAEVHRKHSKCGPCKYKAECDE
+DAENVGCVCNIDCSGYSFNPVCASDGSSYNNPCFVREASCIKQEQIDIRHLGHCTDTDDT
+SLLGKKDDGLQYRPDVKENALKIIEDDDRSKT
+>tr|A0A3Q1N799|A0A3Q1N799_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+HCSHTKLSKYIQFTSLDKSENGTLSWEDFQWIPGLVIKPLGDWLISGFFPDGKDQAGFHG
+FIKSLCKDKNGPEPLNSRSNKLYFAFRLYDLDKNDKIFHNELFQVLCMMAGVNVSDEQLG
+GITDRTIQEADLERDGAISFEEFVSYFLPHLLRCGYDIHL
+>tr|F1MVR0|F1MVR0_BOVIN Cyclin dependent kinase 19 OS=Bos taurus OX=9913 GN=CDK19 PE=3 SV=2
+MDYDFKAKLAAERERVEDLFEYEGCKVGRGTYGHVYKARRKDGKDEKEYALKQIEGTGIS
+MSACREIALLRELKHPNVIALQKVFLSHSDRKVWLLFDYAEHDLWHIIKFHRASKANKKP
+MQLPRSMVKSLLYQILDGIHYLHANWVLHRDLKPANILVMGEGPERGRVKIADMGFARLF
+NSPLKPLADLDPVVVTFWYRAPELLLGARHYTKAIDIWAIGCIFAELLTSEPIFHCRQED
+IKTSNPFHHDQLDRIFSVMGFPADKDWEDIRKMPEYPTLQKDFRRTTYANSSLIKYMEKH
+KVKPDSKVFLLLQKLLTMDPTKRITSEQALQDPYFQEDPLPTLDVFAGCQIPYPKREFLN
+EDEPEEKGDKNQQQQQNQHQQPTAPPQQAAAPPQAPPQQQNSTQTNGTAGGAGAGPAGAG
+LQHSQDSGLNQVPPNKKPRLGPSGTNSGGPVMPSDYQHSSSRLNYQSSVQGSSQSQSTLG
+YSSSSQQSAQYHPSHQAHRY
+>tr|A0A3Q1M076|A0A3Q1M076_BOVIN Uracil-DNA glycosylase OS=Bos taurus OX=9913 GN=ACACB PE=3 SV=1
+MGVLCPGPLGWGRKLRVSGRGPLQLLSRLCGDYLQASPAKKPRVGPEEPGTPPSSPLSPE
+QLIRIQRNKAAALLRLAARNVPVGFGESWKKHLSGEFGKPYFIKLMGFVAEERKHYTVYP
+PPHQVFTWTQMCDIRDVKVVILGQDPYHGPNQAHGLCFSVQRPVPPPPSLENIYKELSTD
+VDGFVHPGHGDLSGWAKQGVLLLNAVLTVRAHQANSHKERGWEQFTDAVVSWLNQNAHGL
+VFLLWGSYAQKKGSAIDRAPADDIQASGEPGQQARNTLPLYFLNDPASFSILSDFLLPDL
+FPIKKLRENVRLQASHQE
+>tr|F1MU39|F1MU39_BOVIN Myosin heavy chain 15 OS=Bos taurus OX=9913 GN=MYH15 PE=3 SV=3
+TCLSLTGRITLRRMDLSELGEAAVFLRISKDELLLLQAMAFDGKKKCWIHDEKNAYVEAE
+IKGSGGDGRLLVETTDGKHLSVKEDEIQQMNPPEFEMIEDMAMLTHLNEASVLHALRRRY
+DHWMIYTYSGLSCVSINPHKQLPVYHKAVAAAYKGRRRSDAPPHIFAVANNAFQDMLHSR
+ENQSILFTGESGAGKTVNTKHIIQYFATVATTGESKEKLETLQDQIKQVNPVLEAFGNAK
+TLRNDNSSRFGKFIRMHFCARGKLSSADINIYLLEKSRVIFQQPGERNYHIFYQILSGKK
+ELRDVCLVSENPSDFHFCSHGTVAVESLDDAEELLATDQAMDILGFLPDEKYGSYKLAGA
+ILHFGNMKFKQKPREEQVEADGTENAGKAAFLMGINSSELVKGLIHPRIKVGNEYVTRSQ
+NVEQVTYAVGALSKSIYERMFKWLVARINRVLDAKLSRQFFIGILDITGFEMLDYNSLEQ
+LCINFTNEKLQQFFNQHTLVLEQEEYRREGIDWVSIDFGLDLQACVDLVEKQPMGIFSIL
+EEECMFHQATDVTFNTKLFDNHFGKSVHFQKPKPDNKKKYEADFELVHYAGVVPYNISGW
+LEKNKDFLNETVVAIFQKSSNRLLANLFENYISTNTALQFGEKKRKKGASFQMVASLHKE
+NLNKLMTKLKSTAPHFVRCINPNVNKTPGVMDPYLVLQQLRCNGVLEGITICREGFPHRL
+LYADFKQRYYILNPRVFPKSKFASNRKAAEELLDSLEIDHTQYHTVEFYRDMQISLKVYM
+ILQVFSLHGNIVCLDALLLIQWNIRAFIAVKSWPWMRLFFEIKPLVRSAGMGKEGAGLKE
+ECMQLQKALEKSESQREELKSKQVSLLQEKNDLLLQLQAERETLANVEEQCELLIKSKIQ
+LEASVKALSARVEEEEEINSKLTARGQKLEDECSELKKEIDDLETLLAKSQKEKHATELK
+VKNLLEEVESLNEDVSKLHRAARAAQETHQQTLEDLRNEEEKVHTLSKAKLTLEQRVNEL
+EGALEQESKARMNCEKEKCKVEGELKLNQESMDDLESRQLQLAEKLRRKELEMNQMRSKV
+ENEKSLVAQLQKTVKEFQTQIQLLKEELEAERTTRAKVERERANLIRELEDMNERLEEAG
+GVSSAQLEITKKQETKFQKLRRDLEEATLHFEATSASLKKRHADSLAELESQVENLQQVK
+QTLEKDKSDLELEVNDLLIHVEQMTRAKANAEKLCSLYEERLKEANAKLDEVTQLANDVT
+AQKTKLRSENGELRKRLEEMEALINQLSREKSIFTLQIQDLKGQLAEETKSQSALAQAVK
+SAKRDCDLLREQYEEEQAAKAELLQALLKGNAEMVKWRTKYEDDTIQRAEDLEDAKKKLA
+LRLQEAAEAMGVANARNASLERARLRLQLELGDTLSDLGQARSAAAALNQKQQHFDKSLD
+DWRRKHEESQAMLDAAKKEARALSIQLLELRHSYEEGTMSQEALRRENKHLKEEISNLTN
+QVREGKKNLSKMEKVKKQIEQEKNEVQMALEEAEGALERNESKVLRLQLELSDTKAELER
+KLSEKDEEIENFRKKQQCAIDSLQLSLDSEARCRIEATRLKRSMEGDLTEMELQLSCASR
+QASEATKSLGQLQTQVKDLQVQVDDSTCMNSELKEQVAVAERRNALLQAEVEELRSLQEQ
+TERSRRLAEEELLEATEKINLFHAQNTSLLSQKKKLEVDVARMQKEAEEAMQGCQNAEEK
+AKKAATEVANMSEALKKEQDTNAHLERMRKNMEQTIKDLQERLDDAEQTAIVGNRKQIQK
+LESRVRELEAELESEVQRNSEAQRGAHRLERCLKELTHQVEEDKKNMSRMQTLMDNLQLK
+VQSYKQQIESAEAEASQYRSKYKKQQHELNEAKERAEIAESQVNKLKMKAKEFGKKVRQV
+FSSAVTFKALGISGSCHHMENCFFRGF
+>tr|F6RJ53|F6RJ53_BOVIN Beta-1,4-galactosyltransferase 2 OS=Bos taurus OX=9913 GN=B4GALT2 PE=4 SV=1
+MSRLLGGTLERVCKAVLLLCLLHFLVAVILYFDVYAQHLAFFSRFSARGPSRALHPAASS
+STNCSRPNATAPSSGLPEAPSARPGPTAPVLPPCPDSPPGLVGRLLIEFTSPMPLERVQR
+ENPGVLLGGRYTPPDCTPAQTVAVIIPFRHREHHLRYWLHYLHPILRRQRLRYGIYVINQ
+HGEDTFNRAKLLNVGFLEALKEDSTYNCFIFSDVDLVPMDDRNLYRCGDQPRHFAIAMDK
+FGFRLPYAGYFGGVSGLNKSQFLRINGFPNEYWGWGGEDDDIFNRISLAGMKISRPDIRI
+GRYRMIKHDRDKHNEPNPQRSRSSPGFFLLSPYVSGPSAGLISAAPARFTKIQNTKLTMK
+RDGIGSVRYQVLEVSRQPLFTNITVDIGRPPSWPPRG
+>tr|F1N430|F1N430_BOVIN Metalloproteinase inhibitor 2 OS=Bos taurus OX=9913 GN=TIMP2 PE=4 SV=2
+MGAAARSLPLAFCLLLLGTLLPRADACSCSPVHPQQAFCNADIVIRAKAVNKKEVDSGND
+IYGNPIKRIQYEIKQIKMFKGPDQDIEFIYTAPSSAVCGVSLDIGGKKEYLIAGKAEGNG
+NMHITLCDFIVPWDTLSATQKKSLNHRYQMGCECKITRCPMIPCYISSPDECLWMDWVTE
+KNINGHQAKFFACIKRSDGSCAWYRGAAPPKQEFLDIEDPFLHRGSFGSRVEMAW
+>tr|F1MK93|F1MK93_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=3
+GKKPYVCSECGKDFNQSSNLIIHQRIHNGENPYECKECGKAFKGSSNLVLHQRIHTGEKP
+YVFNECGKAFNQSSDLIIHHRTHTGEKPYKCYECGQTFSQSSHLVTHQRIHTGEKGFKCT
+KCGKYFQGSSDLIRHWITHTGEKPYECSECGKAFGQRSHLMTHQKSHTGEKPYQCNECAK
+AFRQRSLLIQHHRIHSGEKPCECKECGKTQSSDLMQHHRIHSGEKPNVCNKCGKSFRDSS
+DLIKHHCVHTGEKPYECSECKKAFCQNSHLVSHQRIHTGEKAFECSHCENSFWRSSNLIQ
+HQRIHTGEKPYKCYACGKSFRWSAHLVQHQRIHSGENPYKCNECGKAFSQSSYLIRHQRV
+HARE
+>tr|G3N1Y3|G3N1Y3_BOVIN GLOBIN domain-containing protein OS=Bos taurus OX=9913 GN=LOC107131172 PE=3 SV=2
+MLSAEEKAAVTSLFAKVKVDEVGGEALGRLLVVYPWTQRFFESFGDLSSADAILGNPKVK
+AHGKKVLDSFCEGLKQLDDLKGAFASLSELHCDKLHVDPENFRLLGNVLVVVLARCFGSE
+FSPELQASFQKVVTGVANALAHRYH
+>tr|F1MV85|F1MV85_BOVIN Polysaccharide biosynthesis domain containing 1 OS=Bos taurus OX=9913 GN=PBDC1 PE=4 SV=1
+MEATGGPEELVSGELVSVAHALSLPAESYGNDPDIEMAWAMRAMQHAEIYYKLISSVDPQ
+FLKLTKVDDQIYSEFRENFKNLRIDILDPEELKSESAKEKWRPFCLKFDGIVEDFNYGTL
+LRLNCSQGYTEENTIFAPRIQFFAIEIARNREGYNKAVYTGIKEKEEKEASNGGDKGANS
+RGEEEKGANREGEKEKTNEREEKEKEACKEISKSSETTM
+>tr|F1MXB0|F1MXB0_BOVIN FKBP prolyl isomerase like OS=Bos taurus OX=9913 GN=FKBPL PE=4 SV=2
+MDTSPINSMGEKDSCQPQQQGEKNLELPTQIRQHRGESPTEILELKVSPDPASQILQNPQ
+EIEKLPAELERDSAKSHGSASAMSEPLQASDLWYCPDGSFVKKIVVRGHGLDKPKLGSRC
+TGRDSWELEDTEKEALAREERARGTELFRAGNPEGAARCYARALRLLLTLPPPGPPERTV
+LHANLAACQLLLGQPHLAAQSCDRVLEREPGHIKALYRRGVAQAALGNLEKAMTDLKKVL
+AVDPKNRAAQEELGKVIIQGKKQDAGLAQGLRKMFG
+>tr|A0A452DIB4|A0A452DIB4_BOVIN NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 6 OS=Bos taurus OX=9913 GN=NDUFA6 PE=3 SV=1
+MIKRIRTSAGLTGRNGVELGSDCLSKMAASGLRQAAVAASTSVKPIFSRDMNEAKRRVRE
+LYRAWYREVPNTVHLFQLDISVKQGRDKVREMFKKNAHITDPRVVDLLVIKGKMELEETI
+KVWKQRTHVMRFFHETEAPRPKDFLSKFYVGHDP
+>tr|G3N3Q3|G3N3Q3_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=2
+VTFICSKMNPLWTLLFVLSAPRGVLSQVQLQESGPSLVKTSQTLSLTCTASGLSLTRYGI
+HWVRQAPGKALEWLGDISSGGSTGYNPGLKSRLSITKDNSKSQVSLSLSSLTPEDSATYY
+CARDTVRGRQCEPRQKPPCIGARDHQGALRTQYRAEPWSRCRRGLGRWGVWGGFSSELLF
+PPPAQEPHL
+>tr|A0A3Q1MI59|A0A3Q1MI59_BOVIN Myelin regulatory factor OS=Bos taurus OX=9913 GN=MYRF PE=4 SV=1
+MEVVDETEALQRFFEGHDINGALEPSNIDTSILEEYISKEDASDLTLPDSPPDSGSEAYS
+PQQVNDPHLLRTITPETLCHVGVPSRLEHPPPPPAHLPGPPPPPPPPPHYPVLQRDLYMK
+AEPPMPPYAAMGQGLVPTDLHHGQQSQMLHQLLQQHGAELPPHPSKKRKHSESPPNTLNA
+QMLNGMIKQEPGTVTALPPHPARAPSPPWPPQGPLSPGPNSLPLSIARVQTPPWHPPGAP
+SPGLLQDSDSLSGSYLDPNYQSIKWQPHQQNKWATLYDANYKELPMLTYRVDADKGFNFS
+VGDDAFVCQKKNHFQVTAYIGMLGEPKYVKTPEGLKPLDCFYLKLHGVKLEALNQSINIE
+QSQSDRSKRPFNPVSVNLPPEQVTKVTVGRLHFSETTANNMRKKGKPNPDQRYFMLVVAL
+QAHAQNQNYTLAAQISERIIVRASNPGQFESDSEVLWQRAQVPDTVFHHGRVGINTDRPD
+EALVVHGNVKVMGSLMHPSDLRAKEHVQEVDTTEQLKRISRMRLVHYRYKPEFAATAGIE
+AAAPETGVIAQEVKEILPEAVKDTGDVVFANGKTIENFLVVNKERIFMENVGAVKELCKL
+TDNLETRIDELERWSHKLAKLRRLDSLKSTGSSGAFSHAGSQFSRAGSVPHKKRPPKVAS
+KSSSVVPDQACISQRFLQGTIVALVVVMAFSVVSMSTLYVLSLRTEEDLVESDGSFAVST
+SCLLALLRPQHPGGSEAMCPWSSQSFGTTQLRQSPVTTGVLGPQPSLLLGTTGPTRSAPA
+PALRTLDLCSTHPCPVICCSSPSPTPSTDPSLGPSFNPGRGLSPSPSPTTNRSGPSQMAL
+LPVTNIRAKSWGLSANGIGYFKHPKSSNPVASPVVPFPGGQGKAKNGPSLGLHGRGRRAV
+PEPGLSPAQPTQAWGQPASLLADPVPSLTSIQVLENSMPITSQYCTSEDACRPGNVTYHI
+PVSSSTPLHLRLTLQMNSSSPVSVVLCSLMSKEEPCEEGGFLQSLHAHQDTQGTSHQWPV
+TILSFRRFTYHFRVALLGQANCSAEAPVQPATDYYFHFYRLCD
+>tr|F1MNF1|F1MNF1_BOVIN Transmembrane protein adipocyte associated 1 OS=Bos taurus OX=9913 GN=TPRA1 PE=4 SV=3
+MDTWDMGASSPPPLAPNISVPHRCLLLLYEDIGTSRVRYWDLLLLIPNVLFFIFLLWKLP
+FARAKIRVTSSPIFITFYILVFVVALVGIARAVVSMTVSTSDAATVADKILWEITRFFLL
+AIELSVVILGLAFGHLESKSSIKRVLAITTVLSLAYSVTQGTLEILYPDAHLSAEDFNIY
+GHGGRQFWLVSSCFFFLVYSLVVVLPRTPLKERISLPSRRSFYVYAGILALLNLVQGLGS
+ALLCADIIEGLCCVDATTFLYFSFFAPLIYVAFLRGFFGSEPKILFSYKCQVDETEEPDM
+HLPQPYAVARREGPEAAGAASTQFDSAGVAYLDDVASLPCHSGSINSLDSERWRAINA
+>tr|F1MEV2|F1MEV2_BOVIN KIAA0930 OS=Bos taurus OX=9913 GN=KIAA0930 PE=4 SV=3
+MLRAIAEERGRVSLRREVCGLGCFKDDRIVFWTWMFSTYFMEKWAPRQDDMLFYVRRKLA
+FAGSEGALDGRKLAEAEPEVEVEVYRRDSKKLPGLGDPDIDWEESVCLNLILQKLDYMVT
+CAVCTRADGGDIHIHRKRSQQVFASPSKHPMDSKGEESKISYPNIFFMIDSFEEVFSDMT
+VGEGEMVCVELVASDKTNTFQGVIFQGSIRYEALKKVYDNRVSVAARMAQKMSFGFYKYS
+NMEFVRMKGPQGKGHAEMAVSRVSTGDTSPCGTEEDSSPASPMHERVTSFSTPPTPERNN
+RPAFFSPSLKRKGPRNRITEMKKSHSANDSEEFFREDDGGADLHNATNLRSRSLSGTGRS
+LVGSWLKLNRADGNFLLYAHLTYVTLPLHRILTDILEVRQKPILMT
+>tr|A0A3Q1MHN6|A0A3Q1MHN6_BOVIN Inhibitory synaptic factor 2A OS=Bos taurus OX=9913 GN=INSYN2A PE=4 SV=1
+MKLLTINLVSDFARNSQTPDWTIIFFSFHFKFTCFEVGIKRMNHEMLLTRKTTSRDALSS
+NLSHMVIKEDKSLKGTMVSKEPSKCVLTTSASEVEPAACLALEMKYALDPNRQIKKRNKA
+LQVRFKDICEAQNEQRDTQLASGLQGDKREARPASCRAAYRKYMTVPARRSIPNVTKSTG
+VQTSPDLKKCYQTFPLDRKKGTLKSIPGTEAFKSQNNGLVIDAKEKSQEGPGEEARPWGA
+GRVQKTTALVFHSDEHVNALGPPTGVNCAEPCKTPDRHSYRDAPVQNSTRLSSQELEYQL
+LGKARQGRATPDTEEPAPSAHRRVFKTEVATVYAPAPGARAPAPALSNSAPSSEWSLCPA
+ADLERRTAAQASGLQARPVVAPACSPPTQCLSPECSEEDASLQTQAPSGQERQPCPQALA
+ADEECRQIVPHTEVVDLKAQLQMMENLISSSQETIKVLLGVIQELEKGEAHREGLSYRTG
+QDTANCDTCRNSACIIYSVELDFKQQEDKLQPVLRKLHPFEETQVAPSPYTQETYSSTPK
+QKSKTESKKHGRWKLWFL
+>tr|A0A3Q1N105|A0A3Q1N105_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MKGWGSHSAWSADCGWGPGLGQRLFARSLFWGFLPAHGRKGAEHIATGKTKHLQTVPRKV
+NERSQPPLSLALTTCPSPLALLREEVSRLQEEVHLLRQMKEMLMKDLEESQGGKSSEVLS
+ATELRVQLAQKEQELARAKEALQAMKADRKRLKGEKTDLVNQMQQLYATLESREEQLRDF
+IRNYEQHRKESEDAVKALAKEKDLLEREKWELRRQAKEATDHATALRSQLDLKDNRMKEL
+EAELAMAKQSLATLTKDVPKRHSLAMPGETVLNGNQEWVVQADLPLTAAIRQSQQTLYHS
+HPPHPADRQAVRVSPCHSRQPSVISDASAAEGDRSSTPSDINSPRHRTHSLCNGDSPGPV
+QKNLHNPIVQSLEDLEDQKRKKKKEKMGFGSISRVFARGKQRKSLDPGLFDGTAPDYYIE
+EDGDW
+>tr|E1BDF6|E1BDF6_BOVIN THO complex subunit 3 OS=Bos taurus OX=9913 GN=THOC3 PE=4 SV=2
+MAIPQASMGPSSLGQSGPGSMAPWCSVSSGPTRYVLGMQELFRGHSKTREFPAHSAKVHS
+VAWSCDGRRLASGSFDKTASVFLLEKDRLVKENNYRGHGDSVDQLCWHPSNPDLFVTASG
+DKTIRIWDVRTTKCIATVNTKGNCSELCWEPEGQTIDCNSKDDLLLFLDAGDYRMTGEGQ
+FSFQSQIVKWQNQYNIVKLSNHCGWVHILNYPELKPVQSINAHPSNCICIKFDPMGKYFA
+TGSADALVSLWDVDELVCVRCFSRLDWPVRTLSFSHDGKMLASASEDHFIDIAEVETGDK
+LWEVQCESPTFTVAWHPKRPLLAFACDDKDGKYDSSREAGTVKLFGLPNDS
+>tr|F1N405|F1N405_BOVIN Reticulon OS=Bos taurus OX=9913 GN=RTN4 PE=1 SV=2
+MEDMDQSPLVSSSSDSPPRPQPAFKYQFVKEPEDEEEEEEEEEDEDEDEDLEELEVLERK
+PAAALSAAPVAAAATAATPASAPLVDFGNDFVPPAPRGPLPAAPLAAPERQPSWDPSPVS
+SSVPAPSPPSITADSPSKLFEDEEPPARPPPPPPADVSPEAEPSWTSVVPAPAAPPSTPA
+APKRRGSSGSVDETLFALPAASEPVIHSSAEKVMDLKEQPGNTVSAGQEDFPSVLLETAA
+SLPSLSPLSAAAFKEREYLGDLPAVLPTEGTLPATSNEASKAFSEKAKNPFVERNLTEFS
+ELEYSEMESSFSGSQKAEPAVTVANPRDEIVVRSKDKEEDLVSLNILHTQQELSTVLTKS
+VEEEDRVLSPEKTKDSFKEKGVAAEASMREEYADFKPFERVWEVKDTYKQDSDVLVAGGN
+IESKLEGKVDKKHFSDSLEQTNREKDSESSNDDTSFPSTPEAVRGGSGAYITCAPFNPTT
+ENVSTNIFPLLEDHTSENKTDEKKIEEKKAQIVTEKNASVKTSNPFLMAAQESKTDYVTT
+DHVSKVTEEVVANMPEGLTPDLVQEACESELNEATGTKIAFETKMDLVQTSEAVQESLYP
+VTQLCPSFEESEATPSPVLPDIVMEAPLNSVVPSAGASAVQLSSSPLETPPSVNYESIKF
+EPENPPPYEEAMNVSLKKESGMNEEITEPEGISVAVQETEAPYISIACDLIKETKISTEP
+TPDFSSYSEIAEVAQPVPEHSELVEDSSPDSEPVDLFSDDSIPEVPQKQDEAVILVKENL
+TEISSESMTGHDNKGKLSASPSPEGGKPYLESFQPSLGITKDTLAPDEVSALTQKEKIPL
+QMEELNTAVYSSDGLFIAQEANLRESETFSDSSPIEIIDEFPTFVSSKADSSPTLAREYT
+DLEVAHKSEIADIQDGAGSLACAGLPHDLSFKSIQPKEEVHVPDEFSKDRGDVSKVPILP
+PDVSALDAQAEIGSIEKPKVLVKEAERKLPSDTEKERRSPSAIFSAELSKTSVVDLLYWR
+DIKKTGVVFGASLFLLLSLTVFSIVSVTAYIALALLSVTISFRIYKGVIQAIQKSDEGHP
+FRAYLESEVAISEELVQKYSNSALGHVNCTIKELRRLFLVDDLVDSLKFAVLMWVFTYVG
+ALFNGLTLLILALISLFSVPVIYERHQAQIDHYLGLANKNVKDAMAKCTGLGQQCGIFML
+RHSSQFQSDLKCELPEDFFPNIWIIDSVST
+>tr|A0A3Q1MJY7|A0A3Q1MJY7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MAAADGDNSLDPMSILIDELQNEDIQLRINSINKLSTIALALGVEKTRSELLPLIIDIMY
+DEDEVLLALAEQLGTFTPLALVMITLCQAAEDTSWRVRYMVAEKFTELQTAVRPEITKTG
+LVPAFQNLMQDHEPEVRAAASYKLKEFCENLSADYRENVILTEILPFSQELVSDTNQHVR
+SALASVILGLCPILGKDNTIEHIMPLFLALLRDECSDVRLNVISNLHYMKEVIGIQDLSH
+FLLPTIMELAEDANWRVRLVIIEYMPLLAGQFGLEFFDAQLHSLCMSWLVDHVYAIREAA
+TNNLKKLVEQFGKEWALAAVIPRVLTLSEEPNYLHRMTTLFCINVLSEVCGQDITTKHML
+PTVLYMAGDPVANVRFNVAKSLQKIGSILENSTLQTEVKPILEKLTQDRDVDVKYFAQEA
+LTVLSLGPVSHLMLEEEPMPASGIYSSPPSPPSPSLGETVPLPVTPCACSDPRPLPQHSS
+CPQPGNPTSHRPLPRGWGCPRWDRAETLGGRVVHAVLGGKAGIPVCRLLLIQWGPLPHRF
+LNLPSFRLNGCLCQLCHFYFIPFTPFSREITV
+>tr|A0A3Q1N5B9|A0A3Q1N5B9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MESQINSLETRIEKMQERFNKDLEEIKKSQYIMNNAINEIKNTLEATNSRITEAEDRISE
+LEDRMVEINESERKKEKRIKRNEDNLRDLQDNIKRYNIRIRGVPEEEDKKKDHEKILEEI
+IVENFPKMGKEIITQVQETQRVPNRINPRRNTPRHILIKLTKIKHKEQILKAAREKQQIT
+HQGIPIRITADLSIETLQARREWQDILKMMKENNLQPRLLYPARISFKYEGEIKAFQTSK
+S
+>tr|E1BIX2|E1BIX2_BOVIN DNA-directed RNA polymerase subunit OS=Bos taurus OX=9913 GN=POLR1A PE=3 SV=2
+MLGSKNMPWRRLQGISFGMYSAEELKKLSVKSITNPRYLDSLGNPSVNGLYDLALGPADS
+KEVCSTCVQDFTNCSGHLGHIDLPLTVYNPLLFDKLYLLLRGSCLNCHMLTCPRAVVHLL
+LCQLRVLEVGALQAVYELERILYRFLEENADPTACEIQEELEQYTIKILQNNLPGSQGAQ
+VKNVCESKSKLISVFWKVHMTAKRCPHCKTGRSVVRKEHNSKLTITYPAMVHRRADQKDS
+EPQGIEEAQMGKRGYLTPSSAQEHLIALWKNEGFFLNYLFLGLEDVGMESRFNPSMFFLD
+FLVVPPSRYRPVNRLGDQMFTNGQTVNLQAVMKDVVLIRKLLALMAQEQELPSEVAAPTT
+EEEKDSWMAMDRSFLSQLPGQSLTDRLYNIWIRLQSHVNIVFDSEMDKLMMEKYPGIRQI
+LEKKDGLFRKHMMGKRVDYAARSVICPDMYISTNEIGIPMVFATKLTYPQPVTPWNVQEL
+RQAVINGPNMHPGASMVINEDGSRTALSASDLTQREAVAKQLLTPATGAPKPQGTKIVCR
+HVKNGDILLLNRQPTLHRPSIQAHRARVLPEEKVLRLHYANCKAYNADFDGDEMNAHFPQ
+SELGRAEAYVLACTDQQYLVPKDGQPLAGLIQDHMVSGANMTIRGCFFNREQYMELVFRG
+LTDKVGRVKLFPPAILKPFPLWTGKQVVSTLLINIIPEDHIPLNLSGKAKIGGKAWVKES
+PRLVPGFNPDSMCESQVIIRAGELLCGVLDKAHYGSSAYGLVHCCYEIYGGETSGRVLTC
+LARLFTAYLQLYRGFTLGVEDILVKPKADVRRHGIIEDSTHCGPRAVRAALNLPEAVSCD
+EVQGKWQDAHLSKDQRDFNMIDLKFKEEVNHYSNEINKACMPFGLHRQFPENNLQMMVQS
+GAKGSTVNTMQISCLLGQIELEGRRPPLMASGKSLPCFEPYEFTPRAGGFVTGRFLTGIK
+PPEFFFHCMAGREGLVDTAVKTSRSGYLQRCIIKHLEGLVVQYDLTVRDSDGSVVQFLYG
+EDGLDIPKTQFLQPKQFPFLTSNYEVIMKSKHLHEVLSRADPQKALRHFRAIKKWHSKHS
+NTLLRKGAFLNYSQKIQAAVKALNLEGTNQNGRSPETYQMLRMWAELDEQSRRKYQKKAA
+PCPDPSLSVWRPDIYFASVSETFEKKMDDYSCEWAAQAERSYEKSGLSLDSLRTLLQLKW
+QRSLCDPGEAVGLLAAQSIGEPSTQMTLNTFHFAGRGEMNVTLGIPRLREILMVASSNIK
+TPMMSVPVFNTKKALKKVKSLKKQLTRVCLGEVLEKIDVQESFRIGEKQNKFRVYQLRFQ
+FLPHAYYQQEKCTRPEDILHFMETRFFKILMEAIRKKNSKASAFRNVNTRKATQRDLDNA
+GESERNRGEQEGDEEEEGHIVDAEAEEGDADASDAKRKEKQEEEVDYDSEEEEEKEEEEK
+EEEDIQEEGTGLKEGVQQEQEEGGWAPEESSLPGAPLTQPQKRARSREPQGAEAVERRAQ
+AVRECHPFIEDYQYDTQGSLWCQVTLKLPLMKVNFDMSSLVASLAQGAIIYATKGITRCL
+LNETINSKNEKELVLNTEGINLPELFKYAEVLDLRRLYSNDIHAMASTYGIEAALRVIEK
+EIKDVFAVYGIAVDPRHLSLVADYMCFEGVYKPLNRFGIRSNSSPLQQMTFETSFQFLKQ
+ATLMGSHDELRSPSACLVVGKVVKGGTGLFELKQPLR
+>tr|A0A3Q1N938|A0A3Q1N938_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MDRSTKQKINKETQTLNDTIDQLDLIDIYRSFHPKTMNFTFFSSAHGTFSRIDHILGHKA
+SLGKFKKIEIIPSIFSDHNAVRLDLNYRRKTIKNSNIWRLNNTLLNNQQITEEIKKEIKI
+CIETNENENTTTQNLWDTVKAVLRGKFIAIQAHLKKQEKSQINNLTLHLKQLEKEEMKNP
+RVSRRKEILKIRAEINAKETKETIAKINKTKSWFFERINKIDKPLARLIKKQREKNQINK
+IRNENGEITTDNTEIQRIIRDYYQQLYANKMDNVEEMDKFLEKYNFPKLDQEEIENLNRP
+ITSMEIETVIKNLPANKSPGPDGFTAEFYQKFREELTPVLLKLFQKIAEEGKLPNSFYEA
+TITLIPKPDKDPTKKENYRPISLMNIDAKILNKILAIRIQQHIKKIIHHDQVGFIPGMQG
+FFNIRKSVNVIHHINKLKNKNHMIISIDAEKAFDKIQHPFMIKTLQKAGIEGTYLNIIKA
+IYDKPTANIILNGEKLKAFPLKSGTRQGCPLSPLLFNIVLEVLATAIRAEKEIKGIQIGK
+EEVKLSLFADDMILYIENPKESTRKLLEIINDYSKVSGYTINTQKSLAFLYTNNEKTERE
+IKETIPFTIATERIKYLGIYLPKETKDLYIENYKTLVKEIKEDTNRWRNIPCSWIGRINI
+VKMSILPKAIYRFNAIPIKLPTVFFTELEQIISQLVWKYKEPRIAKAILRKKNGTGGINL
+PDFRLYYKATVIKTVWYWHKDRNIDQWNKIESPEINPRTYGHLIFDKGGKNIQWIKDNLF
+NKWCWEIWSTTCKRMKLDHFLTPYTKINSKWIKDLNVRPETIKLLEENIGKTLSDIHHSR
+ILYDPPPRILEIKTKINKWDLINLKSFCTSKETISKVKRQPSEWEKIIANEATDKQLISK
+IYKQLLQLNSRKINDPIKKWAKELNRHFSKKDIQMANKHMKRCSTSLIIREMQIKTTMRY
+HFTPVRMAAIQKSTNNKCWRGCGEKGTLLHCWWECKLVQPLWRTVWRFLKKLEIELPYDP
+AIPLLGIHTEETRRERDTCTPMFIAALFIIAKTWKQPRCPSADEWISKLWYIYTMEYYSA
+IKKNTFESVLMRWMKLEPIIQSEVSQKEKHKYSILTHIYGI
+>tr|A0A3Q1MNA4|A0A3Q1MNA4_BOVIN Transmembrane channel-like protein OS=Bos taurus OX=9913 GN=TMC8 PE=3 SV=1
+MQRQWSVQAPGEPEAEPGGEELCEQEMKRLCLSQHPVRVLPYAMMDKRFIRQLREPEGVK
+TSCWQQWRRRRQTAGRRLGEAARRLTRGCGLWEGALYEIGGLFGTGIQSYFTFLRFLLLL
+NLLTLLLTSSFVLLPLVWLRPPDPGPALNFTLQCPGSGHLPQTGVSKFNNLLWNVFTGRA
+FNNTYLFYGAYRAGPESSSTYSIRLAYLLSPLACLLLCFCGILRRMVKGLPQKMFLGQDY
+RSPLSAKVFSSWDFCIRGQEAATIKRHEISNEFKMELEEGRHLLLLQQQTRAHRACHLLT
+YLRVNILIGLLVVGAISAIFWATKYSQDNKEESLFLLLQYLPPGVIALVNFLGPLLFVFL
+VQLENYPPNTEVNLTLIWCVVLKLASLGMFSFSLGQTVLCIGRNKTSCESYGYNACDYQC
+WENSVGEELYKLSIFNFLLTVAFAFLVSLPRRMLVERFSGRFWVWLDREEFLVPKNVLDI
+VEGQTVTWMGLFYCPLLPLLNSIFIFLTFYIKKYTLLRNSRASPRRFRASSSIFFFQLVL
+LLGLLLAAVPLGYVVSSLVLTVCISQSQASSRAIRRLRKQLVWQVQEKWHLVDDLSRLLA
+EPGSGDSLGPESPVSRGSRPRSFCPGFPCPGSPGPRPRKPGPSLEDPAGLRGVSVPAHRF
+RFPSGSEL
+>tr|E1BA64|E1BA64_BOVIN Homeobox protein aristaless-like 4 OS=Bos taurus OX=9913 GN=ALX4 PE=3 SV=3
+MNAETCVSYCESPAAAMDAYYSPVSQSREGSSPFRAYPGGDKFSTTFLSAAAKGQGFGDA
+KSRARYGAGQQDPAAPLESGAGARGSFSKFQPQPPAPQPQPPAPQPHLYLQRGACKTPPD
+GSLKLQEGGGGHNAALQVPCYAKESSLGEPELPPDSDTVGMDSSYLSVKEAGVKGPQDRA
+SADLPSPMEKADSESNKGKKRRNRTTFTSYQLEELEKVFQKTHYPDVYAREQLAMRTDLT
+EARVQVWFQNRRAKWRKRERFGQMQQVRTHFSTAYELPLLTRAENYAQIQNPSWIGNNGA
+ASPVPACVVPCDPVPACMSPHAHPPGSGASGVTDFLSVSGAGSHVGQTHMGSLFGAAGLS
+PGLNGYELNGEPDRKTSSIAALRMKAKEHSAAISWAT
+>tr|A0A3Q1M262|A0A3Q1M262_BOVIN AP-1 complex subunit mu-1 OS=Bos taurus OX=9913 GN=AP1M1 PE=3 SV=1
+SRCSRGYVLSLRSSWGVLICRNYRGDVDMSEVEHFMPILMEKEEEGMLSPILAHGGVRFM
+WIKHNNLYLVATSKKNACVSLVFSFLYKVVQVFSEYFKELEEESIRDNFVIIYELLDELM
+DFGYPQTTDSKILQEYITQEGHKLETGAPRPPATVTNAVSWRSEGIKYRKNEVFLDVIES
+VNLLVSANGNVLRSEIVGSIKMRVFLSGMPELRLGLNDKVLFDNTGRGKSKSVELEDVKF
+HQCVRLSRFENDRTISFIPPDGEFELMSYRLNTHVKPLIWIESVIEKHSHSRIEYMIKAK
+SQFKRRSTANNVEIHIPVPNDADSPKFKTTVGSVKWVPENSEIVWSIKSFPVSTLSAGRP
+PDPHPHRLLPGSHAAWQPDQCRPLKPTAGIPVRYLKIIEKSGYQALPWVRYITQNGGTCD
+LPMGQVGDAEKAPGGGATVPRVVLREQPQGQGRWGGPSSRVRADNGRPPPSF
+>tr|F1N036|F1N036_BOVIN DnaJ homolog subfamily C member 3 OS=Bos taurus OX=9913 GN=DNAJC3 PE=4 SV=1
+MVAPGSVTSRLGSVFPFLLVLVDLQYEGAECGVNADVEKHLELGKKLLAAGQLADALSQF
+HAAVDGDPDNYIAYYRRATVFLAMGKSKAALPDLTKVIELKMDFTAARLQRGHLLLKQGK
+LDEAEDDFKKVLKSNPSENEEKEAQSQLVKSDEMQRLRSQALDAFESSDFTAAITFLDKI
+LEVCVWDAELRELRAECFIKEGEPRKAISDLKASSKLKNDNTEAFYKISTLYYELGDHEL
+SLSEVRECLKLDQDHKRCFAHYKQVKKLNKLIESAEELIKEGRYTDAISKYESVMKTEPG
+VHEYTIRSKERICHCFSKDEKPVEAIRVCSEVLQVEPDNVNALKDRAEAYLIEEMYDEAI
+QDYETAQEHNENDQQIREGLEKAQRLLKQSQRRDYYKILGVKRNAKKQEIIKAYRKLALQ
+WHPDNFQNEEEKKKAEKKFIDIAAAKEVLSDPEMRKKFDDGEDPLDAESQQGGGGNPFHR
+SWNSWQGFNPFSSGGPFRFKFHFN
+>tr|A0A3Q1M545|A0A3Q1M545_BOVIN Formin like 1 OS=Bos taurus OX=9913 GN=FMNL1 PE=4 SV=1
+MGNAAGSAEQPASPAALAPKQPAAPKQPMPAAGELEERFNRVLNCMNLPPDKVQLLSQYD
+NEKKWELICDQERFQVKNPPAAYIQKLKSYLETGGVSRKVAADWMPNLGFKRRVQESTQV
+LRELEISLRTNHIGWVQEFLNEENRGLDVLLDYLAFAQCSVAYNMETTDNGAPGSEKSKP
+LEQSVEDLSKVGPPHPPPRLGLTPAHSKKALRNSRIVSQKDDVHVCIMCLRAIMNYQSGF
+SLVMNHPACVNEIALSLNNKNPRTKALVLELLAAVCLVRGGHDIILSAFDNFKEVCGEQH
+RFEKLMEYFRNEDSNIDFMVACMQFINIVVHSVENMNFRVFLQYEFTHLGLDLYLERLRL
+TESDKLQVQIQAYLDNIFDVGALLEDTETKNAVLEHMEELQEQVALLTERLRDAENESMA
+KIAELEKQLSQARKELETLRERFSESTLMGTSRRPSEPEKVPAPVPARPSALELKVEELE
+EKGLIRILRGPGDAVSIEILPVAVATPSGSDAPTPGAPTGSPSPAEPAPGAAPPPPPPPP
+PPPPPPLPPLPGLPSQQEAPPLAPPLAPPLPGSPEPPPPPPLPGDQPPPPPPPPPPPGAD
+GQVPPPPPPPPGGPTDAQAGPDSEMGPGVKAKKPIQTKFRMPLLNWVALKPNQITGTVFT
+ELNDEKVLQELDMSDFEEQFKTKSQGPSVDLSALKSKAAQKAPSKATLIEANRAKNLAIT
+LRKGNLGADRICQAIETYDLQTLGLDFLELLTRFLPTEYERSLITRFEREQRPIEELSEE
+DRFMLRFSRIPRLPERMNTLTFLGNFPDTAQMLMPQLNAIIAASMSIKSSDKLRQILEIV
+LAFGNYMNSSKRGAAYGFRLQSLDALLEMKSTDRKQTLLHYLVKVIAEKYPQLTGFHSDL
+HFLDKAGSVSLDSVLGDVRSLQRGLELTQREFVRQDDCVVLKEFLRVNSPVMDKLLADSK
+TAQEAYESVVEYFGENPKTTSPSMFFSLFSRFIKAYKKAEQEVEQWKKEAAAQEAGTDTA
+GKGEPQAPKSPPKVRRQQMDLISELKRKQQKEPLIYEGDRDGAIEDIITDLRNQPYIRAD
+TGRRSARRRPPGPPLQVTSDLSL
+>tr|F1MNV6|F1MNV6_BOVIN Thymocyte expressed, positive selection associated 1 OS=Bos taurus OX=9913 GN=TESPA1 PE=4 SV=3
+MEGSVLSPTSWERRRAWLRQSRHWQTQVLEEEAAAALQNVPDAEPSGMDDVFQEGNPINK
+IEDWLQDCGYSEEGFFEEAGQLNYNGCPSHGTSFEDDLSLGAEATLLAASSKLYSRSFLE
+TSRPGQLLDLGCSLASSSMTGGTNKTSSSISEILDKVQEDAEDVLFSLGFGQEDHKDTSR
+IPARFFTTPSQAKGIDFQLFLKSQVRRIEMEDPCLMLASRFKQVQTLAVTADAFFCLYSY
+VSKTPVQKFTPSHMFWNCNPTDVPSIKILSPEPEPHSPRERLRKAISKMCLYTCPRDRLS
+PPDHTPKRNSLDQVVWEVMDRVRGEKLVLQQDSGFGPGPQGDPVPPFKGTKLPTSSCPCV
+LCLKEETQQGMSTWQEPSETMDSDLKIPCCSRSLPRADIQWNTDSAQVKRELWGLQATSK
+EAHSVKDDAFWIRKSRARRSLFQKNPMGKKVKSLDLSIIQQKWKQSQERTEMHRSLTEQW
+QDTLDLEVQSNSEEEESHWPSRPGHHHLCQTSAGEDSRSFHHNHSTFSDSSDFTEKPNSH
+LFSQEALQPPTSSSCSLALQTPDLNKRKARWYTRQKTRAPSEEICLEPSPAQKPASTCIP
+NFGSS
+>tr|A0A3Q1N3D2|A0A3Q1N3D2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC618359 PE=4 SV=1
+MCGYYGNYYGGLGCGSYSYGGLGCGYGSCYGSGFRRLGCGYGSCYGSGFRRLGCGYGCGY
+GYGSRSLCGCGYGCGYGSGFGYYC
+>tr|A0A3Q1M6G8|A0A3Q1M6G8_BOVIN Endosome-associated-trafficking regulator 1 OS=Bos taurus OX=9913 GN=ENTR1 PE=4 SV=1
+MAGYARRPGVTPLSRARSLVIPDAPAFYERRSCLPQLDCERPPARDLESHFFGIRPTFMC
+YVPSPVLASVGDTDFGYGKGKCAKQGPPGAQNTHFGDDKLGDLEAANPFSFKEFLKTKNL
+SLSKEDTDSRVYSQEATRHSLGLDRTSPASQTVGYGLEYQQPFFEDPTGAGDLLDEDEDE
+EDGWNGAYLPSAMEQTHSSRVAASTSPCSTYVSFFSNPSELVGPESLPPWTLSDSDSRIS
+PTGSPSADFTAHGESLGDRHLRTLQISYEALKDENSKLRRKLTEIQSFSETQTEMVRTLE
+RKLEAKMIKEESDYHDLESVVQQVEQNLELMTKRAVKAENHVLKLRQEVSLLQAQVSDFK
+RENEALRSGQGASLTVVKQNTDVALQNLRVVMNNAHASIKQLVSGAETLNLVAEILKSID
+RISEIKDQGEES
+>tr|A0A3Q1M310|A0A3Q1M310_BOVIN Oxysterol-binding protein OS=Bos taurus OX=9913 GN=OSBPL1A PE=3 SV=1
+MSTDAEQQLLHHARNGNAEEVRQLLETMERNEVIADINCKGRSKSNLGWTPLHLACYFGH
+RQVVQDLLKAGAEVNVLNDMGDTPLHRAAFTGRKELVMLLLEHNADTTVVNGNGQTAKEV
+THDKEIRNMLEAVERTQQRKLEELLLAAAREGRTAELTALLNRPNPPDVNCSDQLGNTPL
+HCAAYRAHKQCALKLLKSGADPNLKNKNDQKPLDLAQGAEMKHVLVGNKVCGNGLHFSRF
+FGWRLFWVVLEHGVLSWYRKQPDAVHNTYRQGCKHLTQAVCTVKSTDSCLFFIKCFDDTV
+HSFRVPKNSLQQTREDWLEAIEEHSAYSTHYCSQDQVTDDEEDDAVSAVDLKESLEVSRK
+CNLTCTANSITQKVEVVAEASRETCVALSDCLHLFTKQEGVRNFKLEQEQEKNKILSEAL
+ATLATEHHELEQSLVKGSPPLSIFSEDEFYDALSDSESEGSLSRLEAVTAHSFDEEAEPP
+SSRQHRMSEGKDCGGGDALSNGIKKHRTSLPSPMFSRNDFSIWSILRKCIGMELSKITMP
+VIFNEPLSFLQRLTEYMEHTYLIHKASSLADPVERMQCVAAFAVSAVASQWERTGKPFNP
+LLGETYELVRDDLGFRLISEQVSHHPPISAFHAEGLNNDFIFHGSIYPKLKFWGKSVEAE
+PKGTITLELLEHNEAYTWTNPTCCVHNIIVGKLWIEQYGNVEITNHKTGDKCVLNFKPCG
+LFGKELHKVEGYIQDKSKKKLRALYGKWTECLYSVDPATFDAYKKNDKKNTEEKKNSKQM
+SASEESDEMPMPDSESVFVIPGSVLLWRIAPRPPNSAQMYNFTSFAMVLNEVDKEMESVI
+PKTDCRLRPDIRAMENGEIDQASEEKKRLEEKQRAARKNRSKSEEDWKTRWFHQGPNPYS
+GAQDWLYSGSYWDRNYFNLPDIY
+>tr|E1BAR2|E1BAR2_BOVIN Terminal uridylyl transferase 7 OS=Bos taurus OX=9913 GN=TUT7 PE=4 SV=2
+MGDATKPYFVRRPKERGTTDDDDFRRGHPQQDYLIMDDYAKGHSSKMEKGLPKKKVTPGN
+YGNTPRKGPYAVSSNPYAFKNPIYSQPTWINDNHRDQSKRWLSDELANNSDSWREYKPGP
+RIPVINRPRRDSFQESEDGYRWQDGRGCRTVRRLFHKELTNLDTMSEMEAGSPENKKPRS
+RPRKPRRTRNDENEQDGDLEGPVIDESVLSTKELLGLQQAEERLKRDCIDRLKRRPRNYP
+TAKYTCKLCAVLIESIAFAHKHIKEKRHKKNIKEKHEEELLTTLPPPTPSQINAIGIAID
+KVVQEFGLHNENLEQRLEIKRIMENVFQHKLPDCSLRLYGSSCSRLGFKNSDINIDIQFP
+AIMSQPDVLLLVQECLKNNDSFIDVDADFHARVPVVVCREKQSGLLCKVSAGNENACLTT
+NHLTALGKLESKLVPLVIAFRYWAKLCSIDRPEEGGLPPYVFALMAIFFLQQRKEPLLPV
+YLGSWIEGFSLNKLGNFNLKEIEKDSVVWEYTDNVAGDVDSTKEEAPKEMAIKRGQVPLI
+FDSKQQPSVPIGQLWVELLRFYALEFNLADLVISIRVKESISRESKDWPKKRIAIEDPYS
+IKRNVARTLNNQPVFEYILHCLRTTYKYFALPHKVMKSSLPKPLSTVSCTSERSKEIAKH
+DPEVQAKGDKLKNSVLAQGSGAASSTANTCKAQPLTLKETDENFESPSAEKMGNSHISVH
+LENSDCIKAKVSSGDSKDIEVHHQETGSKNKKEKNGKESKHPLTADDQALSSSKCGALVT
+CGSSGTKETDTTLDLEGFRNPIATEYDEFPPSYAKADVDEESIEGTNELGDAVSHFTPLR
+QSQISGILHSDEEEEDEEEEEEPRLSISRREDEDDIANGDELDNTFTGSGDEDALSEEDV
+ELDGSAKYEDLKECGKHHVDGNLLTELNKISLKEESVCEENSTVNQSDFFYEFSKLTFTR
+GKSPTVVCSLCKREGHLKKDCPEDFKRIQLEPLPPLTPKFSNILDQVCIQCYKDFSPTVL
+EDQAREHIRQNLENFIRQEFPGTKLSLFGSSKNGFGFKQSDLDVCMTINGLETAEELDCV
+RTIEELARVLKKHSGLRNILPITTAKVPIVKFFHLRSGLEVDISLYNTLALHNTRLLSAY
+SAIDPRVKYLCYTMKVFTKMCDIGDASRGSLSSYAYTLMVLYFLQQRNPPVIPVLQEIYK
+GEKKPEIFVDGWNIYFFDQIDELPNYWPEYGKNTESVGQLWLGLLRFYTEEFDFKEHVIS
+IRRKSLLTTFKKQWTSKYIVIEDPFDLNHNLGAGLSRKMTNFIMKAFINGRRVFGIPVKG
+FPKDYPSKMEYFFDPDVLTEGELAPNDRCCRICGKIGHFMKDCPMRRKVRRRRDQEDTLN
+QRYPENKDKRSKEDKEIQNKYTEREVSTKEDKPMQCTPQKAKPLRAAAEPGREKILRPPV
+EKWKRQEDRDLREKRCFICGREGHIKKECPQFKGSSGSLSSKYMTQGKASAKRTQQES
+>tr|A0A3Q1MDR4|A0A3Q1MDR4_BOVIN Hypoxanthine phosphoribosyltransferase OS=Bos taurus OX=9913 GN=HPRT1 PE=1 SV=1
+ESGRSPVLTISDDEPGYDLNLFCIPNHYAEDLEKVFIPHGLIMDRTERLARDVMKEMGGH
+HIVALCVLKGGYKFFADLLDYIKALNRNSDKSIPMTVDFIRLKSYCNDQSTGDIKVIGGD
+DLSTLTGKNVLIVEDIIDTGKTMQTLLALVKKHKPKMVKVASLLMKRTPRSVGYKPDFVG
+FEIPDKFVVGYALDYNEYFRDLNHVCVISETGKAKYKA
+>tr|A0A3Q1M4X0|A0A3Q1M4X0_BOVIN 60S ribosomal protein L35 OS=Bos taurus OX=9913 GN=RPL35 PE=3 SV=1
+MGAKIKARDLRGKKKEELLKQLEDLKVELSQLRVAKVTGGAASKLSKIRVVRKSIARVLT
+VINQTQKENLRKFYKGKKYKPLDLRPKKTRAMRRRLNKHEENLKTKKQQRKERLYPLRKY
+AVKA
+>tr|F1N2G5|F1N2G5_BOVIN Rho guanine nucleotide exchange factor 5 OS=Bos taurus OX=9913 GN=ARHGEF5 PE=4 SV=2
+MEAEEPQHGASTPIPARAEFPVIWAPPMRSSKTPALEPAAEEGEDPRCQWAEGCTLLETQ
+QRHVRDANDRAAERMTSLLNEASPEVETDQETLMAEACDDTPSCQEAGPWSLEDRQARTP
+ASLDFLTCPDQGDCLDTASVSRDLDTSVEVDFKPELTSLILETGQAEGKEETSSNAYART
+RHRPSCDDEHPAETSRPLEDSECGPARQESPGLVCLQGTEGLEEGGPQGEAAGSAGLSGA
+PEQMGEQVKGAEEEGRQKQQQMQNDMVLEDPGEREGWCCGEELGGLSYGEWDWRTWSHGD
+PEQGEQKGRELTGPEENEVGAQDGENQSSASKSEKGSGKPEDPGAQWEAKAAEGQEEEVG
+SLEEEPGGGEGDTPSRGGEESCIEQGEREGPTALALVAPEVSPPRDLSLEASSPLSRIPG
+TQTEPGAEVPSPIAPSPAPEPTGCSHQPFSLPGSCPAEESLDPETAHRHQQEGSELGQET
+AHGVGAEVVSAYSPSATPPRSPEAAAPSPPEGPPGTAATPMARPLAASPRREPPVLACSP
+ETSRASCPTDSPSPHGAPKTPPAACYGFPLAGRANPPGSLGSPTGAVQPVRSNSFPGSHG
+TEPAPHLAGISLSSSHSELPQRPPKPVIYGSVLPRRGRRTVRDCAIIPEASSSPPTPGQD
+FGELASNPATAGSPPDSQPWGPTKHWAFAPGSPACGSSPAPAAPMDLMIHEPPPLPPLER
+RHVQPSTVEPDGHPPAVIPMLKRYSHPPPLTLGPGLHGPPRVPPAHIPDPLVAREHRPLP
+STPDAPPHTQHSVSSRQRYNKPLPPTPDAPQPHHPLVLSSISRIYKPLPPVPILDSPTEP
+PPLPPKSKGKGRSSQGGLVNSGDQGRPRPVCQEWTVSTPPSAGRVSWPPATGRSTDPLAS
+VGRCKSDTPPGLAFSNMTALLHPSSPTTPWTPEVQRPTSEPGLSEESEAPARGSWRRTAP
+QEGSNGLRRSEVGPVRQPEKSGHILLEKASSWPHRRDPRRPVEGGSEVAAVPGEGSSKHK
+DWHRQGLRRPSILPESPVDTRGPAMEGSPGLSDAIVFREKKPKEVMGNFSRRCSKLINSS
+QLLYQEYSDVFLNKEIQSQQRLDSLTESPGPISPRQPRKALVSSESYLRRLSMASSGSLW
+QEIPVVRNSTVLLSMTHEDQKLQEAKFELIVSEASYLRSLHIAVDHFQHSEQLRATLSNQ
+DHQWLFSRLQDVRDVSTMFLSDLEENFENNIFTFQVCDVVLNHAPNFRRVYLPYVTNQTY
+QERTFQSLLNSNSSFREVLEKLESAPICQRLSLKSFLILPFQRITRLKLLLQNILKRTQP
+GSAEEAEATKAHHALEELIRDCNNNVQRMRRTEELIYLSQKIEFECKIFPLISQSRWLVK
+SGELTALELSVSQALRRKLTTRPVHLHLFNDCLLLSRPREGSRFLVFDHAPFSAVRGEKC
+EMKLHGAHKNLFRLFLRRNAQGSQAEFLLRTETQSEKLRWISALAMPREELDLLECYDSP
+QVQCLRAYKPRENDELALEKADVVMVTQQSSDGWLEGVRLSDGEQGWFPLQQVEFISNPE
+VRARNLKEAHRVKTAKLQLVEQQT
+>tr|G3MXP7|G3MXP7_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC100301231 PE=3 SV=2
+MDIGNSSLVTEFILVGLTKHPEIQVPVFFLFLGIYIITVAGNLGLVTLIRLTSHLHTPMY
+YFLFNLSCIDLCYSSVITPKLLVNFLSKRNTISYAGCMTQLFFYCFFVNAECYVLTVMAY
+DRYVAICKPLLYKVTMSPQVCYLMAVIVYLGAFIAAWAHTGCMLRLTFCDANTINHYMCD
+ILPLLELSCTSTHINELVVLIIVGFDVGVPGLTVSISYVFILSSILHISSTEGRSKAFST
+CSSHIIVVSVFFGSGAFMYLHPSSVLSMNQKKVSTVFYTILVPMLNPLIYSFRNKEVKVA
+LKRTFSRKIFF
+>tr|F1N3A1|F1N3A1_BOVIN Thrombospondin-1 OS=Bos taurus OX=9913 GN=THBS1 PE=4 SV=1
+MGLAWGLGVLLLLHACGSNRIPESGGDNSVFDIFELTGAARKGSGRRLVKGPDPSSPAFR
+IEDANLIPPVPDKKFQDLVDAVRAEKGFLLLASLRQMKKTRGTLLAVERKDHSGQVFSVI
+SNGKAGTLDLSLTVQGKQHVVSVEEALLATGQWKSITLFVQEDRAQLYIDCEKMENAELD
+VPIQSIFTRDLASIARLRIAKGGVNDNFQGVLQNVRFVFGTTPEDILRNKGCSSSTSVFV
+TLDNNVVNGSSPAIRTDYIGHKTKDLQAICGISCDELSSMVLELRGLRTIVTTLQDSIRK
+VTEENKELANELRRPPLCYHNGVQYRTGDEWTVDSCTECRCQNSVTICKKVSCPIMPCSN
+ATVPDGECCPRCWPSDSADDGWSPWSEWTSCSVTCGNGIQQRGRSCDSLNNRCEGSSVQT
+RTCHIQECDKRFKQDGGWSHWSPWSSCSVTCGDGVITRIRLCNSPSPQMNGKPCEGKARE
+TKACQKDSCPINGGWGPWSPWDICSVTCGGGVQKRSRLCNNPTPQFGGKDCIGDVTENQI
+CNKQDCPIDGCLSNPCFAGVQCTSYPDGSWKCGACPPGYSGDGVECKDVDECKEVPDACF
+NHNGEHRCENTDPGYNCLPCPPRFTGSQPFGRGVEHATANKQVCKPRNPCTDGTHDCNKN
+AKCNYLGHYSDPMYRCECKPGYAGNGIICGEDTDLDGWPNEDLLCVANATYHCRKDNCPN
+LPNSGQEDYDKDGIGDACDDDDDNDKIPDDRDNCPFHYNPAQYDYDRDDVGDRCDNCPYN
+HNPDQADTDNNGEGDACAADIDGDGILNERDNCQYVYNVDQKDTDMDGVGDQCDNCPLEH
+NPDQLDSDSDRIGDTCDNNQDIDEDGHQNNLDNCPYVPNANQADHDKDGKGDACDHDDDN
+DGIPDDRDNCRLVPNPDQKDSDGDGRGDACKDDFDQDKVPDIDDICPENVDISETDFRRF
+QMIPLDPKGTSQNDPNWVVRHQGKELVQTVNCDPGLAVGYDEFNAVDFSGTFFINTERDD
+DYAGFVFGYQSSSRFYVVMWKQVTQSYWDTNPTRAQGYSGLSVKVVNSTTGPGEHLRNAL
+WHTGNTSGQVRTLWHDPRHIGWKDFTAYRWHLSHRPKTGFIRVVMYEGKKIMADSGPIYD
+KTYAGGRLGLFVFSQEMVFFSDLKYECRDS
+>tr|A0A3Q1LWL9|A0A3Q1LWL9_BOVIN Solute carrier family 16 member 4 OS=Bos taurus OX=9913 GN=SLC16A4 PE=4 SV=1
+MLKRKVQPYKKTLDGGWGWMVIFHFFLVNVFVMGMTKTFAIFFVVFQEEFEGTSEQTGWI
+GSIMSSLRFFAGPLVAVICDITGEKTASSLGAFLVAGGYLISSWATSIPFLCVTMGFLPG
+ALILLGAIILHLVPSSMLLRPIHIKSTSDSDIKDESSLSPTGPEAACGTETSCCNEIQES
+AIEDSIMQKERRPGIIETVSQIISGWVADQNWIKKYHYHKSYLILCGITNLLAPLATTFP
+LLMTYTISFAVFSGGYLALILPVLVDLSGNSTVQSFLGLASFFAGMAVLSGPPLAGWLYD
+YTQTYTGSYYFSGTCYLLSSVSLFFIPLAERWKNSLRTKREDCSQVRA
+>tr|A0A3Q1MAN7|A0A3Q1MAN7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+KKLKGIPDQVKYKSIAINARKNTFLGKRDTVDAVEREKWTQGRVTARREVVKGSARQVDT
+SLAHRLGQEDSSPKALAFQKKAEFSKLCVFPPMAPSGMTTSLKDNAVKVLKAMAFPVVIA
+FPDRTPCSLPFQPAPMAEFLECSVGFPFDFYSNGGRKVTHDKFPCKSNLRIKRNNLYHET
+RDGRPHTRGDVSWHFFFSTKPINTYTSIHTHIHTHSHTITVSSAVSGTGRNLINIWMVLV
+EKVYNITMYVADLLVKTLMLGKIEEKVPANHNLTTSCSMRPLLANNNEPRGKALASKVTS
+EDTGNLADSTL
+>tr|G3N275|G3N275_BOVIN MACPF domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=2
+TNLFLNFILLWYIASLGLGHTGDPSGCESCKQQLNVSVLGALPGAGWDNLRNLELGLVLG
+RAYSQCLTTEDGEYLIPDGMLAVPRRETVVQTRADLMDSWVNYTDAWAASVNAEFSFLSF
+LNGKFSAECQNVRRYSLQYQTITTRVQRRHSIYSVRVRGTPDFHPDFRQRLLTLSDHLEN
+NQTREAEYLAEMLVFAYGTHVLTEVEVGATLVQEDQVSRELVGNEDKDRLNVTFAASVLF
+DRKVGVGDAVSWDKESQLVQAYQRGTVASKIHSRGGPPFYEGLTLQKWQEGVANRLVAIG
+RSGLPLPALLQPEALPELPAPAVRRVEAAVSRAIGRYYEVNMHPGCVKRGAPNFDPLANV
+DDGSCTDGQHANFSFGGVFQECEAITGPEGGRLCKPYTILNPLTGQTSCPANYTASLLSS
+EVKVWSERSYQCWPQCQSCWLIFTCCHRMCGNLEIQRVVRVNASWCAPSGATPTAAPGLL
+FGGLYSPGRPNPRTGAQACPSSFFPLTLLGDLKVCVSSDWELGVAHAVPFGGFFSCQVVF
+NLSVTMDHPMTCPAGYSQHPQLMIRLPPFAARPSLLGNSSGLGVSVLVDASGQRAWVKLQ
+GSSRWRQADIHDPSVAAQLRDQGGSQPSAGAVVGACLGAVLGVVALALGVTWGFRRYQKR
+GYRRLPEGILAEEQTVYGTAETAESPAPSDCSENASNSV
+>tr|A0A3Q1M3P2|A0A3Q1M3P2_BOVIN Sulfate anion transporter 1 OS=Bos taurus OX=9913 GN=SLC26A1 PE=3 SV=1
+MEASPEYTEWGGQLVLVRWRPPPPPGLGEVLKAGLRRSCACSLQGTWAQLQALFPAVHWL
+RQYRPREALVGDVMSGLVIGIILVPQAIAYSLLAGLQPIYSLYTSFFANLIYFLMGTSRH
+VSVGIFSLLCLMVGQVVDRELLLAGFDPAQDGLGPGANRSSLNGSAAALVLGLQDCARDC
+YAIRVATALTLVAGLYQVLMGILGLGFVSAYLSQPLLDGFAMGASVTILTSQLRHLLGVR
+VPRHQGPGLVVSTWLSLLRSAGQANLCDVLTSAVCLAVLLAAKELSDRFRHRLRVPLPAE
+LLVIVVATIVSHLGQFHERFGSSVAGDIPTGFMAPRVPDAGLMWRVALDAASLALVGSAF
+SISLAEMFARSHGYAVRANQELLAVGCCNVLPAFFHCFATSAALAKSLVKTATGCHTQLS
+SVVSAAVVLMVLLVLAPLFRDLQRSVLACVILVSLRGALRKVRDVPRLWRLSPADALVWV
+ATAATCVLVSTEAGLLAGVLFSLLSLAGRTRHPRTALLARAGGSSFYGDPAEFEGLAPEP
+GVQVFRFTGPLYYANKDFFLQSLYSLTGLDVGYAIARRKERGPGEGAGEGDPVGGRDPGS
+GSGGASLVPAVTRFHAVVIDCAPLLFLDVAGLATLQELHRDYGALGISLLLACCNPSVTD
+TLRRGGFLGEDQGDVAEDGQLFPSVHCAVQAARARHQELAASNSIL
+>tr|G3MXW1|G3MXW1_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC100138866 PE=3 SV=1
+MRNYTEIREFILLGLSDDPQVQVVIFVFLLITYMLSITGNLTIIILTLLDAHLQTPMYFF
+LRSFSILEVSFTTVTIPKFLTTIITGDKTIPFNDCMAQLFFFILLGVTEFYLLAAMSYDR
+YIAICKPLHYMTIMNRKVCALLVLASWLASFLIIFPSLMLFIQLDYCKSNAIDHFTCDYF
+PLLHLSCSNTKLLEMMGFSSAVFTLMFTLTLIILSYTYIIRTILRIPSTTQRTKAFSTCS
+SHMIVISISYGSCIFMYMNPSAKDRVSLSKGVAVLNTSVAPMLNPFIYTLRNLQVKQAFM
+DMARKILLFSRK
+>tr|A0A3Q1M4F1|A0A3Q1M4F1_BOVIN SH2 domain-containing protein OS=Bos taurus OX=9913 GN=SH2D1B PE=4 SV=1
+MDLPYYHGPLSKRDCETLLLKEGVDGNYLLRDSESMPGVLCLCVSFKNFVYTYRIFKEKH
+GYFHIQTAEGIRRQIFPNLKELISKFEEPHQGLMFHLVKPIKRTGSCLRWRRSKIELDGI
+YENSGSDYVDVLP
+>tr|A0A3Q1LS54|A0A3Q1LS54_BOVIN Heparan-sulfate 6-O-sulfotransferase OS=Bos taurus OX=9913 GN=HS6ST2 PE=3 SV=1
+MALPACAARALGPPLQLERGAPARTTCPRRHSRVEAELAASRPGSVAASVRAGPPRGVSR
+GFNSQPLLDEPLKASSSLAGAARAPLFALLLRGRRRRMHNLRRRWDLGSLCRALLTRGLA
+ALGHSLKHVLGAIFSKIFGPLASVGNMDEKSNKLLLALVMLFLFAVIVLQYVCPGTECQL
+LRLQAFSSPLPDPYRSEDESSARFVPRYNFSRGDLLRKVDFDIKGDDLIVFLHIQKTGGT
+TFGRHLVRNIQLEQPCECRVGQKKCTCHRPGKRETWLFSRFSTGWSCGLHADWTELTSCV
+PAVVDGKRDARLRPSRNFHYITILRDPVSRYLSEWRHVQRGATWKASLHVCDGRPPTSEE
+LPSCYTGDDWSGCPLKEFMDCPYNLANNRQVRMLSDLTLVGCYNLSVMPEKQRNKVLLES
+AKSNLKHMAFFGLTEFQRKTQYLFEKTFNMNFISPFTQYNTTRASSVEINDELQKRIEGL
+NFLDMELYSYAKDLFLQRYQFMRQKEHQEARRKRQEQRKFLKGRFLQTHYQTQSQGQNPN
+PSQNPSQNPNLNASQSGTQNLFQNLIQNLTQSSGQNPSPKENQESQKQNSGQEQSDGNTS
+NGTHDYIGSVEKWR
+>tr|E1BNK4|E1BNK4_BOVIN Syntaxin binding protein 5 OS=Bos taurus OX=9913 GN=STXBP5 PE=4 SV=1
+MRKFNIRKVLDGLTAGSSSASQQQQQQQHPPGNREPEIQETLQSEHFQLCKTVRHGFPYQ
+PSALAFDPVQKILAVGTQTGALRLFGRPGVECYCQHDSGAAVIQLQFLINEGALVSALAD
+DTLHLWNLRQKRPAILHSLKFCRERVTFCHLPFQSKWLYVGTERGNIHIVNVESFTLSGY
+VIMWNKAIELSSKSHPGPVVHISDNPMDEGKLLIGFESGTVVLWDLKSKKADYRYTYDEA
+IHSVAWHHEGKQFICSHSDGTLTIWNVRSPAKPVQTITPHGKQLKDGKKPEPCKPILKVE
+FKTTRSGEPFIILSGGLSYDTVGRRPCLTVMHGKSTAVLEMDYSIVDFLTLCETPYPNDF
+QEPYAVVVLLEKDLVLIDLAQNGYPIFENPYPLSIHESPVTCCEYFADCPVDLIPALYSV
+GARQKRQGYSKKEWPINGGNWGLGAQSYSEIIITGHADGSIKFWDASAITLQVLYKLKTS
+KVFEKSRNKDEKPNTDIVDEDPYAIQIISWCPESRMLCIAGVSAHVIIYRFSKQEVITEV
+IPMLEVRLLYEISDVETPEGEQAPPLPTPVGSANPQPIPPQSHPSTSSSSSDGLRDNVPC
+LKVKSSPLKQSPGYQTELVIQLVWVGGEPPQQITSLAVNSSYGLVVFGNCNGIAMVDYLQ
+KAVLLNLGTIELYGSNDPYRREPRSPRKSRQPSGAGLCDISEGAVVPEDRCKSPTSGSPS
+PHNSDDEQKMNNFIEKVKIKSRKFSKMVASDIAKMSRKLSLPTDLKPDLDIKDNSFSRSR
+SSSVTSIDKESREAISALHFCETFTRKTDSAPSPCLWVGTTLGTVLVIALNLPPGGEQRL
+LQPVIVSPSGTILRLKGAILRMAFLDSTGCLVPPAHEPWKEHNVPEEKDEKEKLKKRRPV
+SVSPSSSQEISENQYAVICSEKQAKVISLPSQNCAYKQNITETSFVLRGDIVSLSNSICL
+ACFCANGHIMTFSLPSLRPLLDVYYLPLTNMRIARTFCFTNNGQALYLVSPTEIQRLTYS
+QETCENLQEMLGELFTPVETPEAPNRGFFKGLFGGGAQSLDREELFGESSSGKASRSLAQ
+HIPGPGGIEGVKGAASGVVGELARARLALDERGQKLSDLEERTAAMLSSADSFSKHAHEM
+MLKYKDKKWYQF
+>tr|E1B738|E1B738_BOVIN SHC binding and spindle associated 1 OS=Bos taurus OX=9913 GN=SHCBP1 PE=4 SV=1
+MAEGLLAGGGLGSEAMAPEPELPGWATDEELERLEKGLFENEDSCSDCSDRAKPGSSLQS
+FVPEGKTHFPEMFQTSQLLFYERFRAYQDYILADCKASEVKEFTAEFLEKVLEPSGWRAV
+WQTNVFEVLVEVTDVDFAALKAVVRLADPYLCESQVSAFTLECMKELLDLKEYRLPLQEL
+WVVFDDSGLFDQTALAIEHVRFFYQNIWRSWDEEEEDEYDYFVRCVEPRLRLHYDILEDR
+VPSGLIVDYQNLLTQCEESYRKFLNLRSSLSNCNSDSEQENISMVEGLKLYSEIEQLKQK
+LKLIENPLLRYVFGYQKNSNIQAKGIRPNGRKITHVVSSTMMTGLLQSLLRDRLCPEPCK
+EEIEIQFHSDPLSAINASYEGDTVIVCPGHYVVHGAFSIADSIELEGYGLPDDIVIEKKG
+KGDTFVDCTGADIKISSMKFVQHDAVEGILIIHRGKTTLENCVLQCETTGVTVRTSAEFL
+MKNSDLYGAKGAGIEIYPGSKCTLSDNGIHHCKEGILIKDFLDEHYDIPKISMVNNVIHN
+NEGYGVVLVKPTIFSDLQEDAQDETEENKALKVQTSGEADVAERVDLEELIQCATGKMEL
+YARAELSEQVEGNCEIVNELVAASTQKGQMKKKRLSELGITQADDNLMSQEMFVSIVGNQ
+FKWNGKGSFGTFLF
+>tr|A0A3Q1M0B5|A0A3Q1M0B5_BOVIN S-phase cyclin A associated protein in the ER OS=Bos taurus OX=9913 GN=SCAPER PE=4 SV=1
+MMLDNYVRDFKALIDWIQLQEKLEKTDAQSRPTSLAWEVKKMSPGRHVIPSPSTDRINVT
+SNARRSLNFGGSSGTVAAPRLAPTGVSWADKVKAHHTGSTASSDVAPAPSCPPVAVQKPS
+RRNERKDAEGWETVQRGRPVRSRSTAVMPKASLPTEALRSKDDSDKENVHLLPDESIQKS
+EFVGDEPSNTIESQPKDPVHSCDHPLAERTQFTVSTLDDVKNSGSSFLPDNSVQTSEIPA
+VHIDTECVSVIQQADTPSLQASEDKFSAEKARIESEMDPSDISNVSAANLSMAEVLAKKE
+ELADRLEKANEEAIASAIAEEEQLTREIEAEENNDINLETDNDSDFSASMGSGSVSFCGM
+NWNDVLADYEARESWRQNTSWGDIVEEEPARPPGHGIHMHEKLSSPSRKRTIAESKKKHE
+EKQMKAQQLREKLREQKTLKLQKLLEREKEVRKWKEGLLDQRRRMMEEKLLHAEFKREVQ
+LQAIVKKAQEEEAKVNEIAFINTLEAQNKRHDVLSKLKEYEQRLNELQEERQRRQEEKQA
+RDEAVQERKRALEAERQARVEELLMKRKEQEARIEQQRQEKEKAREDAARERARDREERL
+AALTAAQQEAMEELQKKIQLKHDESIRRHMEQIEQRKEKAAELSSGRHANTDYAPKLTPY
+ERKKQCSLCNVLVGCQYQCCDETLQFITKVY
+>tr|A0A3Q1LQS5|A0A3Q1LQS5_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 GN=BOLA-DYA PE=3 SV=1
+MKKALILRALTLATMMSPYGGEDIVADHVGTYGTNVYQTYGASGQFTFEFDGDELFYVDL
+GKKETVWRLPEFSNITKFEVQSALRNIVMSKRNLDILIKNSSFTPATSEIPEVAVFPKSS
+VVLGIPNTLICQVDNIFPPVINITWFYNGHFVAEGIAETTFYPKSDHSFLKFSYLTFLPS
+SEDFYDCRVEHWGLEEPLVKHWGTCIPKPTPFSTSNPPADSVLPNPASGL
+>tr|A0A3Q1MBW7|A0A3Q1MBW7_BOVIN Myosin IB OS=Bos taurus OX=9913 GN=MYO1B PE=3 SV=1
+MAKMEVKTSLLDNMIGVGDMVLLEPLSEDTVIDNLKKRFDHNEIYTYIGSVVISVNPYRS
+LPIYSPEKVEDYRNRNFYELSPHIFALSDEAYRSLRDQDKDQCILITGESGAGKTEASKL
+VMSYVAAVCGKGAEVNQVKEQLLQSNPVLEAFGNAKTVRNDNSSRFGKYMDIEFDFKGDP
+LGGVISNYLLEKSRVVKQPRGERNFHVFYQLLSGASEELLNKLKLERDFSRYNYLSLDSA
+KVNGVDDAANFRTVRNAMQIVGFMDHEAESVLEVVAAVLKLGNIEFKPESRVNGLDESKI
+KDKNELKEICELTGIDQSVLERAFSFRTVEAKQEKVSTTLNVAQAYYARDALAKNLYSRL
+FSWLVNRINESIKAQTKVRKKVMGVLDIYGFEIFEDNSFEQFIINYCNEKLQQIFIELTL
+KEEQEEYIREDIEWTHIEYFNNAIICDLIENNTNGILAMLDEECLRPGTVTDETFLEKLN
+QVCATHQHFESRMSKCSRFLNDTSLPHSCFRIQHYAGKVLYQVEGFVDKNNDLLYRDLSQ
+AMWKAGHALIKSLFPEGNPAKINLKRPPTAGSQFKASVATLMKNLQTKNPNYIRCIKPND
+KKAAHIFNEGLVCHQVRYLGLLENVRVRRAGYAFRQAYEPCLERYKMLCKQTWPHWKGPA
+RAGVEVLFNELEIPVEEYSFGRSKIFIRNPRTLFKLEDLRKQRLEDLATLIQKIYRGWKC
+RTHFLLMRKSQIVIAAWFRRYAQQKRYQQIKSSALVIQSYIRGWKARKILRELKHQKRCE
+EAVTTIAAYWHGTQARRELKRLKEEARRKHAVAVIWAYWLGLKVRREYRKFFRANAGKKI
+YEFTLQRIVQKYFLEMKNKVPSLSPIDKNWPSRPYLFLDSTHKELKRIFHLWRCKKYRDQ
+FTDQQKLIYEEKLEASELFKDKKALYPSSVGQPFQGPYLEINKNPKYKKLKDAIEEKIII
+AEVVNKINRANGKSTSRIFLLTNNNLLLADQKSGQIKSEVPLVDVTKVSMSSQNDGFFAI
+HLKEGCEAASKGDFLFSSDHLIEMATKLYRTTLSQTKQKLNIEISDEFLVQFRQDKVCVK
+FIQGNQKNGSVPTCKRKNNRLLEVAVP
+>tr|A0A3Q1M8E5|A0A3Q1M8E5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MDKGSSEVNPNTPTVTIKKEEKHPKSRRVSQNVLVFLLDRQLGRHRSDVDLSKWVWMLT
+>tr|G3X7V0|G3X7V0_BOVIN E3 ubiquitin-protein ligase OS=Bos taurus OX=9913 GN=LOC101907097 PE=3 SV=1
+MSQTERASPTGTSNGAPSSAPAVTGTTASNNELASLFECPVCFDYVLPPIIQCQSGHLVC
+GSCRPKLTSCPTCRGPLTSIRNLAMEKLANSVLFPCKYASSGCEVTMPPTEKADHEEHCE
+FRPCRCPCPGTSCGWQGSMDAVVPHLMQHYNESIITLQGEVVVFLAVNINLAGALDWVMI
+QSCFGFNFILILEKLESYDGHQKFFAVVQLIGTREQAEQFTYRLELNGNRRRLIWEATPL
+SIREGIATAFMTSDCLVLDPGVAERFAEDGNLSIDVTISMC
+>tr|G5E575|G5E575_BOVIN Kruppel like factor 8 OS=Bos taurus OX=9913 GN=KLF8 PE=4 SV=2
+MDQLINNWEVQLNSEGGSMHMFKQVTGPVQIRDSLKRADRGNMTSPPLLDANSMKNPALL
+NDIKIEPPEELLANDFNLPQVEPVDLSFHKPKAPLQPASMLQSPIRSPKPQPASQTLVVS
+TSTSDTGSSASIRTVLTPGSILASSQGTGGHQILHVIHTIPSVNLPNKMGSLKAIPVVVQ
+SLPMVYTTLPADGNPAAITVPLIGGDGKNVGSVKVEPTSLSPLEIPSDNEENAIESGSLQ
+GIQGLQQEPAAMTQMQEESLDLKRRRIHQCDFAGCSKVYTKSSHLKAHRRIHTGEKPYKC
+TWDGCSWKFARSDELTRHFRKHTGIKPFRCTDCNRSFSRSDHLSLHRRRHDTV
+>tr|A0A3Q1LSE4|A0A3Q1LSE4_BOVIN G_PROTEIN_RECEP_F1_2 domain-containing protein OS=Bos taurus OX=9913 GN=LOC617033 PE=3 SV=1
+LSGQNYSTVSEFILIGFSNFPQQLLPTFFLLYLLMYLFTLLGNLLIMGTIWREHSLHTPM
+YLFLCVLSISEILFTVAVTPQMLVDMLSTHRSITFVACASQMFFSFTFGYTHSFLLMIMG
+YDRYVAICHPLRYNVLMSTRDCARLVSWCWAGGSVVGLMVTLIVFHLTFCGSKEIHHFVC
+HVLSLLKLACGKETASVTIVVILVCVTALMGCLFLIFLSYVFIVAAILRIPSTEGRHKTF
+STCVSHLTIVVVHYGFASIIYLKLKGPHSMDNNTLMTTIYTVFTPFLSPIIFSLRNKELK
+NGIKRNLHRTFCPLSF
+>tr|E1BN52|E1BN52_BOVIN FRAS1 related extracellular matrix 1 OS=Bos taurus OX=9913 GN=FREM1 PE=4 SV=2
+MSSPSWGGLGALLLLLLLPGWASPTFVRVNQGVRVMKGSSAFLSQDDLKFTIPKEKDACK
+VEVVMNEPITQRVGKLTPQVFDCHFLPNEVKYTHNGCPILDEDTVKLRLYRFTETDTFTE
+TFILRVYLLEPDCNIIRMSDNALEVSEFYGLSRVIDKNLLRFDYERMASLECTVRLDPVG
+THLPAHGQLVLVEPQLEEPRGDQPHSFFPESQLGTELKCPGGRCTLGLKKVGSLKVSCEE
+FLLMGLRYQHLGSPSPNIDYFSIQLDLTDSRSKISYKSESAWLPVYIRPGIPNQIPRAAF
+MAMFILEVDQFILTSLTTSVLDCEEDETPKPLLVFNITKAPLQGYVTHLWDHTRPVSSFT
+WKDLRDMQIAYQPPNSSHSERRLYEVELEVYDFFFEKSAPITVHISIRTTDTNAPRVSWN
+TGLNLLEGQSRAITWEQFQIVDNDDIHAVQVVTVDGLQHGRLTVRGGKGFLFTVADLQAG
+VVRYHHDDSDSTKDFVVFRIFDSQHSIQHKFPINILPKDDSPPFLITNVVIELEEGQTIL
+IQGSMLRASDMDSSDDYIFFNITKPPQAGEIMKKPAPGLIGYPVPGFIQRDLFNGIIYYR
+HFGGEIFEDSFEFVLWDSHEPPNLSVPQVVTIHVTPVNDQLPKEAPGVSRHLVVKETEVA
+YITKKHLHFIDTESQDRELLYTITTPPFFSSSRRHLDAGKLFMVDSIPRLTKTPAAPGLK
+YFTQHAVNHMKVAYMPPMQDVGPHPRHVQFAFSVSNQHGGTLYGICFNITILPVDNQAPK
+VFTKPLRVAEGGQCVISTQHVLVSDEDTKLDNIYLSLQSPPQHGMVELNGFPLNKGTTFP
+WGVLQALKLRYQHDGSEELQDDIVLQVTDGTNTAEYVLHVEVFPVNDEPPVLKADLIPMM
+HCSEGEEVVITSEYIFATDVDSDDLKLIFMIVGEPQHGTVRRAGVTVHQFSQEDVVAGAV
+TYKHTGGEIGLMPCFDTITLVVSDREAGPFVNGCCYNGPHPSVPLHESFPMYDLNITVYP
+VDNQPPSVAIGTTFVVDEGFSAALTVNHLSATDPDTAADDLEFVLVSPPQFGYLENTLPS
+AGFEKSNMGISIASFQWKDMKALHINYVQSRHLGMEPTADKFVLYVTDGKRRSMEIPFYI
+IINATNDEAPDFIVQNITVCEGQMRELDSSIISATDRDIPKNPLLFSITHKPRHGLLINR
+AFSRNFFQYKQLANPGQKHELVYNFSMELLKNGMRLVYVHDDSESLADDFTIQVSDGKHK
+ILKTIFVEVTPVNDEKPMLSKKAEITINMGETRIISSAVLSAIDEDSPREKIYYIFERIP
+QNGQLQLKIGRDWVPLYPGMKCTQEEVDLNLLRYTHTGAMDSKNQDSFTFRLWDGDNRSP
+AFDCHITIKNMGKGDIVILAKPLVVSKGDRGFLTTTTLLAVDGTDKPEELLYVITSPPQF
+GQVEYVRYPGVPITSFSQMDIAGQTVCYVDKSTTAVHRDTFRFIVSNGLQTKPGVFEITL
+ETVDTALPVVTRNKGLRLVEGATGLLSPDLLQLTDPDTPAEKLTFLLAQLPRHGQLYLRG
+MALIPHNFTQLDVDSGHVAYRHLGGDSWTDHFTFVATDGTNHGFLVDGRVWQEPVSFTIQ
+VDQLDKAAPRVTHLHSPSQVGLLKNGCYGIYITSRVLKASDPDTDDNQIIFKILRGPQHG
+HLENSTTGEFIHEKFSQKDLNSKTVLYIINPSLEVNSDTMEFQVMDPTGNSATPQSLELN
+WSYIEWSRTEYEVCENMGMLPLEITRRGYSMDSAFVTVQVNQVSATVGKDFTMTPSKLVQ
+FDPGMSTKMWNIAITYDGLEEDDEVFEVILNSPVNAVLGTKTKTAVKILDSKGGQCHPSN
+AFNSNMPSAWEKGIWHLLSPGASSPTTSDSFHLERRPLPTSKQLAVTRGDALRDFGSEDL
+SPVKLRTRGDGKTVPPSSVYKNRTDIIYNYHGVISLKLEDDGSPARRRKANVSFISEPQK
+TTKVAEPPQADKEESTTGSHFPRQDPLPSFPKNCTPELKGLFHFEESLQKLYKCDGIAWR
+SWSPHTKEVEDKSCPAGWHHHSGYCHSLITEQKGTWTTAAQACREHYQGSLVTVISRQHM
+RWLWDISGRKPFWIGLNDQVRAGHWEWIGGEPVTFTNWRRGPPQRSRHGKDCVLVQRPGK
+WHTKDCRKGKSHSYVCSRKL
+>tr|F1MQ09|F1MQ09_BOVIN Vezatin, adherens junctions transmembrane protein OS=Bos taurus OX=9913 GN=VEZT PE=4 SV=2
+MMGIVVFCTQGLAEADAWVQPVLPPSFPIVLRRVAWRRRMTPDFDEEVVFENSPLYQYLQ
+DLGHTDFEVCSSLSPKAEKSAVTEGQQKPPARALPKQGILLKVAETIKSWILAQSNKNDD
+LLHKLDIGFRLDSLHTILQQEVLLQEDVELIELLDASILSAGQPQQQENGHLPTLCSLAT
+PNIWDVSVVFAFISLLVMLPTWWILSSWLVWGVIVFVYLIIRALRLWRTAKLQVTLKKYS
+VYLEDIATDSRGFTNLVRKALRLIQETEVISRGFTLLLDRVSAACLFNKAGQHPSQHLIG
+LRKAVYRTVRANFQAARLATLYMLKNYPLNSESDNVTNYICVVPFKELGLGLSEEQISEE
+EAHNLTDGFSLPALKVLFQLWVAQSSEFFRRLALLLSTTNSPPGPLLTPALLPHRILSDV
+TQGLPHVHSACLEELKRSYEFYRYFETQHQSVPQRFSRTQQKSRELSNVHTAVRSLQLHL
+KALLNEVIILEDELEKLVCIKETQELVSEAYQILEQKLKLIQPHVQASNNCWEEAISQVD
+KLLRRNTDKKGHPEMACESPRCTVAPVLQPPLHIADKDPIPEEQELEAYVDDVDMDTDFR
+KDDFYYLSQEDRERQKREHEESKRVLQELKSVLGFKASEAERQKWKQLLFSDHAVLKSLS
+PVDPVEPISNSEPSVDSDMGKVGKNDTEEENNKTSTADNEISSRTEYLCEYPVDGKSKDN
+SANEVFFQGAEERAHYQCESEDESPQADVDGLAPAHPTPRVSSQPSIKQRLAQLQLSPGF
+TFTAGLAAEVAARSLSFTTMQEQTFGDEEEEHIIQENENEVEEK
+>tr|G3MYZ4|G3MYZ4_BOVIN Decapping mRNA 2 OS=Bos taurus OX=9913 GN=DCP2 PE=4 SV=2
+METKRVEIPGSVLDDLCSRFILHIPSEERDNAIRVCFQIELAHWFYLDFYMQNTPGLPQC
+GIRDFAKAVFNHCPFLLPQGEDVEKILDEWKEYKMGVPTYGAIILDETLENVLLVQGYLA
+KSGWGFPKGKVNKEEAPHDCAAREVFEETGFDIKDYICKDDYIELRINDQLARLYIIPGI
+PKDTKFNPKTRREIRNIEWFSIEKLPCHRNDMTPKSKLGLAPNKFFMAIPFIRPLRDWLS
+RRFGDSSDSDNGFSSAGSTPAKPTVEKLSRTKFRHSQQLFPEGSPGDQWVKHRQPLQHKP
+YSNHHAEVSDLLKAKNQSIRGNGRKQYQDSPNAKKKPNGVHSQPAKQQNPLVKCEKKLHP
+RKLQDTFETDAVYDSPYSGEDQLLEHAEGQAVTCNGHCKLPFSSRAFLSFKFDHNAIMKI
+LDL
+>tr|F1MFF6|F1MFF6_BOVIN UDP-glucuronosyltransferase OS=Bos taurus OX=9913 GN=UGT2B10 PE=3 SV=2
+MSMQRLSLLLLLQLTCYFNSGSCGKVLVWPMEYSHWMNMKTILDELVTRGHEVTVLIPSV
+TTFIDPKKPSSLKLETFPPSLTKEESENFVKLFVEAWMHAVRDSFWNHLSMVQSLFWGYS
+DILMKMCKEVVSNKKLMTKLHEERFDVIFADAVGPCGELLAEILKIPFMYSLYSTPGSSV
+EKKSGRLPFPPSYVPAMFSELSDHMTFMERVKNMIYVLYFDFWFQAYNEKNWNQFYSEVL
+GRPTTLVETMGKAEMWLIRNYWDFSFPRPRLPNFEFVGGLHCKPAKSLPKEMEEFVQSSG
+ENGIVVFSLGSMVSNMSKERANVIASALAQIPQKVLWRYDGKKPDTLGPNTQLYKWIPQN
+DLLGHPKTKAFVTHGGSNGIYEAIYHGIPIVGLPLFADQPHNIVHMKAKGAAVRLDLETM
+STEDLLNALKEVINNPSYKENMMRLSAIHHDRPVKPLDLAVFWIEFVMRHKGAKHLRPAV
+HNLTWLQYHSLDVIGFLLACVATGAFVITKCCLFCYQKFAGKGKKGKRD
+>tr|E1BHC5|E1BHC5_BOVIN Probable tRNA N6-adenosine threonylcarbamoyltransferase, mitochondrial OS=Bos taurus OX=9913 GN=OSGEPL1 PE=3 SV=1
+MLILNKTAGVFFKPSRRKIYAFLGSFHFHPGKLFLHKLVLGIETSCDDTAAAVVDEAGNV
+LGEAIHSQTEVHLKTGGIIPPVAQQLHRENIQRIVQEALSASEVSPSELSAVATTIKPGL
+ALSLGVGLSFSLQLVDQFKKPFIPIHHMEAHALTIRLTNKVEFPFLVLLISGGHCLLALV
+RGVSDFLLLGKSLDIAPGDMLDKVARRLSLIKHPECSTMSGGKAIEHLAKQGNRLHFDFQ
+PPMQRAKNCDFSFSGLQHVIDKMIMQKEKEEGIEQGQVLSSAADIAAAVQHTVACHIAKR
+THRALLFCKQRGFLHQSNAVLVVSGGVASNLYIRKALEIVTNATQCTLLCPPPRLCTDNG
+VMIAWNGVERLRAGLGILHNTEGIRYEPKCPLGVDISKEVGEAAIKVPRLKMKI
+>tr|G5E5R6|G5E5R6_BOVIN Par-6 family cell polarity regulator beta OS=Bos taurus OX=9913 GN=PARD6B PE=4 SV=1
+MNRGHRHGAGSGCLGTMEVKSKFGAEFRRFSLERSKPGKFEEFYGLLQHVHKIPNVDVLV
+GYADIHGDLLPINNDDNYHKAVSTANPLLRIFIQKKEEADYSAFGTDTLIKKKNVLTNVL
+RPDNHRKKPHIVISLPQDFRPVSSIIDVDILPETHRRVRLYKYGTEKPLGFYIRDGASVR
+VTPHGLEKVPGIFISRLVPGGLAQSTGLLAVNDEVLEVNGIEVSGKSLDQVTDMMIANSR
+NLIITVRPANQRNNVVRNSRTSGSSGQSTDTSLPSCAPPPEPSLEPGEDDSDDDEDIVIE
+DSGEPRQIPRAAPSAESLQSLTQVELSFESGQNGFIPANEVSLPPVASGAGTEFETRAPD
+QKLLEEDGTVITL
+>tr|F1MKA0|F1MKA0_BOVIN Beta 3-glucosyltransferase OS=Bos taurus OX=9913 GN=B3GLCT PE=4 SV=3
+MLPPARRGRRLALPALLSLLTCSLAFALVSEDVKKEARQSKNLEKSDISKKNDIDLKEIV
+FVIQSQSNSFHVKKAEQLKQSILKQSTDLTQEMPTVLLLHQLAQQEGAWTILPLLPHFSV
+TYSRNSSWIFFCEEDTRIQIVQLLETLRRYDPSKEWFLGKALHDEESTIIHHYAFSENPT
+VFKYPDLAAGWALSIPLVNKLTKRLKSESLKSDFTIDLKHEIALYIWDGGDGLPLTPVPE
+FCTDIVGSSCATSFQSSLPLCGNPVKKEDIFVAVKTCKKFHGDRIPIVKQTWAGQAGHLE
+YYSDYADNSIPTVDLGIPNTDRGHCGKTFAILERFLNHSHDKIPWLVIVDDDTLISISRL
+RHLLSCYDTREPVFLGERYGYGLGTGGYSYVTGGGGMVFSKEAIRRLLASKCRCYSNDAP
+DDMVLGMCFSGLGIPVTHSPLFHQARPVDYPKDYLSHQVPVSFHKHWNIDPVKVYFTWLA
+PDEEDRARQQSRRGLREEL
+>tr|A0A3Q1LJJ7|A0A3Q1LJJ7_BOVIN Major facilitator superfamily domain containing 11 OS=Bos taurus OX=9913 GN=MFSD11 PE=4 SV=1
+MSPESKKLFNIIILGIAFMFIFTAFQTCGNVAQTVIRSLNSTDFHGSGYTSMAIIYGVFS
+ASNLITPSVVAIVGPQLSMFASGLFYSMYIAVFIQPFPWSFYTASVFIGIAAAVLWTAQG
+NCLTINSDEHTIGRNSGIFWALLQFSLFFGNLYIYFAWQGKTQISESDRRTVFIALTVIS
+LVGTVLFFLIRKPDSENVLGEDESSDDQDLDINESPQSNMTKAVDAFKKSLKLCVTKEML
+LLSITTAYTGLELTFFSGVYGTCIGAINKFGTEEKSLIGLSGIFIGIGEILGGSLFGLLS
+KNNRFGRNPVVLLGILVHFIAFYLIFLNMPGDAPIAPVEGTDSSAYIKPSKEIAIFCSFL
+LGLGDSCFNTQLLSILGFLYSEDSAPAFAVFKFVQSICAAVAFFYSNYLLLHWQLLVMVI
+FGFFGTVSFFTVEWEAAAIVARGSDYRSI
+>tr|F1N080|F1N080_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC788323 PE=3 SV=3
+MDNSTWVANHTGQLDFILMGLFSQSKHPALLCVVIFVIFLMALSGNSILILLIHSNAHLQ
+TPMYFFISQLSLMDVMYISVTVPKMLMDQVMGVNEISASECGMQMFLYLTLVGSEFFLLA
+AMAYDRYVAICHPLRYSILMSHRVCHILVSGCWFLGSVDGFMLTPVTMTFPFCKTREIHH
+FFCEVPALMKLSCSDTSLYETLMYLCCVLMLLIPVTVISSSYSFILLTIHRMNSAEGRKK
+AFTTCSSHMTVVILFFGAAIYTYMLPSSYHTPEKDMIVSAFYTILTPVLNPLIYSLRNKD
+VTGALKKMLNMGSVTIL
+>tr|A0A3Q1MMU6|A0A3Q1MMU6_BOVIN UBA domain containing 1 OS=Bos taurus OX=9913 GN=UBAC1 PE=4 SV=1
+MFVQEEKIFAGKVLRLHVCASDGAEWLEEATEDTSVEKLKDRCLKHCAPGGLEDPKNVTH
+HKLIHAASERVLSDAKTLLEEHVQDEDVILLIRKRAPAPLPKMAEVSAEEQKKQEQKAPD
+RDAIFRATASLPSHNMDRAVVQTSARDFQTELRKILVSLIEVAQKLLALNPDAVELFRKA
+NAMLDEDEDERMDEAALRQLTEMGFPETRAAKALRLNHMSVPQAMEWLIEHADDPTIDTP
+LPGPSSQGEAGAEAAPAAGTSEEEEEARDELTEIFKKIRRKREFRADARAVISLMEMGFD
+EKEVIDALRVSNNQQNAACEWLLGDRRPSPEELDKGIDPESPLFQAILDNPVVQLGLTNP
+KTLLGLWWLGPGCDSLLCGDSIPALKNQLQQVIRLGECRQRPAGQGRAQRRLRWLVALSL
+CKGCLGACFAYEIRYDIKKCV
+>tr|G5E5B4|G5E5B4_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=2
+LGEYDKPSLSAWPSPMVPLGQTVTLQCHFHSPLKRFRLFKTDGTSLPELHGNHFNTFTLG
+PVTREHAGSYTCSGFSRSLTVFSRSSDPLQIVVTGVFTKPSISAHPGPLMHVGENVIIRC
+HSPLLFDKFFLHQENSTGHFQRREEMLTRGHAPADFVIGPMTLASAGTYRCYGSLRRSPY
+VWSAPSDPVDIVIKGLSKKPSLSAQGGPVVRSGENVTLVCSSKSAFDQFHLLREGDNLGC
+PLAGGRGPHGVLQAEFPLGPGTPAHSTVYRFYGSFTCSSYSWSDSSDPLFLSVTGSTTST
+CPSTMYPHTTEEAWLPQGHSSQLHLLLRLSVAFIYTSIFLAVLVCHWLPIKCCHHGRRAQ
+IRQDSEWRGESS
+>tr|A0A3Q1M3G0|A0A3Q1M3G0_BOVIN Protein BTG1 OS=Bos taurus OX=9913 GN=BTG1 PE=4 SV=1
+GWGGGGAFTRAPLVSSFPRHLLPLLLLPLRGLFVYKRITSAPPSLPSLWTRPLAPFSRPL
+RLRICLRHNGAISGLARLGRHAPATPLPFRGFIKSAAPPLYLLARRSWKCNCWELRRLRS
+WRRRRLGRSERCDQAAVARFFHFPAASCVENNFFYYKERRKKSSRTPLTPSLPLSPLTCE
+GARGGRSSVGAAPPSPGRPGPPPPAAPMHPFYSRAATMIGEIAAAVSFISKFLRTKGLTS
+ERQLQTFSQSLQELLAEHYKHHWFPEKPCKGSGYRCIRINHKMDPLIGQAAQRIGLSSQE
+LFRLLPSELTLWVDPYEVSYRIGEDGSICVLYEASPAGGSTQNSTNVQMVDSRISCKEEL
+LLGRTSPSKNYNMMTVSG
+>tr|F1MQI0|F1MQI0_BOVIN CRAL-TRIO domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=3
+MSTTTTIAEYQAQNKAKLISEVRRRFEAEYVTDKSDKYDSRDVERLQQDDNWVESYLAWR
+HNVVDETLKMLDESFQWRKEMAVNDLTEVSIPRWLLEIGGIYLHGYDKRRQQVILDQAYC
+ILVGMVYYSKYLSKIVIFDMPWIMNAAFKIVKTWLGPEAVSLLKFTSKNDIQDYVSVEYL
+PSHMGGTDPFKYSYPPLVDDDFQTLLCENGPIASEDKASRRYRK
+>tr|F1MIF5|F1MIF5_BOVIN Retinol binding protein 7 OS=Bos taurus OX=9913 GN=RBP7 PE=3 SV=2
+MPSNFSGTWNLLSSDNVEGYMRALDIDFATRKIAKMLKPQKVIEQNGDSFTIHTNSTFRN
+YVVKFKVGEEFDEDNKGVDNRKCKSLVTWDNDRLTCVQKGEKKNRGWTHWIEGDQLHLEL
+FCEGQVCKQTFQRA
+>tr|A0A3Q1M0G4|A0A3Q1M0G4_BOVIN Pumilio RNA binding family member 1 OS=Bos taurus OX=9913 GN=PUM1 PE=4 SV=1
+MSVACVLKRKAVLWQDSFSPHLKHHPQEPANPNMPVVLTSGTGSQAQPQPAANQALAAGT
+HSSPVPGSIGVAGRSQDDAMVDYFFQRQHGEQLGGGGSGGGGYNNSKHRWPTGDNIHAEH
+QVRSMDELNHDFQALALEGRAMGELFQRPNALAVQQLTAAQQQQYALAAAHQPHIAGLAP
+AAFVPNPYIISAAPPGTDPYTAGLAAAATLGPAVVPPQYYGVTPWGVYPASLFQQQAAAA
+AAATNSANQQTTPQAQQGQQQVLRGGASQRPLTPNQNQQGQQADPLVAAAAVNSALAFGQ
+GLAAGMPGYPVLAPAAYYDQTGALVVNAGARNGLGAPVRLVAPAPVIISSSAAQAAVAAA
+AASANGAAGGLAGTTNGPFRPLGTQQPQPQPQQQPSNNLASSSFYGNNSLSSNSQSSSLF
+SQGSAQPANTSLGFGSSSSLGATLGSALGGFGTAVANSNTGSGSRRDSLTGSSDLYKRTS
+SSLTPIGHSFYNGLSFSSSPGPVGMPLPSQGPGHSQTPPPSLSSHGSSSSLNLGGLTNGS
+GRYISAAPGAEAKYRSASSASSLFSPSSTLFSSSRLRYGMSDVMPSGRSRLLEDFRNNRY
+PNLQLREIAGHIMEFSQDQHGSRFIQLKLERATPAERQLVFNEILQAAYQLMVDVFGNYV
+IQKFFEFGSLEQKLALAERIRGHVLSLALQMYGCRVIQKALEFIPSDQQNEMVRELDGHV
+LKCVKDQNGNHVVQKCIECVQPQSLQFIIDAFKGQVFALSTHPYGCRVIQRILEHCLPDQ
+TLPILEELHQHTEQLVQDQYGNYVIQHVLEHGRPEDKSKIVAEIRGNVLVLSQHKFASNV
+VEKCVTHASRTERAVLIDEVCTMNDGPHSALYTMMKDQYANYVVQKMIDVAEPAQRKIVM
+HKIRPHIATLRKYTYGKHILAKLEKYYMKNGVDLGPICGPPNGII
+>tr|A0A3Q1N1N9|A0A3Q1N1N9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=ANK1 PE=4 SV=1
+MWTFVTQLLVTLVLLGFFLVSCQNVAHIVRGSLGFMLKHVHQELDKELGESEGLSDDEET
+ISTRVVRRRVLLKGNELQNIPGEQVTEEHFTDEQGNVITKKIVRKVVRQIDPSGADDTQE
+LEEVISKGPLEDPSEMEADIDSFMTHAKDHTSTPNP
+>tr|A0A3Q1LV86|A0A3Q1LV86_BOVIN KRAB domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+HACSVVSDSFVTFEDVVVYFSWEEWGLLDENQRCLYHDVMLENFALVTSLGKALTPTPVP
+CTQLCSLQTQVLPPFPSCLAGRH
+>tr|A0A3Q1LU73|A0A3Q1LU73_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MIVKLIQNLEIKMESQINSLETRIEKTQERFNKDLEEIKKSQYIMNNAINEIKNTLEATN
+SRITEAEDRISELEDRMVEINESERIKEKRIKRNEDNLRDLQDNIKHYNIRIIGVPEEED
+KKKDHEKILEEIIVENFPKMGKEIITQVQETQRVPNRINPRRNTPRHILIKLTKIKHKEQ
+ILKAAREKQQITHKGIPIRITADLSIETLQARREWQDILKVMKENNLQPRLLYPARISFK
+YEGEIKSFSDKQKLREFCTTKPALQQILKDIL
+>tr|A0A3Q1MHJ3|A0A3Q1MHJ3_BOVIN CCHC-type domain-containing protein OS=Bos taurus OX=9913 GN=ZCCHC2 PE=4 SV=1
+MKLPLKPTHPAEPPPEAEEPEADARPGAKAPPRRRRDCRPPPPPPPPSGAPRGPPLPPPP
+PPSRGLGPPVAGGAAAGVGMPGGGAGPAAAALREQERVYEWFGMVLGSAQRLEFMCGLLD
+LCNPLELRFLGSCLEDLARKDYHYLRDSEAKANGLSDPGPLADFREPAVRSRLIVYLALL
+GSENREAAGRLHRLLPQVDAVLRSLRAARGEGSRGSAEDQRGEEDGGGEEEDAEKDGSGP
+EGGGAAPRPGGGLGLRAQEELLLLFTMASLHPAFSFHQRVTLREHLERLRAALRGGPEDA
+EAAPSHLAGARAQNDSSHGDYMQSNEASLIEQAPIPHDGLTVTPHRTQREAVHIEKIMLK
+GVQRKRADKYWEYTFKVNWSDLSVTTVTKTHQELQEFLLKLPKELSSETFDKTILRALNQ
+GSLKREERRHPDLEPVLRQLFSTSSQAFLQSQRVHSFFQSISSDPLHSLNNLQSSLKTSK
+ILEHLKEDSSEASSQEEDVLQHTAIHKKHAGRGPLLHTVGAGCSPVDTLPVQYAEQNGVM
+DWRKPTCATVQHQEQCVALVDQHSTEKRSLSSISKKKGKPQIEKEKIKKTDSRLNSRING
+IRISALPHTHGGSVKDVSLDIGSGHDTCGETSSESYSSPSSPRHDGRESFESEEEKDRDT
+DSNSEDSGNPSTTRFTSYGSVGQTVTVKPPVQIASLGNDSADLLEDPLSSAKYQHISFMP
+TLHCVMHNGAQKSEVVVPPPKSADGKTIGMLVPGPVALSALREPADPAPLGALGPAASAG
+DSEKPLELLAAPLPLPSGFLPHGGGPALHLTIQRLKLPPGPPESCALNLAPPPAAGLGAG
+SASAAFGPGPGPFPGSPVAATDPVPKAASPAAGLSPMVPQLEGGAAAAAPPAGVKVVVPA
+AAPAAFPGPAATSAPSGAPSPAPSASPAPSTAQGDGAAFLGAGALLAPAGACGSCGRRCG
+CGAGLPLGGYYYPGPAPGPLYRVSPFFSLPSLCNGSYLSQAPQGSGGQLPFFLPQAPYAS
+GLVHDPVLGGQAGYGVQPVAGFGRFYPVYPHSVVAGSGGAAPKKSGSASCYNCGVSGHHA
+QECKQSSMEAGPQGSYRLRYAPPLPPSSDTLDSAD
+>tr|A0A3Q1MEL8|A0A3Q1MEL8_BOVIN Double PHD fingers 3 OS=Bos taurus OX=9913 GN=DPF3 PE=4 SV=1
+MATVIHNPLKALGDQFYKEAIEHCRSYNSRLCAERSVRLPFLDSQTGVAQNNCYIWMEKR
+HRGPGLAPGQLYTYPARCWRKKRRLHPPEDPKLRLLEIKPEVELPLKKDGFTSESTTLEA
+LLRGEGVEKKVDAREEENIQEIQRVLENDENVEEGNEEEDLEEDIPKRKNRTRGRARGSA
+GGRRRHDAASQEDHDKPYVCDICGKRYKNRPGLSYHYAHTHLASEEGDEAQDQETRSPPN
+HRNENHRPQKGPDGTVIPNNYCDFCLGGSNMNKKSGRPEELVSCADCGRSGHPTCLQFTL
+NMTEAVKTYKWQCIECKSCILCGTSENDVSVGFPHGRGNPRGWESFEDGEEGGILIPGLL
+RKISIRTLPPLTFALRTLGTLVRQ
+>tr|A0A3Q1LPJ1|A0A3Q1LPJ1_BOVIN IGv domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+SPCIIVCVIFPGLFSGTLKAGVLLDPHFQLKRTGRNSTLSSIQDLGHESIYWFRQDKWEG
+IHLILYNSYPPTTPKEDIAKGYSASREKKSFPLTVTSAQKNQTALYLCAASHGGRRPTLP
+FFGIGMKSTVLPSLLNVFPKIISVFSISEAELSRTPVAVLVCIPT
+>tr|A0A3Q1MJ91|A0A3Q1MJ91_BOVIN Stereocilin OS=Bos taurus OX=9913 GN=STRC PE=4 SV=1
+MALSLRPLLLLSCAVTLVPTGSQSLDSGLSLLKSALSALDQAPQGSFSRSRFSAFLANIS
+SSFEPGRMGEGPVGEPPPLQPPALRLHDFLVTLRGSPDWEPMLGLLGDVLALLGQEQTPR
+DFLGHQAGVLSGLAEVLLGALVPGGPPIPTRPPCTRDGPSDCVLAADWLPSLLLLLEGTR
+WQALVRVQPSVDPANATGLDGREPAPHLLQGLLGLLAPVGELGSEEALWGGLLRTVGAPL
+YATFQEGLLRITDSLQDEVFSILGQPEPDANGQCQGGEGIRHNLSWDVRALGFLSGSPPP
+PPALLHCLSTGVPLPRTSQPSAYISPRQRRAISVEALCENLSGPAPPYSISNFSIHLLCQ
+HAKPVTPQPPPSTAAICQTAVWYAVSWAPGAQGWLQACHDQFPEQFLDAICGNLSFSTLS
+GPNRRLVKRLCAGLLPPPTSCPEGLPPVPLTPEIFWGCFLENETLWAERLCGEASLQAVP
+PSNQAWVQHVCQGPTPDVTAFPPCYIGPCGERCPDGGSFLLMVCANDTMYEALVPSWPWL
+AGQCRISRGGNDTCFLEGLLGPLLPSLPPLGPSPLCLAPGPFLLGMLSQLPRCQSSVPAL
+AHPTRLHYLLRLLTFLLGPGAGGNEAQGMLGQALMLSSLPDNCSFWDAFRPEGRRSVLRT
+VGEFLEQEEQPTPGFNPTDSSSPALSKMELLACFSPVLWDLLQREKSVWALQILVQAYLH
+MPPENLQQLVLSAEREAAQGFLTLMLAPAGGMQEEPGPGEWEQALGRLTALLLQRYPRLT
+SQLFIDLSPLIPFLAVSDLMRFPPSLLANDSVLAAIRDYSPGMRPEQKEALARRLLAPEL
+FGEVPAWPQELLWAALPLLPHLPLENFLQLSPHQIQALEDSWPAAGLGPGHARHVLRSLV
+NQSVQDGEEQVRRLGPLACFLTPEELQSLVPLNDPMGPVERGLLECAANGTLSPQGRVAY
+ELLGVLRSSGGAVLSPRELRVWAPLFPQLGLRFLQELSEPQLRAMLPALQGARVTPAQAV
+LLLERLLPRRDLSLEELCSLHPLLPGLSSQTLQAIPRRVLLRACPCLAPELARLSACQTA
+ALLQTFRVKDGVKNTGAAGAGAAVCIPGQPIPTIWPDCLLPLLPLKLLQLDAAALLADRR
+RYRDLPWSEQQVILPTTCAYSHTYRALGTLAGGMSCEFLQQINWMADFLEVVHMIYQLPT
+GVRGSLRTCIWAELQRRMAMPEPELATLGPELSGLDTKLLLDLPVQLMDRLSNESIMLVV
+ELVRGAPEQLLALTPLHRAALAERALQNLAPKETTVSREVLETLGPLVGFLGIESTRRIP
+LQILLAHLSQLQGFCLGGLFATELGWLLLQEPVLGYGPPASPDSNSSSPTLSTTLLSGSP
+FSMPEEALGPETLERLLEKQQSWEQSRAGQLCAGPQLAPKKAALVAGLVRPAAENLPEPV
+PNCADVRGTFPSAWSAAQIADMELLDFEDCLALFAGDPGLGPEKLRAAMGKAKQLWGPPR
+GFRPEQILQLGRLLIGLGERELQELSLVDWGVLSTLGQIDGWSSSQLRVVVSSFLRQSGR
+HVSHLDFLHLTALGYALCGLRPEELQHISSWEFSQAALFLGNLHLPCSEEQLEILAQLLV
+LPGGFGPVSNWGPEIFTEIGTIAAGIPDLALSALLRGQIQGLTPLAISVIPAPKFAVVFS
+PTQLSSLTSVQAVAVTPEQMAFLSPEQRRAVAWAQYEGMESLEQEGESLTANGLQDWSQP
+SWALALTICFLGNLL
+>tr|A0A3Q1MN34|A0A3Q1MN34_BOVIN Senataxin OS=Bos taurus OX=9913 GN=SETX PE=4 SV=1
+MVPSSKNADEINKTAFQKKCRMSTCCWCTPGGTSTTDFLQRYASRTHSSEFQTADEDLCY
+CLECVAEYHKARDELPFLHEVLWELETLRLINHFERSMKAEIGDDDELYIVDNNGEAQLF
+DFTGQDFENKLRVPLLEILKYPYLLLHEHVNELCVEALCRMEQANCSFQVFDKHPGIYLF
+LVHPNEMVRRWAILTARNLGKVDRDDYYDLQEVLTCLFKVIELGLLENPDIYTSSVLEKG
+KLVLLPSHMYDTANYKNYWLGICMLLTILEEQAMDSLLLGSDKQNDFMQSILHTMERQSN
+DNSVDPFWPALHCFMVILDRLGSKVWGQLIDPIEAFQTIINNVSYNREIQNIRNSSVRTK
+LEPESYLDDMVTCSQIVYNYNPEKTKKDSGWRSAICPDYCPNMYEEMETLANVLQSDIGQ
+DMRVHNSTFLWFIPFVQSLMDLKDLGVAYIVEVIHHLYSEVKDVLNQTDAVCDKVTEFFL
+LILVSVIELHRNKKCLHLLWVSSQQWVEAVVKCAKLPTTAFARSSEKSSGNCSKGTAMIS
+SLSLHSMPSNSVQLACVQLIRSLLKEGYQLGQQTLCKRFWDKLNLFLRGNLSLGWQLTSQ
+ETHELQTCLKQIIRNIKFKVPQCSTFVDLNPACKMPPASYKEESEQIGKKSEKDVHCLEN
+SSPTSSKEPMKADAYQVQESILSKANNAIEESKEPYYIKDLKLEGHLSAESCLKLSSKNL
+ACERVQNQVKISTGKYKSVKENSSCTPQNGTLRNGPEKVFDRGIIISRRLLTVSSTDSLE
+KVSASDEDFSLKEDGLGKSSKAKSKTHKDGVCAKLSHVIKKQRRRSISLEENLTVSSIEG
+FYSKKDLGGQKGGGNICNPSLVPNGILDDKNGEQKSQSCLLLKKKEVKKEKLDLFSTPEN
+SCQIQECHVDSKDLVSLTEMTSICMTNASPFKEPVTGHELRDRKISRSTDQFSSNLREQD
+LSSSPQPDTLTDSQVDRDLHKLSLIAQASVTKFPSDSTEKSLSQIQRKVKDEKRCFTANQ
+NVRETCCGQVIIISDSDDDEDEERILGLKKQMREVKACIEKECPEQHTSIANTNVEQKVL
+IKEENPVSLLEFEESDSQFFEFETPCEVFSVWHDQKPDKNSAQENEKNPCSTHVGDSAND
+LGYETDYVPEEVIKKVAENFEERTKPRSSSTSVEEFCEIEVKKPKRRRYEKPIAEDPLRP
+SSSSVKNEDQSDILNKGNLSKNDFKGVDARSTVPSSSVQRNTVVSPKKSLPKPRMYSKPV
+RKVPVVKASKKSHSDTKKGQSKSSHYISCRTTPAIVPPKKFRQCPEPTSTVEKLGLKKAP
+RRAFELSQRSLECIVQLRDHGKTVGVVDTRKKTKLISPQTLSVRNNKKLLTSQDLQLQRQ
+MRSKSQQNRQRFDCRSTDTTRAGPRAAQSSAVPESSKSDYSHGGAEVTANNKGKQLLTCQ
+SSETEPVKANQCNPVIVNGRIATNEVIVPTSEDLLDRGDLTVHHLEVATLKEGEPQFSSD
+IEEDDIFLTQRDPEDMDLCSQIERENDKLIEVIHGKDTVQGEEECVSQPQLESLSSTKCK
+YKDCVETLKNPGEYCSKHSKTKATDEDVFRKPGLPLSASKRSRPSTTKVFSSSSTSRIAG
+LSKSLESTAALPSSLKNKSSGAQSVLKGPQPPLTVSLKPVAEVKGLCNVFPAQTPNNSNR
+QVLRVPFGESRPFLTSSPVNILTSSQSISDTFVKEVLKWRYEMFVNFDQCGPPSSLCQSI
+ERRVPIRFQDCGDYFNVFFPLMVLNTFETVAQEWISSPNKEKFHQLHLRKFPGDYKKYWE
+FVVYLEECELAKQLHPRENDLVFLVPERLNEEKKDLEWSHLEDACEYYCGYVHKFRRTSV
+MHNGKFECSVSIQTQDNLPVNLNELVKCFVISSLVTTQRKLKAMSLLSGRNQLARAILNP
+NPMDFCTKDLPTATSERIVAYLKDFNEDQKKAIETACAMVKHSPSVAKICLIHGPPGTGK
+SKTIVGILYRLLTENQRRGYSDENSNAKIKQNRVLVCAPSNAAVDELMKKIILEFKEKCK
+DKKNPMGNCGDINLVRLGPEKSINNEVLKFSLDSQVNHRMKKDLPSHVQEMHKRKEFLDH
+QLDELSRQRALCRGGRESQRQELDGKIARVSKERQELASKIKEVQGRPQKTQSIIILESH
+IICCTLSTSGGLLLEAAFRGQGGVPFSCVIVDEAGQSCEVETLTPLIHRCNKLVLVGDPK
+QLPPTVISVKAQDYGYDQSMMARFHKLLEESVEHNMIGRLPVLQLTIQYRMHPDICLFPS
+SYIYDGILRTNRGTETSRCSSDWPFQPYLVFDVGDGLERRDNDSYVNVQEIKLVMELIKL
+IKDKRRDVTFRNIGIITHYKAQKTMIQKDLDKEFDRKGAAEVDTVDAFQGRQKDCVIVTC
+VRANATQGSIGFLASLQRLNVTITRAKYSLFILGHLRTLVENRHWYHLIQDAQKRGAIIK
+TCDKNYRHDAMKILKLKPLLQRSLTHPPAVAPEASRPQGGLPSSKQDGELSKTSFASSLY
+HSPSDSKEATVTEAAKDPERPPNQDWLRDPRLLRRMGLALDAQGISLREPQPLSPQHSGA
+APPLGTPGCPGSPQEPGTIRQQGVTLNNHTPHMQCDSPVASTDTSTSKKKCDQEEGVLSH
+RRETRSLSVGDQEWWASSHAKRNSDWDERVLEEDSCSKKRRVL
+>tr|A0A3Q1MHZ8|A0A3Q1MHZ8_BOVIN Proline rich and Gla domain 2 OS=Bos taurus OX=9913 GN=PRRG2 PE=4 SV=1
+MRGCPSVLLLYLGLATCLDTSPSGKQGREVFLDSPEAQSFLGSRKRVPRANYWDLELFTP
+GNLERECLEEICSWEEAREYFEDNILTDRFWESYIYNGKGGELGELCHPVQLGQGFTREA
+EPPSPTQNWPPPDSTLPEFLEGVVKESPSSETRALASESHHTSLGGGPLSPRRGTVGRRG
+YSPEASDSEAPAHLSPSLESRASAAWLLRGLLPSNGARVGQLVEACVSSPAGSAQAQPQP
+LSEF
+>tr|G5E5H9|G5E5H9_BOVIN G protein-coupled receptor 119 OS=Bos taurus OX=9913 GN=GPR119 PE=3 SV=1
+MESSVSFGVILAVLASLIIVANALVAMAVLSLILKNDSISLCFTLNLAVADALLGLAISG
+LVTDQLSSPARPTEKTLCRLRMAFVTSSAAASVLTVMLIAFDRYLAIKQPLRYFQIMNGF
+MVGACLAGLWLVSNLIGFLPLGIHGFQQTTYKGSCSFFAVFHPRFVLTLSCVGFFPALLL
+FVFFYCDILKIASTHSQQIRNTEHAGALARAHRPPRTPSDFKAVRTVAILIGSFTLSWSP
+FLITSIVQVACQECHLYLVLEQYLWLLGVGNSLLNPLIYAYWQKEVRQQFSQMALAMKKW
+LAACLLLLSARDGGPEGRRESVRYITTMSHSELEG
+>tr|E1B914|E1B914_BOVIN Whirlin OS=Bos taurus OX=9913 GN=WHRN PE=4 SV=2
+MNAQLDGLSVGSSSTGSLGSAAGAGGSGGAGLRLLSANVRQLHQALTALLSEAEREQFTH
+CLNAYHARRNVFDLVRTLRVLLDSPVKRRLLPMLRLVIPRSDQLLFDQYTAEGLYLPATT
+PYRQPVWGGPDGAGPGEVRLVSLRRAKAHEGLGFSIRGGSEHGVGIYVSLVEPGSLAEKE
+GLRVGDQILRVNDKSLARVTHAEAVKALKGSKKLVLSVYSAGRIPGGYVTNHIYTWVDPQ
+GRSISPPSGLPQPHGSALRQHEGDRRSTLHLLQGGDEKKVNLVLGDGRSLGLTIRGGAEY
+GLGIYVTGVDPGSEAESSGLKVGDQILEVNGRSFLNILHDEAVRLLKSSQHLILTVKDIG
+RLPHARTTVDQTEWIASSRIGDAAASCARFPGDLTAEGTNKLGFYKGPAGSQVTLSSLGI
+QTRVLLEEQARHLLTEQERATMAYYLEEYRGGSVSVEALVMALFELLNTHAKFSLLSEVR
+GTISPQDLDRFDHLVLRREIESMKARQPPGPAAGDTYSTVSYSDTGSSTGSHGTSTTVSS
+ARERLLWLIDLMENTLDLEETGEAVQGNANTLSDVSLDDVKSTSKGLPSYKPPPPPPPLI
+QGHDHLLIQPRKPGREDLQPPSSASSHSGIVFSAPQNRSPPAGTAPVPEAPTAQNSPSSP
+IYASISPANLGSKRPLDTHLALVNQHPIGPFPRVQSPPHLKSPPAEAALAGGGLPPPSPS
+GHPDQAGTNQHFVMVEVHRPDSEPDVNEVRALPQTRTASTLSQLSDSGQTLSEDSGVDAG
+EAEASVPGRGRQTAPTRSRSGKETPRNERPTEGATKPPGLLEPTSTLIRVKKSAATLGIA
+IEGGANTRQPLPRIVTIQRGGSAHNCGQLKVGHVILEVNGVTLRGKEHREAARIIAEAFK
+TKERDYIDFLVTEFNVLL
+>tr|F1MMJ1|F1MMJ1_BOVIN ATP-dependent DNA helicase PIF1 OS=Bos taurus OX=9913 GN=PIF1 PE=3 SV=2
+MLSGTQAAAAECEDGELRCRVAVEELSPGGQPRRRQSLRTAELSLGRNERRELMLRLQAP
+GPAGRPRCFPVRAARLFTRFAAAGRSTLRFPADSTPRASAVQLLLSDCPPDRLRRFLHTL
+RLKLAAAPGPGPASARTQLLGPRPRDFISISPVQPEELRRAAATRVTDTTPVKRPTEPRA
+GAKPRTEVTRWPLPVKRLRLPPTKPELSKEQAAVLRAVLKGQSIFFTGSAGTGKSYLLKR
+ILGSLPPTGTVATASTGVAACHIGGTTLHAFAGIGSGQAPLAQCVALAQRPGVRQGWLNC
+QRLVIDEISMVEADLFDKLEAVARAVRQQNKPFGGIQLIICGDFLQLPPVTKGSQLPQFC
+FQAKSWRRCVPVTLELTEVWRQTDKTFISLLQAVRLGRCSDEVTRQLRATAAHKVGRDGI
+VATRLCTHQDDVALTNERQLQELPGEVHSFEAMDSDPEQARTLDAQCPVSQLLQLKLGAQ
+VMLVKNLAVSRGLVNGARGVVVGFEAEGRGLPQVRFLCGVTEVIRADRWTVQTTGGQLLS
+RQQLPLQLAWAISIHKSQGMSLDCVEMSLGRVFASGQAYVALSRARSLQGLRVLDFDPMV
+VRCDPRVLSFYATLWRDRALSLESPDDEEGTSDQENVDPNL
+>tr|F6PTP7|F6PTP7_BOVIN Phosphodiesterase 4D interacting protein OS=Bos taurus OX=9913 GN=PDE4DIP PE=4 SV=1
+MKEICRICARELCGNQRRWIFHTASKLNLQVLLSHVLGKDVSRDGKAEFACSKCAFMLDR
+IYRFDTVIARIEALSIERLQKLLLEKDRLKFCIASMYRKNNDDPGAETKAGNGTVDISGL
+PDVRYSALLQEDFAYSGFECWVENEDQIQEHSCHASEGPGNRPRRCRGCAALRVADSDYE
+AICKVPRKVAKSISCGPSTRWSTSICTEEPALSEVGPPDLPNAKVPPDGESMEEGTPGSS
+VESLDASIQASPPQPKDEEPERSAKELAKCDCCSDEQAPQHTCNHKLELALSMIKGLDYK
+PIQSPRGSKLPIPVKSNPSGTRPGHIMTDGVSSGFLNRSLKPLYKTPVSYPLEISDLQEL
+WDDLCEDYLPLRVQPMTEDLLKQQKLNSNETGTTQQSVSDSHLAELQEKIQQTETTNKML
+QEKLNEMSYELKSAQESSQKQDDTIQNLKETLKSRESETEELYQVIEGQNDTMAKLREML
+HQSQLKQLHNSEGTSPAQQQVALLDLQSALFCSQLEIQKLQRAVRQKERQLADARRCVRF
+VEAAAQEREQQKEASWKHNQELQKALQQLQGELQSKSQQLHTLEAEKYNEIRTQEQHIQH
+LHYSLSHKEQLLQEFRELLQYRNNSDKTLEANEMLLEKLRQRIQDRDVALERAIDEKFSS
+LEEKEKELRQLHLAMRERDHDLERLRGILSANEATMQSMESLLRSKGLEVEQLSATCQNL
+QWLKEEMETKFSRWQKEQESIIQQLQTSLHDRNKEVEDLTATLLCKLGPGQTEIVEELCQ
+RLQQKERMLQDLLSDRNKQAVEYEMEIQGLLQSMSTREQESHAAAEKMVQALMERNSELQ
+ALRQYLGGKDFMMSQAPLSNRPAEVTSISPHLGEQTDQGSVHIPSRDDSTSLTAKGDASV
+PRSSLGEVDTVAGLEKELSNAKEELELMAKKERESRMELSALQSMVAVQEQELQVQAADM
+ESLTRTIQIKEDLIKDLQMQLVDPEDIPAMERLTQEVLLLREKVASVESQGQETSGNRRQ
+QL
+>tr|G3X6J9|G3X6J9_BOVIN ANK_REP_REGION domain-containing protein OS=Bos taurus OX=9913 GN=ANKRD26 PE=4 SV=2
+MKKIFGFGSKKGESPLGSSISPGRDGGHRINLQPGYRIRDKDLGKIHKAAIVGNVAKVQQ
+ILLLGKNGLNDRDKMNRTALHLACANGHSAVVTLLLERKCLLNLCDNENRTALMKAVECQ
+EEECATLLLEHGADPNVMDVSGNTALHYAVFCQNISLAAKLLSYDANIEARNKDDLTPLS
+LAVSERKQQMVEFLAKKEANIHAVDKMQSIRQLISEYKEERPKTPPENSNPEDESSEEDS
+LSRFSNKPGVDSWPTSDDEDLDLETKNVLKPSLTKLMNASQQSRRNIEAKCGIVRPESTT
+FSEDNNSDSEIEDVVETIPEPSPGVQGFSHPAFPSAEPLPQPLKSLAGLGLTKEGATKPE
+IVDKESDTDIIERAPQEQINHDHLSSVDATHKNNRSDMMSALGLGEEEDIESPWDSESIS
+ESLPQKYVDLLSGAAGQRGKNTLNGQVEDSPEKYPNVKPAVLVKDSVPSKTVGMKDLQTS
+SSDLSAELDLEMTSEEEEEKPVGDKNNHSQVEEEKKKHKSSEVEISDNVCDTADESGLIQ
+QRKSGGNSSQEFAAMENEGSDSSDPDVHRKEVKKKDNSKWTPEGCVTAPIFEKTDSLTGG
+LLHVNDDSILSEVDQDDDRPAKKTSNENNKVKEQINSVDDLDDLTQSSETASEDGELLYY
+KNSMLLIEQLDTGCKDSVSLLKIRDTILSYERLVELKKSHCELLKGKIKKMESKVRGLQK
+ELSETKEVKSQLEHQKVEWERELGSLRFTLKQEEEQRRNADMLYEKIREQLRRKEDQYSK
+EVEMKQQLELTLRALEMELKTVRNDLNQVIEERNDTQKQLSRERNARILQDEILANHLAK
+QKEIEMANKEMNSEVSDSCEEAKDLLHKNHMLQEEIAMLRLEMDAVKIQNQEKEKKYFED
+IEIVKGKNDDLQKAIKVNEETLTKTVSHYTGQLNALTAENTMLNSKLENEKESKQRLETE
+VESYRSRLAAASHDHDQCQTSKRDLELAFQRARDEWLHLQDKMKSDAANLKDNNEMLSQQ
+LSRAESKFNNLEIKLHHTRDSLREKILMLERVQRDLSQTECQKQEIEHMYQNEQGKVNKY
+IGKQESLEERLSQLQSENMLLRQQLDDAQNKADSKEKTVISIQDQFQQIVRKLHAESEKQ
+GLMLEERNKELVKECDHLKERMYQYENEKAEREAGVRQLQQELADTLKKQSMSEASLEVL
+SRYRANLEVEAQDLKKKLGQLTSQLQEAQDQLTEAVRCAEKTQDRVQKLEIENAELQTTV
+KKQAGKIEQLQKNLLSTRSSEDEKEQLKKYIELKQSLENSLDQEKKKNSELEKEITGFKK
+LLKMTRRKLNEYENGELSFHGDLKTSQTEMDIQINKLKHKIEDLTAELEAASSKCLHLDA
+KNQVLREELLSMKGMQKKCEKLEEKKKKLEQEVVHLRSHIEMNMIEHSQVEQYKREIEER
+ARQDLVEKLKEVNLFLQIQAASQENLEQLQEKNNASIRSQMELRIKDLESELSKVKTLQE
+DSHKAELEKYKQLYLVELEVRKSLEGKLDKTHERLAVISTKLEVEKEQNRSLLSTLSTRP
+VLEPPCVGNFNNPVVLNGNLTPRANVGFSTSIPRPSNNSMETYLTKVSSIIFFPLGFRFL
+I
+>tr|G5E5T9|G5E5T9_BOVIN GA-binding protein subunit beta-1 OS=Bos taurus OX=9913 GN=GABPB1 PE=4 SV=2
+MKMSLVDLGKKLLEAARAGQDDEVRILMANGAPFTTDWLGTSPLHLAAQYGHYSTTEVLL
+RAGVSRDARTKVDRTPLHMAASEGHASIVEVLLKHGADVNAKDMLKMTALHWATEHNHQE
+VVELLIKYGADVHTQSKFCKTAFDISIDNGNEDLAEILQIAMQNQINTNPESPDTVTIHA
+ATPQFIIGPGGVVNLTGLVSSENSSKATDETGVSAVQFGNSSTSVLATLAALAEASAPLS
+NSSETPVVATEEVVTAESVDGAIQQVVSSGGQQVITIVTDGIQLGNLHSIPTSGIGQPII
+VTMPDGQQVLTVPATDIAEETVISEEPPAKRQCIEIIENRVESAEIEEREALQKQLDEAN
+REAQKYRQQLLKKEQEAEAYRQKLEAMTRLQTNKEAV
+>tr|F1MDK9|F1MDK9_BOVIN Gamma-crystallin D OS=Bos taurus OX=9913 GN=CRYGD PE=3 SV=1
+MGKITFYEDRGFQGRHYECSSDHSNLQPYLGRCNSVRVDSGCWMIYEQPNYLGPQYFLRR
+GDYPDYQQWMGLNDSIRSCRLIPHAGSHRLRLYEREDYRGQMIEITEDCSSLQDRFHFNE
+IHSLNVLEGSWVLYELPNYRGRQYLLRPGEYRRYHDWGAMNAKVGSLRRVIDIY
+>tr|F6R921|F6R921_BOVIN AIG1-type G domain-containing protein OS=Bos taurus OX=9913 GN=LOC512867 PE=4 SV=1
+MGGRKVARDEENAYGFQEFRSALQERRLRLLLAGRSGTGKSATGNSILQRKHFLSRLATT
+AVTRACATGSCCWASWDVEVLDTPDLFSPEVAQADPGFKERGRCYLLSAPGPHAVLLVTQ
+LGRFTAQDLQAWRGVKALFGAGIAARAVVVFTRREDLDGGSLQQYVRDTDNRALRELVAE
+CGGRCCAFDNRAADGEREAQVRELMGLVEELVRDHGGAPYTNDVYHLAQTLGGLSPEERL
+RRVAERLAARAPSWSERWPLAGLWRWPKAAPETWCKLGLVALLGALFLLYLLCR
+>tr|F1MXH7|F1MXH7_BOVIN Colony stimulating factor 2 receptor beta common subunit OS=Bos taurus OX=9913 GN=CSF2RB PE=4 SV=2
+MTLTQGLLPLALLVLCWGLSITGAEETVPMQTLRCHNDYTSRIICRWADTQDAQRLVNVT
+LYRRPKEDHPQRVSCSLSDDKPWLNSPCSGCVPRKCVIPYRNFVLADFDYFSFRPDQPLV
+TELTVNLSQHVQPPTPKDLNITATRESLLLFWSIAHEGFQSHWLSSLEFEVVYKRLQDSW
+EDALTIHSKSPQAILELKDLQPSSTYVARVRTRLAPGSGLSGRPSQWSQEVSWNSQPGDE
+AQPQNLQCFFDGATLLTCTWEVRSEVTHSVSFTLFYKTGPSAREEECSPVQKETGSPYIQ
+HRCQIPVPDPRNYSQYIVSVRPKVEERLIKSSDNIQMAPPTLNVTKGRDGYILHWREEKM
+SYSHIACIFQVQYKKEGASWEDTKTEDFQNAHTMSLPPLEPASRYQARVRVKPDPGNYNG
+IWSEWSEARSWDTDWVLPMWVLALILVISTLILLPVLHFCGVYGYRLNRKWEEKIPNPSK
+SHLFQNGSAGLRMPDSMATLGSGSHPHKGPWGSSCPQLLEGVFPVDCRHSEVSPLTTEDP
+KDACDSSSEPDMTLTASDLPTEQPPSPPTGLAAPSSRPESQASGFDFNGPYLGPPHSCSL
+PDLMGQQVPPQTGVSRKPQSPGSLEYLCLPAGGQVQLVPLAQVKRQGKAGDGDTKSSPGA
+QTSPSLESGVDPAPPEPGLMGVGQDQKDRAPTVLPTGLPEDGTVASGYVTTPDLIFTPPI
+GAPSPSQAAPPNLPSDQNPTFHPGLADGPPVASAPQKPEFEGYVELPPATGQFPQSPLAS
+PAPPRVSSPVLSPGPHRADVSPTSPTPEGLLVLQQVGDYCFLPGPLSPQSKPTSPGPCPE
+IRDLNQVVQAKKPPAQAFPQVPAIQLFKALKQQDYLSLPPWDINRPGQVC
+>tr|A0A3Q1LN70|A0A3Q1LN70_BOVIN Mediator of RNA polymerase II transcription subunit 8 OS=Bos taurus OX=9913 GN=ELOVL1 PE=3 SV=1
+MQREEKQLEASLDALLSQVADLKNSLGSFIYKLENEYDRLTWPSVLDSFALLSGQLNTLN
+KVLKHEKTPLFRNQVIIPLVLSPDRDEDLMRQTEGRVPVFSHEVVPDHLRTKPDPEVEEQ
+EKQLTTDAARIGADAAQKQIQSLNKMCSNLLEKISKEERESESGGLRPNKQTFNPADTNA
+LVAAVAFGKGLSNWRPAGSSGPSQPGQPGAGTVLAGASGLQQVQMAGAPNQQQPMLSGVQ
+MAQAGQPGKMPSGIKTNIKSASMHPYQRINQTAPFGLQHSATAGFISPFSFHNLEEASVA
+SDSLISPAPGYWYSPTSGPHGPLNNSKGWAHPSL
+>tr|A0A3Q1NJG8|A0A3Q1NJG8_BOVIN Neuronal PAS domain protein 2 OS=Bos taurus OX=9913 GN=NPAS2 PE=4 SV=1
+MMPGSCDARQPSEVGRTGRQVKYPQSQAVFQNPDVHTTSSSAATPVLLMGLQPSFPASQP
+SPLKPAQAQPQPPHFLQVTRKLYLRGCFPSPTIPRALPFHPRPCRMAPAKKGGEKKKGRS
+AINEVVTREYTINIHKRIHGVGFKKRAPRALKEIRKFAMKEMGTPDVRIDTRLNKAVWAK
+GIRNVPYRIRVRLSRKRNEDEDSPNKLYTLVTYVPVTTFKSKVYLIFKSLALL
+>tr|A0A3Q1NNK9|A0A3Q1NNK9_BOVIN Homer scaffold protein 2 OS=Bos taurus OX=9913 GN=HOMER2 PE=4 SV=1
+MFHQLTGRLSSCREQPIFTTRAHVFQIDPNTKKNWVPASKQAVTVSYFYDVTRNSYRIIS
+VDGAKVIINSTITPNMTFTKTSQKFGQWADSRANTVFGLGFSSEQQLTKFAEKFQEVKEA
+ARLARDKSQEKIETSSNHSQASSVNGTDDEKASCAGPADTHLKSENDKLKIALTQSAANV
+KKWEIELQTLRESNARLTSALQESAASVEQWKRQFSLCRDENDRLRNKIDELEEQCSEIN
+REKEKNTQLKRRIEELESELREKETAAERDNQNLEDKVRSLKTDIEESKYRQRHLKVELK
+SFLEVLDGKIDDLHDFRRGLSKLGADN
+>tr|E1BFN8|E1BFN8_BOVIN LDL receptor related protein 11 OS=Bos taurus OX=9913 GN=LRP11 PE=4 SV=3
+MASAARESAGLGRRRPPPRRALPGLLLLCLWLPGGRAAGSPSAPLSELHAQLSGVEQLLE
+EFRRQLQQERPQEELELELRAGGDPQEGCPGPGGGGYRARPDAIIRTKDSIAAGASFLSA
+PAAVQDWRQCLQACCLEPHCSVAVVELPRRPAPPATALGCYLFNCTWRGRSVCKFALHRG
+YSSYSLSRSPEGEQEGLEAGAPALTRASPRLEKDEPPLSKAGQDVVLHLPTDGVILDGRE
+STDDHAIVQYEWTLLQGDPTVDMKVPQSGTLKLSHLREGAYTFQLTVMDTAGQRSSDNVS
+VTVLPVAFSTVGCSSVCSRYHFFCDDGCCIDIMLACDGVEQCPDGSDEAFCQNLSDNRKM
+VTHRTTARPKTTGPSTDAGRSASLEKSEKATTPEQPPELSTTEKSNHSTFWGPESRIDLE
+MPDGGSSGKNRKEENYIFESKSNRGGGEHPAPEAGAVLPLALGLAITALLLLMVACRLRL
+VKQKLKKARPITSEESDYLINGMYL
+>tr|E1B7L0|E1B7L0_BOVIN Small nuclear ribonucleoprotein U11/U12 subunit 48 OS=Bos taurus OX=9913 GN=SNRNP48 PE=4 SV=1
+MEAELPPVEERRRLREELSEFVESCRRTLEEVTASLGWSLDRLEPGEEAAAAEDEVAICP
+YDSNHHMPRSSLAKHMMSCRLRRLGYTKEEEDKMYNSDFFYENANVPSITLNKDSQFQII
+KQARAAVGNDGDYYNQRLYSSLPIEVPLNHKRFVCDLTQADRLALYDFVVEETKKKRSDS
+QIIENDSDLFVDLAAKVNQDNSRKSPKSYLEILAEVRDYKRRRQSYRAKNVHITKKSYTE
+VIRDVINVHMEELSSHWQEEQEKEADGADKSEERRSASVDSRHSGGSHLDGECSRHRRDR
+SRSPHRRKRNKDKDRGWDSRRRKERDGERHHSHKRRKQKM
+>tr|F1MHK5|F1MHK5_BOVIN LY6/PLAUR domain containing 3 OS=Bos taurus OX=9913 GN=LYPD3 PE=4 SV=2
+MDPARKAGSWAVIWTTGWLLLLSLLLPEGAKALECYSCVQNADDGCSPQKMKTVKCAPGV
+EVCTEAVGAVETIHGQFSVAVRGCGSGIPGKNDRGLDLYGILAFIQLQQCSQDRCNTKLN
+LTSRGLNPAGNESAYQPNGVECYSCVGLSRKECQGRAPPVVSCYNASDHFYKGCFDGNVT
+LTAANVTVSLPVRGCVQDEFCTRDSATGPGFTLSGSCCQGSRCNSDLRNKTYFSPRFPPL
+VLLPPPRSTTLAPNKSVSTSTSAPSSTVTTKATPVPTTTRSTTKAITPAQTNQTSPQEVG
+HETFREEEASLAGGASGHQDRRNTGQDPIKDVTHSKGSAAPWAGWVTLLLAMAAGALL
+>tr|A0A3Q1M2H0|A0A3Q1M2H0_BOVIN 5-hydroxytryptamine receptor 2C OS=Bos taurus OX=9913 GN=HTR2C PE=4 SV=1
+MFYFLQRPSCTSALFRWIGVSVPIPVIGLRDEDKVFVNNNTCVLNDPNFVLIGSFVAFFI
+PLTIMVITYCLTIHVLRRQALMLLHGHTEEPPGINLNFLKCCKTNTDEENSANPNQDLNP
+RRRKKKERRPRGTMQAINNERKASKVLGIVFFVFLIMWCPFFITNILSVLCGKACNQKLM
+EKLLNVFVWIGYVCSGINPLVYTLFNKVYRRAFSNYLRCNYKADRKPPIRQIPRVAATAL
+SGRELNVNIYRHTNEPVIKKADDKEPDIEMQVENLELPVNPSNVISERISSV
+>tr|A0A3Q1LZB9|A0A3Q1LZB9_BOVIN Calmodulin regulated spectrin associated protein family member 3 OS=Bos taurus OX=9913 GN=CAMSAP3 PE=3 SV=1
+MVEAAPPGPGPLRRTFLVPEIKSLDQYDFSRAKAAASLAWVLRAAFGGAEHVPSELWEPF
+YTDQYAQEHVKPPVTRLLFSAELYCRAWRQALPQLEAPPSPSALLALLARRGTVPALPER
+PVQEADLRHQPILMGAHLAVIDALMVAFAFEWTKTLPGPLALASLEHKLLFWVDTTIRRL
+QEKTEQEAAQRATPAAPADGVAPAQPSIRYRKDRAVARRAPCFPTVTSLQDLASGAALAA
+TIHCYCPQLLRLEEVCLKDPMSVADSLYNLQLVQDFCASRLPRGCPLSLEDLLYVPPPLK
+VNLMVLLAELFMCFEVLKPDFVQVKDLPDGHAASPQATEASTPQNSGSSSPVFNFRHPLL
+SPGGPQSPLRGSTGSLKSSPSMSHMEALGKAWNRQLSRPLSQAVSFSTPFGLDSDVDVVM
+GDPVLLRSVSSDSLGPQRPVPARTPAQPPPETGDLPTIEEALQIIHSAEPRLLPDGAADG
+SFYLHSPEGSSKLPLASPYPLEGSSKPLPDGPTKVPAYLPHPEGPSKPAPCPAGEVSKPP
+ALPEGSPKVAASSPAASNSEVKMTSFAERKKQLVKAEVEAGSPVATPGAPEALSSEMSEL
+GARLEEKRRAIEAQKRRIEAIFAKHRQRLGKNAFLQVQPREAGGEAEAELGPAPGGERPA
+GEGQGEPSPRPKAVTFSPELGPVPPEGLGDYNRAVSKLSAALNSLQRDMQRLTDQQQRLL
+APPESSTVAPTPAAAAWVIPGPTAGPKAASPSPARRAPAARRSHGPGPSPGPSPTPRSPK
+HARPAELRLAPLTRVLTPPHDVDSLPHLRKFSPSQVPVQTRSSILLAEGPPPEEPAARPG
+LIEIPLSSLEEPAAEDEGDGSPPGAEDSLEEEVSSEGEPRTGLGFFYKDEDKPEDEMAQK
+RASLLERQQRRAEEARRRKQWQEAEKEQRREEASRLVQEEVTPSPPAPTAPTASAAIPAP
+AARAPAEEEVGPRRGEFTRLEYERRAQLKLMDDLDKVLRPRAAGSGGPGRGGRRAPRPRS
+GCCDDSALARSPARGLLGSRLSKIYSQSTLSLSTVANEGPSNLGVKRPTSRAPSPSGLMS
+PSRLPGSREREWDNGSNASSPASVPEYTGPRLYKEPSAKSNKFIIHNALSHCCLAGKVNE
+PQKNRILEEIEKSKANHFLILFRDSSCQFRALYTLSAETEELSRLAGYGPRTVTPAMVEG
+IYKYNSDRKRFTQIPAKTMSMSVDAFTIQGHLWQSKKPTTPKKGSSTPK
+>tr|A0A3Q1M2M3|A0A3Q1M2M3_BOVIN Zinc finger protein 148 OS=Bos taurus OX=9913 GN=ZNF148 PE=4 SV=1
+MNIDDKLEGLFLKCGGIDEMQSSRAMVVMGGVSGQSTVSGELQESVLQDRSMPHQEILAA
+DEVLQESEMRQQDMISHDELMVHEETVKNDEEQMETHERLPQGLQYALNVPISVKQEITF
+TDVSEQLMRDKKQIREPVDLQKKKKRKQRSPAKILTINEDGSLGLKTPKSHVCEHCNAAF
+RTNYHLQRHVFIHTGEKPFQCSQCDMRFIQKYLLQRHEKIHTGEKPFRCDECGMRFIQKY
+HMERHKRTHSGEKPYQCEYCLQYFSRTDRVLKHKRMCHENHDKKLNRCAIKGGLLTSEED
+SGFSTSPKDNSLPKKKRQKTEKKSSGMDKESSLDKSDLKKDKNDYLPLYSSSTKVKDEYM
+VAEYAVEMPHSSVGGSHLEDASGEIHPPKLVLKKINSKRSLKQPLEQNQTISPLSTYEES
+KVSKYAFELVDKQALLDSEGNADIDQVDNLQEGPSKPVHSSTNYDDAMQFLKKKRYLQAA
+SNNSREYALNVGTIASQPSVTQAAVASVIDESTTASILDSQALNVEIKSNHDKNVIPDEV
+LQTLLDHYSHKANGQHEISFSVADTEVTSSISINSSEVPEVTQSENVGSSSQASSSDKAN
+MLQEYSKFLQQALDRTSQNDAYLNSPSLNFVTDNQTLPNQPAFSSIDKQVYAAMPINSFR
+SGMNSPLRTTPDKSHFGLIVGDSQHSFPFSGDETNHASATSTQDFLDQVTSQKKAEAQPV
+HQAYQMSSFEQPFRAPYHGSRAGIATQFSTANGQVNLRGPGTSAEFPEFPLVNVNDNRAG
+MTSSPDATTGQTFG
+>tr|F1MUT7|F1MUT7_BOVIN DAZ interacting zinc finger protein 1 OS=Bos taurus OX=9913 GN=DZIP1 PE=4 SV=3
+MPAEAADLLPSMPFQKHVYYPLASAGGLEEPDASAAAAAGGAAMACGPPSAASGPLPFFQ
+FRPRLESVDWRRLSAIDVDKVAGAVDVLTLQENIMNITFCKLEDEKCPHCQSGVDPVLLK
+LIRLAQLTIEYLLHSQEFLTSQLHGLEERLRRSLAEGEHSKKLLTKQAGEIKLLKEECKR
+RKKLISTQQLMIEAKASYYQCHFCDKAFMNQAFLQSHIQRRHPEDSHLEYKTRAQTDKLQ
+SEIDMLKEQLQLTKSQLEAAQHAHAIRLSKEYEMQKTKEEEFLKLFDRWKEEEKEKLVDE
+MEKVKEMFMKEFKELTSKNSALEYQLSEIQKSNMQIKSNIGTLKDAHEFKEERPQHPQDF
+QNVMQLLDNQESKWTARVQALHQEHKKEKSRLLSHIEKLRTSMIDDLNASNVFYKKRIEE
+LGQRLQEQNELIITQRQQIKEFTSKPLNSVSEPKGNLLTWQTFESKPTAPTLPMNAPATQ
+TLDAKSSLPMAHEQAFSSHILEPIEELSEEEKGRENEQKLYDNKIHIRKTLKNNPSLTKE
+IRTVLEQSLVEKLETLGINADIRGIPSDHLNRVLRTVESTRHERERQIPNIQQIREFLEH
+QVSCKIEERTLLSTDRYGASQMDTLSAGELPKAIHLPPKNRQLIRQRPVFSDRTSVPKVK
+KNATEDHFPRRSSTIVTPPFSSEEELDADDLVQAFTSPDVLPVLSSKSNKSSFGKSTVVK
+SDTDWTEGSEIEDSDISPKPTGTSIKTLTGKVEKTVSNHRNMNKPVGGINVADAFIKKEL
+KEDLKCTDVDDDDWDISSLEEDRSIGRKAGREQKEPPPPVKNESNSTQVPNAWVAANPKG
+PKGEGPQDESSTLKSSLVTVTEWSDSSDV
+>tr|A0A452DIK7|A0A452DIK7_BOVIN Tubulin alpha chain OS=Bos taurus OX=9913 GN=TUBA1B PE=3 SV=1
+MRECISIHVGQAGVQIGNACWELYCLEHGIQPDGQMPSDKTIGGGDDSFNTFFSLGWSRL
+VWVVNDGWLLHFPFPDEVRTGTYRQLFHPEQLITGKEDAANNYARGHYTIGKEIIDLVLD
+RIRKLADQCTGLQGFLVFHSFGGGTGSGFTSLLMERLSVDYGKKSKLEFSIYPAPQVSTA
+VVEPYNSILTTHTTLEHSDCAFMVDNEAIYDICRRNLDIERPTYTNLNRLISQIVSSITA
+SLRFDGALNVDLTEFQTNLVPYPRIHFPLATYAPVISAEKAYHEQLSVAEITNACFEPAN
+QMVKCDPRHGKYMACCLLYRGDVVPKDVNAAIATIKTKRSIQFVDWCPTGFKVGINYQPP
+TVVPGGDLAKVQRAVCMLSNTTAIAEAWARLDHKFDLMYAKRAFVHWYVGEGMEEGEFSE
+AREDMAALEKDYEEVGVDSVEGEGEEEGEEY
+>tr|G3N365|G3N365_BOVIN Structural maintenance of chromosomes protein OS=Bos taurus OX=9913 GN=SMC3 PE=3 SV=2
+MYIKQVIIQGFRSYRDQTIVDPFSSKHNVIGKFIFMFLAIQFVLSDEFSHLRPEQRLALL
+HEGTGPRVISAFVEIIFDNSDNRKNDVMNLLESAGFSRSNPYYIVKQGKINQMATAPDSQ
+RLKLLREVAGTRVYDERKEESISLMKETEGKREKINELLKYIEERLHTLEEEKEELAQYQ
+KWDKMRRALEYTIYNQELNETRAKLDELSAKRETSGEKSRQLRDAQQDARDKMEDIERQV
+RELKTKISAMKEEKEQLSAERQEQIKQRTKLELKAKDLQDELAGNSEQRKRLLKERQKLL
+EKIEEKQKELAETEPKFNSVKEKEERGIARLAQATQERTDLYAKQGRGSQFTSKEERDKW
+IKKELKSLDQAINDKKRQIAAIHKDLEDTEANKEKNLEQYNKLDQDLNEVKARVEELDRK
+YYEVKNKKDELQSERNYLWREENAEQQALAAKREDLEKKQQLLRAATGKAILNGIDSINK
+VLDHFRRKGINQHVQNGYHGIVMNNFECEPAFYTCVEVTAGNRLFYHIVDSDEVSTKILM
+EFNKMNLPGEVTFLPLNKLDVRDTAYPETNDAIPMISKLRYNPRFDKAFKHVFGKTLICR
+SMEVSTQLARAFTMDCITLEGDQVSHRGALTGGYYDTRKSRLELQKDVRKAEEELGELEA
+KLNENLRINNEIDQLMNQMQQIETQQRKFKASRDSILSEMKMLKEKRQQSEKTFMPKQRS
+LQSLEASLHAMESTRESLKAELGTDLLSQLSLEDQKRVDALNDEIRQLQQENRQLLNERI
+KLEGIITRVETYLNENLRKRLDQVEQELNELRETEGGTVLTATTSELEAINKRVKDTMAR
+SEDLDNSIDKTEAGIKELQKSMERWKNMEKEHMDAINHDTKELEKMTNRQGMLLKKKEEC
+MKKIRELGSLPQEAFEKYQTLSLKQLFRKLEQCNTELKKYSHVNKKALDQFVNFSEQKEK
+LIKRQEELDRGYKSIMELMNVLELRKYEAIQLTFKQVSKNFSEVFQKLVPGGKATLVMKK
+GDVEGSQSQDEGEGSGESERGSGSQSSVPSVDQFTGVGIRVSFTGKQGEMREMQQLSGGQ
+KSLVALALIFAIQKCDPAPFYLFDEIDQALDAQHRKAVSDMIMELAVHAQFITTTFRPEL
+LESADKFYGVKFRNKVSHIDVITAEMAKDFVEDDTTHG
+>tr|A0A3Q1LR89|A0A3Q1LR89_BOVIN Ring finger protein 1 OS=Bos taurus OX=9913 GN=RING1 PE=4 SV=1
+MGYPDSAISLYFSRQQFHEPVVSKILFTSLHHHLSTHCRLQEAIMDGTEIAVSPRSLHSE
+LMCPICLDMLKNTMTTKECLHRFCSDCIVTALRSGNKECPTCRKKLVSKRSLRPDPNFDA
+LISKIYPSREEYEAHQDRVLIRLSRLHNQQALSSSIEEGLRMQAMHRAQRVRRPMPGSDQ
+TTTMSGGEGEPGEGEGDGEDVSSDSAPDSAPGPAPKRPRGGGAGGSSVGTGGGGTGGVGG
+GAGSEDSGDRGGTLGGGTLGPPSPPGAPSPPEPGGEIELVFRPHPLLVEKGEYCQTRYVK
+TTGNATVDHLSKYLALRIALERRQQQEAGEPGGPGGGTSDPTAGPDGGGGEGGGAGGADG
+PEEPALPSLEGVSEKQYTIYIAPGGGAFTTLNGSLTLELVNEKFWKVSRPLELCYAPTKD
+PK
+>tr|A0A3Q1N0E1|A0A3Q1N0E1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MVTSFLQRQRRAGVLKTVLLEAGVFRGLAPTISLSAESGKNEKELPPNPKKQSPPKERTP
+ATASAEGFEKPPLRISSIMIMLWFSFTFYIVVFGQNSRGSRKSKPHSLSYPKCHFTCC
+>tr|E1BL95|E1BL95_BOVIN Centromere protein J OS=Bos taurus OX=9913 GN=CENPJ PE=4 SV=1
+MFLMPTSSELNSGQDFLTQWMANPSRAGVILNRGFPILEAEEDKPANVNFSTNFPIKATK
+FSNSFSFIREEDSLHEEQKLEPNSPYKLQSDKPEPQRVFALTKEGPQLVARQDAPGQWED
+SKNEFIADLLSELKEVPYKDPLVKKLEQLKEEQQKKQEQLKRRQLEQLQRLMGEQEELLA
+LVSGQQTLPGLTPLPDDQSQKKYRPPGNSTTVEKTTPFLPSYIYQNQSQEKKHPSNILSS
+EQNDFCRTTHQDFVLTSRSGASPSVFYEAQCQEALVKKNDLKEENDNYSKGEGILPCLEK
+MTEQIQEGNDTNLKKIGDSSEVVNIEERPIKAAIRERKQTFEDYLEEQIRLEELERQQKQ
+LKEAEGSLLIKAKPKQPFLKRGEGLARFTNAKSKFQKGRESNLVTTQSISEDQPVFKSEK
+QQFQRKTALINKEICTENLPVKKNSKVRAKCRSLTLSQKPKVLKSNSRKSLSPSGLKMPA
+GKKCDGQFREQISLGKKVESNNKENVPECTKPCDVGGTIWNKARSKDRLPTSTGLISCMA
+SQNPASGSLKGSESSLDDSLQKKLENWEREKEKENLELDEFLFLEQAADEISFSSNSSFV
+LKILERDQQNCRGRRLSSTPVKSVREEKTATLGSVSQHNQNEDPGRAQCGSKAECEVAAR
+QRDSVFSPGASWAPSCEVRRRVYQTNPPERQARWSAGDGEGVTDSDHSTDLEEQLDVTIK
+PSSVGKERSSSSREDSPQVCDDKGPFRDTTTQEEEKRRDVDLDLSDKDYSSDDSLIIESL
+KNKLSDSSRRHSSISVNKIDFDDERTWTDLEDNSFKHDGVLGNEAIYGTPQTTCPNKSEM
+CVLDKTIKRKVVPVKKGEDLGKSSRGPSPPPTSGLMMEFFPSLKSKSKSHSRSGNDPKLD
+TSQDHPPGGNARSQVLREKIIELETEIEKFKAENASLAKLRTERESALEKLRKEIADFEQ
+QKAKELARIEEFKKEEMRKLQKERKVFEKYSTVARTFPDKKEREEIQVLKRQVSDLQEDL
+KRKEAKWSSTHGRLRSQIEMLVRENTDLREEIKVMERFRLDAWKKAEATESSARMGQCVT
+ASKKDGFLNSSVRFQKSQISSGTQVEKYKKNYLPTQGNPSQRSKSEPRDLGSSEKGQTAS
+PRELPEPVNFPDPDYKEEDEKEEIEGEITHPDGKIEKVYKNGCHVVLFPNGTRKEVSADG
+ETVTVTFFNGDIKQVMPDGRVVYYYAATQTAHTTFPEGLEVLHFSNGQIEKHFPDGRKEI
+TFPDRTIKNLFADGQEESIFPDGTVVRVQRDGNKIIEFNNGQRELHTAQFKRREYPDGTV
+KTVYTNGHQETKYTSGRVRMKDKDGNVLMDTKLS
+>tr|A0A452DI46|A0A452DI46_BOVIN Alpha-mannosidase OS=Bos taurus OX=9913 GN=MAN2B1 PE=3 SV=1
+MVGDARPSGVRAGGCRGAVGSRTSSRALRPPLPPLSSLFVLFLAAPCAWAAGYKTCPKVK
+PDMLNVHLVPHTHDDVGWLKTVDQYFYGIYNNIQPAGVQYILDSVISSLLANPTRRFIYV
+EIAFFSRWWRQQTNATQKIVRELVRQGRLEFANGGWVMNDEATTHYGAIIDQMTLGLRFL
+EETFGSDGRPRVAWHIDPFGHSREQASLFAQMGFDGFFFGRLDYQDKKVRKKTLQMEQVW
+RASTSLKPPTADLFTSVLPNMYNPPEGLCWDMLCADKPVVEDTRSPEYNAKELVRYFLKL
+ATDQGKLYRTKHTVMTMGSDFQYENANTWFKNLDKLIQLVNAQQRANGIRVNVLYSTPAC
+YLWELNKANLSWSVKKDDFFPYADGPYMFWTGYFSSRPALKRYERLSYNFLQVCNQLEAL
+AGPAANVGPYGSGDSAPLNEAMAVLQHHDAVSGTSRQHVANDYARQLSEGWRPCEVLMSN
+ALAHLSGLKEDFAFCRKLNISICPLTQTAERFQVIVYNPLGRKVDWMVRLPVSKHVYLVK
+DPGGKIVPSDVVTIPSSDSQELLFSALVPAVGFSIYSVSQMPNQRPQKSWSRDLVIQNEY
+LRARFDPNTGLLMELENLEQNLLLPVRQAFYWYNASTGNNLSSQASGAYIFRPNQNKPLF
+VSHWAQTHLVKVRGLRVGTWGQGVSPPCHPIGYRDGWGKEVISRFDTALATRGLFYTDSN
+GREILERRRNYRPTWKLNQTEPVAGNYYPVNSRIYITDGNMQLTVLTDRSQGGSSLRDGS
+LELMVHRRLLKDDARGVGEPLNKEGSGLWVRGRHLVLLDKKETAAARHRLQAEMEVLAPQ
+VVLAQGGGARYRLEKAPRTQFSGLRRELPPSVRLLTLARWGPETLLLRLEHQFAVGEDSG
+RNLSSPVTLDLTNLFSAFTITNLRETTLAANQLLAYASRLQWTTDTGPTPHPSPSRPVSA
+TITLQPMEIRTFLASVQWEEDG
+>tr|F1MZ74|F1MZ74_BOVIN TBC1 domain family member 22A OS=Bos taurus OX=9913 GN=TBC1D22A PE=4 SV=1
+MASDGARKQFWKRGSSKVPGSIQHVYGAQHPPFDPLVHGTLLTATPKVPTTPVKATRAST
+FQEFESSTSDAWDAGEDDDELLAMAAESLNTAVVMETAHRVLRNHSQRQGRPRPPGAPEP
+SAEPSVDPSAAPGGDLRLVKSISESHASCPEGSASDAAPLQRSQSLPHAATVALGGAPEP
+SALSTSALSEREASRLDKFKHLLAGPNTDLEELRKLSWSGIPKPVRAITWKLLSGYLPAN
+VDRRPATLQRKQKEYFAFIDHYYDSRNDEVHQDTYRQIHIDIPRMSPEALILQPKVTEIF
+ERILFIWAIRHPASGYVQGINDLVTPFFVVFICEYIDDEDADSADISRVPEDVLRNVEAD
+TYWCMSRLLDGIQDNYTFAQPGIQMKVKLLEELVSRIDEQVHRHLGQHEVRYLQFTFRWM
+NNLLTRELPLRCTVRLWDTYQSEPEGFANFHLYVCAAFLVRWRKEILEERDFQELLLFLQ
+NLPTAHWGDEDVSLLLAEAYRLKFAFADAPNHYKK
+>tr|A0A3Q1M2K6|A0A3Q1M2K6_BOVIN Rho related BTB domain containing 3 OS=Bos taurus OX=9913 GN=RHOBTB3 PE=4 SV=1
+MLPFFFFSKYDCRKQLYYMLTNDQAADLVKTLLVKAKKRGREKKGGWGGLLERPRGWIPW
+LPLPFFFPLVFREQGPQPPITTPRLPPTPFPGSAPVTAFRVEQTCCRRIGDRELSGRGVV
+FINLYSAAPRAGRSFVSGPPPPPLPGLGGEPQRGARPPRPPEDTPPPPPRPARAAAARPA
+ERGGGPASPPPAAEPEARGAAAGRPAGKARRPASLRGGGGGGPAAGTAGPPAPGCAPALP
+DWLAARTRPPELARPPALRRPRRAGGARGGGDAARDELIAGGRAWWWWGAETTPPPGPCR
+PRGADLSPRRRPAPGDWRSCTYASGARSGLQPRRVPCAPPRARGALARPSSPRAVPRAHQ
+APLLPPGLGRLRSIHIVALGNEGDAFHQDSRPSGLIRAYLGRSPLVSGDESSLLLSASGA
+AARPVFTEYQASAFGNVKLVVHDCPVWDIFDSDWYTSRNLIGGADIIVIKYNVNDKFSFH
+EVKDNYIPVIKRALNSVPVIIAAVGTRQNEELPCTCPLCTSDRGSCVSTTEGIQLAKELG
+ATYLELHSLDDFYIGKYFGGVLEYFMIQALNQKTSEKMKRRKMSNSFHGIRPPQLEQPEK
+MPVLKAEASHYNADLNNLLFCCQCVDVVFYSPDLKEVAEAHKIVLCAVSHVFMLLFSVKS
+PADIQDSSIIQTTQDLFTINRDPAFPGASQESLGNPPLRVIVKDAFFCSCLSDILRFIYS
+GAFQWEELEDDIRKKLKDSGDVSNVIEKVKCILKTPGKFNCLRNCKTYQARKPLWFYNTS
+LKFFLNKPMLADVVFEIQGTTVPAHRAILVARCEVMAAMFNGNYMEAKSVLIPVYGVSKE
+TFLSFLEYLYTDSCCPAGIFQAMCLLICAEMYQVSRLQHICELFIITQLQSMPSRELASM
+NLDIVDLLKKAKFHHSDCLSTWLLHFIATNYLIFSQKPEFQDLSVEERSFVEKHRWPSTM
+YLKQLAEYRKYIHSRKCRCLVM
+>tr|A0A3Q1NCB6|A0A3Q1NCB6_BOVIN Skp1_POZ domain-containing protein OS=Bos taurus OX=9913 PE=3 SV=1
+MPSIKLQSSDGEIFKVDIEIAKQSVTIKTTLEDLGMGDEGDDDPVPLTNVNAAILEKVVQ
+WCAHHKDDPPPPEDDENKGK
+>tr|A0A3Q1M7B1|A0A3Q1M7B1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MVTSFLLWQRRAGVLKTVLLEAGVFRGLTPTISLSTESGKNEKELPPNPKKQSPPKDTFK
+NLQHQDYGTYTFLAPSWIPSSTFVVSAFGVQPSASQGPVVINQAFY
+>tr|A0A3Q1MJM7|A0A3Q1MJM7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=MXRA7 PE=4 SV=1
+MGENWAEGGGVPILGKCDLKRSGTEKRLEEAEMETEAEQELDGEEGSPQAGPEEEDGGEA
+FSFKYSPGKLRGNQYKKMMTKEELEEEQRIELTSDLTSL
+>tr|A0A3Q1LLH5|A0A3Q1LLH5_BOVIN ATPase phospholipid transporting 8B3 OS=Bos taurus OX=9913 GN=ATP8B3 PE=4 SV=1
+MLCPSRSLQEGSRHAPTRRSSGGRACLKPQSKTAFNQYLAALTEPLYEGWFLALFNLLYS
+TLPVLYIGLFEQDVSAERSLELPELYIAGQKEELFNYWVILQAIAHGTATSLVNFFMTLW
+VSQNSAGPVSLSDYQSFAVVVALSFLVILITRYWTVLSVLAIFLSLCFYVVMTSLTQSMW
+LFKHSPKNFPFLYADLNVLTQPPIMLVILLNVSLNTLPMLAFRVIYQALKKPQRKEEVEK
+VTSEEIIAVEPVPCIRRESPARRSSYAFSHREGYADLITQGTILRRSPGVNSDMLVDHTM
+PPDEPSGSMKESSWYPRKMSFLGRKRHSHHGKVSSEDMQPPSEEKLTYSPESLPPTEMPL
+SALESQMTSVESQTLPSSQLSLQSQPAYLPQEKTSLWNIRKLSWKNWPYVWQKEPEPRRE
+GILPVSSSNLSAVMETVPPSAKGSSISEQPMEVEPSPVEREPSPMEWLPEPSGDLAAPNL
+TEQLPWPLGHQ
+>tr|A0A3Q1MUQ4|A0A3Q1MUQ4_BOVIN Ryanodine receptor 1 OS=Bos taurus OX=9913 GN=RYR1 PE=4 SV=1
+GEDDGSDGEESTCNDDEVVLQCNATVLKEQLKLCLAAEGFGNRLCFLEPTSNAQNVPPDL
+AICCFVLEQSLSVRALQEMLANTVEAGVEALNLDKWSSQGGGHRTLLYGHAILLRHAHSG
+MYLSCLTTSRSMTDKLAFDVGLQEDAIGEACWWTTHPASKQRSEGEKVRVGDDLILVSVS
+SERYLHLSTASGELQVDASFMQTLWNMNPICSGCEEGYVTGGHVLRLFHGHMDECLTISP
+ADSDDQRRLVYYEGGSVCTHARSLWRLEPLRISWSGSHLRWGQPLRIRHVTTGRYLALVE
+DQGLMVVDASKAHTKATSFCFRVSKEKLDTAPKRDVEGMGPPEIKYGESLCFVQHVASGL
+WLTYAAPDPKALRLGVLKKKAILHQEGHMDDALSLTRCQHEESQAARMIYSTAGLYNHFI
+KGLDSFSGKPRGSGSPAGTALPLEGVILSLQDLIGYFEPPSEELQHEEKQSKLRSLRNRQ
+SLFQEEGMLSLVLNCIDRLNVYTTAAHFAEFAGEEAAESWKEIVNLLYEILASLIRGNRA
+NCALFSNNLDWLVSKLDRLEASSGILEVLYCVLIESPEVLNIIQENHIKSIISLLDKHGR
+NHKVLDVLCSLCVCNGVAVRSNQDLITENLLPGRELLLQTNLINYVTSIRPNIFVGRAEG
+TTQYLKWYFEVMVDEVVPFLTAQATHLRVGWALTEGYSPYPGGGEGWGGNGVGDDLYSYG
+FDGLHLWTGHVPRLVTYPGQHLLAPEDVVSCCLDLSVPSISFRINGCPVQGVFEAFNLDG
+LFFPVVSFSAGVKVRFLLGGRHGEFKFLPPPGYAPCHEAVLPRERLHLEPIKEYRREGPR
+GPHLVGPSRCLSHTDFVPCPVDTVQIVLPPHLERIREKLAENIHELWALTRIEQGWTYGP
+VRDDNKRLHPCLVDFHSLPEPERNYNLQMSGETLKTLLALGCHVGMADEKAEDNLKKTKL
+PKTYMMSNGYKPAPLDLSHVRLTPAQTTLVDRLAENGHNVWARDRVAQGWSYSAVQDIPA
+RRNPRLVPYRLLDEATKRSNRDSLCQAVRTLLGYGYNIEPPDQEPSQVESQSRWDRVRIF
+RAEKSYVVQSGRWYFEFEAVTTGEMRVGWARPELRPDVELGADDLSYVFNGHRGQRWHLG
+SEPFGRPWQSGDVVGCMIDLTENTIIFTLNGEVLMSDSGSETAFRDIEIGDGFLPVCSLG
+PGQVGHLNLGQDVSSLRFFAICGLQEGFEPFAINMQRPVTTWFSKGLPQFEAVPPEHPHY
+EVTRVDGTVDTPPCLRLTHRTWGSQNSLVEMLFLRLSLPVQFHQHFRCTAGATPLAPPGL
+QPPAEDEARAAEPDPDYENLRRSAGHWAEAEGNKEGTAKEGTPGSPAQAGVEAQPARAEN
+EKDATTEKNKKRGFLFKAKKAAMMTQPPATPTLPRLPHEVVPADDRDDPEIILNTTTYYY
+SVRVFAGQEPSCVWVGWVTPDYHQHDMNFDLSKVRAVTVTMGDEQGNIHSSLKCSNCYMV
+WGGDFVSPGQQGRISHTDLVIGCLVDLATGLMTFTANGKESNTFFQVEPNTKLFPAVFVL
+PTHQNVIQFELGKQKNIMPLSAAMFLSERKNPTPQCPPRLEMQMLMPVSWSRMPNHFLQV
+ETRRAGERLGWAVQCQEPLTMMALHVPEENRCMDILELSERLDLQRFHSHTLRLYRAVCA
+LGNNRVAHALCSHVDQAQLLHALEDAHLPGPLRAGYYDLLISIHLESACRSRRSMLSEYI
+VPLTPETRAITLFPPGKRADNGPRRYGLPGVGVTTSLRPPHHFSAPCFVAALPAAGAAEA
+PARLSPSIPLEALRDKALRMLGEAVRDGGQHARDPVGGSVEFQFVPVLKLVSTLLVMGIF
+GDEDVKQILKMIEPEVFTEEEEEEEEEEEGEEEEEDEEEKEEDEEEEAREKEDEEKEEEE
+TAEGEKEDLEEGLLQMKLPESVKLQMCNLLEYFCDQELQHRVESLAAFAERYVDKLQANQ
+RDRYAILIKAFTMTAAETARRTREFRSPPQEQINMLLHFKTAEDEEDCPLPEEVRQDLLE
+FHRDLLTHCGIQLEGEEEEPEEEATLGSRLMSLLEKVRLVKKKEEKSEEEPPAEERKPQS
+LQELVSHTVVRWAQEDFVQSPELVRAMFSLLHRQYDGLGELLRALPRAYTISPSSVEDTM
+SLLECLGQIRSLLIVQMGPQEENLMIQSIGNIMNNKVFYQHPNLMRALGMHETVMEVMVN
+VLGGGESKEIRFPKMVTSCCRFLCYFCRISRQNQRSMFDHLSYLLENSGIGLGMQGSTPL
+DVAAASVIDNNELALALQEQDLEKVVSYLAGCGLQSCPMLLAKGYPDIGWNPCGGERYLD
+FLRFAVFVNGESVEENANVVVRLLIRKPECFGPALRGEGGSGLLATIEEAIRISEDPARD
+GPGVRRDRRREHFGEEPPEENRVHLGHAIMSFYAALIDLLGRCAPEMHLIQAGKGEALRI
+RAILRSLVPLDDLVGIISLPLQIPTLGKDGALVQPKMSASFVPDHKASMVLFLDRVYGIE
+NQDFLLHVLDVGFLPDMRAAASLDTATFSTTEMALALNRYLCLAVLPLITKCAPLFAGTE
+HRAIMVDSMLHTVYRLSRGRSLTKAQRDVIEECLMALCRYIRPSMLQHLLRRLVFDVPIL
+NEFAKMPLKLLTNHYERCWKYYCLPTGWANFGVTSEEELHLTRKLFWGIFDSLAHKKYDQ
+ELYRMAMPCLCAIAGALPPDYVDASYSSKAEKKATVDAEGNFDPRPVETLNVIIPEKLDS
+FINKFAEYTHEKWAFDKIQNNWSYGENIDEELKTHPMLRPYKTFSEKDKEIYRWPIKESL
+KAMIAWEWTIEKAREGEEEKTEKKKTRKISQSAQTYDAREGYNPQPPDLSGVTLSRELQA
+MAEQLAENYHNTWGRKKKQELEAKGGGTHPLLVPYDTLTAKEKARDREKAQELLKFLQMN
+GYAVTRGLKDMELDTSSIEKRFAFGFLQQLLRWMDISQEFIAHLEAVVSSGRVEKSPHEQ
+EIKFFAKILLPLINQYFTNHCLYFLSTPAKVLGSGGHASNKEKEMITRCAHLPPPGTDAP
+AVVNCLHILARSLDARWGHGTVMKSGPEIVKAGLRSFFESASEDIEKMVENLRLGKVSQA
+RTQVKGVGQNLTYTTVALLPVLTTLFQHIAQHQFGDDVILDDVQVSCYRTLCSIYSLGTT
+RNPYVEKLRPALGECLARLAAAMPVAFLEPQLNEYNACSVYTTKSPRERAILGLPNSVEE
+MCPDIPVLERLMADIGGLAESGARYTEMPHVIEITLPMLCSYLPRWWERGPEAPPPALPA
+GAPPPCTAVTSDHLNSLLGNILRIIVNNLGIDEATWMKRLAVFAQPIVSRARPELLHSHF
+IPTIGRLRKRAGKVVAEEEQLRLEAKAEAEEGELLVRDEFSVLCRDLYALYPLLIRYVDN
+NRAHWLTEPNPSAEELFRMVGEIFIYWSKSHNFKREEQNFVVQNEINNMSFLTADNKSKM
+AKSGGSDQERTKKKRRGDRYSVQTSLIVATLKKMLPIGLNMCAPTDQELITLAKTRYALK
+DTDEEVREYLQNNLHLQGKVEGSPSLRWQMALYRGLPGREEDADDPEKIVRRVQEVSAVL
+YHLEQIEHPYKSKKAVWHKLLSKQRRRAVVACFRMTPLYNLPTHRACNMFLESYKAAWIL
+TEDHSFEDRMIDDLSKAGEQEEEEEEVEEKKPDPLHQLVLHFSRTALTEKSKLDEDYLYI
+AYADIMAKVRPCPHLGNVGRTLLQEKEMEKQRLLYQQARLHTRGAAEMVLQMISACKGET
+GAMVSSTLKLGISILNGGNADVQQKMLDYLKDKKEVGFFQSIQALMQTCSVLDLNAFERQ
+NKAEGLGMVNEDGTVINRQNGEKVMADDEFTQDLFRFLQLLCEGHNNDFQNYLRTQTGNT
+TTINIIICTVDYLLRLQESISDFYWYYSGKDVIEEQGKRNFSKAMSVAKQVFNSLTEYIQ
+GPCTGNQQSLAHSRLWDAVVGFLHVFAHMMMKLAQVREHVWTRVPSEDSSQIELLKELLD
+LQKDMVVMLLSLLEGNVVNGMIARQMVDMLVESSSNVEMILKFFDMFLKLKDIVGSEAFQ
+DYVTDPRGLISKKDFQKAMDSQKQFTGPEIQFLLSCSEADENEMINCEEFANRFQEPARD
+IGFNVAVLLTNLSEHVPHDPRLRNFLELAESILEYFRPYLGRIEIMGASRRIERIYFEIS
+ETNRAQWEMPQVSRPMHFIFDVVNEGGESEKMELFVSFCEDTIFEMQIAAQISEPEGEPE
+EDEDEGVGAAEAGAESAEEGAAGPEGAAATAAAGATARLVAAANRAMRGLSYRSLRRRVR
+RLRRLTAREAATAVAALLWAVLARAGAAGAGAAAGALRLLWGSLFGGGLVEGAKKVTVTE
+LLAGMPDPTSDEVHGEQPAGSGGDADGEGAGEGAGDAAEGAGDEEVADQEDPGVADGTVA
+VADGGPFRPEGAGLGDMGDTTPAEPPTPEGSPIIKRKLGVRVHAFPGHLLTLCVLPCSAE
+NGEKEEVPETPPEPPKKAAPPPPPPKKEGAGGAGLEFWGELEVQRVKFLNYLSRNFYTLR
+FLALFLAFAINFILLFYKVSDSPPGEDDMEGSAAGGLSGAGSGGGSGWGSGAGEEAEGDE
+DENMVYYFLEESTGYMEPALRCLSLLHTLVAFLCIIGYNCLKVPLVIFKREKELARKLEF
+DGLYITEQPEDDDVKGQWDRLVGWGISMLPMQVLDKHGDIYGRERIAELLGMDLATLEIT
+AHNERKPEPPPGLLTWLMSIDVKYQIWKFGVIFTDNSFLYLGWYMVMSLLGHYNNFFFAA
+HLLDIAMGVKTLRTILSSVTHNGKQLVMTVGLLAVVVYLYTVVAFNFFRKFYNKSEDEDE
+PDMKCDDMMTCYLFHMYVGVRAGGGIGDEIEDPAGDEYELYRVVFDITFFFFVIVILLAI
+IQGLIIDAFGELRDQQEQVKEDMETKCFICGIGSDYFDTTPHGFETHTLEEHNLANYMFF
+LMYLINKDETEHTGQESYVWKMYQERCWDFFPAGDCFRKQYEDQLS
+>tr|A0A3Q1MK52|A0A3Q1MK52_BOVIN Trafficking protein particle complex 12 OS=Bos taurus OX=9913 GN=TRAPPC12 PE=4 SV=1
+MSSVAMENAEGASQHVPEQSAPAQGPAQSIAPQGPQGDQGQLLYHEETIDLGGDEFESED
+SNNFADKLDEPLMESVLISDSPNNSEDDAGDLGCLHDVGDPAEGSGDHRLGPSAEEGALG
+SLSDGGESDGGDTPQDVSDMTPDGRASLKEDPERSDVEDLPAFEHGGGEDPGPGAARVPM
+AGPPSGTPPRQPAPKDEPVPMCTIFSQPPPSAQPPALRDGFESQMVKSPSFSSASEAAPR
+TPPQVVQPSPSLSKFFGDPTGSSSLASDFFDSFTTSTFISVSNPNASPSIPESLSSLAAS
+PVGGSSPGSEETASSPGVQRSGSGVSTVPLEISQSPKPFSQIQAVFAGSEDPFATALSMS
+EMDRRSDAWIPGDATRNVLTSVATQQYGTVFVDKEDLTMPGLKFDNIQGDAVKDLMLRFL
+GEKAAAKRQVLTASSVEQSFVGLKQLISCKNWRAAVDLCGRLLTAHGQGYGKSGLPTSHT
+ADSLQLWFVRLALLVKLGLFQNAEMEFEPFGNLDQPDLYYEYYPHVYPGRRGSMVPFSMR
+ILHAELQQYLGNPQESLDRLHRVKAVCSKILANLEQGLAEDGTTSSIAQENRQASVQLWR
+SRLGRVTCSMANCLLLMKDYVLAVDAYRAVVQFHPEQEPQVLSCIGRIFLQIGDIKAAEK
+YFQDVEKVTQKLDGLQGKTMVLMNRAFLHLGQNNFAEAHRFFTEILRVDPSNAVANNNAA
+VCLLYLGRLKDSLRQLEAMAQRDPRQHLHESVLFNLTTMYELESSRSLQKKQALLEAVAS
+TEGDCFNTHCLKLA
+>tr|A0A3Q1MEH4|A0A3Q1MEH4_BOVIN Septin 5 OS=Bos taurus OX=9913 GN=SEPTIN5 PE=3 SV=1
+MDSLAAPQDRLVEPLLSPRTQAQRRLKDVDKQYVGFATLPNQVHRKSVKKGFDFTLMVAG
+ESGLGKSTLVHSLFLTDLYKERKLLSAEERISQTVEILKHTVDIEEKGVKLKLTIVDTPG
+FGDAVNNSECWKPITDYVDQQFEQYFRDESGLNRKNIQDNRVHCCLYFISPFGHGLRPVD
+VGFMKALHEKVNIVPLIAKADCLVPGEIRKLKERIREEIDKFGIHVYQFPECDSDEDEDF
+KQQDRELKESAPFAVIGSNTVVEAKGQRVRGRLYPWGIVEVENQAHCDFVKLRNMLIRTH
+MHDLKDVTCDVHYENYRAHCIQQMTSKLTQDSRMESPIPILPLPTPDAETEKLIRMKDEE
+LRRMQEMLQKMKQQMQDQ
+>tr|A0A452DHV6|A0A452DHV6_BOVIN Chromosome 11 C2orf50 homolog OS=Bos taurus OX=9913 GN=C11H2orf50 PE=4 SV=1
+MRESSLSSGRSLSLSLTHTHSYTLAPMGSRPTPGLQRTTSAEYRLPSTRPPASVPSTAPG
+GPVVGRGPTGGPQAPKAKWSALGADGVQRDQLWRELLEAERRSQQRWAQNWSFLKDYDPM
+GNKKEPVKLPDHVPRFSDTVPNSTNRAVGSRVDTPLGKTLIGLDFFFVEGARKKKLEEEL
+QPI
+>tr|A0A3Q1LPR6|A0A3Q1LPR6_BOVIN Threonine--tRNA ligase 2, cytoplasmic OS=Bos taurus OX=9913 GN=TARSL2 PE=3 SV=1
+MAAEALAAEAVASRLARQEEDIRWLWAEVHRLRDEQLNAPDRCQAEGPCLTREVAQLRAE
+NRELRHCLYRLRLCLAEELSHQARLESAARAEAGRAAAGAQPPPSQSLEEDVKKEIDPDN
+EVKNPPNFMKERLKFFEILKKDHQLLLAIYEKKGDSSNVITVRVADGRTVKGEAWKTTPY
+QVAAEISQELAETTVVAKVNGELWDLDRPLEGDATVELLTFEDEEARAVYWHSSAHVLGE
+ALELHYGGLLCCSPPGDGSFHYDVYLEDRAVSSAELPVLESMCQAIIKEQQPFERLEVST
+KVLLDLFKYNKFKCRILKEKVATPTTTVYRCGPLVELCKGPHVRHTGTIKVIKIFKNSLA
+YWADNPEMEMLQRVYGVSFPDEQRMAAWEELQEEARARDHRTIGKEQELFFFHDLSPGSC
+FFLPRGACIYNTLIDFIREQHHQRNFTEVLSPNMYSCKLWEASGHWQHYSENMFTFDIDK
+DTFALKPMNCPGHCLMFAHRPRSWREMPIRLADFGVLHRNEPSGALSGLTCVRRFQQDDA
+HIFCTVGQLEEEIKGCLQFLQSVYSTFGFSFQLNLSTRPENFLGKIELWDEAEKQLQNSL
+MEFGKPWKINPGEGAFYGPKIDLEIKDALGRYHQCATIQLDFQLPIRFNLTYVSKDGDDK
+KNPVIIHQAILGSVERMIAILSENYGGKWPFWLSPRQVMVIPVGPTCEKYALQISSDFFE
+EGFMADVDLDRGCTLNNKIQNAQLAQYNFIFVVGEKEETNNAVNVQTRDNKIYGEISVTS
+TIDKLKNLKQSRTLNAEEEF
+>tr|A0A3Q1N2C6|A0A3Q1N2C6_BOVIN RRM domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MTGKTQTSNVTNKNDPKSINSRVFIGNLNTAIVKKVDIEAIFSKYGKIVGCSVHKGYAFV
+QYMSERHARAAVAGENARIIAGQPLESSSQHSTQMSAEVLYTANTHISYPFNSEVTVHCN
+LLCSRNRKINVVLPLGLLQYRETF
+>tr|A0A3Q1M1Z3|A0A3Q1M1Z3_BOVIN X-ray repair cross complementing 4 OS=Bos taurus OX=9913 GN=XRCC4 PE=4 SV=1
+MERKVSRVCLASEPDIIHFLQVAWEKTLGSGFVITLTDGQSAWTGTVCESDISQEADDMA
+MEKEKYVDELRKALVSGAGPTDTYKFNFSKESHYFSFEKNLKDVSFRLGSFKLEKIASPA
+EVIRELICHCLDTIAESQAKNEHLQKENERLLRDWNDIQGRFEKCVSAKEAVETDLYKRF
+ILVLNEKKAKIRSLHKLLNEVQELEKNIEHKMETPTCSEMTTDRDAIYDESTDEDSEIPS
+NPSVLTPATVRRDDSIISSPDIPDIAPSRKRRQRMQKNLGTEPKVASQEQQLQEKEKPEF
+PEDFEASVHVYYDYLGH
+>tr|F1N510|F1N510_BOVIN Cbl proto-oncogene B OS=Bos taurus OX=9913 GN=CBLB PE=4 SV=2
+MANSMNGRNPGGRGGNPRKGRILGIIDAIQDAVGPPKQAAADRRTVEKTWKLMDKVVRLC
+QNPKLQLKNSPPYILDILPDTYQHLRLILSKYDDNQKLAQLSENEYFKIYIDSLMKKSKR
+AIRLFKEGKERMYEEQSQDRRNLTKLSLIFSHMLAEIKAIFPNGQFQGDNFRITKADAAE
+FWRKFFGDKTIVPWKVFRQCLHEVHQISSGLEAMALKSTIDLTCNDYISVFEFDIFTRLF
+QPWGSILRNWNFLAVTHPGYMAFLTYDEVKARLQKYSTKPGSYIFRLSCTRLGQWAIGYV
+TGDGNILQTIPHNKPLFQALIDGSREGFYLYPDGRSYNPDLTGLCEPTPHDHIKVTQEQY
+ELYCEMGSTFQLCKICAENDKDVKIEPCGHLMCTSCLTAWQESDGQGCPFCRCEIKGTEP
+IIVDPFDPRDEGSRCCSIIDPFGMPMLDLDDDDDREESLMMNRLANVRKCTDRQNSPVTS
+PGSSPLAQRRKPHPDPLQIPHLSLPPVPPRLDLIQKGIVRSPCGSPTGSPKSSPCMVRKQ
+DKPLPAPPPPLRDPPPPPPERPPPVPPDNRLSRHFHHVESVPSRDQPMPLEAWCPRDVLG
+TNQSVGCRLLGDGSPKPGITASSNANGRHSRMGSDPVLLRKHRRNDLPLEGAKVFSNGHL
+GSEEYDVPPRLSPPPPAATLLPSIKCTGPLANSLSEKTRDPVEEDDDEYKVPSSHPVSLS
+SQPSHCHNVKPPPRSCDNGHCILNGTHGTSSEMKKSNIPELGIYLKGDVFDSASDPVPLP
+PARPPTRDNPKHGSSLNRTPSDYDLLIPPLGEDAFDALPPSLPPPPPPARHSLIEHSKPP
+GSGSRPSSGQDLFLLPSDPFFDPASGQVPLPPARRLPGENVKSNRASQDYDPLPSSSGEL
+ANNTKNNTYICIVLFRAPFIHYII
+>tr|F1MZ03|F1MZ03_BOVIN ArfGAP with coiled-coil, ankyrin repeat and PH domains 2 OS=Bos taurus OX=9913 GN=ACAP2 PE=4 SV=2
+MRILLPTSTTWEDFSNDDSKLEYNVDAANGIVMEGYLFKRASNAFKTWNRRWFSIQNNQL
+VYQKKFKDNPTVVVEDLRLCTVKHCEDIERRFCFEVVSPTKSCMLQADSEKLRQAWIKAV
+QTSIATAYREKGDESEKLDKKSSPSTGSLDSGNESKDKLLKGESALQRVQCIPGNASCCD
+CGLADPRWASINLGITLCIECSGIHRSLGVHFSKVRSLTLDTWEPELLKLMCELGNDVIN
+RVYEANLEKMGIKKPHPGQRQEKEAYIRAKYVERKFVDKYSMSSSPPEQEKKIVSKSCEE
+KRLSISKLGPSEQIRASPQSSVVAVNSDEARRESLFCPDELDSLFSYFDTSSKLRSSKYY
+SCGAFQGSFLFICVCFSEAERQDSSVFLDSKHLNPGLQLYRASYEKNLPKMAEALAHGAD
+VNWSNSEENKATPLIQAVLGGSLVTCEFLLQNGANVNQRDVQGRGPLHHATVLGHTGQVC
+LFLKRGANQHATDEEGKDPLSIAVEAANADIVTLLRLARMNEEMRESEGLYGQPGDETYQ
+DIFRDFSQMASNNPEKLNRFQQDSQKF
+>tr|A0A3Q1LTT9|A0A3Q1LTT9_BOVIN Mitogen-activated protein kinase OS=Bos taurus OX=9913 GN=MAPK14 PE=4 SV=1
+LPTVQRFSGSFPTHPIDLSLSLSNREPSTCPTDHGGARAACVGCFSAPSRVRLRALKESN
+PWEFLPLGASCCSLLARITSPELSLHQPPLPRAGSILGKISARGEVWELFSREHGGSLLF
+AGSGKGDHCKLRGRCSWSCDPAAQPGVGWVWRECRESWAGRQRRAPERAPALRRASPAVG
+EARPAAAADRGRARARESSGSPQPHLRGRPAQDSLPGRAGYEELNKTIWEVPERYQNLSP
+VGSGAYGSVCAAFDTKTGLRVAVKKLSRPFQSIIHAKRTYRELRLLKHMKHENVIGLLDV
+FTPARSLEEFNDVYLVTHLMGADLNNIVKCQKLTDDHVQFLIYQILRGLKYIHSADIIHR
+DLKPSNLAVNEDCELKILDFGLARHTDDEMTGYVATRWYRAPEIMLNWMHYNQTVDIWSV
+GCIMAELLTGRTLFPGTDHIDQLKLILRLVGTPGAELLKKISSESARNYIQSLTQMPKMN
+FANVFIGANPLAVDLLEKMLVLDSDKRITAAQALAHAYFAQYHDPDDEPVADPYDQSFES
+RDLLIDEWKSLTYDEVISFVPPPLDQEEMES
+>tr|F1MMX9|F1MMX9_BOVIN Homocysteine inducible ER protein with ubiquitin like domain 1 OS=Bos taurus OX=9913 GN=HERPUD1 PE=4 SV=3
+MGQVVFAPCCQEGGSRPEDQRLIYSGKLLLDHQCLRDLLPKQEKRHVMHLVCNVKSTSKM
+PEAGAKVAESTEQPTGLNQGQSPGDSSSDGLRQREVLRNPSPSGWESISRPEAAQPAFQG
+LGPGFSGYTTYGWLQLSWFQQMYARQYYMQYLAATAASGAFVPAPSAQEIPVVTAPTPAP
+IHNQFPAENQPANQNAAPQVVVNPGANQNLRMNAQGGPIVEEDDEINRDWLDWTYSAATF
+SVFLSILYFYSSLSRFLMVMGATVVMYLHHVGWFPFRQRPVQNFPNDGGPQEAVNQDPNN
+NFQGDPDPESEDPSHLPPDRDVRGDEQTSPSFMSTAWLVFKTFFASLLPEGPPAIAN
+>tr|F1MVE4|F1MVE4_BOVIN CNKSR family member 3 OS=Bos taurus OX=9913 GN=CNKSR3 PE=4 SV=2
+MTGLDDCLQQYVHKFEREKINGEQLLQISHQDLEELGVTRIGHQELVLEAVDLLCALNYG
+LETDNMKNLVLKLRASSHNLQNYISSRRKSPAYDGNTSHKPPNEFLTSVVELIGAAKALL
+AWLDRAPFTGITDFSVTKNKIIQLCLDLTTTVQKDCLVAEMEDKVLAVVKVLNGICDKTI
+RSTTDPVMSQCACLEEVHLPNIKPGEGLGMYIKSTYDGLHVITGTTENSPADRSQKIHAG
+DEVIQVNQQTVVGWQLKNLVRKLRENPTGVVLLLKKRPTSSFNFTPAPLKNLRWKPPLVQ
+TSPPTTTTQSPDSTMDASLKKEKPAILDLYIPPPPSVPYSPREENGGSVYGFSKCKQPQP
+GPKGSESPNSFLDQESRRRRFTIADSDQLPGYSVETNILPAKMREKTPSYGKPRPLSMPA
+DASWMGIVDPFARPRGHGRKSEDALCRYFSNERIPPILEESSSPTYRFSRPGTERQLVRG
+ADYIRGSRCYITSDLHSSATIPFPEEGTKKKAGSSAAKSSSPEPSLLVSWLTRLKLLTH
+>tr|E1BKN8|E1BKN8_BOVIN Suppressor of cytokine signaling 6 OS=Bos taurus OX=9913 GN=SOCS6 PE=4 SV=3
+MKKISLKTFRKSFNLSKSKEDAEFMVVQQPALAGDFGKEESLFGSCYGKEMAGCELHGED
+EKGGGGKNRAKSESLMGTLKRRLSAKQKAKGKGGAAAGGAADEDTFSSSSAPLVRVKAPA
+PVAGDLRLRLGEHVPVVLGLLPQDYLPYTVPLDGSSPMEVSAVPPPPAAGGPCPARRDED
+AGRPAPELFGDPPVGGLLAGPAAGVLPSPRAGPDDGPPLSPLLPPLQTDPSQRTFTGLAG
+PDAHAADSVRCHLNFDPTSAPGVARVYDSVQSSGPLAVTSLTEELKKLAKQGWYWGPITR
+WEAEGKLANVPDGSFLVRDSSDDRYLLSLSFRSHGKTLHTRIEHSNGRFSFYEQPDVEGH
+TSIVDLIEHSIRDSENGAFCYSRSRLPGSATYPVRLTNPVSRFMQVRSLQYLCRFVIRQY
+TRIDLIQKLPLPNKMKDYLQEKHY
+>tr|E1BLC8|E1BLC8_BOVIN Eukaryotic translation initiation factor 4 gamma 3 OS=Bos taurus OX=9913 GN=EIF4G3 PE=4 SV=3
+MNSQPQTRSPFFQRPQIQPPRATIPNSSPSIRPGAQTPTAVYQANQHIMMVNHLPMPYPV
+PQGPQYCIPQYRHSGPPYVGPPQQYPVQPPGPGPFYPGPGPGDFPSAYGTPFYPSQPVYQ
+SAPIIVPTQQQPPPAKREKKTIRIRDPNQGGKDITEEIMSGGGSRNPTPPIGRPTSTPTP
+PQLPSQVPEHSPVVYGTVESAHLAASTPVTAASDQKQEEKPKPDPVLKTPSPVLRLALSG
+EKKEQAGPTSEITAVESIPELPLPPSPTAISPLARSTITSPTSVALSSQPIFTTAIDDRC
+ELSSSKEDTIPIPSPTSCTEASDPLPTDEIDDDICKKSCSVAPNDIPLISSTNLINEMNG
+VNEKLPATESIVEIVKQEVLPLTLELEILENPPEEIKVECVPTPITPSIVPSSSPSPPAP
+PASPSPTPVIVPAAATSVNTGRGSTGVQRAFEEGENIRTCLSEDAKEIQNKVEVEADGQT
+EEIVDSQNLSSRKSPVPAQTAIPAPKMWKKPKDRTRATEESLEAESEPKAEEELSGDKIL
+ESEQDKMSQGFHPERDPSDLKNVKTVEENGEEAEPVRNGAESISEGEGIDANSGSTDSSG
+EGVTFPFKSESWKPPDSEGKKQYDREFLLDFQFMPACIQKPEGLPPISDVVLDKLLNVGA
+RRSQPGQRREPRKIITVSVKEDVHLKKAENAWKPSQRRDSPAEDPENIKTQELFRKVRSI
+LNKLTPQMFNQLMKQVSGLTVDTEERLKGVIDLVFEKAIDEPSFSVAYANMCRCLVTLKV
+PMADKPGNTVNFRKLLLNRCQKEFEKDKADDDVFEKKQKELEAASAPEERTRLHDELEEA
+KDKARRRSIGNIKFIGELFKLKMLTEAIMHDCVVKLLKNHDEESLECLCRLLTTIGKDLD
+FEKAKPRMDQYFNQMEKIVKERKTSSRIRFMLQDVIDLRLCNWVSRRADQGPKTIEQIHK
+EAKIEEQEEQRKVQQLMTKEKRRPGVQRVDEGGWNTVQGAKNSRVLDPSKFLKITKPTID
+EKIQLVPKAQLGSWGKGSSGGAKASEADALRSSASSLNRFSALQPPAPSGSASSTPLEFD
+SRRTLTSRGSTGREKNDKPLQPAAARPNTFMRGGSSKDLLDNQSQEEQRREMLETVKQLT
+GGMDVERSSAEAERSRTREPVTKPEIPVTSASDKPALSEEEMERKSKSIIDEFLHINDFK
+EAMQCVEELNAQGLQHVFVRTGVESTLERSQITRDHMGQLLYQLVQSEKLSKQDFFRGFS
+ETLELADDMAIDIPHIWLYLAELVTPMLKEGGISMRQLITEFCKPLLPVGRAGILISEIL
+HLLCKQMSHKKVGALWREADLSWKDFLPEGEDVHNFLLEQKLDFLESDSSCSSEALLKKE
+LSAEELYKRLEKLIIEDKANDEQIFDWVEANLDESQMSSPTFLRALMTAVCKAAIIADCS
+TFRVDTAVIKQRVPILLKYLDSDTEKELQALYALQASIVKLDQPANLLRMFFDCLYDEEV
+ISEDAFYKWESSKDPAEQNGKGVALKSVTAFFTWLREAEEESEDN
+>tr|G3X7H8|G3X7H8_BOVIN SERPIN domain-containing protein OS=Bos taurus OX=9913 GN=LOC617406 PE=3 SV=2
+MDALSEANGTFALTLLKKLGEGNSKNVLIAPLSISSALAMVLLGARGNTAAQMCQTLSLN
+KSSGGGEDVHQGFQNLLCEVNRTDTRYLLRTANRLFGEKTYNFLSSFKDSCRKFYQAEME
+ELDFVCATEESRKHINTWVAEKTEGKIRDLLSANSVYPMTCLVLVNAIYFKGNWDKQFYK
+VHTKERPFQVSKNVQKPVQMMFRKSTFKMTYIAEICTQILVLPYVGQELNMVILLPRERT
+DLNTVEKALTYEKFVVWTKPDMLAEEEVEVFLPRFTLEESYDMECVLRDLGMTDGFNTAR
+ADFIGLSCQPGLHLSKVVHKPFVEVTEEGTEAVATSEARIRGLSLRTVPRFCANRPFLFF
+IQHSSTGAILFCGRFCSP
+>tr|E1BJ69|E1BJ69_BOVIN Kinetochore localized astrin (SPAG5) binding protein OS=Bos taurus OX=9913 GN=KNSTRN PE=4 SV=2
+MTSVVKTVYTLQPSCVLSSGLPADAQTRATFKSQLPVKSKEVDVSRPHSGASENDVTKII
+KPRRENGQVKATDTSRRNLRKSYKPLSKQKSEEELKDKNQLLEAVNKQLHQKLIETQGEL
+KDLTQKVELLEKFQDNCLAILESKGLNPGSETLASQQDSTADHVDSMLLLQTLQDELKLF
+NETAKKQMKELQALKVKLKMKEEERAQFLEQQTLCSNQVNDFTTALEEMEQLLEI
+>tr|F1ML49|F1ML49_BOVIN KIAA0319 like OS=Bos taurus OX=9913 GN=KIAA0319L PE=4 SV=2
+MEKLGIKPSRASWLLSRFCWQTSVKQLKSLYLFYFCFCFSALWLSTDAKESRCQRVKTQF
+GVGLRSRGENHLRLLEGTPSLQSCWAACCQDSACHAFWWLEGMCLQADCSRPQSCQAFRT
+DSSSSMLVFFKKFQIEDDLDFLPEDDVPHILGLDWSRASWRRESPPQTPLRPTLSSDQWS
+LIRKLRKRENPSEEVAAVTQPSKTNELGDLNSTGSAEVHSTDTIFNPLTTDLSVKIPGRS
+DVSVQREASEDPGATPSIQQVKSPEKVQIAHPLPVAPSYSYATPTPQASFQSTSTPLPVI
+KELVVSAGNSVQITLPKNEVQLNAFVLPEPDAGEAYTYDWQLITHPRDYSGEMEGEHSKI
+LKLSKLTPGLYEFKVIVDGQNAHGEGYVNVTVKPEPRKNRPPVAIVSPQYQEISLPTTST
+VIDGSQSTDDDKIVQYHWEELKGPLREKKISEDTAILKLSKLVPGNYTFSLTVVDSDGAT
+NSTTARLTVNKAVDYPPVANAGPNQVITLPQNSITLFGNQSTDDHGITSYEWSLSPNSKG
+KVMEMQGVRTPTLQLSAMQEGDYTYQLTVTDTVGQQATAQVTVIVQPENNKPPQADAGPD
+KELTLPVDSTTLDGSKSSDDQKIISYLWEKTQGPDGVQLENANSSVATVTGLQVGTYVFT
+LTVKDERNLQSQSSVNVIVKEEMNKAPVAKIAGNVVITLPTDTAELDGSKSSDDKGIVSY
+LWTRDEGSPAAGEVLNRSDHHPVLFLSNLVEGTYTFHLKVTDAKGESDVDRTTVEVKPDP
+RKNSLVELILDVNVSQLTERLKGMFIRQIGVLLGVLDSDIIVQKIQPYTEQSTKMVFFVQ
+NEPPHQIFKGHEVAAMLKSELQKQKADFLIFRALEISTVTCQLNCSDHGHCDSFTKRCVC
+DPFWMENFIKVQLRDGDSNCEWSVLYVIIASFLIVVALGILSWTVICCCKRQKGKPKRKS
+KYKILDATDQESLELKPTSRAGVKQKGPALSSSLMHSESELDSDDAIFTWPDREKGKLLH
+GQNGSVPNGQTPLKVRSPREEIL
+>tr|E1BQ05|E1BQ05_BOVIN XK-related protein OS=Bos taurus OX=9913 GN=XKR7 PE=3 SV=1
+MAAKSDGAVAAAGPGPEEAAGGARGSAGGRGEAAEVAGGPGVTGAGGPGPRYELRDCCWV
+LCALLVFFSDGATDLWLAASYYLEGQHTYFGLTLLFVLLPSLVVQLLSFRWFVYDYTEPA
+GAPGPAVSTKDSGTGGPVISTKDSAVAFRTKEGSPELGSRPAPSSASTYRRRCCRLCIWL
+LQTLVHLLQLGQVWRYLRAMYLGLQSRWRGERLRRHFYWRMLFESADVSMLRLLETFLRS
+APQLVLQLSLVVHEGGAPKLLPALSISASLVSLAWTLASYQKVLRDSRDDKRPLSYKGAV
+AQVLWHLFAIAARSLAFALFASVYKLYFGIFIVAHWCVMTFWVIQGETDFCMSKWEEIIY
+NMVVGIIYIFCWFNVKEGRSRHRVTLYYCIVLLENAALTGFWYSSRNFSTDFHSLILVCV
+VASSFALGVFFMCVYYCLLHPNGPMLSPQAPGCIFRKAPGPCGPPADAVTSPPRSLPRTT
+GPERDGASVGGERAGTPTPPVFQVRPGLPPTPVARTLRTEGPVIRIDLPRKKYPAWDAHF
+IDRRLRKTILALEYSSPTTPRLQYRSVGISQELLEYETTV
+>tr|A0A3Q1ML96|A0A3Q1ML96_BOVIN G_PROTEIN_RECEP_F1_2 domain-containing protein OS=Bos taurus OX=9913 GN=LOC785080 PE=3 SV=1
+MSSNSSPTAAVQLCYEHLNGSCVKTPYSPASRVILYMVYGFGAVLAVFGNLLVMTAILHF
+KQLHSPTNFLIASLACADFLVGVTVMPFSMVRSVESCWYFGQTFCTFHTCFDAAFCYSSL
+FHLSFISIDRYIAVTDPLVYPTKFTVSVSCICISISWILPLTYSGAVFYTGANEKGLEEL
+SSALNCAGGCQMVVNQNWVLIDFLSFFIPTLVMIILYSNIFLVARQQAKKIENTSSKTDS
+SSDSYKSRVAKRERKAAKTLGITVIAFMISWLPYSIDSLIDAFMGFITPAYIYEICCWCA
+YYNSAMNPLIYALFYPWFRKAIQVIVSGRVFKDSSGSMNLSSEQM
+>tr|F1MQ07|F1MQ07_BOVIN Derlin OS=Bos taurus OX=9913 GN=DERL1 PE=3 SV=3
+MSDIGDWFRSIPTITRYWFAATVAVPLVGKLGLISPAYFFLWPEAFLYRFQIWRPITATF
+YFPVGPGTGFLYLVNLYFLYQYSTRLETGAFDGRPADYLFMLLFNWICIVVSFHLLFGLL
+MIPLIMSVLYVWAQLNRDMIVSFWFGTRFKACYLPWVILGFNYIIGGSVINELIGNLVGH
+LYFFLMFRYPMDLGGRNFLSTPQFLYRWLPSRRGGVSGFGVPPASMRRAADQNGGGGRHN
+WGQGFRLGDQ
+>tr|A0A3Q1LJK6|A0A3Q1LJK6_BOVIN Actin binding LIM protein family member 3 OS=Bos taurus OX=9913 GN=ABLIM3 PE=4 SV=1
+MDTSLIPYQQNPYSPRGSSNVIQCYRCGDTCKGEVVRVHNNHFHIRCFTCQVCGCGLAQS
+GFFFKNQEYICTQDYQQLYGTRCDSCRDFITGEVISALGRTYHPKCFVCSLCKKPFPIGD
+KVTFSGKECLCQTCSQSMTSSKPIKIRGPSHCAGCKEEIKHGQSLLALDKQWHVSCFKCQ
+TCSVILTGEYISKDGVPYCESDYHSQFGIKCETCDRYISGRVLEAGGKHYHPTCARCVRC
+HQMFTEGEEMYLTGSEVWHPICKQAARAEKKLKHRRTSETSISPPGSSIGSPNRVICAKV
+DNEILNYKDLAALPKVKSIYEVQRPDLISYEPHSRYTSDEMLERCGYGESLGTLSPYSQD
+IYENLDLRQRRASSPGYIDSPTYSRQGMSPTFSRSPHHYYRSGPESGRSSPYHSQLDVRS
+STPTSYQAPKHFHIPAGESNIYRKPPIYKRHGDLSTATKSKTSEDISQASKYSPAYSPDP
+YYATESEYWTYHGSPKVPRARRFSSGGEEDDFDRSMHKLQSGIGRLILKEEMKARSSSYA
+DPWTPPRSSTSSREALHTAGYDVSLNGSPRSHYLADSDPLISKSASLPAYRRNGLHRTPS
+ADLFHYDSMNAVNWGMREYKIYPYELLLVTTRGRNRLPKDVDRTRLERHLSQEEFYQVFG
+MTISEFDRLALWKRNELKKQARLF
+>tr|A0A3Q1MVI0|A0A3Q1MVI0_BOVIN SAM domain-containing protein OS=Bos taurus OX=9913 GN=SAMD11 PE=4 SV=1
+MSKGILQVHPPICDCPGCRISSPVNRGRLADKRTVALPPARILKKELTPSFSASDGDSDG
+SGPACGQRLGLKQEDEPHVHIMKRRVHTHWDVNISFRETSCSQDSDLPTLISSVHRSRHL
+VMPEHQSRCEFQRGSVEIGLGAAGDLLGKRLGRSPHISSDCPLEKKARSKSPQETLLLPE
+LGPSMAPEDHYRRLVSALSEASTFEDPQRLYHLGLPSHDLLRVRQEVAAAAARSPSGLEV
+HLPSSTAGYGFLPPAQAEMFARQQELLRKQNLARLEMSAELLRQKELESSHRPQLLAPEA
+ALRPPDGAEELQRRGAMLVLRHSSAPLLALPPQGPPGPGPPTPPREPARRAPRKGGPSPA
+SGRPNESKETTGAGLWAPDGSEDEPPKDSDGEDSEMVAAGGQAPHPGQAPPGGASSEGKG
+LLSGSMLPPPLPLGLPYTVSPFFHTGTMGGLFMDGEEATAPEDVSKWTVDDVCSFVGGLS
+GCGEYAPVFREQGIDGETLPLLTEEHLLTTMGLKLGPALKIRAQVAKRLGRVFYMASFPV
+ALPLQPPTARPPERELSSGEQPLSPALAPSPYGGVHPPASRTSPKHENGTMTLLPGPADP
+SQSLC
+>tr|F1N524|F1N524_BOVIN Meiosis specific with coiled-coil domain OS=Bos taurus OX=9913 GN=MEIOC PE=4 SV=2
+MEVSCGDPRPPSHPPGLREGLEPKVAFRGGANRCWNLSADASSRLTDVFNSVVLTGSPSF
+YDCYKSQNEDSVDLRQTYAPLSSSTEYASSVDSSLFYAPWSTYGDDIKQPSNSQINVKNR
+IQTERNDYGSETDLYGLVSNILEEQDKSQPYFAEGTCSSNLKSVWPMNTSRFADHHDLLT
+ETKRPVDTAISQQAFYTGESVSAVEKQYLHNSNLIPQQKIDELYHGFTGLDLEEQWMYPS
+RNDHSNCYNIQPNDTAKTAFQEYPFIKNCFTPQTGLSDIMKESGVDTYSYGREKICAKGL
+EAPLQQKRAEIFLSQFNRYSENPDYCRYPEYAHPNKAKLSKCSNFSVQDSKKLASATPET
+PTVEADTYTKLFQVKPANQKKMEETIPDQQNFTFPKTTPHLTEKQFGKEAAFTADFGLKS
+EYGLKPHTTCSANNDFANVTEKQQFTKPDPPNSEYFKSVNLLANSATSSGGINLNRPTWM
+NVQTKNNTPIFYRNQGNLMKLNSHLSAASKGSNHSSDFPQLSSTNLTPNSNLFQKYCQEN
+PSAFSSFDFGYSGAERIQSINHMEGLTKTGEENLFESVTDKKIKQPNGFCDNYSAQQYGI
+IESVNKHNFQAKPQSGHYDPEEGPKHLDGLSQNTYQDLLESQGHFNSHRQGSGDNNINSR
+VNRTQASCFSNNYMMGDLRHNQSFQQLGSSGFPLRSTHPFGHSVVPLLDSYDLFSYDDLS
+HLYPYFNDMMYGDNSFSGFVPTFGFQRPIKTRSGPASELHIRLEECYEQWRALEKERKKT
+ELALAKNYPGKKVSSTNNTPIPRLTSNPSRVDRLIVDELREQARVVTLLGKMERLRSSPL
+HANISTALDRHLESIHIVQSRRKDEIVNASNRQRQGVPRCQDDRDVFALASAIKEMCVAT
+RKARTTLWCALQMTLPKTASTAGQTDVEKALQDIVNCEDKVHESLNSSNPVNQRGEANKH
+>tr|A0A3Q1MLM5|A0A3Q1MLM5_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MNKASGGDGIPVELFQILKDDAVKVLHSICQQIWKTQQWPQDWKRSVFIPIPKKGNAKKC
+SNYRTIALISHASKVMLKILQARLQQYVNRELPDVQAGFRKGRGTRDQIANIRWIMEKAR
+EFQKNIYFCFIDYAKAFDCVDHNKLWKILKEMGIPDHLTCLSRNLYAGQEATVRTGHGTT
+DWFQIGKGVCQGCILLPCLFNFYAEYIMRNAGLEEAQAGIKIAGRNINHLRYADDTTLMA
+ESEEELKSLLMKVKVESEKVGLKLNIQKTKIMASGPITSWEIDGETVETVSDFIFLGSKI
+TVDGDCSHEIKRRSLLGRKVMTNLDSIFKSRDITLPTKST
+>tr|A0A3Q1LK30|A0A3Q1LK30_BOVIN Menin OS=Bos taurus OX=9913 GN=MEN1 PE=4 SV=1
+MGLKAAQKTLFPLRSIDDVVRLFAAELGREEPDLVLLSLIRGAVDLSLYPREGGVSSREL
+VKKVSDVIWNSLSRSYFKDRAHIQSLFSFITGTKLDSSGVAFAVVGACQALGLRDVHLAL
+SEDHAWVVFGPNGEQTAEVTWHGKGNEDRRGQTVNAGVAERSWLYLKGSYMRCDRKMEVA
+FMVCAINPSIDLHTDSLELLQLQQKLLWLLYDLGHLERYPMALGNLADLEELEPTPGRPD
+PLTLYHKGIASAKTYYRDEHIYPYMYLAGYHCRNRNVREALQAWADTATVIQDYNYCRED
+EEIYKEFFEVANDVIPNLLKEAASLLEAGEERPGEQTQGTQSQGSALQDPECFAHLLRFY
+DGICKWEEGSPTPVLHVGWATFLVQSLGRFEGQVRQKVRIVSREAEAAEAEEPWGEEARE
+GRRRGPRRESKPEEPPPPKKPALDKGPGAGQGAVPGPPRKPPGTVPGTARGAEGGSAAPV
+PAPAASPPPEGPVLTFQSEKMKGMKELLVATKINSSAIKLQLTAQSQVQMKKQKVSTPSD
+YTLSFLKRQRKGL
+>tr|A0A3Q1MBR1|A0A3Q1MBR1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=DISC1 PE=4 SV=1
+MLGGGPQGAPAGGGRGHRAGSQDCSPPAASFRRRRLARRPGYMRSAAGPRIGFLSPPVGA
+PFRAQGGACGKESHHLESRAGPCGLDPGGQWRGRSVGSLIPRSTATSALTVGHRGPALTA
+AKGSPGLLGSQPRAGTALPNRLSRLCGPRATGCRGELPSTDTREAPSPCREAWNKGCPSP
+EDMSDTSCSLGSGPQAPAIPASSQDVFTSSFSFIRLSLGSAGERGEAEGCPPSREAEGPH
+QSLEEMEAKAASSGGPHDHPRLLSLPFTLKASQGLADATQTSGGSRGLECQTLPLLDTDA
+ASSCSLDPLWFEASSSADAQRWDPLLSRCEPALLHCLQGQRRRLEVKSLRLKLQKLQDKA
+IEEDDYDKAEMIQQRLEALEKERSSLHFQLPSQQPALSALLGHLGAQAQASLRWAAQRAG
+GDDPQARLRMDPKTLEAAAQDSLRVSITRRDWLLREKQQLQKEIETLQARMSVLEAKDQQ
+LRREIEEQERLLPWQGCDLVGGLSLGELQEVTKTLQDTLALAEQIPLHAEPPETIRSLQE
+RIKSLSLSLKEITAKVCMSERLCSALRKKVNDIETQLPALFEAKMLAISGNHFYTAKELT
+EEIRSLTLEREALEGLLHKLLVLSSRNVQKLGSVKEDYSRLRQELDQGRAAYETSVKVNT
+MKYMEMLEEKLHSCKCPLLGKVWEADLEACRLLIQSLQLQEARGSLFADERQTDDLGGGT
+YTMALATPLRSHLEDGRKNPLQAFEEWTVHLTPSPHCASSEQKEESYIFSAELGEKCETI
+GKKLLYLEDQLHVAIHSHDEDLIHILLILQMEHLLGYFVKESLKKLHSFPMPQQMETNRY
+SWYLEFNTPLISEIIQYVMFSL
+>tr|A0A3Q1LTR2|A0A3Q1LTR2_BOVIN Spire type actin nucleation factor 1 OS=Bos taurus OX=9913 GN=SPIRE1 PE=4 SV=1
+MANTVEADGSNDEGYEAADEGLEEDDDVKRKVSAIRSYKDVMKLCAAHLPTEAEAPNHYQ
+AVCRALFAETMELHTFLTKIKSAKENLKKIQEMEKSDESNTDLEELKNADWARFWVQVMR
+DLRNGVKLKKVQERQYNPLPIEYQLTPYEMLMDDIRSRRYTLRKVMVNGDIPPRLKKSAH
+EIILDFIRSRPPLNPASARKLKPTPPRPRSLHERILEEIKAERKLRPVSPEETRRSRLEV
+TTAESPKNVAESSMVNGGVASQPREGGSGAAQQVPVPRKKLLKAPTLAELDSSDSEEETL
+PRSASSSSASPSFLEDPFLETVSTRKNPPKFLPISSTPQPERRQPPQRRHSIEKETPTNV
+RQFLPASRQSSRSLEEFCYPVECLALTVEEVMHIRQVLVKAELEKYQQYKDVYTALKKGK
+LCFCCRTRRFSFFTWSYTCQFCKRPVCSQCCKKMRLPSKPYSTLPIFSLGPSALQRGESC
+MRPEKPSTGHHRPLRSIARLSSKSKSVDKSDEELQFPKELVEDWSAMEVCVDCKKFISEI
+ISSSRHSLVLANKRARLKRKTQSFYMSSAGPSEYCPSERTISEV
+>tr|F1MUT6|F1MUT6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=DDX19B PE=4 SV=1
+MAGAAGRVRGRALGRFRLALPVRDLSNLHLKEEKIKPDANGAVVKTNANAEKADEEEKED
+RAAQSLLNKLIRSNLVDNTNQVEVLQRDPNSPLYSVKSFEELRLKPQLLQGVYAMGFNRP
+SKIQENALPLMLAEPPQNLIAQSQSGTGKTAAFVLAMLSQVEPANRHPQCLCLSPTYELA
+LQTGKVIEQMGKFYPELKLAYAVRGNKLERGQKISEHIVIGTPGTVLDWCSKLKFIDPKK
+IKVFVLDEADVMIATQGHQDQSIRIQRMLPRNCQMLLFSATFEDSVWKFAQKVVPDPNII
+KLKREEETLDTIKQYYVLCNSRDEKFQALCNIYGAITIAQAMIFCHTRKTASWLAAELSK
+EGHQVALLSGEMVVEQRAAVIERFREGKEKVLVTTNVCARGIDVEQVSVVINFDLPVDKD
+GNPDNETYLHRIGRTGRFGKRGLAVNMVDSKHSMNILNRIQEHFNKKIERLDTDDLDEIE
+KIAN
+>tr|A0A3Q1M782|A0A3Q1M782_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MSIESVMPSSHLILCRPLFLLPPIPPSIRVFSNESTLRIRWPKYWSFSFSIIPSKEIPGL
+ISFRIDWLDLLAVQGTLKSLLQHHSSKASILRRSAFFTVQLSHPYMTTGKTIALTRQTFV
+GKVMSLLLNMPSRLVITFLQRSKCLLISWLQSPSAVILELRKIKSDTVSTVFPSISYEVM
+VGILDHLTCLLRNLNAGQEATVKTGHGTTDWFQIGKGVRQGCILSPCLFNLYAEYIMRNA
+GLEEAQAEIKIAGRNINHLRYADDTTLMAESEEELKSLLMKVKVESEKVGLKLNIQKTKI
+MASGPITSWEIDGETVETVSDFIFGGSRIPADGDCSHELINKTESDSQKITELPKWGGRI
+RRLGLTYTPIIYKTDNQEEPIVPHRELYSVLCNNLYGNRI
+>tr|A0A3Q1LR80|A0A3Q1LR80_BOVIN La ribonucleoprotein domain family member 4B OS=Bos taurus OX=9913 GN=LARP4B PE=4 SV=1
+MGCCFRKELSSDNDNEKIGLLQKSVEEKEPESKISKTLSSLFSAFGDEALPGGGRRASVW
+AGVLARLERRQGPAPGWLLDSSPTSGLFTGSGHIGEADGNPDVTTMGHGGEGARGPPCGG
+LPDGEGPCCPAPAPPDQGLGHHPRCHCPATWESSEVGNKVLVTVHIGSAADSSDLSGEER
+AGGGLVCGDCKRYRNSRESRFYSICAVDPDGPEDGGELCAHACETATAPQSRPAVTSEGA
+HRGAQLPDSAGRGPGVLEAPGAKLPLQVRPLPREREWALGEKAQPCSALVSDSDPPCATD
+VGALLAQTFASFPEADTERSAFRNVGTLPTLNIHTDAACLVSACTIPEGRSRGSSQPAGL
+VTDSQSTVISGASLVERGGDCGTVTAHADQSLNSGREGASNSAKPLKGSDCSSLDVSRSN
+CRILSVCRDRISLSSVRCITSGTFRFLPTHANFQEPAPHRGDGPRPLVLAEQRLGDRSVE
+SGRGPHADKAGLSLLSRDHPSYQDEGDTSVLEDGACGKRASEWRAAAQVDLSMNTGASKA
+WAQSRNVVCAVRAAAGPGMSRDSQGAPPHSKSLLCSRWPASDLISSAGVFTCAKEESETK
+SESCVKSSGKDPHRPESSEQEPPKARTAATERVQIDPRTAFDWEAHAQKRTAVPSQSVLA
+CVSSGEAKNPDFETAHTGRNDTYRVNDGSHEDLSLAPPVSKSSAPGVQDLEGVRVSPVHS
+GGSPLPKQVLSQSAGDAPEASGGRASGLKEPERTGGEEEEALRLQEGCGGPGTASHAGLC
+GTGACACHFSQSGTRPMAQGPALQGDMGLVVSERVELGVLRAGCSCVTGVYPAQVDGPQG
+IPVVPGDSREVAVPDCEYPALSLMSEELSEGDLRSPPGHQHPQFLSALSCYPMAELASQV
+FSEGPADGCRSEAGCPWTDTVTKDTLEDEQIFSEDLHCKPQDLEMSLFWMEKPPFQLPVA
+EDTIIWGWQETGAHLVPTAKVSELNPNAKVWGTPLLQLEARGAADGGVSTVWEAPPGRGH
+LGLDTNGDGDKSHDNVGLSDLQESDQTAMSTLGLDHSEYESPPESGETGGNDSQPESQED
+PREVLKKTLEFCLSRENLASDMYLISQMDSDQYVPITTVANLDHIKKLSTDMDLIVEVLR
+SLPLVQVDEKGEKVRPNQNRCIVILREIPESTPVEEVEALFKGDNLPRFINCEFAYNDNW
+FITFETEADAQQAYKYLREEVKTFQGKPIKARIKAKAIAINTFLPKNGFRPLDMSLYTQQ
+RYTASFYLPPVYSPQQQFPLYSLIAPQTWSATHSYLDPPLVTPFPNTGFINGFTSPTFKP
+AASPLASLRQYPPRSRNPSKSHLRHAIPSAERGPGLLESPSIFNFTADRLMNGVRSPQVR
+QTAQPRARLQNPPAFAKRDVGPGRVEQSSFESSPGLGRGRKSSFGYRKKREEKFTRSQTQ
+SPTPPRPPSPSFELGLSSFPPLPGAAGHLKTEDLFENRLSGLLTGTSKERSLNADASTNT
+LPAVHPREPLAPCAVSAACERTPSPARPPEDAKVVEKQRETPSVDRPPPALALSTTASKS
+VQVNGAASELRKPSYAEICQRTSREPPSSPLQPPKEQKPNPVGSGKEERKVTEREPPAPK
+SSPGPPKDQRRPLGRRPSPPGLGRRPHREQSPPPRPPQ
+>tr|A0A3Q1M5X3|A0A3Q1M5X3_BOVIN Histone-lysine N-methyltransferase OS=Bos taurus OX=9913 GN=ASH1L PE=4 SV=1
+MTDYINATSSTLVGSRDPDLKDRALLNGGTSVTEKLAQLIATCPPSKSSKTKPKKLATGT
+TAGLVSKDLIRKAGVGSVAGIIHKDLIKKPTISTAVGLVTKDPGKKPVFNATVGLVNKDS
+VKKLGTGTTTVFINKDLGKKPGAITTVGLLSKDTGKKLGIGIVPGLVNKEPGKKLGLGTV
+VGLVNKDLGKKLGSTVGLVAKDCAKKIVANSTVGLVNKDIGKKLVSCPMTGLVNKDAINL
+KAEALLPTQEPLKASCSTNIISHESQDLSESLKDGATSKTFEKNVTRQSKESILEKFSVR
+KEIINLEKEMFNEGTCIQQDSFSSSERGSYETSKHEKQPPVYCTSPDFQMGVASDASTAK
+SPFSAVGESNLPSPSPTVSVNPLSRSPPETSSQMTPNPLLLSPTTELMEEISESVGKNQF
+TSESTHLNIGHRSMGHSMNIECKGIDKELNDSKTTHIDISRINSSLGKKPSLTSESSIHT
+ITPSVVNFTSLFSNKPFLKLGAVSASDKHCQVAESLSTTLQSKPLKKRKGRKPRWTKVVA
+RSTCRSPKGLELERSELFKNVTCSSLSNSNSEPAKFMKNIGPSSFVDHDFLKRRLPKLSK
+STAPSLALLTDSEKPSHKSFATHKLSSSMCVSSDLLSDIYKPKRGRPKSKEMPQLEGPPK
+RTLKIPASKVFSLQSKEEQEPPILQPEIEIPSFKQSLSVSPFPKKRGRPKRQMRSPVKMK
+PPVLSVAPFVATESPSKLESESDNHRSSSDFFESEDQLQDPDDLDDSHRPTVCSMSDLEM
+EPDKKITKRNNGQLMKTIIRKINKMKTLKRKKLLNQILSSSVESSNKGKVQSKLHNTVSS
+LAATFGSKLGQQINVSKKGTIYIGKRRGRKPKTVLNGILSGSPTSLAVLEQTAQQAAGSA
+LGQILPPLLPSSASSSEILPSPICSQSSGTSGGQSPVSSDAGFVEPSSVPYLHLHSRQGS
+MIQTLAMKKASKGRRRLSPPTLLPNSPSHLSELTSLKEATPSPISESHSDETIPSDSGIG
+TDNNSTSDRAEKFCGQKKRRHSFEHVSLIPPETSTVLSSLKEKHKHKCKRRNHDYLSYDK
+MKRQKRKRKKKYPQLRNRQDPDFIADLEELISRLSEIRITHRSHHFIPRDLLPTIFRINF
+NSFYTHPSFPLDPLHYIRKPDLKKKRGRPPKMREAMAEMPFMHSLSFPLSSTGFYPSYGM
+PYSPSPLTAAPIGLGYYGRYPPTLYPPPPSPSFTTPLPPPSYMHAGHLLLNPTKYHKKKH
+KLLRQEAFLTTSRTPLLSMSTYPSVPPEMAYGWMVEHKHRHRHKHREHRSSEQPQVSMDA
+GSSRSVLESLKRYRFGKDTVGERYKHKEKHRCHMSCPHLSPSKSLINREEQWVHREPSES
+SPLALGLQTPLQIDCSESSPSLSLGGFTPNSDPASSDEHTNLFTSAIGSCRVSNPNSSGR
+KKLTDSPGLFSAQDTSLNRPHRKEPLPSSERAVQTLTGSQPASDKSSQRPSESTNCSPAR
+KRSSSESTSSTVNGVPSRSPRLVSSGDDSVDSLLQRMVQHEDQEPLEKNIDAVIASASVP
+PSSSPVHSLSKERTLGKPDSLLVPAVPSDSCSSSISLLSEKLPSSHSPHHIKRSVVEAMQ
+RQARKMCNYDKILATKKNLDHVNKILKAKKLQRQARTGNNFVKRRPGRPRKCPLQAVVSM
+QAFQAAQFVGSELNEGEEGTALHLGPDTVTDVIEAVVQSVNLNPDHKKGLKRKSWLLEEQ
+TKKKQKPFPEEEEEEENAKSPKSRLIQLKKEKLEYTPGEHEYGLFPAPIHVGKYLRQKRI
+DFQLPYDILWQWKHNQLYKKPDVPLYKKIRSNVYVDVKPLSGYEATTCNCKKPDDDTKKG
+CVDDCLNRMIFAECSPNTCPCGEQCCNQRIQRHEWVQCLERFRAEEKGWGIRTKEPLKAG
+QFIIEYLGEVVSEQEFRNRMIEQYHNHSDHYCLNLDSGMVIDSYRMGNEARFINHSCDPN
+CEMQKWSVNGVYRIGLYALKDMPAGTELTYDYNFHSFNVEKQQLCKCGFEKCRGIIGGKS
+QRMNGLTSNKSSQPVTSHKKSGRSKEKRKSKHKLKKRRGHLSEEPSENINTPTRLTPQLQ
+MKPMSNRERNFVLKHHVFLVRNWEKIRQKQEEVKHTSDNIHSASLYTRWNGICRDDGNIK
+SDVFMTQFSALQTARSVRTRRLAAAEENIEVARAARLAQIFKEICDGIISYKDSSRQSLA
+APLLNLPPKKKNADYYEKISDPLDLSTIEKQILIGYYKTVEAFDADMLKVFRNAEKYYGR
+KSPVGRDVCRLRKAYYNARHEASAQIDEIVGETASEADSSETSVSEKENGHEKDDDVIRC
+ICGLYKDEGLMIQCDKCMVWQHCDCMGVNSDVEHYLCEQCDPRPVDREVPMIPRPHYTQP
+GCVYFICLLRDDLLLRQGDCVYLMRDSRRTPDGHPVRQSYRLLSHINRDKLDIFRIEKLW
+KNEKEERFAFGHHYFRPHETHHSPSRRFYHNELFRVPLYEIIPLEAVVGTCCVLDLYTYC
+KGRPKGVKEQDVYICDYRLDKSAHLFYKIHRNRYPVCTKPYAFDHFPKKLTPKRDFSPHY
+VPDNYKRNGGRSSWKSERSKPPLKDLGQEDDALPLIEEVLASQEQAANEMPSLEEPEREG
+ATAENSESEKKKEESSQEPQVACTPEERRHNQRERLNQILLNLLEKIPGKNAIDVTYLLE
+EGSGRKLRRRTLFIPENSFRK
+>tr|A0A3Q1MF63|A0A3Q1MF63_BOVIN Polyhomeotic homolog 3 OS=Bos taurus OX=9913 GN=PHC3 PE=4 SV=1
+MDSEPNPGTSSVSTTNSSTTTTTITTSSSRMQQPQISVYSGSDRHAVQVIQQALHRPSSS
+AAQYLQQMYAAQQQHLMLHTAALQQQHLSSSQLQSLAAVQASLSSGRPPTSPTGSVTQQS
+SMSQTSVQNLTLRSQKLGVLSSSQNGPPKSTSQTQSLTICHNKTTVTSSKISQRDPSPES
+NKKGESPSLESRSTAVTRTSSIHQLIAPASYPPIQPHPLIKHQQIPLHSPPPKVSHHQLI
+LQQQQQQIQPITLQSPTQDPPPSQHCIPLQNHGLPPAPSSAQSQHCSPIQSHPPPLAMSP
+SQSQSAQQSVVVSPPPPHSPSQSPTIIIHPQALIQPHPLVSSALQPGSNLQQSTANQVQP
+TTQLNLSSHLPLPASPVVHIGPVQQSTLVSPGQQIVSPTSHQQYSTLQSSPIPIATPPQM
+STSPPAQIPPLPLQSMQSLQVQPEILSQGQVLVQNALVSEEELPAAEALVQLPFQTLPPP
+QTVAVNLQVQPPAPVDPPVVYQVEDVCEEEMPEESEDCVRMDRTPPPPTLSPAAITVGRG
+EDLTSEHPLLEQVELPAVASVSASVIKSPSDPSHVSVPPPPLLLPAATTRSNSTSMPSSV
+PSVENKPPQAIVKPQILTHVIEGFVIQEGLEPFPVSRSSLLIEQPVKRRPVLDNQVINSV
+CVQPELQNNAKHADNSSDTEMEDMIAEETLEEMDSELLKCEFCGKMGYANEFLRSKRFCT
+MSCAKRYNVSCSKKFALSRWNRKPDNQSLGHRGRRPSGPDGAAREHILRQLPITYPSAEE
+DLASHEDAVPSAMTTRLRRQSERERERELRDVRMRKMPENSGLLPVAQTEPSIWTVDDVW
+AFIHSLPGCQDIADEFRAQEIDGQALLLLKEDHLMSAMNIKLGPALKICARINSLKES
+>tr|A0A3Q1M455|A0A3Q1M455_BOVIN LON peptidase N-terminal domain and ring finger 3 OS=Bos taurus OX=9913 GN=LONRF3 PE=4 SV=1
+MDSFQAGQMLSLPAELGSNNLELEEPAASAARGDTGPHPEAAAEGPAPLPTRPPEQEQPP
+RASTPECKVLLTQADALASGGRLREALEVYRQLSERQQLVAEQLEQLVRCLAENVPQAEE
+PAPEPPDGSRAGSCALAAEEAGAVTAAEATEVWDGFKCRKCHGFLSDPVSLSCGHTFCKL
+CLERGRAADRRCALCGVKLSALMVTTGRARGTRRAGQQAPPPLRVNVVLSGLLGKLFPGP
+ARASQLRHEGNRLYRERQVEAALLKYNEAVRLAPNDHLLYSNRSQIYFTLESHEDALHDA
+EIACKLRPMGFKAHFRKAQALATLGKVEEALREFLYCVSLDGRNKRARAEAQRLLLSFFT
+PSFPGASQEHSPDILKLLAPHLRLKEHVESLATEGTIHNLPKLSQETPERPHCSSQEGAA
+ARGQGGSLMNPVTVTGDGPQDHTEDSVGAEEEKGVAASSQAASIKTGKCQEKKRKHCQIE
+PQDPERPNKASKPDPSTDPRARPALSVPLASFDASDLECSLCMRLFYEPVTTPCGHTFCL
+KCLERCLDHNAKCPLCKDGLSQCLASRKYSKNVIMEELIAKFLPEELKERRRLYEEEMEE
+LSNLNKNVPIFVCTMAYPTVPCPLHIFEPCYRLMIRRCIETGTRQFGMCLGDPVKGFAEY
+GCILEIRNVQFFADGRSVVDSIGKRRFKVLHQGQRDGYNTADIEYIEDQKVQGEDCAELM
+GLHSCVYEQASSWFHSLKSSLKNRILSHFGPMPEKDADPQINPNGPAWCWWTLAVLPLES
+RAQLPFLAMRSLKDRLNGIRRVLAFISRNQN
+>tr|A0A3Q1LLL3|A0A3Q1LLL3_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MNIYAKILNKILAIRIQQHIKKIIYHDQVGFIPGMQGFFNIHKSINVIHHINKLKNKNHM
+IISIDAEKAFDKIQHPFMIKTLQKAEIEGTYLNIIKAIYDKPTANITLNGEKLKAFPLKS
+GTRQGCPLSPLLFNIVLEVLATAIRAEEEIKGIQVGKEEVKLSLFADDMILYIENPKDST
+RKLLELINDYSKVAGYKINTQKCLAFLYTNNEKTEREIKETIPFTIATERIKYLGIYLPK
+ETKDLYIENYKTLVKETKEDTNRWRNIPCSWIGRINIVKMSILPKAIYRFNAIPIKLPMV
+FFTELEQIISQFVWKYKKPRIAKAILRKKNGTGGINLPDFRLYYKATVIKTVWYWHKDRN
+IDQWNKIESPEINPCTYGHLIFDKGGKNIQWIKDNLFNKWCWENWWTTCKRMKLEHFLTP
+YTKINSKWIKGLNVRPETIKLLEENIGKTLSDIYHSRILYDPLPRILEIKAKINKWDLIK
+IKSFCTTKETISKVKRQPSEWEKIIANESTDKQLISKIYKQLLQLNSRKINDPVKKWAKE
+LNRQFSKKDIQMANKHMKRCSTSLIIREMQIKTFMRYHFTPVRMAAIQKSTSNKCWRGCG
+EKGTLLHCWWECKLVQPLWRTVWRFLKKLEIELPYDPAIPLLGIHTEETRIERDMCTPMF
+IAALFIIARTWKQPRCPSADEWIRQLWYIYTMEYYSAIKKNTFESVLMRWMKLEPIIQSE
+VSQKEKHQYSILTHIYGI
+>tr|A0A3Q1N1J8|A0A3Q1N1J8_BOVIN Neural cell adhesion molecule 1 OS=Bos taurus OX=9913 GN=NCAM1 PE=4 SV=1
+MPPEETPVSLQVDIVPSQGEISVGESKFFLCQVAGDAKDKDISWFSPNGEKLTPNQQRIS
+VVWNDDSSSTLTIYNANIDDAGIYKCVVTAEDGTESEATVNVKIFQKLMFKNAPTPQEFR
+EGEDAVIVCDVVSSLPPTIIWKHKGRDVILKKDVRFIVLTNNYLQIRGIKKTDEGTYRCE
+GRILARGEINFKDIQVIVNVPPTVQARQSIVNATANLGQSVTLVCNAEGFPEPTVSWTKD
+GEQIENEEDEKYLFSDDSSELTIRKVDKNDEAEYVCIAENKAGEQDASIHLKVFAKPKIT
+YVENQTAMELEEQVTLTCEASGDPIPSITWRTSTRNISSEEKASWTRPEKQETLDGHMVV
+RSHARVSSLTLKSIQYTDAGEYVCTASNTIGQDSQSMYLEVQYAPKLQGPVAVYTWEGNQ
+VNITCEVFAYPSATISWFRDGQLLPSSNYSNIKIYNTPSASYLEVTPDSENDFGNYNCTA
+VNRIGQESLEFILVQADTPSSPSIDQVEPYSSTAQVQFDEPEATGGVPILKYKAEWRAMG
+EEVWHSKWYDAKEASMEGIVTIVGLKPETTYAVRLAALNGKGLGEISAASEFKTQPVREP
+SAPKLEGQMGEDGNSIKVKLIKQDDGGSPIRHYLVKYRALSSEWKPEIRLPSGSDHVMLK
+SLDWNAEYEVYVVAENQQGKSKAAHFVFRTSAQPTAIPANGSPTSGLSTGAIVGILVVTF
+VLLLVAVDVTCYFLNKCGLLMCIAVNLCGKAGPGAKGKDMEEGKAAFSKDESKEPIVEVR
+TEEERTPNHDGGKHTEPNETTPLTEPELPADTTATVEDMLPSVTTVTANSDTITETFATA
+QNSPTSETTTLTSSIAPPVAATPDSNSVPAGQATPSKGTGVTAASPAPAPAPKVAPLVDL
+SDPPASAPAANNLSSSVLANQGAVLSPSTPASAGEAPKTPPASKAVSPSAASPLAAAPTA
+PAPEAPQAKQEAPGTKGPDPEPTQPGASKSPPEAARALASPKSEAASVSTTNPAQGEDFK
+MDEGNFKTPDIDLAKDVFAALGSPAPAAGASGQASELAPSTADGSLPPAPVKTEKGPVEA
+KPETETKPAPAEVQTVPNDATQIKENESKA
+>tr|A0A3Q1MU14|A0A3Q1MU14_BOVIN Trafficking protein particle complex subunit OS=Bos taurus OX=9913 GN=TRAPPC3 PE=3 SV=1
+MSSELFTLTYGALVTQLCKDYENDEDVNKQLDKMGYNIGVRLIEDFLARSNVGRCHDFRE
+TADVIAKVAFKMYLGITPSITNWSPAGDEFSLILENNPLVDFVELPDNHSSLIYSNLLCG
+VLRGALEMVQMAVEAKFVQDTLKGDGVTEIRMRFIRRIEDNLPAGEE
+>tr|F1N775|F1N775_BOVIN Myosin heavy chain 8 OS=Bos taurus OX=9913 GN=MYH8 PE=3 SV=3
+MSASSDAEMAVFGEAAPYLRKSEKERIEAQNKPFDAKTSVFVAEPKESYVKSIIQSKEGG
+KVTVKTERGATLTVREDQVFPMNPPKYDKIEDMAMMTHLHEPGVLYNLKERYAAWMIYTY
+SGLFCVTVNPYKWLPVYNPEVVAAYRGKKRQEAPPHIFSISDNAYQFMLTDRENQSILIT
+GESGAGKTVNTKRVIQYFATIAVTGEKKKEEPGKMQGTLEDQIISANPLLEAFGNAKTVR
+NDNSSRFGKFIRIHFGTTGKLASADIETYLLEKSRVTFQLKAERSYHIFYQITSNKKPDL
+IEMLLITTNPYDYAFVSQGEITVPSIDDQEELMATDSAIDILGFTSEEKVSIYKLTGAVM
+HYGNMKFKQKQREEQAEPDGTEVADKAAYLQSLNSADLLKALCYPRVKVGNEYVTKGQTV
+QQVYNAVGALAKAVYEKMFLWMVTRINQQLDTKQPRQYFIGVLDIAGFEIFDFNSLEQLC
+INFTNEKLQQFFNHHMFVLEQEEYKKEGIEWEFIDFGMDLAACIELIEKPLGIFSILEEE
+CMFPKATDTSFKNKLYDQHLGKSANFQKPKVVKGRAEAHFSLIHYAGTVDYNIAGWLDKN
+KDPLNDTVVGLYQKSSMKTLASLFSTYASAEADSGAKKGAKKKGSSFQTVSALFRENLNK
+LMTNLRSTHPHFVRCIIPNETKTPGAMEHELVLHQLRCNGVLEGIRICRKGFPSRILYGD
+FKQRYKVLNASAIPDGQFIDSKKASEKLLASIDIDHTQYKFGHTKVFFKAGLLGLLEEMR
+DEKLAQIITRTQAVCRGFLMRVEYQKMLQRREALFCIQYNVRAFMNVKHWPWMKLFFKIK
+PLLKSAETEKEMATMKEEFQKTKDELAKSEAKRKELEEKMVTLLKEKNDLQLQVQSEADA
+LADAEERCEQLIKNKIQLEAKIKEVTERAEDEEEMNAELTAKKRKLEDECAELKKDIDDL
+ELTLAKVEKEKHATENKVKNLTEEMAGLDEVIAKLTKEKKALQEAHQQTLDDLQAEEDKV
+NTLNKAKAKLEQQVDDLEGSLEQEKKLRMDLERAKRKLEGDLKLAQESMVDIENDKQQLD
+EKLKKKEFEISNLLSKIEDEQAVEIQLQKKIKELQARIEELEEEIEAERASRAKAEKLRS
+DLSRELEEISERLEEAGGATSAQIEMNKKREAEFQKMRRDLEEATLQHEATAAALRKKHA
+DSVAELGEQIDNLQRVKQKLEKEKSEMKMEIDDLSSNAETVAKAKGNLEKMCRTLEDQVS
+ELKTKEEEQQRLINDLTAQRARLQTEAGEYSRQLDEKDALVSQLSRTKQASTQQIEELKR
+QLEEETKAKNALAHALQSSRHDCDLLREQYEEEQEGKAELQRALSKANSEVAQWRTKYET
+DAIQRTEELEEAKKKLAQRLQDAEEHVEAVNAKCASLEKTKQRLQNEVEDLMLDVERSNA
+ACAALDKKQRNFDKVLSEWKQKYEETQAELEASQKESRSLSTELFKVKNAYEESLDQLET
+LKRENKNLQQEISDLTEQIAEGGKQIHELEKIKKQVEQEKCEIQAALEEAEASLEHEEGK
+ILRIQLELNQVKSEVDRKIAEKDEEIEQLKRNHIRVVETMQSTLDAEIRSRNDALRVKKK
+MEGDLNEMEIQLNHANRLAAESLRNYRNTQGILKDTQLHLDDALRGQEDLKEQLAIVERR
+ANLLQAEIEELRATLEQTERSRKIAEQELLDASERVQLLHTQNTSLINTKKKLENDVSQL
+QSEVEEVIQESRNAEEKAKKAITDAAMMAEELKKEQDTSAHLERMKKNLEQTVKDLQHRL
+DEAEQLALKGGKKQIQKLEARVRELEGEVESEQKRNAEAIKGLRKHERKVKELTYQTEED
+RKNVLRLQDLVDKLQAKVKSYKRQAEEAEEQSNTNLAKLRKLQHELEEAEERADIAESQV
+NKLRVKSREIHTKVSAE
+>tr|A0A3Q1LU79|A0A3Q1LU79_BOVIN Pantothenate kinase 1 OS=Bos taurus OX=9913 GN=PANK1 PE=4 SV=1
+MKLINGKKQTFPWFGMDIGGTLVKLVYFEPKDITAEEEQEEVENLKSIRKYLTSNTAYGK
+TGIRDVHLELKNLTMCGRKGNLHFIRFPSCAMHKFIQMGSEKNFSSLHTTLCATGGGAFK
+FEEDFRTIADLQLHKLDELDCLIQGLLYVDSIGFNGKPECYYFENPTNPELCQKKPYCLD
+NPYPMLLVNMGSGVSILAVYSKDNYKRVTGTSLGGGTFLGLCCLLTGCETFEEALEMAAK
+GDSTNVDKLVKDIYGGDYERFGLQGSAVASSFGNMMSKEKRDSISKEDLARATLVTITNN
+IGSIARMCALNENIDRVVFVGNFLRINMVSMKLLAYAMDFWSKGQLKALFLEHEGYFGAV
+GALLELFKMPDDQ
+>tr|A0A0N4STM9|A0A0N4STM9_BOVIN BLOC-1-related complex subunit 6 OS=Bos taurus OX=9913 GN=BORCS6 PE=4 SV=2
+MESPRGRPGPKTDLLALGEQQAAIFGDGPGQTPSERPSDLRLSEDEEAENVGGASCHPKA
+SLKTSSCSFVHPPEWEAPEDKPGRGGTFSGAGSRLRAPDPEFDLHGSSRRKDPEPPEAKP
+ESERVCRRGSPVGGGMDVEQKEDDDEAAEAGRGGRSFSSRLQDSRSLDGLSGACGGPASS
+GGAESGAGGGRRATISSPLELEGTVSRHGDLTHFVANNLQLKIRLSGAPQPPPPAPTRPC
+SAPTPTPAIPPIDPDVLRDLERLSRELGGRVDRLLRGLGGAVQELTALSVGCIQTYRDAV
+DSLGEAVDMSIKGMYTLLARCEELERALQPVQGLARQVRDIRRTLEVLEALCK
+>tr|A0A3Q1M7S4|A0A3Q1M7S4_BOVIN 6-phosphofructo-2-kinase/fructose-2,6-bisphosphatase 3 OS=Bos taurus OX=9913 GN=PFKFB3 PE=4 SV=1
+MPLELTQSRVQKIWIPVDHRPSLPRTCGPKLTNSPTVIVMVGLPARGKTYISKKLTRYLN
+WIGVPTKVFNVGEYRREAVKQYSSYNFFRPDNEEAMKVRKQCALAALRDVKSYLTKEGGQ
+IAVFDATNTTRERRHMILHFAKENDFKVFFIESVCDDPTVVASNIMEVKISSPDYKDCNS
+AEAMDDFMKRINCYEASYQPLDPDKCDRDLSLIKVIDVGRRFLVNRVQDHIQSRIVYYLM
+NIHVQPRTIYLCRHGESEHNLQGKIGGDSGLSSRGRKFANALSKFVEEQNLKDLKVWTSQ
+LKSTIQTAEALQLPYEQWKALNEIDAGVCEEMTYEEIKDTYPEEYALREQDKYYYRYPTG
+ESYQDLVQRLEPVIMELERQENVLVICHQAVLRCLLAYFLDKSAEEMPYLKCPLHAVLKL
+TPIAYGCRVESIYLNVESVSTHRERSEDAKKGPNPLMRRNSVTPLASPEPTKKPRINSFE
+EHVASTSAALPTCLPPEVPTQLPGQNMKGSPGSVDASRTH
+>tr|F1MFU5|F1MFU5_BOVIN RNA polymerase II associated protein 3 OS=Bos taurus OX=9913 GN=RPAP3 PE=4 SV=3
+MRAVRVLVRLRCYLLCAGGDWSVKTLHAVMASTSKAIELQLQVKQNAEELQDFMRDLENW
+EKDIKQKDMELRRQNGVPEENLPPIRNGNFRKKKKGKVKESSKKTKDENAKNRIKSYDYE
+AWAKLDVDSILDELDKEESTHDSVSQESESEEDGIHVDSQKALALKEKGNKYFKQGKYDE
+AIECYTKGMDADPYNPVLPTNRASAYFRLKKFAVAESDCNLAIALNRSYTKAYARRGAAR
+FALQKLEDAKKDYEKVLELEPNNFEATNELRKINQALTSKEDSYPGETDTMVKSDEGEKK
+QIEEQQNKQQAVSEKDRGNAFFKEGKYERAIECYTRGIAADGANALLPANRAMAYLKIQK
+YEEAEKDCTQAVLLDGSYSKAFARRGTARTFLGKLSEAKQDFETVLLLEPGNKQAVTELS
+KIKKELIEKGHWDDVFLDSTQRQNVIKPIDNLPHLGSTKPLKKVIIEETGNLIQTVDVRD
+STATVAAAECNPVTLASVTATTGMTNKNTSQDDLLPTSDVPKAKVLKIEEISDTSALHSH
+VNLKKDVCQSLSEKIPTEVDKAPAQFIRTVLPPVPANSFQLESDFRQLKSSPDMLYQYLK
+QIEPSLYPKLFQKNLDPDVFNQIIKILHDFYIEKEKPSLIFEILQRLSELRRFDMAVMFM
+SESEKKITRVLFNHIDKSGLNDNSVEELKKRYGG
+>tr|G3N300|G3N300_BOVIN Innate immunity activator OS=Bos taurus OX=9913 GN=INAVA PE=4 SV=2
+MNDGRDVLGSLTFGMLRMPKLNEIPPGRGGREESRGEESRPGRAGPQAARRARGARGQAG
+GAGPPWDSWGNSRPPLCPGLGWEGCRPLLLLAPSSQKPTMDSKDEVSDTDSGIILQSGPD
+SPVSPAKELTHAVRKQQRALEERLEACLEELRRLCLREAELTGILPAEFPLKPGEKVPKV
+RRRIGAAYKLDEWALHREDPLSGLERQLALQLQIAEAARRLCREGNLGRQVRRQRQHAVR
+LEEEKLRQLQRCLGERQGRPPPSPAPGPELSASDDSSLSDGLPREEEALQVPKPPLEAPD
+PPARPLPPQSLEGLQPAGPAMGGLERAPIQNSPWKETSLDHPYEKPRKSSEAGSESSSPA
+STPQDGPSTSSLWLLEPASYCVVPIRSVPGQRQGRTSAPATPDMQGRRGHSQPLRSDPFR
+AGPDGRGRSALPRRRPTYYTVTAPEPCCARPAPAPRACRSCSEDSGSEVSSLSHPTPPGS
+SSPDISFLRPLSPPAPSRPPRGPAAPRPRPPPACLRATRYVVLAEGPPPPAQWAEWGPGR
+GEDAAPARWQRPPPAHGRVARTPSLRDHPAGRGLSKAAVSEELKSWHERARLRSARPHSL
+DRQGAFRVRSLPPGADSFVRAPAPRGQVPTVCVLRRSPEGAPVQVFVPENGEIMSQV
+>tr|A0A452DIB2|A0A452DIB2_BOVIN Syntaxin-4 OS=Bos taurus OX=9913 GN=STX4 PE=3 SV=1
+MRDRTHELRQGDDSSDDEDKERVALVVHPGTARLGSPDDEFFQKVRTIRQTIVKLENKVR
+ELEKQQVTILATPLPEESMKQDLQNLRDEIKQLGRDIRAQLKAIEPQKEEADENYNSVNT
+RMRKTQHGVLSQQFVELINKCNLMQSEYREKNVERIRRQLKITNAGMVSDKELEQMLDSG
+QSEVFVSNILKDTQVTRQALNEISARHSEIQQLERSIRELHEIFTFLATEVEMQGEMINR
+IEKNILSSADYVERGQEHVKVALENQKKVRKVSLRPSPRHPSPRVALPPLLSFPDTHPPY
+PASSPTEESLYCHLSVHHCPHSGGHHCHLHVGLTAPHILGEIPWACPLACPIPDPSLFSS
+QTLFCRLPLQTLRAPGTQGLPSLPAAGGGLDRASSQTPSSQWPCAEGQTVLLGSAATHPW
+GPHPWVTVPGGRPAVSCLAETCSFVRN
+>tr|A0A3Q1MHK2|A0A3Q1MHK2_BOVIN Solute carrier family 26 member 6 OS=Bos taurus OX=9913 GN=SLC26A6 PE=3 SV=1
+MELRRRDYHVERPLLNQEQLEELGSRSPAQVTLQWRTWFRCSRARAQALLLQYLPVLAWL
+PQYSVRDWLLGDLLSGLSVAIMQLPQGLAYALLAGLPPVFGLYSSFYPVFVYFLFGTSRH
+ISVGTFAVMSVMVGSVMESLAPDDAFLLGLNSTLDVAARDASRVQLASTLSVLVGLFQVG
+LGMVHFGFVVTYLSEPLVRAYTTAASVHVFVSQLKYVFGLHLSSRSGPLSLIYTVLEVCW
+KLPQMVVGTMVTAIVAGVVLVLVKLLNEKLRRHLPMPLPGELLTLIGATGISYGVGLKQA
+FGVDIVGKIPTGLVPPMAPRPQLFAKLLGNAFAIAVVGFAIAISLGKIFALRHGYRVYSN
+QELVALGLSNLLGGIFQCFPVSCSMSRSLVQESTGGNTQVAGAISSLFILIIIVKLGELF
+QDLPKAVLAAVVIVNLKGMVMQCTDVVSLWKANRMDLLIWVVTFVATILLNLDLGLAVAV
+VFSLMLVVVRTQMPHYSVLGQVPDTDIYRDVAEYSGAREVPGVKVFRSSVTVYFANAELY
+SDSLKQRCGVDVDYLLSQKKKLLRKQELKLKQLQKENKLPKQAAASKGTSVSINVNPTVM
+DIESNNDVEGSKAREASAGTELEDTGAWAPEDAKASDISSLKALGLPQPDFHTLILDLSS
+FSFVDTVCLKSLKNIFRDFREIEVEVYMAACHAPVITQLEDGHFFDASITKQHLFASVHD
+AVLFALQHRRSGPVNPVLVTKL
+>tr|G3MWM7|G3MWM7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC100851999 PE=4 SV=2
+MRSVIWLFFWPTFISFVFAYVFYVLRDEAEELPRMVPSGRHFRVRQNQPEYAPGWFGSKW
+HWLLIFLMFLVILKFPGGDDKSNAGTPPGRQGCSSGSPGRKKIRPSPYKESMCGALTQSE
+TSLMNLMSRVKNMKLTVATGDQCQCPNIEVPGDARNNVTILEVWDTGDPDEVDFHIKEEK
+E
+>tr|F1N019|F1N019_BOVIN F-box and leucine rich repeat protein 7 OS=Bos taurus OX=9913 GN=FBXL7 PE=4 SV=3
+MRTLSTPSPALICPPNLPGFQNGRGSSTSSSSITGETVAMVHSPPPTRLTHPLIRLASRP
+QKEQASIERLPDHAMVQVFSFLPTNQLCRCARVCRRWYNLAWDPRLWRTIRLTGETINVD
+RALKVLTRRLCQDTPNVCLMLETVSVSGCRRLTDRGLYTIAQCCPELRRLEVSGCYNISN
+EAVFDVVSLCPNLEHLDVSGCSKVTCISLTREASIKLSPLHGKQISIRYLDMTDCFVLED
+EGLHTIAAHCTQLTHLYLRRCVRLTDEGLRYLMIYCTSIKELSVSDCRFVSDFGLREIAK
+LESRLRYLSIAHCGRVTDVGIRYVAKYCGKLRYLNARGCEGITDHGLEYLAKNCAKLKSL
+DIGKCPLVSDTGLECLALNCFNLKRLSLKSCESITGQGLQIVAANCFDLQMLNVQDCEVS
+VEALRFVKRHCKRCVIEHTNPAFF
+>tr|G3MX93|G3MX93_BOVIN Lamin tail domain containing 2 OS=Bos taurus OX=9913 GN=LMNTD2 PE=4 SV=2
+MAPKSCQEAEDAEEEALASLVDREPVSGHMGPPVGAAADPVASTHPQNAKPSSTRMVSSI
+NLSALESLDPRTLRLLWGQRELEIQALRWAIQNQREARHCRILQEVAGLPAERSVVCTGP
+PPTQSSRSKDKFLQNQVQKLTLELKAQKEKAQLQEKKRLEERLQQAEDALRQLEAELQAF
+QKSCLLQLARSSWVGRVLRSSTGSVEVVTAETLMDLSDFSENDQAPTAGEGFRLEDVDWN
+SIAHRYPNLFTNLESSSDQKHPRTSQLPTASPPDQWSSELCCRHQEHNLKSVEWSSLPLA
+GTSSSGGADSESSNSQLAAHNRVHKVTGDPPQVPSHTAKQVEARAQSLCRDSQSPCLHSS
+PSPSGSCLKIMAVSRRQRFVRILNQSLEETVDLGGFVLQQLVLDFPVCMYRFPPSTLLPP
+RHHITVWGEGPCSTRRQQLSSLGREPVHFYSSRSCVTLLLNPQGEVLSEHQSPHCVTPVS
+RIFADNTDLSIDCFPLSEARPEADLAEHQPQPRPPRKGRVREARAGRRRPGWGPRVLQCR
+VCPGTTPSRWQAVSHDPSLARPRVQLPRLSTIKLLRQREAPVWPEDVAQTHPELLPSIPI
+PGESASRAPPPQVCRKRVDCGCPMVALSVQSTAESRFGFRFLSCPPITVDTRWPL
+>tr|F1N6J0|F1N6J0_BOVIN Tyrosine-protein kinase receptor OS=Bos taurus OX=9913 GN=NTRK3 PE=3 SV=3
+MDVSLCPAKCSFWRIFLLGSVWLDYVGSVLACPANCVCSKTEINCRRPDDGNLFPLLEGQ
+DSGNSNGNASINITDISRNITSIHIENWRGLHTLNAVDMELYTGLQKLTIKNSGLRNIQP
+RAFAKNPHLRYINLSSNRLTTLSWQLFQTLSLRELRLEQNFFNCSCDIRWMQLWQEQGEA
+KLNSQNLYCISADGSQLPLFRMNISQCDLPEISVSHANLTVREGDNAVITCNGSGSPLPD
+VDWIVTGLQSINTHQTNLNWTNVHAINLTLVNVTSEDNGFTLTCIAENVVGMSNASVALT
+VHYPPRVVSLEEPELRLEHCIEFVVRGNPPPTLHWLHNGQPLRESKIIHVEYYQEGEVSE
+GCLLFNKPTHYNNGNYTLIAKNPLGTANQTINGHFLKEPFPVYEVSPTPPITVTHKPEED
+TFGVSIAVGLAAFACVLLVVLFIMINKYGRRSKFGMKGPVAVISGEEDSASPLHHINHGI
+TTPSSLDAGPDTVVIGMTRIPVIENPQYFRQGHNCHKPDTYVQHIKRRDIVLKRELGEGA
+FGKVFLAECYNLSPTKDKMLVAVKALKDPTLAARKDFQREAELLTNLQHEHIVKFYGVCG
+DGDPLIMVFEYMKHGDLNKFLRAHGPDAMILVDGQPRQAKGELGLSQMLHIASQIASGMV
+YLASQHFVHRDLATRNCLVGANLLVKIGDFGMSRDVYSTDYYRVGGHTMLPIRWMPPESI
+MYRKFTTESDVWSFGVILWEIFTYGKQPWFQLSNTEVIECITQGRVLERPRVCPKEVYDV
+MLGCWQREPQQRLNIKEIYKILHALGKATPIYLDILG
+>tr|F1N2Y2|F1N2Y2_BOVIN Collagen type V alpha 2 chain OS=Bos taurus OX=9913 GN=COL5A2 PE=4 SV=3
+MMANWVEARPLLILTVLLGQFVSIKAQEEDEDEGYGEEIACTQNGQMYLNRDIWKPAPCQ
+ICVCDNGAILCDKIQCQDVLECADPVTPPGECCPVCPHTTGGGNTNFGKKVHIWQWVLIS
+SGLLFQGPRGPQGIDGEPGVPGQPGAPGPPGHPSHPGPDGMSRPFSAQMAGLDEKSGLGS
+QVGLMPGSVVSVDFIDNQSLLAKKKLCTKNLFWEKKVPYAVNDSEMPYLFFPAQGARGFP
+GAPGLPGLKGHRVSSILCNPFRYPGLWSKVWGALPSKSSQFHLSIGEAGPTGARGPEGPQ
+GQRGETGPPGPVGSQGLPGAVGTDGTPGAKGPTGSPGTSGPPGLAGPPGSPGPQGSTGPP
+GIRGQPGPHGVQGPIGPPGEEGKRGPRGDPGTVGPPGPVGERGAPGNRGFPGSDGLPGPK
+GAQGERGPVGSSGPKGGQGDPGRPGEPGLPGARGLTGNPGVQGPEGKLGPLGAPGEDGRP
+GPPGSIGIRGQPGSMGLPGPKGSSGDPGKPGEAGNAGVPGQRGAPGKDGEVGPSGPVGPP
+GLAGERGEQGPPGPTGFQVCTDSGERGNPGERGEPGITGLPGEKGMAGGHGPDGPKGSPG
+PPGTPGDTGPPGLQGMPGERGIAGTPGPKGDRGLPGPLGPPGPSGPTGEKGEPGPRGLVG
+PPGSRGNPGPDGQPGVKGEPGEPGQKGDAGSPGPQGLAGSPGPHGPNGVPGLKGGRGTQG
+PPGATGFPGSAGRVGPPGPAGAPGPAGPLGEPGKEGPPGLRGDPGSHGRVGDRGPAGPPG
+GPGDKGDPGEDGQPGPDGPPGPAGTTGQRGIVGMPGQRGERGMPGLPGPAGTPGKVGPTG
+APGDKGPPGPVGPPGSNGPVGEPGPEVSTTGDRGDPGPAGLPGSQGAPGTPGPVGAPGDA
+GQRGDPGSRGPIGPPGRAGKRGLLGPQGPRGDKGDHGDRGDRGQKGHRGFTGLQGLPGPP
+DLKYSLCFLQGPPGPVGPSGKEGSPGPLGPIGPPGVRGSVGEAGPEGPPGEPGPPGPPGP
+PGHLTAALGDIMGHYDDSMPDPLPEFTEDQAAPDDKNKTDPGVHATLKSLSSQIETMRSP
+DGSRKHPARTCDDLKLCHSAKKSGEYWIDPNQGSAEDAIKVYCNMETGETCISANPSSVP
+RKTWWASKSPDNKPVWYGLDMNRGSQFVYGDHQSPNAAITQMTFLRLLSKEASQNITYIC
+KNSVGYMDDQAKNLKKAVVLKGSNDLEIKAEGNVRFRYIVLHDSCSKRNGNVGKTIFEYR
+TQNVARLPIIDLAPVDVGSTDQEFGVEIGPVCFV
+>tr|F1MW26|F1MW26_BOVIN Collagenase 3 OS=Bos taurus OX=9913 GN=MMP13 PE=3 SV=2
+MRPRVLAGFLFFSWTACWSLPLPSDGDSEDLSEEDFQFAESYLKSYYYPQNPAGILKKTA
+ASSVIDRLREMQSFFGLEVTGRLDDNTLDIMKKPRCGVPDVGEYNVFPRTLKWSKMNLTY
+RIVNYTPDLTHSEVEKAFRKAFKVWSDVTPLNFTRIHNGTADIMISFGTKEHGDFYPFDG
+PSGLLAHAFPPGPNYGGDAHFDDDETWTSSSKGYNLFLVAAHEFGHSLGLDHSKDPGALM
+FPIYTYTGKSHFMLPDDDVQGIQSLYGPGDEDPYSKHPKTPDKCDPSLSLDAITSLRGET
+LIFKDRFFWRLHPQQVEAELFLTKSFWPELPNRIDAAYEHPSHDLIFIFRGRKFWALSGY
+DILEDYPKKISELGFPKHVKKISAALHFEDSGKTLFFSENQVWSYDDTNHVMDKDYPRLI
+EEVFPGIGDKVDAVYQKNGYIYFFNGPIQFEYSIWSNRIVRVMTTNSLLWC
+>tr|A0A3Q1MED6|A0A3Q1MED6_BOVIN A-kinase anchoring protein 8 like OS=Bos taurus OX=9913 GN=AKAP8L PE=3 SV=1
+LSGLQFGHLRKGSETTLQSTYSDTSAQPTCDYGYGTWNSGTNRGYENYGYGYGYGQDNTT
+NYGYGMATSNSWEMPNSDTNANPSAMGSASADSVLSRINQRLDMVPHLETDMIQGGVYGS
+GGERYDSYEACDSRAVLSERDLYRSGYDYGELDPDMEMAYEGQYDAYRDQFRMRGSDTFG
+PRAQGWARDSRSGRPMASGYGRMWEDPMGARGQCMPGASRLPSLFSQNIIPEYGMFQGMR
+GGGTFPGGSRFGFGFGNGMKQMRRTWKTWTTADFRTKKKKRKQCGSPDEPDSKATRTDCS
+DNSDSDNDEGTEGEAAEGTEGAETVEKGSRAEGEDEEGKEEGKEGGKEDAEKGVLSAQDE
+SGQAKRKLQAGKKSLDKQKKRQRDRMVERIQFVCSLCKYRTFYEDEMASHLDSKFHKEHF
+KYVGTKLPKQTADFLQEYVANKTKKTEELRKTVEDLDGLIQQIYRDQDLTQEIAMEHFVK
+KVEAAHCAACDLFIPMQFGIIQKHLKTMDHNRNRRLMMEQSKKSSLMVARSILNNKLISK
+KLERYLKGENPFTDSPEEEKEQEEAEGGALDEGALVEAAGVAEGAEAAPAQPPVPPEPVP
+GAAPPPPPPEEDEEAVPLLGGALERQIRGIPGLDVEADDDEEEGGGGAP
+>tr|F1N4J4|F1N4J4_BOVIN Synaptotagmin 7 OS=Bos taurus OX=9913 GN=SYT7 PE=4 SV=3
+MYRDPEAASPGAPTRDVLLVSAIITVSLSVTVVLCGLCHWCQRKLGKRYKNSLETVGTPD
+SGRGRSEKKAINGTLLAGAKVAAAAGLAVEREGRLGEKPAAAPPPGEDALRSGGAAPSEP
+GSGGKAAGRGRWRTVQSHLAAGKLNLSNFEDSTLSTATTLESIPSSTGEPKCQRPRTLTR
+QQSLQQPLSQHQRGRQPSQPTTSQSLGQLQAHTASVPGPNPRAHGRGQARQGSSAGSKYR
+PAGGRSRSNPGSWDHVVGQIRNRGLDMKSFLEGRMVVLSLVLGLSEQDDFANIPDLQNPG
+TQQNQNAQGDKRLPAGGKAVNTAPVPGQTPHDESDRRTEPRSSVSDLVNSLTSEMLMLSP
+GSEEDEAHEGCSRENLGRIQFSVGYNFQESTLTVKIMKAQELPAKDFSGTSDPFVKIYLL
+PDKKHKLETKVKRKNLNPHWNETFLFEGFPYEKVVQRVLYLQVLDYDRFSRNDPIGEVSI
+PLNKVDLTQMQTFWKDLKPCSDGSGSRGELLLSLCYNPSANSIIVNIIKARNLKAMDIGG
+TSDPYVKVWLMYKDKRVEKKKTVTMKRNLNPIFNESFAFDIPTEKLRETTIIITVMDKDK
+LSRNDVIGKIYLSWKSGPGEVKHWKDMIARPRQPVAQWHQLKA
+>tr|F1MJQ8|F1MJQ8_BOVIN RNA-binding region-containing protein 3 OS=Bos taurus OX=9913 GN=RNPC3 PE=4 SV=1
+MAAPEQPLPMSRGCQNSSSLSPPRGDRTLLVRHLPAELTAEEKEDLLKYFGAQSVRVLSD
+KGRLKHTAFATFPNEKTAVKALTRLHQLKLLGHTLVVEFAKEQDRVHSPCPSLGTEKKKR
+SDDPVEDDKEKKELDCLTIENGIAPNHGLTFPLNSCLKYMYPPPSSTILANIVNALASVP
+KFYVQVLHLMNKMNLPTPFGPITTRPPMYEDYMPLHAPLPPTSPQPPEEPPLPDEDEELS
+SKESEYESSDDEDRQRMTKLMELANLQPKRPKPIKQRHVRKKRKIKDMLNIPSSASHSLH
+PVLLPSDVFDQPQPVGNKKIEFHISTDMQVAFKKDLEKQQNCEEENSDLPATEADASNIG
+FGKIFPQPNLNITEEIKDDSDEMPSECISRRELEKGRISREEMETLSVFRSYEPGEPNCR
+IYVKNLAKHVQEKDLKFIFGRYVDFSSETQRIMFDIRLMKEGRMKGQAFIGLPNEKAAAK
+ALKEANGYVLFGKPMVVQFARSARPKQDSKEGKRKC
+>tr|A0A3Q1LVU6|A0A3Q1LVU6_BOVIN Solute carrier family 12 member 6 OS=Bos taurus OX=9913 GN=SLC12A6 PE=4 SV=1
+MPHFTVTKVEDTEEGAAAPVSQEGEPSLAEIKAPIQHSDEPDPSQNSITGEHSQLLDDGH
+KKARNAYLNNSNYEEGDEYFDKNLALFEEEMDTRPKVSSLLSRLANYTNLTQGAKEHEEA
+ENITEGKKKPTKTPQMGTFMGVYLPCLQNIFGVILFLRLTWVVGTAGVLQAFAIVLICCC
+CTMLTAISMSAIATNGVVPAGGSYFMISRALGPEFGGAVGLCFYLGTTFAAAMYILGAIE
+IFLVYIVPRAAIFQSDDALKESAAMLNNMRVYGTAFLVLMVLVVFIGVRYVNKFASLFLA
+CVIVSILAIYAGAIKSSFAPPHFPVCMLGNRTLSSRHIDVCSKTKEVNNMTVPSKLWGFF
+CNSSQFFNATCDEYFVHNNVTSIQGIPGLASGVITENLWSNYLPKGEIIEKPSAKSSEVL
+GSLNHEYVLVDITTSFTLLVGIFFPSVTGIMAGSNRSGDLKDAQKSIPIGTILAILTTSF
+VYLSNVVLFGACIEGVVLRDKFGDAVKGNLVVGTLSWPSPWVIVIGSFFSTCGAGLQSLT
+GAPRLLQAIAKDNIIPFLRVFGHSKANGEPTWALLLTAAIAELGILIASLDLVAPILSMF
+FLMCYLFVNLACALQTLLRTPNWRPRFRYYHWALSFMGMSICLALMFISSWYYAIVAMVI
+AGMIYKYIEYQGAEKEWGDGIRGLSLSAARFALLRLEEGPPHTKNWRPQLLVLLKLDEDL
+HVKHPRLLTFASQLKAGKGLTIVGSVIVGNFLENYGEALAAEQTIKHLMEAEKVKGFSQL
+VVAAKLREGISHLIQSCGLGGMKHNTVVMGWPNGWRQSEDARAWKTFIGTVRVTTAAHLA
+LLVAKNISFFPSNVEQFSEGNIDVWWIVHDGGMLMLLPFLLKQHKVWRKCSIRIFTVAQL
+EDNSIQMKKDLATFLYHLRIEAEVEVVEMHDSDISAYTYERTLMMEQRSQMLRHMRLSKT
+ERDREAQLVKDRNSMLRLTSIGSDEDDETETYQEKVHMTWTKDKYMASRGQKAKSMEGFQ
+DLLNMRPDQSNVRRMHTAVKLNEVIVNKSHEAKLVLLNMPGPPRNPEGDENYMEFLEVLT
+EGLERVLLVRGGGSEVITIYS
+>tr|A0A3Q1LQ38|A0A3Q1LQ38_BOVIN MAGE domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+CTISPPTRTDREALPEPGATGATAASSGTNSAENINSPKAESASFPRGAESSLSEILSKK
+VVLLVQFLLQKYQKREPIKKADMLKFVIKQYKNHFNEILKRASDHMELAFGVDLKEVDPT
+RHCYTLVNKLDLSYDSALNEYEHMPKTGILMIVLGVIFMRGNCAPEEKIWEFLSMLGVYA
+GRRHCIFGEPRRLITKDLVQKEYLNYHRVPNSDPPRYEFLWGPRACVETSKMKVLEALAK
+FHGRVPSSFPDLYDEALRDQAERAGLRGFYNNPSLTS
+>tr|A0A3Q1M3R2|A0A3Q1M3R2_BOVIN Phosphodiesterase OS=Bos taurus OX=9913 GN=PDE8A PE=3 SV=1
+MGCAPSIHISESRGVYPGGKEAEDVPGPAAAPLPPGGPSLPPGQKTAASTRAPGSSLAES
+EPRGGNGSKDSELPLKDLSVGAGVSKETFCSPAPFLETRREQLKVAIADVQFGPMRFHPD
+QLQVLLVFTKEDNQCNGFCRACEKAGFMCTVTKEAQTALACFLDKHHDIIIIDHRNSRHL
+DAEALCRSIRSSKLSENTVIIGVVRRVEREESCVMSLIAAGFTRRYIENPSPMACYNELL
+QLEFGEVRSQLKLRACNSMFAALEKSQEAIEITSEDHIIQYANPAFETTMGYQSGELIGK
+EIAEVPINEKKADLLDTINSCKEWQGIYSVRKKNGDNVQQNVKIIPVIGQGGKIRHYVSI
+IRVCNGNNKAETIAECVQTDSYADNQSGKHKDRRKSSLDVKTVASRTNEVSSQRRHSSMA
+RIHSMTIEAPITKVINIINAAQESSPMPVTEALDRVLEILRTTELYSPQFGAKDDDPHAN
+DLVGGLVSDGLRRLSGNEYVLSTKNLQQAPSSSIVPISLHDVPSQITRAMEKEEYWDFSI
+FELEAATHKRPLIYLGLKVFARFGVCEFLKCPEATLRSWLQVIEANYHASNPYHNSTHSA
+DVLHATAYFLCKERIKQTLDPLDEVAALIAATIHDVDHPGRTNSFLCNAGSELAILYNDM
+AVLEHHHAALAFQLTTGDDKCNIFKNMERNDYQTLRQGIIDMVLATEMTKHFEHVNKFVN
+IINKPLVALEEEEETDKDQEAINTMLRAPENRTLIKRMLIKCADVSNPCRPLEQCIEWAA
+RISEEYFSQTDEEKQRDLPVVMPVFDRNTCSIPKSQISFIDYFITDMFDAWDAFVDLPEL
+MQHLDNNFKYWKGLDDMKLRSLRPPPE
+>tr|A0A3Q1LLF2|A0A3Q1LLF2_BOVIN Methionine--tRNA ligase, cytoplasmic OS=Bos taurus OX=9913 GN=MARS PE=3 SV=1
+MRLFVSEGAPGSLPVLAAAGRAQGRAELLISTVGPEECVVPFLTRPKVPVLQLDSGNYLF
+STSAICRYFFLLSGWEQDDLTNQWLEWEATELQPALSAALYYLVVQGKKGEDVLGPVRRA
+LTHIDHSLSRRSCPFLAGETESLADIVLWGALYPLLQDPSYLPEELGALHSWFQTLSSQE
+PCQRAAETVLKQQGVLALRPYLQKQPQPSSLEGRLVSNEPEEEELATLSEEEIAVAVAAW
+EKGLESLPPLRPQQNPVLPVAGERNVLITSALPYVNNVPHLGNIIGCVLSADVFARYSRL
+RQWNTLYLCGTDEYGTATETKAMEEGLTPQEICDKYHVIHADIYRWFNISFDFFGRTTTP
+QQTKITQDIFQRLLARGFVLQDTVEQLRCEHCARFLADRFVEGVCPFCGYEEARGDQCDK
+CGKLINAIELKKPQCKVCRSCPVVKSSQHLFLDLPKLAARVEEWLEKTLPGSDWTANARF
+IIRSWLRDGLKPRCITRDLKWGTPVPLEGFEDKVFYVWFDATIGYLSITANYTDQWEKWW
+KNPEQVNLYQFMAKDNVPFHGIVFPSSALGAEDNYTLVSHLIATEYLNYEDGKFSKSRGV
+GVFGDMAQDTGIPADIWRFYLLYIRPEGQDSAFSWTDMLFKNNSELLNNLGNFINRAGMF
+VSKFFGGFVPEMVLTSDDQRLLTHITLELQHYHQLLEKVRIRDALRSILTISRHGNQYIQ
+VNEPWKRIKGGEADRQRAGTVTGLAVNIAALLSVMLQPYMPTVSATIQAQLQLPPPACSI
+LPTNFLCTLPAGHQIGTVSPLFQKLENDQIESLKQRFSGGQLEESLALKAKASPKTAAGL
+TTAGPQQIQALTEEVTKQGNIVRELKAQKADKNQIAAEVAKLLDLKKQLALAEGKPLETS
+KGKKKK
+>tr|A0A3Q1M0F1|A0A3Q1M0F1_BOVIN Ras interacting protein 1 OS=Bos taurus OX=9913 GN=RASIP1 PE=4 SV=1
+MSFGPLFWVCAPRRAPRSSSSDTGSRSSEPLPPPPPHVELRRVGAVKAAGGASGSRAKRI
+SQLFRGSGTGTTGSGGAGGPGTPGAAQRWASEKKLPELAAGVAPEPPLATRATAPPGVLK
+IFGAGLASGANYKSVLATARSTARELVAEALERYGLAGSPGSGPGESSCVDAFALCDALG
+RPAAGAVGSGEWRAEHLRVLGDSERPLLVQELWRARPGWARRFELRGREEARRLEQEAFG
+AADGDGTGAPSWRPQKNRSRAASGGAALASPGPGSGSGTPAGSGGKERSENLSLRRSVSE
+LSLQGRRRRQQERRQQALSMAPGAADAQIGPVDPGDFDQLTQCLIQAPSNRPYFLLLQGY
+QDAQDFVVYVMTREQHVFGRGGNSSARGGSPAPYVDTFLNAPDILPRHCTVRAGPEPPAM
+VRPSRGAPVTHNGCLLLREAELHPGDLLGLGEHFLFMYKDPRAGGSGPARPPWLPARPGA
+TPPGPGWAFSCRLCGRGLQERGEALAAYLDGREPVLRFRPREEEALLGEIVRTAAAGAGD
+LPPLGPATLLALCVQHSARELELGHLPRLLGRLARLIKETVWEKIKEIGDRQPENHPEGV
+PEVPLTPETVSVELRPLMLWMANTTELLSFVQEKVLEMEKEADQEDPQLCNDLELCDEAM
+ALLDEVIMCTFQQSVYYLTKTLYSTLPALLDSNPFTAGAELPGPGAELGAMPPGLKPTLG
+VFQAALELTSQCELHPDLVSQTFGYLFFFSNASLLNSLMERGQGRPFYQWSRAVQIRTNL
+DLVLDWLQGAGLGDIATEFFRKLSIAVNLLCVPRTSLLKASWSSLRTDHPTLTPAQLHHL
+LSHYQLGPGRGPPPAWDPPPAERDAVDTGDIFESFSSHPPLILPLGSSRLSLTGPVTDDA
+LHRELRRLRRLLWDLEQQELPANHRHGPPMATPP
+>tr|E1BFA2|E1BFA2_BOVIN Peptidase S1 domain-containing protein OS=Bos taurus OX=9913 GN=LOC527795 PE=3 SV=3
+MGRIYGGRDVEAGQWPWQASLRFQGSHICGAVLINSSWLLSTAHCFLKKSKAPENYQVLL
+GSIQLYQHTPQTREVSVSRIITHPDFEKLHPFGSDIAMLQLLFPVNFTSYIIPACLPVPG
+MKLPSNSSCWITGWGMLNEESERGYNLEGKVSFVENKFCNMLYGLAKGKNVSVQEDMLCA
+GDFSTGTSICLGDSGGPLVCDFTSSWVLMGLASWGFDCRHPIYPSIFTNVTYFTNWIEEI
+QRLTPNPEPTSALTPLPEPTSTPPQTQFPHQSLRAAASSVLGTAFVPPQTWPLLLFLLGS
+LRQATW
+>tr|A0A3Q1MCC8|A0A3Q1MCC8_BOVIN Phosphatase and actin regulator OS=Bos taurus OX=9913 GN=PHACTR4 PE=3 SV=1
+LSQKEIGQPTADLGMVMDCVEAGDITPPTKRKSKFSGFGKIFKPWKWRKKKSDKFKETSE
+VLERKISMRKPREELVKRGLLLEDSEQGGEDPGKLSHATLKNGHTTLIGSTRSSSPVQVE
+EESERIASLRKPVPEEEPKKRLGSSGSQPHSEAEFVPESIPKQPLLPPKRHLSSSHEANE
+GQAKDATSSGSLARPSSSASTTAITTAPAATMAATNPAKTVHSSGPPSQAPRTLPAAPAS
+THTTATLSLTHTGPAKQPPIPPPKPTHRNSNPVIAELSQAINSGTLLSKPSPPLPPKRGI
+PSALVPTSESAAATTATKAPSDQREKSACSVGSEPLLTPSSSPLPAHIPPEPPQSPPFPA
+KTFQVVPEIEFPPSLDLPQEIPQQESQKREVPKRMLDHSFGEPQVPPRLPPVPLHIRIQQ
+ALTSPLPVTPPLEGSHRAHSLLFENSDNFSEDSSTLGRTRSLPITIEMLKVPDDEEEEEQ
+SHIFAFDEDVASTSVIPKLPQCLQEEEEGKESDSDSEGPIQYRDEEDEDESHHSALANKV
+KRKDTLAMKLNHKPSEPEMNMNSWPRKTKNEADRQAEKREIKRRLTRKLSQRPTVAELLA
+RKILRFNEYVEVTDAHDYDRRADKPWTKLTPADKAAIRKELNEFKSSEMEVHEDSKHFTR
+>tr|A0A3Q1LMH8|A0A3Q1LMH8_BOVIN Histone deacetylase 11 OS=Bos taurus OX=9913 GN=HDAC11 PE=4 SV=1
+IVYSPRYNITFLGLEKLHPFDAGKWGKVISLLKEEKLLSDSMLVEAREASDEDLLVVHTR
+RYLNELKWSFAVATITEIPPVIFLPNFLVQRKVLRPLRTQTGGTIMVGGGFHHCSSDRGG
+GFCAYADITLAIKFLFDRVEGISKATIVDLDAHQGNGHERDFMGDKRVYIMDVYNRHIYP
+GDRFAKQAIRRKVELEWGTEDDEYLQKVERNLEKALQEHRPDIVVYNAGTDILEGDRLGG
+LAISPQGVVKRDELVFRIVRGRQLPILMVTSGGYQKRTARIIADSILNLYSLGLIGPESA
+SVSAQNSDTPLVTNLGRPALPPHPPVSAPNTPSPSTRACDPRGQAAMGLCRGASVPENHK
+GPSQ
+>tr|A0A3Q1MFZ5|A0A3Q1MFZ5_BOVIN MACPF domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+LLSKSAISLCFVLVTAGESGKSLCTNLADGGPWGFQGCKQQLNVSVLGALPGAGWDNLRN
+LELGLVLGRAYSQCLTTEDGEYLIPDGMLAVPRRETVVQTRADLMDSWVNYTDAWAASVN
+AEFSFLSFLNGKFSAECQNVRRYSLQYQTITTRVQRRHSIYSVRVRGTPDFHPDFRQRLL
+TLSDHLENNQTREAEYLAEMLVFAYGTHVLTEVEVGATLVQEDQVSRELVGNEDKDRLNV
+TFAASVLFDRKVGVGDAVSWDKESQLVQAYQRGTVASKIHSRGGPPFYEGLTLQKWQEGV
+ANRLVAIGRSGLPLPALLQPEALPELPAPAVRRVEAAVSRAIGRYYEVNMHPGCVKRGAP
+NFDPLANVDDGSCTDGQHANFSFGGVFQECEAITGPEGGRLCKPYTILNPLTGQTSCPAN
+YTASLLSSEVKVWSERSYQCWPQCQSCWLIFTCCHRMCGNLEIQRVVRVNASWCAPSGAT
+PTAAPGLLFGGLYSPGRPNPRTGAQACPSSFFPLTLLGDLKVCVSSDWELGVAHAVPFGG
+FFSCQVVFNLSVTMDHPMTCPAGYSQHPAYLSSGCQILYCLRAGVPLEPARAWVKLQGSS
+RWRQADIHDPSVAAQLRDQGGSQPSAGAVVGACLGAVLGVVALALGVTWGFRRYQKRGYR
+RLPEGILAEEQTVYGTAETAESPAPSDSYSDSCPWSR
+>tr|A0A3Q1LWY8|A0A3Q1LWY8_BOVIN ICAM_N domain-containing protein OS=Bos taurus OX=9913 GN=LOC616254 PE=4 SV=1
+MALTSLLPTEPASRGPKDSFRPGCKGLKPGSEIRSSPGNSGCWKLDLSHPVYPSQHHPFA
+DTGSPQPFPVSLRRPPKMSPFGGWGSLTAFLALLCCQGSGGKAFEGPEHLMVGSGEFQVI
+NCTASCTDPKSLVLETHLNKTLLESQAQWKLFKVYNISKDEHLVCSSICAGKQETKVFNI
+TVFYPPKQVLLTLSHTSVAVGTLFTIECRVPAVAPLEGLTVTLLRGTEILHNQTFEETAP
+FPHDAVVTHHTTAHREDGLHNFSCEAQMDLRSRGGGLVHRVSDPQRLEVKEPVPNNQMVT
+IITIVIMLLILFVTFVLLCRHRLRTSNT
+>tr|G3MX33|G3MX33_BOVIN Triple QxxK/R motif containing OS=Bos taurus OX=9913 GN=TRIQK PE=4 SV=2
+MNALLWYAKIVRAFRVRESNQNIIIGGIEFARNASTASSVKTLPLETSHLLAALQSSDLA
+ASRTKHKQASWRSKMGRKDASTIKLPVDQYRKQIGKQDYKKTKPILRATKLKAEAKKTAI
+GIKEVGLVLAAILALLLAFYAFFYLRLSTDVDPDLEPDEE
+>tr|F1N7V7|F1N7V7_BOVIN Brain-specific angiogenesis inhibitor 1-associated protein 2 OS=Bos taurus OX=9913 GN=BAIAP2 PE=4 SV=1
+MSLSRSEEMHRLTENVYKTIMEQFNPSLRNFIAMGKNYEKALAGVTYAAKGYFDALVKMG
+ELASESQGSKELGDVLFQMAEVHRQIQNQLEEMLKSFHNELLTQLEQKVELDSRYLSAAL
+KKYQTEQRSKGDALDKCQAELKKLRKKSQGSKNPQKYSDKELQYIDAIGNKQGELESYVS
+DGYKTALTEERRRFCFLVEKQCAVAKNSAAYHSKGKELLAQKLPLWQQACADPNKIPDRA
+VQLMQQMGNSNGSILPSGLSASKSNLVISDPIPGAKPLPVPPELAPFVGRLSAQENAPVM
+NGVSGPDSEDYNPWADRKATQPKSTSPPQSQSKLSDSYSNTLPVRKSVAPKNSYATTENK
+TLPRSSSMAAGLERNGRMRVKAIFSHAAGDNSTLLSFKEGDLITLLVPEARDGWHYGESE
+KTKMRGWFPFSYTRVLDNDGGDRLHMSLQQGKSSSTGNLLDKEDLALPPPDYGTSSRAFP
+TQTAGAFKQRPYSVAVPAFSQGLDDYGARAVSRNPFASVQLKPTVTNDRSAPLLS
+>tr|E1B9U9|E1B9U9_BOVIN Tuberoinfundibular peptide of 39 residues OS=Bos taurus OX=9913 GN=PTH2 PE=4 SV=2
+METRQVPRSPRVRLLLLLLLLVSWGHRTASGVALPPAGSSGRLRTPGEARAGPATPQSRR
+SLALADDAAFRERARLLAALERRHWLNSYMHKLLVLDAP
+>tr|F1N1C7|F1N1C7_BOVIN AE binding protein 1 OS=Bos taurus OX=9913 GN=AEBP1 PE=4 SV=3
+MAALLGAPLLGCLLVLLALCPGGRPQTVLTDDEIEEFLEGFLSELESEPREDDVEAPPPP
+EPTLPARKPQTGGKPGARPGVAEEVPPGKAKDKGKKGKKDKSPKATKQPPEGSSRPPKKP
+KEKPPKEKPPKATKKPKEKPPKATKKPKEKQPKEKPPKEKPPKEKPPKATKKPKEKPPKA
+TKRPLAGKKLSIPTPSESPQWPLPPLLSPDHEELPQEGGGPLPDPWGGPGEETDVEHQPE
+PEEETEQPTLDYNDQIEREDYEDFEYIRRQKQPRPPPSRRRPERPWPERPEEKAEPPGRG
+FEAPEEKIEPPPLKPLPPPLLPDYEDGYVIPNYDDMDYYFRPPKPQKPDTGLETDEEKEE
+LKKPKKEGSSPKEEETDDKWTVEKGKDPKGPRKGEESEEEWAPVEKIKCPPIGMESHRIE
+DNQIRASSMLRHGLGAQRGRLNMQAGDTEDDYYDGAWCAEDDSQTQWIEVDTRRTTKFTG
+VITQGRDSSIHDDFVTSFFVGFSNDSQTWVMYTNGYEEMTFHGNVDKDTPVLSELPEPVV
+ARFIRIYPLTWNGSLCMRLEVLGCPVSPVHSYYAQNEVVTTDDLDFRHHNYKDMRQLMKV
+VNEQCPTITRTYSLGKSSRGLKIYAMEISDNPGDHELGEPEFRYTAGIHGNEVLGRELLL
+LLMQYLCREYRDGNPRVRSLVQDTRIHLVPSLNPDGYEVAAQMGSEFGNWALGLWTEEGF
+DIYEDFPDLNSVLWGAEERKWVPYRVPNNNLPIPERYLSPDATVSTEVRAIIAWMEKNPF
+VLGANLNGGERLVSYPYDMARTPSQEQLLAAAMAAARGEDEEEVSEAQETPDHAIFRWLA
+ISFASTHLTMTEPYRGGCQAQDYTGGMGIVNGAKWKPRSGTINDFSYLHTNCLELSIFLG
+CDKFPHESELPREWENNKEALLTFMEQVHRGIKGVVTDEQGIPIANATISVSGINHGVKT
+ASGGDYWRILNPGEYRVTAHAEGYTPSSKTCNVDYDIGATQCNFILARSNWKRIREIMAM
+NGNRPIPRIDPSRPMTPQQRRMQRRRLQYRLRMREQMRLRRLNATTSPATTPTSPPPTPT
+LLPTADLAPSPAPSSTLGPWQFLPETTVNWEESETETETETETYTEVVTEFGTELGPEEE
+EGEEGEGEMVTGPDFPFTTVETYTVNFGDF
+>tr|F1MDC0|F1MDC0_BOVIN RBR-type E3 ubiquitin transferase OS=Bos taurus OX=9913 GN=ANKIB1 PE=3 SV=2
+MGSSTTKFRKALINGDENLACQIYESNPQLKESLDPNTSYGEPYQHNTPLHYAARHGMNR
+ILGTFLFGRDGNPNKRNVHNETSMHLLCMGPQIMISEGALHPRLARPAEDDFRRADCLQM
+ILRWKGAKLDQGEYERAAIDAVDNKKNTPLHYAAASGMKTCVELLVKHGGDLFAENENKD
+TPCDCAEKQHHKDLALNLESQMVFSRDPEAEEIEAEYAALDKREPYEGLRPQDLRRLKDM
+LIVETADMLQAPLFTAEALLRAHDWDREKLLEAWMSNPENCCQRSGVQMPTPPPSGYNAW
+DTLPSPRTPRTTRSSVTSPDELSLSPGDLDTSLCDICMCSISVFEDPVDMPCGHDFCRGC
+WESFLNLKIQEGEAHNIFCPAYDCFQLVPVDIIESVVSKEMDKRYLQFDIKAFVENNPAI
+KWCPTPGCERAVRLTKQGSDTSGSDTLSFPLLRAPAVDCGKGHLFCWECLGEAHEPCDCQ
+TWKNWLQKITEMKPEELVGVSEAYEDAANCLWLLTNSKPCANCKSPIQKNEGCNHMQCAK
+CKYDFCWICLEEWKKHSSSTGGYYRCTRYEVIQHVEEQSKEMTVEAEKKHKRFQELDRFM
+HYYTRFKNHEHSYQLEQRLLKTAKEKMEQLSRALKETEGACPDTTFIEDAVHVLLKTRRI
+LKCSYPYGFFLELKSTKKEIFELMQTDLEMVTEDLAQKVNRPYLRTPRHKIIKAACLVQQ
+KRQEFLASVARGVAPADSPEAPRRSFAGGTWDWEYLGFASPEEYAEFQYRRRHRQQRRRG
+DVHSLLSNPPDPDEPSESTLDLPEGGGGRRPGTSVVSSASMSALHTSSLRDYTPASRSEN
+QDSLQALSSLDEDDPNILLAIQLSLQESGLAIDEESTDFLSNEASLGAIGTSLPSRLDSV
+PRNTDSPRAALSSSELLELGDSLMRLGAERDPFSTDTLSSHPPSEARSEFFPSSSDPDSA
+SQDANINDNLLGNIMAWFHDMNPQSIALIPPAATEVSADSQLPCVKDESEGVRDVELMPP
+EDSVFGDAAISEGRGTQRGEENALEGNILAGEAASQAGDSGNEAASKGDGSDVSSQTPQT
+SSDWPEQVHLV
+>tr|F1MUR7|F1MUR7_BOVIN Reticulophagy regulator family member 2 OS=Bos taurus OX=9913 GN=RETREG2 PE=4 SV=1
+MASGGVGGNTGTGGGPGLGLTLGLGLGMGEATGEAEEEAATAEAVGRLATTLWLRLRGWE
+AVLAAAQRLLVWEKPLHSLVTAAALNGLFWLLSSSSLRPFFLLSISLLAYFLLDLWQPRF
+LPDISASSPEEPHSDSEGAGSGARPHLLSVPELCRYLAESWLTFQIHLQELLQYKRQNPA
+QFCARVCSGCAVLAVLGHYVPGIMISYIVLLSILLWPLVVYHELIQRMYTRLEPLLMQLD
+YSMKAEADALHHKHDKRKRQGKNAPPGGDEPLAETESESEAELAGFSPVVDVKKTALALA
+ITDSELSDEEASILESGGFSVSRATTPQLTDVSEDLDQQSLPSEPEEALSRELGEGEETD
+LAPPEDLLGPPQALSRQDLDLEEEEDAASKEALLQLSSPLHFVNTHFNGAGSPTDGVMLS
+PGGPVETLSPEAVSGDLTTPPSTLLPLLGLAESDPVPSPSVLPSLPQDSPQPLPAPEEEE
+ALTTEDFELLDQGELEQLNAELGLGPETCPEPPDAPPPPPLGPDTPSLVQSDQEAQAMAE
+P
+>tr|F1MKV4|F1MKV4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=KIAA1549 PE=4 SV=3
+MPRESAVPSTAYVALTETAPGSLQSSSLHVTSSPSTMTFDTAFFNQGKWTQSTADPSIFA
+ENYVAVTSNEVLDDDEMDNFLPETYWTTSRVVSPVRYVTVSPPEPPKETLESVLTPSLPV
+IPLQDEEVTSAWLNTEQQPTTHAELPGHFNAFQSAFPTSEGIIPMPSRNLVFYPSDACGH
+VSSRTLPEIVASGAEGSGTLLLSSQSSESWPPGDDVTQQPSPPSGQVSPSPEDALATDTD
+RYPDVTTALGQSLDKTVSPGTVLHRPAALSPMVLAFSSSSARWALFPTPLASGSFSAQSA
+GVSHNPFLPGDSSEASELSGHSVVPSGVGDSHVWSPVSSFRPYTWCVSCAAVLSRPVLST
+SLTEKDTGSGDGAETLSPTPLEASSISPLSSVVTDFSEFEEDSQEFNTLFPSRPMVPLSS
+PSGEISATSISVAAEGEMSSVVTAQVYPPHGHPSVPVSPDAALGSASVAQVMPSSVTAVP
+SSVTPGVLLDSSYPITANRNTPSLAVSGPGLFTSYSLDPPAESSLFPDREPVNFSQLETA
+SIWGSASSFFSTPPLEYSSSVPPSSEVFASPSLRSRDLSTFVSQAFSSLVETPTLSDAIN
+SQSPQPSVPGSTNLEFSQPWPSSDFLLSTFTLLPGYSAMSRHSSFPSDSLKFSEAPTVLL
+TDTEAHFTSAFTETTSSVESSLTSRESAVTTLVPSISEPVLTADSHFMSLWTAVPTSPVL
+TEPSLFSTPAPSGDLSAVNDHMSVLPSFSEVTPASTVLVTAGHLPSASSSVSDVTPSPLP
+AEPAGGSHGPSFTPADLPRNTSTEPSISDTSGAPENSGHTTLNSRTASQDLHEGGTVLPA
+LSLHPVSTPTPEASVHTPALVTTKSPYVCDITVPDAYLITTVLARRAVQEYIITSIKEVL
+RTHFNRVVELKVYELFADFTFLVTSGPFVYTAISVINVLINSKLVRDQTPLILAVKPSFL
+VPESRFQVQTVLQFVPQSVDTGFCNFTQRIEKGLMIALSEVRKHHQGRYNLTVQILNITV
+GSSRLAPRRGPVNIVFAVRGSQGFLNGSEVSELLRNLSVVEFSFYLGYPVLQIAEPFQYP
+QLNLSQLLKSSWVRTVLLGVVEKQLQNEVFQAEMERKLAQLLSEVSTRRRMWRRATITAG
+NNMVQVVNVSRLEGDDNPVQLIYFVEDQDGERLSAVKSSDLINKIDIQRAAIILGYRIQG
+AVAQPVDRVKRPSPEAQSSNLWVIVGVVVPALVVLVIVIILYWKLCRTDKLDFQPDTVAS
+VQQRQKLQIPSVKGFDFAKQHLGQHNKDDILIIHEPAPLPGPVKDHTTPSENGDVPSPKA
+KIPSKNIRHRGRVSPSDADSTVSEESSEREAGDKTAGAVTDGKSHRAPQSGPAPSSGNEQ
+HSSASIFEHVDRISRSSEASRRVPSKIQLIAMQPIPAPPAPHPILADRVAETNKINKEIQ
+TALRHKSEIEHHRNKIRLRAKRRGHYEFPVLDELSSGDTRERHRVYRRAQMQIDKILDPT
+ASVPSVFIEPRKSSRIKRSPKPRRKHQVNGCPGDAEKDRLITTDSDGTYKRPPGVHNSAY
+IGCPSDPDLPADVPTPSSAELGRYPGLPFPASQYIPPQPSIEEARQTMHSLLDDAFALVA
+PSSQPPNAAAAGPGVPAGLPVNSTPSREERRATQWGSFYSPAQTANNPCSRYEDYGMTPP
+SGPLPRPSFGPGLLQSSELVPPEPPQPQASSEATSFAARGIYSEEGPSVARPRPVGGTTG
+SQIQHLTQVGIASRIGAQPVEIPPSRGGQYGGPGWPAYGEDEAGRREATHVLGHQEYSSP
+LFQVPRTSGREPSAPPGNLPHRGLPGAGLAYPTSSTEDLQPSHSSASLIKAIREELLRLS
+QKQTAVQNFHS
+>tr|G3N227|G3N227_BOVIN TGF-beta activated kinase 1 (MAP3K7) binding protein 3 OS=Bos taurus OX=9913 GN=TAB3 PE=4 SV=2
+MAQSSPQLDMQVLHDLRQRFPEIPEGVVSQCMLQNNNNLEACCRALSQESSKYLYMEYHS
+PDDSRMNRNRLLHINLGIHSPSSYHPGDGVQLNGGRTLVHSSSDGHIDPQHAAGKQLICL
+VQEPHSAPAVVATTPNYNPFFMNEQNRSAATPPSQPPQQPSSMQTGMTPSAMQGPSPPPP
+PPPSYMHIPRYSTNPITVTVSQNLPSGQTVPRALQILPQIPSNLYGSPGSIYIRQTSQSS
+SGRQTPQNTPWQSSPQGPVPHYSQRPLPVYPHQQNYPPSQYSPKQQQIPQPAYHSPPPSQ
+CPSPFSSPQHQVQPSPLGHPSSHVFMPPSPSTTPPHPYQQGPPSYQKQGSHSVAYLPYTT
+SSLPKGSMKKIEITVEPSQRSGTAMNRSPSPISNQPSPRNQHSLYTATTPPSSSPSRGIS
+SQPKPPFTVNPVYITYTQPTGPSCAPSPSPRMIPNPTTVFKITVGRAMTENLLNLVDQEE
+RSAAPEPIQPISVIPGSGGEKGSHKYQRSSSSGSDDYAYTQALLLHQRARMERLAKQLKL
+EKEELERLKAEVNGMEHDLMQRRLRRVSCTTAIPTPEEMTRLRSMNRQLQINVDCTLKEV
+DLLQSRGNFDPKAMNNFYDNIEPGPVVPPKPPKKEHPGSSKQSPRTQPRDEDYEGAPWNC
+DSCTFLNHPALNRCEQCEMPRYT
+>tr|F1MDF1|F1MDF1_BOVIN Protein tyrosine phosphatase, non-receptor type 18 OS=Bos taurus OX=9913 GN=PTPN18 PE=4 SV=2
+MSRSLDAARSFLEQLEALGGRHGVVFAGEFSDIRARSAAWKTESVRSTEAGSRPENVRKN
+RYKDVLPYDQTRVILSLLQEEGHGDYINGNFIRGTDGSQAYIATQGPLPHTLLDFWRLIW
+EFGVKVILMACQEMENGRKKCERYWALQQEPLQIGPFCITLTRDMWLNPDIKLRILQVTF
+QKESRSVFQLQYMSWPDRGVPSNPDNVLAMVEKARHLQGSGPNPLCVHCSAGCGRTGVLC
+TVDYVRQLLLTQMIPPNFSLFSVVLEIRKQRPLAIQTEEQYRFLYHTVSQMFLSAIQNAS
+PHYQNLKENCVPLYDDALSLWTSQNLNAIARPSSGVLRSISVLGPPALSMADTYAVVQKR
+AAPAGTGAGAGARARGTEDTPLYSQVKPRAWRHQALSEDARGAQQGRVPVDESLAGPGAY
+EDVADRAQTGGLGFNLRIGRPKGPRDPPAEWTRV
+>tr|A0A3Q1LQ58|A0A3Q1LQ58_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=SPPL2C PE=4 SV=1
+LFHPLAARRGPEEDDEDSPVDFTPAMTGAVVTMSCSIMLLLYFFYDCFVYIMIAIFGLGA
+GTGLYSCLVPVVRHLPVWQDQWLLPGRRACLQLPLLLLAGLCLVVTVLWVAYRNEDRWAW
+LLQDTLGVAYCLFVLRRMRLPTLKSCASFLLALLVFDVFFVFITPLLTRTGESIMVGVAS
+GPADSLSHERLPMVLKVPRLSFSALTLCDQPFSILGFGDIVVPGFLVAYCHRFDVQIHSR
+QVYFVACTAAYAVGLLVTFFAMALMQMGQPALLYLVSSTLLTSLAVAACRQELTLFWTGQ
+VRGKALTRPVPGLCGAPSVGSEQKQEHAADIHRDGAASPEGHSDSSEGWSDANLETSARM
+NS
+>tr|A0A3Q1MH57|A0A3Q1MH57_BOVIN Septin 9 OS=Bos taurus OX=9913 GN=SEPTIN9 PE=1 SV=1
+MKKSYTGVTRTSSGRLRKLGDPTGPALKRSFEVEEVETPNSTPPRRVQTPLLRSSVASST
+QKFQDLGVKNSEPAARHVDSLSQRSPKAALRRVELSGPKAEPVSRRTEISIDISSKQVEN
+AGTAGPSRFGLKRAEALGHKTPEPAPRRTEITIVKPQESAHRRMETPASKMPEVPAAPAA
+DAAPKRVEIQVPKPAEGPASPIPPQSLENSELAPMSQLQSRLEPKPQPPVAEIPPKSQEA
+TEAAPSSAGDMADTPRDAALKQPPAPRNEKAPVDFGYVGIDSILEQMRRKAMKQGFEFNI
+MVVGQSGLGKSTLINTLFKSKISRKSVQPTSEERIPKTIEIKSITHDIEEKGVRMKLTVI
+DTPGFGDHINNENCWQPIMQFINDQYEKYLQEEVNINRKKRIPDTRVHCCLYFIPATGHS
+LRPLDIEFMKRLSKVVNIVPVIAKADTLTLEERVYFKQRITADLLSNGIDVYPQKEFDED
+SEDRLVNEKFREMIPFAVVGSDHEYQVNGKRILGRKTKWGTIEVENTTHCEFAYLRDLLI
+RTHMQNIKDITSTIHFEAYRVKRLHEGSSAVANGVEEKAPEAQEM
+>tr|A0A3Q1LSF2|A0A3Q1LSF2_BOVIN Kruppel like factor 11 OS=Bos taurus OX=9913 GN=KLF11 PE=4 SV=1
+MHTPGSAGPGDAPRVVDIMDICESILERKRHDSERSTCSILEQNDMEAVEALVCMSSWGQ
+RSQKADLLNIRPLTPVSDSGDVTTSVHVDTVPSELPKDFHSLSTLCMTPPQSPDLLEPLT
+GTVVPPQVTASKAPVVMAVPQASAGAARVLNRTAEGGLPGPKPELLEPAASFPCRATVTG
+ITGHAGGSPTSAHHSCPTAQTQEHQLSACTEGEAQFLGHVETLQDMHLTDSLLSMNSVSC
+QPCLHKSSGLIPTNKGQQAGWPIGIQTCSPKNYDNDLARKATPLISIPIPSPPVLCQMIP
+VTGSSGLLPAVLKPPPQVSAGLVKPVLPHAAPALPPVFVGTSVPQGTMMLLLPQGALPQP
+TTCSAGNMPVGNTRFLPLAPAPVFIASSQSCAPQVDFSRRRNYVCHFPGCRKTYFKSSHL
+KAHLRTHTGEKPFGCSWDGCNKKFARSDELSRHRRTHTGEKKFVCPVCDRRFMRSDHLTK
+HARRHMATKRVPGWQAAVGRLNRAASAEDPGSPLEPECAPASA
+>tr|A0A3Q1LL70|A0A3Q1LL70_BOVIN XPA binding protein 2 OS=Bos taurus OX=9913 GN=XAB2 PE=4 SV=1
+MVVMARLSRPERPDLVFEEEDLPYEEEIMRNQFSVKCWLRYIEFKQGAPKPRLNQLYERA
+LKLLPCSYKLWYRYLKARRAQVKHRCVTDPAYEDVNNCHERAFVFMHKMPRLWLDYCQFL
+MDQGRVTHTRRTFDRALRALPITQHSRIWPLYLRFLRSHPLPETAVRGYRRFLKLSPESA
+EEYIEYLKSSDRLDEAAQRLATVVNDERFVSKAGKSNYQLWHELCDLISQNPDKVQSLNV
+DAIIRGGLTRFTDQLGKLWCSLADYYIRSGHFEKARDVYEEAIRTVMTVRDFTQVFDSYA
+QFEESMIAAKMETASELGREEEDDVDLELRLARFEQLISRRPLLLNSVLLRQNPHHVHEW
+HKRVALHQGRPREIINTYTEAVQTVDPFKATGKPHTLWVAFAKFYEDNGQLDDARVILEK
+ATKVSFKQVDDLASVWCECGELELRHENYDQALRLLRKATALPARRAEYFDGSEPVQNRV
+YKSLKVWSMLADLEESLGTFQSTKAVYDRILDLRIATPQIVINYAMFLEEHKYFEESFKA
+YERGISLFKWPNVSDIWSTYLTKFIARYGGRKLERARDLFEQALDGCPPKYAKTLYLLYA
+QLEEEWGLARHAMAVYERATRAVEPAQQYDMFNIYIKRAAEIYGVTHTRSIYQKAIEVLS
+DEHAREMCLRFADMECKLGEIDRARAIYSFCSQICDPRTTGAFWQTWKDFEVRHGNEDTI
+REMLRIRRSVQATYNTQVNFMASQMLKVSGSATGTVSDLAPGQSGMDDMKLLEQRAEQLA
+AEAERDQPSRAQSKILFVRSDASREELAELAQQANPEEIELGEDEDEDEMDLEPNGLQKP
+LCILH
+>tr|A0A3Q1LWW0|A0A3Q1LWW0_BOVIN PHD finger protein 8 OS=Bos taurus OX=9913 GN=PHF8 PE=4 SV=1
+MASVPVYCLCRLPYDVTRFMIECDMCQDWFHGSCVGVEEEKAADIDLYHCPNCEVLHGPS
+IMKKRRVSSKGHDTHKGKPVKTGSPTFIRELRSRTFDSSDEVILKPTGSQLTVEFLEENS
+FSVPILVLKKDGLGMTLPSPSFTVRDVEHYVGSDKEIDVIDVARQADCKMKLGDFVKYYY
+SGKREKVLNVISLEFSDTRLSNLVETPKIVRKLSWVENLWPEECIFERPNVQKYCLMSVR
+DSYTDFHIDFGGTSVWYHVLKGEKIFYLIRPTNANLTLFECWSSSSNQNEMFFGDQVDKC
+YKCSVKQGQTLFIPTGWIHAVLTPVDCLAFGGNFLHSLNIEMQLKAYEIEKRLSTADLFK
+FPNFETICWYVGKHILDIFRGLRENRRHPASYLVHGGKALNLAFRAWTKKEALPDHEDEI
+PETVRTVQLIKDLAREIRLVEDIFQQNVGKTSNIFGLQRIFPAGSIPLTRPAHSTSVSMS
+RLSLPSKSGSKKKGLKPKEFFKKAERKGKESSALGPAGQLSYNLMDTYNHQALKTGSSQK
+AKFNITGTCLNDSDDDSPDLDLDGNEGPLALLMSNGSTKKVKSLSKSRRAKIVKKADKTR
+VVSEQVMEDELDLDSDDELQIDERLGKEKATLLIRPKFPRKLPRAKPCSDPNRVREPGEV
+EFDIEEDYTTDEDLIEGVEGKLGNGSGAGGILDLLKASRQVGGPDYAALTEAPASPSTQE
+AIQGMLCMANLQSSSSSPATSSLQAWWTGGQDRSSTSSNSGLGTMSSSPASQRTPGKRPI
+KRPAYWKTESEEEEENASLDEQDSLGACFKDAEYRNLSNPGIKSRSPTLQVDSLPSEPTR
+KPKNTGVGNLSLLQEIFLTQELNQGLLHCRWILHQLSYQGSPQNNRNYSFHSS
+>tr|A0A3Q1MHD7|A0A3Q1MHD7_BOVIN Drosha ribonuclease III OS=Bos taurus OX=9913 GN=DROSHA PE=3 SV=1
+MSFHPGGPRCPRGRGGHGARPSSAPAFRPQNLRLLHPQQPPVQYQYEPPSAPSTTFSNSP
+APNFLPPRPDFVPFPPPMPPSAQGPLPPCPIRPPFPNHQMRPPFPVPPCFPPMPPPLPCP
+NNPPVPGAPPGQGAFPFMMPPPSLPHPPPPPVVPQQVNYQYPPGYSHHSFPPPNFNSFQN
+SPSSFPPSASNSSSPHFRHLPPYPLPKAPSERRSPERLKHYDDHRHRDHSHGRGERHRSL
+ERRERGRSPDRRRQDSRYRSDHERGRTPSRHRSYERSSRSPSREKKRGRWEEEKDRWSDI
+PGSGKEKNYTSIKEKEPEEGLPDKNEEEEEELLKPVWIRCTHSENYYSSDPMDQVGDSTV
+VGTSRLRDLYDKFEEELGSRQEKAKAARPPWEPPKTKLDEDLESSSESECESDEDSTCSS
+SSDSEVFDVIAEIKRKKAHPDRLHDELWYNDPGQMNDGPLCKCSAKARRTGIRHSIYPGE
+EAIKPCRPMTNNAGRLFHYRITVSPPTNFLTDRPTVIEYDDHEYIFEGFSMFAHAPLTNI
+PLCKVIRFNIDYTIHFIEEMMPENFCVKGLELFSLFLFRDILELYDWNLKGPLFEDSPPC
+CPRFHFMPRFVRFLPDGGKEVLSMHQILLYLLRCSKALVPEEEIANMLQWEELEWQKYAE
+ECKGMIVTNPGAKPSSVRIDQLDREQFNPDVITFPIIVHFGIRPAQLSYAGDPQYQKLWK
+SYVKLRHLLANSPKVKQTDKQKLAQREEALQKIRQKNTMRREVTVELSSQGFWKTGIRSD
+VCQHAMMLPVLTHHIRYHQCLMHLDKLIGYTFQDRCLLQLAMTHPSHHLNFGMNPDHARN
+SLSNCGIRQPKYGDRKVHHMHMRKKGINTLINIMSRLGQDDPTPSRINHNERLEFLGDAV
+VEFLTSVHLYYLFPSLEEGGLATYRTAIVQNQHLAMLAKKLELDRFMLYAHGPDLCRESD
+LRHAMANCFEALIGAVYLEGSLEEAKQLFGRLLFNDPDLREVWLNYPLHPLQLQEPNTDR
+QLIETSPVLQKLTEFEDAIGVIFTHVRLLARAFTLRTVGFNHLTLGHNQRMEFLGDSIMQ
+LVATEYLFIHFPDHHEGHLTLLRSSLVNNRTQAKVAEELGMQEYAITNDKTKRPVALRTK
+TLADLLESFIAALYIDKDLEYVHTFMNVCFFPRLKEFILNQDWNDPKSQLQQCCLTLRTE
+GKEPDIPLYKTLQTVGPSHARTYTVAVYFKGERIGCGKGPSIQQAEMGAAMDALEKYNFP
+QMAHQKRFIERKYRQELKEMRWEREHQEKEPDETEDIKK
+>tr|A0A3Q1MBI1|A0A3Q1MBI1_BOVIN 6-phosphofructo-2-kinase/fructose-2,6-bisphosphatase 3 OS=Bos taurus OX=9913 GN=PFKFB3 PE=4 SV=1
+MPLELTQSRVQKIWIPVDHRPSLPRTCGPKLTNSPTVIVMVGLPARGKTYISKKLTRYLN
+WIGVPTKVFNVGEYRREAVKQYSSYNFFRPDNEEAMKVRKQCALAALRDVKSYLTKEGGQ
+IAVFDATNTTRERRHMILHFAKENDFKVFFIESVCDDPTVVASNIMEVKISSPDYKDCNS
+AEAMDDFMKRINCYEASYQPLDPDKCDRDLSLIKVIDVGRRFLVNRVQDHIQSRIVYYLM
+NIHVQPRTIYLCRHGESEHNLQGKIGGDSGLSSRGRKFANALSKFVEEQNLKDLKVWTSQ
+LKSTIQTAEALQLPYEQWKALNEIDAGVCEEMTYEEIKDTYPEEYALREQDKYYYRYPTG
+ESYQDLVQRLEPVIMELERQENVLVICHQAVLRCLLAYFLDKSAEEMPYLKCPLHAVLKL
+TPIAYGCRVESIYLNVESVSTHRERSEDAKKGPNPLMRRNSVTPLASPEPTKKPRINSFE
+EHVASTSAALPTCLPPEVPTQLPGQNGKGCVSRRR
+>tr|G3MWK1|G3MWK1_BOVIN Paralemmin 3 OS=Bos taurus OX=9913 GN=PALM3 PE=4 SV=2
+MAESSLYRQRLEVIAEKRRLQEEIRAARRELEEEKLRVERLKRKSLRERWLMDGAAEEPE
+RPQDPTSQDPQSPEDQAQARIRNLEDSLFTLQSQLQLLQSASTGAQHKPSGRPTWRRQGH
+RPLSQPNVESGPAGKTDLNKRASLPAGPVGAYPESPSEPRTEAAGVPAAPRRVPGAAGTS
+SEANGPCPGSSPPLEQEPSQGVLTPEGAVNEAKGGGMVKVVWEGLRPTEDCAIGATGLEL
+EAKVEEMVLEAIGDRQEASRPELPSWVKEDRAITEVVWEGMGGTEGSDLEAMAEAGRGPE
+AVQTSSLGLREGSGGTAPGQGAPRSSPDGDGQGVFGEEGSFIWVERVTLSEEWEELEVEG
+LEGPKALGREEEDESPLGAEGRGEEETWEAERRRVEGPEGAEKRGSEGKAGTEPEGAEMS
+LALERKGSPDSMEPERRGEERVETEMAGGNEPLSAERKEVEGPLGAERGRDEKPLGVEQK
+GGEEKLEAIQEPLAAEREEGEESLAAERIGSEKPLEEKEKGDDKSQRVERMGDEEPLEAE
+KTGDEKPQKVEGTGGEELLEAEKTRDEKPQKVERTGGEEPSEVEKNEDEDSLKVERMGGE
+EPLQTEKTRSVEEEQRESEEEKECQAEDVSEAGAPPEAKEAPRPEDERQQPQEKQEGSPE
+AEAVKPQTPAEGQDPTGDATPLLAEMSAQDQPAECQPLLQMEGPRANPSAHPMPTYAPAR
+QPEPSAPPEGEEASGPKQKTCQCCAVM
+>tr|E1BFB3|E1BFB3_BOVIN Striatin OS=Bos taurus OX=9913 GN=STRN PE=4 SV=2
+MDEQAGPGVFFSNNHPAAGGAKGLGPLAEAAAAGDGVAAAGAARAQYSLPGILHFLQHEW
+ARFEVERAQWEVERAELQAQIAFLQGERKGQENLKKDLVRRIKMLEYALKQERAKYHKLK
+YGTELNQGDMKPPSYDSDEGNETEVQPQQNSQLMWKQGRQLLRQYLQEVGYTDTILDVKS
+KRVRALLGFSSDVTDREDDKNQDSVINGTEAEVKETAMIGKSELTDSASVLENFKFLESA
+AADFSDEDEDDDIDGREKSIIDTSTIVRKKVLPDSSEDRDTKEALKEFDFLVTSEEGDND
+SRSAGDGTDWEKEDQCLMPEAWNVDQGVITKLKEQYKKERKGKKGVKRKTTEDLFQPLSY
+IKQSKQGCGRMKHQSTGPNRSKLQDMLANLRDVDELSSLQPSVGSPSRPSSSRLPEHEIN
+RADEVEALTFPPSSGKSFIMGADEALESELGLGELAGLTVANEADSLTYDIANNKDALRK
+TWNPKFTLRSHFDGIRALAFHPTEPVLITASEDHTLKMWNLQKTAPAKKSTSLDVEPIYT
+FRAHKGPVLCVVMSSSGEQCYSGGADGLIQSWNTTNPNIDPYDSYDPSVLRGPLLGHTDA
+VWGLAYSAAHQRLLSCSADGTLRLWNTTEVAPALSVFNDNQELGVPASVDLVSSDPSHMV
+ASFSKGYTSIFNMETQQRILTLESNLDSTANSSCQINRVISHPTLPISITAHEDRHIKFY
+DNNTGKLIHSMVAHLEAVTSLAVDPNGLYLMSGSHDCSIRLWNLESKTSLYK
+>tr|A0A3Q1M7M4|A0A3Q1M7M4_BOVIN RING-type domain-containing protein OS=Bos taurus OX=9913 GN=RNF150 PE=4 SV=1
+MAMSLIQACRSLALSTWLLSFCFVHLVCLDFTVAEKEEWYTAFVNITYAEPAPDSGAGAA
+GGATTAAELHTERTECGRYGEHSPKQDARGEVVMASSAHDRLACDPNTKFAAPAHGKNWI
+ALIPKGNCTYRDKIRNAFLQNASAVVIFNVGSNTNETITMPHAGVEDIVAIMIPEPKGKE
+IVSLLERNITVTMYITIGTRNLQKYVSRTSVVFVSISFIVLMIISLAWLVFYYIQRFRYA
+NARDRNQRRLGDAAKKAISKLQIRTIKKGDKEMEPDFDNCAVCIEGYKPNDIVRILPCRH
+LFHKSCVDPWLLDHRTCPMCKMNILKALGIPPNADCLDDLPTDYEGSLGGPPTNQITGAS
+DTTVNESSVTLDPAVRTVGALQVVQDPDPAPQEGEIIFTTNSEQEPAISSDSDISLIMAM
+EVGLSDVELSTDQDCEELEG
+>tr|A0A3Q1N669|A0A3Q1N669_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=PMS2 PE=4 SV=1
+MNIIIGYINVMDGLDLLTVNRDELFPTVQTRMKIHNCLLALSLVTGFFSSELAKAIKPID
+RKSVHQICSGQVVLGLSTAVKELVENSVDAGATSIDLRLKDYGVELIEVSDNGCGVEEEN
+FEGLTLKHHTSKIQEFADLTQVETFGFRGEALSSLCALSDVTISTCHTSVKVGTRLVFDH
+NGKILQKTPYPRPRGTTVSVQQLFYTLPVRHKEFQRNIKKEFAKMVQVLQAYCIISAGVR
+ISCTNQVGQGKRQPVVCTSGSSSMKENIGLVFGQKQLQSLIPFVQLPPSDSICEEYGLSC
+SQALHNLFCISGFVSHCAHGVGRSSTDRQFFFINRRPCDPSKVSRLVNEVYHMYNRHQYP
+FVVLNVSVDSACVDINVTPDKRQILLQEEKLLLAVLKTSLIGMFESDVNKLQVSQQPLLD
+VEGHLIKRPSAEMEKPEPEKKDDPAPLRTRGEEKRVVTISRLRETFSLRHSTENKSRGPK
+ATDPRRVSPKQKSSAQFPRALGPPCSQKHISEPGEEGRTSAQGPHDLMSRVEMEEDSGHG
+SASAGSEEASSTPETSSHPSTDRVASSPEDKFSQENMESREKLPETDHRLSGTKCHLNQE
+ESSSTSGVLPQPTKLSSPNAKRFTKEGIPLNPSVLAESVKAQSASATVDVAVKINKKIVP
+LDFSMSSLAKRIKQLCQQEQQQESQQNYRKFRAKICPGENQAAEDELRKEISKTMFAEME
+IIGQFNLGFIITKLNADIFIVDQHATDEKYNFEMLQQHTVLQGQRLIAPQTLSLTAVNEA
+ILIENLEIFRKNGFDFVIDEHAPVTERAKLISLPTSKNWTFGPQDIDELLFMLSDSPGVM
+CRPSRVRQMFASRACRKSVSKERSPAGPPGVCFRLGVLGSAPDSPVKCGRWSPWAMCLEG
+PVVLSQRNLSPARPP
+>tr|A0A3Q1M0I0|A0A3Q1M0I0_BOVIN T-complex 11 like 1 OS=Bos taurus OX=9913 GN=TCP11L1 PE=4 SV=1
+MSENLDKSNINEAGKSKSSDSEQGLEDAVEVSDEALQKKIKSGSPSTQRVQRPHSSPPRF
+VTVEELLETAKGVTNMALAHEIVINGDFQIKPVELPEDSLEKRVKDIVHKAFWDCLSVQL
+SEVPPTYDRAIKLVGEIKETLLSFLLPGHTRLRNQITEVLDLDLIKQEAENGALDISKLA
+EFIIGMMGTLCAPVRDEEVKKLKDIKEIVPLFRAIFSVLDLMKVDMANFAVSSIRPHLMQ
+QSIEYERKQFQEFLEKQPNSLDFVTQWLQEATDDLMSQKYKNALPAAGGAAGSGDGPQLD
+PVAVQNYAYLKLLKWDHLHRPFPETVLMDHSRFQEFQLQLEQLTVLGAVLLVTFSMAAPG
+IASRADFAEKLKMIVKILLTDMHLPFPNPDLLRRLPCLKSSEAIAHSPWGIGSDSKRAGG
+SCR
+>tr|A0A3Q1NCM2|A0A3Q1NCM2_BOVIN Sin3A associated protein 25 OS=Bos taurus OX=9913 GN=SAP25 PE=4 SV=1
+MLPWTPRQWGAGEEQAPRERRPSVGSGLSQAWDSREKALGEPGTAAQGAHSVRKFQLSLL
+NNGYDPSPHTGHPVPFSPQRTWGVAPSKMALLAPWDPNYEATAGRRLVWGPSCASGVSFS
+GRTLYHPSFWPLYQAASCRGLRPSLAGHRGEEQAFSSPGFPVMCSEDVFFLDPLLPHGQR
+VPLYLSEVPQQVSAPPVMGSLKLLLPRPIMSPWLLPIPSSGCSTTWLSGPELIALTGLLQ
+MSQGEPRPSSSGAPGLPSGSPAPASDHPAASGGPGCSHCGEPSLPGTPDAQGP
+>tr|E1B7X8|E1B7X8_BOVIN S-adenosylmethionine sensor upstream of mTORC1 OS=Bos taurus OX=9913 GN=BMT2 PE=3 SV=1
+MEPGPGGRSAARGHGAGPASTPPPREQERKLEQEKLSGVVKSVHRRLRKKYREVGDFDKI
+WREHCEDEETLCEYAVAMKNLADNHWAKTCEGEGRIEWCCSVCREYFQNGGKRKALEKDE
+KRAILGTKTTPALNTHESSKLEGHLTNLSFTNPEFITELLQTSGKIRLLDVGSCFNPFLK
+FEEFLTVGIDIVPAVESVYKCDFLNLQLQQPLQLAQDAIDAFLKQLKNPIDSLPGELFHV
+VVFSLLLSYFPSPYQRWICCKKAHELLVLNGLLLIITPDSSHQNRHAMMMKSWKIAIESL
+GFKRFKYSKFSHMHLMAFRKTSLKTTSDLVSRNYPGMLYIPQDFNSIEDEEYSNPSCYVR
+SDIEDEQLAYGFTELPDAPYDSDSGESQASSIPFYELEDPILLLS
+>tr|A0A3Q1NIM5|A0A3Q1NIM5_BOVIN Protein phosphatase 1 regulatory inhibitor subunit 1A OS=Bos taurus OX=9913 GN=PPP1R1A PE=4 SV=1
+MEQDHSPRKIQFTVPLLEPHLDPEAAEQIRRRRPTPATLVLTSDQSSPEVDEDRIPNPLL
+KPTLSMSPRQRKKATRTTPTMKELQMMVEHHLGQQEPGEEPEGAAESTGTQESCPPGITD
+AAVESRPGTSGKTHTRQRTHTKHARNGSCMSMDPYPRCGPEVRIFPALPRACRVHP
+>tr|A0A3Q1NHT8|A0A3Q1NHT8_BOVIN Deleted in azoospermia like OS=Bos taurus OX=9913 GN=DAZL PE=4 SV=1
+MTSTPNSTISREASTQSSSATTSQGYVLPEGKIMPNTVFVGGIDVRMDETEIRSFFARYG
+SVKEVKIITDRTGVSKGKIENVFSHLHKRNLLLCAYHVQPRPLVFNPPPPPQFQSVWSNP
+NAETYMQPPTMINPITQYVQAYPPYPSSPVQVITGYQLPVYNYQMPPQWPAGEQRSYVIP
+PAYTTINYHCNEVDTGADVLPSECSVHEATPSSGNGPQKKSVDRSIQTVVSCLFNPENRL
+RNSVVTQDDYFKDKRVHHFRRSRAVLKSV
+>tr|F1MFL6|F1MFL6_BOVIN UBIQUITIN_CONJUGAT_2 domain-containing protein OS=Bos taurus OX=9913 GN=UBE2D4 PE=3 SV=1
+MALKRIQKELTDLQRDPPAQCSAGPVGDDLFHWQATIMGPNDSPYQGGVFFLTIHFPTDY
+PFKPPKVVFTTKIYHPNINSNGSICLDILRSQWSPALTVSKVLLSICSLLCDPNPDDPLV
+PEIAHTYKADREKYVSSLDCLWAPAAPGTTD
+>tr|F1MJD3|F1MJD3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=ZNF16 PE=4 SV=3
+MTPMHRRSQPCPASEYALKRQQWSSQFLDHPLGPLEPRPLWSDAPAETHPGSALHGSLCC
+GDAEPGATSPHHQQPGWDTRTKGQEFVQKEEVSEDLESQVEISDSSGSDAPQTPELGGLH
+CGVEERDCGLPEDERQMHRPHQEGAFTLAQMLLRSPSGEREVDCDDIKSSCSWSPSPVEC
+QGPPAEGRPHPLGIRSLPCSLDLPSCTGLHVAESPFICSECGKTFEGDPGLTQHQTGHTG
+HKSFICNECGRLFSTHTGFLQHQLTHHGEKLHMCSECGKAFCQSSSLKKHQKSHVSEKPY
+ECSECGKTFRRSSNLIQHQRIHSGEKPYVCHACGKAFRRSSNLVKHQRVHTGEKPFECTE
+CGRAFSQSSHMRKHQRVHTGERPYSCSECGKPFSRVSNLIKHHRVHTGEKPYKCSECGKA
+FSQSSSLIQHRRIHTGEKPHVCAVCGKAFSYSSVLRKHQIIHTGEKPYECGVCGKAFSHS
+SALVQHQGVHTGDKPYECRECGKTFGRSSNLILHQRVHTGEKPYECTECGKTFSQSSTLI
+QHQRIHNGLKPHECNQCGKAFNRSSNLIHHQKVHTGEKPYTCVECGKGFSQSSHLIQHQI
+IHTGERPYQCSECGKSFSQRSVLIQHQRIHTGVKPYDCTACGKAFSQRSKLTKHQLIHTR
+E
+>tr|F1MN83|F1MN83_BOVIN Chromosome 1 C3orf38 homolog OS=Bos taurus OX=9913 GN=C1H3orf38 PE=4 SV=3
+MRDTSLSSQQPGTTDRSTEFPECPHLLRLPPTGLEYPRLFSGERPERHFRYLLPRRRPAG
+PKEATLLAASSPPILGVEMTGLSYTEMEGCRNLLSLLDNDEIMALCDTITNRLVQPEDRQ
+DAIRAILVYSQSVEELLRRRKVHREIIFKYLATQGVIIPPATEKHNLIQHAKDYWKKQLQ
+PKLKETPEPVKTEDIRLSEQQEKEEKNAEKVDFRRLGEEFCQWFFELLNSQNPLLGPPQD
+EWGPQHFWHDVKLRFYYNTSEQNVIDYHGAEIVSLRLLSLVKEEYLFLSPNLDSHGLKYA
+ASPHGLVMVGVAGTVHRGNTCLGIFEQIFGLIRCPFVENTWKIKFINLRIIGESSLAPGR
+LMKPAITFEPSDLEAFYNVNTLCGPVKYNSM
+>tr|A0A452DJB1|A0A452DJB1_BOVIN RAS guanyl-releasing protein 4 OS=Bos taurus OX=9913 GN=RASGRP4 PE=4 SV=1
+MNRKDSKRKSHQECPVKTGGRGRPRQARRHKTCPSPREISKVMASMALGMLNEGGCSEDE
+LLEKCIQSFDSAGSLRRGDHVLNMVLAMHSWVLPSAHFAARLLTLYQEATGSTQELRRLQ
+ICHLVRYWLTQHPETMHQDPQLEEVIGRFWATVEQEGNSVQQSLGDFSSRLSPGGPGPPH
+PMSSPGLGKKRKVSLLFDHLETGELAEHLTYLEFRSFQAITLQDLRDYVLQGSVRGCPTL
+EGSVGLSNSVSRWVQVMVLSRPGPAQRAQVLDKFIQVAQKLLQLHNFNTLMAVTGGLCHS
+AISRLKDSHAHLSPDSTKALLELTELLAAHNNYARYRRTWAGCMDFRLPVLGVHLKDLVA
+LNEAQPDRLPDGRLHLPKLNSLYLRLQELAALQQQHPPGNASEDLLHLLTLSLDLFYTED
+EIYELSYAREPRCPKSLPPSPFKAPLVVEWAPGVTPKPDTVTLGRHVEQLVESVFKNYDP
+DGRGTISQEDFERLSGNFPFACHGLHPPPCQGSGSFSREELTGYLLRASAICSKLGLAFL
+HTFQEVTFRKPTFCNSCSGFLWGVTKQGYRCRDCGLCCHRHCRDQVKVECKKRPGAKGDA
+SPPEAPVPPTPVPQASCGSEDNLSYTLSLEPETGCHVRHAWTQTESPHPSWEPETVPLPA
+KASPPTESSKLNS
+>tr|A0A452DI15|A0A452DI15_BOVIN Transmembrane protein 134 OS=Bos taurus OX=9913 GN=TMEM134 PE=4 SV=1
+MSASRPQFSIDDAFELSLEDTGPGLEPSGVARFGPLHFERRARFEVADEDKQSRLRYQNL
+ENDEDGAQASPEPDGGVSSRDSGQTSIRSSQWSFSSISSSTQRSYNACCRCLQRHLLRAG
+LPVAGPRSLPRDLHLLRRQGSPGASSSSTCPTSRSEQLEVDGGIQRQRREAPVCAASSHP
+>tr|E1BNC9|E1BNC9_BOVIN Ankyrin 3 OS=Bos taurus OX=9913 GN=ANK3 PE=4 SV=3
+MTGGAVFSPDRHIFRKEASDSGLLCTSAGEDAMTGDTDKYLGPQDLKELGDDSLPAEGYM
+GFSLGARSASLRSFSSDRSYTLNRSSYARDSMMIEELLVPSKEQHLTFTREFDSDSLRHY
+SWAADTLDNVNLVSSPVHSGFLVSFMVDARGGSMRGSRHHGMRIIIPPRKCTAPTRITCR
+LVKRHKLANPPPMVEGEGLASRLVEMGPAGAQFLGPVIVEIPHFGSMRGKERELIVLRSE
+NGETWKEHQFDSKNEDLTELLNGMDEELDSLEELGKKRICRIITKDFPQYFAVVSRIKQE
+SNQIGPEGGILSSTTVPLVQASFPEGALTKRIRVGLQAQPVPDEIVKKILGNKATFSPIV
+TVEPRRRKFHKPITMTIPVPPASGEGVSNGYKGDTTPNLRLLCSITGGTSPAQWEDITGT
+TPLTFIKDCVSFTTNVSARFWLADCHQVLETVGLATQLYRELICVPYMAKFVVFAKMNDP
+VESSLRCFCMTDDKVDKTLEQQENFEEVARSKDIEVLEGKPIYVDCYGNLAPLTKGGQQL
+VFNFYAFKENRLPFSIKIRDTSQEPCGRLSFLKEPKTTKGLPQTAVCNLNITLPAHKKTE
+KTDRRQSFASLALRKRYSYLTEPGMSPQSPCERTDIRMAIVADHLGLSWTELARELNFSV
+DEINQIRVENPNSLISQSFMLLKKWVTRDGKNATTDALTSVLTKINRIDIVTLLEGPIFD
+YGNISGTRSFADENNVFHDPVDGYPSLQVELETPTGLHYTPPTPFQQDDYFSDLSSIESP
+LRTPSRLSDGVVPSQGNIEHSADGPPVVTAEDTSLEDSKLEDSMPLTEIPEAVDVDESQL
+ENICLSEYPQYLGRMAGAPKDVKPAEPLKQTRKVGVSSEQQEKGKSGPDEEITEERLKSL
+FEDIQLKEGVESEEMTEEKVQAILKRVQQEELEMSSITGWQNETSSGNLESPAQARRITG
+GLLDRLDDSPDQCRDSITSYLKGEPGKFEANGSHAEVTPEAKTKSYFPESQNDVGKQSAK
+ETLKPKIQGSGRVDEPASSLAAYQKALEETSKFVIEEPKPCVPVSMKKMSRTSPADGKPR
+LNLHEEEGSNGSEQKGEAYKMKTKKEIRQVEKKSHS
+>tr|A0A3Q1MK89|A0A3Q1MK89_BOVIN Progesterone immunomodulatory binding factor 1 OS=Bos taurus OX=9913 GN=PIBF1 PE=4 SV=1
+MSRKVSKASKKMNISSSLESEDISLETTIPTDDISSSEEQDGKIKITRQLIERKELLHNI
+QLLKIELSQKNMMIDNLKVDYLTKIEELEEKLNDALHQKQLLALRLDNQLTFQQKDARKY
+QELMKQEMETILLRQKQLEETNLQLREKAGDIRRNLRDFELTEEQYMKLKSFPEDQLSIP
+EYVSIRFYELVNPLRKEISELQVKKNDLAEELSANKGQLKQLTETYEEDRRNYSELQIRC
+QRLALELADTKQLIQQGDYRQENYDKVKSERDALEQEATDLRRKYEILEASHITQAKERS
+ELSKEVATLQQTVTLLQKDKEYLNRQNMELSVRCAHEEDRLERLQAQLEETKKAREEMYE
+KYVTSRDRYKTEYENKLRDELEQIKLKTNQEIDQLRSASREMYERENRNLREARDNAVAE
+KDRAVMAEKNALEKHDQLLDRYRELQLSAESKVTEYLHQSKIKSFESERAQLLQEETARN
+LTQCQLECEKYQKKLEVLTKEFYSLQASSEKRITELQAQNSDHRARLDTYEKLEKELDEI
+IMQTAEIDDEVEAERVLFSYGYGANVPTTAKRRLKQSVHLARRVLQLEKQNSLVLKDLDH
+QKNQVTQLSQELDRANSLLNQTQQPYRYLIESVRQRDSKIDSLKKCITELEKDVSNLNKE
+KSALQQMKDQMALDLEQLLNHREELAAMKQIITHMRSKRSKDNLLFTKMESKNVTENQKS
+KTLNAPKEPEDNVFIPKPTLFVSTTKI
+>tr|F6QHI8|F6QHI8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=DDX21 PE=1 SV=1
+MPGKLLSDAVLESDAAVAKVEMPRKQNEKKGKKEKPKSSKTEEAAEEKEEMISSKAKKVT
+KKVETSEVDVNSPKSKKAKKKEEPSQDDIISPKTKSLKKSKEPCEKTVVSPKTKKAIKNE
+EPSEEELGAPKPKKMKKEKEINGEIQEKSPNLKNGFPDSGPDSNSKEAASEENSELEQEM
+TVEQKEGAFSNFPISEETIKLLKARGVTFLFPIQAKTFHHVYSGKDLIAQARTGTGKTFS
+FAIPLVEKLLGELQDRKRGRAPQVLVLAPTRELASQVSRDFSDITKKLAVACFYGGTPYG
+GQIERMKNGIDILVGTPGRIKDHLQNGKLDLTKLKHVVLDEVDQMLDMGFADQVEEILCV
+AYKKDSEDNPQTLLFSATCPYWVFNVAKKYMKSTYEQVDLIGKKTQKTAITVEHLAIKCH
+WTQRAAVIGDVIRVYSGFQGRTIIFCETKKEAQELSQNVAVRQDAQSLHGDIPQKQREIT
+LKGFRNGDFGVLVATNVAARGLDIPEVDLVVQSSPPKDVESYIHRSGRTGRAGRTGVCIC
+FYQHKEEYQLAQVEQKAGIKFKRIGVPSPTEIIKASSKDAIRLLDSVPPTAIGHFKQSAE
+KLIEEKGAVEALAAALAHISGATSVDQRSLINSEAGFVTMILRCSIEMPNISYAWKELKE
+QLGEDIDSKVKGMVFLKGKQGVCFDIPTASVTEVQEKWHDSRRWQLSVATEQPELEGPRE
+GYRNFRGQREGFRGQREGNRGARGQRERSRSFRGQRSGGGNKSNRFQNKGQKRSFNKAFG
+Q
+>tr|E1BKJ4|E1BKJ4_BOVIN Glucosidase alpha, neutral C OS=Bos taurus OX=9913 GN=GANC PE=3 SV=3
+MESAEKEEISVEDEAVDKNVFKDCSKIAFYRRQKQLLSKKSTYRALLDSVTPGEDSARFQ
+IINEPAKVPLLAEVCGIEGNIFRLKINEEIPLKPRYEVPDVLTSKLTTVRLTSCPGDTGS
+LVLASGKGDLKCHITANPFKVDLVSEEEVVMSINSLGQLYFEQLQIPPKQRATKKNEEDA
+SIDTTQENQEELGLWEEKFENFVDVKVNGPASIGLDFSLHGFEHLYGIPQHAESHQLKNT
+SDSDAYRLYNLDVYGYKIHDKMGIYGSVPYLLAHKLGRTLGIFWLNASETLVEIKTEPAV
+KYTLTQTGPVAAKQKVGSRTDVHWMSESGIIDVFLLTGPTPSDVFKQYSYLTGTQAMPPL
+FSLGYHQCRWNYEDEQDVKAVDAGFDEHDIPYDVMWLDIEHTEGKRYFTWDKKRFPNPKR
+MQDLLRSKKRKLVVISDPHVKVDPNYSVYAKAKEQGFFVRNHEGGDFEGVCWPGLSSYLD
+FTNPKVRKWYSSLFAFSVYQGSTDILHIWNDMNEPSVFKGPEQTMQKNAIHHGNWEHREL
+HNIYGFYQQMATTEGLIQRSKGKERPFVLTRSFFAGSQKYGAVWTGDNTAEWSHLKISIP
+MLLTLSVTGISFCGADVGGFIGDPEAELLVRWYQAGAYQPFFRGHATMNTKRREPWLFGE
+EHTRLIREAIRERYTLLPYWYSLFYSAHVASQPVMRPLWVEFPNELETFSVEDEYMLGGA
+LLVHPVTEPKATVVDVFLPGSSEIWYDSKTFAHWKGACTVKIPVTLDAIPVFQRGGSVVP
+IKTTIGRSTGFMTNSPYGLRVALSTKGSAMGEFYLDDGHSFQYLHQKQFLHRKFSFSSGV
+LTNSCADERGHYPSKCVVEQILVLGLKKQPSSVTAHSADGSAQPVAFTYHATTSTLKLET
+LSLNIGADWKVRVQ
+>tr|F1MW75|F1MW75_BOVIN Islet cell autoantigen 1 OS=Bos taurus OX=9913 GN=ICA1 PE=4 SV=1
+MSGHKCSYPWDLHNRYPQDKSVVNKMQQKYWETKQAFIKATGKKEDEHVVASDADLDAKL
+ELFHSIQRTCLDLSKAIVLYQKRICFLSQEENELGKFLRSQGFQDKTRAGKMMQATGKAL
+CFSSQQRLALRNPLCRFHQEVETFRHRAISDTWLTVNRMEQCRTEYRGALLWMKDVSQEL
+DPDLCKQMEKFRKVQTQVRLAKKSFDKLKMDVCQKVDLLGASRCNLLSHMLATYQTTLLH
+FWEKTSHTMAAIHESFRGYQPYEFTTLKSLQDPMRKLVEKEEKKRIAQQESAEAVAEEPS
+QLISLEDENQHKESSSFKTEDRKSVLSALDKSCTHNACADELLDMKPEEGACLGPMAGTP
+EPEGADKDDLLLLSEIFNASSLEEGEFSKEWAAVFGDGRLKEPMPTAAPGELDPRPQAGS
+GFLPSQLLDQNIKDLQASLEEPAKASSDLTAWFSLFADLDPLSNPDAIGKTDKEHELLNA
+>tr|A0A3Q1NA74|A0A3Q1NA74_BOVIN Lysine demethylase 1B OS=Bos taurus OX=9913 GN=KDM1B PE=4 SV=1
+MATSRGRTKKKASFDHSPDSLPLRSSGRQAKKKATETTDEDEDGGSEKKYRKCEKAGCTA
+TCPVCFASTSERCAKNGYTSRWYHLSCGEHFCNECFDHYYRSHKDGYDKYTTWKKIWTSN
+GKTEPSPKAFMADQQLPYWVQCTKPECRKWRQLTKEIQLTPQIAKTYRCGMKPNTAVKPE
+TSDHCSLPEDLRVSEVPNHWWYSMLILPPLLKDSVAAPLLSAYYPDCVGMSPSCTSTHRV
+TSDASPGKLEHPKAVPSVLVPGMNRYFQPFYQPNECGKALCVRPDVMELDELYEFPEYSR
+DPTMYLALRNLVLALWFTNCKEALTPQKCIPHIIVRGLVRIRCVQEVERILYFMTRKGLI
+NTGVLSVGPDQHLLPKDYHNKSVIIIGAGPAGLAAARQLHNFGIKVTVLEAKDRIGGRVW
+DDKSFTGVTVGRGAQIVNGCVNNPVALMCEQVSARSWDHNEFFAQFAGDHTLLTPGYSVI
+LEKLAEGLDIRLRSPVQSIDYSGDEVQVTTTSGAVCTAQKVLVTVPLALLQKGAIQFNPP
+LSDKKMKAINSLGAGIIEKIALQFPYRFWDSKVQGADFFGHVPPSASKRGLFAVFYDMDP
+QKQHSVLMSVIAGEAVAAVRSLEDKQVLQQCMATLRELFKEQEVPDPTKYFVTRWSTDPW
+IQMAYSFVKTGGSGEAYDILAEEIQGTVFFAGEATNRHFPQTVTGAYLSGVREASKIAAF
+>tr|A0A3Q1MM20|A0A3Q1MM20_BOVIN RAR related orphan receptor C OS=Bos taurus OX=9913 GN=RORC PE=3 SV=1
+MQKGQCPSCHLFCLSSRPQTLFLPSLPVSPPDVGTLCQPLLSPACCRARCSLLLSLPSPG
+PCSLPSWAARAARTAPRELPHGQGPTETSPSLAGDGGSCPASKDDKELLAAKKTHTSQIE
+VIPCKICGDKSSGIHYGVITCEGCKGFFRRSQQCNVAYSCTRQQNCPIDRTSRNRCQHCR
+LQKCLALGMSRDAVKFGRMSKKQRDSLHAEVQKQLQQRQQQQREQAAKTPPMGAQGADTL
+ACTLGLPDGQLPLGSSPDLPEASACPPSLLRAPGCGPSYSNSLAKTGLNGASYHLEYSPE
+RGKAEGRENFYGIGSQLAPDRGGLHSEDPRRPGLGEPGRGLDSYFTPSFRSTPEVPYASL
+TEIEHLVQNVCKSYRDTCQLRLEDLLRQRSNIFSREEVAGYQRKSMWEMWGRCAHRLTEA
+IQYVVEFAKRLPGFMELCQNDQIVLLKAGAMEVVLVRMCRAYNADNDTVFFEGKYGGVEL
+FRALGCSELISSIFDFSRSLSALRFSEDEIALYTALVLINANRPGLQEKRKVEQLQCNLE
+LAFHHHLCKTHRQGILAKLPPKGKLRSLCSQHVEKLQTFQHLHPIVVQAAFPPLYKELFS
+TEIESPEGLSK
+>tr|F1MWZ2|F1MWZ2_BOVIN Solute carrier family 22 member 18 OS=Bos taurus OX=9913 GN=SLC22A18 PE=4 SV=2
+MRKVGAPGGQGQAPSGMGALGRSGVVLLTYVLAALELTCLFMQFSIMPYLSRRLGLDSVA
+FGYQQTVFGVLQLLGGPVFGRFADQHGARAAFTLSFLASSALYLLLAAACIPALPGVALL
+FASRLPGALMHTLPAAQMVIADLSTPEERPAALGRLGLCFGVGLIFGSLLGGTLSSSCGI
+QCPVIVAFVVTLLGALLSVTCIPASTKGASAHAQTTLPGRPKASVFDLKAITRLLLQPGV
+LPVFLVKVICGLPIGLFMVMFSIISMDFFQLEAAQAGYLMSFFGVLQMVIQGLVIGRLSS
+HFSEGDLLRASVLVFSVVGLAMALMANVFHFCLLMPGLVFSMCALNVVTDSMLTKAVSTS
+DTGTMLGLCASVQPLMRTLGPTLGGLLYRGFGVPVFGHVQFAVNFIVFLVLWRQPVPRKM
+GKAQ
+>tr|F1N2E4|F1N2E4_BOVIN Elastin microfibril interfacer 3 OS=Bos taurus OX=9913 GN=EMILIN3 PE=4 SV=3
+MGRRRLPVWLCAVAALLSGAQAKGTPLLTRPAPPGASRYSLYTTGWRPRLRPGPHKALCA
+YVVHRNVTCVLQEGAESYLKAEYRQCGWGPKCPGTVTYRSVLRPRYKVGYKTVTDLAWRC
+CPGLAGEGCPEHLTDHGATPPQPEPETQSPSGQVGPGPRPLPSSRVAPSPHGRKGPGLFG
+ERLERLEGDVQRLAQAYGTLSGLVARRDDPSRMTGGPRAPATPVGFGVIPEGFVSPRDRA
+GKPLSPPLDEILSKVTEVSNTLRTKVQLLDKVHGLALGHEAHLQRLREAPPSPLTSLALL
+DEYVDRRLHRLWGSLLDGFEQKLQGVQSACDLHVQEVRQQCEEGQAASRRLHQSLDGREL
+ALRRELSQLGTRLQGLSVAGGGSCCGQLAFIRARVDSLERNLQAVIEAHRGRGAPDGDDL
+TRLSAAMLEGGMDGLLEGVETANGTGGGAGGCCLGMEEGGWGSRGFHTTLEERVQRLEER
+LVTLAGELSHDRAPPGRPARPLVQTELAVLEQRLVSLETSCTPGTTSAVLDKLGAEVKAW
+QSRSEALLRQVASHAVLLQQLNGTVAEVQGQLAEATGSSLQGEITLLKVNLNSVSKSLTG
+LSDSVSQYSDAFLAANTSLDERERKVEAEVHAIQEQVSSQGSRLRADHRQVLSLRGELEQ
+LRASMAEVAGGLSRCQDTAQELRHAVGHFDQRVARVEGACRRLGLLAAGLDSLPAESPGP
+REGLWDHVDQLNRTLGQHAKDIARLRDDLLDCRAQLADRARPGQAN
+>tr|A0A3Q1LZU4|A0A3Q1LZU4_BOVIN Chromodomain helicase DNA binding protein 3 OS=Bos taurus OX=9913 GN=CHD3 PE=4 SV=1
+MASPLRDEEEEEEEMVVSEEEDEEEEEGDEEEEEVEAADEDYEEDDDEGVLGRGPGHDRG
+RDRHSPPGCHLFPPPPPPPPPLPPPPPPPPPDKDDIRLLPSALGVKKRKRGPKKQKENKP
+GKPRKRKKLDSEEEFGSERDEYREKSESGGSEYGTGPGRKRRRKHREKKEKKTKRRKKGE
+GEGGQKQVEQKSSATLLLTWGLEDVEHVFSEEDYHTLTNYKAFSQFMRPLIAKKNPKIPM
+SKMMTILGAKWREFSANNPFKGSAAAVAAAAAAAAAAVAEQVSAAVSSATPIAPSGPPTL
+PPPPAADIQPPPIRRAKTKEGKGPGHKRRSKSPRVPDGRKKLRGKKMAPLKIKLGLLGGK
+RKKGGSSDEGPELEAEESDLDSGSVHSASGRPDGPIRTKKLKRGRPGRKKKKVLGCPAVA
+GEEEIDGYETDHQDYCEVCQQGGEIILCDTCPRAYHLVCLDPELDRAPEGKWSCPHCEKE
+GVQWEAKEEEEDYEEEGEEEGEKEEEDDHMEYCRVCKDGGELLCCDACISSYHIHCLNPP
+LPDIPNGEWLCPRCTCPVLKGRVQKILHWRWGEPPVAMPAPQQADGNPDAPPPRPLQGRS
+EREFFVKWVGLSYWHCSWAKELQLEIFHLVMYRNYQRKNDMDEPPPLDYGSGEDDGKSDK
+RKVKDPHYAEMEEKYYRFGIKPEWMTVHRIINHSVDKKGNYHYLVKWRDLPYDQSTWEED
+EMNIPEYEDHKQSYWRHRELIMGEDPAQPRKYKKKKKELQGDGPPSSPTNDPTVKYETQP
+RFITATGGTLHMYQLEGLNWLRFSWAQGTDTILADEMGLGKTIQTIVFLYSLYKEGHTKG
+PFLVSAPLSTIINWEREFQMWAPKFYVVTYTGDKDSRAIIRENEFSFEDNAIKGGKKAFK
+MKREAQVKFHVLLTSYELITIDQAALGSIRWACLVVDEAHRLKNNQSKFFRVLNGYKIDH
+KLLLTGTPLQNNLEELFHLLNFLTPERFNNLEGFLEEFADISKEDQIKKLHDLLGPHMLR
+RLKADVFKNMPAKTELIVRVELSPMQKKYYKYILTRNFEALNSRGGGNQVSLLNIMMDLK
+KCCNHPYLFPVAAMESPKLPSGAYEGGALIKASGKLMLLQKMLRKLKEQGHRVLIFSQMT
+KMLDLLEDFLDYEGYKYERIDGGITGALRQEAIDRFNAHGAQQFCFLLSTRAGGLGINLA
+TADTVIIFDSDWNPHNDIQAFSRAHRIGQANKVMIYRFVTRASVEERITQVAKRKMMLTH
+LVVRPGLGSKAGSMSKQELDDILKFGTEELFKDENEGENKEEDSSVIHYDNEAIARLLDR
+NQDATEDTDVQNMNEYLSSFKVAQYVVREEDKIEEIEREIIKQEENVDPDYWEKLLRHHY
+EQQQEDLARNLGKGKRVRKQVNYNDAAQEDQDNQSEYSVGSEEEDEDFDERPEGRRQSKR
+QLRNEKDKPLPPLLARVGGNIEVLGFNTRQRKAFLNAVMRWGMPPQDAFTTQWLVRDLRG
+KTEKEFKAYVSLFMRHLCEPGADGSETFADGVPREGLSRQQVLTRIGVMSLVKKKVQEFE
+HINGRWSMPELMPDPSADSKRSSRASSPTKTSPTTPEASAANSPCTSKPATPAPSEKGDG
+IRTPLEKDEAENQEEKPEKNSRIGEKMETEADTPSPAPSLGERLEPRKMPLEDEVPGVPG
+EMEPELGYRGDREKSATESTPGERGEEKPMDGQEHRERPEGETGDLGKRAEDVKGDRELR
+SGPPRDEPRSNGRREEKAEKPRFMFNIADGGFTELHTLWQNEERAAISSGKLNEIWHRRH
+DYWLLAGIVLHGYARWQDIQNDAQFAIINEPFKTEANKGNFLEMKNKFLARRFKLLEQAL
+VIEEQLRRAAYLNLSQEPAHPAMALHARFAEAECLAESHQHLSKESLAGNKPANAVLHKV
+LNQLEELLSDMKADVTRLPATLSRIPPIAARLQMSERSILSRLASKGTETHPTPAFPPGP
+YATPPGYGAAFSAAPVGALAAAGANYSQMPAGSFITAATNGPPVLVKKEKEMVGALVADG
+LDRKEPRTGEVICIDD
+>tr|F1MML1|F1MML1_BOVIN Nuclear envelope integral membrane protein 2 OS=Bos taurus OX=9913 GN=NEMP2 PE=4 SV=3
+MRLTPETCWLLLWLPPLAAQPAGGERGEEEAAAVSVSTCQVLKEMKIIKASLSGCYCYNQ
+NSQVQWKYIWSTVQVKINSSDLFNVVYITERYNCQYPETILSIIRCMIHNFWTPEESNDI
+TITIHPYGQTVCFSVKPARKIFIYTISVKQNIVDFKLVFVFVVGIFLFFYAKTLSRSPVF
+FYSSGTVLGVLMTLVFVLLLVKKFIPKYSTFWALMLGCWFASVYILGQLMEELKRLWYEG
+RICVLGYILTVGCVSFAVCYKHGPLVEERSVVLLAWLLRLLSLLLVYMGVSAPQFACTAA
+LLLFLSSGSRCYPRSVLACVGRKMKKWFTSEKPAVKFLTEDEYREQADAATTCALEELRQ
+ACRSPGFPSWLAVSRLQEPKKFADFVLGGSHLSPEEVRLHEEQYGLGGAFLEEQLFHLRT
+PDSLPAQ
+>tr|A0A3Q1N3Y2|A0A3Q1N3Y2_BOVIN Histidine rich calcium binding protein OS=Bos taurus OX=9913 GN=HRC PE=4 SV=1
+MNETETAKKERQEKVTPEGRHPEGKLGRKETETCPGALTLILSARPQLRGAGPGPSNWDD
+HAGASGSSEDVSGKSDHHGGHGDENRDVSTDNGHHFWGHGDHGEEDEDVSRESQGHRYQG
+REVGDENISDEEEYPEHAQQAHGHRCHGNEDADDSAERGDHLPSHGSQSHQDEEEEEVVS
+SEHHQHHIVRQAHRGHREEEDEDEEEENVSPGYGQQVHRRQDRGEQKDGNGSEEHDRGHG
+PSHRHGGHKDDDDGGDAVSTEHRHQVHRHRDHGEEEEEEDDSEEHHHHHSPSHRHRGHEE
+EDDDEDDIVSTEHRHQVHRHRNHGEEEEEDDSEEHHHHHSPSHRHRGHEEEEDDHEDDIV
+STEHRHQVHRHRDHGEEEEEAVSEEHPHHHSPSYRHRGHEEDDDEDEDDVVSTEHRHQVH
+RHRDHGEEEEEDDSEEHHHHHSPSHRHRGHEEEDDDEDDIVSTEHRHQVHRHQDHGEEEE
+EDDSEEHHHHHSPSHRHQGHEEEDDEDEDDVVSTEHRHQVHRHRDHGEEEDEDDSEEHHH
+HHGGNKEDEDEDLSTEHWHQAPRHTHHGLGDEEEEEEKEEITVKFSHHVASPQPQGHKSA
+KEEDFPEDYKKAVPGHDHQGVPKEEDEDIPARLGHQAPSHRQQDHRDEGTGHRGSIKEEM
+SPQSPEHIGVKDRSHLRESDSEEDEEEKEEDHSSHEEANEGSEEGEDTRHGSLDDQEDEE
+DEEEGHGLSLSQEEEEEEKEEKRGERAKVWVPLNQDHQEEDKEEVGLEEDELPFTIIPNP
+LTRKEVSGGASSEEESGEDKGQQDAQEYGNYQPGSLCGYCSFCNRCTECENCHCDEENMG
+EHCDQCQHCQFCYLCPLVCETLCSPGSYVDYFSSSLYQALADMLETPEP
+>tr|G3N0Z8|G3N0Z8_BOVIN Dystrotelin OS=Bos taurus OX=9913 GN=DYTN PE=4 SV=2
+MIFHVFFTDALNSIENSTYRTAFKLRSVQTLCQLDLIGSSLIQHVLRHQSLWEAGESTLS
+VQQLFQALQEMFQKVRVEKPGQMHPRASELTLSLLTTMYDSTGTGFIKLAPAAAALITLS
+GDSPLTKYTALFQLYAENNRGGHDLGARMTRRVLRNLLTDLQQIPTVVGESRALCSVESA
+TRSCFQGVLSPVIKEEKFLSWLQSEPPILLWIPTCYRLSATEMATHPVRCRICRNFPITG
+LRYRCLKCLNFDICQACFLSSFQGESHQKSHPVVENCIQMSAKDDTRLVLRTPRNNLLQG
+RCRRKEASRRQWLLDKVNPKDMASHAQARLLKKRLNRYKDKLQAIYACQEEKSCRYDTKI
+HELTINQDSLGTKLQLMGQDLQAMLQPLHRSSFSWQNVIAKGDHGRGEERFQKRGGDSSQ
+IKGSTEDGSQQETLPRSTVINGSHRGPAPAKHALPNSELPEATSKPRPVQSPRAQSRAQK
+TSKETSSALPSSQEGRLQDIPQIPPIEMTSLALAPVERKGAMNIQEGKDELEEEELQELL
+SKLTDACTLEAPSDLQSSVNLDLYCGAERVCRAFSALVDQITLTNLK
+>tr|A0A3Q1LH05|A0A3Q1LH05_BOVIN Anion exchange protein OS=Bos taurus OX=9913 GN=SLC4A7 PE=3 SV=1
+MFLNVLPGHRAVYIGVHVPFSKESRRRHRHRGHKHHHRRRKDKDSDREDGRESPSYDTPS
+QRVQFILGTEDDDEEHIPHDLFTEMDELCYRDGEEYEWKETARWLKFEEDVEDGGDRWSK
+PYVATLSLHSLFELRSCILNGTVMLDMRASTLDEIADMVLDNMIASGQLDESIRENVREA
+LLKRHHHQNEKRFTSRIPLVRSFADIGKKHSDPHLLERNGILASPQSAPGNLDNSKSGEM
+KGNGSGGSRENSTVDFSKVDMNFMRKIPSGAEASNVLVGEVDFLERPIIAFVRLAPAVLL
+SGLTEVPVPTRFLFLLLGPAGKAPQYHEIGRSIATLMTDEIFHDVAYKAKDRNDLLSGID
+EFLDQVTVLPPGEWDPSIRIEPPKSVPSQEKRKIPVFPNGSAPSSGDAPKEAAHHAGPEL
+QRTGRLFGGLILDIKRKAPFFLSDFKDALSLQCLASILFLYCACMSPVITFGGLLGEATE
+GRISAIESLFGASLTGIAYSLFAGQPLTILGSTGPVLVFEKILFKFCRDYHLSYLSLRTS
+IGLWTSFLCIVLVATDASSLVCYITRFTEEAFAALICIIFIYEALEKLFHLGETYAFNMH
+NNLEELTSYSCVCVEPSNPGNETLKMWEKNNVTARDIPWGNLTVSECKVYHGTFVGSACS
+LHGPYIPDVLFWSVILFFTTFFLSSFLKQFKTKRYFPTKPTDKSRGWIISPLGENPWWTL
+LIAAIPALLCTILIFMDQQITAVIINRKEHKLKKGAGYHLDLLVVGVMLGVCSVMGLPWF
+VAATVLSISHVNSLKVESECSAPGEQPKFLGIREQRVTGLMIFILMGLSVFMTSVLKFIP
+MPVLYGVFLYMGVSSLKGIQFFDRIKLFGMPAKHQPDLIYLRYVPLWKVHVFTVVQLTCL
+VLLWVIKASAAAVVFPMMVLALVFVRKLMDLCFTKRELSWLDDLMPESKKKKEDDKKKKE
+KEEAERMLQAGEDTVHLPFEGGSLLQIPVKALKYSVDPSVVNISDEMAKTAQWKALSMNT
+ENAKVTRPNTSPEKPVSVKINFEDEPRKKYLDAETSL
+>tr|F1MCY7|F1MCY7_BOVIN Terminal uridylyl transferase 4 OS=Bos taurus OX=9913 GN=TUT4 PE=4 SV=3
+MEESKTSENENHEPKKNAWALSEESKAVKVITNQTLKAKNDKSIKEIGTNSPNKNSSKKN
+KQNDICIEKTEVKSCKVNAASVPGKDLVLRDQSHCKAKKSPNSPVKAEKLPVSQAKVERA
+PSLQAKTEKPSKSPNSPRKAEKAPGSQMKSEKVPSLPAEAENVPNLLLKENMRQTELQHI
+GKKIPSSFTSLDKVNIGVAEEEKSAMENSQRSQKQQTCTDNTGDSDDSASGTEDISDDLS
+KMKSDESNKENSSEMDYLENATVIDESTLTPEQRLGLKQAEERLERDHIFRLEKRSPEYT
+NCRYLCKLCLIHIENIQGAHKHIKEKRHKKNILEKQEESELRSLPPPSLAHLAALSVAVI
+ELAKEQGITDDDLKVRQEIVEEMSKVVTTFLPECSLRLYGSSLTKFALKSSDVNIDIKFP
+PKMNHPDLLIQVLGILKKSVLYVDVESDFHAKVPVVVCKDRKSGLLCRVSAGNDMACLTT
+DLLAALGKMEPVFTPLVLAFRYWAKLCYIDSQTDGGIPSYCFALMVMFFLQQRKPPLLPC
+LLGSWIEGFDPKRMDDFQLKGIVEEKFVKWEYNSSSATERNSIAEENKAKADQPKDDTKK
+TETTNQSNARKEKYGKSPLTLETPNRVSLGQLWLELLKFYTLDFALEEYVICVRIKDILT
+RENKNWPKRRIAIEDPFSIKRNVARSLNSQLVYEYVVERFRAAYRYFACPQKKGGNKSTV
+DSMKKEKGKISNKKPVKTETVASSCCTLLGESTEKVNAGKGQPDKYNEMECTSQRCITEA
+DNLLVNDLDLAKRGQESSSLSTSENSELEPRSIKNQDVLAPSETCFKKDFSQYNCIDYKS
+PEPDESSGTDCRSDLETKSSHQIVCTDTSATSCNCKATEDASDPNDDENHPIQELYYVFD
+KFILTSGKPPTIVCSICKKDGHSKNDCPEDFRKIDLKPLPPMTNRFRDILDLVCKRCFDE
+LSPPFSEQHNREQILIGLEKFIQKEYDEKARLCLFGSSKNGFGFRDSDLDICMTLEGHEN
+AEKLNCKEIIENLAKILKRHPGLRNILPITTAKVPIVKFEHRRSGLEGDISLYNTLAQHN
+TRMLATYAAIDPRVQYLGYTMKVFAKRCDIGDASRGSLSSYAYILMVLYFLQQRKPPVIP
+VLQEIFDGKQIPQRMVDGWNAFFFDKTEELKKRLPSLGKNTETLGELWLGLLRFYTEEFD
+FKEYVISIRQKKLLTTFEKQWTSKCIAIEDPFDLNHNLGAGVSRKMTNFIMKAFINGRKL
+FGTPFYPLIGREAEYFFDSRVLTDGELAPNDRCCRVCGKIGHYMKDCPKRRRLKKKDSEE
+EKEGNEEEKDSRDLLDPRDLHDTRDFRDPRDLRCFICGDAGHVRRECPEVKLARQRNSSV
+AAAQLVRNLVNAQQVAGSAQQQGDQSIRTRQSSECSDSPSYSPQPQPFPQNSSQSTAITQ
+PPSQPGSQPKLGPPQQGTQHPHQVQMPMYNFPQSPPAQYSPMHNMGLLPMHPLQIPAPSW
+PIHGPVIHSAPGSAPSNIGLNDPSIIFAQPAARPVAIPSSSHDGHWPRTVAPNSLVNNGT
+VGNSEPGFPGLNPPIPWEHAPRPHFPLVPASWPYGLHQNFMHQGNARFQPNKPFYTQDRC
+ATRRCRERCPHPPRGNVSE
+>tr|A0A3Q1MBH3|A0A3Q1MBH3_BOVIN PTPRF interacting protein alpha 1 OS=Bos taurus OX=9913 GN=PPFIA1 PE=4 SV=1
+MMCEVMPTISEAEGPPGGSGSQGSSSPSQPDADSHFEQLMVSMLEERDRLLDTLRETQET
+LALTQGKLHEVGHERDSLQRQLNTALPQEFAALTKELNVCREQLLEREEEIAELKAERNN
+TRLLLEHLECLVSRHERSLRMTVVKRQAQSPAGVSSEVEVLKALKSLFEHHKALDEKVRE
+RLRVALERCSLLEEELGATHKELMILKEQNNQKKVLTDGVLDVNHEQESAPSANGKRSSD
+GSLSHEEDLAKVMELQEVIDKQSREQSQMKERLAALSAHVTELEEDLDTARKDLIKSEEV
+NTKLQRDVREAMAQKEDMEERITTLEKRYLAAQREATSVHDLNDKLENEIANKDSMHRQT
+EDKNRQLQERLELAEQKLQQTLRRAETLPEVEAELAQRVAALSKAEERHGNIEERLRQME
+AQLEEKNQELQRARQREKMNEEHNKRLSDTVDKLLSESNERLQLHLKERMAALEDKNSLL
+REVENVKKQLEEMQNDKDQLILNLETLRAELDQTRLRGAPLHQGRPHLGSVPDFRFPVAD
+GPADSFSGAAVLRRPQKGRLAALRDEPSKVQTLNEQDWERAQQASVLANVAQAFESDVDV
+SDGEGDRDALFSSAALLSPSGQADAQTLAMMLQEQLDAINKEIRLIQEEKENTEQRAEEI
+ESRVGSGSLDSLGRFRSMSSIPPYPASSLAGSSPPSSGRSTPRRMPHSPAREVDRLGIMT
+LPSDLRKHRRKLPASREEVRDDKTTIKCETSPPASPRSLRLDRLHPGPLHAASHEDIRDA
+RNSTGSQDGPVSNPSSSNSSQDSLHKAPKKKGIKSSIGRLFGKKEKGRPGHPGKEALGPA
+GVSETENSSQDALGLSKLGGQAEKNRKLQKKHELLEEARRQGLPFAQWDGPTVVVWLELW
+VGMPAWYVAACRANVKSGAIMSALSDTEIQREIGISNPLHRLKLRLAIQEIMSLTSPSAP
+PTSRTTTGNVWLTHEEMETLTATPHTEDEEGSWAQTLAYGDMNHEWIGNEWLPSLGLPQY
+RSYFMECLVDARMLDHLTKKDLRGQLKMVDSFHRNSFQCGIMCLRRLNYDRKELERKREE
+SQNELKDVLVWSNDRVIRWILSIGLKEYANNLVESGVHGALLALDETFDFNALALLLQIP
+TQNTQARAVLEREFNNLLVMGTDRRFDEDDDKSFRRAPSWRKKFRPKDVRGLAAGSAETL
+PANFRVPSSLSSPSVQPRKVQPDGSVAGTQRLDSATVRTYSC
+>tr|A0A3Q1MQU6|A0A3Q1MQU6_BOVIN Hematopoietic cell signal transducer OS=Bos taurus OX=9913 GN=HCST PE=4 SV=1
+MVPPGNILFLLLLPVATAQMTPGSCSGCGPLSLPLLAGLVAADAVVSLLIVVVVFVCARL
+RSRPTQGESRDRAGPKGTRCPQGGGPQGGAPLGQALEVPGKPWGRCLGELLRKPLRLGVP
+KGVEVWVGGGWSGLVFALPHPQKMTKSTSTCLAGVDFPVTASFDF
+>tr|A0A3Q1NJJ5|A0A3Q1NJJ5_BOVIN AA_permease domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MLCQDVHQFAQKLIRRRRLEPREESEKGRAHCLNTRDLTALGVSGTLGAGVYIVVGEVAV
+YEAGPAIIICFLLAGLSTLLSGLCYAELVARVPRSGSAYLYSYVTVGELCAFVIGWNLIL
+SFVIGTASEARAWSIAFDSLIGNHISQAFQGTFSPYMPYFLARHPDFFALVLVLLLTGET
+GLRDRMGRVGCGGGVGVKKAWSSRMVG
+>tr|A0A3Q1NFD1|A0A3Q1NFD1_BOVIN Calcium voltage-gated channel auxiliary subunit alpha2delta 1 OS=Bos taurus OX=9913 GN=CACNA2D1 PE=4 SV=1
+MAAGCLLALTLTLFQSLLIGPSSEEPFPSAVTIKSWVDKMQEDLVTLAKTASGVNQLVDI
+YEKYQDLYTVEPNNARQLVEIAARDIEKLLSNRSKALVRLALEAEKVQAAHQWREDFASN
+EVVYYNAKDDLDPEKNDSEPGSQRIKPVFIDDANFGRQISYQHAAVHIPTDIYEGSTIVL
+NELNWTSALDEVFKKNREEDPSLLWQVFGSATGLARYYPASPWVDNSRTPNKIDLYDVRR
+RPWYIQGAASPKDMLILVDVSGSVSGLTLKLIRTSVSEMLETLSDDDFVNVASFNSNAQD
+VSCFQHLVQANVRNKKVLKDAVNNITAKGITDYKKGFSFAFEQLLNYNVSRANCNKIIML
+FTDGGEERAQEIFTKYNKDKKVRVFTFSVGQHNYDRGPIQWMACENKGYYYEIPSIGAIR
+INTQEYLDVLGRPMVLAGDKAKQVQWTNVYLDALELGLVITGTLPVFNITGQNENKTNLK
+NQLILGVMGVDVSLEDIKRLTPRFTLCPNGYYFAIDPNGYVLLHPNLQPKNPKSQEPVTL
+DFLDAELENDIKVEIRNKMIDGESGEKTFRTLVKSQDERYIDKGNRTYTWTPVNGTDYSL
+ALVLPTYSFYYIKAKIEETITQARSKKGRMKDSETLKPDNFEESGYTFIAPRDYCNDLKI
+SDNNTEFLLNFNEFIDRKTPNNPSCNTDLINRVLLDAGFTNELVQNYWSKQKNIKGVKAR
+FVVTDGGITRVYPKEAGENWQENPETYEDSFYKRSLDNDNYVFTAPYFNKSGPGAYESGI
+MVSKAVEIYIQGKLLKPAVVGIKIDLNSWIENFTKTSIRDPCAGPVCDCKRNSDVMDCVI
+LDDGGFLLMANHDDYTNQIGRFFGEIDPSLMRHLVNISVYAYNKSYDYQSVCEPGAAPKQ
+GAGHRSAYVPSIADVLHIGWWATAAAWSILQQFLLSLTFPRLLEAVEMEDDDFTASLSKQ
+SCITEQTQYFFDNDSKSFSGVLDCGNCSRIFHVEKLMNTNLIFIMVESKGTCPCDTRLLI
+QAEQTSDGPDPCDMVKQPRYRKGPDVCFDNNALEDYTDCGGVSGLNPSLWSIIGIQCVLL
+WLLSGSRHHQL
+>tr|E1BHQ2|E1BHQ2_BOVIN Selenoprotein V OS=Bos taurus OX=9913 GN=SELENOV PE=4 SV=3
+MNNQPRTPAPTPARASTPVRGSTLHRTSIQVRTPTPGPDSGPTRITTLLRTPALIRTLTP
+IRTPTPVRTPTPVPPSTPVRSPIPVRTPTPVPPSTPVRTPTPVPLSTPVRPPTPVRPPTP
+VRPPTPVRPPTPIGTPTLIRSPTPVQIPIPEPIPTPIPSRVLIPPLESFPDSALPSGPPL
+ELEPTLTVSPAKNLEPSPAKNLEPSPRVKQVSSAANGFPPIQEPLPALTPLATDLRSPSL
+GSPLRTDTSTTNLIASSSGHVPGTPILGAIQAILPVPATALASISGNLKEENKIMIRVVY
+CTYPISSPQYILLRKSLEQQFPNSLIFEEEISAQATGEFEVFVDGKLIHSKKNGDGFVDE
+VKLQTIVNLLNEEFKKKVAGN
+>tr|E1BI46|E1BI46_BOVIN Putative lipoyltransferase 2, mitochondrial OS=Bos taurus OX=9913 GN=LIPT2 PE=3 SV=3
+MLQPAVRLVWLSQVPYAELLALQQRWLLRLQAEPGPEAGALLLCEPAGPVYTTGLRGGLT
+SEDTARLRALGAEVLPLGRGGLATFHGPGQLLCHPVLDLRPLGLRLRAHVAALEACAVRL
+CELLGLPGARARPPPYTGVWLGERKICAIGVRCGRHVTSHGLALNCSTDLTWFEHIVPCG
+LVGTGVTSLSEELQRHVTVDEVIPRFLEAFKETYKCTLTSEDSPS
+>tr|E1B9K5|E1B9K5_BOVIN Exocyst complex component 3 like 4 OS=Bos taurus OX=9913 GN=EXOC3L4 PE=4 SV=3
+MPLPQTEASGPEPHRPQEPAEPHTPAQGARRASSEDAPGAGHEGSRPGLGTLRRAFSRAS
+QRASVRAPRGDARLLRLSGRFLFGSLRRTPDNGPAGDQTGSTSEPGPACGRKGSSKAKEG
+VSRRSSTREGPAEAEGTSVADLISERQLLAAFEQLRHLETGLVAEKASCTFQQDPTGFAR
+RAMDVCLHYDGLAAEIGAIVRETLGPDGVDAAALEELARVVRAEEEAHPEPPADGDFLRT
+PRRWRQYWEDAVRRSAEERVRQAGAGEAPGAADAAPDLARLLAELGALVRRDLQKVQREV
+HPAYAAAGFPAWEAYLRAFHGAVARRLQELAHDARGCEQLYVLLDWVANVYSSPDFLGSQ
+DQALPSEPLPPLLAPEVWARLEDDYTSFLETKISSCFDGILQLEQSRWAAADAPDVLQGL
+YHTSLSFDVCMLVAEHVKAAGAISAELEATTLGICARALGLFLQKYEKAFLESGAVSEPN
+LCASINACQELRTHLLAKFPESFGELEKALGAAARAFQKQLLQGLQSAVQPLFRVLCTKA
+WLTQDLLQPLMDKVVAFSGLLEHMAPPLAQETLQEVHRYVVREYLEQALRPRERLRGADR
+RSGSQKMGLEAQAISSTFQGLGSEATWLGQAIPCVADILGETYKDDIGRHLETLIKSYPD
+IRRDHVLAILALRRLGRRRNQHFLRHAQALLRAAAKAGGSGAAGGRVLFEEIELSTSIDV
+LVTCI
+>tr|F1MBR9|F1MBR9_BOVIN Adenylate cyclase OS=Bos taurus OX=9913 GN=ADCY1 PE=3 SV=2
+ALGPRGQGGSGGGGGAGESGGAERAAGPGGRRGLRACDEEFACPELEALFRGYTLRLEQA
+ATLKALAVLSLLAGALALAELLGAPGPAPGLAKGSHPVHCVLFLALLVVTNVRSLQVPQL
+QQVGQLALLFSLTFALLCCPFALGGPAGAHAGAAAVPATADQGVWQLLLVTFVSYALLPV
+RSLLAIGFGLVVAASHLLVTATLVPAKRPRLWRTLGANALLFLGVNVYGIFVRILAERAQ
+RKAFLQARNCIEDRLRLEDENEKQERLLMSLLPRNVAMEMKEDFLKPPERIFHKIYIQRH
+DNVSILFADIVGFTGLASQCTAQELVKLLNELFGKFDELATENHCRRIKILGDCYYCVSG
+LTQPKTDHAHCCVEMGLDMIDTITSVAEATEVDLNMRVGLHTGRVLCGVLGLRKWQYDVW
+SNDVTLANVMEAAGLPGKVHITKTTLACLNGDYEVEPGHGHERNSFLKTHNIETFFIVPS
+HRRKIFPGLILSDIKPAKRMKFKTVCYLLVQLMHCRKMFKAEIPFSNVMTCEDDDKRRAL
+RTASEKLRNRSSFSTNVVQTTPGTRVNRYIGRLLEARQMELEMADLNFFTLKYKQAERER
+KYHQLQDEYFTSAVVLALILAALFGLVYLLIIPQSVAVLLLLVFCICFLVACVLYLHITR
+VQCFPGCLTIQIRTVLCIFIVVLIYSVAQGCVVGCLPWSWSSSPNGSLVVLSSGGRDPVL
+PVPPCESAPHALLCGLVGTLPLAIFLRVSSLPKMILLAVLTTSYILVLELSGYTKAMGAG
+AISGRSFEPIMAILLFSCTLALHARQVDVKLRLDYLWAAQAEEERDDMEKVKLDNKRILF
+NLLPAHVAQHFLMSNPRNMDLYYQSYSQVGVMFASIPNFNDFYIELDGNNMGVECLRLLN
+EIIADFDELMDKDFYKDLEKIKTIGSTYMAAVGLAPTAGTKAKKCISSHLSTLADFAIEM
+FDVLDEINYQSYNDFVLRVGINVGPVVAGVIGARRPQYDIWGNTVNVASRMDSTGVQGRI
+QVTEEVHRLLRRGSYRFVCRGKVSVKGKGEMLTYFLEGRTDGNGSQTRSLNSERKMYPFG
+RAGLQTRLAAGHPPVPPAAGLPVGAGPGALQGSGLAPGPPGQHLPPGASGKEA
+>tr|G3N168|G3N168_BOVIN G_PROTEIN_RECEP_F1_2 domain-containing protein OS=Bos taurus OX=9913 GN=LOC527744 PE=3 SV=2
+MNPSQLQNHFLKIGEKNCCVFRDDFIAKVLPPVVGLEFVFGLLGNGLALWIFCFHLKSWK
+ASRVFLFNLAVADFLLIICLPFLADNYVRRWDWKFGEIPCRLMLFMLAMNRQGSIIFLTV
+VAVDRYFRVVHPHHALNKISNRTAGIISCLLWGITIGLTVHLLHRTRLIENQGSKLCSSF
+SICDAFRWHDAMFLLEFFVPLGIILFCSVRIVWSLRQRQMNKHAKIRRAINFIMVVAIVF
+IICFLPSVAVRIHIFWLLRKAGTENCDIYRSVDLAFYITLSFTYMNSMLDPLVYYFSSPS
+FPNFFSTLINRCLKRKGPDESDNNRSTSVELTGDLSTTKNVPEALMANPSEPQSPSYLNP
+VSS
+>tr|E1B706|E1B706_BOVIN Zinc finger protein 467 OS=Bos taurus OX=9913 GN=ZNF467 PE=4 SV=2
+MRETLEALSSLGLSVGQPEMTPQSEPGEQSHDAQERMSPPQEQRVLGTSSGREAPRPEKG
+ARTEQAETPCREDQVCAPRKPEPTGSCPGDEWMIRKVKVEEEEEDREAHKEAEWPQHLAL
+RPSPFPPPDLGSLAAAYKLESGAPGTLGGLALAGWVPTASEKPYGCGECERRFRDQLTLR
+LHQRLHRGEGPCACPDCGRSFTQRAHLLLHQRSHRGERPFPCSECDKRFSKKAHLTRHLR
+THTGERPYPCAECGKRFSQKIHLGSHQKTHTGERPFPCPECEKRFRKKTHLIRHQRIHTG
+ERPYKCAQCARSFTHKQHLVRHQRVHAAAGRTPPSPGAPASPTSSASSPTASPPGPKPFS
+CSHCGLSFGWKKNLTTHQRLHSGEERPFGCDECALGAPVDPAAPEPLACAPRGTPALPGT
+PGGERSFLCPECGRSFAHGQHLARHRRVHTGERPFACAQCGRRFGSRPNLVAHSRAHSGA
+RPFACAQCGRRFSRKSHLGRHQAVHTGSRPHACAVCARSFSSKTNLVRHQAVHTGSRPFP
+CPQCGKSFSRKTHLVRHQRIHGGAAHPASGSDLSSPAWPTSTDVTAPALFF
+>tr|A0A3Q1M7Y9|A0A3Q1M7Y9_BOVIN Zinc finger AN1-type containing 3 OS=Bos taurus OX=9913 GN=ZFAND3 PE=4 SV=1
+MALINHGSSKTMNLCSKCFADFQKKQPDDDSTPSTSNSQSDLFSEETTSDNKNTSLTTPT
+LSPSQQPLPTELNVTAPSKEECGPCTDTAHVSLITPTKRSCGTDSQSENEASPVKRPRLL
+ENTERSEEASRSKQKSRRRCFQCQTKLELVQQELGSCRCGYVFCMLHRLPEQHDCTFDHM
+GRGREEAIMKMVKLDRKVGRSCQRIGEGCS
+>tr|F1MTD5|F1MTD5_BOVIN Translocating chain-associated membrane protein OS=Bos taurus OX=9913 GN=TRAM1 PE=3 SV=1
+MAIRKKSSKNPPVLSHEFVLQNHADIVSCVAMVFLLGLMFEITAKVSIIFVTLQYNVTLP
+ATEEQATESAFLYYYGIKDLATVFFYMLVAIIIHAIIQEYVLDKINRRMHFSKTKHSKFN
+ESGQLSAFYLFSCIWGTFILVSENYISDPTILWRAYPHNLMTFQMKFFYISQLAYWFHAF
+PELYFQKTKKEDIPRQLVYIGLYLFHIAGAYLLNLNHLGLVLLVLHYFVEFLFHISRLFY
+FSDEKYQKGFSLWAVLFVLGRLLTLILSVLTVGFGLARAENQKLDFSTGNFNVLAVRIAV
+LASICITQAFMMWKFINFQLRRWREHSAFQAPAVKKKPPVTKGRSSRKGTENGVNGTVTS
+NGADSPRNRKEKSS
+>tr|A0A3Q1LQ49|A0A3Q1LQ49_BOVIN SRY-box 5 OS=Bos taurus OX=9913 GN=SOX5 PE=4 SV=1
+MSLSLKSEFCSLSISMSSKRPASPYGEADGEVAMVTSRQKVEEEESDGLPAFHLPLHEVD
+GNKVMSSFAPHNSSTSPQKAEEGGRQSGESLSSTTLGTPERRKGSLADVVDTLKQRKMEE
+LIKNEPEETPSIEKLLSKDWKDKLLAMGSGNFGEIKGTPESLAEKERQLMGMINQLTSLR
+EQLLAAHDEQKKLAASQIEKQRQQMELAKQQQEQIARQQQQLLQQQHKINLLQQQIQVQG
+QLPPLMIPVFPPDQRTLAAAAQQGFLLPPGFSYKAGCSDPYPVQLIPTTMAAAAAATPGL
+GPLQLQQLYAAQLAAMQVSPGGKLPGVPQGNLGAAVSPTSIHTDKSTNSPPPKSKDEVAQ
+PLNLSAKPKTSDGKSPTSPTSPHMPALRINSGAGPLKASVPASLASPSARVSTIGYLNDH
+DAVTKAIQEARQMKEQLRREQQVLDGKVAVVNSLGLNNCRTEKEKTTLESLTQQLAVKQN
+EEGKFSHAMMDFNMSGDSDGSAGVSESRIYRESRGRGSNEPHIKRPMNAFMVWAKDERRK
+ILQAFPDMHNSNISKILGSRWKAMTNLEKQPYYEEQARLSKQHLEKYPDYKYKPRPKRTC
+LVDGKKLRIGEYKAIMRNRRQEMRQYFNVGQQAQIPIATAGVVYPGAIAMAGMPSPHLPS
+EHSSVSSSPEPGMPVIQSTYGVKGEEPHIKEEIQAEDINGEIYDEYDEEEDDPDVDYGSD
+SENHIAGQAN
+>tr|A0A3Q1LVA4|A0A3Q1LVA4_BOVIN Plectin OS=Bos taurus OX=9913 GN=PLEC PE=1 SV=1
+MSGEDQEVRAVVEDGSNGGSGSPSPGDTLPWNLEKTQRSRRGGGGPGGNGSVLDPAERAV
+IRIADERDRVQKKTFTKWVNKHLIKAQRHISDLYEDLRDGHNLISLLEVLSGDSLPRERD
+VIRSSRLPREKGRMRFHKLQNVQIALDYLRHRQVKLVNIRNDDIADGNPKLTLGLIWTII
+LHFQISDIQVSGQSEDMTAKEKLLLWSQRMVEGYQGLRCDNFTSSWRDGRLFNAIIHRHK
+PMLIDMNKVYRQTNLENLDQAFSVAERDLGVTRLLDPEDVDVPQPDEKSIITYVSSLYDA
+MPRVPDVQDGVKANELQLRWQEYRELVLLLLQWIRAHTAAFEERRFPSSFEEIEILWCQF
+LKFKETELPAKEADKNRSKGIYQSLEGAVQAGQLKVPPGYHPLDVEKEWGKLHVAILERE
+KQLRSEFERLERLQRIVSKLQMEAGLCEEQLNQADALLQSDVRLLAAGKAPQRAGEVERD
+LDKADGMIRLLFNDVQALKDGRHPQGEQMYRRVYRLHERLVAIRTEYNLRLRGTPRHPEL
+EDSTLRYLQDLLAWVEENQRRLDGAEWGVDLPSVEAQLGSHRGLHQSVEEFRAKIERART
+DEGQLSPATRGAYRDCLGRLDLQYAKLLNSSKARLRSLESLHGFVAAATKELMWLSEKEE
+EEVGFDWSERNSNMAAKKEAYSALMRELELKEKKIKEIQSTGDRLLREDHPARPTVESFQ
+AALQTQWSWMLQLCCCIEAHLKENTAYFQFFSDVREAEEQLRKLQETLHRKYTCDRSITV
+TRLEDLLQDAQDEKDQLNEYRGHLSGLAKRAKAIVQLTPRNPTQPTRGRVPLLAVCDYKQ
+VEATVHKGDECQMLGPAQPFHWKVLSGSGSEAAVPSVCFLVPPPNQEALEAVARLEAQHQ
+ALVTLWHQLHTDMKSLLAWQSLSRDVQLIRSWSLVTFRTLKPEEQRQALRSLELHYQAFL
+RDSQDAGGFGPEDRLQAEREYGSCSRHYQQLLQSLEQGKCGQCGGWWDARGGPPAVGRRA
+NWMCSPGEQEESRCQRCISELKDIRLQLEACETRTVHRLRLPLDKEPARECAQRIAEQQK
+AQAEVEGLGKGVARLSAEAEKVLALPEPSPAAPTLRSELELTLGKLEQVRSLSAIYLEKL
+KTISLVIRSTQGAEEALKAHEEQLKEAQAVPAALPELEATKAAMKKLRAQAEAQQPVFDA
+LRDELRGAQEVGERLQQRHGERDVEVERWRERVTQLLERWQAVLAQTDVRQRELEQLGRQ
+LRYYRESADPLGAWLQDARRRQEQIQAVPLADSQAVREQLRQEKALLEEIERHAEKVEEC
+QRFAKQYINAIKDYELQLVTYKAQLEPVASPAKKPKVQSGSESVIQEYVDLRTRYSELST
+LTSQYIRFISETLRRMEEEERLAEQQRAEERERLAEVEAALEKQRQLAEAHAQAKAQAER
+EAQELQRRMQEEVARREEVVVDAQQQKRSIQEELQQLRQSSEAEIQAKARQVEAAERSRL
+RIEEEIRVVRLQLETTERQRGGAEGELQALRARAEEAEAQKRQAQEEAERLRRQVQDETQ
+RKRQAEAELGLRVKAEAEAAREKQRALQALEELRLQAEEAERRLRQAEAERARQVQVALE
+TAQRSAQAELQSKHASFAEKTAQLERTLEEEHVTVVQLREEATRREQQQAEAERAREEAE
+RELERWQLKANEALRLRLQAEEVAQQKSLAQAEAEKQKEAAEREARRRGKAEEQAVRQRE
+LAEQELERQRQLAEGTAQQRLAAEQELIRLRAETEQGEQQRQLLEEELARLQSEAAAATQ
+KRQELEAELAKVRAEMEVLLASKARAEEESRSSSEKSKQRLEAEAGRFRELAEEAARLRA
+LAEEAKRQRQLAEEDAARQRAEAERVLSEKLAAISEATRLKTEAEIALKEKEAENERLRR
+LAEDEAFQRRRLEEQAAQHKADIEERLAQLRKASESELERQKGLVEDTLRQRRQVEEEIL
+ALKASFEKAAAGKAELELELGRIRGNAEDTLRSKEQAEQEAARQRQLAAEEERRRREAEE
+RVQKSLAAEEEAARQRKAALEEVERLKAKVEEARRLRERAEQESARQLQLAQEAAQKRLQ
+AEEKAHAFAVQQKEQELQQTLQQEQSMLERLRGEAEAARRAAEEAEEARERAEGEAAQSR
+QRVEEAERLKQAAEEQAQAQAQAQAAAEKLRKEAEQEAARRAQAEQAALRQKQAADAEME
+KHKKFAEQTLRQKAQVEQELTALRLKLEETDHQKSILDQELQRLKAEVTEAARQRSQVEE
+ELFSVRVQMEELGKLKARIEAENRALILRDKDNTQRLLQEEAEKMKQVAEEAARLSVAAQ
+EAARLRQLAEEDLAQQRALAEKMLKEKMQAVQEATRLKAEAELLQQQKELAQEQARRLQE
+DKEQMAQQLAQETQGFQRTLETERQRQLEMSAEAERLRLRVAEMSRAQARAEEDAQRFRK
+QAEEISAKLHRTELATQEKVTLVQTLETQRQQSDRDADRLREAIAELEREKDKLKKEAEL
+LQLKSEEMQTVQQEQLLQETQALQQSFLSEKDSLLQRERFIEEEKAKLERLFQDEVAKAQ
+KLREEQQRQQQQMQQEKQQLLASMEEARRRQREAEEGVRRKQEELQLLEQQRQQQEQLLA
+EENRRLRERLEHLEEEHRAALAHSEEITAAQAAATRALPNGQDATDGPAAEPEHAFEGLR
+QKVPAQQLQEAGILSTEEVQRLVQGHTTVAELTQREDVRRYLQGHSSIAGLLLKPANEKL
+TIYAALRRQLLSPGTAVILLEAQAASGFLLDPVRNRRLTVNEAVKEGVVGPELHHKLLSA
+ERAVTGYKDPYTGEQISLFQAMKKDLIVREHGIRLLEAQIATGGVIDPVHSHRVPVDVAY
+QRGYFDEEMNRVLQDPSDDTKGFFDPNTHENLTYLQLLERCVEDPETGLRLLPLTDQAAK
+GGELVYTDSEARDVFEKATVSAPFGKFQGKTVTIWELINSEYFTAEQRRDLLRQFRTGKV
+TVEKIIKIVITVIEEHEQKGQLCFQGLRALVPAAELLESGVIDWDLFRQLQLGERSVQEV
+AEVEAVRRALRGSGVIAGVWLEEARQKLSIYEALKKELLQPEAAVALLEAQAGTGHVIDP
+ATSARLTVDEAVRAGLVGPELHEKLLSAEKAVTGYKDPYSGQSVSLFQALKKGLIPREQG
+LRLLDAQLSTGGIVDPSKSHRLPLDVACARGYLDKETSTALTAPRDDAKTYYNPRTWEPA
+TYSQLQQQCRPDPLTGLSLLPLSEEAARARQQELYSEVQAREAFQKATVEVPVGSFQGRA
+VTIWELINSEYFTAEQRQELLRQFRTGKVTVEKIIKIIITIVEEVETTRRERLSFSGLRA
+PVPASELLASGILSSSQFEQLKDGKTSVKDLSELDSVRTLLQGSGCLAGIYLEESKEKVT
+IYEAMRRGLLRPSTAILLLEAQAATGFLVDPVRNQRLYVHEAVKAGVVGPELHEKLLSAE
+KAVTGYKDPYSGSTISLFQAMKKGLVVREHGIRLLEAQIATGGIIDPVHSHRVPVDVAYQ
+RGYFDKEMNRVLEDPSDDTKGFFDPNTRENLTYRQLLERCVEDPETGLRLLPLKGPEKAE
+VVETTRVYTEEETRRAFEETQIDIPGGGSHGGSTMSLWEVMQSDLIPEEQRAQLMADFQA
+GRVTKERMIIIIIEIIEKTEIVRQQNLASYDYVRRRLTAEDLHEARVISRESYSLLREGT
+RSLREVLEAESAWRYLYGTGCVAGVYLPGSRQTLTIYQALKKGLLSAEVARLLLEAQAAT
+GFLLDPVKGDRLTVDEAVRKGLVGPELHDRLLSAERAVTGYRDPYTEQTISLFQAMKKDL
+IPAEEALRLLDAQLATGGIVDPRLGFHLPLEVAYQRGYLNKDTHDQLSEPSEVRSYIDPS
+TDERLSYTQLLRRCRRDEASGLFLLPLSDARKLTFRGLRKQITVEELVRSHVMDEATAQR
+LQEGLTSIEEVSKNLQKFLEGTSSIAGVLVDATKERLSVYQAMKKGIIRPGTAFELLEAQ
+AATGYVIDPIKGLKLTVEEAVRMGIVGPEFKDKLLSAERAVTGYKDPYSGKLISLFQAMK
+KGLILKDHGIRLLEAQIATGGIIDPEESHRLPVDVAYQRGLFDEEMNEILTDPSDDTKGF
+FDPNTEENLTYLQLMERCVTDPQTGLRLLPLKEKKRERKTSSKSSVRKRRVVIVDPETGK
+EMSVYEAYRKGLIDHQTYLELSEQECEWEEITISSSDGVVKSMIIDRRSGRQYDIDEAIA
+KSLIDRSALDQYRAGTLSITEFADMLSGNAGGFRSRSSSVGSSSSYPISPAVSRTQLASW
+SDPTEETGPVAGILDTETLEKVSITEAMHRNLVDNITGQRLLEAQACTGGIIDPNTGERF
+PVTEAVNKGLVDKIMVDRINLAQKAFCGFEDPRTKTKMSAAQALKKGWLYYEAGQRFLEV
+QYLTGGLIEPDTPGRVPLDEALQRGTVDARTAQKLRDVSAYSKYLTCPKTKLKISYKDAL
+DRSMVEEGTGLRLLEAATQSSKGYYSPYSVSGSGSTTGSRSGSRTGSRAGSRRGSFDATG
+SGFSMTFSSSSYSSSGYGRRYASGPTSSLGGPESTAA
+>tr|A0A3Q1M931|A0A3Q1M931_BOVIN E74 like ETS transcription factor 2 OS=Bos taurus OX=9913 GN=ELF2 PE=3 SV=1
+MTSAVVDSGGSILELPSNGVENQEESGKVSEYPAVIVEPVPSARLEQGYAAQVLVYDDET
+YMMQDVAEEQEVETENVETVEASVHSSNAHCTDKTIEAAEALLHMESPTCLRDSRSPEFI
+HAAMRPDVITETVVEVSTEESEPMDTSPIPTSPDSHEPMKKKKVGRKPKTQQSPISNGSP
+DLGIKKKPREGKGNTTYLWEFLLDLLQDKNTCPRYIKWTQREKGIFKLVDSKAVSKLWGK
+HKNKPDMNYETMGRALRYYYQRGILAKVEGQRLVYQFKDMPKNIVVIDDDKSETCSEDLA
+GATDEKSLERVSLSAESLLKAATSVRGGKNSSPLNCSRAEKGVARVVNITPPGHDASSRS
+PTTTTSVSATAAPRTVRVAMQVPVVMTSLGQKISTVAVQSVNAGAPLITSTSPTTAASPK
+VVIQTIPTVMPASTENGDKITMQPAKIITIPATQLAQCQLQTKSNLTGSGSINIVGTPLA
+VRALTPVSIAHGAPVMRLSVPPQQASGQTPPRVISAVIKGPEVKSEAVAKKQEHDVKTLQ
+LVQEEKPADGNKTVTHVVVVSAPSALALPVTMKTEGLVTCEK
+>tr|A0A3Q1MI19|A0A3Q1MI19_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 GN=LOC616942 PE=3 SV=1
+MGPRTLLLLLSGVLVLTETWAGSHSLSYFNTGVYQPGLGEPRFFAVGYVDDTQFARFDSD
+APNPRMEPRAPWMEQEGPEYWEEMTRDAKESQQKSRLCLYNLRGYYNQSEAESHILQVMF
+GCEVGPDGRLLRGFWQKAYDGRDYIALNEDLRSWTAADTVAQITKRKWDVSGQAKIQRNY
+LEVKCVQWLLRHLETGKDTLLRADPPKTHVTCHPISGREVTLRCWALGFYPEEILLTWQR
+DGEDQTQDMELVETRPSGDGTFQKWAALVVPSGEEQRHTCRVQHEGLQEPRTLRWEPPET
+SFLTMGIIVGLVLLVVAVVAVVTGAVIWRKKRSGREGRVGSEFSCLTEGFQAQVEVCLPC
+SWEIPSIHMGLLVWG
+>tr|E1BJE9|E1BJE9_BOVIN UDENN FLCN/SMCR8-type domain-containing protein OS=Bos taurus OX=9913 GN=SMCR8 PE=4 SV=3
+MISAPDVVAFTKEDEYEEEPYNEPALPEEYSVPLFPFASQGANPWSKLSGAKFSRDFILI
+SEFSEQVGPQPLLTIPNDTKVFGNFDLNYFSLRIMSVDYQASFVGHPPGSAYPKLNFVED
+SKVVLGDSKEGAFAYVHHLTLYDLEARGFVRPFCMAYISADQHKIMQQFQELSAEFSKAS
+ECLKTGNRKAFAGELEKKLKDLDYTRTVLHTETEIQKKANDKGFYSSQAIEKANELASVE
+KSIIEHQDLLRQIRSYPHRKLRGSSLCPRESEHIQDPPSQAATTSDPEESADTDHYACRP
+SYTPKLIKAKSTKCFDKKLKTLEELCDTEYFTQTLAQLRHIEHMFRGDLCYLLTSQIDRA
+LLKQQHITSFLFEDLVEVSDRLAEKQESTVSKPHHYGPPSESPEDCLVPQVLISVGSYKS
+SVESVLIKMEQDLGDEASREAAVMESSSFDPQENLDYLEMDMKGSVSSGESIEVLGTEKP
+TSVLSKSESQSSLTVPLSPQVVRSKAVSHRTISGDSIEVLSTCPSEALIPDDFKASYPSA
+INEEESYAEGSQGAIHFQAGISPPEAGEAEEGSSGLALLQTDASCCIGREAGALLVPLST
+PGHTLSDEDGVVSVPPQRYRQKDQGFHVDFSVENASPSSRDNSCEGFPAYELDPSHLLTS
+LDGSKTSLDDHSDATSYGSSVASTSSDRTPSSMPPAGPSSERHKKRAGQNALKFIRQYPF
+AHPAIYSLLSGRTLVVLGEEEAMVRKLVTALSIFVPGYGRHGKPVKHWLSSPLHITDFQK
+WKLIGLQRVASPASASALHALSRYSRYTSILDLDRKTLRCPLYRGTLVPRLADHRTHIRR
+GSTYFLHVQSMLAQLCAKAFLYTFCHHLHLPAHGGEAPELAASRQASFLQLTLGLVHEDV
+KVVRYLAELLRLHYTQERRGTSQPALRFDYVPSFLYKI
+>tr|A0A3Q1MKA6|A0A3Q1MKA6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MTWRSGCFPSLSTAHPSTPVDGQPRMDLQYHKKNEFQWSPGLTGSIISVCLAVLAFGIIV
+ASAITYRRNRKLLLQ
+>tr|A0A3Q1NJQ8|A0A3Q1NJQ8_BOVIN RNF111_N domain-containing protein OS=Bos taurus OX=9913 GN=C24H18orf25 PE=4 SV=1
+MKMEEAVGKVEELIESEVPPKTSEQETAKEEDGSVELESQVPKDGVVDSTVLSSMPCLLM
+ELRRDSSESQLASTESDKPTTGRVYESDSSNHCMLSPSSSGHLADSDTLSSAEENEPSQA
+ETAVEGDPSGVSGATVGRKSRRSRSESETSTMAAKKNRQSSDKQNGRVAKVKGHRSQKHK
+ERIRLLRQKREAAARKKYNLLQDSSTSDSDLTCDSSTSSSDDDEEVSGSSKTITAEIPDG
+PPVVAHYDMSDTGSEPEVVNVDNLLAAAVVQEHSNSVGGQDTGATWRTSGLLEELNAEAG
+WSPYPYPSFSDGIICMCPGSSFPCLGKQAN
+>tr|E1BB89|E1BB89_BOVIN Phospholipase A2 group VI OS=Bos taurus OX=9913 GN=PLA2G6 PE=4 SV=2
+MQEAATRGEVGMGDRARLTRDSVFFQTEGEGGGASPPAPQRMQFFGRLVNTLSSVTNLFV
+NPFRVKEVAVEDYHSRRRVREEGQLILFQNSSNRTWDCILVNPRNAQSGFRLFQLETEAD
+ALVNFQQYSSQLPPFYESSTHILQAEVLQQLTDLIRSHPSWSVAHLAVELGIRECFHHSH
+IISCANNKENEEGCTPLHLACRKGDTEVLSELVQHCRANMDVTDNSGETAFHYAVQGDSS
+QVLQLLGKNASGGLNQVNNQGLTPLHLACQLGKQEMVRVLLLCNARCNIMGPGGYPIHTA
+MKFSQKGCAEMIVSMDSSQIHSKDPRYGASPLHWAKNAEMARLLLKRGCDVNGTSSAGNT
+ALHVAVMRNRFDCVMVLLTHGANADARGEHGNTPLHLAMSKDNVEMIKALIVFGAEVDTP
+NDFGETPAFIASRISKLVTRKALLTLLRTVGADYRFPLTQGFSTEQCSAAAPSFSMERSH
+PPPISLNNLELQDITQISRARKPAFILSSMRDEKRTHDHLLCLDGGGVKGLVIIQLLIAI
+EKASGIATKDLFDWVAGTSTGGILALAILHSKSMAYMRGVYFRMKDEVFRGSRPYESGPL
+EEFLKREFGEHTKMTDVKKPKVMLTGTLSDRQPAELHLFRNYEAPECVREPRFSQNVNLK
+PPTHPSEQLVWRAARSSGAAPTYFRPNGRFLDGGLLANNPTLDAMTEIHEYNQDLIRKGQ
+DSKVKKLSVVVSLGTGRSPQVPVTCVDVFRPSNPWELAKTVFGAKELGRMVVDCCTDPDG
+RAVDRARAWCEMVGIQYFRLNPQLGTDIMLDEINDTVLVNALWETEVYIYEHREQFQKLV
+QLLLSP
+>tr|A0A3Q1LK53|A0A3Q1LK53_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC783113 PE=4 SV=1
+MSSYQQKQPFTPPPGPEQQQVKQPCQPPPPPQEPFVPITEEPCLPNVPQPDIKIPEQGYS
+TLPEPCPVRDPEPVYTNVPQPGNTKVPEAYPSVVIPGPNQQKVNQK
+>tr|A0A3Q1N525|A0A3Q1N525_BOVIN Nuclear factor of activated T cells 2 OS=Bos taurus OX=9913 GN=NFATC2 PE=4 SV=1
+MDAPEPQPDPDGGDAPGHEPGDSPQDEFDFSILFDYDYLNPIEEEPSAHKVASPPSGLYP
+DDVLDYGLKPYSPLASLSGEPPGRLREPDRVGPQNFLSPAKPPGASGLSPRIEITPSHEL
+IQAGGPFRVRDTGLLGEQPPPPGVAASPRFTLPVPGFEGYREPLCLSPASSGSSASFISD
+TFSPYTSPCVSPNNGGPDDLCPQFQNIPAHYSPRTSPIMSPRTGLAEDSCLGRHSPVPRP
+ASRSSSPGTKRRHSCAGASVAPLPAASPQRSRSPSPQPRDDGVPAGYPPSAGPAALMDAL
+NSLAGDSPCGIPPKMWKTSPDPSPVSAAPPKAGLPRHLYPAVEFLGPCEQEERRNSAPES
+ILLVPPTWPKQLVPAIPICSIPVTASLPPLEWPLSHQSGAYELRIEVQPKPHHRAHYETE
+GSRGAVKAPTGGHPVVQLHGYMENKPLGLQIFIGTADERILKPHAFYQVHRITGKTVTTT
+SYEKIVGNTKVLEIPLEPKNNMRATIDCAGILKLRNADIELRKGETDIGRKNTRVRLVFR
+VHIPEASGRIVSLQTASNPIECSQRSAHELPMVERQDIDSCLVYGGQQMILTGQNFTAES
+KVVFTEKTTDGQQIWEMEATVDKDKSQPNMLFVEIPEYRNKHIRTSVKVNFYVINGKRKR
+SQPQHFTYHPVPAIKTEPTDEYDPALICSPAHGGLGSQPYYAQHPMVAEPPSCLVATMAP
+CQQFRSGLSSPDARYQQQNPAAVLYQRSKSLSPGLLGYQQPALMASPLALADAHRSVLVH
+AGSQGQGAALLHSGPANQQASPVIHYSPTNQPLRCGSHQEFQHIVYCENFAPGPARPGPP
+PVSQGQRLSPGSYPTVIQQQNAPSQRAAKNGPPVSDQKEVLPAGVTIKQEQNLDQTYLDD
+GKRLVFLIAPEFHAL
+>tr|G3N2A6|G3N2A6_BOVIN HMG box domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=2
+LFSVDNYDWSKEDIVQLLESMGKSIPSSDGHTFKTTQSVMDWEKVAFKDFSGEMCKLKWL
+EVSHKVRKFHTLKELVLEAKENVKNTRKHKKCVYLFQKSLTAYRHISQVMRPQYIPKHPK
+ISNQDLTRVLSEEHRKVPEQLRVKQSQDLEKVKKDIREKIALFRAQHPDLVPNPEKSGVP
+QRSEMKVPEKLQENVQTVKSPPEKSLPMKWKFHGEPKKPPMNGYHKFHQDLWSSRELKVV
+PRREHMVEISRCWQQVPQDQKELYKKQAEGLQTQYKVDLDLWLRTLPPEEYAAYREVTCV
+KRKNMSVTGGLNPKIRRMGLQSPSSGSLQGRLREDPGLQTAELASSDTTGEHSPEEGSRC
+SAPSSEDEDGDSEPEDSSSSSSSSGDSSDSDSN
+>tr|A0A3Q1M4S5|A0A3Q1M4S5_BOVIN Neutral sphingomyelinase activation associated factor OS=Bos taurus OX=9913 GN=NSMAF PE=4 SV=1
+MALVLDKHFTFYHFKNEISFNPERIKTVFSSVQLLSHVRLFVTPWTAARQASLSNTNSWS
+SLKICSKSVIFEPDAISQPIIKIPLRDCIKIGRHGENEANRHFAKYVTVATVYFIKEHNI
+VAPYKIERVSKVFPSSPTISIVILSNKLHRASCLDKLGDQTAMITAILQSRLARTSFDKN
+RFQSVSEKLHMECKAEMVTPLVTNPGHACVTDTTLYFQPLNGYPKPVVQIMLQDIRRIYK
+RRHGLMPLGLEVFCTEDDLCSDIYLKFYERQDRDDLYFYIATYLEHHVPERTAEGYTLQW
+QRGLLSNYQYLLHLNSLADRSCSDLSQYPVFPWVIGDYSSSELDLSNPETFRDLSKPVGA
+LNQERLERLLTRYQEMPEPRFMYGSHYSSPGYVLFYLVRIAPEYMLCLQNGRFDNADRMF
+NSIAETWKNCLDGATDFKELIPEFYGDDVSFLVNSLKLDLGKRQGGQLVNDVELPLGHGD
+FLRKSRAALESEYVSEHLHEWIDLVFGYKQQGQDAVGAHNVFHPLTYEGGVDLNSIEDPD
+EKVAMLTQILEFGQTPRQLFVTPHPRRITPKFKSVSQPSSYNASTADSPVSPGEESFEDL
+TEESRTLAWSNICVCTGRAHGGVSAWAESLVFQALSSCLLLPGDATVISSSWDNNVYFYS
+IAFGRRQDTLMGHDDAVSKICWHDNRLYSASWDSTVKVWSGVPAEMPCARKQHFELLAEL
+EHDVSVDTISLNAAGTLLASGTKEGTLTIWDLTTATLLHQIPCHEGTVGDTAFSPDSRHV
+LSTGADSRLNVIDVQTGMLISSVTADEPQRCFLWDGNSVVSGSQSGELLVWDLLGGRVSK
+RIQGHAGAVTCMWMNEQCSSVITGGEDRQIMFWKLQY
+>tr|A0A3Q1NKU6|A0A3Q1NKU6_BOVIN ICAM_N domain-containing protein OS=Bos taurus OX=9913 GN=LOC616254 PE=4 SV=1
+MSPFGGWGMLAAFLAMLCCRGSNEKVFEGPEHLMVGFGEFQVINCTASCTDPKKLVLETH
+LNKTLLDSQAQWKLFRVYNISKDEELLCSFTCAGKQETKVFNITVFYPPKQVLLTLSHTS
+VAVGTLFTIECMVPAVAPLEGLTVTLLRGTEILYNQTFVGTARFPQDAVVTHHTTAHRED
+GHHNFSCEAQMDLRSRGGGLVHRVSDPQRLEVKEPEPNYQMVIMAIVIVLLLLFVTFVFL
+CFVFSEKWRRGRTGHYPVQAAWNRLRRSHRAQPL
+>tr|A0A3Q1NE62|A0A3Q1NE62_BOVIN Protein inhibitor of activated STAT 1 OS=Bos taurus OX=9913 GN=PIAS1 PE=4 SV=1
+MVMSLRVSELQVLLGYAGRNKHGRKHELLTKALHLLKAGCSPAVQMKIKELYRRRFPQKI
+MTPADLSIPNVHSSPMPATLSPSTIPQLTYDGHPASSPLLPVSLLGPKHELELPHLTSAL
+HPVHPDIKLQKLPFYDLLDELIKPTSLASDNSQRFRETCFAFALTPQQVQQISSSMDISG
+TKCDFTVQVQLRFCLSETSCPQEDHFPPNLCVKVNTKPCSLPGYLPPTKNGVEPKRPSRP
+INITSLVRLSTTVPNTIVVSWTAEIGRNYSMAVYLVKQLSSTVLLQRLRAKGIRNPDHSR
+ALIKEKLTADPDSEIATTSLRVSLLCPLGKMRLTIPCRALTCSHLQCFDATLYIQMNEKK
+PTWVCPVCDKKAPYEHLIIDGLFMEILKYCTDCDEIQFKEDGSWAPMRSKKEVQEVSASY
+NGVDGCLSSTLEHQVASHHQSSNKSKKVEVIDLTIDSSSDEEEEEPSAKRTCPSLSPTSP
+LNNKGILSLPHQASPVSRTPSLPAVDTSYINTSLIQDYRHPFHMTPMPYDLQGLDFFPFL
+SGDNQHYNTSLLAAAAAAVSDDQDLLHSSRFFPYTSSQMFLDQLSAGGSTSLPTTNGSSS
+GSNSSLVSSNSLRESHGHTVANRSSSDTAAIFGIIPDIISLD
+>tr|F1MNA8|F1MNA8_BOVIN Zinc finger MYM-type containing 2 OS=Bos taurus OX=9913 GN=ZMYM2 PE=4 SV=1
+MDTGSAGGLEVTDQTPVLLGRTAMAASLTDVGNSFSGPPNPLVNRSNKFQSSSVEDDDDV
+VFIEPVQPPPPCAPVVADQRTITFTSSKNEELPGNDSKILPSSKELASQKGSVSETIVID
+DEEDMETNQGQEKNSSSFIERRPSESKNRTNDVDFSPSSFSRSKVNAGMGNSGITTEPDS
+EIQIANVTTLETGVGSVSDGQLEHTDGRDMNLMITHVTSLQNASLGDVSNGLQSSNFGVN
+MQTYTPSLTSQTKTAVGPFNPGRMNVAGDAFQNGESAAHHNPDSWISQSASFPRNQKQPG
+VDSLSPVASLPKQIFQPSAQQQPTKPVKVTCANCKKPLQKGQTAYQRKGSAHLFCSTTCL
+SSFSHKPAPKKLCVMCKKDITTMKGTIVAQVDSSESFQEFCSTSCLSLYEDKQNPTKGAL
+NKSRCTICGKLTEIRHEVSFKNMTHKLCSDHCFNRYRMANGLIMNCCEQCGEYLPSKGAG
+NNVLVVDGQQKRFCCQSCVSEYKQVGSHPGFLKEVRDHTPDSFLTQPEKYGKLTTCTGCR
+TQCRFFDMTQCIGPNGYMEPYCSTACMNSHKTKYAKSQSLGIICHFCKRNSLPQYQATMP
+DGKLYNFCNSSCVAKFQALSMQSSPNGQFVAPSDIQLKCNYCKNSFCSKPEILEWENKVH
+QFCSKTCSDDYKKLHCIVTYCEYCQEEKTLHETVNFSGVKRPFCSEGCKLLYKQDFARRL
+GLRCVTCNYCSQLCKKGATRELDGVVRDFCSEECCRRFQDWYYKAARCDCCKSQGALRER
+VQWRGEMKHFCDQHCLLRFYCQQSEPNMTTQRGPENLHYDQGCQTSRTKMTGSAPPPSPT
+PNKEMKNKAVLCKPLTMTKATYCKPHMQSKSCQTDGDWRTEYVPVPIPVPVYIPVPMHMY
+SQSIPVPTTVPVPVPVPVFLPAPLDSGEKTAVPEELKSTGSSDALGTELLTMTDMTEDEG
+KAEGADLHNVIIETDIIGSDLLKSAEPETQSSMPDVPYEPDLDIEIDFPRAAEELDMENE
+FLLPPVFGEEYEEQPRPRSKKKGTKRKAVSGYQSHDDSSDNSECSFPFKYAYGVNAWRHW
+VRTRRFEEDLLVLDGLTPPKSVKLKEDLLSHTTAELDYGLAHFVNEIRRPNGESYAPDSI
+YYLCLGIQEYLCGSNRKDNIFIDPGYQTFEQELNKILRSWQPSILPDGSIFSRVEEDYLW
+RIKQLGSHSPVALLNTLFYFNTKYFGLKTVEQHLRLSFGTVFRHWKKNPLTMENKACLRY
+QVSSLCGTDSEDKITTGKRKHEDDEPVFEQIENTANPSRCPVKMFECYLSKSPQNLNQRM
+DVFYLQPECSSSADSPVWYTSASLDRNTLENMLVRVLLVKDIYDKDNYELDEDTD
+>tr|F1MD40|F1MD40_BOVIN Collagen type VI alpha 6 chain OS=Bos taurus OX=9913 GN=COL6A6 PE=4 SV=3
+MLLILFLIIICSHVSVNQHSGPEYADVVFLVDSSDHLGIKSFPFVKAFINKMISSLPIEA
+DKYHVGLAQYSDGLHREFLLSTFKSRGPMLNHLKKNFGFLGGSLQVGKALREVHRAYFSS
+GRDRKQFPPILVVLASGESEDAVEEAAEALRKDGVRIVSVGMQGVSEKTLKAMATGQFHY
+NLRTVRDLSSFSQNMTQILKDAAQYKDRAVNDILVEVCQGPSVADVVFLLDMSTNSSWED
+FDYLKEFLEESISALDIKEHCMRVGLVAYSNETKVISTLSRGVNKSEVLQDIQSLAPQAG
+KAYTGAALRKIRKEVFSAQHGSRKNQGVPQIAVLVTHSPSQDNVTKAAVNLRRQGVIVFT
+IGVEGASDTQLEKIASHPAEQYVSQLRSFSDLAAHNQTFLKKLRNQITHTVSVISERTET
+LKAGCVDTEEADIYLLIDGSGSTQATDFQEMKTFLSEVAGMFNIAPQKVRVGAVQYADRW
+DLEFEISKYTNKHDVRKAIENIRQMGGNRNTGAALNFTLGLLQRAKQQRGGRVPCHLVVL
+TNGASRDSVSGPANRLSEELIHVYAIGVREANQTQLREIAGEEKRVYYVHDFDALKDIRN
+QVVQEICAEEACKEMKADIMFLVDSSGSIGLENFIKMKTFMKNLVSKSQIRADRVQIGVV
+QFSDVNKEEFQLNRYTSQEEISDAIDRMAHIGETTLMGSALTFVSQYFSPAKGARPNVRK
+FLILITDGEAQDIVKDPAVALREEGIIIYSVGVFGSNVTQLEEISGRPEMVFYVENFDIL
+KHIEDDLVFGICSPHEECKRIEVLDVVFVIDSSGSIDHDEYNIMKDFMINLVKKADVGKN
+HVRFGALKYADDPEVLFYLDNLDTKWEVISVLQNDQPLGGNTYTAEALGFSDHMFTEARG
+SRLHKGVPQVLIVITDGESHDADKLNATAKALRDKGILVLAVGIAGANPVELLAMAGSSD
+KYFFVETFGGLKGIFSDVSASVCNSSKVDCEIEKVDLVFLMDGSNSIHPDDFRKMKEFLA
+SVIQDFDISNNRVRIGAAQFSHTYQPEFPLGMFIGKEEISFQIENIKQIFGYTHIGAALR
+QVGHYFRPDMGSRIHAGTPQVLLVLTDGQSQDEVAQAAEELRHKGIDIYSVGIGDVDDQQ
+LVQITGTANKKLTVHNFDELKKVKKRIVRNICSPRGDSNCFVDVVVGFDISTQQNGQTLL
+EGQSWMETYLQDILRVISSLNGVSCEVGTETQVSVAFQVTNAAEKYSPKFEIYSENILNS
+LKDLTVKGPSLLNANHLSSLWDAFQNKSAARGKVALLFSDGLDDDVEKLERKSDELRKEG
+LNALITVALDGPTSSSDLADLLYIEFGKGFEYRTQLTLGTRDLGSQLSKHLVNVAERTCC
+CLFCKCIGGDGTRGDPGPAGKTGLPGFKGSEGYLGEEGTAGERGASGPVGEQGTKGCYGA
+KGPKVRIARSTVKVNKFCFKVSAGLPGEPGSPGELGPPGRKVNQKPINHISACSGLSGAP
+QCPVYPMELVFALDQSRSVTEPEFARMKAMLSSLLSGLRVREDHCPTGARVAVLAYDSHA
+RLLIRFSDTYRKDRLLREIEALPYERSTASRDIGKAMRFVSRHVFKRMLPGSHARRIATF
+FSGGPSVDPQTVTTAGLEFSALDIIPVVIAFNQVPAVRRSFAIDDTGRFQVIIIPSGADS
+APALEGLQRCTFCYDVCKPDASCDQARPPPVPSYVDAAFLLDGSRHVGSAEFEDIRGFLG
+ALLDHFEITPEPESSVTGDRVALLSLSPPHFLPNTQRSPVRSEFNLTTYKSKRLMKRHVE
+ESVQQPNGDAFIGHALQWTLDNVFSRTPNLRRNKVIFVVSAGETSHLDRETLKQESLRAK
+CQGYTLFVFSLGPSWNDQELEDLASYPLDHHLIQLGRIHKPDHRYAVKFVKAFISSVRRA
+INKYPPINIKAKCNRLSSMEPQQHPLQFVRSVVPGPHRATLKEAALQKVKFFQHKNYFSK
+IARGGRDGTVQNFTRNIFHVFENGKRVIKAAPKQHAKRGAPRV
+>tr|A0A3Q1MBD4|A0A3Q1MBD4_BOVIN Myosin light chain 3 OS=Bos taurus OX=9913 GN=MYL3 PE=4 SV=1
+MAPKKPDPKKDEAKAGAKAAAAPAPAPAPPPAPEPSKEPEFDPSKIKVEFKEAFTLFDRT
+PKCEMKITYGQCGDVLRALGQNPTQAEVLRVLGKPKQEELNSKMMDFDTFLPMLQHISKN
+KDTGTYEDFVEGLRVFDKEGNGTVMGAELRHVLATLGEKLTEDEVEKLMAGQEDSNGCIN
+YEAFVKHIMAG
+>tr|A0A3Q1M498|A0A3Q1M498_BOVIN Dynein light chain OS=Bos taurus OX=9913 PE=3 SV=1
+MCDRKAVTKNADMSEEMPQDSVECATQALEKYNIEKDISAHIKKEFDKNLSLLELAPFPP
+VLPLT
+>tr|A0A3Q1MJR3|A0A3Q1MJR3_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MGIPDHLTCLLRNLYTGQEATVRTGHGTTDWLQIGKGVHQGCILSPCLFNLYAEYIMRNA
+GLEEAQAGIKIAGRSINNLRYADDTTLMAESEEELKSLLMKVKEESETVGLKLNIQKTKI
+MASGLITSWEIDGQTVATVSDFIFLGSKITADGDCGHEIKRRLLLGRKVMTNLDSTFKSR
+DITLPTKVHLVKAMVFPVVMCGCESWTVKKAERQRIDAFELWCWRRLLRVPWTARRSNQS
+ILKEISPGCSLEGLMLKLKLQYFGHLMRRVDSLEKTLMLGGIGGKRRRGRQRMRWLDGIT
+DSMDVSLSELRELVMDREAWCAVIHGVAKSQTRLSD
+>tr|F1MGG8|F1MGG8_BOVIN Insulin receptor substrate 4 OS=Bos taurus OX=9913 GN=IRS4 PE=4 SV=3
+MWLSTATGSRSDSESDEEDLPVGDEVCKRGYLRKQKHGHWRYFVLKLETADAPARLEYYE
+NARKFRHSVRAAAAAAAAAASGATVPALIAPRRVITLYQCFSVSQRADARYPHLIALFTQ
+NEYFAMVAENESEQESWYLLLSRLILESKRRRCGRLGAQPEGEPAALAAAAAAEPPFYKD
+VWQVIVKPRGLGHRKELSGVFRLCLTDEEVLFVRLNTEVASVVVQLLSIRRCGHSEQYFF
+LEVGRSTVIGPGELWMQVDDCVVAQNMHELFLEKMRALCADEYRARCRSYSISIGGHLLT
+LLSTRRHLDMLPLEPGGWFRRSRFEQFCHLRAIGDGEDEMLLAGRYITPSEPVPRSRRGR
+LHLPRGRRSRRATSVPASFFRHAAPSPVRIRYPAEALHDRDPESSEASSSGSGNSGEEGG
+PQRKEDQEENEGDYMPMNNWGSGNGRGSGSGQGSSGQGSSSQSSGGSQCSGRGHGSGGGQ
+GSSSSHGSSGSGSGDQSAGGNQCSGDGQGTAGHGSGSGQGAGGGHGSGRDQGAGDGHGSG
+GGKNSGGGKGSGSGKGPEGNGDRGKSLKKRSYFGKLTQSKQQQMPPPPPPPPPPGAATGG
+KGKSGGRFRLYFCADRGATKERKEAKEGKDTEPPEGAARGPHRARAFDEDEDDPYVPMRP
+GVAAPLASSSDYMPMAPQNVSVSKKRHSRSPFEDSRGYMMMFPRVSPPPVPSPPKEPDPA
+KEDDSKDNDSDSDYMFMAPGAGAIPKNPRNPQGGSSSKSWSSYFSLPNPFRSSPLGQSDH
+SEYVPMLPGKFLGKGLEKEVSSNRGPKDTASKPSVKGSFSKPRDGGSPSKRSGDGPPKNK
+AKRPNRLPFMTKGNKVKPKPQKPTQEQREADSPRGYVNIDFPKRGYNMPDPCLQRLPGVW
+GIIADPRQFAFSNYVNVEFGVPFPNPADNLSDFFRAIPGANPFFLDGATGSSANREEGDY
+IEVIFNPAMTPAVPFADSAIRYDAETGRIYVVDPFSECCMNISLSPSRCSERPPVARLLL
+LEELERRRPQSRSQSFFAAARAAISAFPTDSLERDLSASFAAAAAAVPTLALGRALAAAS
+ALVAAPGIGAAAAGLEAAAGFDSASVRWFLPVADAAGAAVRGAQDIAGGSNPRPPNPSAD
+LAGGENRAGGAAAAVAAAPRSPGRGRVSRPPEREDSDDDDTYVRMDFARRDNGKFDSPQR
+E
+>tr|A0A3Q1MK11|A0A3Q1MK11_BOVIN Centrosome and spindle pole associated protein 1 OS=Bos taurus OX=9913 GN=CSPP1 PE=4 SV=1
+MRPPGRQGAPPAASLCPAAAVGRGHARPLAKGGRRARPERRSPGGLSHRSRAGRLPSLLR
+TAAKSLARTLCCSPTPDRLADIFKMADNLDEFIEERKVRLARDKAELESDPPYMEMKGKA
+SEKLSENSKILISMAKENIPPNSQQTRRSLGIDYGLSLPLGEEYEQKKHKLKEELRQDYR
+RYLTQGITQAKRKKNFLSTSETDPSTLGVSLPIGERLSAKERLKLERNKEYNQFLRDKEE
+STEKFRQVEKSTEQKNQRSKKPISQVKPDLPSQIQSSFENSEGPRKDVLTPSEAYEELLN
+QRRLEEDRYRKLDDEIELRNNRRVIKKTDEEVGVSSKKHQRFDSTTDIPDRRFHRFNEDL
+AFDKQSYRPGYDPEVSEDMDERFRYESDFDRRLLRVYTKNRMYGNRRGNEPPMECDGDVT
+EQSNIRISSAGNQSARDNEPSKPTYRETCSPFAGMLFGGEDRELIQRRKEKYRQELLEQM
+AEQQRNKRREKDLDLRVAASGAQDPEKSPDRLKQFSVAPRHFEEMIPPERPRIAFQTPLP
+PLSAPSVPPIPSVYSIPSQSEDVHSGLSSTLGDMVPPRVAPLPPPPMLPPLAANYRTPYD
+DAYYFYGARNTLDPSLAYYGSGMMGVQPAPAPYVTAPVTHQPVQPIVSTVGQNELKITSN
+RAINSGLFFEDKPKPSKQSFQSYQEALQQQIQERQERRKKEREEKEVYEAKLEAEMRSYN
+PWGKGGGGAPLRDDKGNLISHMQSQSSPFARGNIFSEPPTELQIKQQELYKNFLRFQIEE
+KKQREEAERERLRIAEEKEEKRLAEQRARIQQEYEEEQEKKREKEEEQRLKNEELIRLAE
+ERRKEAERKKKEEEEKHNLQLQHYYERENMIGEDTKHLRQPSPVVPALQNKIASRLQRPP
+SVDSIITSFTQDSSMSMAQSPPVPARKNQLRAEEEKKNVIMELSEMRKQLRSEERRLQGR
+LLHIDSDDDIHIRKRESSMDVFDMARHRLQAPVRRPSPKSLDSASSQNIHDFKELKDRDS
+ETRADLKSMYPDDPRDNDTLEIEQQALLREQQKRLNKLKMQEVDLDAVPSTKVRDHRMPR
+EDTDDFLKNSLLESDSAFIGAHGETYPAIEDGGFLLLSPLPSARERRRNKLKGLDFDNSH
+PDVPPDGVSLKSVSSINIDQLRMRNEERMRRLNELQNKPITTDDESSLVDPDDIMRHRGD
+DGSNSVATEPWLRPGTSETLKRFMVEQLRQEQQQGPGKPGAFTWQGLSTAHG
+>tr|A0A140T858|A0A140T858_BOVIN Gastrin/cholecystokinin type B receptor OS=Bos taurus OX=9913 GN=CCKBR PE=3 SV=1
+MELLKPNRSVLGSGPGPGASLCRSGGPLLNGSGTGNLSCEPPRIRGAGTRELELAIRVTL
+YAVIFLMSVGGNVLIIVVLGLSRRLRTVTNAFLLSLAVSDLLLAVACMPFTLLPNLMGTF
+IFGTVVCKAVSYFMGVSVSVSTLSLVAIALERYSAICRPLQARVWQTRSHAARVIVATWM
+LSGLLMVPYPVYTAVQPAGPRVLQCMHRWPSARVRQTWSVLLLLLLFFVPGVVMAVAYGL
+ISRELYLGLRFDGDSDSESQSRVGSQGGLPGGTGQGPAQANGRCRSETRLAGEDGDGCYV
+QLPRSRPALEMSALTAPTPGPGSGTRPAQAKLLAKKRVVRMLLVIVVLFFLCWLPVYSAN
+TWRAFDGPGAHRALSGAPISFIHLLSYASACVNPLVYCFMHRRFRQACLDTCTRCCPRPP
+RARPRPLPDEDPPTPSIASLSRLSYTTISTLGPG
+>tr|G3MWI2|G3MWI2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=CENPB PE=4 SV=2
+MGPKRRQLTFREKSRIIQEVEENPDLRKGEIARRFNIPPSTLSTILKNKRAILASERKYG
+VASTCRKTNKLSPYDKLEGLLIAWFQQIRAAGLPVKGIILKEKALRIAEELGMDDFTASN
+GWLDRFRRRHGVVSCSGVARARSRSAAPRPPAAPASPPAVPSEGSGGGTTGWRAREEQPP
+SVAEGYASQDVFSATETSLWYDFLPDQAAGLCGSDGRARRATQRLSVLLCANADGSEKLP
+PLVAGKSAKPRAGQAGLPCDYTANSKGGVTTQALAKYLKALDTRMAAESRRVLLLAGRLA
+AQSLDTSGLRHVQLAFFPPGTVQPLERGVVQQVKGHYRQAMLLKAMAALEGQDRSGLQLG
+LMEALHFVAAAWQAVEPSDIAACFREAGFGGGPNATITTALKSEGEEEEEEEEEEEEEEE
+EGEGEEEEEEDGEEEEEEEAGEGEELGEEEEVEEEGDVDDSDEEEEEEEEESSSEGLEAE
+DWAQGVVEAGGSFGGYGAQEEAQCPTLHFLEGEEDSESDSEEEEEDDEEEDEDDEDDEED
+GDEVPVPSFGEAMAYFAMVKRLEVLKRPSRGVIMGTSLVGSCRPHASPPSLAGPYPGQGA
+WGWAQSPAIQLLLSQSEFNKSVCPPFVGVDVLTAKGASFMVWACVCLGDTSFPGSF
+>tr|A0A3Q1LWS3|A0A3Q1LWS3_BOVIN CREB regulated transcription coactivator 1 OS=Bos taurus OX=9913 GN=CRTC1 PE=4 SV=1
+MATSNNPRKFSEKIALHNQKQAEETAAFEEVMKDLSLTRAARLQLQKSQYLQLGPSRGQY
+YGGSLPNVNQIGSSTVDLPFQPSGFLGEALAAAPVSLTPFQSSGLDTSRTTRHHGLVDRV
+YRERGRLGSPHRRPLSVDKHGRQVDSCPYGTVYLSPPADTSWRRTNSDSALHQSTMTPTQ
+PEPFTGGSQDAHQKRVLLLTVPGMEETASETDKNLSKQGWDTKKTGPRPKSCEVPGINIF
+PSADQENTTALIPATHNTGGSLPDLTNIHFPSPLPTPLDPEEPTFPALSSSSSTGNLAAN
+LTHLGIGAAGQGMSTPGSSPQHRPAGVSPLSLSTEARRQQAQQVSPTLSPLSPITQAVAM
+DALTLEQQLPYAFFTQAGSQQPPPPQPQPPPPPPPASQQQPPPQPPQVPVGLPQGGSLMP
+SANLTRGPQLPPLAVTVPSSLPQSPPENAGQPPMGIDIASHSSSLGGVFGDSFYEQQMAA
+RQANALSHQLEQFNMMENAISSSSLYSPGSTLNYSQAAMMGLTGSHGSLPDTQQLGYPSH
+SSIPNIILTVTGESPPSLSKELTSTLAGVGDVSFDSDNQFPLDELKIDPLTLDGLHMLND
+PDMVLADPATEDTFRMDRL
+>tr|A0A452DJQ7|A0A452DJQ7_BOVIN E3 ubiquitin-protein ligase TRIM11 OS=Bos taurus OX=9913 GN=TRIM11 PE=4 SV=1
+MAAATQGQWVSKPPVGCRWRPRDRPRPIRSYSGWFQKGRKLLPASAAAECTFLLANGIPR
+PYLPGVAASRSRTLLILGIERPRTPETLRPEAPRIPWCPVPRVPNPSPESRQRPPDPAEN
+AALVRSIGAMAAPDLSTNLQEEATCAICLDYFTDPVMTDCGHNFCRECIRRCWGQPEGPY
+ACPECRELFPQRNLRPNRPLAKMAEMARRLHPPSPVPQGVCAAHREPLAAFCGDELRLLC
+AACERSGEHWAHRVRPLQDAAEDLKSKLEKSLEHLRKQMEDALLFQAQAEETCSLWQKMV
+ETQRQNVLTEFERLRRLLVEEEQLLLQRLEEEELEVLPPLRESAARLGQQSAQLAELITE
+LEGRCQLPALGLLQDIRDTLRRVQDVKLQPPEVVPMEMRTVCRVPGLVEALRRFRGDMTL
+DPDTANPELVLSEDRRSVRRGDLRQALPDSPERFDPGPCVLGREPLTSGRHYWEVEVGER
+ASWALGVCRENANRKEKGELFAGNGFWILVFLGSYYNSSERAFAPLRDPPRRVGIFLDYE
+AGHLSFYSANDGSLLYTFPETPFSGTLRALFSPLSSSPTPMTICRLKGGPGDGLAPQ
+>tr|G5E6L3|G5E6L3_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=OR51A7 PE=3 SV=2
+MAVLNNSEVQLFLLIGIPGLEHAHEWISIPICLLYLVAIMGNSTILFIIKTEPSLHEPMY
+YFLAMLAISDLGLSFSSLPTMLRIFLFNAMEISPNACFAQEFFIHGFTVMESSVLLVMSL
+DRFLAIHNPLRYNSLLTSNRVAKMGPILATRSLLLVLPFPFMLRRLKYCQKNVLSYSYCL
+HQDTMKLACSDNKINVIYGFFVALCTMLDLAFIILSYTLILKTVLSIASLAERHKTLNTC
+VSHFCAVLIFYVPIITLAAMHRFAKHKSPLAIILIADIFLLLPPLMNPIVYCVKTQQIWE
+KVLGKLSNVYRR
+>tr|A0A3Q1M8F4|A0A3Q1M8F4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=MXRA7 PE=4 SV=1
+MSPRSTSSPQAHLSQQQIQGSGHFNRGVKHRMTVSKSKPGAWALTLLLVLQEAEQELDGE
+EGSPQAGPEEEDGGEAFSFKYSPGKLRGNQYKKMMTKEELEEEQRIELTSDLTSL
+>tr|F1MS14|F1MS14_BOVIN Interactor of little elongation complex ELL subunit 1 OS=Bos taurus OX=9913 GN=ICE1 PE=4 SV=3
+MMPGETHSAAPGTAADLSRCQGCASLQQNLNEYVDALITLKQKIINTDNLLTEYQKKCDE
+LQFARRENSTLHHQVEQMLQKISPLQKCQEELGFLKAELEEKKSSLKLYQDTHQEYARVK
+EECLKTDAQKKKLEAKVKKLEEAAVKQTQDFKQLRNEKKILEKEFKKTQERLDEFSKQKN
+ERELRHIGTQISSDSYGSIDKRKVKLLLKELWLCINTTHRLPGEGGRYIPEKPAREYCRS
+IEFGEDGVPPAAGGSPIRTSAVQTCLAELSMEIEGDFSACEDVGGAAGRDNGLSQEDTSP
+KAVAWRSEAQGPGFDEDLQAAVDFFRLPPPLLSPVPSPPLASPPHLGVSPSLFAPEPFGE
+GANSSDYESAPCRNSEPVSKEDPPEAQDRCGLSGEKKGAGAWEEQPHTREAALALSAGTT
+LGFGTFAANLQEPAASFAFAREQLWTVSSELGSGREKGVVDKLGRHREVGQKDRLVPTVW
+TRPRAPGGKPAETLLGGLAREEGTAHGQSDPRPPPLGLQPLREPRAPDGKTLLSPWIESP
+KLELARWTLIDGTASESGRQSASGHFQGQPRALEKERGATQGCVSEGPPTPGTAGLSLGD
+AWSCSSASGASPCCGHPPSPSGLEDGGGPAIPPAVGPPRPCGSEQTTPAAGVTAVEVPPE
+PPGCSSGGARLESSFPTLCPMSGTSDPFGQRSGPLGCAAFVKDLSDACLLPQPPFPSRSS
+FPPRSAFPGAAGIGLAAGQALRVELAPARTGFRASPGPLSSLVRSGFGFGRSASWHHSDL
+LRRGSEARPRAVWSSEGGGSACVFTSEDRGPAAPRAPTSVLPNQVSVITRQARPGPAQPA
+RPETPAPHGDARASWLACGAQHGGERRDTKSSARSPASGAPASWGRLDFDAPSGSFPVEN
+SHHPTDCKSSFFSENIPVPNRDAVMGAAVQEEAQKQSPGLLATGVDTASLDVDKCPDTDL
+TLSGGFPAGDAVRCPGAALAVSQAPPALQRGLKERLLLESESPGCAPLEGLGAAETASPR
+PAPCCYSGIREAGGGDTEVEESEAPSSSEGEPEPDPALEPDLHSAPRARGWGAGPAEAGP
+SVEVGSLTSALQDFNISTLSEMDRLSTSEVVMFLESCQLRDYSSADSVSECSSKGTLNRG
+MKEELKPSGPSGEGYGSQLCEEEALETSEEWVESEEDDCTLRGQLPRCSLETLSEVLTRL
+GQELQASQEDSEAEEAEGLLLFRGPESATAEHLQQQLPPLDTAITASPTPRASAHTQGGS
+PTCAEPGRENTQSTPEGRVDAVRPAGNREEIQSEPEEPSVPNADPGAGPGEVRLQCQIST
+VTSEVINVLINKDQNLVIEKGDNWTIINGVTLMPELGQVILCDAPEAAPLSTHSEELAAG
+FLLVPPVERSPEAGRPGSPLQEPPCGRSAAGTQEDISSSGQSGNFDKSRLRNRPVKPSVR
+ISSEIYDQNFESQAAPFDHTYCNSKLEPLGKNKNRSKVSNRDQSNKPVRTPASGRVETIE
+REVSPSFSGDRGHGRPQRSHTHTILATADTSTPADCCVDTLSKIRQEVGPPLPPLLAPLI
+ATPPRTSRLASPLISSSSPSSPLSPLCPTSPSSEPPAPPVTSPLPEEPRPCSPLCASPSP
+SSAPAGNRVLSSPLQFCAATPKHALPVPGRLPPLAPAHPAVAAPPENSVKILDTMYPELS
+ARARTLNILKGNIQLTRGPPANRKGLPGPVGALAGFQAIASSSTAFVKAGGSSGSDGKQD
+KVGGLGPPQDPAGKRTLSASAPRSAKRLRLDNGSPEPEPTPEGMGCSPWTDLPQAAAPST
+EDNRHPLPASQSPSSPEETADSPDRAITEALRKIGEATFDLLPVIRSHVYVGNVSTKPVM
+RDQEKDVVYEFSTTKKHLAESLLHSILSELKSQKMSVEHNYIHALCRVYVGVCRQLGDLE
+RARLFCYGLLKEDFPESEKLTLFIANMWHDVFISQSVINKAMQLVARQRAKGEVLNCLRA
+FLNWEKNAPVDVGFMVSKLLLTIQLCPKTEFQPSVRFGEDLSDSTWEYVCAIDLLCCHQK
+WVWTHDNIISKELWPVMDKWIKYRKGHANVAYTPDIIVASVLRLIGRLGQLGLKEGFPSA
+VKNISTVIGMFIQHAQDEDIPWGVQLAAVYALCDLSPSNPAEISKILEAWRRETARSVPA
+AVLGALDEVGALCAEGRG
+>tr|A0A3Q1LY70|A0A3Q1LY70_BOVIN Nardilysin convertase OS=Bos taurus OX=9913 GN=NRDC PE=4 SV=1
+MLRRVAVAAVCATGRKLCEAGRELAALSRIEARGRCEDSAAARHFPTLTMPGRNKAKSTC
+SCPDLQPNGQDLGESGRVARLGADESEEEGRRGPVNNAGDPEIIKSPSDPKQYRYIKLRN
+GLQALLISDLSNMEGKMGDATDDEEEEEEEEEDDDDDDDDDDDDDDEDSGAEIEDDDEEG
+FDDEDEFEDDDDEHDDDLDAEDNELEELEERAEARKKTTEKQQLQSLFLLWSKLTDKLWF
+KSTYTKMSSTQLFKTRNLNGVVGAESRSAPVQHFAGWQEEEQQGETDTVLSAAALCVGVG
+SFADPDDLPGLAHFLEHMVFMGSLKYPDENGFDAFLKKHGGSDNASTDCERTVFQFDVQR
+KYFKEALDRWAQFFIHPLMIRDAIDREVEAVDSEYQLARPSDANRKEMLFGSLARPGHPM
+GKFFWGNAETLKHEPKRNNTDTHARLREFWLRYYSAHYMTLVVQSKETLDTLEKWVTEIF
+SQIPNNGLPKPNFGHLTDPFDTPAFNKLYRVVPIRKIHALTITWALPPQQQHYRVKPLHY
+ISWLVGHEGKGSILSYLRKKCWALALFGGNGETGFEQNSTYSVFSISITLTDEGYEHFYE
+VAHTVFQYLKMLQKLGPEKRIFEEIQKIEDNEFHYQEQTDPVEYVENMCENMQLYPLQDF
+LTGDQLLFEYKPEVIAEALNQLVPQKANLVLLSGANEGKCDLKEKWFGTQYSMEDIENSW
+AELWKSDFELNPDLHLPAENKYIATDFMLKAFDCPETEYPVKIVNTPQGCLWYKKDNKFK
+IPKAYIRFHLISPLIQKSAANVVLFDIFVNILTHNLAEPAYEADVAQLEYKLVAGEHGLI
+IRVKGFNHKLPLLFQLIIDYLAEFSSTPAVFTMITEQLKKTYFNILIKPETLAKDVRLLI
+LEYSRWSMIDKYRALMDGLSLESLLSFVREFKAQLFVEGLVQGNVTSTESTDFLKYVVDK
+LNFMPLEQEMPVQFQVVELPSGHHLCKVRALNRGDANSEVTVYYQSGARSLKEYTLMELL
+VMHMEEPCFDFLRTKQTLGYHVYPTCRNTSGILGFSVTVGTQATKYNSEVVDKKIEEFLS
+SFEEKIENLTEDAFNTQVTALIKLKECEDTHLGEEVDRNWNEVVTRQYLFDRLAHEIEAL
+KSFSKSDLVNWFKAHRGPGSKMLSVHVVGFGKYELEEDGTPSGEDSNSSCDVMQLTYLPA
+SPLLVDCTVPIVDIRGFTSRLNLLPYHKIVK
+>tr|E1BHD7|E1BHD7_BOVIN Ankyrin and armadillo repeat containing OS=Bos taurus OX=9913 GN=ANKAR PE=4 SV=3
+MSQMNNVGFSTAILLTPVDPTALLDYREVHQIIRELAVGIYCLNQIPSISLEANYDQSSS
+CQLPPAYFDTRIGQILINIDYMLKALWHGIYMPKEKRARFSELWRTIMDINPDGKPQTNR
+DIFAEFSSAGLIDITKDPDFDGIYNEDMNEDPTYNPNSPEEKAVFMKYAEYILLKMTFST
+TQVQQCENVFIFETAYWLTNAIKCNQDNLDICTYQRLHQRLYLQKKIIQKHLEKKKEIRK
+GIGYLKLICFLTPFLLSLKKKMKVPYLSGLLQPFSDDKVKTERELPPFIYGRDFKCQNFH
+YKEDQYFHIHGGIEFDISTPSIENASEDFKNNLEKIRDCAVNTFAEDSGYKEHYSIPVVK
+FNGKSYYVISFELEIFYQQLYKTQWWGAINEIVNNLRLKRLPLTDAQLHEQFKKKFGFKK
+AMKCKSIPFGMKSAVERGLYAVFHTFSRKTSSSTINISDEAGYAVFHHAALHNRVPIICQ
+LCNANFNVNQRRFIILSQGPTPLHLAAQACSLETTICLLCFKADYTLSEKRGWMPIHFAA
+FYDNICIIIVLCRKDPSLLEAEATAENQCTPLLLAATSGALDSIQYLLSLGANWRKTDIK
+GNNIIHLSVLTFHTEVLKHIIELNIPELPVWKTLVEMLQCESYKRRMMAVMSLEVICLAH
+DEYWKCILDAGTIPALINLLKGSKIKLQCKTVGLLSNISTHPSIVHALVEAGAIPALINL
+LVSEEPELHSRCAVILYDIAQLENKDVVAKHNGIPALINLLNLDIESVLVNVMNCVRVLC
+MGNKNNQRAVRDHKGIPYLISFLSSDSDVLTAVSSVTIAEVARDNKEVQDAMALEGAIPP
+LVALFKGKQLSVQVKGAMAVEALASYNPAIQRAFLEKSLSKYLLKLLKAFQIDVKEQGAV
+ALWALAGQTLKQQKYMAEQIGYNFIINMLLSPSAKMQYVGGEAVIALSKDSKIHQNQICE
+GNGIAPLVRLLRISKIADGTLLSVIKAVGSICIGL
+>tr|A0A3Q1M2E8|A0A3Q1M2E8_BOVIN Ubiquitination factor E4B OS=Bos taurus OX=9913 GN=UBE4B PE=4 SV=1
+MEELSADEIRRRRLARLAGGQTSQPTTPLTSPQRENPPGPPIAASAPGPSQSLGLNVHNM
+TPATSPIGASGVAHRSQSSEGVSSLSSSPSNSLETQSQSLSRSQSMDIDGVSCEKSMSQV
+DVDSGIENMEVDENDRREKRSLSDKEPSSGSEVSEEQALQLVCKIFRVSWKDRDRDVIFL
+SSLSAQFKQNPKEVFSDFKDLIGQILMEVLMMSTQTRDENPFASLTATSQPIAATARSPD
+RNLMLTTGSNPGTSPMFCNLGSFGASSLSSMYDSPFSFLFLALSGDSSDEDEEDDDDGDD
+GDDEGGGGGDEFSCVQFGSSLGASGGASTWDSYSDHFTIETCKETDMLNYLIECFDRVGI
+EEKKAPKMCSQPAVSQLLSNIRSQCISHTALVLQGSLTQPRSLQQPSFLVPYMLCRNLPY
+GFIQELVRTTHQDEEVFKQIFIPILQGLALAAKECSLDSDYFKYPLMALGELCETKFGKT
+HPVCNLVASLPLWLPKSLSPGSGRELQRLSYLGAFFSFSVFAEDDAKVVEKYFSGPAITL
+ENTRVVSQSLQHYLELGRQELFKILHSILLNGETREAALSYMAAVVNANMKKAQMQTDDR
+LVSTDGFMLNFLWVLQQLSTKIKLETVDPTYIFHPRCRITLPNDETRVNATMEDVNDWLA
+ELYGDQPPFSEPKFPTECFFLTLHAHHLSILPSCRRYIRRLRAIRELNRTVEDLKNNESQ
+WKDSPLATRHREMLKRCKTQLKKLVRCKACADAGLLDESFLRRCLNFYGLLIQLLLRLLD
+PAYPDVTLPLNSDVPKVFAALPEFYVEDVAEFLFFIVQYSPQVLYEPCTQDIVMFLVVML
+CNQNYIRNPYLVAKLVEVMFMTNPAVQPRTQKFFEMIENHPLSTKLLVPSLMKFYTDVEH
+TGATSEFYDKFTIRYHISTIFKSLWQNIAHHGTFMEEFNSGKQFVRYINMLINDTTFLLD
+ESLESLKRIHEVQEEMKNKEQWDQLPRDQQQARQSQLAQDERVSRSYLALATETVDMFHI
+LTKQVQKPFLRPELGPRLAAMLNFNLQQLCGPKCRDLKVENPEKYGFEPKKLLDQLTDIY
+LQLDCARFAKAIADDQRSYSKELFEEVISKMRKAGIKSTIAIEKFKLLAEKVEEIVAKNA
+RAEIDYSDAPDEFRDPLMDTLMTDPVRLPSGTIMDRSIILRHLLNSPTDPFNRQTLTESM
+LEPVPELKEQIHAWMREKQNSDH
+>tr|F1N4I6|F1N4I6_BOVIN ATP binding cassette subfamily C member 11 OS=Bos taurus OX=9913 GN=ABCC11 PE=4 SV=3
+MTRRRMYWVPRSSGGLVNLGLDVDDDMVSGLSYKTITVEDSPWRQQVREPEAPGRGKYDA
+AWKTMIPFRPKPKFPEPQPLDDAGLFSYLTLSWLNPLMVRGLRRSLDEDNIPQLSVHDAA
+DKNAKRLRLLWEEEVSRHGIDKASVLRVMLRFQRTRLIFDTIVSCCFSITSVLGPILLMP
+KILEYAKNPSRDVAYGVGLCLALFFTECLKSLSMCSCWVINQRTGVRFRAAVFSFAFEKL
+MQFKSLTHITVGEAIGFFTTDVNCLYEGVYYGPLAVLTFLLLISCSITSCLVLGPIALIA
+MLCYLLFLPLQAFLTRKLVESQKHTSEVILTSIKLIKMYTWEKPFTKVIKDLRKKETKLL
+EKCGLIQSLTTVILFLAPSLSAVLLFLTHVGLRLKLTISVAFTTVATLGPMRLSVFLTPF
+AVKGLTNSKSAADRFKKFFLQESPVIYVKELEDPRKPVVLEEATLSWRKTCPGIINGALE
+LEKNRYTPEGMTRAQPPLSGLEPEAQGDTRGPELRKINLVLSKGTMLGVCGNTGSGKSSL
+LSAILGQMHLLEGSVGVHGSLAYVPQQAWIIKGSVRENILMGSQYDEAWYLQVLHCCSLN
+HDLEILPFGDMTEIGERGLNLSGGQKQRISLARAVYSDRELYLLDDPLSAVDAHVGKHIF
+EECFKKVLRRKTVILVTHQLQYLEFCDQIILFEDGKICEKGIHSELIQKRGRYAQLIQKM
+HEKATQGVLQGVAKTEEDLQLEGQAQTACQEELLSENAVLENQLSQKEKMEEGSLKWTVY
+HHYIQAGGGMGCYMIFLLMVMLAFFPVFSFWWLSYWLGQGSGNNSSQESNWTTADPGDIL
+DNPQLPFYQLVFGLSGLLAILLGICLSLVFTKVMGKASTALHNKLFNKVSHCPMCFFDTT
+PTGRLLNCFAGDMDELDQFLPIMIEQSVLLVLAVIIILLIISFMSPYILLMGVVILSACL
+IYFRMFKKAINVFKRLKNYSCSPLFSHILTTLQGLSSIHVYGKTEEFINEFKKLTDVQNN
+YLLMFMSSTRWVALRLELMTNLVTLVVALFLVFGLSSVPHSYKAMAFSLVLQLASNFQAS
+VRIGSEAEACLTAVERILQYMKMCVPEAPLHIEGASCPRGWPQHGEITFQDYQMKYRDNT
+PIVLNGLSLTIHSQEVVGIVGRTGSGKSSLGVALFRLVEPAGGRILIDGVDICSLSLEDL
+RSKFSVIPQDPVLLSGTIRFNLDPFHRCTDEQIWDALKRTFLNKTISKLPQGLQAEVTEN
+GSNFSVGERQLLCIARALLRSSKIVLIDEATASLDLEMDILIQHVIRESFRGCTVLIIAH
+RVTTILNCDRILVMGNGKVVEFDKPEVLQRKPGSTFAALLAIASSSMS
+>tr|A0A3Q1MHH5|A0A3Q1MHH5_BOVIN Mitogen-activated protein kinase kinase kinase OS=Bos taurus OX=9913 GN=MAP3K9 PE=3 SV=1
+MEPSRALLGCLASAAAAAPPGEDGAGAGAEEEEEEEEEAVAAPRELGCGAPLPYWTAVFE
+YEAAGEDELTLRLGDVVEVLSKDSQVSGDEGWWTGQLNQRVGIFPSNYVTPRSAFSSRCQ
+PGGEDPSCYPPIQLLEIDFAELTLEEIIGIGGFGKVYRAFWIGDEVAVKAARHDPDEDIS
+QTIENVRQEAKLFAMLKHPNIIALRGVCLKEPNLCLVMEFARGGPLNRVLSGKRIPPDIL
+VNWAVQIARGMNYLHDEAIVPIIHRDLKSSNILILQKVENGDLSNKVLKITDFGLAREWH
+RTTKMSAAGTYAWMAPEVIRASMFSKGSDVWSYGVLLWELLTGEVPFRGIDGLAVAYGVA
+MNKLALPIPSTCPEPFAKLMEDCWNPDPHSRPSFTSILDQLTTIEESGFFEMPKDSFHCL
+QDDWKHEIQEMFDQLRAKEKELRTWEEELTRAALQQKNQEELLRRREQELAEREIDILER
+ELNIIIHQLCQEKPRVKKRKGKFRKSRLKLKDGNRISLPSDFQHKFTVQASPTMDKRKSL
+INSRSSPPASPTIIPRLRAIQLTPGESSKTWGRNSVVPKEEGEEEEKRAPKKKGRTWGPS
+TLGQKEFASGDEGSPQRREKANGLSTPSESPHFHLGLKSLVDGYKQWSSSAPNLGKGPRS
+NPALPGFTSLMEMEDEDSEGPRSGESRLPPSPSQSYLCIPFPRGEDGDGPLSDGGHEEPT
+PVNSATSTPQLTPTNSLKRGGPNHRRCEVALLGCGAVLAATGLGFDLLEAGKCQLLPPEE
+PEPPAREEKKRREGLFLRASRPRRSTSPPSRKLFKKEEPVLLLGDPSASLTLLSLSSISE
+CNSTRSLLRSDSDEIVVYEMPISPVEAPALSPCTRNPLVNVRVERFKRDPKQSLTPTHVT
+LTAPAQPSAHRRTPSDGALKPAAPPASRSPSSSNGLSPSPGAGMLKTPSPSRDPGEFPRL
+PDPNVVFPPTPRRWNTQQDSTLERPKTLEFLPRPRPSANRQRLDPWWFVSPSHARSASPA
+NSSSTETPSNLDSCLASSSSTVEDRPGLPTLLPFQAGPLPPTERTLLDLDAEGQSQDSTV
+PLCRAELNTHRPAPYEIQQEFWS
+>tr|A0A3Q1MTW8|A0A3Q1MTW8_BOVIN Neutrophil cytosol factor 2 OS=Bos taurus OX=9913 GN=NCF2 PE=4 SV=1
+MSLAEAISLWNEGVLAADKKDWKGALDAFTGVQDPHSRICFNVGCIYTILGNLPEAEKAF
+TKSINRDKHLAVSYFQRGMLYYQMEKYDSAIKDLKEALTQLRGNQLIDYKILGLQFKLFA
+CEVLYNIAFMYAKREEWKKAEEHLALAVSMKSEPRHSKIDRAMESVWKQKLYEPVVIPVG
+RLFRPNEKQVAQLVKKDYLGKATVVASVVDQDSFSGFAPLQPQAAEPPPRPKTPEIFRAL
+EGEAHRVLFGFVPETPEELQVMPGNIVFVLKKGNDNWATVMFNGQKGLVPCNYLEPVELR
+IHPQQQPQEETSLESDIPAPPSSSAPGRPQLSPGQKGKEEPKQEIKLSVPKSYTLKVHYK
+YTVVMETQFRLPYSQVRDMVAKKLDLLPEHTKLSYRRQDSNELVPLSEFSMKDAWAQVKN
+YCLTLWCENTVGDQGFPDEPEESKKSDANNQTTEPELKEGSKVVALFSYEATQPEDLEFL
+EGDVILVISTVNEEWLEGECKGKVGIFPKAFVEQHPTTDLESTPGRV
+>tr|A0A3Q1ND60|A0A3Q1ND60_BOVIN Single Ig and TIR domain containing OS=Bos taurus OX=9913 GN=SIGIRR PE=4 SV=1
+MTLATAPDFLSPSGNQVLGLALGSVVTLNCTALVVSGPHCPLPSVQWLKGGLLLSNGSLY
+DLHEDSWVKTNWSEVLVSSVLGINLTRAEDFGTFTCSIRNISSSSFTLWRAGLAGHAAAV
+LASLLALLALLLVALLYVKCRLNVLLWYQDKYGEVEMNDGKLYDAYISYSDSPEDRKFVN
+FILKPQLERHRGYKLFLDDRDLLPRAEPSADLLVNLSRCRRLVVVLSDAFLGRAWCSHSF
+REGLCRLLELTRRPIFITFEGQRRDPAHPALRLLRQHRHLVTLLLWKPGSVTPSSDFWKE
+LRLALPRKVQYRSMEGDPQTRLQDDKDPMLIVRSRLPEGRTLDPELDPDPEGDLGVRGPV
+FGEPPALPHAGAVSLGEGQGSEVDVSDLGSRNYSARTDFYCLVSEDDV
+>tr|A0A3Q1NNP6|A0A3Q1NNP6_BOVIN V-type proton ATPase subunit E 1 OS=Bos taurus OX=9913 GN=ATP6V1E1 PE=1 SV=1
+MLAGEVTPACFRHRRRWGGARARRKGADVPSRAEVWTTEVLWWRHHPSSRRRDPEGPLSP
+GLLSTWRAALWFRRRRWPPRAAEKGADWDGPTVVGAEAGKACGSSCRRSIKHMMAFIEQE
+ANEKAEEIDAKAEEEFNIEKGRLVQTQRLKIMEYYEKKEKQIEQQKKIQMSNLMNQARLK
+VLRARDDLITDLLNEAKQRLSKVVKDTTRYQVLLDGLVLQGLYQLLEPRMIVRCRKQDFP
+LVKAAVQKAIPVYKVATKRDVDVQIDQEAYLPEEIAGGVEIYNGDRKIKVSNTLESRLDL
+IAQQMMPEVRGALFGANANRKFLD
+>tr|A0A3Q1LPR0|A0A3Q1LPR0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MEGHDPKEAEQLRKLFIGGLSFETTDDSLREHFEKWGTLTDCVVMRDPQTKCSRGFGFVT
+YSCVEEVDAAMCARPHKVDGRVVEPKRAVSREDSVKPGAHLTVKKIFVGGIKEDTDEYNL
+RDYFEKYGKIETIEVMEDRQSGKKRGFAFVTFDDHDTVDKIVVQKYHTINGHNCEVKKAL
+SKQEMQSAGSQRGRGGGSGNFMGRGGNSGGGGGNFGHGGNFGGRGGCGGGGGGSRGSYGG
+GDGGYNGFGGDGGNYGSGPGYSSRGANGAGGPGGHGNQGGGYGGGGGGYDGYNEGGNFGG
+NYGGGGNYNDFGNYSGQQQSNYGPMKGGSFGGRSSGSPYGGGYGSGGGSGGYGSRRF
+>tr|F1N0M8|F1N0M8_BOVIN Ecto-NOX disulfide-thiol exchanger 1 OS=Bos taurus OX=9913 GN=ENOX1 PE=4 SV=3
+MMAAAADGLGSIAIDATQLNMSVTDPTAWATAMNNLGMVPVGLPGQQLVSDSICVPGFDP
+GLNMMTGITPINPMIPGLGLVPPPPPTEVAVVKEIIHCKSCTLFPQNPNLPPPSTRERPP
+GCKTVFVGGLPENATEEIIQEVFEQCGDITAIRKSKKNFCHIRFAEEFMVDKAIYLSGYR
+MRLGSSTDKKDSGRLHVDFAQARDDFYEWECKQRMRAREERHRRKLEEDRLRPPSPPPIM
+HYSEHEAALLAEKLKDDSKFSEAITVLLSWIERGEVNRRSANHFYSMVQSANSHVRRLMN
+EKATHEQEMEEAKENFKNALTGILTQFEQIVAVFNASTRQKAWDHFSKAQRKNIDIWRKH
+SEELRNAQSEQLMGIRREEEMEMSDDENCDSPTKKMRVDESALAAQAYALKEENDSLRWQ
+LDAYRNEVELLKQEKEQLFRTEENLTKDQQVQFLQQTMQGMQQQLLTIQEELNNKKSELE
+QAKEEQSHTQALLKVLQEQLKGTKELVETNGHSHEDTNEINVLTVALVNQDRENNTEKRS
+QGLKSEKEALLIGIISTFLHVHPFGANIEYLWSYMQQLDSKISANEIEMLLMRLPRMFKQ
+EFTGVGATLEKRWKLCAFEGIKTT
+>tr|A0A3Q1LTW8|A0A3Q1LTW8_BOVIN SERPIN domain-containing protein OS=Bos taurus OX=9913 PE=3 SV=1
+MDSLSTANVEFCLDVFKELNYNHAGDNVFFSLLSLLYALSMILLGARGTSAEPMEKVLHF
+NHIVEPSGPAFKCNQAGRIHSDLGVFFSQINRPDSNYTLSIANRLYGTNAMALHEEKSLT
+SLEKARLSPPVSWSW
+>tr|F6R0C0|F6R0C0_BOVIN Target of myb1 like 2 membrane trafficking protein OS=Bos taurus OX=9913 GN=TOM1L2 PE=3 SV=1
+MEFLLGNPFSTPVGQCLEKATDGSLQSEDWTLNMEICDIINETEEGPKDAIRALKKRLNG
+NRNYREVMLALTVLETCVKNCGHRFHVLVANRDFIDSVLVKVISPKNSPPTIVQDKVLAL
+IQAWADAFRSSPDLTGVVHAYEELKRKGVEFPMADLDALSPIHTPQRSVPEVDPAATMPK
+SQSQLRTSSSSYSAPQAPALSTAGPITANSEQIARLRSELDVVRGNTKVMSEMLTEMVPG
+QEDSSDLELLQELHRTCRAMQQRVVELISRVCNEEVTEELLHVNDDLNNVFLRYERFERY
+RSGRSAQNATNGVLSEVTEDNLIDLGPGSPAVVSPTVGSTAPPSSLSSQLAGLDLGTESV
+SGTLSSLQQCQPRDSFDVFAQTRGSGRPGGWGWG
+>tr|A0A452DJ47|A0A452DJ47_BOVIN Pulmonary surfactant-associated protein A OS=Bos taurus OX=9913 GN=SFTPA1 PE=4 SV=1
+MPGGLHQYKWHHPPGHTALEAGSMGCVRAGGAAGAGAMLLCSLTLTLLWMVASGLECDVK
+EVCLGSPGIPGTPGSHGLPGRDGRDGIKGDPGPPGPMGPPGGMPGLPGRDGMTGAPGLPG
+ERGEKGEPGERGPPGFPAYLDEELQGTLHEIRHQVLQSQGVLRLQGSVLAVGEKVFSTNG
+QSVNFDAIKELCARVGGHIAAPRSPEENEAIVSIVKKYNTYAYLGLVEGPTAGDFYYLDG
+APVNYTNWYPGEPRGRGKEKCVEIYTDGQWNDKNCLQYRLAICEF
+>tr|G3X6P6|G3X6P6_BOVIN Calcitonin gene-related peptide type 1 receptor OS=Bos taurus OX=9913 GN=CALCRL PE=3 SV=2
+MMEKKFFLSFLFLLPFFMILVIAESEEENPDDLIQLGVTRNKIMTAQYECYQKIMQDPVQ
+QTEGIYCNRTWDGWLCWNDVAAGTESMQHCPDYFQDFDPSEKVTKICDQDGNWFRHPASN
+RTWTNYTQCNVNTHEKVKTALNLFYLTIIGHVLSIASLLISLGIFFYFKSLSCQRITLHK
+NLFFSFVCNSVITIIHLTAVANNQALVATNPVSCKVSQFIHLYLMGCNYFWMLCEGIYLH
+TLVVVAVFAEKQHLMWYYFLGWGFPLIPACIHAVARRLYYNDNCWISSDTQLLYIIHGPI
+CAALLVNLFFLLNIVRVLITKLKVTHQAESNLYMKAVRATLILVPLLGIEFVLIPWRPEG
+KIAEEIYDYIINILMHYQGLLVSTIFCFFNGEVQAILRRNWNQYKIQFGNNFSHSDTLRS
+ASYTVSTISDGTGYSHDCLSEHLNGKSIHDTDNVVIKPEKLYD
+>tr|A0A3Q1NJB1|A0A3Q1NJB1_BOVIN Ceruloplasmin OS=Bos taurus OX=9913 GN=CP PE=1 SV=1
+MKIFLLCIFLILCGTSAWAKDKHYYIGIIETAWNYASDHGEKKLISVDTEHSNIYLQNGP
+NRIGSVYKKAVYLQYTDENFRTVIEKPVWLGFLGPIIKAETGDKVYVHLKNFASRPYTFH
+AHGMTYYKEHEGAIYPDNTTDFQKADDKVQPGEQCMYILHANPEQGPGQEDSNCVTRIYH
+SHIDAPKDIASGLIGPLIHCKKDSLDEEKEKNIDKEFVVMFSVVDENLSWYLEENIKTYC
+SEPEKVEKDNEDFQESNRMYSVNGYAFGSLPGLSMCAKDRVKWYLFGMGNEIDVHAAFFH
+GQVLTSKNYRVDTINLFPATLFDALMVAQNPGQWMLSCQNLNHLKAGLQAFFWVQDCKKS
+SSKDNIHGKIRHYYIAAEEVIWNYAPSGIDAFTKENLRAPGSASEAFFEQGPTRIGGSYK
+KLVYREYTDASFSNQKQRGPEEEHLGILVPVISAEVGDTIRVTFHNKAAHPLSIEPIGVR
+VDKKNEGTYYSPSGSGPPPSGSHVAPKGTFTYEWTVPREVGPTYKDPVCLAKMYYSAVDP
+TKDIFTGLIGPMKICRNGTLLANGRLKDVDKEFYLFPTVFDENESLLLDDNIKMFTTAPD
+QVDKENEDFQESNKMHSMNGFMYGNQPGLSMCQGDSVMWYLFSAGNEVDIHGIYFSGNTF
+LSRGERRDTANLFPQTSLSLFMKPDTAGTFDVECLTTDHYTGGMKQKYTVSQCSQRSEDL
+YLYLGERTYYIAAVEVEWDYSPSRKWEKELHHLQEQNLSNAFLDKEEFYIGSKYKKVVYR
+QFTDSTFQVPVQRKGEEEHLGILGPQLHANVGDKVNIIFKNMATRPYSIHAHGVKTESST
+VTPTAPGETRTYIWKIPERSGAGRDDSPCIPWVYYSTVDRVKDLFSGLIGPLIVCRKHYL
+KVFNPIKKLEFSLLFLVFDENESWYLDDNIKTYSDHPEKVDKANEEFMESNKMHAINGRM
+FGNLQGLTMHVGDEVNWYLMGMGNEVDLHSVHFHGHSFQYQHRGVYTSDVFDLFPGTYQT
+VEMTPKTPGIWLLHCHVTDHIHAGMETTYTVLPNEEIKSG
+>tr|A0A452DIJ9|A0A452DIJ9_BOVIN Plasminogen activator inhibitor 1 OS=Bos taurus OX=9913 GN=SERPINE1 PE=3 SV=1
+MTSESFRSRDGDRSGHVLGRAQVIPENSRAFVAEATVAHGGRDKIQLNDWRGQAAGCLEG
+RSRRLWTRCSSNLGLGTPNPDSQLPVPLWPPRVEDKSLGPFWESEMAGGLDFCFLHPRDS
+RRNCSSSSRLTTFSGTLNQTKKERHKQEAVTDEQSAGNREAAACSGAELLLVEGQRAPSR
+ESHTSFSVEEGLPPSTPAAAAGTNRPSQGTAKFRMRMSPVFACLALGLALIFGEGSASYQ
+PQSAAASLATDFGVKVFQQVVRASKDRNVVFSPYGVASVLAMLQLTTGGETRQQIQEAMQ
+FKIEEKGMAPAFHRLYKELMGPWNKDEISTADAIFVQRDLELVHGFMPNFFRLFRTTVKQ
+VDFSEVERARFIVNDWVKRHTKGMISDLLGEGAVDQLTRLVLVNALYFNGQWKMPFPESN
+THHRLFHKSDGSTISVPMMAQTNKFNYTEFTTPDGRYYDILELPYHGNTLSMLIAAPYEK
+EVPLSALTSILDAELISQWKGNMTRLTRLLVLPKFSLETEIDLRRPLENLGMTDMFRPSQ
+ADFSSFSDQEFLYVSQALQKVKIEVNESGTLASSSTALVVSARMAPEEIIMDRPFLFVVR
+HNPTGTVLFMGQVMEP
+>tr|A0A3Q1M6D8|A0A3Q1M6D8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MGRAAWSSQRGSLGGLAESWGLVGTPGEPASDFLPGTLPKPTIWAEPGSVGTLEAQVFHL
+DKEGTSVPWDRQKPPGPGDKAQLAIPQMTEQHAGSYQCYYSTPTGWSEGSDRLELVVTGS
+YSKPSLSALPSPVVTLGGSLTLQCDSHQGFNRFLLTKEGEDESSRTLDGQRAPNWQTQAL
+FSVGPVTPGHRWMFRCYGFYRDTPQVWSAPSDPLELLVSALLLTSQGPVITSGQNLTLQC
+RSDVSYARFALSKEGGQDLPQRPARRPQEGLSQADFPLGPVSTVQGGRYRCYGGHGLSSE
+WSAPSEPLELLVAGEEDRPSLSVRPGPTVAPGENVTLLCQSGNRTDTFLLFKEGAAHRPL
+RLRSQDQDGRYQAEFSLSPVTSAHGGTYRCYGSLSTDPYLLSQPSEPLALVVSGEAQSVR
+LTQQLGALPWERRPVVEEGALREGPLREGPRSPRPAPFSRTGVPEGQVGSARVFGRPQGP
+PGLMRMRASVDGPHGPAPLLQPPAKGAG
+>tr|E1BC26|E1BC26_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC783311 PE=3 SV=3
+MERANDSAFSGFILLGFSNQPQLETALFAVILIIYSLSCLGNGAIMLLSTMDPHLHSPMY
+FFLSNLSFMDLCLTTCTVPQTLANFKGKDKTITYGGCVAQLFIALGLGGVECVLLSVMAY
+DRYVAVCRPLHYMVIVHPQLCLQLVVTAWLTGFGNSVVQTALTTTLPLCGKNQVDHFFCK
+VPVMLKLACTNTSVNEAELFAVSVFFLVVPLSLILVSYGHITRAVLKIKSAQGRQKAFGT
+CGSHLMVVIIFFGTLISMYLQPPSSYSQDVNKSIALFYTLVTPLLNPLIYTLRNKEVKGA
+LRRQMRRILDLRQS
+>tr|E1BKN1|E1BKN1_BOVIN Ribosomal RNA processing 1B OS=Bos taurus OX=9913 GN=RRP1B PE=4 SV=3
+MAPAMQPAEIQFAQRLASHEKGIRDRAVKKLRQYISVKTQKETGGFSQEELLKIWKGLFY
+CMWVQDEPLLQEELANTISQLIHVVNNSEAQHLFIQTFWQTVNREWPGIEGLRLDKYHML
+IRLVLRQSFEVLKRNGWEESRIKLFLNVLMKAVLHPESRSPNGVKLLFVNIYLDELSKVG
+GKELLADQNLKFIDPFCKIAAKTRDQTLVQTIARGVFEVIVDQSPFVPEETAKEQKTQMG
+GGGLSEEEASGNEMTWRKAARKKKAALGKCHPGKEEGSQQGGQDGSGSVEDTGPLLQFDY
+KAVADRLLEMTNRKNVPPFNRKRLSELIKKFRGLSAARSLSRLSFAEDTTADEDDQTHSQ
+GTHRKKGNKLLEKTDMAGEKGTTFSPAKEEESKGGIPKKKRKKKKKKQLQAECTGPSGEA
+TRPEQNGSQEPEASPKRAPEAGGADLGILAMPCTQEQSSLERPSLHNRRKRPRKRSPRIQ
+VEGLEPTASPLEGVAPQAAPASGAPVLKRRRELGALLVNGSGPPVLAWPLPQREGPPANP
+ADRRDCPSSLPLGGKLKKKSGGPSGLDLYDSCAQKAAILKKRKKMKEMSNLVEPRGLKLV
+PALGSGGAHGPLKKKLRTEKDFMAFDTASSPKPLFFRKARGSTAPSLGPTLQPHTTPSSS
+KKVTFGLNRNMTAEFKKTDKSILVSPTGPSRVAFNPEQRPLHGVLKTPTSSPASTPLGPK
+KPLTVTPKRRPTAMDFF
+>tr|A0A3Q1LYF2|A0A3Q1LYF2_BOVIN FCH and double SH3 domains 2 OS=Bos taurus OX=9913 GN=FCHSD2 PE=4 SV=1
+MQPPPRKVKVTQELKNIQVEQMTKLQAKHQAECDLLEDMRTFSQKKAAIEREYAQGIQKL
+ASQYLKRDWPGIKADDRNDYRSMYPVWKSFLEGTMQVAQSRINICENYKNFISEPARTVR
+SLKEQQLKRCVDQLTKIQTELQETVKDLAKGKKKYFETEQMAHAVREKADIESKSKLSLF
+QSRISLQKASVKLKARRSECNSKATHARNDYLLTLAAANAHQDRYYQTDLVNIMKALDGN
+VYDHLKDYLIAFSRTELETCQAVQNTFQFLLETSSKVVRDYNLQLFLQENAVFHKPQPFQ
+FQPCDSDTSRQLESETGTTEEHSLNKEARKWATRVAREHKTIVHQQRVLDDLECHGVSVS
+EQNRAELEQKIDEAKENIRKAEIIKLKAEARLDLLKQIGVSVDTWLKSAMNQVMEELENE
+RWARLPAVTNNGTLHSLNADTEREEGEEFEDNMDVFDDSSSSPSGTLRNYPLTCKVVYSY
+KASQPDELTIEEHEVLEVIEDGDMEDWVKARNKVGQVGYVPEKYLQFPTSNSLLSMLQSL
+AALDSRSHTSSNSTEAELVSGSLNGDASVCFVKALYDYEGQTDDELSFPEGAIIRILNKE
+NQDDDGFWEGEFNGRIGVFPSVLVEELSTSENGDTPWMREIQVSPSPKPPGSLPPLPLYD
+QPPSSPYPSPDKRGSQYFSRSPSANENSFHAESPGFSQASRHTPETSYGKLRPFSF
+>tr|G3N2S4|G3N2S4_BOVIN Leucine rich repeat containing 26 OS=Bos taurus OX=9913 GN=LRRC26 PE=4 SV=2
+LPSFPSWGPPPPLQLLLLLLPWPVWTQAPAAAASWGTPGALDCPEACVCAPGGQANCSER
+ALPAVPGGLNRRVRVLLLNHNRVHALPPGAFVDAGALLRLDLRENGLRWVHARAFWGLGA
+LEQLDLSANQLEALLPGTFAPLRALRALSLAENRLARLEPAVLGALPLLRALSLQDNDLP
+ALASGLLAGLPALNTLRLRGNPWTCGCALRPLCAWLRGHPRHAPEAETLLCVSPGRLTLS
+PLTAFPDAAFSHCARPLAARDLAVIYGLGPVSFLASLAACLALGSAFTACGARHRRRRRA
+AARRQQRRQPDPCPGTASPAATAAQA
+>tr|A0A452DIN1|A0A452DIN1_BOVIN Growth arrest and DNA damage-inducible proteins-interacting protein 1 OS=Bos taurus OX=9913 GN=GADD45GIP1 PE=4 SV=1
+MPPPGPQRTSRAGSALASPACSRGPRTAKMAAPVRQTRSLLGWVTTLGPGSRGYRAPPPP
+RRSREPWWPDPDDPLTPRWQLGPRYAAKQFARHGAASGVDPGSLWPSREQLLELEAEERE
+WYPSLAVMQESLRVQQLAEEQKRQAREQLIEECMAKMPQMIENWRRQQQARREKAQADKE
+RRARLQAEAQERLGYHVDPRSARFQELLQDLEKQHRKRLKEEKQRKKKEARAAAMAAAAA
+QDPADSETPDS
+>tr|A0A3Q1M7B0|A0A3Q1M7B0_BOVIN MDM2 proto-oncogene OS=Bos taurus OX=9913 GN=MDM2 PE=4 SV=1
+MCNTNMSVSTDGAVSTSQIPASEQETLVRPKPLLLKLLKSVGAQKDTFTMKEVIFYLGQY
+IMTKRLYDEKQQHIATNSNDCTNLFPLVELPVKTEL
+>tr|A0A3Q1LV85|A0A3Q1LV85_BOVIN Non-specific serine/threonine protein kinase OS=Bos taurus OX=9913 GN=CDC42BPA PE=3 SV=1
+MSGEVRLRQLEQFILDGPAQTNGQCFSVETLLDILICLYDECNNSPLRREKNILEYLEWA
+KPFTSKVKQMRLHREDFEILKVIGRGAFGEVAVVKLKNADKVFAMKILNKWEMLKRAETA
+CFREERDVLVNGDNKWITTLHYAFQDDNNLYLVMDYYVGGDLLTLLSKFEDRLPEDMARF
+YLAEMVIAIDSVHQLHYVHRDIKPDNILMDMNGHIRLADFGSCLKLMEDGTVQSSVAVGT
+PDYISPEILQAMEDGKGRYGPECDWWSLGVCMYEMLYGETPFYAESLVETYGKIMNHKER
+FQFPPQVSDVSENAKDLIRRLICSREHRLGQNGIEDFKKHPFFNGIDWDNIRNCEAPYIP
+EVSSPTDTSNFDVDDDCLKNSETMPPPTHTAFSGHHLPFVGFTYTSSCVLSDRSCLRVTA
+GPTPLDLDVNVQRTLDNNLATEAYERRIKRLEQEKLELSRKLQESTQTVQALQYSTADGP
+LTASKDLEIKSLKEEIEKLRKQVRESSHLEQQLEEANSVRQELDDAFRQIKAYEKQIKTL
+QQEREELNKELVQASERLKNQSKELKDAHCQRKLAMQEFMEINERLTELHTQKQKLARHV
+RDKEEEVDLVMQKVESLRQELRRTERAKKELEVRTEAVAAEASKDRKLREQSEHYSKQLE
+NELEGLKQKQISYSPGVCSIEHQQEITKLKTDLEKKSIFYEEELSKREGIHANEIKNLKK
+ELHDSEGQQLALNKEIMILKDKLEKNRRESQSEREEFENEFKQQYEREKVLLTEENKKLT
+SELDKLTTLCENLSARNQQLEEEVKDLADKKESVAHWEAQITEIIQWVSDEKDARGYLQA
+LASKMTEELEALRNSSLGTRATDMPWKMRRFAKLDMSARLELQSALDAEIRAKQAIQEEL
+NKVKASNIITECKLKDSEKKNLELLSEIEQLIKDTEELRSEKGIEHQDSQHSFLAFLNTP
+TDALDQFEDSFSSSSSSLIDFLDDTVDSTPLPVHTPTLRKKGCPGSTGFPSKRKIHQFFV
+KSFTTPTKCHQCTSLMVGLIRQGCSCEVCGFSCHITCVNKAPTACPVPPEQTKGPLGIDP
+QKGIGTAYEGHVRIPKPAGVKKGWQRALAVVCDFKLFLYDIAEGKASQPSVVVSQVIDMR
+DEEFSVSSVLASDVIHASRKDIPCIFRVTASQLSASSNKCSILMLADSENEKSKWVGVLS
+ELHKILKKNKFRDRSVYVPKEAYDSTLPLIKTTQAAAIIDHERIALGNEEGLFVVHVTKD
+EIIRVGDNKKIHQIELIPNDQLVAVISGRNRHVRLFPMSALDGRETDFYKLAETKGCQTI
+TSGKVRHGALTCLCVAMKRQVLCYELFQSKTRHRKFKEIQVPYNVQWMAIFSEQLCVGFQ
+SGFLRYPLNGEGSPYSMLHSNDNTLSFIAHQPMDAICAVEISSKEYLLCFNSIGIYTDCQ
+GRRSRQQELMWPANPSSCCYNAPYLSVYSENAVDIFDVNSMEWIQTLPLKKVRPLNSEGS
+LNLLGLETIRLIYFKNKMAEGDELVVPETSDNSRKQMVRNINNKRRYSFRVPEEERMQQR
+REMLRDPEMRNKLISNPTNFNHIAHMGPGDGIQILKDLPMPGFPYPSPHHHSGLISSPIN
+FEHIYHMTVNSAEKFLSPDSINPEYSPSLRSVPGTPSFMTLRNPRPQESRTAFSGSVSIP
+SITKSRPEPGRSMSASSGLSARSSAQNGSALKREFSGGSYSAKRQPMPSPSEGSLSSGGM
+DQGSDAPARDYDGEDSDSPRHSTASNSSNLSSPPSPVSPRKTKSLSLESTDRGSWDP
+>tr|G3MWU0|G3MWU0_BOVIN AT-rich interaction domain 3C OS=Bos taurus OX=9913 GN=ARID3C PE=4 SV=1
+MEALQRQQAARLAQGVGPLAPPHPPPPPPPPAAPPGPSFPGSHTLEAPEKGLGEVGAEEE
+EDGQDEEEEEAGAEDEAAKESRPGTRGSSSPSSQPPGPHPHEWTYEEQFKQLYELDADPK
+RKEFLDDLFSFMQKRGTPVNRVPIMAKQVLDLYALFRLVTAKGGLVEVINRKVWREVTRG
+LSLPTTITSAAFTLRTQYMKYLYPYECETRALSSPGELQAAIDSNRREGRRQAYTAAPLF
+GLAGQPPRGTPGPAPEPGPAPPTPGPRSAQGPASGLPAHACAQLSPSPIKKEESRIPTPR
+LALPVGLAFGPAREKVAPEEPPEKRAVLMGPMDLPRHSAPPSFLPRGKVPLRGVLFARRQ
+PVPASQGPTNPAPQLPTGPPSSTSP
+>tr|E1B924|E1B924_BOVIN G_PROTEIN_RECEP_F1_2 domain-containing protein OS=Bos taurus OX=9913 GN=LOC527217 PE=3 SV=3
+MQELNQSTVTEFILMGFASNPRTNPLLFTFFLVFYLLILVSNSLLITLIHQDTRLHTPMY
+FFISVLSMLDMCYTTTTVPQMLVHILSKKRAISFARCVAQMYIFLLFGITESWLFSIMSV
+DRYVAICHPLWYKVIMGPWVCLLMVGICAAYGVVGGLCFTFFAMRLSYCGPNEIDHYFCE
+VPAVLKLACEDTSLNDLVDFITGFNVIVVPLSLIVLVYVNIFATIMKIHSAQGRIKAFST
+CASHITVVTMFAIPCIIMYMSPGSDSLSNSGKKMALFYNIATAFLNPVIYSLRNKDVKNA
+FLKLMGRGRAPE
+>tr|A0A3Q1LVE8|A0A3Q1LVE8_BOVIN SUMO specific peptidase 6 OS=Bos taurus OX=9913 GN=SENP6 PE=4 SV=1
+MAAGKSDGSAGEITFLEALARSESKRDGGFKNNWSFDHGEESEGDTDKDEANLLSVDEDE
+DSETSKGKKLNRQSEIVATSSVDPWKTCARRNKTESLKPLKGNAIGLNMLSNNKKLSENT
+QNTSLCSGSVRRFPHANAQIAIVKTAAQRKEYPPHVQKFESNPVRLSRPQGVDRIMKKTE
+ESESHLESEIKRKVAQKRHSSTYQSTPPSLSPASKKCLTDLEVSKQCEFCPKCGKEKENQ
+TKCQSCGIPFSKDLQRNCRQAITLNDSAGLSLRTSIHQNSGGQKSQNTILPAKKFYGNSV
+GKIPIDIIVSCDESGQNYLHTNGKVILSRAKVAKITNLKERKTSLSDLNDPIILSSDDDD
+DDDDNDRTNRRESISPQPADSACSSPAPSTGKVEAALNENTCRIEHELRSIPADSELNTV
+ILPRKARMKDQFGNSIITTPLKRRKVISQETLVAPVSLSCQSSSDTVVLNCRSIRVGTLF
+RLLIEPVIFCLDFIKIQLEEPENDPVGITLNTSDLTKCEWCSVRKLPVVFLQTKPAVYQK
+LSMQLQMNMEDKVWNDCKGVNKLTNLEEQYIILIFQNGLDPQANMLFENIITDIGVKNNV
+SNFFVKIPFDEANSRLVACTRTYEESIKGSCVQKENKIKNVALESKIQLKNKQEFQFFDD
+EEETGESHTIFMGPVEKLIVYPPPPAKGGISVTNEDLHCLSEGEFLNDVIIDFYLKIQQK
+RHGRVKTWTRHVDIFEKDFIFVPLNEAAHWFLAVVCFPGLEKPKYEPNPHYHENTVTQKS
+SPVEDSCISSPSASEIDRCSQNSAAKPVIKKMLNRKHCIAVIDSNNEQEESDPRYRRNIS
+SVKCSLKKINHTASENEESNKGEPTCQKFVDRTESENGLQNEYLNSMHHTDGLSKIRLNY
+SDESAEGSKMLEDELIDFSEDQDNQDDSSDDGLLADDSCSSEIGQWHLKPTLCKQPCILL
+MDSLRGPSRSNVVKILREYLEVEWEVKKGSKRSFSKDVMKGSNPKVPQQNNFSDCGVYVL
+QYVESFFENPILNFELPMNLANWFPPPRMRTKREEIRNIILKLQEDQSKEKKKHKDTYST
+ETSLGEGAEQYINSISD
+>tr|A0A452DIW3|A0A452DIW3_BOVIN Neuronal PAS domain protein 2 OS=Bos taurus OX=9913 GN=NPAS2 PE=4 SV=1
+MMPGSCDARQPSEVGRTGRQVKYPQSQAVFQNPDVHTTSSSAATPVLLMGLQPSFPASQP
+SPLKPAQAQPQPPHFLQVTRKLYLRGCFPSPTIPRALPFHPRPCRMAPAKKGGEKKKGRS
+AINEVVTREYTINIHKRIHGVGFKKRAPRALKEIRKFAMKEMGTPDVRIDTRLNKAVWAK
+GIRNVPYRIRVRLSRKRNEDEDSPNKLYTLVTYVPVTTFKNLQTVNVDEN
+>tr|F1N065|F1N065_BOVIN Kelch like family member 1 OS=Bos taurus OX=9913 GN=KLHL1 PE=4 SV=3
+MSGSGRKDFDVKHILRLRWKLFSHPSPSAGSPAGGSCLQQDGSSSFEHWGPSQSRLLKSQ
+EKGGVSTFWKKPSSSASSSSSASPSSSASSFSPLNGTLLPVATRLQPGAPGQGIHQPART
+LFYVESLEEEEVPGMDFPGPHDKGLVPQEFKVEPANSSQATGEGCGHRLSETSHLLTPQS
+DMDSGSSEEFYQAVHHAEQTFRKMESYLKQQQLCDVILIVGNRKIPAHRLVLSSVSDYFA
+AMFTSDVCEAKQEEIKMEGIDPNALWDLVQFAYTGCLELKEETIENLLAAACLLQLPQVV
+EVCCHFLMKLLHPSNCLGIRAFADAQGCIELMKVAHSYTMENIMEVIRNQEFLLLPAEEL
+HKLLASDDVNVPDEETIFHALMMWVKYDMQRRCNDLSMLLAFIRLPLLPPQILADLENHA
+LFKNDLECQKLILEAMKYHLLPERRTLMQSPRTKPRKSTVGTLYAVGGMDNNKGATTIEK
+YDLRTNLWIQAGMMNGRRLQFGVAVIDDKLFVIGGRDGLKTLNTVECYNPKTKTWTVLPP
+MSTHRHGLGVTVLEGPIYAVGGHDGWSYLNTVERWDPQSQQWTFVASMSIARSTVGVAAL
+NGKLYSVGGRDGSSCLSSMEYYDPHTNKWNMCAPMCKRRGGVGVATCDGFLYAVGGHDAP
+ASNHCSRLLDYVERYDPKTDTWTMVAPLSMPRDAVGVCLLGDRLYAVGGYDGQTYLNTME
+SYDPQTNEWTQMASLNIGRAGACVVVIKQP
+>tr|A0A3Q1LY23|A0A3Q1LY23_BOVIN AT-rich interaction domain 1B OS=Bos taurus OX=9913 GN=ARID1B PE=4 SV=1
+MGLGKDMGAQYAAASPAWAAAQQRSHPAMSPGTPGPTMGRSQGSPMDPMVMKRPQLYGMG
+SNPHSQPQQSSPYPGGSYGPPGPQRYPIGIQGRPPGAMGGMQYPQQQMPAQYGQQGVSGY
+CQQGQQPYYNQQPQPPHLPPQAPYLPSQSQQRYQPQQDMSQEGYGTRSQPPLASGKPNHE
+DLNLIQQERPSSLPDLSGSIDDLPTGTEATLSSAVSASGSTSSQGDQSNPAQSPFSPHAS
+PHLSSIPGGPSPSPVGSPVGSNQSRSGPISPASIPGSQMPPQPPGNQSESSSHPALSQSP
+MPQERGFMAGTQRNPQMSQYGPQQTGPSMSPHPSPGGQMHPGISSFQQSNSSGTYGPQMS
+QYGPQGNYSRPPTYSGVPSASYSGPGPSMGVNANSQMHGQGPSQPCGAMPLGRVPSAGMQ
+NRPFPGNMSSMTPSSPGMSQQGGPGMGPPMPTVNRKAQEAAAAVMQAAANSAQSRPPYIR
+SPAYPSQSGAGGRPMFSSQHPNYGNSQAPMMHQPDQYGQGGFPGMNQSGLMASSSPYSQP
+MNNSSGLMNTQAPPYSMTPNMVNSSTASMGLADMMSPSESKLPLPLKADGKEEGSTQPEG
+KSKDSYSSQGISQPPTPGNLPVPSPMSPSSASISSFHGDESDSISSPGWPKTPSSPKSSS
+STTTGEKITKVYELGNEPERKLWVDRYLTFMEERGSPVSSLPAVGKKPLDLFRLYVCVKE
+IGGLAQVNKNKKWRELATNLNVGTSSSAASSLKKQYIQYLFAFECKIERGEEPPPEVFST
+GDTKKQPKLQPPSPANSGSLQGPQTPQSTGSNSMAEVPGDLKPPTPASTPHGQMTPMQGG
+RSSTVSVHDPFSDASESSFPKRNSMTPSTPYQQGMSMPDVMGRMPYEPNKDPFGGMRKVP
+GSSEPFMTQGQMPTSSMQDMYNQSPSGAMSSLGMGPRQQFPYGTSYDRRHEPYGQQYPGQ
+GPPSGQPSYGGHQPGLYPQQTNYKRHMDGMYGPPAKRHEGDMYNMQYGSQQQEMYNQYGS
+SYSGPDRRPLQGQYPYPYNRERMQGPGQIQPHAIPPQMMGGPMQSSSNEGPQQSMWATRN
+DMPYPYQNRQGPGGPTQAPPYPGINRTDDMMVPDQRINHESQWPSHVSQRQPYMSSSASM
+QPITRPPQSSYQTPPSLPNHISRAPSPASFQRSLESRMSPSKSPFLPSMKMQKVMPTVPT
+SQVTGPPPQPPPIRREITFPPGSVEASQPVLKQRRKITSKDIVTPEAWRVMMSLKSGLLA
+ESTWALDTINILLYDDSTVATFNLSQLSGFLELLVEYFRKCLIDIFGILTEYEVGDPSQK
+ALDHNAAKRDDSQSLADDSGREEEDAECIEEEEDEEDEEEEDSGRAETEDRSSAAVASPD
+ATTDLKEKPRQASKFDKLPIKIVKKNNLFVVDRSDKLGRVQEFSSGLLHWQLGGGDTTEH
+IQTHFESKMEIPPRRRPPPTLSSTGRKKDQEGKGDSDEHQEKSIIATIDDVLSARPGALP
+EDANPGTQTESSKFPFGIHQARSHRNIKLLEDEPRSRDEAPLCTIAHWQDSLAKRCICVS
+NIVRSLSFVPGNDAEMSKHPGLVLILGKLILLHHEHPERKRAPQTYEKEEDEDKGVACSK
+DEWWWDCLEVLRDNTLVTLANISGQLDLSAYTESICLPILDGLLHWMVCPSAEAQDPFPT
+VGPNSVLSPQRLVLETLCKLSIQDNNVDLILATPPFSRQEKFYATLVRYVGDRKNPVCRE
+MSMALLSNLAQGDALAARAIAVQKGSIGNLISFLEDGVTMAQYQQSQHNLMHMQPPPLEP
+PSVDMMCRAAKALLAMARVDENRSEFLLHEGRLLDISISAVLNSLVASVICDVLFQIGQL
+>tr|A0A3Q1LKI4|A0A3Q1LKI4_BOVIN Transmembrane protein 240 OS=Bos taurus OX=9913 GN=TMEM240 PE=4 SV=1
+MSMSANTMIFMILGASIVMAIACLMDMNALLDRFHNYILPHLRGEDRVCHCNCGRHHVHY
+VIPYDGDQSVVDASENYFVTDNVTKQEIDLMLGLLLGFCISWFLVWMDGVLHCAVRAWRA
+GRRYGECGRGHVGRARSVPGLRPTRAPPTVPFPSPSPAHPSPTHHARPLTAPRVPQTVRG
+PGCPSCAACGSWAGGHTGRSRRRPGTWCT
+>tr|F1MCN4|F1MCN4_BOVIN StAR related lipid transfer domain containing 13 OS=Bos taurus OX=9913 GN=STARD13 PE=4 SV=3
+MLSQGPRTPASGCYYLNAMTPEGQEMYLRFDQTTRRSPYRMSRILARHQLVTKIQQEIEA
+KEACDWLRAAGFPQYAQLYEDSQFPINIVAVKNDHDFLEKDLVEPLYRRLNTLNKCASMK
+LDVNFQRKKGDDSDEEDLCISNKWTFQRTSRRWSRVDDLHTLFPGGDRNGSSGDIRMKNT
+TSSESVLTDLSEPEVCSIHSESSGGSDGRSQPGGHGVGREAFEGPGQYCVDGPVMLDAAL
+VGNSLLPSSKDGLQHPLHPKNEKPSRARAKSFLKRMETLRGKGAQGRHKGRPGGLVISGP
+VLQQEPESFRAMQCVQIPNGHLQNSPPATGSAGLPGATKWGAESSPSENSSSGGSTPGLK
+ERKCQEAHKRGGMYLEDLDVLAGTALQDAGDRKHHAHEFHSQENLVVHVPKDHKPGTFPK
+ALSIESLSPTDNSHGASWRTGSISLGRQPGPGAREPRLVGSCHRASRVSIYDNVPGSHLY
+ASTGDLLDLEKDDLFPHLDDILHHVNGLQEVVDDWSRNVLPEPQTHSSGEAGFSPFPSPN
+QITLDFEGNSVSEGRTTPSDMERDGASLTESEATGVRERRDSGVGASLTRPNRRLRWNSF
+QLSHQPQPSTASPHISGQTAGQLNLLQRFSLLRLTAIMEKHSMSNKHGWTWAVPKFMKRM
+KVPDYRDKAVFGVPLIVHVQRTGQPLPQSIQQALRYLRSNCLDQVGLFRKSGVKSRIHAL
+RQMNENFPENVSYEDQSAYDVADMVKQFFRDLPEPLFTNKLSETFLHIYQYVPKEQRLQA
+VQAAVLLLADESREVLQTLLCFLNDVVHAVEENQMTPMNLAVCLAPSLFHLNLLKKESSP
+RVIQKKYAAGKPDQKDLSENLAAAQGLAHMIMECDRLFEVPLEMVAQSHNSYVEAEIHPP
+TLEELGAQLEDSGATFHTYLEHLVQGLQKEAKEKFKGWVTCPSTDSTELAFKKVGDGPPL
+RLWKASAEVEAPPSVVLNRVLRERHLWDEDFVQWKVVETLDKQTEVYQYVLNSMAPHPSR
+DFVVLRTWKTDLPKGMCTLVSLSVEHEEAQLMGGVRAAVMDSQYLIEPCGSGKSRLTHIC
+RVDLRGHSPEWYNKGFGHLCAAEVARIRNSFQPLIAEGPETKI
+>tr|F1MXX8|F1MXX8_BOVIN Neuralized E3 ubiquitin protein ligase 4 OS=Bos taurus OX=9913 GN=NEURL4 PE=4 SV=2
+MAAGSGGNGGSGGGPGPGPGGGGGPGGSGPGPGSGGSLGSSGELHPRTGRLVSLSACGRT
+ARRQQPGQEFNHGLVLSREPLRDGRVFTVRIDRKVNSWSGSIEIGVTALDPNVLDFPSSA
+TGLKGGSWVVSGCSVLRDGRSVLEEYGQDLDQLGEGDRVGVERTAAGELRLWVNGRDCGV
+AATGLPARVWAVVDLYGKCTQITVLPPEPGFSPPTPSPTPPLEPSAPAEDSALAEQGTSG
+DEAFMVSPAQARPETFPNSLESHNDFAGMELSEVVSNAILSAYNGGLLNVNLSSPPAGEA
+PGSSGAATSPIVTSNDALLFHEKCGTLIKLSNNNKTAERRRPLDEFNNGVVMTNRPLRDN
+EMFEIRIDKLVDKWSGSIEIGVTTHNPNNLEYPATMTNLQSGTIMMSGCGILTNGKGTRR
+EYCEFSLDELQEGDHIGLTRKSNSALHFFINGIDQGVATPLTPPVVYGVVDLYGMAVKVT
+IVHNNNHSDRLRRNNAILRALSPEGALRRAAPTTQAEPERLLFHPNCGQKAAITHEGRTA
+LRPHATDDFNHGVVLSSRALRDGEVFQVRIDKMVDKWAGSIEIGVTTHNPAYLQLPSTMT
+NLRSGTWMMTGNGVMHNGTTILDEYGHNLDRLKAGDTVGVVRREDGTLHFFVNGMTQGPA
+AWNVPPGVYAVVDLYGQAAQATIVDDVEVPQVPEPLPEGNNQVSPSSPSSGAGGSDLRFH
+QLHGSNAVITNGGRTALRHNCRSEFNDAIVISNRALRDGELFEIVIQKMVDRWSGSIEAG
+VTAIRPEDLEFPNTMTDIDYDTWMLSGTAIMQDGNTMRNNYGCDLDALGTGARIGMMRTA
+KGDLHYFINGQDQGAACSGLPPEVYAVVDLYGQCVQVSITNATGPMDNSLATSNSATEKS
+FPLHSPVAGVAHRFHSICGKNIALEEDGTRAVRAAGYAHGLVFSTKELKSEEIFEVKVEE
+LDEKWAGSLRLGLTTLAPGDMGPGAGGGPGLPPSLPELRTKTTWMVSSCEVRRDGQLQRM
+NYGRNLERLGVGSRVGIRRGADDTMHILVDGEDMGPAATGIAKSVWAVLDLYGPVRSVSI
+VSSTRLDEPEGTQPPSPSSDTGSEGEEDDEGEEHGLEGQNQVAIMPTALEFLENHGKNIL
+LSNGNRTATRVASYNQGIVVINQPLVPQLLVQVRIDFLNRQWTSSLVLGVITCPPERLNF
+PASACALKRAAWLLRGRGVFHNGLKICEKFGPNLDTCPEGTILGLRLDSSGGLHLHINGM
+DQGVAVPDVPQPCHALVDLYGQCEQVTIVSPEPGAASGKSAGTQGDMEKADMVDGIKESV
+CWGPPPTTSPLKSCEYHALCSRFQELLLLPEDYFMPPPKRSLCYCESCRKLRGDEAHRRR
+GEPPREYALPFGWCRFNLRVNPRLEAGTLTKKWHMAYHGSNVAAVRRVLDRGELGAGTAS
+ILSCRPLKGEPGTGFEEPGENCAPPREEQPPPVLLSPSLQYAGAETLASKVQFRDPKSQR
+THQAQVAFQVCVRPGSYTPGPPSAALREPPDPHFSPAELEWVTKEKGATLLYALLVRVE
+>tr|G3MY36|G3MY36_BOVIN KAT8 regulatory NSL complex subunit 1 like OS=Bos taurus OX=9913 GN=KANSL1L PE=4 SV=2
+MTPALREAATKGICFSSLPNTMESDKMLCMESPRTVDEKLKGDTFSQMLGFPTSEPTLNT
+NFVNLKHFGSPQSSKHYQTVLLMSSNTTLNKYNENYKQKKLGEPNCNKLKNILCNGGSIQ
+LSKICHSHSEEFIKKEPLSDTTSPCVTDVQIILDSNVTKDTNVDKVQLKNCKWYQKNALL
+DKVSGGEIKKGLLHHAQNKIGPDHSYVPISSSAAEKEEEVNARLLQCVSKQKILLSQARR
+TQKHLQMLLAKHVVKHYGQQMKFSMKHQLPKVKIFHEPTTILDNSLPKCTEIKPDINILT
+AETKLWNDTKNGFARCTAAEIQRFALSATGLLSHVEEGLDSDATDSSSDDDLDEYTVRKN
+VSVNCSTEWKWLVDRARVGSRWTWLQAQISELEYKIQQLTDVHRQIRASKGIVILEECRL
+PKDILKKQVQFADHPASLNTSGTPQIPQESQDPLPEQDFEMSPSSPTLLLRNIEKQSAQL
+TEIINSLIAPLNLSPTSSPLSSKSCSHKCLANGISRSASENLDELSSSSSWLLNQKHSKK
+RRKDRTRLKSPSLTIMSTAARTRPLQSFHKRKLYRLSPTFYWTPQTLPSKETRFLNTTQM
+PCLQSASIWSSCEHNSKSQMLTEHVSELDSSFHSVLSLPSDVPLRFHFETLLKKTEIKGD
+LTENKFLGDCIISPSPVRNTSLNQWRNGYSSICKPQIESESSVQLLQGRKKRHLSETAIG
+ERTRFEEFAFQRTEPGSQSTFTAVTNVNVLSRTQNSSSQNTARRRLRSESSYDIDNIVIP
+MSLVAPAKLEKLQYKEILTPSWRMVVLQPLDEYDLGEEEIENLSDEVFSLRHKKYEEKEQ
+ARWSLWEQSKWQRRNSRAYPKNVEGQDLLLKDCPYDFSGGQHCTVGSPAEPPSESQASSA
+HGSPSLSQETKSLWWERRTFPLKDEDTEALLCQDEKNDQVERSSPAFHGEVFCTSAPENG
+HHPKRQADGIEEYKTLGLGLTHVKKNR
+>tr|A0A3Q1N8A1|A0A3Q1N8A1_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MGIPDHLTCLLRNLYAGQEATVRTGHGTTDWFQIGKGVRQGCILSPCLFNLYAEYIMRNA
+GLEEAQAGIKTAGRNTNNLRYADDTTFMAESEEELKSLLMKVKEESEKVGLKLNIQKMKI
+MASGPITSWEIDGEIVETVSDFIFWGSKITADGDCSHEIKRRLLLGRKVMTNLESILKSR
+DITLPTKVHLVKAMVFLVVTYACESWTVKKAERQRIDAFELWCWRRLLRVPWTARRSNQC
+ILKEISPGISLEGMMLKLKLQYFGHLMRRADSLEKTLMLGGIGGRRRRGRERMRWLDGIT
+DWMDVSLSELRELVMDREAWCAAIHGVAKSWTRLSN
+>tr|A0A3Q1MEW0|A0A3Q1MEW0_BOVIN Abhydrolase_3 domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+SGDSAGGLIAATVAQLIQNDPEFKNKLKAQALIYPGLQVLDISIPSHRENEHGPFLSKKL
+ALELACLYLTQDKALPQAMTKNQHMPHGPRHLFNPVNWSTFLPEEYKRNHIYTEPILGRL
+NPSYSALLDSRLSLLAVNDSQLQNLPITYILTCQHDIIRDDGLMYDGIHGALSFMASPIY
+LQLGIRIKDKYINWLEENL
+>tr|A0A3Q1MFJ0|A0A3Q1MFJ0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC100138951 PE=4 SV=1
+MPPQLLPLLWAGSLALGPNYWLKAPRSVSVQEGLCVRVPCSVYYPSDFHSGSTPVHGFWF
+REGAEIFVDAPVATNKLDREVQEETQGRFHLLGDPRDNNCSLEIRDARKSDRGSYFFRVE
+RGSMKWSYLSELFFLNVTAFTHQPHLLSPGDLEPGHPGNMTCSVPWACERAMPPIFSWTS
+AAPSSLGPRTPFSSVLTLTPRPQDHGTRLTCQVKFPRSGVMVERTILLNVTYASRNPGNI
+CTGDGTGESGTGAGVTEGAIAGAGVTMLLVLCFGLIFFVVKIYRKKVAKTAVDMEDIYSA
+AEPASLDHQQESKSEESSDPTNYAGTTPSLELEQELHYSSIIFRGEKPQESPHSEYAEIR
+IK
+>tr|E1B719|E1B719_BOVIN ANK_REP_REGION domain-containing protein OS=Bos taurus OX=9913 GN=ANKHD1 PE=4 SV=3
+MLTDGGGGGTSFEEDLDSVAPRSAPAGASEPPPPGGVGLGIRTVRLFGEAGPAPGVGGGS
+SGAGGGDAALDFKLAAAVLRTGGGGGASGSDEDEVSEVESFILDQEDLDNPVLKTTSEIF
+LSSTAEGADLRTVDPETQARLEALLEAAGIGKLSTADGKAFADPEVLRRLTSSVSCALDE
+AAAALTRMRAENSHSAGQVDTRSLAEACSDGDVNAVRKLLDEGRSVNEHTEEGESLLCLA
+CSAGYYELAQVLLAMHANVEDRGNKGDITPLMAASSGGYLDIVKLLLLHDADVNSQSATG
+NTALTYACAGGFVDIVKVLLNEGANIEDHNENGHTPLMEAASAGHVEVARVLLDHGAGIN
+THSNEFKESALTLACYKGHLDMVRFLLDAGADQEHKTDEMHTALMEACMDGHVEVARLLL
+DSGAQVNMPADSFESPLTLAACGGHVELAALLIERGANLEEVNDEGYTPLMEAAREGHEE
+MVALLLAQGANINAQTEETQETALTLACCGGFSEVADFLIKAGADIELGCSTPLMEASQE
+GHLELVKYLLAAGANVHATTATGDTALTYACENGHTDVADVLLQAGADLDKQEDMKTILE
+GIDPAKHQVRVAFDACKLLHKE
+>tr|A0A3Q1MJU3|A0A3Q1MJU3_BOVIN Inhibitor of Bruton tyrosine kinase OS=Bos taurus OX=9913 GN=IBTK PE=4 SV=1
+MNSSIPDCTSKCRSLTHALDVLSVVTKGSENQIKAFLSSHCHNAATIRDAFGRNALHLVA
+SCGKKGVLDWLIEKGVDPSVKDKESGWTALHRSIFYGHIDCVWSLLKHGVNLYIQDKEGL
+SALDLVMKDRPTHVVFKNTDPTDVYTWGDNTNFTLGHGSQNSKHHPELVDLFSRSGIYIK
+QVVLCKFHSVFLSQKGQVYTCGHGPGGRLGHGDEQTCLVPRLVEGLSGHNCSQVAAAKDH
+TVVLTEDGCVYTFGLNIFHQLGLIPPPSSCNVPRQMQAKYLKGRTIIGVAAGRFHTVLWT
+REAVYTMGLNGGQLGYLLDPNGEKCVTAPRQVSALHHKDITLSLVAASDGATVCVTTKGD
+IYLLADYQCKKLASKQLNLKKVLVSGGHMEYKVDPEHLKENGGQKICILAMDGAGRVFCW
+RSVNSSMKQCRWAYPRQVFISDIALSRNEILFVTQDGEGFRGKWFEEKRKSSEKKEILSN
+LHNSSPDVSCVSDINSVYERIRLEKLTFAHRAVSVSTDPSGCNFAVLQSDPKTSLYEIPT
+VSSSSFFEEFGKLLRETDEMDNIHDVTFQVGSRLFPAHKYILAVRSDFFQKLFLSDGTAL
+DFTDVYRKDEDSTGCHLFVVEKVHPDLFEYLLQFIYTDTCDFLTHGFKPRLNLNRKPEGY
+PSTPNCHSNKMNSHEDNQKSAFEAYKSNQAHTISEKQKSKSKSSKKVKSVGEDDPVKMLQ
+NVAKKFGFSNLSSRLDGVRYENEEISIIAKKTGSKPKLNQKKCSFLCDVTMKSVDGKEFP
+CHKCVLCARLEYFHSMLSSSWIEASSCAALEMPIHSDILKVILDYLYTDEAVVIKESQNV
+DFVCSVLVVADQLLISRLKEICEVALTEKLTLKNAAMLLEFAAMYNAEQLKLSCLQFIGL
+NMAALLEARSLDVLSDGVLKDLSVFYRKMIPAMERRVITPYQDGPDISYLDVEDGDIFLK
+EEVNMEQNYSESMVKKTKTKAKKKPRKRSDSSGGYNLSDIIQSPPSTGLLKSGKTNSVES
+LPELLTSDSEGSYAGVGSPRDLQSPDFTTGFHSDKSEGKIKSYVNGTPPAYFREDLKPWE
+KSPVLKITAPQPIPSNRIDTTSSSNWVSGSFSPVSPPVMDLRTIMQIEESRQKCGTTPKT
+NLGKMISHGVKLSQKQRKMIALTTKDNNSGMNNMETALSAPSKIPKPANAWASSQHSVSS
+KSFRDFLVEEKKSVTGQRSGDHVKKVCFKGIENSQSPKVVRCSAHGSPGREGNHISDVPL
+PDSPNPWLSSSLTAPSVVAPVTFASIVEEELQQEAALIRSREKPLALIQIEERAIQDLLV
+FYEAFGNPDEFVIVERTPQGPLAVPMWNKHGC
+>tr|A0A3Q1MKM4|A0A3Q1MKM4_BOVIN PDZ domain containing 2 OS=Bos taurus OX=9913 GN=PDZD2 PE=4 SV=1
+MPITQDNAGLHLPLLYQWLQNSLREGGDGPEQRLCQAAIQKLQEYIRLNFAVDESSIQPD
+RSPPGMEICTVYLTKELGDAETVGLSFGNIPVFGDYGEKRRGGKKRKTHQGPVLDVGCIW
+VTELRKNSPAGKSGKVRLRDEILSLNGQLMVGVDVSGASYLAEQCWNGGFIYLIMLRRFK
+HQVHSPYNGNSSNSSEPGETPTLELGDQTVKKGKRARKFGVIARPSTHKATEESKSSTGC
+ELDHDPVSELDNGLDPELGNGHAFELENGPDLLKEVAGSHLDRSELDRGTEPRIPKTDAP
+LPTSNDKRRFSKSGKTDFQSSDCLAREEVGRIWKMELLKESDGLGIQVSGGRGSKRSPHA
+IVVTQVKEGGAAHRDGRLSLGDELLVINGHLLVGLSHEEAVAILRSATGVVQLVVASKEN
+SAEDLLRLTSKSLPDLTSSTEDVSSWTDNEDQEPDEDEGTGSSSIQSAMPGTEEPHDACG
+PEESKGNLESPKQGSSKMKLKSRLSGGVHRLESVEEYNELMVRHGDPRARMLEVSRDGRK
+HSLPQLLDSTGTSQEYHIVKKSTRSLSTTQVESPWRLIRPSVISIIGLYKEKGKGLGFSI
+AGGRDCIRGQMGIFVKTIFPNGSAAEDGRLKEGDEILDVNGIPIKGLTFQEAIHTFKQIR
+SGLFVLTVRTKLLSPSLTPCSTPTHMSRSSSPNFNASGGTSAGGSDEGSSSLGRKAPGPK
+DRIVMEVTLNKEPRVGLGIGACCLALENSPPGIYIHSLAPGSVAKMESNLSRGDQILEVN
+SVNVRHAALSKVHSILSKCPPGPVRLVIGRHPNPKVSEQEMDEVIARSTYQESKEASSSP
+GLGTPLKSPSFAKKDSLISESELSQYFAHDAPGPLSDFMVAGSEDEDPPGSGGSSSSVEP
+PSTSPHKEPGKARANSLVSLGSQRASGLFHKQVTIARQASLPGSPEVLRNPLLRQRRVGC
+SDDDASDEEEFDGEGDCISLPGTLSGPSRPLTEDNSTHVSTASSKVTGINREEHPKKTLV
+SKASSVPLLGSSLDFQESLPGGVRDPLSHAASLLVPSEAPKGSPGCSGRKELSGSRSSPK
+LECRAGTGTQGPASTDSPSSLQQNDSLGSRHKPVARVSPHRKRPEAEARPSSAESAQLTD
+GASDPRGADLKVQDSSIQVTVTGYRPGGTVEKESLDKPSVGDGRVPTEWGPGGALPHPDA
+SHPTENPPAATSKQPGTGPDGSPDPLPSPGQKEAAHPDPSQTSVDTEPARRPEDPGGPES
+PRIPKSEDSTSPGTMAMARPDLREMRAAPNASSPCAARKAATPRGAGPMAEGAPSTGAGL
+PQDLMSGEKSQERVLGHHAKAPQTSAVLAPENCQGSALPQGTDSGSTSAPQAGLALPSLS
+DKAQEACGGASGPGCPGGNGRCPVTDIDRLLVEPDVSGARLPSPGKGDWLSREQAAQGPQ
+LASGSPTPAPRRPGAAGPAPPPQWAGQPSVLDSINPDRHFTVNKSFLSNYSRNLSSLHED
+STSLSGLGDSTEPSVSLSSMYGDVEDSSSDPESFTDAPRAPPRDSWSPPHPRPPEPSHKG
+DATESEEEQVEICSTDGSPDRPSATALAPARVAACPALATALPPKDGALSQVGAEAGHTA
+RFVPGTSTPPQPPPPLSDVSSQEAEPPEDARVSQDHRSPSEEDSGEATQPPPGTRPIPSS
+LGTLGSPNVVNGLEYDHLDDKAPPEKQEIDVHTAENQASFRACVPKNGDSALGNLHISGG
+QGPEDLLPKPKAISRRPLMAWFREINKNNHGTHPQSKTEMEQSTLLARSPDPKAQVLSSS
+HKKGVPVPDSPPPRLNLENKDLPRKSSVETLLSNCQKPKAGPKLKRLSIKSKSKVSSEVP
+AAHTGKTGSTEHRKALVSPQASHKMLSKVASHRFHTADHEELDKTAAAAPQSPQCVEGKL
+PPGTPGSLKPSASDSSIRMFVSPVTTPKTLPEQGGCGRLHPAVHAEPDRGFPAAPSPPKC
+GPESRAPLASPGPASPAALRSGTSTVAGKLEVPTPGQGEWSLSSQTDSAAEAALPGVTGD
+KRSKIIASEPLERTKQLKIIEIPKSTCGDILAERDRQGGLLSQSSHQEESEAGLCHQPGE
+SSPNHPSFLPTRVSQVELEAQQRSVSLARLSSSSSPQLLARKADPGQMGNPLGVPRNGPP
+VGPVLDDHPYFTPRPATRTYSMPAQFSSHFGREGHTPQSPGRTPRDGQIPGTSGGLLEAK
+ASRGAVLSLANGQGVYSVKPLLETSGNLPTTDEADVLSAQETSCQLTDRVTVTRRHHYSP
+QNWPHEATSFFSVKQRIKSFENLAHSDRPAAKAGASSFLSVSSKPPIGRRSSGSVVSGPL
+SHSSDPTARLLRRSLSSCSESQGEATTVVPPMTKSPSSMTLTVSRQTPADARNKGADSDP
+KRPPGPSGIPAPTATPASPAKRNKSSVRHTQASPLSRSKLQELRALSMPDLDKLCSEDFS
+AGPSAVLFKTELEIVPRRSRGSPAGGLAGSTALSCPKDGAERACPKATDPRAPSSAHDVG
+ETAQDLPSGRSWSVNLQQLLVSAGDQQRLQSILSSVGSRSTVLTLIQEAKAQSENKEDIC
+FIVLNKKEGSGLGFSVAGGTDVQPKSIVVHRVFSQGAASQEGTVSRGDFLLSLNGASLAG
+LAHGDVLKALHQAQLHKDVLMVIKKGSDQPRPSSRQEPPTANGKGLVSRKTSPLEPGTAG
+RSTAAHEALCVEVLKTSAGLGLSLDGGKSSMSGDGPLLVKRVYKGGAAEQAGTIEAGDEI
+LAINGKSLVGLMHFDAWNIMKAVPEGPVQLVIRKHRNSSCSKHW
+>tr|A0A3Q1MQH1|A0A3Q1MQH1_BOVIN Fibronectin type-III domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+QPSIFSFPSLGKRPNLKVHINTTSDSIFLKFLRPSPNVKLEGFLLGYGSNLSPNQYFPLP
+AEGKYTEAIVDAEPKYLIVVRPAPPPSQKKSCSGKKRARKPLQLVVGTLSPSSVFLSWGF
+LINPHHDWTLPSQCPNDRYYTIRYREKDKEKKWIFQLCPATETIVENLKPDTVYEFGVKD
+NVEGGIWSKIFNHKTIVGSKSKVNGKIQSTYDQVHSVPAYVPRKLIPVTIIKQVIQNVTH
+RASTKSPDKTPYGGTILVHLVIPGLNETTVKLPTSIMFEISEAIKTQLAKNETLALPAES
+KTPEVEKIPALPITASSEKPGIVPTSKISEDSKILLPQTGNYFLFFFLIDWSNSIE
+>tr|A0A3Q1N5T3|A0A3Q1N5T3_BOVIN Carbohydrate sulfotransferase OS=Bos taurus OX=9913 GN=CHST9 PE=3 SV=1
+MQPSELVMNPKQVFLSVLLFGVAGLLLFMYLQVCIEEQHPGKVEKRREQRATSVTREKIQ
+EHITNQNPKSHMLKDPKDKLANLLNSKSPTRVFTETNHSQGEAPALSRNPGSPTIQLIEK
+QQGTKILFRKFSETNWSVDIQPLNKSLVTDSKWKRIDATQEKRRSFLQEFCKKYGGVSRP
+QSHVFHMVSRIYVEDKHKILYCEVPKAGCSNWKRILMVLNGLAPSAYNISHDAVHYGKHL
+KKLDSFDLKGIYSRLNTYTKAVFVRDPMERLVSAFRDKFEHPNSYYHPVFGKAIIKKYRP
+NACEEALNNGSGVKFREFIHYLLDSHRPVGMDIHWEKVSKLCYPCLIHYDFVGKFETLEE
+DANYFLQLIGAPKELKFPNFKDRHSSDKRTNAQVVGQYLKDLTRTERQLIYDFYYLDYLM
+FNYTTPFL
+>tr|A0A3Q1M2R1|A0A3Q1M2R1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=RC3H1 PE=4 SV=1
+MPVQAPQWTDFLSCPICTQTFDETIRKPISLGCGHTVCKMCLNKLHRKACPFDQTTINTD
+IELLPVNSALLQLVGAQVPEQQPITLCSGVEDTKHYEEAKKCVEELALYLKPLSSARGVG
+LNSTTQSVLSRPMQRKLVTLVHCQLVEEEGRIRAMRAARSLGERTVTELILQHQNPQQLS
+SNLWAAVRARGCQFLGPAMQEEALKLVLLALEDGSALSRKVLVLFVVQRLEPRFPQASKT
+SIGHVVQLLYRASCFKVTKRDEDSSLMQLKEEFRTYEALRREHDSQIVQIAMEAGLRIAP
+DQWSSLLYGDQSHKSHMQSIIDKLQTPASFAQSVQELTIALQRTGDPANLNRLRPHLELL
+ANIDPSPDAPPPTWEQLENGLVAVRTVVHGLVDYIQNHSKKGTDQQQPPQHSKYKTYMCR
+DMKQRGGCPRGASCTFAHSQEELEKFRKMNKRLVPRRPLSASLGQLNEVGLPSTAILQEE
+GAVDLPSRKTPALPNGIVSAGNAVTQLIPRGTDPSYESSLKPGKIDHLSSSAPGSPPDLL
+ESVPKSISTLPVNPHPVPPRGPTELPPMPVTKPLQMVARGSQLYPAQQADVYYQDPRGAA
+PPFESAPYQQGMYYAPPPQCVSRFVRPPPSAPEPGAPYLDHYPPYLQDRVVNSQYGTQPQ
+QYPPMYPPHYDGRRVYPAQSYAREEIFRESPIPIEIPPAAVPPYVPEPRERYQQMEGYYP
+VAAHPTQIRPSYNREPPYSRLPPPPQPHPSLDELHRRRKEIMAQLEERKVISPPPFAPSP
+TLPSAFHQEEFLDEDLKVAGKYKGNDYSQYSPWSCDTIGSYIGTKDAKPKDVVAAGSVEM
+MNVESKGMRDQRLDLQRRAAETSDDDLIPFGDRPTVSRFGAISRTSKTIYQGAGPMQAMA
+PQGAPTKSINISDYGPYGTHGGWGGSPYSPHQNIPSQGHFSERERISMSEVASHGKPLPS
+AEREQLRLELQQLNHQISQQTQLRGLEREANTLAGQSQPPPPPPPKWPGMISSEQLSLEL
+HQVEREIGKRTRELSMENQCSLDVKSKLNTSKQAENGQPEPQNKVPAEDLTLTFSDVPNG
+SALTQENISLLSNKTSSLNLSEDPEGGGDNNDSQRSGVTSSSTP
+>tr|A0A3Q1MJN6|A0A3Q1MJN6_BOVIN Nuclear VCP-like OS=Bos taurus OX=9913 GN=NVL PE=4 SV=1
+GILACDLQRMYSIDYGRRKRNAFRIQVEKVFSIISSEKEHKDLAELEDEHLAKRARHGEE
+DNEYTESYSDDSSMEDYPDPQSANHMNSSLLSLYRKGNLDSVSSTPEMEQKESITSPPRI
+TSRTGSIPSKAPAEGSEGGWFIDKTPGRKKDSFFSDLSDEKCNDKKPISETENSKDSSLL
+ESNKKRKGKLNSKGGKKKKEDLQEVDGEIEAVLQKRGEMEVLTWFCLFLQEVCKMLVHMR
+HPEVYHHLGVIPPRGVLLHGPPGCGKTLLAHAIAGELDLPILKVAATEIVSGVSGESEQK
+LRELFEQAVSSAPCILFIDEIDAITPKREIASKDMERRIVAQLLTCMDDLNNVAATARVL
+VIGATNRPDSLDPALRRAGRFDREICLGIPDEASRERILQTLCRKLRLPETFHFRHLAHL
+TPGFVGADLMALCREAAMCAVNRVLMELQGQHKKNPEIEASPSEGDQEERTGEELQRLLG
+LLRNQDPLSEEQLKGLCIELNDFIVALSSVQPSAKREGFATVPNVTWADIGALEDIREEL
+TMAILAPVRNPDQFRALGLVTPAGVLLAGPPGCGKTLLAKAVANESGLNFISVKGPELLN
+MYVGESERAVRQVFQRAKNSAPCVIFFDEVDALCPRRSARETGASVRVVNQLLTEMDGLE
+TRQQVFIMAATNRPDIIDPAILRPGRLDKTLFVGLPPPADRLAILKTITKNGTKPPLDAD
+VNLEAIAGDLRCDCYTGADLSALVREASICALKQEMARQKSGSEKVYQELASFRESFKKK
+KSIIWYSHCTSTLRFL
+>tr|F6R4P6|F6R4P6_BOVIN Serpin family D member 1 OS=Bos taurus OX=9913 GN=SERPIND1 PE=3 SV=1
+MWSRSCWAVTRHTAGLCADEAGGRCSICDLCTLEDLDCSPAPKVTEERGRPWPIPPLQSH
+FAPAAQSSSGMWCPLRPLLLALLLASACRTLGPWGQRDDGGGEPESMEPRWGRLKGSNAS
+GPLPPAAFHEENTVTGEWAADGEEEEDYLDLEKIFGEDDNYSDVVDAAPPTRPGAGAGSV
+LPLFPGKSRVQRLNLLNAQFAFDLYRALAARVGAADNVFLAPVGVSAAMAMLSLGLAGDT
+HQEVHTALRFAEFVNASAAYELGTVHNLFRKLIHRLFRRNFGYTLRSVSDLYVQKQLPVL
+DDFKTQVREYYFAEVRAADFSDPAFLAHTNRHVARLTKGLIRDALQDVDPATQMLLLNCL
+YFKGSWVNKFPVEMTHNHNFRLNEREVVKVPMMQTKGAFLAASDQELDCDVLRLEYVGGI
+SMLVVVPHKLSGMKTLESQLTPQAVERWQRSMTNRTREVLLPKFKLEKDYDLVGALRALG
+VTALFDKHSNTTGITGQRIVIDLFKHQGTITVNEEGTQAAAVTAVGFMPLSTQVRFSVDR
+PFLFLIYEHRTSCLLFLGRVANPTRP
+>tr|A0A3Q1MFY2|A0A3Q1MFY2_BOVIN MCF.2 cell line derived transforming sequence OS=Bos taurus OX=9913 GN=MCF2 PE=4 SV=1
+HDYISPPSGSIFLYFLQRTFTDIGFRFSQEDFMLKLPVVMLSSVSDLLTYIDDKQLTTEL
+GGTLQYSHSEWITFRNAIENFALTVKDTAQMLQSFGTELAETELPGDIPAMEEILAIRAE
+RYHLLKKDITAVTKEGKFLSRLENDQPTSGDWQTINKLLTQVHDMEIAFDGFWEKHQLKM
+EQYLQLWKFEQDFQEVTELILNQQAELADVTGTIAQVKQKIKKLENLDENSQDLLAKARF
+VIIHGHRLAASHHYALDLICQRCNELRYLSDILVNEIRTKRIQLNRTFKMHKLLQQALQC
+CDEGECLLANQEIDKFQSKEDAQKALQDIENFLEMALPFINYDPETLQYEFGIILSPELK
+VQMQTIQLKLENIRSIFENQQAGFRNLTDKHVRPIPFVVSASDNLVRSGAPFFSPTQVGV
+GYSFFQACKLFSKGTHLYYFSSVHFLSLCTCEKSVWHLKPATVPSHILLKLIQTERAYVR
+ELFTVLLGYRAEMDNPEMFVLMPPLLRSKRDVLFGNMAEIYEFHNNIFMSSLENCVDAPE
+RVGSCFLERKDDFQMYAKYCQNKPRSEAIWKKYSECAFFQECQRKLKHRLGLDSYLLKPV
+QRITKYQLLLKELLKYSKDCVGSVELKEALDTMLDLLKSVNDLMHQTAINGYIGNLNELG
+KMIMQGAFSVWIGHKKGTTKMKDFARFKPMQRHLFLYEKAVVFCKRRVESGEGGDRYPSY
+SFKHCLKMDELGITEYVKGDNRKFEIWNAGKEEVYIVQASNIDMKMMWLKEIRTILLKQQ
+ELLTGKFKHVFSRMGSIRTIQCLRFVIIFTRNGADIYFLLFTIKLVSRRSRFRELFELFQ
+KMNFAVEDDTMVEVGESITSVQAEANTGMYNGYLKGGFMVVQIVFSHCYFEKSFHLFTLY
+>tr|F1MB22|F1MB22_BOVIN FCP1 homology domain-containing protein OS=Bos taurus OX=9913 GN=CTDSPL PE=4 SV=3
+MDGPAIITQVTNPKEDEGRAPGAGEKASQCNVSLKKQRSRSILSSFFCCFRDYNVDAPPG
+SSPGVLPPLVEENGGLQKPPAKYLLPEVTVLDYGKKCVVIDLDETLVHSSFKPISNADFI
+VPVEIDGTIHQVYVLKRPHVDEFLQRMGQLFECVLFTASLAKYADPVADLLDRWGVFRAR
+LFRESCVFHRGNYVKDLSRLGRELSKVIIVDNSPASYIFHPENAVSSPRPKGLRAPAKLS
+CHFCTFKHLCRGFVALSLVKSQLCIEQLHDIDCYLCSLSLSAKVALSVKWAF
+>tr|E1BMJ2|E1BMJ2_BOVIN Kelch like family member 17 OS=Bos taurus OX=9913 GN=KLHL17 PE=4 SV=3
+MGNSHCVPQAPRRLRASFSRKPSLKGNREDGARKLAGLFGTEAGADRDTTADKIFHYIPG
+TNIPGLQSQQEILEQPFLSVFKEGRRRAAVRSLGSVVHYAKVQLRFQHSQNVSDCYLELF
+PSHLYFQAHGPEGLTFQGLLPLMELSVCPLEGSREHAFQITGPLPAPLLVLCPSQAELGR
+WLYHLEKQIALVGGVPRCPPEPLQHRLTRLRTASGRQVMGSAICASRVKLQHLPSQEQWD
+RLLVLYPTSLAIFSEEADGLCFKGELPLSAIHINLEEREKQIRSFLIEGRLINTIRVVCA
+SYEDYSHWLLCLQTVCQEDRASPPLGPESLPGLRAPTQVVASGRGSLSSDARTSWDSGCP
+APASTCTSHSLPESSAPSTAGCPARPPLEQANPGCTSIGGHRAKLRRGGSSRSPRNKARA
+ERPGPAALLHLDLTKLSLDGGTEAPDHSLETPHSPLYADPYTPPATSHRKITDVQNLDEF
+LSAMQSTLGPEPSSPFCSIPVSEPVSDSSCGLSGPHLLSEKAVPRARASRHHRASIKGWG
+PRPPDSPQLVSPEKEASPDPSPPPPDGVPRPGYGSSQDKALSPSQRRGPRGAPEPEQGLI
+QWI
+>tr|A0A3Q1NED9|A0A3Q1NED9_BOVIN Spermatogenesis associated 7 OS=Bos taurus OX=9913 GN=SPATA7 PE=4 SV=1
+SDSSRPHGLATSVLPTFDASCLFKGHLSTKSNALCTDSSSLRLSTLQLVKNHMAVHYNKI
+LSAKAAVDCSVPLSMSASIKYADQQRREKLKKELARCGRGLKFTKTTVHPKSHSRSLLNA
+LQKPSGEPQDENSLLIEEVNEFPSFTQSPVISSERLSLPKSQNVLTNCTEKNSSCSMSSM
+DYTASGSKKPSSGTSYGRGPRGTFPGSQKFQLVISKAPSGDLLDKHSEHFSNRHLPFTPR
+TLKTEAKSFLSQYRYYTPAKRKKNFTNRRIEAETQTELSSFKSDFETVEIKNATDSEMNV
+TQASGCVSYGTKGRTTPSASPGRELPWGKVRDSALQCSSPRAVCQYSLQPPSGRKIYSDE
+EELLYLSFIEDVTDEILKLGLFSNRFLERLFERHIKQNKHHLEEEKMRQLLHVLKVDLGC
+MSKENSGKLDSVDTLHLCDVEKAENLEQNENKSEQDLIIQQERQEYQKALNMLLTIPKEE
+NEIVSSNEFFLPIHKSKYSEEVIIQQYLKRQGLSSNQ
+>tr|E1BGJ1|E1BGJ1_BOVIN Cyclic AMP-responsive element-binding protein 5 OS=Bos taurus OX=9913 GN=CREB5 PE=3 SV=3
+MFCTSGGNSASVMSMRPVPGSLSSLLHLHNRQRQPMPASMPGTLPNPTMPGSSAVLMPME
+RQMSVNSNLLGMQGPNLSNPCASPQVQPMHSEAKMRLKAALTHHPAAMSNGNMNTMGHMM
+EMMGSRQDQTPHHHMHSHPHQHQTLPAHHPYPHQHQHPAHHPHPQPHHQQNHPHHHSHSH
+LHAHPAHHQTSPHPPLHSGTQAQVSPATQQMQPTQTIQPPQPTGGRRRRVVDEDPDERRR
+KFLERNRAAATRCRQKRKVWVMSLEKKAEELTQTNMQLQNEVSMLKNEVAQLKQLLLTHK
+DCPITAMQKESQGYLSPESSPPASPTPACSQQQVIQHNTITTSSAVSEVVGSSTLSQLTT
+HRTDLNPIL
+>tr|A0A3Q1M3E7|A0A3Q1M3E7_BOVIN CCHC-type domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MGEGKETVKNLLHSACPERVPTDTFSLWNMIRDALDPAHESERVPSKEESEEEESKPSYR
+QLRSMLAAMNTNSHLESGDEKEEQLSLQDEKDLEAAAARYHSDEYWSFSAKDAPKSLRET
+SPIRPFVRSKQQTVKSPEQEKRNMGRSHPPIPPPPYEGKRPPLGVSQRRVFSSPEDEFDP
+HLEACFSVIFEERDGRDVPSWEPLSMKLIKELKQACALYGATAPYTLTLLDALAARWMIP
+YDWKTVAKASLSGGQYLLWRAEYEDLARKQASANRRYGPRHIVQEMLEGINEFDTIRDQM
+GLDREALEQVTACALGSWRSLPQGKESTSSFSNIKQKPEEPYEDFVSRLIEGIHRVIPSD
+EAAGILVKQLAFENANSTCQAILRPIKKSGEIGDYIKQCADVGPAIMRGIVIAAAIKGSS
+YQQTVQSLFAGRNNPSKSYLNNQGQNTNLSKACFSCGQEGHFICACPQKTAGSSLPNPAS
+LAVTPNLPKTPCLRCQKGYHWTKDCRSKFHKNGTLLVPDQQ
+>tr|A0A3Q1LNE9|A0A3Q1LNE9_BOVIN Vitronectin OS=Bos taurus OX=9913 GN=VTN PE=1 SV=1
+MTSLRPLLMLALLAWVVVADQESCKGRCTEGFNATRKCQCDELCSYYQSCCADFMAECKP
+QVTRGDVFHLPEDEYGFHDYSDAQTVNSNVEAQPESTTLAPVLQAQTLETPVQAPVLNPE
+KEVPPSGRGDSEPGMGTSDLGTSESPAEEETCSGKPFDAFTDLKNGSLFAFRGLYCYELD
+EKAVRPGYPKLIRDVWGIEGPIDAAFTRVNCQGKTYLFKGSQYWRFQDGVLEPDFPRNIS
+DGFGGIPDDVDAALALPAHNFNGRERVYFFKGNHYWEYVFQQQPSQEDCEGSSLPAAFKH
+FALMQRDSWVDIFRLLFWGGSYGGAGQPQLISRNWFGLPGRLDAAMAGHIYVSGSAPSFP
+RAKMTKSARRHRKRYRSLRSRGRGRGRNQNPYRRSRSAFLSWLSSEELGLGANNYDSFEM
+DWLVPATCEPIQSVYFFSEGGSPCPPCSWSGWVVPAVPGPWGLTTALEW
+>tr|A0A3Q1MUY6|A0A3Q1MUY6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=SLC43A1 PE=4 SV=1
+MAPTLEQAYRRRWWMACTAVLENLFFSAVLLGWSSLLIMLKKEGFYSSMCSAENTTNTTQ
+DEPHGWPSCNQQEEMLNLGFTIGSFMLSATTLPLGVLMDRFGPRPTRLMGSACFAASCSL
+MALASWNTKVLSPLIFLALSMNGFGGICLTFSSLTLPNMFGSLRSTFMALMIGSYASSAI
+TYPGIKLIYNAGVSFMAIMFAWSGLACLIFLNCAFNWPREAFPSPEEVNYKEKTKLRELA
+LDHRVTGDRFYSYVSTVGQRLSQKAPSLEEGVLFISSQDVRGGAESSAEKSVPLRRSLCS
+PIFLWSLLTMGMTQLRIIFYMAAMNKMLEYVVTGGQEHETDDLKQRATETVEFYSSVFGA
+LQLLCLLTCPLIGYVMDWRIKDCVDTPTPRDGAATKSVRPPYREIQKLTNAINAFTLTNL
+LLVGFGITCLINNLHLQFMTFVLHTVVRGFYHSACGGLYAAWYPSKHFGTLTGLQSLLSA
+VFALLQQPLFMAMVGPLKGEPFWVNLGLLLFSLLGFLLPSYLFCCRARLQRADVACWGGP
+LKTHGGPKVAA
+>tr|E1BPU5|E1BPU5_BOVIN Acid sensing ion channel subunit 3 OS=Bos taurus OX=9913 GN=ASIC3 PE=3 SV=3
+MKPPAGPEEARLPASDIRAFASSCTMHGLGHVFGPGAPPARRGLWAAAVLLALATFLYQV
+AGRVHYYSEFHHETALEERESRRLTFPAVTLCNVNPLRRSRLTPNDLHWAGPALLGLEPA
+EHAAYLRALGRPPAPPGFMPSPTFDVARLYARAGHALEDMLLDCRYRGWPCGPENFTTIF
+TRMGQCYTFNSGADGAELLSTPKGGMGNGLEVMLDVQQEEYLPVWRDVEETPFEVGVRVQ
+IHTQEEPPLIDQLGFGAAPGYQTFVSCQKQQLSFLPPPWGDCSSVSLDPDFEPEAPGPLG
+TPSPGLSPPYSLMGCRLACQSRYVARKCGCRMMHMPGSAPVCSPQQYKDCAHPALGKGRA
+SPAPRPHPHPAPRLCTRRRPRGAGGPDPGACGRQMPCCGRTRAAAPTRAPVRATPRSSPW
+CGCPAAPPPATWPGNTTAARPTSRRTCWCWTSSLRPSTMRRWSRRRPTKCLSCSVTLGAR
+WDCSSGPACSPSWRSWTTSVRCSGTESWVVSSGTESAPRGPPAPICFRKGWAAIGPRFPT
+SAQAPGLPPPAPLSPRPSLPPTARATSLPA
+>tr|A0A3Q1M3R6|A0A3Q1M3R6_BOVIN Dehydrogenase/reductase SDR family member 12 OS=Bos taurus OX=9913 GN=DHRS12 PE=4 SV=1
+MLLYRSAAWFAKGLREYTKSGYESASKDFVPDDLEVQVPGRAFMVTGGNSGIGKATAMEI
+AKRGGTVHLVCRDHSRAEGAKAEIIRESGNQNIFLHIVDLSLPKSVWKFVENFKQEHTLN
+VLINNAGCMVNKRELTEDGLEKNFATNTLGVYVLTTALIPVLEKEHDPRVITVSSGGMLV
+QKLNTDDPQSERTAFDGTMVYAQNKERESSSPSEAQLSSAWTRVEVRGFSSPRGGATKAA
+TSLPEYLRPTRRVVRAGDPHSRTQQRLLVLRGSERKWTQGVPSLPLGSPCSLAGWIPPEP
+CWVSGSLCGVRTQLLQSCLTLCNPMDCSPPGSSVQGILQARILGWVVMPSSRGSSRPRNR
+TCVSHVSCTGRQVLYH
+>tr|F1N779|F1N779_BOVIN Cleft lip and palate transmembrane protein 1 homolog OS=Bos taurus OX=9913 GN=CLPTM1 PE=4 SV=2
+MAAAQEADGAGSAVVAAGGGSSGQVTSNGSVGRDPPAETQPQNPPPQPAPNAWQVIKGVL
+FRIFIIWAISSWFRRGPAPQDQAGPGGAPRVASRNLFPKDTLMNLHVYISEHEHFTDFNA
+TSALFWEQQDLVYGDWTSGENSDGCYEHFAELDIPQSVQQNGSIYIHVYFTKSGFHPDPR
+QKALYRRLATVHMSRMINKYKRRRFQKTKNLLTGETEADPEMIKRAEDYGPVEVISHWHP
+NITINIVDDHTPWVKGSVPPPLDQYVKFDAVSGDYYPIIYFNDYWNLQKDYYPINESLAS
+LPLRVSFCPLSLWRWQLYAAQSAKSPWNFLGDELYEQSDEEQDSVKVALLETNPYLLALT
+IIVSIVHSVFEFLAFKNDIQFWNSRQSLEGLSVRSVFFGVFQSFVVLLYILDNETNFVVQ
+VSVFIGVLIDLWKITKVMDVRLDREHKVAGLLPRPTFQDKSTYVESSTKVYDDMAFRYLS
+WILFPLLGCYAVYSLLYLEHMGWSGMAHGQLRAPSHPTGFITMTPQLFINYKLKSVAHLP
+WRMLTYKALNTFIDDLFAFVIKMPVMYRIGCLRD
+>tr|A0A3Q1N0T9|A0A3Q1N0T9_BOVIN Elastin OS=Bos taurus OX=9913 GN=ELN PE=4 SV=1
+MAGLTAAARRPGVLLLLLCILQPSQPGGVPGAVPGGVPGGVFFPGAGLGGLGVGALGPGV
+KPAKPGVGGLAGPGLGAGLGALPGAFPGALVPGGPAGAAAAYKAAAKAGAAGLGVGGIGG
+VGGLGVSTGAVVPQLGAGVGAGVKPGKVPGVGLPGVYPGGVLPGAGARFPGIGVLPGVPT
+GAGVKPKAPGGGGAFAGIPGVGPFGGQQPGVPLGYPIKAPKLPGGYGLPYSTGKLPYGFG
+PGGVAGAAGKAGYPTGTGVGPQAAAAAAKAAAKLGAGGAGVLPGVGVGGAGIPGAPGAIP
+GIGGIAGVGAPDAAAAAAAAAKAAKFGAAGGFPGVGVPGVGVPGVGVPGVGVPGVGVPGV
+GVPGVGVPGVGVPGVGVPGVGVPGVGVPGAVSPAAAAKAAAKAAKFGARGGVGVGGIPTF
+GVGPGGFPGIGDAAAAQAAAAAKAAKIGAGGVGALGGLVPGAPGAIPGVPGVGGVPGVGI
+PAAAAAKAAAKAAQFGLGPGVGVAPGVGVVPGVGVVPGVGVAPGIGLGPGGVIGAGVPAA
+AKSAAKAAAKAQFRAAAGLPAGVPGLGVGVGVPGLGVGVGVPGLGVGAGVPGLGAVPGTL
+AAAKAAKFGPGGVGALGGVGDLGGAGIPGGVAGVGPAAAAAAKAAAKAAQFGLGGVGGLG
+VGGLGAVPGAVGLGGVSPAAAAKAAKFGAAGLGGVLGAGQPFPIGGGAGGLGVGGKPPKP
+FGGALGALGFPGGACLGKSCGRKRK
+>tr|A0A3Q1MH79|A0A3Q1MH79_BOVIN Major histocompatibility complex class I-related gene protein OS=Bos taurus OX=9913 GN=MR1 PE=3 SV=1
+MMLLLPLIIVLMMKLSDARTHSLRYFRLGISEPGYGIPEFISAGYVDSHPITMYNSVSQL
+KEPRALWMEENLAPDHWERYTQLLRGWQQAFKVELKQLQHHYNHSGFHTYQRMIGCELLE
+DGSITGFLQYAYDGQDFLIFNKDTLSWMAMDNVADIIRRVWEANRHELQYQKNWLEEECI
+AWLKRFLEYGKDALQRTEPPKVRVNHKETFPGITTLYCRAYGFYPPEISINWMKNGEEIF
+QDTDYGGILPSGDGTYQTWVSVELDPQNGDIYSCHVEHGGVHMVLQGFQESETILLVVKA
+VGFIVLAIALAGVGILAWRKRPRAR
+>tr|A0A3Q1MCY2|A0A3Q1MCY2_BOVIN Carnitine palmitoyltransferase 1C OS=Bos taurus OX=9913 GN=CPT1C PE=4 SV=1
+MAEAHQAVGFRPSLTSDAGEVELGVPVLQEIYLSGLRSWKRHLSRFWNDFLTGVFPASPL
+SWLFLFSAIQLACFLQLDPSLGLMEKIKESLPDWGGQHHRLRGVLAAALFASCLWGALIF
+TLHVALRLLLSYHGWLLEPHGAMSSPTKTWLALVRIFSGRHPMLFSYQRSLPRQPVPSVQ
+DTVRKYLESVRPVLSDKDFDWTAGLAQEFLKLQASLLQWYLQLKSWWASNYVSDWWEEFV
+YLRSRNSLMVNSNYYMMDFLYVTPTPVQAARAGNAVHALLLYRHRLDRQEILPTLLMGMR
+PLCSAQYEKIFNTTRIPGVHRDHIRHLRDSRHVAVFHRGRFFRVGTHSQSRLLSPRALEQ
+QFQRILDDPSPACPHEEHLAALTAAPRDTWAQVRRSLKTQAQEALEAVEGAAFFVSLDSE
+PAGLTREDPAASLDAYAHALLAGQGHDRWFDKSFSLIVFSNGKLGLSVEHSWADCPISGH
+MWEFTLATECFQLGYSADGHCKGHPDPLLPQPQRLHWDLPDKIHLSISLALRAAKTLSGN
+IDCHVFPFSHFGKNFIKRCHLSSDSFIQTTLQLAHFRDRGQFCLTYESTMTRLFLEGRTE
+TVRSCTREARDFVRAMEDKEKTVGCLALFRLAVDKHQALLKAAMSGQGVDRHLFALYIVS
+QFLHLQSPFLDQVHSEQWQLATSQIPVQQIHLFDVRNYPDYVSSGGGFGPADDHGYGVSY
+MFMGDDVITFHISSKKSSTRTVRLTHPGPSSLGTSASLLSYSSGFQTPSLPPAHDPGAPV
+LKPRSPQAQGQGPQPSCFSASRNPSPSFSCT
+>tr|G5E6K1|G5E6K1_BOVIN Nicotinamide-nucleotide adenylyltransferase OS=Bos taurus OX=9913 PE=3 SV=2
+MKSRIPVVLLACGSFNPITNMHLRLFEVARDHLHQTGRYQVIGGIISPVNDNYRKKGLVA
+ARHRVAMARLALQTSDWIRVDSWESEQTQWMETIKVLRHHHSELLRSLPRMEDPDQGSAS
+SPASAAVPELKLLCGADFLKTFQIPNLWKDTHIQEIVEKFGLVCVTRAGHDPKGYVSDSP
+ILQRYQDKIHLAREPVQNEISATYVRKRYLLQRGAKVCITRMDIYHQG
+>tr|A0A3Q1MCV7|A0A3Q1MCV7_BOVIN Calponin-homology (CH) domain-containing protein OS=Bos taurus OX=9913 GN=SPECC1L PE=4 SV=1
+MKKASRSVGSAPKVSGTSRVQAADKARPESSSSASAGSRPVKPGAAAALSKTKSSDDLLA
+GMAGGVTVTNGVKGKKNACSSAAPAAPAPAVSATENRPRTGTGTSSSTKRSTCTGQGAND
+VASAKRSRSRTAAECDVRMSKSKSDNQISDKAALEARVKDLLTLAKTKDVEILHLRSELR
+DMRAQLGISEDPLTEGAETSEEKEASTVHQPTDVESTLLQLQEQNAAIREELNQLKNENR
+MLKDRLNALGFSLEQRLDHSEKLFGYQSLSPEITPGNQSDGGGTLTSSVEGSAPGSVEDL
+LSQDENTLMDHQHSNSLDNLDSECSEVYQPLTSSDDALDAPSSSESEGLPSVERSRKGSS
+GNASEVSVACLTERIHQMEENQHSTSEELQATLQELADLQQITQELNSENERLGEEKVIL
+MESLCQQSDKLEHFSRQIEYFRSLLDEHHISYVIDEDVKSGRYMELEQRYMDLAENSRFE
+REQLLGVQQHLSNTLKMAEQDNKEAQELIGALKERSHHMERAVESEQKGKAALAATLEEL
+RATLASDQIEMNRLKAQLENEKQKVAELYSIHNSGDKSDIQDLLESVRLDKEKAETLASS
+LQEDLAHTRNDANRLQDAIAKVEDEYRAFQGEAKKQIEDLNVAVEKLRSELEERDTERSD
+MKETIFELEDEVEQHRAVKLHDNLIISDLENTVKKLQDQKHDMEREIKTLHRRLREESAE
+WRQFQADLQTAVVIANDIKSEAQEEIGDLKRRLHEAQEKNEKLTKELEEIKSRKQEEERG
+RVYNYMNAVERDLAALRQGMGLSRRSSTSSEPTPTVKTLIKSFDSASQVPSPAAAAMPRT
+PLSPSPMKTPPAAAVSPMQRHSISGPISTSKPLTALSDKRPNYGEIPVQEHLLRTSSTSR
+PASLPRVPAMESAKTLSVSRRSSEEMKRDVSAPEGTSPAALMAMGATSPQLSLSSSPTAS
+VTPTARSRIREERKDPLSALAREYGGSKRNALLKWCQKKTEGYQNIDITNFSSSWNDGLA
+FCALLHTYLPAHIPYQELNSQDKRRNFTLAFQAAESVGIKSTLDINEMVRTERPDWQNVM
+LYVTAIYKYFET
+>tr|G8JKV1|G8JKV1_BOVIN Interleukin-18 OS=Bos taurus OX=9913 GN=IL18 PE=3 SV=2
+MAAEQVEDYCISFVEMKFINNTLYFVEDLESDHFGKLEPKLSIIRNLNDQVLFINQGNQP
+VFEDMPDSDCSDNAPQTIFIIYMYKDSLTRGLAVTISVQCKKMSTLSCENKIVSFKEMNP
+PDNIDNEESDIIFFQRSVPGHDDKIQFESSLYKGYFLACKKENDLFKLILKKQDDNRDKS
+VMFTVQNQN
+>tr|F6R8E7|F6R8E7_BOVIN Protein phosphatase 1 regulatory subunit 9A OS=Bos taurus OX=9913 GN=PPP1R9A PE=4 SV=2
+MLKTESSGERTTLRSASPHRNAYRTEFQALKSTFDKPKSDGEQKTKEGEGSQQSRGRKYG
+SNVNRIKNLFMQMGMEPSENAAVMARTRGRGGPSSPQRRMKPREFLEKTDGSVVKLESSV
+SERISRFDTMYDGPSYSKFTETRKMFERSVHESGQNNRYSPKKEKAGGSEPQDEWGGSKS
+NRGSTDSLDSLSSRTEAVSPTVSQLSAVFENTDSPSAIISEKAENNEYSVTGHYPLNLPS
+VTVTNLDTFGHLKDSNSWSPPSKHSDDAEDAQKRSTSPGLEVASKSTSLASVPSEEAQQS
+KAAEDPASNREAPGRTDRDTPEEPGAESEAVPEPEIPSPQNEPSEDAEARLVESEAAMQQ
+RRELAGGDFTSAEVSRFTCEKEVFEEPHHFGSSHVYMHSDYNVYRVRSRYNSDWGETGTE
+QEEQEDSDENNYCQPDVECSEIAGLPEEEDIPANRKIKFSSAPIKVFSTYSNEDYDRRND
+EVDPVAASAEYELEKRVEKLELFPVELEKDEDGLGISIIGMGVGADAGLEKLGIFVKTVT
+EGGAAQRDGRIQVNDQIVEVDGISLVGVTQNFAATVLRNTKGNVRFVIGREKPGQVSEVA
+QLISQTLEQERRQRELLEQHYAQYDADDDENTVAELQGVSGNCNNNNNYFLKTGEYATDE
+EEDDVGPVLPGGDMAIEVFELPENEDVFSPSDLDTSKLSHKFKELQIKHAVTEAEIQKLK
+TKLQAAENEKVRWELEKTQLQQNIEENKERMMKLESYWIEAQTLCHTVNEHLKETQSQYQ
+ALEKKYNKAKKLIKDFQQKELDFIKRQEAERKKIEDLEKAHLVEVQGLQVRIRDLETEVF
+RLLKQNGTQVNNNNNIFERRTSLGEVSKGDTLENLDIKQTSCQDGLSQDFNEAVPETERL
+DSKVLKTRAQLSLKNRRQRPSRTRLYDSVSSTDGEDSLERKPSNSFCNHMHITKSLLPKG
+LRASPESDSGASSLSPVAGSVPLPSDHIAEFQEGPLYSEGEPSSSVGEDTSLSSPSKSDH
+DMEELPCHNQATTTKILQEKDGAKGPKSLRASSSLVVRGGKIKRKFVNLGAPLRKNSNKG
+KKWKEKEKEANRLSPGSRIFRCRLETWKPTPSSTAQASTRSTGLPFSWFNESRKGSYSFR
+NLPSSASPPQPSPEALISDKKGSKNFTFNDDFSPSSTSSADLSGLGAEPKTPGLSQSLVL
+SSDEALGMTSSQDRAVVKKKLKEMKVSLEKARKAQEKMEKQREKLRRKEQEQMQRKSKKT
+EKMAAATEGASEQ
+>tr|F1MLG3|F1MLG3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=TRAPPC8 PE=4 SV=2
+MAQCVQSVQELIPDSFVPCVAALCSDEAERLTRLNHLSFAELLKPFSRLTSEVHMRDPNN
+QLHVIKNLKIAVSNITTQPPQPGAIRKLLNDVVSGSQPAEGLVANVITAGDYDLNLSATT
+PWFESYRETFLQSMPASDHEFLNHYLACMLVASSGEAEPVEQFSKLSQEQHRIQHNNDYS
+YPKWFIPNTLKYYVLLHDESYEDGPCTITSNKNSDSNLLSLDGLDNEVKDGLPNNFRAHP
+LQLDPSSDSSNSIDGPDHVKSTSLHETKKANAGIIHGACLTLTDHDRIRQFIQEFTFRGL
+LPHIEKTIRQLNDQLISRKGLSRSLFSATKKWFSGSKVPEKSVNELKNTSGLLYPPEAPE
+LQIRKMADLCFLVQHYDLAYSCYHTAKKDFLNDQAMLYAAGALEMAAVSAFLQPGAPRPY
+PAHYMDTAIQTYRDICKNMVLAERCVLLSAEILKSQGKYSEAAALLIRLTSEDSDLRSAL
+LLEQAAHCFINMKSPMVRKYAFHMILAGHRFSKAGQKKHALRCYCQAMQVYKGKGWSLAE
+DHINFTIGRQSYTLRQLDNAVSAFRHILINESKQSAAQQGAFLREYLYVYKNVSQLSPDG
+PLPQLPLPYINSSATRVFFGHDRRPADGEKQAATHVSLDQEYDSESSQQWRELEEQVVSV
+VNRGVLPSNFHPTQYCLNSYSDNSRFPLAVVEEPITVEVAFRNPLKVPLLLTDLSLLWKF
+QPKYVSGKDNEEVKELVKGEPEMIGTEVISEFLINSEESKVARLKLFPHHIGELHILGVV
+YNLGTIQGSVAVDGIGALPGCHTGKHSLSMSVRGRQDLEIQGPRLNNTKEEKTSIKYGPD
+RRLDPIITEEMPLLEVFFIHFPTGLLCGEIRKAYVEFVNVSKCPLTGLKVVSKRPEFFTF
+GGNTAVLTPLSPSASENCSAYKTVVTDSTSVCTALVSSASSVDFGIGIGSQPEVISVPLP
+DTVLLPGASVQLPMWLRGPDEEGVHEINFLFYYESVKKQPKIRHRILRHTAVICTSRSLN
+VRATVCRSNSLEDEEGRGGNMLVFVDVENTNTSEAGVKEFHIVQVSSSSRHWKLQKSVNL
+SENKDAKLASREKGKFCFKATQCKEEEVVTEVSEKYTFADIIFGNEQIVSSASPCADFFY
+RSLSSELKKPQAQSSVHTGKQSVEDVTKLIQKCNEVDLNIVVLWKAYVVEDSKQLILEGQ
+HHVVLRTIGKEAFSYPQKQEPPEMELLKFFRPENTTVSSRPSAEQLSNLIKTSLHYPESF
+NHPFRQKSLCLVPVTLLLSNCSKAHVDVIVDLRHKTTSPEALEIHGSFTWLGQTQYKLQL
+KSQEIHSLQLKACFVHTGVYNLGTPRVFAKLSDQVTVFETSQQSSMPALIIINNV
+>tr|A0A3Q1LY50|A0A3Q1LY50_BOVIN Sulfurtransferase OS=Bos taurus OX=9913 PE=4 SV=1
+MVLQRLLPWSTCWTIFGSAEAALWGLKSIKRSCLSFCTAICEGVTYKELKNLLKSKKIML
+IDVREPWEIYESGKIPGSVNIPLDDVGEALQMNPKDFKENYKEVKPSKSDSLVFSCLAGV
+RSKVAMVTAISLGFNNAQHYAGGWKEWATYELSEKKQGN
+>tr|A0A3Q1M9M2|A0A3Q1M9M2_BOVIN Potassium voltage-gated channel subfamily J member 16 OS=Bos taurus OX=9913 GN=KCNJ16 PE=3 SV=1
+MSHYCSSYPIVSVDPKCPGYAPEHLLAEKRRARRRLLHKDGSCNVYFKHIFGEWGSYVVD
+IFTTLVDTKWRHMFVIFSLSYILSWLTFGSVFWLIAFHHGDLQNDGPDATPCVDNVHSFT
+GAFLFSLETQTTIGYGYRCVTEECPVAVLTVILQSILSCVINTFIIGAALAKMATARKRA
+QTIRFSYFALVGMRDGKLCLMWRIGDFRPNHVVEGTVRAQLLRYAEDGEGRMTMAFKDLR
+LLNDQIILVTPVTVVHEIDRDSPLYALDRKAVARDNFEILVTFIYTGDSTGTSHQSRSSY
+IPREILWGHRFHDVLEVKRKYYKVNCLQFEGSVEVYAPFCSAKQLDWKDQQLHSVERAPP
+VRASAPPDAKVRRRSFSAVAIVSSSENPEETTPSAPEEWKNTDSFRAQD
+>tr|A0A452DHZ3|A0A452DHZ3_BOVIN Plasma serine protease inhibitor OS=Bos taurus OX=9913 GN=SERPINA5 PE=3 SV=1
+MATLRRSQKKKIQEVPPAVTTAPPGSRDFVFDLYRALAAAAPAQNIFFSPLSITVSLAML
+SLGAQSNTKAQILEGLGIGPGEGSEEELHSASQRLLRELQQPQDSLQLSLGNALFTKPRL
+PIQEAFLGAMRTLYLADTFPTNFEDPEGAKKKINDYVAKQTKGKIVDLIKSLDGTQVMVM
+VNYIFFKAKWETSFNLKSTHEQDFYVTPETVVRVPMMKQQDQFYYLLDRNLSCKVVGVPY
+QGNATAFFILPREGEMEQVENGLKEKTLKKWLRMPMKRRLELYLPKFSIEGSYQLEEVLP
+KLGIRDIFTSDADLTGISNHSSIRVSEMVHKAVVEVDESGTQAAAATGMVITFKSARLGS
+QRIVFNRPFLVLIVKNSKHILFLGKVTRP
+>tr|G3X696|G3X696_BOVIN STE20 like kinase OS=Bos taurus OX=9913 GN=SLK PE=1 SV=1
+MSFFNFRKIFKLGSDKKKKQYEHVKRDLNPEEFWEIIGELGDGAFGKVYKAQNKETNVLA
+AAKVIDTKSEEELEDYMVEIDILASCDHPNIVKLLDAFYYENNLWILIEFCAGGAVDAVM
+LELERPLTESQIQVVCKQTLEALNYLHDNKIIHRDLKAGNILFTLDGDIKLADFGVSAKN
+TRTIQRRDSFIGTPYWMAPEVVMCETSKDRPYDYKADVWSLGITLIEMAEIEPPHHELNP
+MRVLLKIAKSEPPTLAQPSKWSSNFKDFLKKCLEKNVDSRWTTSQLLQHPFVTVDSNKPI
+RELIAEAKAEVTEEVEDGKEEDDDEETENSLPIPASKRASSDLSIASSEEDKLSQNACIL
+ESVSEKTERNTSEDKFNSKILTEKPTSDEPEKVVVGTDEHVNDTHLEAMAELHNKAAVIE
+ENGREEKRPKLENLPDTEDHEAVDINSVSEGKENNIMITSETNTEQNLKPEEETDQEKQQ
+IFENKIINSEEIEDTTVQGMDLVSEETGEKEADIQAIENEVEFTKEDIQEKLGKDDKTEK
+DRISDTSKVIGTNEAEDVAQRAAESSAEDAQRSDGKEVGDVGQTLVIKATEGPEAGGTEK
+ASVEERVETNETDKKSVDGEGEEQLISSSENRLETREEVGTNDVEITESSGTEGTEVRTV
+VTDTDQKALENETPDVHKATTEMDTEQKEIPCEVPVKAEPQVTAAPQPSEPQLVPVPSIN
+INPEDAENKGEIGALSKPETMLPESENQKENDTDSGTGSTADNSSIDLNLSISSFLSKTK
+DSGSISLQETRRQKKTLKKTRKFVVDGVEVSVTTSKIVTDSDSKTEELRFLRRQELRELR
+FLQKEEQRAQQQLNGKLQQQREQIFRRFEQEMMSKKRQYDQEIENLEKQQKQTIERLEQE
+HTNRLRDEAKRIKGEQEKELSKFQNMLKNRKKEVINEVERAPKELRKELMKRRKEELAQS
+QHAQEQEFVQKQQQELDGSLKKIIQQQKAELANIERECLNNKQQLMRAREAAIWELEERH
+LQEKHQLLKQQLKDQYFMQRHQLLKRHEKETEQMQRYNQRLIEELKNRQTQERARLPKIQ
+RSEAKTRMAMFKKSLRINSTATPDQDRDKIKQFASQEEKRQKNERMAQHQKHENQMRDLQ
+LQCEANVRELHQLQNEKCHLLVEHETQKLKELDEEHSQELKEWREKLRPRKKTLEEEFAR
+KLQEQEVFFKMTGESECLNPSTQSRISKFYPIPSLHSTGS
+>tr|A0A452DJ62|A0A452DJ62_BOVIN Thrombospondin-4 OS=Bos taurus OX=9913 GN=THBS4 PE=4 SV=1
+MLAPRGATFLLLHLALQPWLGAGAQATPQVFDLLPSASQRLNPSVLQPILTDPTLNELYV
+ISTFKLQSKSSATIFGLYSSADHSKYFEFTVMGRLNKAILRYLKNDGRIHLVVFNNLQLA
+DGRRHRLLLRLTNLHRGAGSVELFLDCTRVDSIHNLPRAFSGLAQSPEAVELRTFQRKAH
+DSLEELKLVVRGSLIQVASLQDCFLQQSEPLATTNTGDFNRQFLGQMSQLNQLLGEVKDL
+LRQQVKETSFLRNTIAECQACGPLSFQSPTPNTLMPVVPAASPTPPVRRCDSNPCFRGVR
+CTDTRDGFQCGPCPEGYTGNGIVCSDVDECKYHPCYPGVRCVNLAPGFRCDACPVGFTGP
+MMQGVGISFAKTNKQVCTDIDECRNGACVLNSICINTLGSYRCGPCKPGYIGDQMRGCKM
+ERNCRDPELNPCSVNAQCIEERQGDVTCVCGVGWAGDGYICGKDVDIDSYPDEELPCSAR
+NCKKDNCKYVPNSGQEDADRDGIGDACDDDADGDGILNEQDNCVLTHNVDQRNSDKDIFG
+DACDNCRNVLNNDQKDTDGDGKGDACDDDMDGDGIKNILDNCQKVPNSDQEDRDGDGVGD
+ACDSCPEVSNPNQSDVDNDLVGDSCDTNQDSDGDGHQDSTDNCPTVINSAQLDTDKDGIG
+DECDDDDDNDGIPDLVPPGPDNCRLVPNPAQEDSNSDGVGDICEADFDQDQVIDRIDVCP
+ENAEVTLTDFRAYQTVVLDPEGDAQIDPNWVVLNQGMEIVQTMNSDPGLAVGYTAFNGVD
+FEGTFHVNTQTDDDYAGFIFGYQDSSSFYVVMWKQTEQTYWQATPFRAVAEPGIQLKAVK
+SKTGPGEHLRNSLWHTGDTSDQVRLLWKDSRNVGWKDKVSYRWFLQHRPQVGYIRVRFYE
+GSELVADSGVTIDTTMRGGRLGVFCFSQENIIWSNLKYRCNDTIPEDFQEFQTQNFDRLD
+K
+>tr|F6QJD6|F6QJD6_BOVIN Zinc finger protein 385A OS=Bos taurus OX=9913 GN=ZNF385A PE=4 SV=1
+MILGSLSRAGPLPLLRQPPIMQPPLDLKQILPFPLEPAPTLGLFSNYSAMDPVQKAVLSH
+TFGGPLLKTKRPIISCNVCQIRFNSQSQAEAHYKGNRHARRVKGIEAAKTRGREPSVREP
+GDPAPPGSTPPNGDGVAPRPVSMENGVGPAPGSPKKQPGSPSPPSVPETGQGATKGEGGT
+PAPASLPGGSKEEEEKAKRLLYCALCKVAVNSLSQLEAHNKGTKHKTILEARSGLGPIKA
+YPRLGPPTPGEPEAPAQDRTFHCEICNVKVNSEVQLKQHISSRRHRDGVAGKPNPLLSRH
+KKPRGAGELAGTLTFSKELPKSLAGGLLPSPLAVAAVMAAAAGSPLSLRPAPAAPLLQGP
+PITHPLLHPAPGPIRTAHGPILFSPY
+>tr|A0A3Q1M5H5|A0A3Q1M5H5_BOVIN Synapse differentiation-inducing gene protein 1 OS=Bos taurus OX=9913 GN=SYNDIG1 PE=4 SV=1
+MAGVVEQKSGLVRDKLGEASKRNGLINTRSLVAESRDGLVSVYPAPQYQSCRVVGSVASA
+GPDGARNEPLQQLLDPSTLQQSVESRYRPNLILYSESVLRPWGDGVAADCCETTFIEDRS
+PTKESLEYPDGKFIDLSPEDIKIHTLSYDVEEEEEFQELESDYSSDTESEDNFLVMPPRD
+HLGLSVFSMLCCFWPLGIAAFYLSHERTPCVDCPRAERLQCTATWSEAHSGCYILPSGLM
+LTSDYIAECVIFLLWDSGMVCRKL
+>tr|A0A3Q1ME83|A0A3Q1ME83_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=CFAP70 PE=4 SV=1
+MEQVSSTGRPVQITVTEGYDLKGFKGDTSVTFIRAEFNQVVLGDSAKITVSPEGTAKYNF
+TCSFEFNPEGGGIALDDLAHKPVFLTVTEVLPKEKKQKEEKTMVLGQAAVDLLPLLEGES
+SFETMVPLHPVPGSPIEPFRSGVKQCSLEVKVFVAEPLLTPAQISGGNLLKVTLEAAYSV
+PESFLPIGPLQNYMVGMQVPSAGEKDYPIFFKNGTLKLGGEREPVPRPKKWPIPNILAPG
+ANNIPDSFIVGGPYEEEEGELNHPEDREFRNQAECMKKRITWDLESRCYLDPPAVVSFQK
+RIADCRFWPVEITRVPLVAVPKGKSAKFEKTDDEGQFSFHGVAYVNMVPLLYPGVKKIRG
+AFHVYPYLDSTVYEKTKCLFSLFRDTGHNLIQNNKVGGINSPVSKPVVSKNLKEDKTVKE
+KDMEGKPRPGDVQAPSIKSQSSDTPLEMEPSLCNNPEGQQYVEAGTYIVLEIELDKALVP
+KRMPEELARRVKEMIPPRPPLTRRTGGAQKAVSDYHKQIKNISRAILNEYHNMFGKQVVK
+TGNDIDSETLEEQKCQLNYELNCSGKYFAFKEQLKHAVVKIVREKYLKTTSFESQEELQK
+FISELYVFLVDQMHVALNQTMPDDVQGTPPAIYTSSEQLGLFAFEAEVNENYEMATVYYE
+ERLVREPQNLEHWLDYGAFCLLTEDNIKAQECFRKALSLNQNHIQSLLLCGVLAVLMENY
+EQAEVFFEDATCLEPTNVVAWTLLGLYYEIQNNDIRMEMAFHEASKQLQARVLQAQVTKQ
+KSTGTIEYVEEGGKAESSLGPWGVTNVSSTAALKVEAPTGLGAPLSILDEFLDESSQLQS
+DSHEPILSVQCQDPATSQKASNIFIKETGSKKEALKSQESSTCLHSTPYSVSQTPTTIFM
+ETIRFLMKVNAVQYVHRVLAHELLCPHGGPSCEYYLVLAQTHLLKKDFAKAEEYLQQAAQ
+MDYLNPNVWGVKGHLYFLSGNHVEAKACYERTISFVVDASEMHFIFLRLGQIYLEEKEYE
+KAKKTYLQACKRSPSCLTWLGLGIACYRLEELTEAEDALSEANALNNYNAEVWAYLALVC
+LKAGRQLEAEQAYKYTMKLKLKDENLLAELHMVQETVGFGNPSF
+>tr|F1MW27|F1MW27_BOVIN WD repeat domain 45B OS=Bos taurus OX=9913 GN=WDR45B PE=4 SV=1
+MNLLPCNPHGNGLLYAGFNQDHGCFACGMENGFRVYNTDPLKEKEKQEFLEGGVGHIEML
+FRCNYLALVGGGKKPKYPPNKVMIWDDLKKKTVIEIEFSTEVKAAKLRRDRIVVVLDSMI
+KVFTFTHNPHQLHVFETCYNPKGLCVLCPNSNNSLLAFPGMHTGHVQLVDLASTEKPPVD
+IPAHEGVLSCIALNLQGTRIATASEKGTLIRIFDTSSGHLIQELRRGSQAANIYCINFNQ
+DASLICVSSDHGTVHIFAAEDPKRNKQSSLASASFLPKYFSSKWSFSKFQVPSGSPCICA
+FGTEPNAVIAICADGSYYKFLFNPKGECVRDVYAQFLEMTDDKL
+>tr|G3N166|G3N166_BOVIN Pre-mRNA processing factor 38B OS=Bos taurus OX=9913 GN=PRPF38B PE=4 SV=1
+MANNSPALTGNSQPQHQAAAAAAQQQQQCGSGGTTKPAVSGKQGNVLPLWGNEKTMNLNP
+MILTNILSSPYFKVQLYELKTYHEVVDEIYFKVTHVEPWEKGSRKTAGQTGMCGGVRGVG
+TGGIVSTAFCLLYKLFTLKLTRKQVMGLITHTDSPYIRALGFMYIRYTQPPTDLWDWFES
+FLDDEEDLDVKAGGGCVMTIGEMLRSFLTKLEWFSTLFPRIPVPVQKNIDQQIKTRPRKI
+KKDGKEGTEEIDRHAERRRSRSPRRSLSPRRSPRRSRSRSHHREGHGSSSFDRELEREKE
+RQRLEREAKEREKERRRSRSIDRGLERRHSRSRERHRSRSRSRDRKGDRRDRDREREKEN
+ERGRRRDRDYDKERGNDREKERSRERSKERRSRGEVEEKKHKEDKDDRRHRDDKKDSKKE
+RKHSRSRSRERKHRSRSRSRNAGKRSRSRSKEKSSKHKNDSKEKSNKRSRSGSQGRTDSV
+EKRKREHSPSKEKSRKRSGSKERSHKRDHSDSKDQSDKRDRRRSQSIETESQEKQHKDKE
+ETV
+>tr|F1N5C1|F1N5C1_BOVIN Lactamase beta like 1 OS=Bos taurus OX=9913 GN=LACTBL1 PE=4 SV=3
+MCPQHPEPVPLAHPLPVLKEALEKVGRILRQALSAPGLAAMSAVVIHNDTVLWTGNFGKK
+NGSDPASGPPNEYTMYRISSVSKIFPVLMLYRLWEEGIVASLDDPLERYASAFTINNPLG
+MASASQQRSLVDGMASQLSGLPRRLRSTSLLWRGSTQEALSLLKDDVLVADPGTRCHYST
+LAFSLLAHVLAAHTVQGDYQRWILEKVLEPLGMADTGFDLTPPVRARLAVGFYGSGRLAP
+LYDLGWYRPSGQMYSTTADLAKLAMVLLGGGPQRLLRPDASKTLLAPLLACPGAYFANET
+GTPWEFHAQRGYRVVRKDGELDGYAATFSLVPPLRLGLTLLLAGPRPPGPDLVAQAYDVL
+LPAMERALRGAELSPAPPPSTRPFTGYFTFSNLTFYDVRPGPAGELRLRQFGPRVEALVP
+AAFRTLTLRHVRGRVFQLHVAREFPCALPLGDSWLSLEAQHGQLVNFYPLDRHGLSPGFD
+VPGLNTYRVLRLSHKPVFKTQ
+>tr|G3MZU6|G3MZU6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=IGFN1 PE=4 SV=2
+MELVGRGRSAEGLGETGRHLQGLKLPFGAELKFFSKVEGSLEQSVSSPTAPPPHEDHDPL
+TLWSPTKRISHPSPLTLSRIWLTPEEAKVGRASWPTWAPGSQLGLSCSPVSAGVWRREAG
+GTDTGGLWKSLKKSSTPGVSIWQLVEEVPKGCSTPDFVQRPIALALQEGKTATFRAVVCG
+EPRPRVRWHCSKGDLSSSSKYQVSSSTGHREHVLQINKLTGEDTDVYRCTAVNAYGEATC
+SARLTVIEGGPARPRPLPPAPRDFRKELVDFRKMLKKRDPPPAPKKKVDMDQVWQLLMTA
+DRKDYEQICLKYGIVDFRWMLRRLQRMSKEREEKLAPYLNTIANLRHVRVNKEGIATFGL
+EMDLKSPESKIYLYKDGEMVPYGFDNETKHCLRHLGKHYQFQIQDLQPEDAGIYQVRVED
+AHIFTTELEATIPPRVVVPLADVRCEERGDAIFECTLSNPCPSAAWRFQHQPLHLSDKYE
+VFVSPDGRTHRLLVRGVRFSDMGLYSLGTKLHASSAWLVVEAGKDKSLLTASADHQRQAQ
+EGQSPEDFRSACGEGDRSREQGPTGSFLQRAGLASGATASPDRGGLGGPGHSLMGGKEAA
+HAAWGPGQAREGFLEEEGGRVPGLGVDQLHGGRGGDRSLPGGLRGGLESGLGLTGGQQRG
+RSRDGAEPMGAWEAGSWQLEAGGLGERAPWGAQAGPAGSGLEGQGSEILQGESLGELRAG
+HGLSGGVGRGMAGPGWSHRAGPGGAGGDPGAGGPGALEPVRGRGSSSVAGWGPEKGSDAD
+HRDARGSWGSGASAGWHLQEPPVSAGESFFQGRGAPEAKTGAEADDGGPGGPGGWGEGPR
+GLRTREGPESVGALGEVGRSSSGAQDPRAWTAGRRAPGEAVVPGPWDDTGSDPSKTGAHG
+RPGVLVCGGERGGEGGPQAAGLTGSDARSHRLSESSGPGGTLEDTDGLRGSGAMGSEPGF
+RDGSRSSREKAPRGGVGHVGGLGGPEGMESGLGEGSGYPGGAGLAYRAGFSDGLGGPGAV
+GFGRARGPGGAEPWDRGGGSWGSEVPGGTWSGGRDGRGPAGRASEGPESLKSGSGGPDRG
+PVGGSSVPAEAGGASRGWGDSGPGGKYLPGGRGRPGTAGSVGRGGLGASAAAETVGEGRA
+GAEPGVWRGAGPWGQTGDDAGCRALGGLGASGEGAGEDGSGVLGSLQAAGQVHDRGGARG
+LGVGVSGAGAGPGGAPGGPEASESHAGVALEGRRADASGEPLGCGDGSRALGPQSVGGTS
+AYRGGSRGLGPGGVQLVGEAAFRDGGSGGVDSGAKLGYRDGLGGSGGVDSGGKAGYGDGL
+GVSGGMGAEAKAGYRDGLRGSGGVDSGAKVGYGDGFGGSGGIDSKAKAGYRDGLGGSGEV
+GAGARGMESGSKGGYRGDSRGPAAMGPEDEVGFRDGSGGFGGVGSLAGVGEDGGLRGQET
+MGHGSGYWAASEGPYSGTGPKDGPEAGRGTGLVGGAAHGDGPRGPGMLGAPEGLSTLSEG
+RGSQSGLRGSGRPGAPEAWGAVSSEGKSGITGQRDGSGLPGYPGHRGAPSGEGGASGVPD
+DRGALQGETWAESAAQESTRDQDSWKSGPGVAGRGLASVQGPLAPQGARAAQLGGKRVGA
+GRGVPVGAGQGLDGSQLPAERGRSTSGPTGGRGERHAWGPGREDQGFGQDSSGAWDQPPG
+PGVSSSLQEEDAIRRGTQERLDGSWGWEGAPDGEEAAGGRSPGFLDGRASGLGRSRASGP
+GDSGVPGKGTSAGGEDGFSQKPGGSGRQGARDGLDGPYRRKEAGDGSWGQGPGGPPGAGH
+RRGRGSPDAEDDRVHGPEALAEYEAVDEAGVAERRLGPYRSRTQTWSRVDPGAAKRGGED
+EARDLGQPPGGEDTGYPGESPSRLGSGRDGRDGGSDAYGRRKDATLSPRSRRKPGTGGFS
+EDARGPAGHFSQGLADTEVERGEAAVLSCTLTRDLGPGAWFKDGVKLSAQDGVAFEQDGL
+AHRLVIAQADGTRAGRYSFAAGGQESEATLTVHDPPVIAPDVTAQLREPLVVKAGKPVTM
+KVPFQSCLPVQATWRKDGEEVAGGSGRGAQVAVGDGFTRLCLPSASRQDRGQYSVTLRSQ
+GGSTRAELTLQVLDKPQPPQGPLEVQDSHGAGVRLHWRPPKDDGGRALQHYEVERRQAGR
+SAWLKVGESRSDSTTFTDAHTEQGKKYAYRVRAVTAEGPGEALESEEVSAWAPAPPSILS
+ASSQGVTLSWTAPRGPGSAHILGYLIEKRKKGSNTWVAVNQKPVPEKKWTVGDLRQGWQY
+EFRVTAVAPSGRGEPGPPSEAVFARDPMRPPGPVRDLQVTDTSHSSITLSWARPDTQDGD
+EPQGYVVELRSSASLQWSPCHAGTVQGTTYTAKGLRPRESYLLRVTAVNDGGPGQPTALG
+SAVEAVPVSIRPKFRMDASMKDLLTVRAGDTVRVPVYFEAAPTPEVTWLKDGLPLPKRSV
+ASVKDGLTQLLVPSASLDDSGVYTVVLRSLRGEEATYSFRLRVAARPRAPGPIVLREGTL
+GSVTVEWEPSPDETEAGAAPLHYAVLMRTSAHAPWCPAAERLHNCRFTLVGVLPGHEYHF
+RVVAKNELGASEPSDTRQPWVVPRQPDRVGGGAPYREPDLSQKPRFLVGLRPHLLPLGSE
+CCMTCAVQGQPRPHVSWFKNDQSLANHPAAYTTDVMGVCSLVIPSVSATDGGQYKAVAEN
+TLGQAVSTATLIVVEYDRKRNRMLLFSL
+>tr|A0A3Q1LL34|A0A3Q1LL34_BOVIN Amyloid beta precursor protein binding family B member 1 interacting protein OS=Bos taurus OX=9913 GN=APBB1IP PE=4 SV=1
+MGESNEDIDQMFSNLLGEMDLLTQSLGVDILPPPDPKPPRAEFNYSVGFKDLNESLNALE
+DQDLDALMADLVADISEAEQRTIQAQKESPQKQPPSASLCVPSFSDTASLGYGANVAAPS
+QYDDDLPPPPADPMLDLPLPPPPPGPISQEEEEAQAKADKIKLALEKLKEAKVKKLVVKI
+LMNDNSSKSLMVDERQLARDVLDNLFEKTHCDCNVDWCLYEIYPELQIERFFEDHENVVE
+ILSDWTRDTENKLLFLEKEEKYAVFKNPQNFYLDNKGKKENKETNEKMNAKNKESLLEES
+FCGTSVIVPELEGALYLKEDGKKSWKRRYFLLRASGIYYVPKGKTKTSRDLACFIQFENV
+NIYYGIQCKMKYKAPTDYCFVLKHPQIQKESQYIKYLCCDDARALSQWVTGIRIAKYGKT
+LYDNYQRAMARAGLASRWTNLGTVNAAPPAPPSTGVKTGTTQANGQLPQATQSMNTALGE
+DWRQLETTKDKKPGPGLGSHDPGAPRAQPLPKSSLPPPPPPVRRSSDVGGGSPGTPPKAK
+GAAARFPAPPDDFLPPPPPPPPPLQDDELPPPPPDFNDAPPDFVPPPPPSFAEDLPPPPP
+KRQENPGPPGGGGGEQDFMSDLMKALQKKRGNVS
+>tr|F1N0K7|F1N0K7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=2
+MPSIDDKVKDIMRLLCSVSEKRKIKVAFKYPLLGGLVSGTVATVGGLVGGLPGIVFGGAV
+GGLLGSWVMNGKFKPVPQIIMELPADKQKRIKDRLSFLFLLTNHIL
+>tr|A0A3Q1LJL6|A0A3Q1LJL6_BOVIN Plectin OS=Bos taurus OX=9913 GN=PLEC PE=1 SV=1
+MEPSGSLFPSLVVVGHVVTLAAVWHWRRGRPRVQDEQDERDRVQKKTFTKWVNKHLIKAQ
+RHISDLYEDLRDGHNLISLLEVLSGDSLPREKGRMRFHKLQNVQIALDYLRHRQVKLVNI
+RNDDIADGNPKLTLGLIWTIILHFQISDIQVSGQSEDMTAKEKLLLWSQRMVEGYQGLRC
+DNFTSSWRDGRLFNAIIHRHKPMLIDMNKVYRQTNLENLDQAFSVAERDLGVTRLLDPED
+VDVPQPDEKSIITYVSSLYDAMPRVPDVQDGVKANELQLRWQEYRELVLLLLQWIRAHTA
+AFEERRFPSSFEEIEILWCQFLKFKETELPAKEADKNRSKGIYQSLEGAVQAGQLKVPPG
+YHPLDVEKEWGKLHVAILEREKQLRSEFERLERLQRIVSKLQMEAGLCEEQLNQADALLQ
+SDVRLLAAGKAPQRAGEVERDLDKADGMIRLLFNDVQALKDGRHPQGEQMYRRVYRLHER
+LVAIRTEYNLRLRGTPRHPELEDSTLRYLQDLLAWVEENQRRLDGAEWGVDLPSVEAQLG
+SHRGLHQSVEEFRAKIERARTDEGQLSPATRGAYRDCLGRLDLQYAKLLNSSKARLRSLE
+SLHGFVAAATKELMWLSEKEEEEVGFDWSERNSNMAAKKEAYSALMRELELKEKKIKEIQ
+STGDRLLREDHPARPTVESFQAALQTQWSWMLQLCCCIEAHLKENTAYFQFFSDVREAEE
+QLRKLQETLHRKYTCDRSITVTRLEDLLQDAQDEKDQLNEYRGHLSGLAKRAKAIVQLTP
+RNPTQPTRGRVPLLAVCDYKQVEATVHKGDECQMLGPAQPFHWKVLSGSGSEAAVPSVCF
+LVPPPNQEALEAVARLEAQHQALVTLWHQLHTDMKSLLAWQSLSRDVQLIRSWSLVTFRT
+LKPEEQRQALRSLELHYQAFLRDSQDAGGFGPEDRLQAEREYGSCSRHYQQLLQSLEQGK
+CGQCGGWWDARGGPPAVGRRANWMCSPGEQEESRCQRCISELKDIRLQLEACETRTVHRL
+RLPLDKEPARECAQRIAEQQKAQAEVEGLGKGVARLSAEAEKVLALPEPSPAAPTLRSEL
+ELTLGKLEQVRSLSAIYLEKLKTISLVIRSTQGAEEALKAHEEQLKEAQAVPAALPELEA
+TKAAMKKLRAQAEAQQPVFDALRDELRGAQEVGERLQQRHGERDVEVERWRERVTQLLER
+WQAVLAQTDVRQRELEQLGRQLRYYRESADPLGAWLQDARRRQEQIQAVPLADSQAVREQ
+LRQEKALLEEIERHAEKVEECQRFAKQYINAIKDYELQLVTYKAQLEPVASPAKKPKVQS
+GSESVIQEYVDLRTRYSELSTLTSQYIRFISETLRRMEEEERLAEQQRAEERERLAEVEA
+ALEKQRQLAEAHAQAKAQAEREAQELQRRMQEEVARREEVVVDAQQQKRSIQEELQQLRQ
+SSEAEIQAKARQVEAAERSRLRIEEEIRVVRLQLETTERQRGGAEGELQALRARAEEAEA
+QKRQAQEEAERLRRQVQDETQRKRQAEAELGLRVKAEAEAAREKQRALQALEELRLQAEE
+AERRLRQAEAERARQVQVALETAQRSAQAELQSKHASFAEKTAQLERTLEEEHVTVVQLR
+EEATRREQQQAEAERAREEAERELERWQLKANEALRLRLQAEEVAQQKSLAQAEAEKQKE
+AAEREARRRGKAEEQAVRQRELAEQELERQRQLAEGTAQQRLAAEQELIRLRAETEQGEQ
+QRQLLEEELARLQSEAAAATQKRQELEAELAKVRAEMEVLLASKARAEEESRSSSEKSKQ
+RLEAEAGRFRELAEEAARLRALAEEAKRQRQLAEEDAARQRAEAERVLSEKLAAISEATR
+LKTEAEIALKEKEAENERLRRLAEDEAFQRRRLEEQAAQHKADIEERLAQLRKASESELE
+RQKGLVEDTLRQRRQVEEEILALKASFEKAAAGKAELELELGRIRGNAEDTLRSKEQAEQ
+EAARQRQLAAEEERRRREAEERVQKSLAAEEEAARQRKAALEEVERLKAKVEEARRLRER
+AEQESARQLQLAQEAAQKRLQAEEKAHAFAVQQKEQELQQTLQQEQSMLERLRGEAEAAR
+RAAEEAEEARERAEGEAAQSRQRVEEAERLKQAAEEQAQAQAQAQAAAEKLRKEAEQEAA
+RRAQAEQAALRQKQAADAEMEKHKKFAEQTLRQKAQVEQELTALRLKLEETDHQKSILDQ
+ELQRLKAEVTEAARQRSQVEEELFSVRVQMEELGKLKARIEAENRALILRDKDNTQRLLQ
+EEAEKMKQVAEEAARLSVAAQEAARLRQLAEEDLAQQRALAEKMLKEKMQAVQEATRLKA
+EAELLQQQKELAQEQARRLQEDKEQMAQQLAQETQGFQRTLETERQRQLEMSAEAERLRL
+RVAEMSRAQARAEEDAQRFRKQAEEISAKLHRTELATQEKVTLVQTLETQRQQSDRDADR
+LREAIAELEREKDKLKKEAELLQLKSEEMQTVQQEQLLQETQALQQSFLSEKDSLLQRER
+FIEEEKAKLERLFQDEVAKAQKLREEQQRQQQQMQQEKQQLLASMEEARRRQREAEEGVR
+RKQEELQLLEQQRQQQEQLLAEENRRLRERLEHLEEEHRAALAHSEEITAAQAAATRALP
+NGQDATDGPAAEPEHAFEGLRQKVPAQQLQEAGILSTEEVQRLVQGHTTVAELTQREDVR
+RYLQGHSSIAGLLLKPANEKLTIYAALRRQLLSPGTAVILLEAQAASGFLLDPVRNRRLT
+VNEAVKEGVVGPELHHKLLSAERAVTGYKDPYTGEQISLFQAMKKDLIVREHGIRLLEAQ
+IATGGVIDPVHSHRVPVDVAYQRGYFDEEMNRVLQDPSDDTKGFFDPNTHENLTYLQLLE
+RCVEDPETGLRLLPLTDQAAKGGELVYTDSEARDVFEKATVSAPFGKFQGKTVTIWELIN
+SEYFTAEQRRDLLRQFRTGKVTVEKIIKIVITVIEEHEQKGQLCFQGLRALVPAAELLES
+GVIDWDLFRQLQLGERSVQEVAEVEAVRRALRGSGVIAGVWLEEARQKLSIYEALKKELL
+QPEAAVALLEAQAGTGHVIDPATSARLTVDEAVRAGLVGPELHEKLLSAEKAVTGYKDPY
+SGQSVSLFQALKKGLIPREQGLRLLDAQLSTGGIVDPSKSHRLPLDVACARGYLDKETST
+ALTAPRDDAKTYYNPRTWEPATYSQLQQQCRPDPLTGLSLLPLSEEAARARQQELYSEVQ
+AREAFQKATVEVPVGSFQGRAVTIWELINSEYFTAEQRQELLRQFRTGKVTVEKIIKIII
+TIVEEVETTRRERLSFSGLRAPVPASELLASGILSSSQFEQLKDGKTSVKDLSELDSVRT
+LLQGSGCLAGIYLEESKEKVTIYEAMRRGLLRPSTAILLLEAQAATGFLVDPVRNQRLYV
+HEAVKAGVVGPELHEKLLSAEKAVTGYKDPYSGSTISLFQAMKKGLVVREHGIRLLEAQI
+ATGGIIDPVHSHRVPVDVAYQRGYFDKEMNRVLEDPSDDTKGFFDPNTRENLTYRQLLER
+CVEDPETGLRLLPLKGPEKAEVVETTRVYTEEETRRAFEETQIDIPGGGSHGGSTMSLWE
+VMQSDLIPEEQRAQLMADFQAGRVTKERMIIIIIEIIEKTEIVRQQNLASYDYVRRRLTA
+EDLHEARVISRESYSLLREGTRSLREVLEAESAWRYLYGTGCVAGVYLPGSRQTLTIYQA
+LKKGLLSAEVARLLLEAQAATGFLLDPVKGDRLTVDEAVRKGLVGPELHDRLLSAERAVT
+GYRDPYTEQTISLFQAMKKDLIPAEEALRLLDAQLATGGIVDPRLGFHLPLEVAYQRGYL
+NKDTHDQLSEPSEVRSYIDPSTDERLSYTQLLRRCRRDEASGLFLLPLSDARKLTFRGLR
+KQITVEELVRSHVMDEATAQRLQEGLTSIEEVSKNLQKFLEGTSSIAGVLVDATKERLSV
+YQAMKKGIIRPGTAFELLEAQAATGYVIDPIKGLKLTVEEAVRMGIVGPEFKDKLLSAER
+AVTGYKDPYSGKLISLFQAMKKGLILKDHGIRLLEAQIATGGIIDPEESHRLPVDVAYQR
+GLFDEEMNEILTDPSDDTKGFFDPNTEENLTYLQLMERCVTDPQTGLRLLPLKEKKRERK
+TSSKSSVRKRRVVIVDPETGKEMSVYEAYRKGLIDHQTYLELSEQECEWEEITISSSDGV
+VKSMIIDRRSGRQYDIDEAIAKSLIDRSALDQYRAGTLSITEFADMLSGNAGGFRSRSSS
+VGSSSSYPISPAVSRTQLASWSDPTEETGPVAGILDTETLEKVSITEAMHRNLVDNITGQ
+RLLEAQACTGGIIDPNTGERFPVTEAVNKGLVDKIMVDRINLAQKAFCGFEDPRTKTKMS
+AAQALKKGWLYYEAGQRFLEVQYLTGGLIEPDTPGRVPLDEALQRGTVDARTAQKLRDVS
+AYSKYLTCPKTKLKISYKDALDRSMVEEGTGLRLLEAATQSSKGYYSPYSVSGSGSTTGS
+RSGSRTGSRAGSRRGSFDATGSGFSMTFSSSSYSSSGYGRRYASGPTSSLGGPESTAA
+>tr|A0A3Q1MVU1|A0A3Q1MVU1_BOVIN Zinc transporter ZIP11 OS=Bos taurus OX=9913 GN=SLC39A11 PE=4 SV=1
+MTVSYGFKQLFAEGETDEEKARPGMSRVMLAASYWSLLAPAVEMAMSSGGFGSLAFLPVA
+IGFTLGAAFVYVADLLMPHWGAAEDPQTALALNLDPLLTKKSDAEGARMLFPESELSIRI
+DKSENGEAYQRKRAVATDLAEGPAAPGPPRGSAAQPGGSSWRRIALLILAITIHNIPEGL
+AVGVGFGAVGKTASATFESARNLALGIGIQNFPEGLAVSLPLRGAGFSTWRAFWYGQLSG
+MVEPLAGVFGAFAVVLAEPILPYALAFAAGAMVYVIMDDIIPEAQISGNGKLASWASILG
+FVVMMSLDVGLG
+>tr|G3X793|G3X793_BOVIN Mitogen-activated protein kinase OS=Bos taurus OX=9913 GN=MAPK11 PE=4 SV=2
+MSGPRAGFYRQELNKTLWEVPQRLQGLRPVGSGAYGSVCSAYDTRLRQRVAVKKLSRPFQ
+SLIHARRTYRELRLLKHLKHENVIGLLDVFTPATALEDFSEVYLVTTLMGADLNNIVKCQ
+ALSDEHVQFLVYQLLRGLKYIHSAGIIHRDLKPSNVAVNEDCELRILDFGLARQADEEMT
+GYVATRWYRAPEIMLNWMHYNQTVDIWSVGCIMAELLQGKALFPGSDYIDQLKRIMEVVG
+TPSPEVLAKISSEHARTYIQSLPPMPQKDLRSIFRGANPLAVDLLGRMLVLDSDQRVSAA
+EALAHAYFSQYHDPDDEPEAEPYDESVEAKERTVEEWKELTYQEVLSFKPPEPPQPLGSL
+DVEQ
+>tr|A0A3Q1N3G0|A0A3Q1N3G0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC524391 PE=4 SV=1
+MDTLWLNQFAILLWKNFILKKRKMGSLIVEISMTVLFCSLILLLRKHSKREFTQATLFQS
+LPLNKLPAFLTNKKKDYELVYVPSGSDAAKNITEKVKDDLNANLTVRGFPSEEDFEKYIK
+YENQSVLVLAAIIFDHEFKNSNDRLPLKVKYRLRFHSIKNTPWFTELLFPVNPSRGPRNP
+SSTEGGSPDYYKGGFLLVQHALDKAIIVYHNGRAAEMLFANVNIFVSRFPYPTYYKDNFF
+LSFLSLFPLAVLLIFSLTELTLIRTIVMEKETRLKEYQFMIGLSNGMLWASYFVTFLLMF
+LIIVCILCVVLFVKASIYLNASRSSLAVSIGGFLFFLTFFPFVVVITMYGMLSRTGKLAS
+CLLSNIAVALGITTISKLEIKEYGAKWNNFLSRVSPDDELTLAHIMGMLLFDAFLYGLLA
+WYIDAVFPGKYGVPKPWYFFMQVSYWLGKATSIRKKEDMPVTNTVQNAYFEEEPVGLIAG
+IRIQHLYKEFTLQNMTVLAVQDLSLNLYEGQITVLLGHNGAGKTTTLSILTGLYRPTSGK
+VYISGYDISKDMVQVRKSLGLCPQDDILFHHLTVSEHLYFYCVIKGVPPEIQSKEINKML
+ISFGLIEKHDALAKSLSGGMKRKLSIIIALIGGSKVVILDEPTSGMDPVSRRFTWDVLQK
+HKENRTVLLTTHHMDEADVLGDRIAIMAKGTLQCCGSTIFLKKVYGVGYHLIIVKDHHCD
+VKEISELIKYHIPEARLENNVAAELSFVLPKEYTDRFKALFTEMEDRQEELGIASFGISI
+TTMEEVFIRVSQSNNQILQTLKNEDESEDTNQNMNVPRNFERSYASSNLNERSNITLNTG
+WALYSQQFFAMFMKRAMFSWRNWNLILLQILALLGIFYFLMSDVELSIGEEDLDREMDLE
+QYGQTIVPFSISGNSEFTTNFVKNLEILLKAKKQKLHEVKGDLKTYLVDSQKCIYSCIIA
+FSIEVNGNENTVNFWFNDEAYHSSPLSLAVLDNVIFMSLSGPDASITVVNKPQPLLIINI
+IMMTGTQIALNLYFGVSILVSGFCLLTVNERVTKAKHIQFLSGVYALNFWLSALLWDFLI
+FFISCCLLLVVFTFSDLKILVTGYRLLDTLFIFMVFGWAVIPFMYLISFLFSSHTSAYAK
+LVIFNYCAGIFGAVADVTISSIQGKDLKQFPLFHRNSYGGIGLKNGTLQSCLLWGFWGIG
+RYLLAMAVTGLLFLLLIFLLETTLWKVKTFVFRYILFGIFKKSNKVIFFTILFSVFFKVY
+FSKPVVLAVRNISVAIQKQECFGLLGLNGAGKTTTFEILTGEEVASSGDVFVERLSITKN
+ILKVRSKIGYCPQFDALLDYMTAREIMIMYARLWGIPETKINNYVKKSMEALNLESYADK
+YIYTYSGGNKRRLSTAIALMGKPSVIFLDEPSTGMDPVARRLLWNAVTRTRESGKAIIIT
+SHSMEECDALCTKLAIMVKGKFVCLGSPQHLKNKFGNVYILKVKINIDENKDKLEHFKTF
+VETAFPGKLDENRGIINYYVPSKNNSWGKVNMFGILEKAKEEFNLEDYSISQITLEQVFL
+TFAGSQNPEVEKKES
+>tr|A0A3Q1M9L6|A0A3Q1M9L6_BOVIN Fibrillin 3 OS=Bos taurus OX=9913 GN=FBN3 PE=4 SV=1
+SRFRDPAPGPPAPRRAGPPTPSPARPLVSPASTRAGWQVRDARGQGQAEEPESSGPNVCG
+SRFHAYCCPGWRTLPGGNQCVVPICRHACGQGFCSRPNLCACADGKLAPSCGVSRGEQEV
+IPHPRGSGCSVSCMNGGSCRGESCLCQRGYTGTVCGQHYRTGPCFGRVGPEGCQHQLTGL
+ICTKALCCATVGRAWGFPCELCPAQPHPCRRGFIPNINTGACQDVDECQAVPGLCQGGSC
+VNTVGSFECRCPTGHRLSENSAKCEDVDECLSVPGLCSGGDCTNTVGSYVCTCPHGYASS
+LDGTRCLDHRAGTCFSVLIAGRCTGDLSGHYTHRQCCCDKGRCWAAGPAPELCPPRGSDE
+FQRLCAPGLPLLPSYPGPFPSLPGFGSSGGVGPGPGLARHSPHGSSGRRVPSLGLGDGSI
+GTATLNQTIDICRHFTNLCLNGRCLPTPSSYRCECNVGYTQDVRGECIDVDECASSPCHH
+GDCINTPGSYRCRCHEGFQATLTKQACLDIDECIASSGLCHHGRCVNTEGSFQCVCNAGF
+ELSTDSKNCVDHNECATTTMCANGVCLNEDGSFTCLCKPGFLLAPGGRHCVDIDECQTPG
+ICMNGHCTNTEGSFHCRCLGGLAVAADGRVCVDTHVRSTCYGTLDQGSCARPFPGAVTKS
+ECCCASPAHGFGEPCQLCPPKNSAEFQALCSSGLGITTDGRDINECALDPDVCANGMCEN
+LRGSYRCICNLGYEAVADGRECTDVDECALNSLLCDNGRCRNSPGSYSCSCPQGFSFRPD
+TETCEDIDECLSNPCVNGVCRNLAGSYACECAPGSRLGPSGTMCLDSTKGTCWLKIQDGR
+CEANLHGATLRSECCATLGTAWGSPCERCDMDRACARGFARVKGLSCEDMECQLRNSVSP
+LGRTFWTTLPVLAARLCFLRWEEDECGAALLGKYRMDVCCCTVGAAWGTTCEACPEPESP
+AFTSLCPRGLGFASRDFLSGRPFYKDVNECKAFPGLCAHGTCRNTVGSFRCSCAGGFALD
+TQERNCTDIDECRISPDLCGQGTCVNTPGSFECECLRGYESGFMLMKNCMGRQPPQGRVV
+PAGLGPSVSPVDVDECSLSDGLCPHGQCVNVIGAFQCSCYAGFQSTPDRQGCVDVDECRT
+RNGGCHVHCVNTKGSYQCECGPGYSLMPDGRACEDVDECEQNPDICDGGQCTNMPGGHRC
+LCYDGFVATLDMRMCVDVDECDLNPHICLHGVCENTRGSFVCHCQLGYIVRKGAMGCSGE
+LVVSGADAEGCLGSGMSMGEGQDLDECASKEHGCSPRADCLNAPGSYRCACRLGFSGDGF
+SCEDRDECAENVALCENGQCLNVPGGYRCECEMGFSPTKDQHACQDVDECVLRNICVFGS
+CENLPGMFRCICDEGYELDRGGGNCTDVNECADPVNCINGLCVNTPGSYLCNCPQDFELN
+PSGVGCVDTRVGNCFLDTQHRGDGGISCSAEIGVGVTRASCCCSLGRAWGNPCELCPLAN
+TTEYRTLCPGGEGFRPNSITVILEDIDECQELPGLCQGGNCVNTFGSFQCECPPGYHLNE
+DTRICEDIDECSAHSGICGPGTCYNTLGNYTCVCPVEYLQVNGGNNCMDMRKSVCFRHYN
+STCQNELAFNVTRKMCCCSYNIGQAWNRPCEACPTPAMDYQILCGNQVPGFVIDIHTGKP
+LDIDECGEIPAICASGVCINQIGSFRCECPAGFSYNSLLLVCEDVDECTSGENPCQQNAD
+CVNIAGSYRCKCAQGYKLSPGGACVGRNECREIPNACSHGDCMDTVDSYMCLCHRGFRAS
+ADQTLCMDVDECDRQPCGNGTCKNIVGSYNCLCFPGFVAAHNGDCVDMDECTTMVGQVCR
+FGQCLNTAGSFHCLCKDGFELTSDGKNCIDTNECLSLPGTCLPGTCQNLEGSFRCICPPG
+FQVQSDHCVDIDECSEEPNLCLFGTCTNSAGSFDCLCPPDFVLSDNGHRCFDTRQSFCFT
+HFEAGKCSVPKAFNTTKARCCCSQRPGEGWNDPCELCPQEVAFQELCPFGHGAVPGPDDS
+REDVNECAETPGVCTNGLCVNTDGSFRCECPFGYSLDFTGVSCVDTDECSIGHPCGGGTC
+TNVIGGFECACADGFEPGPMMTCEDIDECSLNPLLCAFRCHNTEGSYVCTCPVGYALRED
+GAMCRDVDECADGEQDCHARGMLCKNLIGTFACICPPGMRPQSGSGEGCTDDDECRAQPS
+PCANGHCINTVGSFHCDCDEGYQPSPSLTKCQDIRQGPCFSEVLQATCQAQSSRGEAVTR
+AECCCGGGRGWGPGCEPCPLPGTSAHRKLCPHGSGYTADGRDVDECSQAPTPCPFLCKNT
+EGSFLCACPRGYLLEENGRICRGDIPLPTPTAHGALSLSSSGAPPPVAWGELLSPGRLPW
+EAPSPGRPYLEPSGTFCVTDLDECSSRQHNCQFFCVNTIGAFTCRCPPGFTQRHQACFDN
+DECLAQPGPCGTRGRCHNAPGSFSCECYQGFTLDSSGHGCKDVDECNGPHRCKHGCQNEL
+GGYRCSCPQGFTPHSQWSQCVDENECVLSPSACGSASCHNTLGGFRCVCPSGFDFDQAVR
+GCQDVDECAARSGPCSYSCANTPGGFLCGCPRGYFRAGQGHCVSGLGFSPGSQDAPDEGE
+PLSPEACYECKINGQPSRDRPCRYPPGLSPQASLASLDTEAPLTLGLNLSCLGQAQHILE
+LRPALESLGGRVRYVIARGNERGFFRMHHLHGLSSLQLGHRKPGPGTYQLEVVSVGEPWG
+TQPEGRPGGQALRLKVQLQLL
+>tr|F1MBJ6|F1MBJ6_BOVIN T-box 10 OS=Bos taurus OX=9913 GN=TBX10 PE=4 SV=2
+MAQRFPGNLGVFAPSETYTLPTTSSSWEPQPDSMLPSGPSTASTGAPAVAEPTEQGPKNP
+RVSSVTVQLEMKALWEEFNQLGTEMIVTKAGRRMFPTFQVKILGMDSLADYALLMDFVPL
+DDKRYRYAFHSSAWLVAGKADPATPGRVHFHPDSPAKGAQWMRQIVSFDKLKLTNNLLDE
+NGHIILNSMHRYQPRFHVVFVDPRKDSERYAQENFKSFIFAETQFTAVTAYQNHQITQLK
+IASNPFAKGFRESDPDSWPISPRPLLSVPTRSRSSLSPRLLKGSTEREKDPNKAFTSRIP
+ARLHHQLLARPEALLAPATYPPLTYQGLYPGASSPVQPRARPTPYPLPNIQADRDQGGLP
+LPAGLGLLAPTAMCLGPGQDPQ
+>tr|E1BJA2|E1BJA2_BOVIN Apoptosis inducing factor mitochondria associated 1 OS=Bos taurus OX=9913 GN=AIFM1 PE=1 SV=1
+MFRCGGLAAGALKQKLAPLVRTVCVRGPRQRNRLPGNLFQRWHVPLELQMTRQMASSGPS
+GGKIDNSVLVLIVGLSTIGAGAYAYKTIKDDKKRYNERISGLGLTPEEKQKRATSSALEG
+EPEPQVRIPSHVPFLLIGGGTAAFAAARSIRARDPGARVLIVSEDPELPYMRPPLSKELW
+FSDDPNVTKTLRFKQWNGKERSIYFQPPSFYVSAQDLPRVENGGVAVLTGKKVVQLDVRG
+NVAKLNDGSQITYEKCLIATGGTPRSLSAIDRAGAEVKSRTTLFRKIEDFRTLEKISREV
+KSITIIGGGFLGSELACALGRKARASGTEVIQLFPEKGNMGKVLPEYLSNWTMEKVRREG
+VKVLPSAIVQSVGVSAGRLLIKLKDGRKVETDHIVAAVGLEPNVELAKTGGLEIDSDFGG
+FRVNAELQARSNIWVAGDAACFYDIKLGRRRVEHHDHAVVSGRLAGENMTGAAKPYWHQS
+MFWSDLGPDVGYEAIGLVDSSLPTVGVFAKATAQDNPKSATEQSGTGIRSESETESEASD
+IPVPPSNPAVPQVPTQGEDYGKGVIFYLRDKVVVGIVLWNIFNRMPIARKIIKDGEQHED
+LNEVAKLFNIHED
+>tr|E1BHC0|E1BHC0_BOVIN Transporter OS=Bos taurus OX=9913 GN=SLC6A18 PE=3 SV=2
+MARTPGPDQPVDGGGEERPAWDNKLQYLLSCVGFAVGLGNLWRFPYLCQTHGGGAFLIPY
+IIALAFEGIPLFHIELAVGQRLRRGSVGAWTAISPYLGGMGLGCFTVSFLVSLYYNTVLT
+WVLWYLLNSFQHPLPWSACPLDLNRTGLVQECRDSSPVSYFWYRRTLNVSADIGDSGPVQ
+WRLLVCLAVSWAVVYLCVIRGIETTGKAIYFTALFPYLVLTVFLVRGLTLPGAMQGLTYL
+FTPDLRVLQNPRVWLDAATQIFFSLSLALGGHIAFASYNPPRNNCRRDAVSIALVNSMTS
+LYASIAVFSVLGFKAASDHGRCLDRNILHLINAFDLPEQSVSRDTYTAALARLNASQPAQ
+VAGLPLEACRLEDFLDKSASGTGLAFIVFTEAVLHMPGAPVWAVLFFGMLFSLGLSSMFG
+NMESIITPLLDLGVMPRRVPKEMLTGLACLLCFFTATCFTLRSGSYWLEIFDQYAAALNL
+ILLAFFEVVGVAYVYGMKRFCDDIAWMTGRRPGFYWRATWKVVSPLLLLTIFLAYVALLA
+SSPPHYKAWNPRYERFPLRQEKPYPGWVLAACVLLSFLPTLWVPVVALGQLLAQCRQKTR
+DPPQDRCPKPRGGRAC
+>tr|G3N191|G3N191_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MNIRNARPEDLMNMQHCNLLCLPENYQMKYYFYHGLSWPQLSYIAEDENGKIVGYVLAKI
+SSDAQPVDSGRWVSLSLILNHGSGGLVHQLLSQAKTPPGKDMEETSMHMTERQSEMATLY
+VEVRIQELEVSWSSSPQLTGSMGAGAIQRAPQQLREGLRRHLELKEKGRHVVLGSIENKM
+EGKGNSLPSSGEACREEKGLVAEDSGGDSKDLSEVSETTESTDVKDSSEASDSAS
+>tr|G3N3K3|G3N3K3_BOVIN Glutamate ionotropic receptor NMDA type subunit 1 OS=Bos taurus OX=9913 GN=GRIN1 PE=4 SV=2
+MSTMRLLTLALLFSCSFARAACDPKIVNIGAVLSTRKHEQMFREAVNQANKRHGSWKIQL
+NATSVTHKPNAIQMALSVCEDLISSQVYAILVSHPPTPNDHFTPTPVSYTAGFYRIPVLG
+LTTRMSIYSDKSIHLSFLRTVPPYSHQSSVWFEMMRVYSWNHVILLVSDDHEGRAAQKRL
+ETLLEERESKSKKRNYESLDQLSYDHKRGPKAEKVLQFDPGTKNVTALLLEARELEARVI
+ILSASEDDAATVYRAAAMLNMTGSGYVWLVGEREISGNALRYAPDAHQRQERVGAHQRRR
+GRGGPGRARAAREGEHHRPAAGLCGQHQHLEDRAALQEGSDVFQVCRRGDRPCGIQ
+>tr|A0A3S5ZPE3|A0A3S5ZPE3_BOVIN tRNA-splicing endonuclease subunit Sen2 OS=Bos taurus OX=9913 GN=TSEN2 PE=3 SV=1
+MAEAVFRPPKRKRRVHDSYESPLPIPCGQDCGPEKDFRIFRAEMINSHVIVRGLEDMEQL
+YGKGYFGKGILSRSRPNFTISDPKLVAKWKGKADVPLCRYQRSVEWAAELLRRQGRDESM
+VRSVLEGYTKPLEHPHLKMMEEVPLGDEPNSEVVSKSEGRADREKLSAVNGVEGKSCDLE
+DSSERSNSPQEGPGPEPRTPDGSGEHVAEIPAPLPHGHWDALLLPSGGQPGDSSQRAGLV
+PAGERGPEHVLVEEAVCAGSEEVPAGDVLPQKRLVCRRNPFRIFEYLQLSLEEAFFLVYA
+LGCLSIYYEKEPLTIMKLWNAFSTVQPTFRTTYMAYHHFRSKGWVPKPGLKYGTDLLLYR
+KGPPFYHASYSVIVELVDDRFQGAPRRPLSWRSLAALSRVSVICISLQAAVKHPCDAVCL
+ACSCISASKIQPPLCLDWFQEVILSRWVSSRERSDQDEL
+>tr|E1BL68|E1BL68_BOVIN Membrane palmitoylated protein 2 OS=Bos taurus OX=9913 GN=MPP2 PE=3 SV=1
+MPVAATNSETAMQQVLDNLGSLPNATGAAELDLIFLRGIMESPIVRSLAKAHERLEETKL
+EAVRDNNLELVQEILRDLAQLAEQSSTAAELARILQEPHFQSLLETHDSVASKTYETPPP
+SPGLDPTFSNQPVPPDAVRMVGIRKTAGEHLGVTFRVEGGELVIARILHGGMVAQQGLLH
+VGDIIKEVNGQPVGSDPRALQELLRSASGSVILKILPSYQEPHLPRQVFVKCHFDYDPTR
+DSLIPCKEAGLRFSAGDLLQIVNQDDANWWQACHVEGGSAGLIPSQLLEEKRKAFVKRDL
+ELTPTSGTLCGSLSGKKKKRMMYLTTKNAEFDRHELLIYEEVARMPPFRRKTLVLIGAQG
+VGRRSLKNKLIMWDPDRYGTTVPYTSRRPKDSEREGQGYSFVSRAEMEADIRAGRYLEHG
+EYEGNLYGTRIDSIRGVVAAGRVCVLDVNPQAVKVLRTAEFVPYVVFIEAPDFETLRAMN
+RAALESGVSTKQLTEADLRRTVEESSRIQRGYGHYFDLCLVNSNLERTFRELQAAMEKLR
+TEPQWVPVSWVY
+>tr|A0A3Q1M3I0|A0A3Q1M3I0_BOVIN G-patch domain containing 2 OS=Bos taurus OX=9913 GN=GPATCH2 PE=4 SV=1
+MFGAAGRQPIGAPAAGNSWHFSRTMEELVHDLVSALEESSEQARGGFAETGDHSRSISCP
+LKRQARKRRGRKRRSYNVHHPWETGHCLSEGSDSSLEEPSKDYRENHNNNKKDHSDSDDQ
+MLVAKRRPSSNLNNNVRGKRPLWHESDFAMDSLGNRTLRRRRKVKRMAVDLPPENPNKRT
+MTQPLEGCRDQDMDNDNRAYQYQEFSKNKVKKRKLKIVRQGPKIQDEGVVLESEEISQTN
+KDKMEYEEQKVSDELMSESDSSSLSSTDAGLFTNDEGRQGDDEQSDWFYEKESGGACGIT
+GVIPWWEKEDPTDLDKNLPDPVFESILTGSFPLMSHPGRRGFQARLSRLHGMPSKNIKKS
+GGTPPSMVTTPGPVSNKRMVHFSPDSHHHDHWFSPGARTEHGQHQLLRDNRAERGHKKNC
+SVKTASRQTSMHLGSLCTGDIKRRRKAAPLPGPTTAGFVGENAQPILENNIGNRMLQNMG
+WTPGTGLGRDGKGIAEPIPALQRPKGLGLGFPLPKSTSATTASNSGKSA
+>tr|A0A3Q1LU65|A0A3Q1LU65_BOVIN Nuclear receptor coactivator 6 OS=Bos taurus OX=9913 GN=NCOA6 PE=4 SV=1
+MVLNDLPNLEDIYTSLCSSTVEDSEMDFDSGLEDDDSKSDSILEDSTIFVAFKGNIDDKD
+FKWKLDTILENVPNLLHMESSKLKVQKVEPWNSVRVTFNIPREAAERLRILAQSNNQQLR
+DLGILSVQIEGEGAINLALAQNRSQDVRMNGPMGAGSSVRMEAGFPMAGGPGLIRMTSPA
+TVMIPQGGNVSSSMMAPGPNSELQPRTPRPASQSDAMDPLLSGLHIQQQSHPSGSLAPPH
+HPMQPVPVNRQINPASFPQLQQQQQQQQQQQQQQQQLQARPPQQHQQQQPQGIRPQFTAP
+TQVPVPPGWNQLPSGALQPPPAQSSLGTMTANQGWKKAPLPGPMQQQLQARPSLATVQTP
+SHPPPPYPFGSQQASQAHTNFPQMSNPGQFTAPQMKSLQGGPSRVPTPLQQPHLTNKSPA
+SSPSSFQQGSPASSPTVNQTQQQMGPRPPQNNPLPQGFQQPVSSPGRNPMVQQGNVPPNF
+MVMQQQPPNQGPQSLHPGLGGMPKRLPPGFSAGQANPNFMQGQVPSTTATTPGNSGAPQL
+QANQNVQHAGGQGAGPPQNQMQVSHGPPNMMQPSLMGIHGNMNSQQAGSSGVPQVNLGNM
+QGQPQQGPPSQLMSMHQQIVPAQGQMVQQQGTLTPQNPMILSRAQLMPQGQMMVNPQSQN
+LGPSPQRMTPPKQMLPQQGPQMMAPHNQMMGPQGQVLLQQNPMIEQIMTNQMQGNKQQFN
+TQNQSNVMPGPAQIMRGPTPNLQGNMVQFTGPMSGQMLPQQGPVNNSPSQVMGMQGQVLR
+PPGPSPHMAQQHGDPATTANNDVSLSQMMPDVSMQQSNMVPPHVQAMQGNSASGNHFSGH
+GMPFNAPFNGAPNGNQMSCGQNPGFPVNKDVTLTSPLLVNLLQSDISAGHFGVNNKQNNT
+NANKPKKKKPPRKKKNSQQDLNTPDTRPAGLEEADQQPLPGEQGINLDSSGPKLPEFSNR
+PPAPSQSLVPKETPATALQGSVPRPELEANAAIVSGQSSEPKEIIEKSKTPSRRNSRTDE
+PAVASESVENGHRKRSSRPASASSSTKDVTSAVQSKRRKSK
+>tr|F1MBS3|F1MBS3_BOVIN Transforming growth factor-beta-induced protein ig-h3 OS=Bos taurus OX=9913 GN=TGFBI PE=1 SV=3
+MALLGRLLPLALALALGPAATPAGPARSPYQLVLQHSRLRGRQHGPNVCAVQKLIGTNKK
+YFTNCKQWYQRKICGKSTVISYECCPGYEKVPGEKGCPAGEEGGKEEHPREGQQSLNGGP
+EDEGWKQQVSHAEVLDSLVSNVNIELLNALRYHMVDRRVLTDELKHGMALTSMYQNSNIQ
+IHHYPNGIVTVNCARLLKADHHATNGVVHLIDKVISTVTNNIQQIIEIEDTFETLRAAVA
+ASGLNTLLEGDGQYTLLAPTNEAFEKIPAETLNRILGDPEALRDLLNNHILKSAMCAEAI
+VAGLSLETLEGTTLEVGCSGDMLTINGKPIISNKDVLATNGVIHFIDELLIPDSAKTLFE
+LAADSDVSTAIDLFRQAGLSSHLSGNERLTLLAPMNSVFKDGTPPINARTKNLLLNHMIK
+DQLASKYLYHGQTLDTLGGRKLRVFVYRNSLCIENSCIAAHDKRGRYGTLFTMDRMLTPP
+MGTVMDVLKGDNRFSMLVAAIQSAGLTETLNREGVYTVFAPTNEAFQALPRGELNKLMGN
+AKELANILKYHVGDEILVSGGIGALVRLKSLQGDKLEVSSKNNVVSVNKEPVAEVDIMAT
+NGVVHAISSVLQPPANRPQERGDELADSALEIFKQASAFSRRLLERMKH
+>tr|A0A3Q1N245|A0A3Q1N245_BOVIN Cytidine deaminase OS=Bos taurus OX=9913 GN=CDA PE=3 SV=1
+MAQERPSLALEPQHVQRLLLSCQEAKKSAYCPYSRFPVGAALLTGDGRIFSGCNIENACY
+PLSVCAERTAIQKAISEGYKEFRAIAIASDLQDDFISPCGACRQVMREFGTDWAVYMTKL
+DGTYVVRTVRELLPESFGPEDLQKIQ
+>tr|A0A3Q1MTF1|A0A3Q1MTF1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=WC-7 PE=4 SV=1
+DGAHRCEGRVEMKYKGEWGTVYTEYWLIESIEVVCRRLECGVAIDAPRGSYFGPRLGPIW
+FLYVSCESREVESTLSLSECVHIDFKNYNDTFSHDQDIGAVCSGSVRLFGGDRPCSGRVE
+VHSGKAWIPVSDGNFTLSTAQVICAELGCGKAVSVLKHMPFRESDGQVWAEEFRCEGEEP
+ELRVCPRVPCPGGMCHHSGAAQIACSAYLEVRLMTNGSSQCEGQVEMNISGRWRALCASH
+WSLANANVVCRQLGCGVAISTPRGPHLVGRDDQISTVRFHCLGAESFLWSCPVTALGGSG
+CSHGNTASVICSGNQTQVLPQCSDSLSEPTGSAASENSAPYCSDSRQLRLVDGGGHCAGR
+VEILDQGSWGTICDDGWDLDDAHVVCRQLGCGQALNATRSAHFGAGSGPIWLDDLNCTGK
+ESHVWRCPSRGWGQHDCRHKQDAGVICSEFLALRMVSEDQQCAGWLEVFYNGTWGSVCRS
+PMEDITVSMICRQLGCGESGTLNSSVGLREGSRPQWVDGIRCQKSDTSLWQCPSDPWNYT
+SCSPKEEAYILCEDSRQLRVVDGRGPCAGRVEILDQGSWGTICDNGWDLDDARVVCRQLG
+CGDALSAMGSAHFGAGSGRILLNTLDCRGKESHVWKCPSQGWRVHNCSHENDAGVVCSGF
+VRLVGDDGPCSGRVEVRPGEDWTPVSDGNFTLPTAQVICAELGCGEAVSVLGHELFRESD
+GQVWAEEFRCEGEERKLWWCPRVPCPGGTCHHSGAAQVVCSAYSEVRLMTNGSSQCEGQV
+EMKISGRWRALCASHWSLANANVVCRQLGCGVAISTSRGPHLVERGGQISTVRFHCSGAE
+SFLWSCPVTVLGGPDCSHGNTASVTCSGNQTQVLPQCNDAVSEPAGSVASEESAPYCSDS
+RQLRLVDGGGPCAGRVEILDQGSWGTICDDGWDLDDAHVVCRQLGCGQALNATRSAHFGE
+GSGPIWLDDLNCTGKESHVWRCPSRGWGRHDCRHKEDAGVICSEFLALRMVSGDQECAGW
+LEVFYNGTWGSVCRSPMDDVTVSILCSQLGCGDSGSLNTSVGLREGSRPHWVDGIQCQRT
+DASLWQCPSDPWNYNSCSPKEEAYISCAVRRPKSCPTAAPCTDREKLRLRGGDSECSGRV
+EVWHSGSWGTVCDDSWSLAEAEVVCQQLGCGQALEAVRAATFGPGNGSIWLDEVRCGGRE
+SSLWECAAEPWGQSDCKHEEDAGVRCSGVRTTLPTTTAGTRTTSNPLPGIFSLPGILCLI
+LGALLFLVLIILVTQLLRWRAERRALSSYEDALAEAVYEELDYLLTQKEGLGSPGEDGED
+NSDYRSTPGNRDQRTDVPAENYDDAEEVPVPGTPSASQGSEEEVPPEKEDGVRSSQTGSC
+LNFSREAADPGQGEESFWLLQGKKGDAGYDDVELSALGTSPVTFS
+>tr|F1MRN5|F1MRN5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=CSMD1 PE=4 SV=3
+KNLDIDGWCSLVKAGLRWGICEAGLQGAVFILSYRLTGMNLPSPVISSKNWLRLHFTSDS
+NHRRKGFNAQFQVKKAIELKSRGVKVMPSKDSSHKNSVLTQGGVALVSDMCPDPGIPENG
+RRVGSDFRVGANVQFSCEDNYVLQGSKSITCQRVTDTLAAWSDHRPICRARTCGSNLRGP
+SGVITSPNYPVQYEDNAHCVWVITTTDPDKVIKLAFEEFELERGYDTLTVGDAGKVGDTR
+TVLYVLTGSSVPDLIVSMSNQMWLHLQSDDSIASPGFKAIYQEIEKGGCGDPGIPAYGKR
+TGSSFLHGDTLTFECQAAFELVGERVITCQQNNQWSGNKPSCVFSCFFNFTASSGIILSP
+NYPEEYGNNMNCVWLIISEPGSRIHLIFNDFDVEPQFDFLAVKDDGISDITVLGTFSGNE
+VPSQLASSGHIVRLEFQSDHSTTGRGFNITYTTFGQNECHDPGIPINGRRFGDRFLLGSS
+VSFHCDDGFVKTQGSESITCILQDGNVVWSSTVPRCEAPCGGHLTASSGVILPPGWPGYY
+KDSLNCEWIIEAKPGHSIKITFDRFQTEVNYDTLEVRDGPASSSPLIGEYHGTQAPQFLI
+STGNFMYLLFSTDNSRSSVGFLIRYESVTLESDSCLDPGIPVNGHRHGSHFGIRSTVTFS
+CDPGYTLSDDEPLVCERNHQWNHALPSCDALCGGYIHGKSGTVLSPGFPDFYPNSLNCTW
+TIEVSHGKGVQMIFHTFHLESSHDYLLITEDGSFSEPVARLTGSVLPHTIKAGLFGNFTA
+QLRFISDFSISYEGFNITFSEYDLEPCDDPGVPAFSRRIGFHFGVGDALTFSCFPGYRLE
+GATKLTCLGGGRRVWSAPLPRCVAECGASVKGNEGTLLSPNFPSNYDNNHECIYKIETEA
+GKGIHLRARSFQLFEGDILKVYDGKDSSSRPLGAFTRNELVGLILNSTSNHLWLEFNSNG
+SDTDQGFQLTYTSFDLVKCEDPGTPNYGYRIRDEGHFTDTVILYSCNPGYAMHGGGTLTC
+LSGDRRVWDRPLPSCVAECGGRIHAATSGRILSPGYPAPYDNNLHCTWVVEADPGKTISL
+HFIVFDTELAHDILRVWDGPADSAILLKEWSGSALPEDIHSTFNSLTLQFDSDFFISKSG
+FSVQFSTSIASTCNDPGMPQNGTRYGDSREPGDTITFQCDPGYQLQGQAKITCVQLNNRF
+FWQPDPPTCIAACGGNLTGPAGVILSPNYPQPYPPGKECDWRVRVNPDFVIALIFKSFNM
+EPSYDFLHIYEGEDSNSPLIGSFQGSQAPERIESSGNSLFLAFRSDASVGLSGFAIEFKE
+KPREACFDPGNIMNGTRIGTDFKLGSTVTYQCDSGYKIADPSSITCVIGGDGKPAWNRVL
+PSCNAPCGGQYTGSEGVVLSPNYPHNYTAGQICLYSITVPKEFVVFGQFAYFQTALNDLA
+ELFDGTHPQARLLSSLSGSHSGETLPLATSNQILLRFSAKSGAPARGFHFVYQAVPRTSD
+TQCSSVPEPRYGRRIGSEFSAGSIVRFECSPGYLLQGSTAIRCQSVPNALAQWNDTIPSC
+VVPCSGNFTQRRGTILSPGFPEPYGNNLNCIWKIIVTEGSGIQIQVISFATEQNWDSLEI
+YDGGDASAPRLGSFSGTTVPALLNSTSNQLYLHFQSDISVAAAGFHLEYKTVGLAACQEP
+ALPSNGIKTGDRYMVNDVLSFQCEPGYTLQGRSHISCMPGTVRRWNYPSPLCIATCGGTL
+NSMGGVVLSPGFPGTYPNNLDCTWKISLPIGYGAHIQFLNFSTEANHDYLEIQNGPYHTS
+PMIGQFSGPDLPSALLSTTHETLIHFYSDHSQNRPGFKLTYQAYELQNCPDPPPFQNGYM
+VNSDYSVGQSISFECYPGYILIGHPVLTCQHGINRNWNYPFPRCDAPCGYNVTSQNGTIY
+SPGFPDEYPILKDCIWLISVPPGHGVYINFTLLQTEAVNDYIAVWDGPDQNSPQLGVFSG
+NTALETAYSSTNQVLLKFHSDFSNGGFFVLNFHAFQLKKCQPPPAVPQAEMLTEDEDFEI
+GDFVKYQCHPGYTLSGMDTLTCKLSSQLQFEGSLPTCEAQCPANEVRTESSGVILSPGYP
+GNYFNSQTCSWSIRVEPNYNITIFVDTFQSEKQFDALEVFDGSSGQSPLLVVLSGNHTEQ
+SNFTSKSNQLYLRWSTDHATSKKGFKIRYSAPYCSLTHTVKNGGVLNRTAGEVGSKVQYF
+CKPGYRMIGHSNATCRRNPVGMYQWDSLAPLCQAVSCGIPESPGNGSFTGNEFTLDSKVT
+YDCNEGFKLDASQQATAVCQEDGFWSNRGKPPTCKPVTCPGIEAQLSEHVTWRLVSGSLN
+EYGAQVVLSCSPGYYLEGQRLLQCHANGTWSAGEERPRCRVISCGSLSFPPNGNKIGTLT
+VYGATAIFTCNSGYTLVGSHVRECLANGLWSGPETRCLAGHCGSPDPIVNGHISGDGFSY
+RDTVVYQCNPGFRLVGTSVRICLQDHKWSGHTPVCVPITCGHPGNPAHGLTNGSEFNLND
+MVNFTCNPGYLLQGASRAQCRSNGQWSSPLPTCRVVNCSDPGLVENAVRHEHQNLPEGFE
+YGVTVVYQCKRGFYLLGSSALTCMANGLWDRSLPKCLVISCGHPGVPANAILTGDLFTYG
+AVVHYSCRGSRSLVGDSSRACQEDSHWSGALPHCTGNNPGFCGDPGTPAHGSRLGEEFKA
+KSLLRFSCEMGYQLRGSAERTCLLNGSWSGLQPVCEAVSCGNPGTPTHGKIVSSDGVLFS
+SSVVYACWDGYRTSGLTTRHCTANGTWTGTAPDCTLISCGDPGTLANGIQFGTDFTFNKT
+VSYQCNPGYTMEPATSPTIRCTKDSTWNHSKPTCKAVMCGQPPPVQDGKVEGTDFRWGAS
+ISYSCAHGFQLSQPAILSCEGRGVWKGEVPQCLPVFCGDPGTPAEGRLSGKIFTYRSEVF
+FQCKSPFLLVGSSRRVCQADGTWSGVQPTCIDPAHNTCPDPGTPQFGIQNSSRGYEVGSM
+VFFRCRKGYHVQGSTTRTCLANLTWSGIQTECIPHACRQPETPAHADVRAIDLPTFGYTL
+VYTCHPGFFLAGGSEHRTCKADMKWTGKSPVCKSKGVREVNETVTKTPVPSDVFFIHSVW
+KGYYEYLGKRQPATLTVDWFNATSSKVNATFTDSQGELKLTGVYKKEEAHLLLKAFQIKG
+PVDIFVSNFENDNWGLDGYVSSGLERGGFTFQGDIHGKDFGKFKLERQDPVSSDQDSSSH
+YQGTSSGSVAAAILVPFFALILSGFAFYLYKHRTRPKVQYNGYAGHENSNGQASFENPMY
+DTNLKPTEAKAVRFDTTLNTVCTVV
+>tr|A0A3Q1LPZ7|A0A3Q1LPZ7_BOVIN Polypeptide N-acetylgalactosaminyltransferase OS=Bos taurus OX=9913 GN=GALNT13 PE=3 SV=1
+MYICTCVCLTAVISRNQEGPGEMGKAVLIPKDDQEKMKELFKINQFNLMASDLIALNRSL
+PDVRLEGCKTRVYPDELPNTSVVIVFHNEAWSTLLRTVYSVINRSPHYLLSEVILVDDAS
+ERDFLKLTLENYVKNLEVPVKIIRMEERSGLIRARLRGAAASKGQVITFLDAHCECTLGW
+LEPLLARIKEDRKTVVCPIIDVISDDTFEYMAGSDMTYGGFNWKLNFRWYPVPQREMDRR
+KGDRTLPVRTPTMAGGLFSIDRNYFEEIGTYDAGMDIWGGENLEMSFRIWQCGGSLEIVT
+CSHVGHVFRKATPYTFPGGTGHVINKNNRRLAEVWMDEFKDFFYIISPGVVKVDYGDVSV
+RKTLRENLKCKPFSWYLENIYPDSQIPRRYYSLGEIRNVETNQCLDNMGRKENEKVGIFN
+CHGMGGNQVFSYTADKEIRTDDLCLDVSRLNGPVIMLKCHHMRGNQLWEYDAETHTLLHI
+ITQSCLSVSKVADGSQQPAVEICNDSPLQKWLLRNYTRMEIFRNIFKNSTDYFLK
+>tr|A0A3Q1LF45|A0A3Q1LF45_BOVIN Latent transforming growth factor beta binding protein 1 OS=Bos taurus OX=9913 GN=LTBP1 PE=4 SV=1
+MAGAWLRWGLLLWAGLLASSAHGRVRRITYVVRPGPGLAAGALPLGGPPRPRTFNVALNA
+RYSRSSAGAGAPSERTRRTSPPGGAALQGLRPPPPPPELARPGGPGGPLHPKPGGHPAAA
+PFAKQGRQAMRSKVPQETQSGGGSRLQVHQKQQLQGVNVCGGQCCHGWSKAPGSQRCTKP
+SCVPPCQNGGMCLRPQLCVCKPGTKGKACETTAAQDTSSPVFGGQSTAAASSWVPPEQAA
+KRTSSKKADALPRVSPVAQMTLTLKPKPSVGLSQQIHSQMTPLSSQNVMIHHGQTQEYVL
+KPTYFTAQKVVSGEQSTEGSLPLRFGQDHVPAPFQLICHLPCMNGGQCSSRDKCQCPPNF
+TGKLCQIPVHGASLPKVYQHSQQSGKALGTHVVHSTHTLPLTMTSQQGVKVKFPPNIVNI
+HVKHPPEASVQIHQVSRVDGPTGQKVKEAQPGQSQAPYQGLPVQKTQTVHSSYQQVIPHV
+YPVAAKTQLGRCFQETIGSQCGKALPGLSKQEDCCGTVGTSWGFHKCQKCPKKPSYHGYN
+QMMECLQGYKRVNNTFCQDINECQLQGVCPNGECLNTMGSYRCTCKVGFGPDPTFSSCVP
+DTPVISEEKGPCYRLVSSGRQCMHPLSVHLTKQLCCCSVGKAWGPHCEKCPLPGTAAFKE
+ICPGGMGYTVSGVHRRRPIHHHVGKGPVFVKPKNTQPVAKSTHPPPLPAKEEPVEALTFS
+REQGPGAVEPEVATVPPEKEIPSLDQEKTRLEPGQPQLSPGISTIHLHPQFPVVIEKTSP
+PMPVEVAPEASTSSASQVIAPTQVTEINECTVNPDICGAGHCINLPVRYTCICYDGYKFN
+EQQRKCVDIDECAQDQHLCSQGRCENTEGSFLCICPAGFMASEEGTNCIDVDECLRPDVC
+GEGHCVNTMGAFRCEYCDSGYHMTPGGQCEDVDECLTPSTCPDEQCVNSPGSYQCVPCTE
+GFRGWNGQCLDVDECLEPKICTNGTCSNLEGSYMCSCHKGYSPTPDHKHCEDIDECQQGT
+MCMNGQCKNTDGSFRCTCGQGYQLSAAKDQCEDIDECQHHHLCSNGQCRNTEGSFRCVCD
+HGYRASALGDHCEDINECLEDKSVCQGGDCINTKGSYECTCPDGFQLNDNKGCQDINECE
+QPGLCGPHGECLNTDSSFHCICEQGFSISADGRTCEDIDECVNNTICDSHGFCDNTAGSF
+RCLCYQGFQAPQDGQGCVDVNECELLSGVCGEAFCENVEGSFLCVCADESQEYSPMTGQC
+RSRLSMDSEVDEPREEKKECYYNLNDASLCDNVLAPNVTKQECCCTSGAGWGDNCEIFPC
+PVPGTAEFTEMCPRGKGFVPSEESSYGVDGENYKDADECLLFGQEICKNGFCMNTQPGYE
+CYCKQGTYYDPVKLQCFDIDECQDPNSCIDGQCINTEGSYNCFCTHPMVLDASEKRCIRP
+TESHEQIEETDVYQDLCWEHLSDEYVCSRPLVGKQTTYTECCCLYGEAWGMQCALCPMKD
+SDDYAQLCNIPVTGRRRPYGRDALVDFSEQYAPEADPYFVRDRFLNSFEELQAEECGILN
+GCENGRCVRVQEGYTCDCFDGYHLDMAKMTCVDVNECDELNNRMSLCKNAKCINTEGSYK
+CVCLPGFVPSDKPNYCTPLNTALNLEKDSDLE
+>tr|F1MBZ1|F1MBZ1_BOVIN Kelch like family member 10 OS=Bos taurus OX=9913 GN=KLHL10 PE=4 SV=1
+MEMESAAASTRFHQPHMERKMSAMTCEIFNELRLEGKLCDVVIKVNGFEFNAHKNILCSC
+SSYFRALFTSGWNNTEKKVYNIPGISPDMMKLIIEYAYTRTVPITPDNVEKLLAAADQFN
+IMGIVRGCCEFLKSELCLDNCIGICKFTDYYYCPELRQKAYMFILHNFEEMVKVSAEFLE
+LSVTELKDIIEKDELNVKQEDAVFEAILKWISHDPQNRKQHISVLLPKVRLALMHAEYFM
+NNVKMNDYVKDSEECKPVIINALKAMYDLNMNGPSNSDFTNPLTRPRLPYAILFAIGGWS
+GGSPTNAIEAYDARADRWVNVTCEEESPRAYHGAAYLKGYVYIIGGFDSVDYFNSVKRFD
+PVKKTWHQVAPMHSRRCYVSVTVLSNFIYAMGGFDGYVRLNTAERYEPETNQWTLIAPMH
+EQRSDASATTLYGKVYICGGFNGNECLFTAEVYNAESNQWTVIAPMRSRRSGIGVIAYGE
+HVYAVGGFDGANRLRSAEAYSPVANTWRTIPTMFNPRSNFGIEVVDDLLFVVGGFNGFTT
+TFNVECYDEKTDEWYDAHDMSIYRSALSCCVVPGLANVGEYAARRDNFTGLALRDEVKYS
+ASTSTLPV
+>tr|A0A3Q1LXF5|A0A3Q1LXF5_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MGKTRDLKKIRDTKRAFHAKMGLIKDRNGMDLTEAEDIKKRWQEYTEELYKKDLHDPDNH
+DGVITDLEPDILECEVKWALESITTNKASGGGGIAVELLQILKDDAVKVLHSICQQIWKT
+QQWPQDWKRSVFIPIPQKGNAKECSNYRTIALISHTSKVMLKILQARLPQYVHCELPNVQ
+AGFRKGRGTRDQIANIRWIMEKAREFQKSIYFCFIDYAKALDCVDHDKLWEILREMGIPD
+HLICLLRNLYAGQEATIRTGHGTTDWFQIGKEVHQGYILSPCLFNFYAEYIMRNAGLEET
+QAGIKIAGRNINNLRYADDTTLMAESEEELKSLLMKVKEESEKVGLKLNIQKMKIMASGP
+ITSWEIDGETVETVSDFIFLGSKITADGDCSHEIKRRLLLGRKVMTNLDSIYKSRDITLP
+TKVRLVKGMVFPVVMYGCESWTVKKAERRRIDAFELWCWRLLRVLWTARRSNQSILQEIS
+PRISLEGMMLKLKLQYFGHLMRRVDSLEKTLKLGGIGSRRRRGRQRMRWLNGITDSMGLS
+LSELKVGDGQGGLACWDSWGRRVRQE
+>tr|E1BDK9|E1BDK9_BOVIN Retinol saturase OS=Bos taurus OX=9913 GN=RETSAT PE=4 SV=1
+MWVSLLLLAALLLVVLGKVYKGLFSGSSPNPFLEDVQRPPAPLVTDKEARKKVLKQAFSV
+SRVPKKLDVVVIGSGFGGLAAAAILAKTGKRVLVLEQHTKAGGCCHTFGKNGLEFDTGIH
+YIGRMQEGSFDRFILDQITEGQLDWAALSSPFDIMVLEGPDGRKEFPMYTGMKAYIQGLK
+DKFPQEEAAIDKYMKLVKVVSRGGIYAVLLKILPLPVAQLLTKYGLLTYFSPFLRASTQS
+LAEVLQQLPASPELQAVLSYIFPTYGVTPSHTTFSMHALLVDYFLGGAFYPRGGSSEIAF
+HTIPVIQRAGGAVLTRAPVHSILLDSAGKACGVTVKKGEDLVNIYCPIVISDAGLFNTYE
+HLLPEQARCLPGVKRQLGMVRPGLSMFCVFICLRGTKEDLGLPTTNYYIYFDKDMDRAME
+HYVSLPGDKAAAHMPLLFISFSSAKDPTWEDRYPDRSTAIILVPTSYEWFKEWRDEPQGK
+RSSAYENLKSSFVEAAVSVFLKQFPHLEGKVDSVTGGSPLSIQFYLAAPQGACYGADHDL
+GRLHPGAMASIRAQSPIPNLYLTGQDVLTCGLTGALQGALLCSSAILKRNLYLDLWKLGS
+RIQARKKKN
+>tr|F6QD94|F6QD94_BOVIN Cytochrome c oxidase copper chaperone COX17 OS=Bos taurus OX=9913 GN=COX17 PE=4 SV=2
+MRANRLRQVWRVQAVCASCCFGARKMPGLAAASPAPSESQEKKPLKPCCACPETKKARDA
+CIIEKGEEQCGHLIEAHKECMRALGFKI
+>tr|E1BCM2|E1BCM2_BOVIN Translocating chain-associated membrane protein OS=Bos taurus OX=9913 GN=TRAM2 PE=3 SV=2
+MAFRRRTKSYPLFSQEFVIHNHADIGFCLVLCVLIGLMFEVTAKTAFLFILPQYNVSMPT
+ADGETVQYHYGPKDLVTVLFYIFIAIILHAVVQEYILDKISKRLHLSKVKHSKFNESGQL
+VVFHLSSVIWCFYVVVTEGYLTNPRSLWEDYPHVYLPFQVKFFYLCQLAYWLHALPELYF
+QKVRKEEIPRQLQYICLYLVHIAGAYLLNLSRLGLVLLLLQYSTEFLFHTARLCHFADEN
+NEKLFNAWAAVFGVTRLFILTLAVLAIGFGLARMENQAFDPEKGNFNTLLCRLCVLLLVC
+AAQAWLMWRFIHSQLRHWREYWSEQSAKRRVPAAPRLAARLIKRESGYHENGVVKAENGT
+STRTKKLKSP
+>tr|A0A3Q1MK05|A0A3Q1MK05_BOVIN KIAA1217 OS=Bos taurus OX=9913 GN=KIAA1217 PE=4 SV=1
+MQTSEMDRKREAFLEHLKQKYPHHATAIMGHQERLRDQTRSPKLSHSPQPPNLGDPVEHL
+SEASADSLEAMSEGETPSPFSRGSRTRASLPVVRSTNQTKERSLGVLYLQYGDETKQLRM
+PNEITSADTIRALFVSAFPQQLTMKMLESPSVAIYIKDESRNVYYELNDVRNIQDRSLLK
+VYNKDPAHAFNHTPKTVNGEMRMQREIVYARGDGPGASRPGPTTLPPHAIPNSPPSTPVP
+HSMPPSPSRIPYGGTRPMVVPGNATIPRDRLSSLPVSRSISPSPSAILERRDVKPDEDMS
+GKNLTMYRNEGFYADPYLYHEGRMSIASSHGGHPLDVPDHIIAYHRTAIRSASAYCNPSL
+QAEMHMEQSLYRQKPRKYPESHLPTLGSKTPPASPHRVGDLRMVEMHGHHNAHGPPHTLQ
+PDRASPSRQGFKKEPGTLVYIEKPRTTPGLSGIVDLGPPLVEKQVFAYSTATIPKDRETR
+ERMQAMEKQIASLTGLVQSALFKGPLTSNSKDASSEKMMKTTASKNQTDGAGTPQVSGGK
+TLGAVDSSGPPGQPAPASASAVHASLLDMRRSVAELRLQLRQMRQLQLQNQELLKAMMRK
+AEQEISSKVIETMKRLEDPVQRQRVLVEQDRQKYLHEEEKIVRKLCDLEDFVDDLKKDST
+SASRVVTLKDVEDGAFLLRQVGEAVASLKGEFPTLQNKMRAILRIEVEAVRFLKEEPHRL
+DSLLQRVRGLTDTLTMLRRHVTDGLLKGSDAAQAAQYVAMEKATAAEVLKAQEEAPHSSG
+QPLPGTGVPGDVKAEVVPLAVHHAQSSPVVIQPSQLSSALLNPAQHAPGGPGPHPASAPA
+ATQEAPSALPSPHMPGDSSSVQSLFIEEIHSVSARSRAVSIEKAERKWEEKRQNLDHYNG
+KEFEKLLEEAQANIMKSIPNLEMPPASGPQPKGDAPVDKFELSEDSPNSELDLDKLGGRS
+PPPPPPPPRRSYLPGSGLTTTRSGDVVYTGRKESATAKASSGDAGPSPQARAAKCPAEEP
+AAAWAPSPPPVTASAKEEEEEEEGDKIMAELQAFQKCSFMDVNSNSHAEQCRADSHVKDT
+RPGAIAPSKEKKNLEFFHEDVRKSDVEYENGPPVESRKVSPGALTPSDHPKWEREMENSI
+SDAARTAEYKTDISMKESSLSTKSLLRDSKNHSQKNVSKVNSSFSGVSSFECEINKGPKK
+SGLQYPASDAENQKMNYGKTKGVNQQGQEDSEKGHPPAPTRSTELTTRDVKTPDQEVPMT
+EFGQVVLRPKGAKHANTIPSEDGESTPSSPSEEKATTDNIAFMITKTAVQALSSGEVRDI
+VSRQGEDVQTVNIDAKKEPLSQQEGTESEEPVVCLDKKPVIIIFDEPMDIRAAYKRLSTI
+FEECDEELERMMREEKIEEEEEEETGDPVVQNDTPQKFPKEGALGSPRAGQEAKSKLQPH
+FLSVETGVSGGQEVNKTEQIKFNPTNSPSSESQGDVTDDQFESPKKKFKFKFPKKQLAAL
+TQAIRTGTKTGKKTLQVVVYEEEEEDGTLKQHKEAKRFEISRSPSEDTPKSLFRRLEQPS
+PESSAPVSRTDEIRKNTYRTLDSLEQTIKQLENTISEMSPKALVEAACSSHRDSVASSSQ
+TAQEAGPPSLLVLDEASTALEPPSSIPSASRKGSTGTPQTSRMPVPMSSKNRPGSLDKPG
+KQSKLQDPRQYRQANGSAKKAGGDCKPTFPSLPASKIPALSPSSGKSGSLPSSSGDGPNF
+PNPPATKPSVPSNPLSPQTGRPAPSASLIPSVSNGSLKFQSPTHTGKGHHLSFSLQTQNG
+RAAPPSFSSSPPSPASPTSLNQGAKSIRSSHTPGLTSYKAQNGSSSKATPSTAKETS
+>tr|F1MZB0|F1MZB0_BOVIN Kinesin family member 5A OS=Bos taurus OX=9913 GN=KIF5A PE=3 SV=3
+MTETNNECSIKVLCRFRPLNQAEILRGDKFIPIFQGDDSVVIGGKPYVFDRVFPPNTTQE
+QVYHACAMQIVKDVLAGYNGTIFAYGQTSSGKTHTMEGKLHDPQLMGIIPRIARDIFNHI
+YSMDENLEFHIKVSYFEIYLDKIRDLLDVTKTNLSVHEDKNRVPFVKGCTERFVSSPEEI
+LDVIDEGKSNRHVAVTNMNEHSSRSHSIFLINIKQENMETEQKLSGKLYLVDLAGSEKVS
+KTGAEGAVLDEAKNINKSLSALGNVISALAEGTKSYVPYRDSKMTRILQDSLGGNCRTTM
+FICCSPSSFNDAETKSTLMFGQRAKTIKNTASVNLELTAEQWKKKYEKEKEKTKAQKETI
+AKLEAELSRWRNGENVPETERLAGEEATLGAELCEETPVNDNSSIVVRIAPEERQKYEEE
+IRRLYKQLDDKDDEINQQSQLIEKLKQQMLDQEELLVSTRGDNEKVQRELSHLQSENDAA
+KDEVKEVLQALEELAMNYDQKSQEVEEKSQQNQLLVDELSQKVATMLSLESELQRLQEVS
+GHQRKRIAEVLNGLMKDLSEFSVIVGNGEIKLPVEISGAIEEEFTVARLYISKIKSEVKS
+VVKRCRQLENLQVECHRKMEVTGRELSSCQLLISQHEAKIRSLTEYMQSVELKKRHLEES
+YDSLSDELAKLQAQETVHEVTLKDKEPDTQDTEDVKKALELQMESHREAHHRQLARLRDE
+INEKQKTIDELKDLNQKLQLELEKLQADYEKLKNEEHEKSTKLQELTFLYERHEQSKQDL
+KGLEETVARELQTLHNLRKLFVQDVTTRVKKSAEMEPEDSGGIHSQKQKISFLENNLEQL
+TKVHKQLVRDNADLRCELPKLEKRLRATAERVKALEGALKEAKEGAMKDKRRYQQEVDRI
+KEAVRYKSSGKRGHSAQIAKPVRPGHYPASSPTNPYGTRSPECISYTNSLFQNYQNLYLQ
+AAPSSTSDMYFANSCTSSGATSSGGPLTSYQKANMDNGNATDINDNRSDLPCGYEAEDQA
+KLFPLHQETAAS
+>tr|A0A3Q1MBN8|A0A3Q1MBN8_BOVIN Exocyst complex component 1 OS=Bos taurus OX=9913 GN=EXOC1 PE=4 SV=1
+MTAIKHALQRDIFTPNDERLLSIVNVCKAGKKKKNCFLCATVTTERPVQVKVVKVKKSDK
+GDFYKRQIAWALRDLAVVDAKDAIKENPEFDLHFEKIYKWVASSTAEKNAFISCIWKLNQ
+RYLRKKIDFVNVSSQLLEELPKVTEESVPSGENQSVTGGDEEAVDEYQELNAREEQDIEI
+MMEGCEYAISNAEAFAERLSRELQVLDGANIQSIMASEKQVNILMKLLDEALKEVDQIEL
+KLSSYEEMLQSVKEQMDQISESNHLIHLSNTNNVKLLSEIEFLVNHMDLAKGHIKALQEG
+DLSSSRGIEACTNAADALLQCMNVALRPGHDMLLAVEQQQQRFSDLRENFARRLASHLNN
+VFVQQFTQALLQLYNRSYFLSVPGHDQSSTLAQHSVELTLPNHHPFHRDLLRYAKLMEWL
+KSTDYRKYEGLTKNYMDYLSRLYEREIKDFFEVAKIKMTGTTKESKKFGLHGSSGKLTGS
+TSSLNKLSVQSSGNRRSQSSSLLDMGNMSASDLDVADRTKFDKIFEQVLSELEPLCLAEQ
+DFISKFFKLQQHQSMPGTVTEGEDTDGGTLSRQHNAGTPLPVSSEKDMIRQMMIKIFRCI
+EPELNNLIALGDKIDSFNSLYMLVKMSHHVWTAQNVDPASFLSTTLGNVLVTVKRNFDKC
+ISNQIRQMEEVKISKKSKVGILPFVAEFEEFAGLAESIFKNAERRGDLDKAYTKLIRGVF
+VNVEKVANESQKTPRDVVMMENFHHIFATLSRLKISCLEAEKKEAKQKYTDHLQSYVIYS
+LGQPLEKLNHFFEGVEARVAQGIREEEVSYQLAFNKQELRKVIKEYPGKEVKKGLDNLYK
+KVDKHLCEEENLLQVVWHSMQDEFIRQYKHFEGLIARCYPGSGVTMEFTIQDILDYCSSI
+AQSH
+>tr|F6QL83|F6QL83_BOVIN G protein-coupled receptor 182 OS=Bos taurus OX=9913 GN=GPR182 PE=3 SV=1
+MSATAGPSMAPTRDVGEIYNWTELLHFFNHTLPECHMELNENTKRVALFVLYLAIFVVGL
+VENLLVICVNWRGSARAGLLRLYVLNMAIADLGIILSLPVWMLEATLDYTWLWGSFSCRF
+THYFYYANMYSSIFFLVCLSVDRYVTLTNASTSWQRHQHRGRRAVCAGVWVLSALIPLPE
+VVHIRLVDSFEPMCLFMAPFETYSTWATVVALSTTVLGFLLPLPLIAVFNVLTARRLRQT
+GQPEGRRHCLLVCAYIAVFVICWLPYHLTLLLLTLHGTHISLHCYLAHLLYFFYDIIDCF
+SMLHCVVNPILYNFLSPSFRGRLLNAVVHYLPKVQVREGRHASTSSSSSTQHSIIITKEG
+IQPPAAGPHQHPSLNFQAANTLPTSAPQSLVAS
+>tr|A0A3Q1MXB9|A0A3Q1MXB9_BOVIN BBX, HMG-box containing OS=Bos taurus OX=9913 GN=BBX PE=4 SV=1
+MKGSNRNKDHSAEGEGTGKRPKRKCLQWHPLLAKKLLDFSEEEEEEDEEEDIDKVQLLGA
+DGLEQDVGETEDDESPEQRARRPMNAFLLFCKRHRSLVRQEHPRLDNRGATKILADWWAV
+LDPKEKQKYTDMAKEYKDAFMKANPGYKWCPTTNKPVKSPAPTTVNPRKKLWAFPSDSSR
+DLPSPKKTKTEEMPQLNFGMADPTQMGGLSMLLLAGEHALGTPEISSGTCRPDVPESSEL
+RQKSPLFQFAEISSSTSHPDAPSKQCQASALFQFAEISSNTSHLGGAEPVKRCGKSALFQ
+LAEMCLASEGVKMEESKLIRTKELDGGRIKELEKGKEERDTKMEKTDEARLQKEAEFEKS
+AKENVRDSKEIRNFEELRMDDIIGIKMEAPKEIKKEELEEDQKCGHFPDFSYSASSKIII
+SDVPSRKDHICHPHGIMIIEDPTALSKPEKLKKKKKKNKMDRHGNDKSTPKKACKKRQSS
+ESDIESVMYTIEAVAKGDWGIEKLGDTPRKKVRPSSSGKGSILDAKPPKKKVKSREKKMS
+KEKSSDPTKESRPPDFISISASKNISGEVPEGIKAEPLTPTEDALPPTLSGQAKPEDSDC
+HRKIETCGSRKSERSCKGALYKTLVSEGMLTSLRANVDRGKRSSGKGNSSDHEGCWNEES
+WTFSQSGTSGSKKFKKTKPKEDSVLGSTKLDEEFEKKFNSLPQYSPVTFDRKCVPVPRKK
+KKTGNMSAEPTKTSKAIFSEDKNTTEPAYKVKNALSIPNTPEPTTTQEPLVGSQKRKARK
+TKITHLVRTADGRVSPAGGTLDDKPREQLQRSLPKVTETGCSDECSHNREAAETRSSTPE
+MPAVSAFFSLAALAEVAAMENVHRGQRSTPLTHDGQPKEMPQAPVLISCADQ
+>tr|E1BMR2|E1BMR2_BOVIN Family with sequence similarity 83 member A OS=Bos taurus OX=9913 GN=FAM83A PE=4 SV=1
+MSRARHVGKIQKRLEDVKSQWVQPARADFSDNESARLATDALLDGGPEAYWQALSQEGEV
+DFLSSVEAQYIQAQAKEPPCAPEPPGAAEAGPKGHDTCSLQSGTYFPVASEGSEPALLHT
+WASAEKPYMKEKSSATVYFQTDKHSNIRDLIRRCITRTSQVLAILMDVFTDVEIFCDILE
+AANKRGVFVCVLLDQGGVKLFQEMCDKAQISDTHLKNISIRSVEGEVYCAKSGRKFSGQI
+REKFIISDWRYVLCGSYSFTWLCGHVHRNILSKFTGQPVELFDEEFRHLYASSKPVMGLK
+SPRLATPLQPGAAPGPPPGRLSDSSGSASDRTSSNPFNSPSTGSNPQTRSLSTSSGPGSP
+LAPNPLLASRFQPHHSPWGALTPQAHFSPRPHDSLPALYSNLNAYRPTRLQLEHLGLVPR
+VAHTWRPFLQASPHF
+>tr|F2Z4J6|F2Z4J6_BOVIN Transcription initiation factor IIB OS=Bos taurus OX=9913 GN=GTF2B PE=4 SV=1
+MASTSRLDALPRVTCPNHPDAILVEDYRAGDMICPECGLVVGDRVIDVGSEWRTFSNDKA
+TKDPSRVGDSQNPLLSDGDLSTMIGKGTGAASFDEFGNSKYQNRRTMSSSDRAMMNAFKE
+ITTMADRINLPRNIVDRTNNLFKQVYEQKSLKGRANDAIASACLYIACRQEGVPRTFKEI
+CAVSRISKKEIGRCFKLILKALETSVDLITTGDFMSRFCSNLCLPKQVQMAATHIARKAV
+ELDLVPGRSPISVAAAAIYMASQASAEKRTQKEIGDIAGVADVTIRQSYRLIYPRAPDLF
+PTDFKFDTPVDKLPQL
+>tr|E1BL92|E1BL92_BOVIN Reprimo like OS=Bos taurus OX=9913 GN=RPRML PE=4 SV=1
+MNSTFLNHSGLETAGGLGGGGGGAALGNRSHGLGTWLGCCPGGAPLSASDGVPAGLAPDE
+RSLWVSRVAQIAVLCVLSLTVVFGVFFLGCNLLIKSESMINFLMQERRPSKDVGAAILGL
+Y
+>tr|F1N7C9|F1N7C9_BOVIN Zinc transporter 4 OS=Bos taurus OX=9913 GN=SLC30A4 PE=4 SV=2
+MAGSGAWKRLKSMLRKDDAPLFLNDTSAFDFSDEVGDEGLSRFNKLRVVVADDGSEAPER
+PANGAHSALQADDDSLLDQDLPLTNSQLSLKADPCDNCSKQRELLKQRKVKTRLTIAAVL
+YLLFMIGELVGGYIANSLAIMTDALHMLTDLSAIILTLLALWLSSKSPTKRFTFGFHRLE
+VLSAMISVLLVYILMGFLLYEAVQRTIHMKYEINGDIMLITAAIGVAVNVIMGFLLNQSG
+HHHAHSHSLPSNSPTTGPRCGHNQGQDSLAVRAAFVHALGDLVQSVGVLIAAYIIRFKPE
+YRIADPICTYVFSLLVAFTTFRIIWDTVVIILEGVPSHLNVDYIKEALMKIEDVHSVEDL
+NIWSLTSGKPTAIVHIQLIPGSSSKWEEVQSKAKHLLLNTFGMYKCTIQLQSYRQEVNRT
+CANCQSSSS
+>tr|A0A3Q1MXF4|A0A3Q1MXF4_BOVIN Protein FAM160B1 OS=Bos taurus OX=9913 GN=FAM160B1 PE=4 SV=1
+PFSEMTAFLQDRNDWLLAPSLPLQEDFVYHWKAITHYYIETSDDKAPVTDTNIPSHLEQM
+LVILVQEENEREFGETGPCMEYLLHHKILETLYTLGKADCPPGMKQQVLVFYTKLLGKIR
+QPLLPHINVHRPVQKLIRLCGEVLATPTENEEIQFLCIVCAKLKQDPYLVNFFLENKFKS
+LVSQGGPNAISEDVLKSQDSLSTDTGQSCQAEEPLGASGTEDQPSHQMGDLSTSLENLSV
+TALPEATVVRPNQDYNLVNSLLNLTRSPDGRIAVKACEGLMLLVSLPEPAAAKCLTQSTC
+LCELLTDRLASLYKALPQSVDPLDIETVEAVNWGLDSYSHKEDASAFPGKRALISFLSWF
+DYCDQLIKEAQKTAAVALAKAVHERFFIGVMEPQLMQTSEMGILTSTALLHRIVRQVTSD
+ILLQEMVFFILGEQREPETLAEISRHPLRHRLIEHCDHISDEISIMTLRMFEHLLQKPNE
+HILYNLVLRNLEERNYTEYKPVCPEDKDVVENGLIAGAVDLEEDPLFTDISPDNTLSNQE
+WLSSSPPATPDHPKTDGKTEVHKIVNSFLCLVPDEAKSSYHVEGTGYDTYLRDAHRQFRD
+YCAICLRWEWPGSPKALERCNLEAAFFEGHFLKVLFDRMGRILDQPYDVNLQVTSVLSRL
+SLFPHPHIHEYLLDPYVNLASGCRSLFSVIVRVVGDLMVRIQRIQDFTPKLLLVRKRLLG
+LEPEGPVIDHITLLEGVIVLEEFCKELAAIAFVKYHAASTP
+>tr|E1BJ39|E1BJ39_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC615808 PE=3 SV=3
+MRFSFLISVGSLGLMAENGTLVTEFVLLGFQLSAELQTGLFFVFLLLYLITLGGNLGVTA
+LIQSDPRFQTPMYFFLGHLSFLDICYSSVIVPQLLETLRTGKRTITFERCATQFFFFTLC
+ASAECFLLAVMAYDRCVAVCNALLYASAMTPQTRLGLVAGAYGGAAVNSVVRTGCAFSLS
+FCKSNHVDFFFCDLPPLLKLACSETRPRERVIYLLAFLVIATSVSVILISYLFIIRAILK
+IRSAGGKAKTFSTCASHITAVALFFGTLIFIYLKGNMGKSLGEDKIVSVFYTVVIPMLNP
+LIYSLRNKEVKEALKRALSRMKVSQAE
+>tr|G3X7D8|G3X7D8_BOVIN Ribosome-recycling factor, mitochondrial OS=Bos taurus OX=9913 GN=MRRF PE=4 SV=2
+MTHYARAAAPPRDVTTPAPPAPPPPPPWSRESFPNNPGASCGYSQGLSSVMALGLRCFRL
+VHPAFCNSLAALTRPVSEVTLQTVRGRQNDHGQCMAYAAVPVRHFATKKAKAKGKGQSQT
+RMNLNTALVEDIINLEEVDEEMKSVIEALKDNFNKTVNIRTSPGALDNITVVTADGKLPL
+NQISQISMKSPQLILVNMASFPECTAAAIKAIRESGMNLNPEVEGTLIRVPIPKVTREHR
+EMLVKLAKQNTNKAKDSLRKVRTNAINKVKKSKDKASEDTIRLIEKQISQMADDTVAELD
+RHLAVKTKELLG
+>tr|F1MKV3|F1MKV3_BOVIN V-set and immunoglobulin domain containing 10 like OS=Bos taurus OX=9913 GN=VSIG10L PE=4 SV=3
+MSPAMQRCPISWKLPVLSDLSNPRGISAGPGSCSSILAGAASTMDTSQALRLLLLLASGV
+EVLAFQASSEIQRTSLSSDLESSSQGPGAKIPSNNLPSWKFPDQTAGSKVSTDTPLSEWS
+PKGLDLNDSFGSNISAQSENLNLEPFSGIPSSNVSPDTLGSQVAAPSWEPSLSLRTPASS
+ISAHFPDTKVSVEAPASKYSPEDRDLEFSAQSLGSKVSSETHAGTSFPLQAGEPLAVLVG
+TTIQLRLVPVPSPGSPPPLVVWRRGSKVLAAGGLGPGAPLTSLDPTYRDRLRFDQARGGL
+ELTSAQLKDAGVYTVEVIQAGVSRQIREFTVGVYEPLPELSMQPKAPETQEGAAELRLRC
+MGWGPGRGELSWTRDGRALDTVGSAGAEPPRIRAEGDQLLIARPVRSDHARYTCRVHSPF
+GHTEAAADVSVFYGPDLPVITVSSNRDANPALYVTAGSNVTLSCTAASRPLADITWSLAD
+PAEAAVPTGPRLLLPAVRPGHAGAYACIARNPRTGSRRRSLLNLTVADLPPGSPQCSVEG
+GPGDRSLRFRCSWPGGAPAASLKFQGLPEGVQEGLASPVLQAVVPAHPRLSGVPVTCLAR
+HLVTTRTCTVIPEAPQEVLLHPIVEETRSGEAEVALQASGCPPPSRASWAREGRPIAPGG
+GGRLQLTEDGRRLLIGNFSLDWDLGNYSVLCSGALGAGVDKITLIGPSISSWRLQRTRDA
+AVLTWDVERGALVSRFQIQARVEAPDPGRAIASDWVSLLILGPRERSAVVPLPLQNPRTW
+VFRILPTLGDQPGTPSQSQIYRVGPTLGPGAIAGIVLGSLLGLALLAALLLLCICCLCRS
+RREAHKKKKQPGTWTPVVPQPEKKVQSLTPVHTPQPLPLKVPLENPSPIRAHPATGMPPG
+GGPKTVRAATQV
+>tr|E1BJQ8|E1BJQ8_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=OR51V1 PE=3 SV=2
+NYLRSRMIALVSPSTNSSSFLLTGFSGLEQQYPWISVPFSTIYAVVLLGNCLVLYVIWTE
+PSLHQPMFYFLAMLAITDLCMGLSTVNTVLGILLGLIQKISLDSCITQAYFIHGLSFMES
+SVLLTMAFDRYIAICNPLRYSSILTNSRIIKIGLTILGRSFFFITPPIICLKYFHYCRPH
+ILSHSFCLHQDLLRLACSDIRFNSYYALMLVICTLLLDAVLILFSYVLILKSVLAIASRE
+ERHKSFQTCISHVCAVLVFYIPIISLTMVHRFGKHLSPVVHVLMGNIYILFPPLMNPIIY
+SVKIQQIRSRMLRLFSLKIC
+>tr|A0A3Q1LIY4|A0A3Q1LIY4_BOVIN Leucine rich repeat containing 20 OS=Bos taurus OX=9913 GN=LRRC20 PE=4 SV=1
+MLRKMGEAVARVARKVNETVESGSDTLDLAECKLVSFPVGIYKVLRNVTDQIHLITLANN
+ELKALTSKFMTTFCQLRDVPVEKLAAMPALHLINLRFNPLNAEVRVIAPPLIKFNMLMSP
+EGARAPPP
+>tr|E1BK87|E1BK87_BOVIN Glutamate ionotropic receptor NMDA type subunit 3A OS=Bos taurus OX=9913 GN=GRIN3A PE=3 SV=3
+MRRLSLWWLLSRVCLLLPPPCALVLAGVPGSSSHPQPCQILKRIGHAVRVGAVHLQPWTT
+APRAASRTPDGSRTGAPRDEPEPGTWLPPAPSPGARWLGSALHGRGPPGARKPGEGARAE
+TLWPRDALLFAVDNLNRVEGLLPYNLSLEVVMAIEAGLGDLPLLPFSSPSSPWSSDPFSF
+LQSVCHTVVVQGVSALLAFPQSLGEMMELDLVSSVLHIPVISIVRYEFPRESQNPLHLQL
+SLENSLSSDADVTVSILTMNNWYNFSLLLCQEDWNITDFLLLTQNNSKFHLGSIINITIN
+LSSTEDLLSFLQVQLESIKNSTPTMVMFGCDMESIRRIFEITTQFGVMLPELRWVLGDSQ
+NVEKLRTEGLPLGLIAHGKTTQSVFEYYVQDAMELVARAVATATMIQPELALIPSTVNCM
+DVETANLTSGQYLSRFLANTTFRGLSGSIKVKGSTIISSENNFFIWNLQNDPMGKPMWTR
+LGSWQRGKIVMDYGIWPEQAQRHKTHFQHPSKLNLRVVTLIEHPFVFTREVDDEGLCPAG
+QLCLDPMTNDSAMLDSLFSSLHSSNDTVPIKLKKCCYGYCIDLLEKLAEDMNFDFDLYIV
+GDGKYGAWKNGHWTGLVGDLLSGSAHLAVTSFSINTARSQVIDFTSPFFSTSLGILVRTR
+DTAAPIGAFMWPLHWTMWLGIFVALHITAIFLTLYEWKSPFGMTPKGRNRSKVFSFSSAL
+NVCYALLFGRTAAIKPPKCWTGRFLMNLWAIFCMFCLSTYTANLAAVMVGEKIYEELSGI
+HDPKLHHPSQGFRFGTVRESSAEDYVRQSFPEMHEYMRRYNVPATLDGVAYLKNDPEKLD
+AFIMDKALLDYEVSVDADCKLLTVGKPFAIEGYGIGLPPNSPFTSNISELISQYKSHGFM
+DVLHDKWYKVVPCGKRSFAVTETLQMGIKHFSGLFMLLCIGFGLSILTTIGEHMVYRLLL
+PRIKNKSRLQYWLHTSQRLHRALNTSFVEEKQQRFKTKRVEKRSSVGPRHLTVWNTSNLS
+HDNRRKYIFNDEGGPNQLGVRTHQDIPLPPRRRELPASLTTNGKADSHSGARNSVIQELS
+ELERQIQVIRQELQLAVSRKTELEEYQRTNRTCEP
+>tr|A0A3Q1N433|A0A3Q1N433_BOVIN Tetraspanin OS=Bos taurus OX=9913 GN=TSPAN12 PE=3 SV=1
+MAREDSVKCLRCLLYALNLLFWLMSISVLAVSAWMRDYLNNVLTLTAETRVEEAVILTYF
+PVVHPVMIAVCCFLIIVGMLGYCGTVKRNLLLLAWVPVQWSDMVTLKARMTNFGLPRYRW
+LTHAWNFFQREFKCCGVVYFTDWLEMTEMDWPPDSCCVREFPGCSRQAHQEDLSDLYQEG
+CGKKMYSFLRGTKQLQVLRFLGISIGVTQILAMILTITLLWALYYDRREPGTDQMMALKN
+DTTQHLPCHSVELLKPSLSRIFEHTSMANSFNTHFEMEEL
+>tr|A0A3Q1LPD4|A0A3Q1LPD4_BOVIN Solute carrier family 36 member 3 OS=Bos taurus OX=9913 GN=SLC36A3 PE=4 SV=1
+MIILLKPDLDFRSGCDIIDSSDTMRCWRDERSQSAGSHFLPLTYPFVALCSPYRMMQTLI
+HLLKCNIGTGLLGLPLAMKNAGLLVGPFSLLAIGILTVHCMVILLNCAHHLSQRNNCLKN
+KNKCIKNNSFLLCLPLLFFLRYTVSFLLITTQLGFCSVYFMFMADNLQQVKRSLLGRVGE
+SRPLAILVLTPNVDIRFYMLTILPFLILLVFIQNLRVLSIFSTLANITTLGSMALIFQYI
+MQIPDPRNLPLMASWKTFLLFFGTAIFTFEGVGMVLPLKNQMKHPQQFSFVLYWGMSLVI
+VLYICLGTLGYMKFGSNTQASITLNLPNCWLYQSVKLMYSIGIFFTYALQFHVPAEIIIP
+VIISQVSETWALFADLSVRTALVCLTCVSAILIPRLDLVISLVGSVSSSALALIIPPFLE
+LITFYPEDMNCITIVKDIMISILGLLGCVFGTYQALYELTQPINHSVANSTGVYA
+>tr|A0A3Q1NNG9|A0A3Q1NNG9_BOVIN FCH domain only 2 OS=Bos taurus OX=9913 GN=FCHO2 PE=4 SV=1
+MLGILFVLSHYIYFNFLYGEKNSGFDVLYHNMKHGQISTKELADFVRERATIEEAYSRSM
+TKLAKSASNYSQLGTFAPVWDVFKTSTEKLANCHLDLVRKLQELIKEVQKYGEEQVKSHK
+KTKEEVAGTLEAVQAIQSITQALQKSKENYNTKCVEQERLKKEGATQREIEKAAVKSKKA
+TDTYKLYVEKYALAKADFEQKMTETAQKFQDIEQTHLIHIKEIIGSLSNAIKEIHLQIGQ
+VHEEFINNMANTTVESLIQKFAESKGTGKERPGLIEFEECDPASVVEGKLTSCNVWQLAD
+SFCLLNREAAAHWCWHVCGVM
+>tr|F1MWS5|F1MWS5_BOVIN Histone deacetylase OS=Bos taurus OX=9913 GN=HDAC9 PE=3 SV=3
+MHSMISSVDVKSEVPVGLEPISPLDLRTDLRMMMPVVDPVVREKQLQQELLLIQQQQQIQ
+KQLLIAEFQKQHENLTRQHQAQLQEHIKLQQELLAIKQQQELLEKEQKLEQQRQEQEVER
+HRREQQLPPLRGKDRGRERAVASTEVKQKLQEFLLSKSATKDTPTNGKNHSVSRHPKLWY
+TAAHHTSLDQSSPPLSGTPPSYKYTLPGAQDAKDDFPLRKTASEPNLKVRSRLKQKVAER
+RSSPLLRRKDGNVVTSFKKRMFEVTESSVSSSSPGSGPSSPNNGPTGNITENETSVLPPT
+PHAEKMVSQQRILIHEDSMNLLSLYTSPSLPNITLGLPAVSTQLNASNSLKEKQKCETQT
+LRQGVPLPGQYGGSIPASSSHPHVTLEGKPNSSHQALLQHLLLKEQMRQQKLLVAGGVPL
+HPQSPLATKERISPGIRGTHKLPRHRPLNRTQSAPLPQSTLAQLVIQQQHQQFLEKQKQY
+QQQIHMNKLLSKSIEQLKQPGSHLEEAEEELQGDQAMQEDRAPSSGHSTRRDSGACADDT
+LGQAGAVKVKEEPVDSDEDAQIQEMESGEQAAFMQQQSFLEPQHTRAVSVRQAQLAPVGM
+EGLEKERLVSKTHSSPAASTLPHPAMDRPLRPGSATGIAYDPLMLKHQCICGNSTTHPEH
+AGRIQSIWSRLQETGLLNKCERIQGRKASLEEIQLVHSEHHSLLYGTNPLDGQKLDPRTL
+LGDSSQKFFSSLPCGGLGVDSDTIWNELHSSGAARMAVGCVIELASRVASGELKNGFAVV
+RPPGHHAEESTAMGFCFFNSVAITAKYLRDQLNISKILIVDLDVHHGNGTQQAFYADPNI
+LYISLHRYDEGNFFPGSGAPNEVGTGLGEGYNINIAWTGGLDPPMGDIEYLEAFRTVVKP
+VATEFDPDMVLVSAGFDALEGHAPPLGGYKVTAKCFGHLTKQLMTLADGHVVLALEGGHD
+LTAICDASEACVNALLGNELEPLAEDVLHQTPNMNAVISLQKIIEIQSKYWKSVRMVAMP
+RGCALAGAQLQEETETVSALASLTVDVEQPFAQEDNRTAGEPMEEEPAL
+>tr|A0A3Q1MFQ7|A0A3Q1MFQ7_BOVIN EWS RNA binding protein 1 OS=Bos taurus OX=9913 GN=EWSR1 PE=4 SV=1
+AYTAQPTQGYAQTTQAYGQQSYGTYGQPTDVSYTQAQTTATYGQTAYATSYGQPPTGYST
+PAAPQAYSQPVQGYGTGAYDTTTATVTTTQASYAAQSAYGTQPAYPAYGQQPAATAPARP
+QDGNKPAETSQPQSSTGGYNQPSLGYGQSNYSYPQVPGSYPMQPVSAPPSYPPTSYSSTQ
+PTSYDQSSYSQQNTYGQPSSYGQQSSYGQQSSYGQQPPTSYPPQTGSYSQAPSQYSQQSS
+SYGQQSSFRQDHPSSMGVYGQESGGFSGPGENRSMSGPDNRGRGRGGFDRGGMSRGGRGG
+GRGGMGAGERGGFNKPGGKFLSPPVDPDEDSDNSAIYVQGLNDSVTLDDLVDFFKQCGVV
+KMNKRTGQPMIHIYLDKETGKPKGDATVSYEDPATAKAAVEWFDGKDFQGSKLKVSLARK
+KPPMNSMRGGMPPREGRGMPPPLRGGPGGPGGPGGPMGRMGGRGGDRGGFPPRGPRGSRG
+NPSGGGNVQHRAGDWQCPNPGCGNQNFAWRTECNQCKAPKPEGFLPPPFPPPGGDRGRGG
+PGGMRGGRGGLMDRGGPGGMFRGGRGGDRGGFRGGRGMDRGGFGGGRRGGPGGPPGPLME
+QMGGRRGGRGGPGKMDKYVGVNQLGGLGCQPLPLEQVSPAPGGRRAAALSWGWASSPAPP
+PPPPPVVTHRPLPLPSPPPCHPPAPSPLHHCGNPPPLPFCPAEASTVRSAETGPTRRRDP
+QSCIDYQIYFLNQKMF
+>tr|F6RJ22|F6RJ22_BOVIN Chromosome 22 C3orf62 homolog OS=Bos taurus OX=9913 GN=C22H3orf62 PE=4 SV=1
+MSEKLRRCRKELTAAIDRAFEGVSHSQECSGRPRVELDAAPLSFPLPVHRLLCRRHPLVA
+CSSAAPFSPVPGAPENENVAFAPNHAPVNAKPQALCPKRKPLSSKENILMRSSILAPERQ
+FWRAAGDEEIWRKDSLRNDTEKDLKVDTGIPLSGSSQEVTKDLLDMIDHTSIRTIEELAG
+KLEFENELNRVCGHCEDSPFKEEAWALLVDESPQKAPDADSGSLRQAFDDHNIVETVLDL
+EEDYNLMTSFKYQIDSFWQAKQSLDCFRGNTKLQFGLAESK
+>tr|A0A3S5ZPI5|A0A3S5ZPI5_BOVIN RasGEF domain family member 1A OS=Bos taurus OX=9913 GN=RASGEF1A PE=4 SV=1
+MFLEPQETMPQTSVVFSSILGPSCSGQGQPGMGERGGGAGGSGDLIFQDGRLISGSLEAL
+MEHLVPTVDYYPDRTYIFTFLLSSRVFIPPHDLLARVGQLCVEQRQQLEAGSEKAKLKSF
+SAKIVQLLKEWTEAFPFDFQDEKAMAELKAITHRVTQCDEENGTVKKAISQMTQSLLLSL
+AARSQLQELREKLRSPAMDKGPVLKAKPPAAQKDILGVCCDPLVLAQQLTHIELERVSSI
+HPEDLMQIVSHMDSRDKHRCRGDMTKTYSLEAYDNWFNCLSMLVATEVCRVVKKKHRTRM
+LEFFIDVARECFNIGNFNSMMAIISGMNLSPVARLKKTWSKVKTAKFDVLEHHMDPSSNF
+CNYRTALQGATQRSQTANSSREKIVIPVFNLFVKDIYFLHKIHTNHLPNGHINFKKFWEI
+SRQIHEFMTWTQVECPFEKDKKIQSYLLTAPIYSEEALFIASFESEGPENHMEKDSWKTL
+RTTLLNRA
+>tr|A0A3Q1ML04|A0A3Q1ML04_BOVIN SET binding factor 2 OS=Bos taurus OX=9913 GN=SBF2 PE=4 SV=1
+MARLADYFIVVGYDHEKPGSGAGLGKIIQRFPQKDWDDTPFPQGIELFCQPGGWQLSRER
+KQPTFFVVVLTDIDSDRHYCSCLTFYEAEINLQGTKKEETEGEVEVSGLIQPAEVFAPKS
+LVLVSRLDYPEIFRACLGLIYTVYVDSLNVSLESLIANLCACLVPAAGGSQKLFSLGAGD
+RQLIQTPLHDSLPVTGTSVALLFQQLGIQNVLSLFCAVLTENKVLFHSASFQRLSDACRA
+LESLMFPLKYSYPYIPILPAQLLEVLSSPTPFIIGVHSVFKTDVHELLDVIIADLDGGTI
+KIPECIHLSSLPEPLLQQTQAALSLILHPDLEVADHAFPPPRTALSHSKMLDKEVRAVFL
+RLFAQLFQGYRSCLQLIRIHAEPVIHFHKTAFLGQRGLVENDFLTKVLNGMAFAGFVSER
+GPPYRSCDLFDEVVAFEVERIKVEENNPMKMIKHVRELAEQLFKNENPNPHMAFQKVPRP
+TEGSHLRVHILPFPKINETRVQELIQENLAKNQNAPPASRVEKKCVVPAGPPVVSIMDKV
+TTVFNSAQRLEVVRNCISFIFENKTLETEKTLPAALRALKGKAARQCLTDELGLHVQQNR
+AILDHQQFDYIIRMMNCTLQDCSSLEEYNIAAALLPLTSAFYRKLAPGVSQFAYTCVQDH
+PIWTNQQFWETTFYNAVQEQVRSLYLSAKEDNHALHLKQKDKLPDDQYQEKTAMDLAAEQ
+LRLWPTLSKSTQQELVQREESTVFSQAIHFANLMVNLLVPLDSSKNKLLRASAPGDWESG
+SNSIVTNSIAGSVAESYDTESGFEDSENNDIANSVVRFITRFIDKVCTESGVTQDHIKSL
+HCMIPGIVAMHIETLEAVHRESRRLPPIQKPKILRPALLPGEEIVCEGLRVLLDPDGREE
+ATGGLLGGPQLLPAEGALFLTTYRILFRGTPHDQLVGEQTVVRSFPIASITKEKKITMQN
+QLQQNMQEGLQVTSASFQLVKVAFDEEVSPEVVEVFRKQLMKLRYPQSVFTTFAFAAGQT
+APQIISPKQKEKNTSFRTFSKTIVKGAKRAGKMTIGRQYLLKRRTGTIVEERVSRPGWNE
+DDDVSVSDDSELPTSTTLKASEKSTMEQLVEKACFRDYQRLGLGTISGSSSRSKPEYFRI
+TASNRMYSLCRSYPGLLVVPQAVQDSSLPRVARCYRHNRLPVVCWRNSRSSTLLLRSGGF
+HGKGVVGLFKSQNSPQAAPTSSLESSSSIEQEKYLQALLSAVSVHQKLSGNNPLTVRPAL
+ALSPGTERRTSRMSTVLKQVVPGHLDVNPSNSFARGGVWASLRSSTRLISSPTSFIDVGA
+RLAGKDHSTSFSNSTYLQNQLLKRQATLYIFGEKSQLRNFKLEFALNCEFVPVEYHDIRQ
+VKASFKKLMRACVPSAIPTDSEVTFLRALGDSEWFPQLHRILQLAVVVSEVLENGSSVLV
+CLEEGWDITAQVTSLVQLLSDPFYRTLEGFRMLVEKEWLSFGHKFSQRSSLTLSCQGSGF
+TPVFLQFLDCVHQVHNQYPTEFEFNLYYLKFLAFHYVSNRFKTFLLDSDYERLEHGTLFD
+DKGDKHAKKGICIWECIDRMHKRSPIFFNYLYSPVEIEALKPNVNVSSLKKWDYYIEETL
+STGPSYDWMMLTPKQLPSEDSELAGGARPQSQRRTVWPCYDDVSCAQPDALTSLFSEIER
+LEHKLNQTPEKWQQLWERVNVDLKEEPRADHPQRYPSGSPGTVSTNLPFYQKRPQLHLPD
+SNLAEEQNTGVSPSNGVDRRAATLYSQYTPKNDENRSFEGTLYKRGALLKGWKPRWFVLD
+VTKHQLRYYDSGEDTSCKGHIDLAEVEMVIPAGPSMGAPKHTSDKAFFDLKTSKRVYNFC
+AQDGQSAQQWMDRIQSCISDA
+>tr|A0A3Q1LQL3|A0A3Q1LQL3_BOVIN Zinc finger protein 385C OS=Bos taurus OX=9913 GN=ZNF385C PE=4 SV=1
+MRPRSGRKRPSGMKRPLSPSPAGEKEPPVCEAAECPPRPPEAPKPKRERKRPSYTLCDVC
+NIQLNSAAQAQVHCGGRAHQRRLRQLSLEKTTPGPAGPASGAPSPLLASLALPARPLQPP
+LDFKHLLTFHFNGATPLSLFPNFSTMDPVQKAVISHTFGVPSPLKKKLFISCNICHLRFN
+SANQAEAHYKGHKHARKLKAVEAAKSKQRPQTLTQDEMRVPPTPTPASGAPEERHSKVPA
+APPPGPEIQSPRSPEPTPREPVPSDLFDAASSSSSSSCLPCSPEPGPEAPEPEPAAVAMG
+SGVSGEGRSEKGRLYCPTCKVTVNSASQLQAHNTGAKHRWMVEGQRGAPRRGRGRMVPRG
+GAGHKAKRVTGGRGGRQGPSPPFHCALCQLQVNSETQLKQHLSSRRHKDRLAGKPPKPSS
+QHSKLQKHAALAVSLLKSKLALQKQLTKTLAARFLPSPLPTAAAAICALPGPLALRPAPT
+AATTLFPAPILGPALFRTPAGAVRPATGPIVFAPY
+>tr|A0A452DJK3|A0A452DJK3_BOVIN Histone H2B OS=Bos taurus OX=9913 GN=HIST2H2BF PE=3 SV=1
+MPDPAKSAPAPKKGSKKAVTKVQKKDGKKRKRSRKESYSVYVYKVLKQVHPDTGISSKAM
+GIMNSFVNDIFERIAGEASRLAHYNKRSTITSREIQTAVRLLLPGELAKHAVSEGTKAVT
+KYTSSK
+>tr|G3N0K3|G3N0K3_BOVIN 40S ribosomal protein S4 OS=Bos taurus OX=9913 PE=3 SV=1
+MARGPKKHLKRVAAPKHWMLDKLTGVFAPRPSTGPHKLRECLPLIIFLRNRLKYALTGDE
+VKICMQRFIKIDGKVRTDITYPAGFMDVISIDKTGENFHLIYDTKGRFAVHRITPEEAKY
+KLCKVRKIFVGTKGIPHLVTHDARTIRYPDPLIKVNDTIQIDLETGKITDFIKFDTGNLC
+MVTGGANLGRIGVITNRERHPGSFDVVHVKDANGNSFATRLSNIFVIGKGNKPWISLPRG
+KGIRLTIAEERDKRLVAKQSSG
+>tr|A0A3Q1M3N8|A0A3Q1M3N8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC515676 PE=4 SV=1
+MQARLSVQQCINLSCLSLTHVPRLHMMSSTSNMKLGGLFLLASLLTLNTRLQAIGVCVEQ
+CREDQDCVAGEKCISNGCGHVCSPAPQASICVEQCREDQDCAAGEKCISNGCGHVCSPVP
+QATICSLECSEDRDCGRGKQCVQDGCQRVCSPLMSVGVCVEQCREDQDCAAGEKCVSNGC
+GHVCSPVPQATICSRECSEDRDCGRGKQCVQDGCRRVCSPLMSVGVCVEQCREDRDCAAG
+EKCVSNGCGHVCSPAPQASICEFQCFRDRDCGAGRQCVSEGCNRVCSPAPEASIGICVQR
+CRGHWDCGAGERCIRRGCSRICSPVRTAGVGICLDQCQGHRDCPAGSRCVSNGCGRVCSP
+TQDRQEQRPGTCPRVPEGMFGTCAERCTGDGSCPPGQKCCSNGCGKSCQVPDLDFTISLD
+DK
+>tr|A0A3Q1MW77|A0A3Q1MW77_BOVIN Rho-associated protein kinase 2 OS=Bos taurus OX=9913 GN=ROCK2 PE=3 SV=1
+MLENCNNFFSLCLFFVKQDGLNSLVLDLDFPALRKNKNIDNFLNRYEKIVKKIRGLQMKA
+EDYDVVKVIGRGAFGEVQLVRHKASQKVYAMKLLSKFEMIKRSDSAFFWEERDIMAFANS
+PWVVQLFCAFQDDKYLYMVMEYMPGGDLVNLMSNYDVPEKWAKFYTAEVVLALDAIHSMG
+LIHRDVKPDNMLLDKHGHLKLADFGTCMKMDETGMVHCDTAVGTPDYISPEVLKSQGGDG
+YYGRECDWWSVGVFLFEMLVGDTPFYADSLVGTYSKIMDHKNSLCFPEDAEISKHAKNLI
+CAFLTDREVRLGRNGVEEIKQHPFFKNDQWNWDNIRETAAPVVPELSSDIDSSNFDDIED
+DKGDVETFPIPKAFVGNQLPFIGFTYYRENLLLSDSPSCKENDSIQSRKNEIQKKLYTLE
+EHLSTEIQAKEELEQKCKSVNTRLEKVAKELEEEITLRKNVESTLRQLEREKALLQHKNA
+EYQRKADHEADKKRNLENDVNSLKDQLEDLKKRNQNSQISTEKVNQLQRQLDETNALLRT
+ESDTAARLRKTQAESSKQIQQLESNNRDLQDKNCLLETAKLKLEKEFINLQSVLESERRD
+RTHGSEIINDLQGRISGLEEDVKNGKILLAKVELEKRQLQERFTDLEKEKNNMEIDMTYQ
+LKVIQQSLEQEETEHKATKARLADKNKIYESIEEAKSEAMKEMEKKLSEERTLKQKVENL
+LLEAEKRCSILDCDLKQSQQKINELLKQKDVLNEDVRNLTLKIEQETQKRCLTQNDLKMQ
+TQQVNTLKMSEKQLKQENNHLLEMKMSLEKQNAELRKERQDADGQMKELQDQLEAEQYFS
+TLYKTQVRELKEECEEKTKLCKELQQKKQELQDERDSLAAQLEITLTKADSEQLARSIAE
+EQYSDLEKEKIMKELEIKEMMARHKQELTEKDATIASLEETNRTLTSDVANLANEKEELN
+NKLKEAQEQLSRLKDEEISAAAIKAQFEKQLLTERTLKTQAVNKLAEIMNRKEPVKRGND
+TDVRRKEKENRKLHMELKSEREKLTQQMIKYQKELNEMQAQIAEESQIRIELQMTLDSKD
+SDIEQLRSQLQALHIGLDSSSIGSGPGDTEADDGFPVHITQSHTMESMSFTYQRSSTSLN
+IATKPSSSHMLLDSDSDSEEESVPYLPISSEPNESRLEGWLSLPVRNNTKKFGWVKKYVI
+VSSKKILFYDSEQDKEQSNPYMVLDIDKLFHVRPVTQTDVYRADAKEIPRIFQILYANEG
+ESKKEQEFPVEPVGEKSNYICHKGHEFIPTLYHFPTNCEACMKPLWHMFKPPPALECRRC
+HIKCHKDHMDKKEEIIAPCKVYYDISSAKNLLLLANSTEEQQKWVSRLVKKIPKKPPAPD
+PFARSSPRTSMKIQQNQSIRRPSRQLAPNKPRLLDLAFKDWDWSFDDVDGGDEDDDVFDF
+>tr|A0A3Q1LW37|A0A3Q1LW37_BOVIN Histone acetyltransferase OS=Bos taurus OX=9913 GN=KAT6B PE=3 SV=1
+MVKLANPLYTEWILEAIQKIKKQKQRPSEERICHAVSTSHGLDKKTVSEQLELSVQDGSV
+LKVTNKGLASYKDPDNPGRFSSVKPGTFPKSTKGSRGSCNDLRNVDWNKLLRRAIEGLEE
+PNGSSLKNIEKYLRSQSDLTSTTTNPAFQQRLRLGAKRAVNNGRLLKDGPQYRVNYGGLD
+GKGAPKYPSAFPSSLPPVSLLPHEKDQPRADPIPICSFCLGTKESNREKKPEELLSCADC
+GSSGHPSCLKFCPELTTNVKALRWQCIECKTCSACRIQGKNADNMLFCDSCDRGFHMECC
+DPPLSRMPKDTEIKISIKQESTDINVIGNKDTVTEEDLDVFKQAQELSWEKIECESGVED
+CGRYPSVIEFGKYEIQTWYSSPYPQEYARLPKLYLCEFCLKYMKSKNILLRHSKKCGWFH
+PPANEIYRRKDLSVFEVDGNMSKIYCQNLCLLAKLFLDHKTLYYDVEPFLFYVLTKNDEK
+GCHLVGYFSKEKLCQQKYNVSCIMIMPQHQRQGFGRFLIDFSYLLSRREGQAGSPEKPLS
+DLGRLSYLAYWKSVILEYLYHHHERHISIKAISRATGMCPHDIATTLQHLHMIDKRDGRF
+VIIRREKLILGHMEKLKTCSRTNELDPESLRWTPILISNAAVSEEEREAEKEAERLMEQA
+SCWEKEEQEILSSRANSRQSPAKVQSKNKYLHSPESRPVAGERGQLMELSKESSEEEEEE
+EEEEEEEEEEEEEEEEEEEEEEEENIQSSPPRLTKPQSVAIKRKRPFVLKKKRGRKRRRI
+NSSVTTETISETTEVLNEPFDNSDEERPMPQLEPTCEIEVEEDGRKPVLRKAFQHQPGKK
+RQTEEEEGKDNHCFKNTDPCRNNMDDGANNLKEASRDNPEPLKCKQWPKGTKRGLSKWRP
+NKERKTGFKLNLYTPPETPMEPDDQVTVEEQKETPADKSSPSSTRIEEGVKEAVEPLLPQ
+DENGREETCAPVSPNKSPAGKPEDDVIKPEEEEEEEEEEEEEGNVEKDPSGAKSQEKEEP
+EVCMDKEDPVRLDDHEEEEEEEEEPSHNEGHDADDEDDSHMESAEVEKEEHPREAFKEVL
+ENQEAFLDLSVQPSHSNPEVLMDCGVDLPASCNSEPKELAGATETAPESDEEPPGEQAQK
+QDQKNSEEVDSEFKEGNTATLEIDSETVQAVQSLTQENSEQDDTFQDCAETQEACRSLQN
+YTHADQSPQISATLDDCQQSDHSSPVSSVHSHPGQSVRSVSSPSVPALENSYAQISPDQS
+AISVPSLQNMETSPMMDVPSVSDHSQQVVDSGFSDLGSIESTTENYENPSSYDSTMGGSI
+CGNGSSQNSCSYSNLTSSSLTQSSCAVTQQMSNISGSCSMLQQSSISSPPTCSVKSPQGC
+VVERPPSSSQQLAQCSMAANFTPPMQLAEIPETGNANLGLYERMGQSDFGAGHYPQPSAT
+FSLAKLQQLTNTLIDHSLPYSHSAAVTSYANSASLSTPLSNTGLVQLSQSPHSVPGGPQA
+QATMTPPPNLTPPPMNLPPPLLQRNMAASNIGISHSQRLQTQIASKGHVSMRTKSASLSP
+AAATHQSQIYGRSQTVAMQGPARTLTMQRGMNMSVNLMPSPAYNVNSVNMNMNTLNAMNG
+YSMSQPMMNSGYHSNHGYMNQTPQYPMQMQMGMMGTQPYAQQPMQTPPHSNMMYTAPGHH
+GYMNTGMSKQSLNGSYMRR
+>tr|A0A3Q1LPL5|A0A3Q1LPL5_BOVIN PHD finger protein 11 OS=Bos taurus OX=9913 GN=PHF11 PE=4 SV=1
+RSRLQSLGALPRQGKSISLISPKRTSGGGDAARRHGHGGAFRARVDPRRQLVRGPGRAGH
+SPAPDRFPANGIEDGHTHSFSVFYISFFKKPAQSELKSSILNSVYLGRAGVFQVAERLQK
+RICALCPKDLECSVLYFAQSENIAAHENCLLYSSALVECEDYDPSNNDRNFDVESVKKEI
+KRGRKLKCTFCGKKGATVGCDLKSCFKNYHFFCAKNDHAVLQADGRTGIYKVFCQQHADP
+QNDLPSVKPLSGVFHSHYSEQTKPRHACFSGVKRKRGRSKRHHVQPPERMALKKEKDGRH
+TDAIVKAAFLKKCKEAGLLDALFEEILDKLHLIQERLMDETTAESDYEEIGTSLFDCRLF
+EDTLVNFQAAIENQIHQSEERRRQLKEEIELLQDLKQTLCSGLQSSSTSDSSLSS
+>tr|A0A3Q1MM17|A0A3Q1MM17_BOVIN Homeobox domain-containing protein OS=Bos taurus OX=9913 GN=HOXB8 PE=3 SV=1
+MSSYFVNSLFSKYKTGESLRPNYYDCGFAQDLGGRPTVVYGPSSGGSFQHPSQIQEFYHG
+PSSLSTAPYQQNPCAVACHGDPGNFYGYDPLQRQSLFGAQDPDLVQYADCKLAAASGLGE
+EAEGSEQSPSPTQLFPWMRPQAAAGRRRGRQTYSRYQTLELEKEFLFNPYLTRKRRIEVS
+HALGLTERQVKIWFQNRRMKWKKENNKDKFPSSKCEQEELEKQKLERAPEAADEGDAQKG
+DKK
+>tr|A0A3Q1MCJ7|A0A3Q1MCJ7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=RPS14 PE=1 SV=1
+MAPRKGKEKKEEQVISLGPQVAEGENVFGVCHIFASFNDTFVHVTDLSGKETICRVTGGM
+KVKADRDESSPYAAMLAAQDVAQRCKELGITALHIKLRATGGNRTKTPGPGAQSALRALA
+RSGMKIGRIEDVTPIPSDSTRRKGGRRGRRL
+>tr|A0A3Q1MA17|A0A3Q1MA17_BOVIN Phosphatidylinositol 3-kinase catalytic subunit type 3 OS=Bos taurus OX=9913 GN=PIK3C3 PE=3 SV=1
+MGEAEKFHYVYSCDLDINVQLKIGSLEGKREQKSYKAVLEDPMLKFSGLYQETCSDLYVT
+CQVFAEGKPLALPVRTSYKAFSTRWNWNEWLKLPVKYPDLPRNAQVALTIWDVYGPGKAV
+PVGGTTVSLFGKYGMFRQGMHDLKVWPNVEADGSEPTKTPGRTSSTLSEDQMSRLAKLTK
+AHRQGHMVKVDWLDRLTFREIEMINESEKRSSNFMYLMVEFRCVKCDDKEYGIVYYEKDG
+DESSPILTSFEIVKVPDPQMSMENLVESKHHKLARSLRSGPSDHDLKPNAATRDQLNIIV
+SYPPTKQLTYEEQDLVWKFRYYLTNQEKALTKFLKCVNWDLPQEAKQALELLGRWKPMDV
+EDSLELLSSQYTNPTVRRYAVARLRQADDEDLLMYLLQLVQALKYENFDDIKNGLEPTKK
+DSQGSVSESVSNSGINSAEIDSSQIITSPLPPVSSPPSASKTKESSDGENLEQDLCTFLI
+SRACKNSTLANYLYWYVIVECEDQDTQQRDPKTHEMYLNVMRRFSQALLKGDKSVRVMRS
+LLAAQQTFVDRLVHLMKAVQRESGNRKKKNERLQALLGDNEKMNLSDVELIPLPLEPQVK
+IRGIIPETATLFKSALMPAQLFFKTEDGGKYPVIFKHGDDLRQDQLILQIISLMDKLLRK
+ENLDLKLTPYKVLATSTKHGFMQFIQSVPVAEVLDTEGSIQNFFRKYAPSENGPNGISAE
+VMDTYVKSCAGYCVITYILGVGDRHLDNLLLTKTGKLFHIDFGYILGRDPKPLPPPMKLN
+KEMVEGMGGTQSEQYQEFRKQCYTAFLHLRRPLYLCFLHPNLLRTEHHVEKAMATHSSTL
+AWKIPWMEEPGRLQSMGSLRVGHD
+>tr|E1BFG0|E1BFG0_BOVIN Alpha-aminoadipic semialdehyde dehydrogenase OS=Bos taurus OX=9913 GN=ALDH7A1 PE=3 SV=3
+MWRVPGLLCVRVARKSKFSGSWNRPAAFMSTLLINQPQYAWLKELGLREENDGVYNGSWG
+GRGEVITTYCPANNEPIARVRQASMADYEETVEKAREAWSIWADIPAPKRGEVVRQIGDA
+LREKIQVLGSLVSLEMGKILVEGVGEVQEYVDVCDYAVGLSRMIGGPILPSERPGHALIE
+QWNPVGLVGIITAFNFPVAVYGWNNAIAMICGNACLWKGAPTTSLISVAVTKIIAKVLED
+NKLPGAICSLTCGGADIGTAMAKDERVDLLSFTGSTQVGKQVALMVQERFGRSLLELGGN
+NAIIAFEDADLSLVVPSALFAAVGTAGQRCTTARRLFLHESIHDEVVNRLKKAYAQIRVG
+NPWDSNVLYGPLHTKQAVSMFLGAVEEAKKEGGTVVYGGKVMDRPGNYVEPTIVTGLDHD
+ASIVHTETFAPILYVFKFKNEDEVFAWNNEVKQGLSSSIFTKDMGRIFRWLGPKGSDCGI
+VNVNIPTSGAEIGGAFGGEKHTGGGRESGSDAWKQYMRRSTCLPCTRSQKKRR
+>tr|A0A3Q1N1M7|A0A3Q1N1M7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MAPVVTGKFERGDQTVLILHAKVAQKSYGNEKRFFCPPSCVYLMGKQESQPCAFIGIGNS
+DQEMQQLNLEEKNYCTAKTLYISDSDKRKHFMLSVKMFYGNSDDIGVFLSKRIKVISKPS
+KKKPSLKNADLCIASGIKVALFNRLRSQTVSTRYLHVEGGNFHASFQQWGAFYIHLLDDD
+ESEGEEFTVRDGYIHYGQTVKLVCSVTGMALPRLIIRKVDKQTALLDADDPVSQLHKCAF
+YLKDTERMYLCLSQERIIQFQATPCPKERNKEMINDGASWTIISTDKAEYTFYEGVGPVL
+APVTPEPVVESLQLNEYALCCPRHFCIPRRLEMGPAASPGSSNFGP
+>tr|A0A3Q1MEN6|A0A3Q1MEN6_BOVIN Chromatin target of PRMT1 protein OS=Bos taurus OX=9913 GN=CHTOP PE=4 SV=1
+MAAQSAPKVVLKSTTKMSLNERFTNMLKNKQPMPVNIRASMQQQQQLASARNRRLAQQME
+NRPSVQAALKLKQTFYASPDSGCGRICPSCGWASGGRCHATSLKQRLGKSNIQARLGRPI
+GALARGAIGGRGLPIIQRGLPRGGLRGGRATRTLLRGGMSLRGQNLLRGGRAVAPRMGLR
+RGGVRGRGGPGRGGLGRGAMGRGGIGGRGRGMIGRGRGGFGGRGRGRGRGRGALARPVLT
+KEQLDNQLDAYMSKTKGHLDAELDAYMAQTDPETND
+>tr|F1N535|F1N535_BOVIN Non-SMC condensin II complex subunit G2 OS=Bos taurus OX=9913 GN=NCAPG2 PE=4 SV=1
+MEKRETFIQAVSKELIGKFLQFIQLDNDTCDPFNLNELIDELSRKQKEELWQRLKNLLVD
+MLLESPVEGWQTAEAPSEDSVRAEQDSKMHRNIEIIHAVTSVILASVSVINERENCEPLL
+ECAVILNGILYALPEGERALQGSIQDLCVKWWERGLPAKENMGKTAFIMLLRRSLRTKTG
+ADICRLWRIHQALYCFDYHLEESREIKDMLLECFINVNYIKKEEGRRFLSSLFNWNINFI
+KMIHGTIKNQLQGLPKSLMVHIAEIYFRAWKKASGKILEAIENDCIQDLMHHGVHLPRRS
+PVHPRVRKVLSYFHHQKEVRQGVEEMLYKLYKPILWRGLKARNSEVRSNAALLFVEAFPI
+RHPGFNAIEMDSEIQKQFEELYSLLEDPYPMVRSAGILGVCKITSKYWEMMPPTILIDLL
+KKVTEELAFDLSSADVRCSVFKCLPIILDNKLSHPLLEQLLPALKYCLHDNSEKVRVAFV
+DMLLKVKAVRAAKFWKICPMEHILARLESDSRPVCRRLVGLIFNSFLPVNQPEAVWCERC
+VALLQMNHAAARRFYQHAHEHTACTNIAKLIHVIRHCLNACIRRALSACREDAEEPGKEN
+ASALDQTLSVSDAASMAGLLETVVILWRSIHRSLENNKEARVYTVDKFASVLPQYMRVFT
+DERCRAPLCVLASLMPAPAVPTFSCGVISTLRNQEEGTADRTYCILLDCLCSWGHVGHVL
+ELVFDWLPEEPPQSKGNSASKRKVRVQDPCPVKPGLALVFVEYLLTHPKNRECLLSAPQK
+KLNCLLKALETSKGNLESILQTPDGSPPRLSEGTALRAFSLYCRLSIHLQHKFCSEGKVY
+LSTLEDTGSWLESKVLSFIRDQDEEYLKLHRVVYQQIIQTYLTVCKDVIMVGLGDCKFQT
+HLLQWSLGIMQTVKGFFYVSLLLGILKEITGSSLTWKTDSEEEVAVVFDMVQKVFQQMLE
+CMAWSFKKQPEEGLQLLYSVQTPLHEFISTVQSWHVDKPVHRGVFSTLIAASVVEISHWL
+RKVSDTEELTPPEGLSALPPFSRCLIGIIIKSPNLVRSFLDELKACVISEDIEGIVCLTA
+VVHIILVINKGKHKTSKVKDVAASIERKLKTFMEITLEEDSLERFLYESSSRTLGALLNS
+>tr|A0A3Q1MQ38|A0A3Q1MQ38_BOVIN Two pore segment channel 1 OS=Bos taurus OX=9913 GN=TPCN1 PE=4 SV=1
+MAVSLDDDVPLILTLDEGGSAPLAPSNGLGPDDLPSRTEGESSTAGPPGTSPSGPFLSCA
+WILHGLSSQGPPPTCLNGPSKTQIRAAASQERRKCRGEGQPSSSWRRQQRASSLTAPWRG
+HPVSSSWALVVCLDLAVDGGKYTVHDSQAPSLSLEGENSPSSPTEHDWEMNYQEAAIYLQ
+EGENNDKFFTHPKNAKALAAYLFAHNHLFYLMELSAALLLLLLSLCEAPAVPALRLGIYV
+HATLELFALVVVVFELCMKLRWLGLHTFIRHRRTMVKTSVLVVQFVEAIVVLVRQTSHMR
+VTRALRCIFLVDCRYCGGVRRNLRQMFQSLPPFMDILLLLLFFMIIFAILGFYLFSPNPS
+DPYFSTLENSIISLFVLLTTANFPDVMMPSYSRNPWSCVFFIVYLSIELYFIMNLLLAVV
+FDTFNDIEKRKFKSLLLHKRTAIQHAYRLLVSQRVGGFQGLSQGGGLVLVLLPFLPLPPP
+HLPISAKRRRDSSFRNQGSYPWENRERTGCPGIPRDAGHRAWLPPGEGAAGLCVYLCSTW
+PSSLSPQRPAGISYRQFEGLMRFYKPRMSAGERYLTFKALNQSNTPLLSLKDFHDIYEVA
+ALKWKAKRNREHWFDELPRTAFLIFKGINILVKSKAFQYFMYLVVAVNGVWILVETFMLK
+GGNFVSRHVPWSHLVFLTIYAVELFLKVAGLGPIEYLSSGWNLFDFSVTAFAFLGLLALA
+FNMEPFYFIVVLRPLQLLRLFKLKKRYRNVLDTMFELLPRMASLGLTLLIFYYSFAIVGM
+EFFYGILYPNCCNTSTVADAYRWLNHSVGNRTVVEEGYYYLNNFDNILNSFVTLFELTVV
+NNWYIIMEGVTSQTSHWSRLYFMTFYIVTMVVMTIIVAFILEAFVFRMNYSRKNQDSEVD
+DGITLEKELSKDELVAVLKLYREAGGANSDIAQLLKILSQLERYEQNTLVFLGRRSRTKS
+DLSLKMYQEEIQVGAPPDRAASSSCLGVVLPTAGQGLISSPRLELWGVLGGEFWDYLLEP
+PPVVLQGSALNP
+>tr|A0A3Q1M6C6|A0A3Q1M6C6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=CALM1 PE=3 SV=1
+MQADQLTEEQIAEFKEAFSLFDKDGDGTITTKELGTVMRSLGQNPTEAELQDMINEVDAD
+GNGTIDFPEFLTMMARKMKDTDSEEEIREAFRVFDKDGNGYISAAELRHVMTNLGEKLTD
+EEVDEMIREADIDGDGQVNYEEFVQMMTAK
+>tr|E1BJN0|E1BJN0_BOVIN TPR_REGION domain-containing protein OS=Bos taurus OX=9913 GN=LOC101907544 PE=4 SV=1
+MEKRLQEAQLYKEKGNQCYREGKYRDAVSGYHRALLQLRGLDPSLPSPIPNLGPQGLALT
+PEQENLLHTTQTDCYNNLAACLLQMEPVNYERVKEYSQKDVERQPDNAKALYRAGVAFFH
+LQDYDQARHYLMAAVNRKPKDANVRRYLQRTQLELSSYHRKEKQLYLGMFG
+>tr|A0A3Q1MHC2|A0A3Q1MHC2_BOVIN Ubiquitin specific peptidase 40 OS=Bos taurus OX=9913 GN=USP40 PE=3 SV=1
+MKKIQVLLPPKPRLLKEQLKISQGGDVGKFCFKNKDIYITCHIFLRVVFSFTMFGDLFEE
+DYSSVSNNNGKGKRLKTKALEPPAPREFTNLSGIRNQGGTCYLNSLLQTLHFTPEFREAL
+FSLGLEELGSLEDKDKPDAKVRIIPLQLQRLFAQLLLLDQKAVSTADLTDSFGWTSDEEM
+RQHDVQELNRILFSALETSLVGTSGHDLINRLYHGTIVNQIVCKECKNVSEKQEDFLDLT
+VAVKNVSGLEDALWNMYVEEEVFDCDNLYHCGNCDRLVKAAKSAKLRKLPPFLTISLLRF
+NFDFVKCERYKETSCYTFPLRINLKPFCEQSELDDLEYMYDLFSVIIHKGGCYGGHYHVY
+IKDVDHLGNWQFQEDKSKPDVNLEDIQNKEEVDDPLMILKAILLQEESNLIPVDQLGQKL
+LKKIGISWNKKYRKQYGPLRKFLQVHSQIFLLSSDESTVRLLKDSSLQAESDIQRNDQRL
+FKTLTSESLTLNDRPSCPHWFDINDSTVQPIKEKDIEQQFQGKESAYMLFYRKSQLQRPP
+EARANPRYKVPCHLLSEMDAANIELQIKRAECDSTNNTIELHLHLGPHYHFFNGALHPVV
+SQTESMWDLTFDKRKTLGDLRQSIFQLLEFWEGDMVLSVAKFVPAGLHVCQTLNGDDLAL
+CEIEITDGEDIFVWNGVEVGGIQIPTGSDCEPLLLNVLHVATSSEGEECQQLIESPHVFP
+SNAEIGTVFTALAIPEGVILINNTESRGGESWITIPKEDLKKTFREQGLRNGSSVLIQGS
+NNGNSLLTKQGKWIDTVNEINWLQVRNLSQLESEEEQVKISATLNTVVFDIRIKAIKELK
+LLKELAENSCLRPIDRNGKLLCPVPDRCTLKEAGLKMGSSLGLCPGKAPTSSQLFLFFAL
+GSDVHPGTEMEIIVEETISVRDCLKIMLDKCGLTGETWHLRKMDWCFEAGEPLCEEDAKL
+KELMICSGDTLLLIEGKLPPLGFLTVPIWWYQPQGPLHHWKDHQDQTNCTLSQGSVWKAS
+STPGAPSDAHAEVSLWYLGDLEISEDATLGELKSQAMTLPSLEFSIPSPAFLRAWTVENK
+RPGRLLRGHQQQLKEYKLGKRTEICLEPLQKEENLGPWDVLLRTQMRLPGKRAYGPPVDV
+LWDTARGWTASSLRQRVADVYSLPVEKIEIAKYFPDKFAWLPVSSWSQQTTKRKKKKKQD
+NLQGAPYYLKDGDTIGVKNLLVEDDDDFSTVQDDIGREKLKEVALGKRKSREALRAQSSD
+VSSVEMPAQARGPEASLSIHVGSFR
+>tr|A0A3Q1N6R0|A0A3Q1N6R0_BOVIN Protein kinase, membrane associated tyrosine/threonine 1 OS=Bos taurus OX=9913 GN=PKMYT1 PE=3 SV=1
+MLMPAEGTPPPLGGTPVPVPAYFRHAEPGFSLKRPRGLSRSLPPRPPAKGSIPVSRLFPP
+RTPGWHQPHARRVSFRDQASEPLQSPGYDPSRPESFFQQSFHRLGRLGHGSYGEVFKVRS
+KEDGRLYAVKRSMSPFRGPKDRTRKLAEVGGHEKVGQHPRCVRLEQAWEEGGILYLQTEL
+CGPSLQQHCEAWGASLPETQVWGYLRDTLLALAHLHGQGLVHLDVKPANIFLGPRGRCKL
+GDFGLLVELGASGTSEAQEGDPRYMAPELLQGSYGTAADVFSLGLTILEVACNMELPRGG
+EGWQRLRQGYLPPEFTAGLSSELRSVLVTMLEPDPKLRATAEALLALPVLRQPRPWSVLW
+FMAAEALSRGWALWQVSGEGDGPPGSAPCPGPAWPPLSPCPCRPCSPCSAGSGTGWRTPP
+AGCSPRVRRPPHLGRRPVASSWTAASPAPGTTTA
+>tr|A0A3Q1M5F6|A0A3Q1M5F6_BOVIN Immunoglobulin superfamily member 5 OS=Bos taurus OX=9913 GN=IGSF5 PE=4 SV=1
+MEGAAERGVLAVLVVLAVLAACGSSSEIIEGPKNVTALKGSEARFNCTISQGWKLVMWAL
+RGTVVLSMTPNETIITSDRFTSASYQEGGNFISEMIIHDVQLSDAGQVKCSLQNSNRDGA
+AFLSVQESSYQSQIRKSATVKTSKDTAGTKLKSANENYGYHSDESRTTQPASLHAKSSEA
+GVPEQCSSRQPHQESDHRQLGPANHPQVSFYVASPKKVRNVTLV
+>tr|F6RB08|F6RB08_BOVIN Kinesin motor domain-containing protein OS=Bos taurus OX=9913 PE=3 SV=1
+MASESVKVVVRCRPMNQRERELNCHPVVTVDSARGQCFIQNPGAADQPPKQFTFDGAYYM
+DHFTEQIYNEIAYPLVEGVTEGYNGTIFAYGQTGSGKSFTMQGLPDPACQRGIIPRAFEH
+IFESVQCAENTKFLVRASYLEIYNEDVRDLLGTDAKQKLETVNARRARSSSRRSLRRGDQ
+PRSVASMPVTAPRLWVFLSEHWTFPPRGPQPAVEVDLPSPVQSQHACAGECQSLMSISLG
+RLLWRSPSCRWGKEAGKETGTCLRPVG
+>tr|A0A3Q1LTT6|A0A3Q1LTT6_BOVIN J domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MVKETTYYDVLRVKPNATPEELKKAYRKLALKYHPDKNPNEGEKFKQISQAYEVLSNAKK
+RELYDKGGEQAIKEGGAGGGFGSPMDIFDMFFGGGGRMQRERRGKNNCIPFFCCAIFY
+>tr|A0A3Q1MJE0|A0A3Q1MJE0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MARAGLSAARAHRPAPSAPGRSGLRLGPGPGPGAKDPPARPTRRSELRVPPRLPPGLPRS
+RRRQQRRPQLEEKEKFVQRRRGRGRSGAPCRAAGPHPHRSARGSTRPCPHPLPHGEVALC
+IPQDPGPPKSQAPFPGEAALDSQRPLKMAILRRRTPLAFRPARRSAPAALRGAGGSTRAP
+RLARAQRLDPRAADRPIDLGQVTAPLRASPSGSVKWG
+>tr|A0A3Q1M3H2|A0A3Q1M3H2_BOVIN Latent-transforming growth factor beta-binding protein 2 OS=Bos taurus OX=9913 GN=LTBP2 PE=4 SV=1
+MVWIKETKNQGEVSKNKTLQFSFRRLQRPGEGAKVQQRCAPSGRRSAASRLGARSPRPLP
+PPGSGSRESLARSLTRGPLDGKRRATGRGPERSQRATGAPTVSPRGRSPRARAQLSRRPG
+SMRPPTTARCPGRVLQNPWRSFWPLTLALFVGMGQAQRDPVGRYEPAGRDASRLRRPGGS
+PVVATAKVYSLFREQDAPVRGSPPAELVQPSWGSPRRSTEAEARRPPRAQQPRRVQPPAQ
+TWRSRPSGQQQSAPRARAAPALPRLETVQRPRAARGRLTGRNVCGGQCCPGWTTANSTNH
+CIKPVCQPPCQNRGSCSRPQLCVCRSGFRGARCEEVIPEEEFDPQNSRPAPRRSAEGPPS
+LRRSSVARESTTARVRPPAPQLQRARTLSGLSQTRSSQQHVGLSQTTRLYPAPAASGQLT
+SNALPMGPGPERRDGAPQAAYLDRPSSSWGLNLTEKIKKIKIVFTPTICKQTCARGRCAN
+SCERGDTTTLYSQSGHGHDPKSGFRIYFCQIPCLNGGRCIGRDECWCPANSTGKFCHLPA
+PRLDQEPPERGPRHRAPLEGPLKQSTFTLPLSNQLASVNPSLVNVHIRHPPEASVQIHQV
+ARVRGEAEEAPEENSVETRPSPRLPAGPGPGRWDSNRIPARSGEAPRLPPPVVPRTPALL
+GRCYLSTLNGQCANPLPELTAHEDCCGSVGAFWGVTSCAPCPPRPASPVIENGQLECPQG
+YKRLNLTHCEDVNECLTLGLCEDSECVNTRGSYLCTCRPGLLLDPSRSRCVSDKAVSMQQ
+GLCYRLLGPGTCALPLAQRITKQICCCSRVGKAWGSLCEKCPLPGTEAFREICPAGHGYT
+YSSSDIRLSMRKAEEEELARPSRDRGPKRNGTLPRPAERQPLRAATGTWVEAETIPDKGD
+SQASQVTTSVTQLSTWVPGGALGTPTPSVPEQGIPEAREEAQVTAPTNVLVTPAPSGIDR
+CAAGATNICGPGTCVNLPDGYRCICSPGYRLHPSQAYCTDDNECLRDPCKGRGRCVNRVG
+SYSCFCYPGYKLATSGATQECQDIDECEQPGVCSRGRCTNTEGSYHCECDQGYIMVRKGH
+CQDINECRHPGTCPDGKCVNSPGSYTCLPCEEGYRGQGGSCVDVNECLTPGVCTHGTCIN
+LEGSFRCSCEQGYEVTPDEKGCQDVDECAIRASCPTGLCLNTEGSFTCSACESGYWVNED
+GTACEDLDECAFPGVCPSGVCTNTAGSFSCRDCEAGYQPSALGHTCEDVDECVGEEYCAP
+RGECLNSHGSFFCLCADGFVSADGGTSCQDVDECAVTDRCLGGQCVNTDGSFNCVCETGF
+QPSPESGECVDIDECEDLGEPICGAWRCENSPGSYRCVLGCQPGFHMAPTGDCIDIDECA
+NDTVCGSHGFCDNTDGSFRCLCDQGFETSPSGWDCVDVNECELMLAVCGAALCENVEGSF
+LCLCASDLEEYDAQEGRCRPRGAGGPSVPEARPGAHPPGPVRMECYSGQKDQTPCSSLLG
+RNTTQAECCCTQGTGWGDACDLCPDEDSVEFSEICPSGKGYIPVEGAWMFGQTTYTDADE
+CVMFGPGLCRNGRCLNTVPGYICLCNPGYHYNAASRKCEDHDECQDMACENGECVNTEGS
+FHCFCSPPLTLDLSQQRCVNSTSGVEDLPDHDIHMDICWKRVTNYVCSHPLHGRRTTYTE
+CCCQDGEAWSQQCALCPPRSSEVYAQLCNVARIEAEREAGIHFRPGYEYSPGPDDLHYSL
+YGPDGVPFYNYLGPEDAIPEPLFPSTAGRPGDRIPLPEPPLQPSELQPHYVASHPEHQAG
+FEGLQAEECGILNGCENGRCVRVREGYTCDCFEGFQLDTAHMACVDVNECDDLNGPAALC
+VHGHCENTEGSYRCHCLPGYVAEAGPPHCTAKE
+>tr|F1MUL1|F1MUL1_BOVIN Ferritin OS=Bos taurus OX=9913 PE=3 SV=2
+AMMPAPLQECQNYHPDCEAGVNGQVNLQIYASYVYLSMAFYFDRDDVALKRFSHFFLRCS
+HKHKEQIESLMHLQNRHGGRFCLQDLRKPDRTNWESGLLAMQCALHLEKSVNQSLLDLYQ
+LATDKNDPHLYHFLKTHHLDQQVEFIKKLRDHVSNLPKMGAPEVSMAEYLFDKLTLGDGD
+KED
+>tr|E1BNQ7|E1BNQ7_BOVIN NK6 homeobox 1 OS=Bos taurus OX=9913 GN=NKX6-1 PE=4 SV=2
+MLAVGAMEGPRQSAFLLSSPPLAALHSMAEMKTPLYPAAYPPLPAGPPSSSSSSSSSSSP
+SPPLGAHNPGNLKPPAAGGLSALGSPPQQLSAATPHGINDILSRPSMPVASGAALPSASP
+SGSSSSSSSSSTSASAAAAAAAAAAAAASSPAGLLAGLPRFSSLSPPPPPPGLYFSPSAA
+AVAAVGRYPKPLAELPGRTPIFWPGVMQSPPWRDARLACTPHQGSILLDKDGKRKHTRPT
+FSGQQIFALEKTFEQTKYLAGPERARLAYSLGMTESQVKVWFQNRRTKWRKKHAAEMATA
+KKKQDSETERLKGASENEEEDDDYNKPLDPNSDDEKITQLLKKHKSSGGGGGGGLLLHAS
+ETEGSS
+>tr|A0A452DIW4|A0A452DIW4_BOVIN Malate dehydrogenase OS=Bos taurus OX=9913 GN=MDH1 PE=1 SV=1
+MVLVTGAAGQIAYSLLYSIGNGSVFGKDQPIILVLLDITPMMGVLDGVLMELQDCALPLL
+KDVIATDKEEIAFKDLDVAILVGSMPRRDGMERKDLLKANVKIFKCQGAALDKYAKKSVK
+VIVVGNPANTNCLTASKSAPSIPKENFSCLTRLDHNRAKAQIALKLGVTSDDVKNVIIWG
+NHSSTQYPDVNHAKVKLQGKEVGVYEALKDDSWLKGEFITTVQQRGAAVIKARKLSSAMS
+AAKAICDHVRDIWFGTPEGEFVSMGIISDGNSYGIPDDLLYSFPVTIKDKTWKVVEGLPI
+NDFSREKMDLTAKELAEEKETAFEFLASA
+>tr|G5E612|G5E612_BOVIN Histone domain-containing protein OS=Bos taurus OX=9913 PE=3 SV=2
+MGSQRKRKPQTPRRRPVSPAPAARRPAPSLGTSSRPLARRRHGVLKEIRTLQKTTHLLLR
+KNPLCRLAREICVQFTRGVDFNWQAQALLALQEAAEAFLVHLFEDAYLLSLHAGRVTLFL
+KDVQLAQRIRGIQEGLG
+>tr|A0A3Q1MFU6|A0A3Q1MFU6_BOVIN Suppressor of cytokine-signaling 2 OS=Bos taurus OX=9913 GN=SOCS2 PE=4 SV=1
+MTLRCLESSGNGAEGAQSQWGTAGSAEEPSPEAARLAKALRELSHTGWYWGSMTVNEAKE
+KLKEAPEGTFLIRDSSHSDYLLTISVKTSAGPTNLRIEYQDGKFRLDSIICVKSKLKQFD
+SVVHLIDYYVQMCKDKRTGPEAPRNGTVHLYLTKPLYTSAPPLQHLCRLTINKCTSTVWG
+LPLPTRLKDYLEEYKFQAHICP
+>tr|F1N677|F1N677_BOVIN Phospholipid-transporting ATPase OS=Bos taurus OX=9913 GN=ATP8A2 PE=3 SV=3
+MSRATSVGDQLDVPARTIYLNQPHLNKFCDNQISTAKYSVVTFLPRFLYEQIRRAANAFF
+LFIALLQQIPDVSPTGRYTTLVPLIIILTIAGIKEIVEDFVLTNTLFCFSLSVLRNGMWQ
+TIVWKEVAVGDIVKVVNGQYLPADVVLLSSSEPQAMCYVETANLDGETNLKIRQGLSHTA
+DMQTREVLMKLSGTIECEGPNRHLYDFTGNLNLDGKSPVALGPDQILLRGTQLRNTQWGF
+GIVVYTGHDTKLMQNSTKAPLKRSNVEKVTNVQILVLFGILLVMALVSSVGALYWNGSQG
+GKNWYIKKMDATSDNFGYNLLTFIILYNNLIPISLLVTLEVVKYTQALFINWDTDMYYLG
+NDTPAMARTSNLNEELGQVKYLFSDKTGTLTCNIMNFKKCSIAGVTYGHFPELTREPSSD
+DFSRIPPPPSDSCDFDDPRLLKNIEDHHPTAPCIQEFLTLLAVCHTVVPERDGDSIVYQA
+SSPDEAALVKGARKLGFVFTARTPYSVIIEAMGQEQTFGILNVLEFSSDRKRMSVIVRTP
+SGQLRLYCKGADNVIFERLSKDSKYMEETLCHLEYFATEGLRTLCVAYADLSERDYEEWL
+KVYQEASTILKDRAQRLEECYEIIEKNLLLLGATAIEDRLQAGVPETIATLLKAEIKIWV
+LTGDKQETAINIGYSCRLVSQNMALILLKEDSLDATRAAITQHCADLGSLLGKENDAALI
+IDGHTLKYALSFEVRRSFLDLALSCKAVICCRVSPLQKSEIVDVVKKRVKAITLAIGDGA
+NDVGMIQTAHVGVGISGNEGMQATNNSDYAIAQFSYLEKLLLVHGAWSYNRVTKCILYCF
+YKNVVLYIIELWFAFVNGFSGQILFERWCIGLYNVIFTALPPFTLGIFERSCSQESMLRF
+PQLYKITQNAEGFNTKVFWGHCINALVHSLILFWFPMKALEHDTVLANGHATDYLFVGNI
+VYTYVVVTVCLKAGLETTAWTKFSHLAVWGSMLIWLVFFGIYSTIWPTIPIAPDMKGQAT
+MVLSSAHFWLGLFLVPTACLIEDVAWRAAKHTCKKTLLEEVQELEMKSRVMGRAMLRDSN
+GKRMNERDRLLKRLSRKTPPTLFRGSSLQQSMPHGYAFSQEEHGAVTQEEIVRAYDTTKQ
+KSRKK
+>tr|E1BB63|E1BB63_BOVIN C-type lectin domain family 4 member F OS=Bos taurus OX=9913 GN=CLEC4F PE=4 SV=2
+MKEAETRADQVHFCTENQNVSLSSQGLDPVAVVPAAPRMPRRLQATLASLGVFLVSCLVV
+LFIVALQHPRPALDTSAYFQEFLGDNHTGQFLKERDYPYHSVRVAEFQEAVQLFKGHMEN
+SSTWSVEIQLLTCRVDNVSSQIQMLGGDLESASADIQMLKGLLKDASALSFQTQLLRSSL
+EETTSKIQKLQGDLEEANGSNSQIQGSFKSSLENTSIELLVLSRGLENANTEIQVLKAGL
+ETANAEVRLANSSLKNVNAQIHVLRGDLDSVSDLRAHHQVLRSSLESTTAEMQRLKGSLQ
+NANALNSQTQTFVRGSLDNTSAQIQVLRSHLERAGGEIHLLKRDLENVTAQTQTASSHLE
+QTDAEMRVLKTELESAIALSSKIQVLNGLLRNASQEIQTLKQGMKDAAALQSQTQMLERS
+LQEARTEIQTLRKDLGNTKTLRTTIQEQQRSLESFRTALASQEQLQRNHNQLFQLFLQGW
+KFYSGSLYYFSSAKKTWQEAEQFCVSHGAHLASVTSEEEKTFLIQFTSSVYHWIGLTDHG
+TEGHWRWTDGTAFDRARSRAFWAENQPDNWQHGIGQSEDCVQMQQKWNDISCSTLCRWIC
+KKPMVQL
+>tr|F1MKP4|F1MKP4_BOVIN CRF domain-containing protein OS=Bos taurus OX=9913 GN=UCN2 PE=4 SV=1
+MTRWALLTLMVLTLGRTLLVPATPTPGFQLLPQNFPQATACPVTSESPSGSTTAPSAAWG
+RPSPDPHPGPRITLSLDVPLGLLQILLEQARARAVREQAAANARILAQVGRR
+>tr|A0A3Q1NAS6|A0A3Q1NAS6_BOVIN Leucine rich repeat containing 17 OS=Bos taurus OX=9913 GN=LRRC17 PE=4 SV=1
+MRVAIIVILLFFCKAAELRKASPGGARNRVNHGRAGGSRKSSNPVKRYAPGLACEVYTYL
+HEKYLDCQERKLVYVLPDWPQDLLHMLLARNKIRILKNSMFSKFKKLKSLDLQQNEISKI
+ESEAFFGLNKLTTLLLQHNQIKVLTEEVFIYTPLLSYLRLYDNPWHCTCEMETLISMLQI
+PRNRNLGNYAKCESPQELKNKKLRQIKSEQLCNEEESEQLEPRPQLSGKPPVIKTEVDST
+LCHNYVFPIQTLDCRRKELKKVPNNIPPDIVKLDLSHNKINQLRPKEFEDVHELKKLNLS
+SNGIQFIDPAAFLGLTHLEELDLSNNSLQNFDYGVLEDLYFLKLLWLRENPWRCDYNIHY
+LYYWLKHHYNVHYNGLECKMPEEYKGWFVGKYVRSYYEECPKDKLPAYPETFDQDMEDDE
+WEKIHKDHTAKKQSDYPTKGRFP
+>tr|E1B750|E1B750_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=3
+MSLWAPPRLLDLAAMSVLRNEDLAMSSLEFLHIKTLKTMVYSWPFVRLPLGGLMEPPHLI
+TLQAVLDGLDVLLSQKEHPRRCKLQVLDLRNTGQNFWSIWSGYMDHMYSSSLTAPVPEDR
+SRTKQPLAPLEVFIEMNLKEWAMDEFLTYLMRWAEQRKGSIHLCCKKLKIFGMPVENIMK
+VLSMVQLDCIQDVEVNQNWHLSSLATFAPLLGQMSNVQRLILSASKEQEQHVVVQFTSQF
+LKLHHLRDLYLESPFFLSGRLDQMLRCLMSPLDNLTITHCLLTESDLTHLSQCPRISQLK
+GLDLSGITLADFSPELLQVLLEQVCEIMDSQIEAILPALSHCSQLRSISMCGNLLSMAAM
+EKLLRHTSGLPRLSQEFYPAPLESNSSQAVLSEERLAQLRAELLEILRDLGHPRTIWLNS
+IFFASYGLEKVSFHSNPKEGNAK
+>tr|E1BPT0|E1BPT0_BOVIN Leucine rich repeats and death domain containing 1 OS=Bos taurus OX=9913 GN=LRRD1 PE=4 SV=1
+MSEKKRTSEELEDIISRYRKESRSRSVEESGLTKETSNLLKEDSDETSSNQIYETHPSKN
+TLASSSGSKSKKNEEQRKSLQFSETITTYDSLQSKTGISQNSSLSETEMRAEYQAFVDSL
+SHETLGKISPQLSEENQKGSGLPSDNFTINLKAKGLQEFPKDILKVKYVKYLYLDENEIK
+SFKGADSRDMLGLEILSIQKNGLSTLPSEIQLLHNLKLLNVSYNQISHIPKEISQLGNIK
+ELFLNNNCIEDFPSGLESLKNLEILNLAKNKLRHIPDALSSLKNLRALNLEYNRLTIFPK
+ALCFLPKLISLNLTGNLINSLPKEIKELKNLEKLLLDHNKLTFLAVEIFLLLKMKELQLT
+DNKLEVISNKIENFKELRILILDKNLLKDMPENISHCAVLECLSLSDNKLTELPKNIHKL
+KNLRKLHINRNYLVKIPEYISHLNNMFSLEFSGNFITDFPIEIKSCKNIAKVELSYNKIM
+YFPLGLCALDSLHYLSLNGNYISEIPVDISFNKQLLHLEFNENKLLLFSEHLCSLINLEY
+LDLGKNKIRKIPPSISNMVSLHVLILCYNKLETFPTEVCTLDNLRVLDLSENQIQTIPSE
+ICNLKGIQKLNISNNQFIYFPVELCHLQSLEELNISQINGKKLTRLPEELSNMTKLKGLD
+ISNNAIREMPTNIGELRSLVSLNADNNQIRYLPSSFLSLNALQQLNLSGNNLSVLPSGIY
+NLFSLKEINFDDNPLLRPPMEICKGKQLYTIARYLQRADERDEKILAKIFKIVAKNITET
+HFQFLCQKLNLTISESDKSALSTVSLSERVHQVLDKWKMEKNNLSVTTAALRDQLTRALT
+MIGAYEIMDKITALKLFSCAIKF
+>tr|A0A3Q1MJM1|A0A3Q1MJM1_BOVIN Hypoxia up-regulated 1 OS=Bos taurus OX=9913 GN=HYOU1 PE=1 SV=1
+MPGGRQRNLEAAGPEAGAAPGAAPSLPCGSFTSSLSKKTKRRHCRDTMAATVRRQRPRRL
+ACWALMTVLLADLLALSDTLAVMSVDLGSESMKVAIVKPGVPMEIVLNKESRRKTPVTVT
+LKENERFFGDSAASMAIKNPKATLRYFQHLLGKQENNPHVALYKARFPEHELGFDPQRQT
+VYFQISPQLQFSPEEVLSMLLNYSRSLAEDFAEQPIKDAVITVPAFFNQAERRAVLQAAR
+MAGLKVLQLINDNTATALSYGVFRRKDINSTAQNIMFYDMGSGSTVCTIVTYQTVKTKDA
+GIQPQLQIRGVGFDRTLGGLEMELRLREHLARLFNEQRKGQRAKDVRENPRAMAKLLREA
+NRLKTVLSANADHMAQIEGLMDDVDFKAKVTRAEFEELCADLFERVPGPVQQALQSAEMK
+LDEIEQVILVGGATRVPKVQEVLLKAVGKEELGKNINADEAAAMGAVYQAAALSKAFKVK
+PFVVRDAVIYPILVEFTREVEEETGVRSLKHNKRVLFSRMGPYPQRKVITFNRYSHDFNF
+HINYGDLGFLGPEDLRVFGSQNLTTVKLKGVGESFKKYPDYESKGIKAHFNLDESGVLSL
+DRVESVFETLVEDSPEEESTLTKLGNTISSLFGGGSTPDTKENGTDTVQEEEEGPAEGSK
+DEPGEQAELKEEAEAPAEDTSSPPPPEAKGAAAPEGEKAAEKDGGDKPEAQKPSEKREAG
+SEGAPPAPEEEKKQKPARKQRMVEEIGVELVVLDLPDLPEDQLARSAQKLQDLTLRDLQK
+QEREKAANSLEAFIFETQDKLYQPEYQEVSTEEQREDISGKLSATSTWLEDEGFGATTAM
+LKEKLAELRKLCHGLFFRVEERKKWPERLSALDNLLNHSSMFLKGARLIPEMDQIFTEVE
+MTTLEKIINETWAWKNTTVAEQAKLPATEKPVLLSKDIEAKMAALDREVQYLLNKAKFAK
+PRPRPRDKNGTRAEAPLNASTSDQSEKVIPPPGQTEDTKPIPEPEKETGELGKRMGNMDI
+T
+>tr|G3X6Y8|G3X6Y8_BOVIN Ectonucleoside triphosphate diphosphohydrolase 8 OS=Bos taurus OX=9913 GN=ENTPD8 PE=3 SV=1
+MGLTWKQRVFTALLGAAAVSGLTALLLVLVGTMNVLLPPDTKFGIVFDAGSSHTSLFVYQ
+WPANKENDTGIVSQALACQAEGPGISSYASDPARVGESLQGCLEEALALIPKAKHHETPM
+FLGATAGMRLLSRKNRSQAEDVFAAVSRALGQSPVDFRGAELLTGQDEGAFGWITINYIL
+GLLVKYSFSGEWIRPLEETLVGALDMGGASTQITFVPGGPILDKTAQATFRLYGADHTVY
+THSYLCFGRDQALSRVLAELVQASTGLLIRHPCYHSGYRGTLALASLYESPCAPAAPPDL
+SQNLTVEGTGNPGACVEALRKLFNFSSCDGREDCAFAGVYQPPVQGQFYAFSNFYYTFNF
+LNLTSKPSLSGANATIWEFCLRPWKLVEASAPPGQDRWLRDYCASGLYILTLLVEGYGFS
+EETWGGIEFRQQAGGADIGWTLGYMLNLTNLIPAEAPAQGWAQSFGVWAAGVVFVVLTLA
+ATLGAVAVQVFWLQD
+>tr|F1MZM6|F1MZM6_BOVIN Ferric-chelate reductase 1 OS=Bos taurus OX=9913 GN=FRRS1 PE=4 SV=3
+MTAPGFTVSAFILLLHVSFVANYPSGKVTKSCGGMIPEHGHTPQSHPAHNISVSQKTFRP
+GDQIKVTLSGPPFKGFLLEARDAENLSGPPVGSFTLIDSHVSQLLTCEDVQGSAVSHRSP
+SKKTEIKVFWDAPSGAPNHITFLATVVEKYKIYWVKIPGPVISQPNVPPFTTPEATIAPM
+PTVPSVSHLTRSFNASDCGNKKFCIRSPLNCDPEKERACVFLSFTRDDQSVMVEMSGPSK
+GYLSVAFSHDRWMGDDDAYVCILEDQIVHIQPSHLTGRSHPIMDFGDPLEDMAWRLVDGV
+MQCSFRRNITLPGVKNRFDLNASYYIFVADGAAVDGRIHKHSQQPLITYEKYNVTGDPKN
+IGGSHSLLLLKVHGALMFVAWMTTVSVGVLIARFFKPVWSKALFGDAAWFQVHRTLMLTT
+SALTFIAFLLPFIYRGGWNWHAGYHPYLGFIVMVLAVLQLLLAAFRPPLHDPRRQMFNWT
+HWSMGTAARIIAVAAMFLGMDLPGLNLPGPWKTYAMIGFVAWHVGTEIILEIHAYRLSRK
+VEILDDDRIQILQSFTAAEAEGYVFKKVVLAIYVCGNLTFLTMFLSAINRL
+>tr|F1N075|F1N075_BOVIN G_PROTEIN_RECEP_F1_2 domain-containing protein OS=Bos taurus OX=9913 GN=LOC784897 PE=3 SV=2
+MTPKNSTEVTEFILAGITDDPQLQIPLFLVFTLIYLLTLVGNLGVITLILLDSRLHTPMY
+IFLSQLSLMDFAYSTAVTPKVMAGFLTGDKVISYHACAAQFFFFAVFLIMETFLLASMAY
+DHHAAVCQPLHYTNIMTPRVCASMVVGSYVLSFLEASVHTWNIFSLSFCRSRVIDHFFCD
+AIPLLALSCSDNKRSEIVFFILAGFNIISSVLIILISYLFIFVTILRVRSSEGHQKAFST
+CASHLTSVSIFYGTGAFMYLQPGSRHSMSTDKMASVFYAIVIPMLNPLIYSLRNKEVKSA
+FHRLCGKQHLL
+>tr|F1MBP8|F1MBP8_BOVIN COP9 signalosome subunit 5 OS=Bos taurus OX=9913 GN=COPS5 PE=4 SV=2
+MAASGSGMAQKTWELANNMQEAQSVDEIYKYDKKQQQEILAAKPWTKDHHYFKYCKISAL
+ALLKMVMHARSGGNLEVMGLMLGKVDGETMIIMDSFALPVEGTETRVNAQAAAYEYMAAY
+IENAKQVGRLENAIGWYHSHPGYGCWLSGIDVSTQMLNQQFQEPFVAVVIDPTRTISAGK
+VNLGAFRTYPKGYKPPDEGPSEYQTIPLNKIEDFGVHCKQYYALEVSYFKSSLDRKLLEL
+LWNKYWVNTLSSSSLLTNADYTTGQVFDLSEKLEQSEAQLGRGSFMLGLETHDRKSEDKL
+AKATRDSCKTTIEAIHGLMSQVIKDKLFNQINIS
+>tr|A0A452DIW7|A0A452DIW7_BOVIN Tetraspanin OS=Bos taurus OX=9913 GN=CD151 PE=3 SV=1
+VEEPEVGLSCPQCPSALPARASGREQGTRAAHCPGRAVPTDGAASSRRALRSRSPAQPVA
+ASALVPEPFPTTPRRHPPVRTLSRRDPGLPCKPSSARRPERPLSALDRTSRPSPGQARVR
+PGDPRSPAPPPPLLPSGCLGPQPAWPRPPRVALRPPPGLVPRPRAPSAVRTRLRRRPGAG
+PAGSGRGPGRHLASRSQARAGCVFSALPAAAADPAARRPPDSDAWPWSLFRRELLSTCPT
+EEPSHQPARPPPTGPRMGEFGEKSTTCGTVCLKYLLFTFNCCFWLAGLAVMAVGIWTLAL
+KSDYISLLASGTYLATAYILVVAGIVVMVTGALGCCATFKERRNLLRLYFGLLLIIFLLE
+IIAGALAYIYYQQLNAELKENLKDTMTRRYHQPGHEGVTSAVDKLQQEFHCCGSNNSRDW
+QDSEWIHSGEAGGRVVPDSCCKTVVPGCGRRDHASNIYKVEGGCITKLETFIQEHLRIIG
+AVGLGIACVQVFGMLFTCCLYKSLKLEHY
+>tr|A0A3Q1N846|A0A3Q1N846_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=MCTP1 PE=4 SV=1
+MLYSCKLKGACNLPVICNKKINTAGTSNADVPLAEPGMYQLDITLRRGQSLAARDRGGTS
+DPYVKFKIGRKEVFRSKIIHKNLNPVWEEKACLLVDHLREPLYIKVFDYDFGLQDDFMGS
+AFLDLTQLELNRPTDVTLTLKDPHYPDHDLGIILLSVILTPKEGEHVTMLMRKSWKRSSK
+ELSENEVLGSYFSVKSFFWRFQTQSLRLSDVHRKSHLWRGIVSITLIEGRDLKAMDSNGL
+SDPYVKFRLGHQKYKSKIMPKTLNPQWREQFDFHLYEERGGIIDITAWDKDAGKRDDFIG
+RCQIDLSALSREQTHKLELQLEEGEGHLVLLVTLTASATVSISDLSVNSLEDRKEREEIL
+KRYSPLRIFHNLKDVGFLQVKVIRAEGLMAADVTGKSDPFCVVELNNDRLLTHTVYKNLN
+PEWNKVFTFNIKDIHSVLEVTVYDEDRDRSADFLGKVAIPLLSIQNGEQKAYVLKNKQLT
+GPTKGVIYLEIDVIFNAVKASLRTLIPKEQKYIEEENRLSKQLLLRNFIRTKRCVMVLVN
+AAYYVNSCFDWDSPPRSLAAFVLFLFVVWNFELYMIPLVLLLLLTWNYFLIISGKDNRQR
+DTVVEDMLEDEEEEDDKDDKDSEKKGFINKIYAIQEVCISVQNILDEVASFGERIKNTFN
+WTVPFLSWLAIVALCAFTVILYFIPLRYIVLVWGINKFTKKLRNPYAIDNNELLDFLSRV
+PSDVQVVQYQELKPDPSHSPCKRKKNNLG
+>tr|F1N2C7|F1N2C7_BOVIN AarF domain containing kinase 5 OS=Bos taurus OX=9913 GN=ADCK5 PE=4 SV=1
+MWRPAQLCHFHSALLQGRPKPWPSPATFFRRNLAAPPARPLRPQPLWRKALSAAALGAPL
+LLGVRYLTAGAQERRRMRLVVDGIGRFSRSLRVGLQISLDYWWCTNVILRGVEENSPRYL
+EVMSACHQRAADALVAGAISNGGLYVKLGQGLCSFNHLLPPEYIRTLRVLEDRALTRGFR
+EVDELFLEDFQAPPHELFQEFDYQPIAAASLAQVHRARLHDGTVVAVKVQYIDLRDRFDG
+DIHTLELLLHLVELMHPSFGFSWVLQDLKGTLAQELDFENEGRNAERCARELQHFRHVVV
+PRVHWGTSSKRVLTAEFYEGCKVNDVEAIQSMGLAVQDIAEKLIKAFAEQIFYTGFIHSD
+PHPGNVLVRKGPDGKAQLVLLDHGLYQFLDEKDRLALCQLWRAIILRDEAAMKAHAAELG
+VQDYLLFSEVLMQRPVRLGQLWRSRLLSREEAAYMQDMAREHFEEVMAVLKALPRSMLLV
+LRNVNTVRSINNALGAPVDRYFLMAKSAVRGWSRLAGAMYQSIYGASILRHIRVIWETFK
+FEVALRLETLSMRLTALLVRVLVYLGIMPDTKGLSKYLET
+>tr|F1N0L2|F1N0L2_BOVIN Ubiquitin protein ligase E3 component n-recognin 5 OS=Bos taurus OX=9913 GN=UBR5 PE=4 SV=2
+MTSIHFVVHPLPGTEDQLNDRLREVSEKLNKYNLNSHPPLNVLEQATIKQCVVGPNHAAF
+LLEDGRVCRIGFSVQPDRLELGKPDNNDGSKLNSSSGAGRTSRPGRTSDSPWFLSGSETL
+GRLAGNTLGSRWSSGVGGSGGGSSGRSSAGARDSRRQTRVIRTGRDRGSGLLGSQPQPVI
+PASVIPEELISQAQVVLQGKSRSVIIRELQRTNLDVNLAVNNLLSRDDEDGDDGDDTASE
+SYLPGEDLMSLLDADIHSAHPSVIIDADAMFSEDISYFGYPSFRRSSLSRLGSSRVLLLP
+LERDSELLRERESVLRLRERRWLDGASFDNERGSTSKEGEPNLDKKNTPVQSPVSLGEDL
+QWWPDKDGTKFICIGALYSELLAVSSKGELYQWKWSESEPYRNAQNPSLHHPRATFLGLT
+NEKIVLLSANSIRATVATENNKVATWVDETLSSVASKLEHTAQTYSELQGERIVSLHCCA
+LYTCAQLENNLYWWGVVPFSQRKKMLEKARAKNKKPKSSAGISSMPNITVGTQVCLRNNP
+LYHAGAVAFSISAGIPKVGVLMESVWNMNDSCRFQLRSPESLKSMDKASKTTEAKPESKQ
+EPVKTEMGPPPSPASTCSDASSIASSASMPYKRRRSTPAPKEEEKVNEEQWSLREVVFVE
+DVKNVPVGKVLKVDGAYVAVKFPGTSSNTNCQSNSGSDADPSSLLQDCRLLRIDELQVVK
+TGGTPKVPDCFQRTPKKLCIPEKTEILAVNVDSKGVHAVLKTGNWVRYCIFDLATGKAEQ
+ENNFPTSSIAFLGQNERNVAIFTAGQESPIILRDGNGTIYPMAKDCMGGIRDPDWLDLPP
+ISSLGMGVHSLINLPANSTIKKKAAIIIMAVEKQTLMQHILRCDYEACRQYLMNLEQAVV
+LEQNLQMLQTFISHRCDGNRNILHACVSVCFPTSNKETKEEEEAERSERNTFAERLSAVE
+AIANAISVVSSNGPGNRAGSSSSRSLRLREMMRRSLRAAGLGRHEAGASSSDHQDPVSPP
+IAPPSWVPDPPAMDPDGDIDFILAPAVGSLTTAATGTGQGPSTSTIPGPSTEPAVVESKD
+RKANAHFILKLLCDSVVLQPYLRELLSAKDARGMTPFMSAVSGRAYPAAITILETAQKIA
+KAEVSSSEKEEDVFMGMVCPSGTNPDDSPLYVLCCNDTCSFTWTGAEHINQDIFECRTCG
+LLESLCCCTECARVCHKGHDCKLKRTSPTAYCDCWEKCKCKTLIAGQKSARLDLLYRLLT
+ATNLVTLPNSRGEHLLLFLVQTVARQTVEHCQYRPPRIREDRNRKTASPEDSDMPDHDLE
+PPRFAQLALERVLQDWNALKSMIMFGSQENKDPLSASSRIGHLLPEEQVYLNQQSGTIRL
+DCFTHCLIVKCTADILLLDTLLGTLVKELQNKYTPGRREEAIAVTMRFLRSVARVFVILS
+VEMASSKKKNNFIPQPIGKCKRVFQALLPYAVEELCNVAESLIVPVRMGIARPTAPFTLA
+STSIDAMQGSEELFSVEPLPPRPSSDQSSSSSQSQSSYIIRNPQQRRISQSQPVRGRDEE
+QDDIVSADVEEVEVVEGVAGEEDHHDEQEEHGEENAEAEGQHDEHDEDGSDMELDLLAAA
+ETESDSESNHSNQDNASGRRSVVTAATAGSEAGASSVPAFFSEDDSQSNDSSDSDSSSSQ
+SDDIEQETFMLDEPLERTTNSSHANGAAQAPRSMQWAVRNTQHQRAASTAPSSTSTPAAS
+SAGLIYIDPSNLRRSGTISTSAAAAAAALEASNASSYLTSASSLARAYSIVIRQISDLMG
+LIPKYNHLVYSQIPAAVKLTYQDAVNLQNYVEEKLIPTWNWMVSIMDSTEAQLRYGSALA
+SAGDPGHPNHPLHASQNSARRERMTAREEASLRTLEGRRRATLLSARQGMMSARGDFLNY
+ALSLMRSHNDEHSDVLPVLDVCSLKHVAYVFQALIYWIKAMNQQTTLDTPQLERKRTREL
+LELGIDNEDSEHENDDDTNQSATLNDKDDDSLPAETGQSHPFFRRSDSMTFLGCIPPNPF
+EVPLAEAIPLADQPHLLQPNARKEDLFGRPSQGLYSSSASSGKCLMEVTVDRNCLEVLPT
+KMSYAANLKNVMNMQNRQKKEGEEQTVVPEEAESSKPGPSAHDLAAQLKSSLLAEIGLTE
+SEGPPLTSFRPQCSFMGMVISHDMLLGRWRLSLELFGRVFMEDVGAEPGSILTELGGFEV
+KESKFRREMEKLRNQQSRDLSLEVDRDRDLLIQQTMRQLNNHFGRRCATTPMAVHRVKVT
+FKDEPGEGSGVARSFYTAIAQAFLSNEKLPNLDCIQNANKGTHTSLMQRLRNRGERDRER
+EREREMRRSSGLRAGSRRDRDRDFRRQLSIDTRPFRPASEGNPSDDPDPLPAHRQALGER
+LYPRVQAMQPAFASKITGMLLELSPAQLLLLLASEDSLRARVDEAMELIIAHGRENGADS
+ILDLGLLDSSEKVQENRKRHGSSRSVVDMDLDDTDDGDDNAPLFYQPGKRGFYTPRPGKN
+TEARLNCFRNIGRILGLCLLQNELCPITLNRHVIKVLLGRKVNWHDFAFFDPVMYESLRQ
+LILASQSSDADAVFSAMDLAFAIDLCKEEGGGQVELIPNGVNIPVTPQNVYEYVRKYAEH
+RMLVVAEQPLHAMRKGLLDVLPKNSLEDLTAEDFRLLVNGCGEVNVQMLISFTSFNDESG
+ENAEKLLQFKRWFWSIVEKMSMTERQDLVYFWTSSPSLPASEEGFQPMPSITIRPPDDQH
+LPTANTCISRLYVPLYSSKQILKQKLLLAIKTKNFGFV
+>tr|A0A3Q1MHZ3|A0A3Q1MHZ3_BOVIN 60S ribosomal protein L3-like OS=Bos taurus OX=9913 GN=RPL3L PE=3 SV=1
+MQARRTTGRSLGSVAHFQSGLWDRLAAPPGETPALTYLPSRPQSHRKFSAPRHGHLGFLP
+HKRSHRHRGKVKTWPRDDPSQPVHLTAFLGYKAGMTHTLREVHRPGLKISKREEVEAVTI
+VETPPLVVVGVVGYVATPRGLRSFKTIFAEHLSDECRRRFYKDWHKSKKKAFTKACKRWR
+DADGKKQLQKDFAAMKKYCKVIRVIVHTQMKLLPFRQKKAHIMEVQLNGGTVAEKVAWAQ
+ARLEKQVPVHSVFSQNEIIDVIAVTKGRGIKGVTSRWHTKKLPRKTHKGLRKVACIGAWH
+PARVGCSIARAGQKGYHHRTELNKKIYRIGRGLHMEDGKVVKNNASTSYDVTDKSITPLG
+GFPHYGEVNNDFVMLKGCIAGTKKRVITLRKSLLVHHSRQALENIELKFIDTTSKFGHGR
+FQTAQEKRAFMGPQKKHLEKEKPETSGDL
+>tr|F1N1U5|F1N1U5_BOVIN FEV, ETS transcription factor OS=Bos taurus OX=9913 GN=FEV PE=3 SV=2
+MRQSGASQPLLINMYLPDPVGDGLFKEGKSPGWGPLSPAVQKGSGQIQLWQFLLELLADR
+ANAGCIAWEGGHGEFKLTDPDEVARRWGERKSKPNMNYDKLSRALRYYYDKNIMSKVHGK
+RYAYRFDFQGLAQACQPPPAHAHAAAAAAAAAAAAAAQDGALYKLPAGLAPLPFPGLSKL
+NLMAASAGVAPAGFSYWPGPGPAATAAATAALYPSPGLQPPPGPFGAVAAASHLGGHYH
+>tr|F1MYP8|F1MYP8_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=OR10R2 PE=3 SV=3
+THLLQVYSLLFCIFLTVLAENLTMVTEFLLLGFSSLGDIQLVLFVVFLFLYLAILSGNVI
+IVTVIRLDKSLHTPMYFFLSILSTSETCYTFVILPKMLINLFSVARTISFHCCAIQMFFF
+LGFAMTNCLLLGVMGYDRYAAICHPLHYPILMSWQMCGKLGALCGIGGFLASLTVVYLVF
+SLPFCSANKVNHYFCDISPVIRLACTNTDAHEFVIFICGVLVLVVPFLFICVSYICILRT
+ILKIPSAEGRRKAFSTCASHLTVVIIHYGCASYIYLRPTANYVSNKDRLVTVTYTIVTPL
+LNPMVYSLRNKDVQVAIRKVLGKKGSLKLYD
+>tr|E1BH78|E1BH78_BOVIN Small nuclear ribonucleoprotein U5 subunit 200 OS=Bos taurus OX=9913 GN=SNRNP200 PE=4 SV=1
+MADVTARSLQYEYKANSNLVLQADRSLIDRTRRDEPTGEVLSLVGKLEGTRMGDKAQRTK
+PQMQEERRAKRRKRDEDRHDINKMKGYTLLSEGIDEMVGIIYKPKTKETRETYEVLLSFI
+QAALGDQPRDILCGAADEVLAVLKNEKLRDKERRKEIDLLLGQTDDTRYHVLVNLGKKIT
+DYGGDKEIQNMDDNIDETYGVNVQFESDEEEGDEDVYGEVREEASDDDMEGDEAVVRCTL
+SANLVASGELMSSKKKDLHPRDIDAFWLQRQLSRFYDDAIVSQKKADEVLEILKTASDDR
+ECENQLVLLLGFNTFDFIKVLRQHRMMILYCTLLASAQSEAEKERIMGKMEADPELSKFL
+YQLHETEKEDLIREERSRRERVRQSRMDTDLETMDLDQGGEALAPRQVLDLEDLVFTQGS
+HFMANKRCQLPDGSFRRQRKGYEEVHVPALKPKPFGSEEQLLPVEKLPKYAQAGFEGFKT
+LNRIQSKLYRAALETDENLLLCAPTGAGKTNVALMCMLREIGKHINMDGTINVDDFKIIY
+IAPMRSLVQEMVGSFGKRLATYGITVAELTGDHQLCKEEISATQIIVCTPEKWDIITRKG
+GERTYTQLVRLVILDEIHLLHDDRGPVLEALVARAIRNIEMTQEDVRLIGLSATLPNYED
+VATFLRVDPAKGLFYFDNSFRPVPLEQTYVGITEKKAIKRFQIMNEIVYEKIMEHAGKNQ
+VLVFVHSRKETGKTARAIRDMCLEKDTLGLFLREGSASTEVLRTEAEQCKNLELKDLLPY
+GFAIHHAGMTRVDRTLVEDLFADKHIQVLVSTATLAWGVNLPAHTVIIKGTQVYSPEKGR
+WTELGALDILQMLGRAGRPQYDTKGEGILITSHGELQYYLSLLNQQLPIESQMVSKLPDM
+LNAEVVLGNVQNAKDAVNWLGYAYLYIRMLRSPTLYGISHDDLKGDPLLDQRRLDLVHTA
+ALMLDKNNLVKYDKKTGNFQVTELGRIASHYYITNDTVQTYNQLLKPTLSEIELFRVFSL
+SSEFKNITVREEEKLELQKLLERVPIPVKESIEEPSAKINVLLQAFISQLKLEGFALMAD
+MVYVTQSAGRLMRAIFEIVLNRGWAQLTDKTLNLCKMIDKRMWQSMCPLRQFRKLPEEVV
+KKIEKKNFPFERLYDLNHNEIGELIRMPKMGKTIHKYVHLFPKLELSVHLQPITRSTLKV
+ELTITPDFQWDEKVHGSSEAFWILVEDVDSEVILHHEYFLLKAKYAQDEHLITFFVPVFE
+PLPPQYFIRVVSDRWLSCETQLPVSFRHLILPEKYPPPTELLDLQPLPVSALRNSAFESL
+YQDKFPFFNPIQTQVFNTVYNSDDNVFVGAPTGSGKTICAEFAILRMLLQNSEGRCVYIT
+PMEALAEQVYMDWYEKFQDRLSKKVVLLTGETSTDLKLLGKGSVIVSTPEKWDILSRRWK
+QRKNVQNINLFVVDEVHLIGGENGPVLEVICSRMRYISSQIERPIRIVALSSSLSNAKDV
+AHWLGCSATSTFNFHPNVRPVPLELHIQGFNISHTQTRLLSMAKPVYHAITKHSPKKPVI
+VFVPSRKQTRLTAIDILTTCAADIQRQRFLHCTEKDLIPYLEKLSDSTLKETLLNGVGYL
+HEGLSPLERRLVEQLFSSGAIQVVVASRSLCWGLNVAAHLVIIMDTQYYNGKTHAYVDYP
+IYDVLQMVGHANRPLQDDEGRCVIMCQGSKKDFFKKFLYEPLPVESHLDHCMHDHFNAEI
+VTKTIENKQDAVDYLTWTFLYRRMTQNPNYYNLQGISHRHLSDHLSELVEQTLSDLEQSK
+CISVEDEMDVAPLNLGMIAAYYYINYTTIELFSMSLNAKTKVRGLIEIISNAAEYENIPI
+RHHEDSLLRQLAQKVPHKLNNPKFNDPHVKTNLLLQAHLSRMQLSAELQSDTEEILSKAI
+RLIQACVDVLSSNGWLSPALAAMELAQMATQAMWSKDSYLKQLPHFTSEHIKRCTDKGVE
+SVFDIMEMEDEERNALLQLSDSQIADVARFCNRYPNIELSYEVVDKDAIRSGGPVVVLVQ
+LEREEEVTGPVIAPLFPQKREEGWWVVIGDAKSNSLISIKRLTLQQKAKVKLDFVAPATG
+AHNYTLYFMSDAYMGCDQEYKFSVDVKEAETDSDSD
+>tr|F1N6D0|F1N6D0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC515676 PE=4 SV=3
+MQARLSVQQCINLSCLSLTHVPRLHMMSSTSNMKLGGLFLLASLLTLNTRLQAIGVCVEQ
+CREDQDCVAGEKCISNGCGHVCSPAPQATICSLECSEDRDCGRGKQCVQDGCQRVCSPLM
+SVGICVEQCREDQDCAAGEKCISNGCGHVCSPVPQATICSLECSEDRDCGRGKQCVQDGC
+QRVCSPLMSVGVCVEQCREDQDCAAGEKCVSNGCGHVCSPVPQATICSRECSEDRDCGRG
+KQCVQDGCRRVCSPLMSVGVCVEQCREDRDCAAGEKCVSNGCGHVCSPAPQASICEFQCF
+RDRDCGAGRQCVSEGCNRVCSPAPEASIGICVQRCRGHWDCGAGERCIRRGCSRICSPVR
+TAGVGICLDQCQGHRDCPAGSRCVSNGCGRVCSPTQDRQEQRPGTCPRVPEGMFGTCAER
+CTGDGSCPPGQKCCSNGCGKSCQVPDLDFTISLDDK
+>tr|F6QIB4|F6QIB4_BOVIN Apoptotic chromatin condensation inducer 1 OS=Bos taurus OX=9913 GN=ACIN1 PE=4 SV=1
+MSPADRHRSASTIEPATTSSLALLLLLLQRDQSSRIRGLPEEKEEVTMDTSENRPENEVP
+EPPMPIADQVSNDDRPEGNAEDEEKKESLLPKSFKRKISVVSATKGVPAGNSDTEGGQPS
+RKRRWGASTATTQKKPSISITTESLKSLIPDIKPLAGQEAVVDLHADDSRISEDETERNG
+DDGTHDKGLKICRTVTQVVPAEGQENGQREEEEEEKEPEAEAPVPPQVSVEVALPPPVEH
+EVKKVTLGDTLTRRSISQQKSGVSITIDDPVRTAQVPSPPRGKISNIVHISNLVRPFTLG
+QLKELLGRTGTLVEEAFWIDKIKSHCFVTYSTVEEAVATRTALHGVKWPQSNPKFLCADY
+AEQDELDYHRGLLVDRPSETKTEEQGMPRPLHPPPPPPAQPPQHPRAEQREQERAVREQW
+AEREREMERRERTRSEREWDRDKVREGPRSRSRSRDRRRKERAKSKEKKSEKKEKAQEEP
+PAKLLDDLFRKTKAAPCIYWLPLTDSQIVQKEAERAERAKEREKRRKEQEEEEQKEREKE
+AERERTRQLEREKRREHSRERDRERERDRERDRGDRDRERDRERERGRERDRRDTKRHSR
+SRSRSTPVRDRGGRR
+>tr|A0A3Q1MRS9|A0A3Q1MRS9_BOVIN V-set and immunoglobulin domain-containing protein 1 OS=Bos taurus OX=9913 GN=VSIG1 PE=4 SV=1
+MDLTFQVYHCFLMIIFSGQVNGVQVTIPDSFVNVTVGSDVTLICTYATTVASLNKLSIQW
+TFFHKEASQPVSIYYSEGGQATAIGKFKDRIVGSNTSGNASITISHMQPEDSGIYICDVN
+NPPDFFGKNQGTISVSVLVKPSKPFCSIQGIAETGHPISLTCLSVLGTPSPVYYWYKLEG
+RNIVPVKENFNPATGILFIGNLTTFEQGYYQCTAINILGNSSCEIDLTTPYPGIGIIVGA
+FVGTLIGVIIIISVVWFVRRKVKAKGKERKRNSKTTTELEPMTKINQRTEGETMPREDAI
+QLEATLPSSTHETEPNATLGPDHEPIPEPEPALQPTVEPPSGPELVPMSELEIQLEPEPA
+PQQEPEPLIVDEPFCDEEKVIKP
+>tr|G3N1X7|G3N1X7_BOVIN ATP binding cassette subfamily C member 6 OS=Bos taurus OX=9913 GN=ABCC6 PE=4 SV=2
+MAGQGEPCAGPGVWNQTEPEPAAARLLSLCFLKTAGVWVPPMYLWVLGPIHLLYIHRHDK
+GYIQMSRLFKAKMVLGFALIILCTSSVSVTLWRIQQGTPQALEFLIHPTVWLTTMSFAVF
+LIHAERKKGVQASGVLFGYWLLCFLFPATSATQQASRGDFQSDPFRHLSPYLYLSLVMAQ
+FALSCLADQCPLFRKRPPQANPCPKAGASFPSKAMFWWVSGLVWKGYRRPLGPKDLWSLG
+SKNSSEELVSQLEKEWTRNRSATQRHTKATAFKRKGSHNKEAPETETLLPQQRGKRGPLL
+RAIWQVGRSAFLLGTLSLIVSDVFRFTVPKLLSLFLEFIGDPNTPAWKGYLLAVLMFLSA
+CLQTLFEQQHMYRLKVLQLRLRTAIIGLVYRKVLALSSSSRKSSAVGDVVNLVSVDVQRL
+TESVTYLNGLWLPLIWIVVCFVYLWQLLGPSALTAIAVFVSLLPLNFFITKKRNHHQQEQ
+MRQKDCRARLTSCILRNVRTVKYHGWEGAFLDRVLHIRAQELGALKTSSLLFSVSLVSFQ
+VSTFLVALVVFAVHTLVAEENAMDAEKAFVTLTVLNILNKAQAFLPFSIHSIVQARVSFD
+RLAAFLSLEETDPGAVDSSPSRCAAGEDCISIQEGTFTWSQESAPCLRRINLTVPQGCLL
+AVVGPVGAGKSSLLSALLGELSKVEGSVSIKGPVAYVPQEAWVQNMSVVDNVCFGQELDA
+PWLETVLEACALWPDVDGFPAGVHTRTGEQGMNLSGGQKQRLSLARAVYRKAAVYLLDDP
+LAALDAQVGQHVFNRVIGPDGLLQGTTRILVTHALHILPQADWIVVLEDGAIAEMGSFQE
+LLHRKGALVGLLDGASQPGDGGEGDTEPPAGAKDPRGSAAGGRPEGRSERFMKLVPEKDS
+AASEAQTGLPLDDPEGPGQPKGKDGTQYGRVKATMYLTYLRAVGTPLCLYALFLFLCQQV
+ASFCRGYWLSLWADDPIVDGQQTHVALRGWVFGLLGCLQAIGLFASMATVLLGGIRASSL
+LFRGLLWDVARSPIGFFERTPVGNLLNRFSKETDIVDVDIPDKLRSLLMYAFGLLEVGLV
+VTVTTPLAVVAILPLLLLYAGFQVTQMLQWAVRSWTDLESSIVSVERLKDYAQTPKEAPW
+KPLTCAAHPPWPRRGQIEFRDLGLRYRPELPLAVRGVSFKINAGEKVGIVGRTGAGKSSL
+AGGLLRLVEAAEGGIWIDGVPIAQVGLHTLRSRVTIIPQDPILFPGSLRMNLDMLQEHTD
+EAIWEVLETVQLRATVASLPGQLHYECTDQGDNLSVGQKQLLCLARALLRKTQILILDEA
+TAAVDPGTERQMQAALGSWFAQCTVLLIAHRLRSVLDCARWRLPAGGTCCGSEDSSASSY
+TEDHGQDIRPGGLRYLLLSFRIFLESLHGHNVGLHLGRHPNEPVQICGDL
+>tr|A0A3Q1LMX1|A0A3Q1LMX1_BOVIN Transglutaminase 5 OS=Bos taurus OX=9913 GN=TGM5 PE=4 SV=1
+MAEGLEVALTDLQSSWNNVQHHTDEISSSRLLVRRGQAFNITLYSRNRAFQPGLDNIIFV
+TETGPLPDLSKGTRAVFSLEGHPGSSPWIASLQTIGANSLEGPVTAYKLGEFILLFNPWC
+PEDAVYLESEPQRQEYVMNDYGFIYQGNKNWIRPCPWNYGQFEENIIDICLELLDKSLNF
+QIDPATDCALRGSPVYISRVVSAMINSNDDNGVLNGNWGENYSDGTNPTEWMGSVAILKQ
+WHATGCQPVRYGQCWVFAAVTCTVMRCLGIPTRVITNFNSGHDTDGNLIIDEYYDNTGRI
+LENKKKDSVWNFHVWNECWMARNDLPPGFGGWQVLDATPQETSNGLYCCGPASVRAIKEG
+EVDLNYDTAFAFSMVNADCMAWLVFGGKEQKLHQDTSTVGNFISTKSIQSDERDDITENY
+KYREGSLQERQVFLKALQKLKAGRSPGSLRTESQPRSVPLSDSPRSLATPSLQPSDVVQV
+SLKFQLLDSPDMGQDIRFVLLALNMSPQFKDLRVNLSAQSLLHDGSPLLPFWQDTAFITL
+SPEEAKTYPCKITYSQYSQYLSTDKLIRISALGEEKNSPEKILVKKIITLAYPSIVINVL
+GAAIVNQPLSIQVVFSNPLSEQVEDCVLTVEGSGLFRRQQRVLIGVLKPHHRASLTLETI
+PFKSGQRQIQANLRSNKFKDIKGYTNVYIGL
+>tr|F1MER3|F1MER3_BOVIN CWC25 spliceosome associated protein homolog OS=Bos taurus OX=9913 GN=CWC25 PE=4 SV=1
+MGGGDLNLKKSWHPQTLRNVEKVWKAEQKHEAERKKIEELQRELREERAREEMQRYAEDV
+GAVKKKEEKLDWMYQGPGGMVNRDEYLLGRPIDKYVFEKMEEKEAGCSSETGLLPGSIFA
+PSGANSLLDMASKIREDPLFIIRKKEEEKKREVLNNPVKMKKIKELLQMSLEKKEKKKKK
+EKKKKHKKHKHRSSGSDRCSSEDERSRGRSQKKMANSPPVLSKVPGYGLQVRNSDHSQGL
+QGSLMAERKGAHGLKNYSRSRSSSSSPPRHTSKKSTREAGSRDRRSRSPCRRSRSPRPSK
+PHNSKGNRKDRGRSKSPSPKKEVYQRRHAPGYTRKLSSEELERKRQEMMENAKWREEERL
+NILKRHAKDDEREQRLEKLDSRDGKFIHRMKLESASTSSLEDRVKRNIYSLQRTSVALEK
+NFMKR
+>tr|G5E589|G5E589_BOVIN Proteasome subunit beta OS=Bos taurus OX=9913 GN=PSMB1 PE=3 SV=1
+MLSSVAAYSGAGRDLAMEPHSSVGPLQLRFSPYAFNGGTVLAIAGEDFSIVASDTRLSEG
+FSIHTRDSPKCYKLTDKTVIGCSGFHGDCLTLTKIIEARLKMYKHSNNKAMTTGAIAAML
+STILYSRRFFPYYVYNIIGGLDEEGKGAVYSFDPVGSYQRDSFKAGGSASAMLQPLLDNQ
+VGFKNMQNVEHVPLSLDRAMRLVKDVFISAAERDVYTGDALKVCIVTKEGIREETVPLRK
+D
+>tr|A0A3Q1M668|A0A3Q1M668_BOVIN Epsilon-sarcoglycan OS=Bos taurus OX=9913 GN=SGCE PE=4 SV=1
+MYLLTCSSAFFVPFTFCGSLLAVYFSSRSLDRAFLLSCADGINGTVKWKTKQANNFIISR
+KMTAGKKDVYTIFSKVHSDRNVYPSAGVLFVHVLEREYFKGEFPPYPKPGEISNDPITFN
+TNLMGYPDRPGWLRYIQRTPYSDGVLYGSPTAENVGKPTVIEITAYNRRTFETARHNLII
+NIMSAEDFPLPYQAEFFIKNMNVEEMLASEVLGDFLGAVKNVWQPERLNAINITSALDRG
+GRVPLPINDMKEGVYVMVGADVPFSSCLREVENPQNQLRCSQEMEPVITCDKKFRTQFYI
+DWCKISLVDRTKQVSTYQEVIRGEGILPDGGEYKPPSDSLKSRDYYTDFLVTLAVPSAVA
+LVLFLILAYIMCCRREGVIQLVHHSAIQKSTKELRDMSKNREIAWPLSTLPVFHPVTGEI
+IPPLHTDNYESTNMPLMQTQQNLPHQTQIPQQQTTGDFRLTTFQRFEASIMKLFIRF
+>tr|A0A3Q1NNC4|A0A3Q1NNC4_BOVIN SCAN box domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MIMTGSGEVIDLDPPSEISPEQEDLLIVKVEEEDCTWMQEYSPPVFETFYQRFKHFQYHE
+ASGPREALSQLRVLCCEWLRPELHTKEQILELLVLEQFLTILPEEFQTWVREHHPESGEE
+AVAVVENIQRELEERQQQIVACPEGLPPKSVPPGAGPESFSHQLLPVDPQAEHEPQEPRL
+LEEDVLPALQVSSLPLKDSQELTASLLSTGSQVSRRPCAYVKGPCRFLGM
+>tr|A0A3Q1LKX1|A0A3Q1LKX1_BOVIN PAN2-PAN3 deadenylation complex subunit PAN3 OS=Bos taurus OX=9913 GN=PAN3 PE=3 SV=1
+MVTLLVEFYHVGAATLHFLVPGMDGGALTDTSLTDSYFSTSFIGVNGFGSPVETKYPVMQ
+RMTNSSSSPSLLNDSAKPYAGHDPLTSPASSLFNDFGALNISQRRKTPNPTASEFIPKGG
+STSRLSNTSQSHASAFSQVFSHPSMGTPAAAGLAPGMSLSAGSSPLHSPKITPHTSPAPR
+RRSHTPNPANYMVPSSASTPGNNSVSQTPSSGQVIQKETVGGTTYFYTDTTPAPLSGMRS
+FKNYHRTACRAEGQIIEVFPNYHIYPPTAPHVAYMQPKANAPSFFMADELRQELINRHLI
+TMAQIDQADMPAVPTEVDSYHSLFPLEPLPPPNRIQKSSNFGYITSCYKAVNSKDDLPYC
+LRRIHGFRLVNTKCMVLVDMWKKIQHSNIVTLREVFTTKAFSEPSLVFAYDFHAGGETMM
+SRHFNDPNADAYFTKRKWGQHDGPLPRQHAGLLPESLIWAYIVQLSSALRTIHTAGLACR
+VMDPTKILITGKTRLRVNCVGVFDVLTFDNSQNNNPLALMAQYQQADLISLGKVVLALAC
+NSLAGIQRENLQKAMELVTINYSSDLKNLILYLLTDQNRMRSVNDIMPMIGARFYTQLDA
+AQMRNDVIEEDLAKEVQNGRLFRLLAKLGTINERPEFQKDPTWSETGDRYLLKLFRDHLF
+HQVTEAGAPWIDLSHIISCLNKLDAGVPEKISLISRDEKSVLVVTYSDLKRCFENTFQEL
+IAAANALNVLLALGAHHTTMKKCGNSEGREGLQALATDVTVFNFNNYSYL
+>tr|A0A3Q1LV47|A0A3Q1LV47_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MSESAGEVRDLVLTSDFFLPAPFCLLLLLLPPLSLGAPMSTAESVNQAYNLALDMQRHTF
+TLLQTYLQHQGSPFSDPDFSIPELSLRTLPPTDMPFEIWHGLEDGVRLSLTQEAFWSFSQ
+HLLLAIADQSDLSPDNSMVENQLWEARLKAKGLAGNLAGIMTALGLPTPQPNTLLGPVPL
+GDTAFQKKCRGYVIIRDYSFWTDRAVTFLGELKAKYSQ
+>tr|F1MTZ3|F1MTZ3_BOVIN Ubiquitin associated and SH3 domain containing B OS=Bos taurus OX=9913 GN=UBASH3B PE=4 SV=2
+MAQYGHPSPLGMAAREELYSKVTPRRNRQQRPGTIKHGSALDVLLSMGFPRARAQKALAS
+TGGRSVQAACDWLFSHVGDPFLDDPLPREYVLYLRPTGPLAQKLSDFWQQSKQICGKNKA
+HNIFPHITLCQFFMCEDSKVDALGEALQTTVSRWRCKFSAPLPLELYTSSNFIGLFVKED
+SAEVLKKFAADFAAEAASKTEVHVEPHKKQLHVTLAYHFQASHLPTLEKLAQNIDVKLGC
+DWVATIFSRDIRFANHETLQVIYPYTPQNDDELELVPGDFIFMSPMEQTSTSEGWIYGTS
+LTTGCSGLLPENYITKADECSTWIFHGSYSILNTSSSNALSFGDGILERRQYEDQGLGDA
+TPLTIICQPTQPLRISSQPGPQKRCLFVCRHGERMDVVFGKYWLSQCFDAKGRYIRTNLN
+MPHSLPQRSGGFRDYEKDAPITVFGCMQARLVGEALLESNTIIDHVYCSPSLRCVQTAYN
+ILKGLQQENHLKIRVEPGLFEWTKWVAGNTLPAWIPPSELAAANLSVDTTYRPHIPVSKL
+VVSESYDTYISRSFQVTKEIISECKSKGNNILIVAHASSLEACTCQLQGLSPQNSKDFVQ
+MVRKIPYLGFCSCEELGETGIWQLTDPPILPLTHGPTGGFNWRETLLQE
+>tr|A0A3Q1MGY0|A0A3Q1MGY0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC101905453 PE=4 SV=1
+VRVSFLRPWNLLLRLAYRPSPCDGVVLVQHEGTWGHVCNREWTLKEASVVCRQLGCGGAV
+GAPKYVPLPGETVQPWLHNVSCRGDEASLWGCSLGAWTKSECPYEWVVVALCSNGTFREV
+RLVKGRSPCAGLPEIRNVNGVDRLCGLHREEATVFCRELGCGPALQAPRQDGSVARKYMT
+CGGDELTIRNCRLNNKFRSGCDFQRDAQVVCSEHTEARLVGGEHSCAGRLEVRRGLTWGT
+VCDADLDLATAHVVCRELQCGAAVSTPQAAHFGQGPGLVWAEAFRCAGNESLLFHCPREP
+GHRCGHGQDAGLRCSGEFRLVNGSSACEGRVELQVQGAWAPLCAAHWDLADATVLCHQLD
+CGNAVATPPGGHFGGGASAPWPDEVHCVGTEPYLWSCAVSTLGAPACGPGDAAAAVCSGG
+SVVCRQLGCGHALGAPGAAHFGAGAGRIWMDELACEGHEAALWRCPSRGWGRHDCGHKED
+AGALCSGGC
+>tr|E1B6Z5|E1B6Z5_BOVIN Cyclin T2 OS=Bos taurus OX=9913 GN=CCNT2 PE=3 SV=2
+MASGRGASSRWFFTREQLENTPSRRCGVEADKELSYRQQAANLIQEMGQRLNVSQLTINT
+AIVYMHRFYMHHSFTKFSRYMISPTALFLAAKVEEQARKLEHVIKVAHACLHPLEPLLDT
+KCDTYLQQTQELVLLETIMLQTLGFEITIEHPHTDVVKCTQLVRASKDLAQTSYFMATNS
+LHLTTFCLQYKPTVIACVCIHLACKWSNWEIPVSTDGKHWWEYVDPTVTLELLDELTHEF
+LQILEKTPSRLKKIRYWRANQAAGKPKVDGQVSETPLLGSSLVQNSMLVDSVTGVPTKPS
+FQKPSTSAFPAPVPLNSGNISVQDSHASDNLSMLATGMPSTSYGLSSHQEWPQHQESART
+EQIYSQKQEISGSQYNINFKQGPSVSLHSGLHHRPDKISDHSSVKQDYTHKAGSSKHHGP
+ISATPGVIPQKMSLDKYREKRKLESLDLDARDHYIAAQVEQQHKHVQSQAASSSSVTSPI
+KMKIPITNAEKPEKYMAEKKEKSGSLKLRIPIPPTDKSVSKEELKMKIKVSSSERHSSSD
+EGSGKSKHSSPHISRDHKEKHKEHPSNRHHPSSHKHSHSYSGSSSGGSKHSADGIAPTVL
+RSPVGLSSDGISSSSSSSRKKLHINDASHNHHSKMSKSSKSSGSSSSSSSSVKQYISSHN
+SVFNHPLPPPPPVTYQVGYGHLSTLVKLDKKPVETNGPDVNHEYSTNSQHMDYKDTFDML
+DSLLSAQGMNM
+>tr|A0A3Q1M2H5|A0A3Q1M2H5_BOVIN Leucine rich repeat containing 75A OS=Bos taurus OX=9913 GN=LRRC75A PE=4 SV=1
+MSNLHPPTPAPASWDLGMESTSLDDVLYRYASFRNLVDPITHDLIISLARYIHCPKPEGD
+ALGAMEKLCRQLTYHLSPHSQWRRHRGLVKRKPQACLKAVLAGSPPDNTVDLSGIPLTSR
+DLERVTSYLQRCGEQVDSVELGFTGLTDDMVLQLLPALSTLPRMTTLALNGNRLTRALLR
+DLTDALRDPRKFPSVTWIDLGNNVDIFSLPQPFLLSLRKRSPKQGHLPTILELGEGPGSG
+HETQDETLGPEDPGGGPETPARDQEGRETVGATQT
+>tr|A0A3Q1MNU7|A0A3Q1MNU7_BOVIN RNA binding motif protein 26 OS=Bos taurus OX=9913 GN=RBM26 PE=4 SV=1
+MVSKMIIENFEALKSWLSKTLEPICDADPSALAKYVLALVKKDKSEKELKALCIDQLDVF
+LQKETQIFVEKLFDAVNTKSYLPPPEQPSSGSLKVEFFQHQEKDIKKEEITKEEEREKKF
+SRRLNHSPPQSSSRYRENRSRDERKKDDRSRKRDYDRNPPRRDSYRDRYNRRRGRSRSYS
+RSRSRSWSKERLRDRDRDRSRTRSRSRTRSRERDLVKPKYDLDRADPLENNYTPVSSVPN
+ISSGHYPVPTLSSTITVIAPTHHGNNTTESWSEFHEDQVDHNSYVRPPMPKKRCRDYDEK
+GFCMRGDMCPFDHGSDPVVVEDVNLPGMLPFPAQPPVVEGPPPPGLPPPPPILTPPPVNL
+RPPVPPPGPLPPSLPPVTGPPPPLPPLQPSGMDAPPNSATSSVPTVVTTGIHHQPPPAPP
+SLFTADTYDTDGYNPEAPSITNTSRPMYRHRVHAQRPNLIGLTSGDMDLPPREKPPNKSS
+MRIVVDSESRKRTIGSGEPGVPTKKTWFDKPNFNRTNSPGFQKKVQFGNENTKLELRKVP
+PELNNISKLNEHFSRFGTLVNLQVAYNGDPEGALIQFATYEEAKKAISSTEAVLNNRFIK
+VYWHREGSTQQLQTTSPKVMQPLVQQPILPVVKQSVKERLGPVPSSTIEPAEAQSASSDL
+PQNVTKLSVKDRLGFVSKPSVSATEKVLSTSTGLTKTVYNPAALKAAQKTLLVSASAVDN
+NEAQKKKQEALKLQQDVRKRKQEILEKHIETQKMLISKLEKNKAMKSEDKAEIMKTLEVL
+TKNITKLKDEVKAASPGRCLPKSIKTKTQMQKELLDTELDLYKKMQAGEEVTELRRKYTE
+LQLEAAKRGILSSGRGRGVHSRGRGAAHGRGRGRGRGRGVPGHAVVDHRPRALEISAFTE
+SDREDLLPHFAQYGEIEDCQIDDSSLHAVITFKTRAEAEAAAVHGARFKGQDLKLAWNKP
+ITNISAVETEEVEPDEEEFQEESLVDDSLLQDDDEEEEDNESRSWRR
+>tr|A0A3Q1MRQ5|A0A3Q1MRQ5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=CHKB PE=4 SV=1
+MAAEGTDVVGGGPLGGCLTKGSLLQAKCPDAAPSRRRGSARSRDAERRAYQWCREYLGGA
+WRQARPEELRVDPVRWEVRGQPLLCARRVGLGPWGPSAFLGRGRAGGAGRGGWTPGRWNG
+RRAERALLWVCSGGLSNLLFRCSLPDHLPSVGEEPREVLLRLYGAILQGVDSLVLESVMF
+AILAERSLGPQLYGVFPEGRLEQYIPSRPLKTHELRDPVLSAAIATKMAKFHGMEMPFTK
+EPHWLFGTMERYLKQIQDLPPTSLPQMNLLEMYSLKDEMGNLRKLLDTTPSPVVFCHNDI
+QEGNILLLSEPKNTDSLMLVDFEYSSYNYRGFDIGNHFCEWVYDYTHEEWPFYKAQPANY
+PTEGQQLHFLRHYLAEVKKDETISQEEQRKLEADLLVEANRSMRSLGSSSTSSRRAR
+>tr|E1BNW9|E1BNW9_BOVIN G protein-coupled receptor 141 OS=Bos taurus OX=9913 GN=GPR141 PE=4 SV=3
+MAAHNSSSCDDPILTPHLTRLYFVVLIGGLLGIISILFLLVKMNTRSVTTTAVINLVVVH
+SVFLLTVPFRLTYLIKHTWTFGLSFCKFVSAMLHIHMYLTFLFYMVILVIRYLIFFKHKD
+KVEFYRKLHAVAASTAMWLLVIVIVVPLVVSQYGIHEGYDSHHCFKFHKELTHAYVQAIN
+YLIVAVVIIIAVILLVLQITIIVLMARKLGHSLLSHQEFWAQVKNLLFIGVILICFLPYQ
+CFRIYYLYTVAHSRDCNYNVAFYNEIFLSVTAISCFDLLLFVFGGSHWFRQKIIDLWNCL
+LCR
+>tr|E1BB02|E1BB02_BOVIN ArfGAP with GTPase domain, ankyrin repeat and PH domain 3 OS=Bos taurus OX=9913 GN=AGAP3 PE=4 SV=3
+MSTARRSTCCGPPSRCPGSACREPRRPQPLAPAPGPTGWPWSGVARSWAGAQVPPTRPAA
+QPGPVHAPRGCTSAPALCPAPTRGLRPLPCPQPVAPLRCSAPAPSWSPPHLPTPTGRSTG
+GKRAPGPPDQTAPAVLLKRPRSRSSSWWCPSPGRRGTSRPRQQRSGSCGCRVCRPRSSPA
+CRAAAAPRTRWAPGGGAGGECPTLASLLTSHPPPTPSLQTRLGNQNAALAVQAVRTVRGN
+SFCIDCDAPNPDWASLNLGALMCIECSGTHRHLGAHLSRVRSLDLDDWPPELLAVMTAMG
+NALANSVWEGALDGYAKPGPEACREEKERWIRAKYEQKLFLAPLPSSDVPLGQQLLRAVV
+EDDLRLLVTLLAHGSKEEVNETYGDGDGRTALHLSSAMANVVFTQLLIWYGVDVRSRDAR
+GLTPLAYARRAGSQECADILIQHGCPGEGCGVAAAPGREPANGTNASAELHRSPSLL
+>tr|F1MPW8|F1MPW8_BOVIN Zinc finger homeobox 4 OS=Bos taurus OX=9913 GN=ZFHX4 PE=4 SV=3
+METCDSPPISRQENGQSTSKLCGTAQLDNEVPEKVAGMEPDRANSSTDDNLKTDERKSEV
+LLGFSVENAAATQVTSAKEIPCNECATSFPSLQKYMEHHCPNARLPVLKDDNESEISELE
+DSDVENLTGEIVYQPDGSAYIIEDSKESGQNAQSGANSKLFSTAMFLDSLASAGEKGDQS
+ASAPLSFYPQIINTFHIASSLGKPFTADQAFPNTSALAGVGPVLHSFRVYDLRHKREKDY
+LTSDGSAKNSCVSKDVPNNVDLSKFDGCVSDGKRKPVLMCFLCKLSFGYIRSFVTHAVHD
+HRMTLNEEEQKLLSNKCVSAIIQGIGKDKEPLISFLEPKKSTSVYPHFSTTNLIGPDPTF
+RGLWSAFHVENGDSLPAGFAFLKGSPSTPGSAEQPLGITQMPKAEVTLGGLSSLVVNTPI
+TSVSLSHSSSESSKMSESKDQENNCERPKESNALHPNGECPVKSEPTEAGDEDEEDAYSN
+ELDDEEVLGELTDSIGNKDFPLLNQSISPLSSSVLKFIEKGPSSSSATVSDDPEKKKQAA
+AVRAGGGVSGSYGISGKDLAEASASKEGATATHLSETARGDEDSSAAPHQHGFTPSAPGT
+PGPGGDGSPGSGIECPKCDTVLGSSRSLGGHMTMMHSRNSCKTLKCPKCNWHYKYQQTLE
+AHMKEKHPEPGGSCVYCKTGQPHPRLARGESYTCGYKPFRCEVCNYSTTTKGNLSIHMQS
+DKHLNNVQNLQNGTGEQVFGHSAPAPNSSLGGCGTPSPSKPKQKPTWRCEVCDYETNVAR
+NLRIHMTSEKHMHNMMLLQQNMKQIQHNLHLGLAPAEAELYQYYLAQNIGLTGMKLENPG
+DPQLMLNPFQLDPATAAALAPGLVNNELPPEIRLASGQLIGDDLSLLTAGELSPYISDPA
+LKLFQCAVCNKFTSDSLEALSVHVSSERSLPEEEWRAVIGDIYQCKLCNYNTQLKANFQL
+HCKTDKHMQKYQLVAHIKEGGKSNEWRLKCIAIGNPVHLKCNACDYYTNSVDKLRLHTTN
+HRHEAALKLYKHLQKQEGTVNPESCYYYCAVCDYSTKVKLNLVQHVRSVKHQQTEGLRKL
+QLHQQGLALEEDNLNEIFFVKDCPPNELETASLGARTCEDDLTEQQLRATSAEEQNEEAE
+GAIKPIAGADEDEKDTSERDNSEGKNSNKDSGVITPEKELKVSVAGGTQPLLLAKEEDVS
+TKRSKPTEDNKFCHEQFYQCPYCNYNSRDQSRIQMHVLSQHSVQPVICCPLCQDVLSNKM
+HLQLHLTHLHSVSPDCVEKLLMTVPVPDVMMPNSLLLPAAASEKSERDTPAVITAEGAGK
+YSGDSPMDDKSMVGLDDSKASVEIKSEEQKPTKEATEVLEWNKNSSKDMKISDTLQDQLS
+EQQKRQPLSVSDRHVYKYRCNHCSLAFKTMQKLQIHSQYHAIRAATMCNLCQRSFRTFQA
+LKKHLEAGHPELSETELQQLYASLPVNGELWAESEPMAQEDHALEQEMEREYEVDHEGKA
+SPVGSDSSSIPDDMGSEPKRTLPFRKGPNFTMEKFLDPSRPYKCTVCKESFTQKNILLVH
+YNSVSHLHKLKKVLQEASSPVPQETNNSPDNKPYKCSICNVAYSQSSTLEIHMRSVLHQT
+KARAAKLEPGSGHGPGGHGVAASVNSPSQGMLDSISLAAVGSKDTHLDAKELNKKQTPEL
+ISAQPTHHPPQSPAQIQMQLQHELQQQAAFFQPQFLNPAFLPHFPMTPEALLQFQQPQFL
+FPFYIPGTEFSLGPDLGLPVGSAAFGMPGMTGMAGSLLEDLKQQIQSQHHVGQTQLQILQ
+QQAQQYQATQPHVPSQKPQPQPQQQQQPQQQQASKLLKQEQTTVASADCPIVKDVPSYKE
+AEEMAEKQDKPKQECVSEGEGLKESKDLGKKPKSSEPSIPPPRIASGARGNAAKALLENF
+GFELVIQYNENRQKVQKKGKSGEGESTEKLECGTCGKLFSNVLILKSHQEHVHGQFFPYG
+ALEKFARQYREAYDKLYPISPSSPETPPPPPPPPPLPPAPPQPPSLGPVKLPSAVSTPLQ
+APAPTPPPPPPPPPPPPPPPPPPPPSAPPQVQLPVSLDLPLFPSIMMQSVQHPALPPQLA
+LQLPPMDTLSADLTQLCQQQLGLDPNFLRHSQFKRPRTRITDDQLKILRAYFDINNSPSE
+EQIQEMAEKSGLSQKVIKHWFRNTLFKERQRNKDSPYNFSNPPITVLEDIRMDPQPSSLE
+HYKSDTSFSKRSSRTRFTDYQLRVLQDFFDTNAYPKDDEIEQLSTVLNLPTRVIVVWFQN
+ARQKARKSYENQTETKDNEKRELTNERYIRTSNMQYQCKKCSVVFPRIFDLITHQKKQCY
+KDEDDDAQDESQTEDSMDATDQVVYKHCTVPGQTEAAKTAPAPAGGSASGTSTPLIPSPK
+PEPEKTSPKPEYPTEKPKPGDPSPHTQGTKPALPSASSSSDPPPPPPASAVQPQPPKPPQ
+LLGRPPSASQTPVPSSPLQISMTSLQNSLPPQLLQYQCDQCTVAFPTLELWQEHQHMHFL
+AAQNQFLHSPFLERPMDMPYMIFDPNNSLMTGQLLGGPLTQMPPQTASSHPAAPATVAAS
+LKRKLDEKEENNCSEKEGGNSGEDQHRDKRLRTTITPEQLEILYEKYLLDSNPTRKMLDH
+IAREVGLKKRVVQVWFQNTRARERKGQFRAVGPAQSHKRCPFCRALFKAKSALESHIRSR
+HWNEGKQAGYSLPPSPLISAEDGGDSPQKYIYFDYPSLPLTKIDLSSENELASTVSTPVS
+KTAELSPKNLLSPSSFKAECSEDVENLNAPPAEAGYDQNKTDFDETSSINTAISDATTGD
+EGNAEMESTTGSSGEVKPALSPKEGKTLDTLPKTATTPTTEVCDEKFLFSLTSPSIPFSD
+KDGDHDQSFYITDDPDDNADRSETSSIADPSSPNPFGSSNPFKSKSNDRPGHKRFRTQMS
+NLQLKVLKACFSDYRTPTMQECEMLGNEIGLPKRVVQVWFQNARAKEKKFKINIGKPFMI
+NQSGTEGAKPECTLCGVKYSARLSIRDHIFSKQHISKVRETVGSQLDREKDYLAPTTVRQ
+LMAQQELDRIKKASDVLGLAVQQPSMMDSSPLHGISLPAAYPGLPGLPPVLLPGMNGPSS
+LPGFPQNSNTLTPPGAGMLGFPTSATSSPALSLSSAPTKPLLQTPAPPPPPPPPPPPPPP
+SLSGQQTEPQNKDSEKKPTKPNKVKKIKEEELEATKPEKHPKKEEKISSALSVLGKVVGD
+TPVDPSQLQALQNAIAGDPASFLGGQFLPYFIPGFASYFTPQLPGAVQGGYLPPVCGMES
+LFPYGPTMPQTLAGLSPGTLLQQYQQYQQNLQESLQKQQKQQQEQQQKALQAKTSKAESE
+QLPPNPSDASETKGDKSPATESTKEEPQLESKSADFSDTYVVPFVKYEFICRKCQMMFTD
+EDAAVNHQKSFCYFGQPLIDPQETVLRVPVSRYQCLACDVAIGGNEALSQHLQSSLHKEK
+TIKQAMRNAKEHVRLLPHSVCSPNPNTTSTSQSAASSNNTYPHLSCFSMKSWPNILFQAS
+ARRAASSPSSPPSLSLPSTVTSSLCSTSGVQTSLPTESCSDESDSELSQKLEDLDNSLEV
+KAKPASGLDGNFNSIRMDMFSV
+>tr|A0A3Q1N8S9|A0A3Q1N8S9_BOVIN C-type lectin domain-containing protein OS=Bos taurus OX=9913 GN=LOC100847738 PE=4 SV=1
+MNNQGATYAELKGVKNSKRQKRKPKVSKSSISMTEQELTYVELNLQNAPQNLHGNKKNQR
+SKGSPSPSEKFIAGILGIICLVLMSTVVTMIIVTPLINFYFSKPNYWLTYSNNCYYTSLE
+KKSWKESLISCATKNSTLLYIDNEEETKFLMSLSIISWIQVSREGRGRPWKWLNGSTCKL
+QITDKLPGEHNCAVQSLWDIRAEDCQFPNAYHCKNKLGIKT
+>tr|A0A3Q1M9S4|A0A3Q1M9S4_BOVIN MAP kinase-activated protein kinase 3 OS=Bos taurus OX=9913 GN=MAPKAPK3 PE=3 SV=1
+MDVETAEEQGGPAPPSGVPCGPCSAGAPALGGRREPKKYAVTDDYQLSKQVLGLGVNGKV
+LECFHRRTGQKCALKLLYDSPKARQEVDHHWQASGGPHIVRILDVYENMHHSKRCLLIIM
+ECMEGGELFSRIQERGDQAFTEREAAEIMRDIGTAIQFLHSRNIAHRDVKPENLLYTSKD
+KDAVLKLTDFGFAKETTQNALQTPCYTPYYVGESLGCGCLCGFPPFYSNTGQAISPGMKR
+RIRLGQYGFPSPEWSEVSEDAKQLIRLLLKTDPTERLTITQFMNHPWINQSMVVPQTPLH
+TARVLQEDRDHWDEVKEEMTSALATMRVDYDQVKIKDLKTSNNRLLNKRRKKQAGSSSGS
+QGCNNQ
+>tr|A0A3Q1MDJ0|A0A3Q1MDJ0_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MGIPDHLTCLFRNLYAGQEATVRTGHGTTDWFQIGKGVRQVCILSPCLFSFYAEYIMRNA
+GLEETQAGIKIAGRNINNLRYADDTTLMAESEEELKSLLMKVKVESEKVGLKLNIQKTKI
+IASGPITSWEMDGETVETMSDFIFWGSKITVDGDCSHEIKRRLLLGRKVLTNLDSILISR
+DITLPTKVQLVKAMVFPVVMYGCESWTEKKAERRRIDAFELWCWRRLLRVPWAARRSNQS
+ILKEISPGIS
+>tr|F1MFU2|F1MFU2_BOVIN Potassium channel tetramerization domain containing 9 OS=Bos taurus OX=9913 GN=KCTD9 PE=4 SV=2
+MRRVTLFLNGSPKNGKVVAVYGTLSDLLSVASNKLGIKATSVYNGKGGLIDDIALIRDDD
+VLFVCEGEPFIDPQTDSKLPEGLSGSHTDWLTLNVGGRYFTTTRSTLVNKEPDSMLAHMF
+KDKGVWGNKQDHRGAFLIDRSPEYFEPILNYLRHGQLIVNDGINLLGVLEEARFFGIDSL
+IEHLEVAIKNSQPPEDHSPISRKEFVRFLLATPTKSELRCQGLNFSGADLSRLDLRYINF
+KMANLSRCNLAHANLCCANLERADLSGSVLDCANLQGVKMLCSNAEGASLKLCNFEDPSG
+LKANLEGANLKGVDMEGSQMTGINLRVATLKNAKLKNCNLRGATLAGTDLENCDLSGCDL
+QEANLRGSNVKGAIFEEMLTPLHMSQSVR
+>tr|F1N416|F1N416_BOVIN Cadherin 22 OS=Bos taurus OX=9913 GN=CDH22 PE=4 SV=2
+MRPRPEGRGLRAGAALSPALLLLLLLLLLPPPPPPLLGALWAAGTPAPSVQDGAPGAGRV
+KRGWVWNQFFVVEEYTGTEPLYVGKIHSDSDEGDGAIKYTISGEGAGTIFLIDELTGDIH
+AMERLDREQKTFYTLRAQARDRATNRLLEPESEFIIKVQDINDSEPRFLHGPYIGSVAEL
+SPTGTSVMQVMASDADDPTYGSSARLVYSVLDGEHHFTVDPKTGVIRTAVPDLDRESQER
+YEVVIQATDMAGQLGGLSGSTTVTIVVTDVNDNPPRFPQKMYQFSIQESAPIGTAVGRVK
+AEDSDVGENTDMTYHLREESGSGGHVFKVITDSDTQEAIIVVQKRLDFESQPVHTVVLEA
+LNKFVDPRFADLGTFRDQAIVRVAVIDVDEPPEFRPPSGLLEVQEDAQVGSLVGVVTARD
+PDAANRPVRYAIDRDSDLDQVFDIDADTGAIVTGKGLDRETAGWHNITVLAMEADNHAQL
+SRASLRIRILDVNDNPPELATPYEAAVCEDAKPGQLIQTISVVDRDEPQSGHRFYFRLVP
+EAPSNPHFSLLDIQDNTAAVHTQHMGFNRQEQDVFLLPILVVDSGPPTLSSTGTLTIRIC
+GCDSSGAIQSCNTTAFVMAASLSPGALIALLVCVLILVVLVLLILTLRRHHKSHLSSDED
+EDMRDNVIKYNDEGGGEQDTEAYDMSALRSLYDFGELKGGGGGGDGGGGGGEGSAGSAGS
+PPQARLPSERHSLPQGPPSPEPDFSVFRDFISRKVALADGDPSVPPYDAFQTYAFEGADS
+PAASLSSLHSGGSSGSEQDFAYLSGWGPRFRPLAALYAGHRPDDEAPAS
+>tr|E1B8S3|E1B8S3_BOVIN ADAM metallopeptidase with thrombospondin type 1 motif 18 OS=Bos taurus OX=9913 GN=ADAMTS18 PE=4 SV=3
+MECALLLACALPAARSGPPWGPAARGRVAKALQLCCLCCASVAAALASDSRGGEGSGLNH
+DYVFVTPVEVDSGGSYISHDILHNGRKKRSAQSASSSLHYRFSAFGQELHLELKPSAILS
+SHFIVQVLGKDGASETREPAVPRCFYQGFIRNDSSSSVAVSTCAGLTGLIRTQKNEFLIS
+PLPQLLAQEHNYSSPAGHHPHVLYKRTAEEKIRRCHGYLSSGRNPAGHPPSHTPHTSWSP
+EREQHHGRLQKQHFCGRRKKYAPKPPTEDTYLRFDEYGSSGRPRRSAGKSQKGLNVETLV
+VADKKMVEKHGKANVTTYILTVMNMVSSLFKDGTIGSDINIVVVSLILLEQEPGGLLINH
+HADQSLNSFCQWQSALVGKNGKRHDHAILLTGFDICSWKNEPCDTLGFAPISGMCSKYRS
+CTINEDTGLGLAFTIAHESGHNFGMVHDGEGNPCRKTEGNIMSPTLTGNNGVFSWSSCSR
+QYLKKFLSTPQAGCLVDEPKQTGQYKYPDKLPGQMYDADTQCKWQFGAKAKLCGLGLVKD
+ICKSLWCHRVGHRCETKFMPAAEGTVCGLSMWCRQGQCVKLGDLGPRPIHGQWSAWSKWS
+ECSRTCGGGVRYQERHCNNPKPQYGGKFCPGSSRVYQLCNINPCDKNSLDFRAQQCAEYN
+SKPFRGWFYQWKPYMKVEEEDRCKLYCKAENFEFFFAMSSKVKDGTPCSPNKNDVCIDGI
+CEPVGCDHELGSKAVSDACGVCKGDNSTCKFYKGLYLNQHKANEYYPVVTIPAGARSVEV
+QELQVSSSYLAVRSLGHKYYLTGGWSVDWPGEFAFAGTVFEYQRAFNRPECLSAPGPINE
+TLVFEILMQGKNPGIAWKYALPKVTNGTLPASKRHSYAWSTVQTDCSVSCGGGYISVKAV
+CLRDQNTQVNSSFCNARARPATEPKMCNAFSCPAYWKPGEWSACSRSCAGGQQSRKVQCV
+QKKPFQKEEAVLHSLCPVSTPTQVQACNSHACPPEWSPGPWSQCSKTCGRGVRKREVTCR
+RAAVAEAVPESACAGAARPDSQEGCVLGRCPKNSRLQWLISSWSECSVSCGLGVRKREMK
+CSEKAFQGKLITLPERRCRNIKKPNVDLEETCSRGACPAHPVYSLAAGWYSSPWQQCTVS
+CGGGVQTRSVHCVQQGRPSSSCLLRQKPPVLRACNTNFCPAPAKREDPSCVDFFGWCRLV
+PQHGVCNHKFYGKQCCRSCSRKS
+>tr|F1N4T0|F1N4T0_BOVIN Potassium sodium-activated channel subfamily T member 2 OS=Bos taurus OX=9913 GN=KCNT2 PE=4 SV=3
+MVDLESEVPPLPPRYRFRDLLLGDQGWQNDDRVQVEFYMNENTFKERLKLFFIKNQRSSL
+RIRLFNFSLKLLSCLLYIIRVLLENPSQGNEWSHIFWVNRSLPLWGLQVLVALISLFETI
+LLGYLSYKGNIWEQILRIPFILEIINAVPFIISIFWPSLRNLFVPVFLNCWLAKHALENM
+INDLHRAIQRTQSAMFNQVLILISTLLCLIFTCICGIQHLERIGKKLNLFDSLYFCIVTF
+STVGFGDVTPETWSSKLFVVAMICVALVVLPIQFEQLAYLWMERQKSGGNYSRHRAQTEK
+HVVLCVSSLKIDLLMDFLNEFYAHPRLQDYYVVILCPTEMDVQVRRILQIPMWSQRVIYL
+QGSALKDQDLLRAKMDDAEACFILSSRCEVDRTSSDHQTILRAWAVKDFAPNCPLYVQIL
+KPENKFHIKFADHVVCEEEFKYAMLALNCICPATSTLITLLVHTSRGQEGQQSPEQWQKM
+YGRCSGNEVYHIVLEESTFFAEYEGKSFTYASFHAHKKFGVCLIGIRREDNKNILLNPGP
+RYIMNATDTCFYINITKEENSAFKNHDHQKKSNVPRSFYHGPSRLPVHSIIASMGTVAID
+LQDTSCRSASGPTLSLPTEGSKEVRRPSIAPVLEVADTSSIQTCDLLSDQSEDETTPDEE
+MSSNLEYAKGYPPYSPYIGSSPTFCHLLHEKVPFCCLRLDKSCQHNYYEDAKAYGFKNKL
+IIVAAETAGNGLYNFIVPLRAYYRPKKELNPVILLLDNPPDMHFLDAICWFPMVYYMVGS
+IDNLDDLLRCGVTFAANMVVVDKESTMSAEEDYMADAKTIVNVQTLFRLFSSLSIITELT
+HPANMRFMQFRAKDCYSLALSKLEKKERERGSNLAFIFRLPFAAGRVFSISMLDTLLYQS
+FVKDYMISITRLLLGLDTTPGSGFLCSMKITEDDLWIRTYARLYQKLCSSTGDVPIGIYR
+TESQKLTTSESQISISVEEWEDTKDAKEQGHHRSNHRNSTSSDQSDHPLLRRKSMQWARR
+LSRKGPKHSGRTAEKMTQQRLNLYRRSERQELAELVKNRMKHLGLSTVGYDEMNDHQNTL
+SYILINPSPDTRLELNDVVYLIRPDPLAYLPNSEPSRKNSICNTMVQDSREETQL
+>tr|A0A452DJ60|A0A452DJ60_BOVIN Chromatin target of PRMT1 protein OS=Bos taurus OX=9913 GN=CHTOP PE=4 SV=1
+MAAQSAPKVVLKSTTKMSLNERFTNMLKNKQPMPVNIRASMQQQQQLASARNRRLAQQME
+NRPSVQAALKLKQKSLKQRLGKSNIQARLGRPIGALARGAIGGRGLPIIQRGLPRGGLRG
+GRATRTLLRGGMSLRGQNLLRGGRAVAPRMGLRRGGVRGRGGPGRGGLGRGAMGRGGIGG
+RGRGMIGRGRGGFGGRGRGRGRGRGALARPVLTKEQLDNQLDAYMSKTKGHLDAELDAYM
+AQTDPETND
+>tr|E1BF61|E1BF61_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=OR6C1 PE=3 SV=3
+MRNHTETIEFILLGLSDNPQLQVVIFVFLLITYMLSITGNLTIITLTLLDAHLQTPMYFF
+LRNFSILEVSFTTVSIPKFLATIITGDKTISFNDCIAQLFFFILLGVTEFYLLSAMSYDR
+YIAICKPLRYMTIMNHRVCTLLVFSSWLVSFLIIFPALMLLLNLDYCRSNIIDHFTCDYF
+PLLQLSCSDTNFLEMMGFSCAVFTLMFTLALIILSYTYIIRTILRIPSTSQRTKAFSTCS
+SHMIVISISYGSCIFMYIKPSAQDRVSLSKGVAVLNTSVAPMLNPFIYSLRNQQVKQAFK
+DMARKTIFQKQMK
+>tr|F1N736|F1N736_BOVIN Protein Mdm4 OS=Bos taurus OX=9913 GN=MDM4 PE=3 SV=3
+MTSFSTSAPCSAPDSARRISPEQTNQVRPKLPLLKILQAAGAQGEMFTVKEVMHYLGQYI
+MVKQLYDQQEQHMVYCGGDLLGELLGRQSFSVKDPSPLYDMLRKNLVALATAATDAAQTL
+AIAQEHSMDIPSQDHLKQSVEESSNSRKRTEEGNIPTLPTSQYKCKNSREDEDLVANLTQ
+EETSRLDLGFEEWDVAGLPWWFLGNLRNNYTPRSNGSTDLQTNQDIGTAIVSDTTDDLWF
+LNESVSEQFGVGKKVEAADPEQTSEEVGKLIDKKVTEVGKNDDLEDPKSISDDTDIEVTS
+EDEWQCTECKKFNSPSKRYCFRCWALRKDWYSDCSKLTHSLSTSDITAIPEKQESEGVDV
+PDCRRTVSAPVVRPKDTYVKEESSKHFDPCNSVEFLDLAHSSESQETISSMGEQSDNLFE
+QRKDTENMEDCQNLLKPCSLCEKRPRNGNIIHGRTGHLVTCFHCARRLKKAGASCPICKK
+EIQLVIKVFVA
+>tr|G3N0S0|G3N0S0_BOVIN Ubiquitin interaction motif containing 1 OS=Bos taurus OX=9913 GN=UIMC1 PE=4 SV=1
+MPRRKKKVKEASEAQNLEKKDVETTGSVNVKKKRRLEDAYIVISDSDGEEPKEENGLQKT
+KAKQSNRAKCLAKRKIAQMTEEEQFALALKMSEQEAREVNSQEAEEEELLRKAIAESLNS
+CRPSDASATRSRPLATGPSSQSHQQKTTDSGTTEGIWQLVPPSLFKGSHISQGNESEERE
+EPWDHTENTEEEPVSGSSGSWDQSSQPVFENENVKCFDRCTGHLAEHTQCGKPQESTGRG
+CAFHKAAQGRGDTSRHCLPTPADAKGLQDIGGTVYYFWGIPFCPDGVDPNQYTKVILCQL
+EVYQKSLKMTQRQLLKKKGFGEPVLPRPPSLIQNECGQGDQASEKNEGISEDVGDEDKEE
+RQESRASVWHSKTKDFQESPIKSLKEKLLLEEEPTTSHGQSSQGLFVEETSEEGTSVPAS
+QSIAALTSKRSSAFMPKSSVEEITVCPETQLSSPETFDLEKEGFPDGRETLDEVRIMADK
+EVLQVDNKKDAEKEISTSIFSSSAQVSCPLCDQRFPPTKIERHAMYCNGLMGQDTVLTRS
+QREALNRRDSVTTAQTSLDIDKDEKCYLCKSLVPLREYQCHVESCLQLARGDQGDEPEES
+ERVCTAVGRKRPQWLRNLKDKGQNEGRLLRLLEQSEYKTTDAEIKTKFSETGAFRVSSPG
+LEEAGCSREMQSSLTHLDSNESPIKSFVSVSEATDCLVDFKKQLTVRPHSQTQTKAGRGR
+RRKS
+>tr|A0A3Q1MM84|A0A3Q1MM84_BOVIN Enah/Vasp-like OS=Bos taurus OX=9913 GN=EVL PE=1 SV=1
+MVYDDTSKKWVPIKPGQQGFSRINIYHNTASNTFRVVGVKLQDQQVVINYSIVKGLKYNQ
+ATPTFHQWRDARQVYGLNFASKEEATTFSNAMLFALNIMNSQEGGPSSQRQVQNGPSPDE
+MDVQRRQVMEQQHQQRQESLERRASATGPSLPPGHPSSAASAPLSCSGPPPPPPPPVPPP
+PTGATPPPPPPLPAGGAQGTSHDESSVSGLAAALAGAKLRRVQRPEDASGGSSPSGPSKS
+DANRASSGGGGGGLMEEMNKLLAKRRKAASQTDKPADKKEDESQTEEPSNSPSPGTRAAS
+QQLPNSSEASRKPWERSGSAEKPVSSSLSRMKPAGSVNDVALDALDLDRMKQEILEEVVR
+ELHKVKEEIIDGEWQGAPHPDPRHGAAPSQAHAKGPWGGQGCLCPGLQARGQEGPASEAI
+LGREAEGLC
+>tr|A0A3Q1LWQ4|A0A3Q1LWQ4_BOVIN Family with sequence similarity 219 member B OS=Bos taurus OX=9913 GN=FAM219B PE=4 SV=1
+MATADPDGHAAPSIPGPRPSGTGAAGLPSGRSGIGAPRLGERIPAAVEKRGPYMVARAPS
+IQAKLKKHRDLAKAVLRRKGMLGAAPNRPDSSGKRSVKFNKGYTALSQSPDENLVSLDSD
+SDGELESRYSSGYSSAEQVNQDVSRQLLQDGYHLDEIPDDEDLDLIPPKPMTSTCSCCWC
+CLGASSSCTLQ
+>tr|E1BNU5|E1BNU5_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 GN=LOC100335205 PE=4 SV=3
+MAFLEAVLFSSLWSFGLGQLTLVQTEVSVTGTREKSIIMSCKVFSKDFSKDYIHWYRQKP
+DQGLEQLLFVLDAPALNDLGGKKNKLEARKDKPSSTSTLKISFLEKEDEATYYCAGWLLA
+HSTWIKVFGEGTKLVVIPPDRRLDGDLFPKPTIFFPSVEEVKLHGAGTHLCLLQNFFPDA
+IKIQWKEKNVNTILESYQGNIIKTNDTYMKFSWLTLTKKAMDKEHVCIVKHENNKGGRDQ
+QILFSPVKKEVDTHACMKKESDTLQLQFANTSAYYTYLLLLLKSMIYFSIIAFCVFWRTG
+IFSDGKIF
+>tr|F1MW90|F1MW90_BOVIN Caspase recruitment domain family member 10 OS=Bos taurus OX=9913 GN=CARD10 PE=4 SV=3
+MPADVGVHFIVSAPGARCERRTERRGADAVCPVRAGKDPEDAAMPGGAEAGEADEEAGAG
+SGSEAEEDALWERIEGVRHRLTRTLNPAKLTPYLRQCRVIDEQDEEEVLSTYRFPCRVNR
+TGRLMDILRCRGKRGYEAFLEALEFYYPEHFTLLTGREPAQRCSMILDEEGPEGLTQFLM
+TEVRRLREARKSQLQREQQLQARGRVLEEERAGLEQRLREQQQAQERCQRLREDWEAGSL
+ELLRLKDENYMIAMRLAQLSEEKNSAVLRSRDLQLAVDQLKLKVSRLEEECTQLRRARGP
+LPGAEEKEKEPDSADLVLELRAENQRLVASLQELQEGLQQEASRPGAPGSERILLDILEH
+DWREAQDSRQELCQKLHAVQGELQWAEELRDKYLQEMEDLRLKHRTLQKDCDLYKHRMAT
+VLAQLEEIEKERDQAIQSRDRIQLQYSQSLIEKDQYRKQVRGLEVERDELLTALTSLEGA
+KALLEVQLQRVQGGPHLKACASSHSLCSNLSSTWSLSEFPSPLGGPEAAGEAPVVGGPEP
+HTSEEATDNEKEINRLSILPFPPSAGSILRRQREEDPAPPKRSFSSMSDITGSVTLKPWS
+PGLSSSSSSDSVWPLGKPDSLLARGCGLDLFNRSLAIRVSGWNPPGGPEPQDKGPDSLSF
+LGDSWSGAVVRRVLSGPGSARVEPREPRAEAAGLEGAGLEGEAQQRTLPRKQTSTLPLMD
+FKACQSFHEALDAWVREPGAEPFYIRANLTLPERADPHALCVRAQEILRLVDPAYKRRQE
+WFCTRVDPLTLRDLDRGTVPNYQRAQQLLEVQEKCLPSSRHRGPRSNLKKRALDQLRLVK
+PKHVGSPPGDSPEQLLLEPCSEPERSLKPYSLVRPLLVSALRPVVLLPECLAPRLIRNLL
+DLPSSRLDFQVCPAESLSGEEQCTLSAPGAPKARPAAPSLGSRIRAIQESVGKKHCLLEL
+GARGVRELVQNEIYPIVIHVEVTEKNVREVRGLLGRPGWRDSELLRQCRGSEHVLWGLPC
+SWVQVPAHEWSHSEELAKVVRGRILQEQARLVWVERSSTRGGGSSSSSEA
+>tr|A0A3Q1M5V1|A0A3Q1M5V1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=CREB5 PE=4 SV=1
+MFSSSNIYEESKMNLEQERPFVCSAPGCSQRFPTEDHLMIHRHKHEMTLKFPSIKTDNML
+SDQTPTPTRFLKNCEEVGLFSELDCSLEHEFRKAQEEESSKRNISMHNPVGGAMAGPGAH
+QLGSARMPNHDTSVVIQQAMPSPQSSSVITQAPSTNRQIGPVPGSLSSLLHLHNRQRQPM
+PASMPGTLPNPTMPGSSAVLMPMERQMSVNSNLLGMQGPNLSNPCASPQVQPMHSEAKMR
+LKAALTHHPAAMSNGNMNTMGHMMEMMGSRQDQTPHHHMHSHPHQHQTLPAHHPYPHQHQ
+HPAHHPHPQPHHQQNHPHHHSHSHLHAHPAHHQTSPHPPLHSGTQAQVSPATQQMQPTQT
+IQPPQPTGGRRRRVVDEDPDERRRKFLERNRAAATRCRQKRKVWVMSLEKKAEELTQTNM
+QLQNEVSMLKNEVAQLKQLLLTHKDCPITAMQKESQGYLSPESSPPASPTPACSQQQVIQ
+HNTITTSSAVSEVVGSSTLSQLTTHRTDLNPIL
+>tr|A0A3Q1MES5|A0A3Q1MES5_BOVIN dNK domain-containing protein OS=Bos taurus OX=9913 GN=TK2 PE=4 SV=1
+MESIIYSVNKAEHIGGLDMPTASGRQFWFQGIDTSLPPSTEGVSQVLLENISRPGSKHNN
+DRRVSYLKSRLKIGFLSFLDKDRENEKEKKSVVCVEGNIASGKTTCLEFFSNSTDIEVLT
+EPVPKWRNVRGHNPLGLMYQDACRWGFTLQTYVQLTMLDQHTRPQTLPVRLMERSIYSAR
+YVFVENLYRSGKMPKVDYVVLSEWFDWIVRNIDVSIDLIVYLRTTPETCYQRLKMRCREE
+EKVIPLEYLDAIHHLYEEWLIKGSLFPVAAPVLVIEADHNMQKMLELFEQNRHRILTLEN
+RKLGP
+>tr|A0A3Q1LX48|A0A3Q1LX48_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC112447520 PE=3 SV=1
+RGTVGGNASSVTDFILVGLFPEFQHSIVLNFVVIFIYILAFLGNLLLIVLIWGDSRLHTP
+MYILLSQLSLIDLTLTSTIVPKTASNFFTGKRTISWIGCGTQSFFFLMLGMSECLILTLM
+AYDRYVAVCIPLRYLTIMSPRFCLHMVAGCWIGGSIGSFIHTVYPMHFPICGSREIHHFF
+CEVPVLIKLSCEDTSVYQLVVVVTSIVLLVVPFSLIIASYTLIFLTVLCMNSVKGRKKAL
+ATCSSHLTVVSLFFGPNIFIYMTFASSHSPEQDQALSLFSNILTPMLNPLIYSLRNKEVV
+AALMKLVGRCGVS
+>tr|A0A3Q1LU43|A0A3Q1LU43_BOVIN Colony stimulating factor 3 receptor OS=Bos taurus OX=9913 GN=CSF3R PE=4 SV=1
+MVGLGAWSLVGAALIILLLPRSLEQCGHILLSAPIVRLGDQVTASCIINRNCSHLGTDWR
+VVWKLEPELHPRERRQHLPNGTLQSTITLPHLNHSRVLLSCCLHWGNSLQILDQAELQAG
+YPPTAPYNLSCIMNLTTNSLICQWEPGPNTHLSTSFTLKSFKSQDKCQTQKDSIPDCVPE
+VGQSHCSIPRKHLQLYQNMSIWVQARNALGTSASPKLCLAPMDVVKLEPPTLWALEPSPA
+VAPPQPGCLRLRWETWRPSLYIEQKCELRHQPRLGEAGWDLVSALPARTSQYELCGLLPS
+TAYTLQMRCTRWRLPGHWSEWSPSLELTTAQRAPIVRLDTWWRQRQLDPETVTVQLFWKP
+IALEEDSGQIQGYLVSWRPSDQAGAEPTLCDTTELNCTFQLPSEAREVVLKAYNTAGTSH
+PTPVVFLESRENIRPFQLYEITVTPLYQDTKGPSQHIYAYSQEMAPSHGPELHLRHIGKT
+WAQLEWVPEAPELGKSPLTHYTIFWTNTQDQSFSTVLNASTHSFVLRGLEPSSLYHVQLM
+AASQVWAANSTSLTLMTLTLEESELHILLGLFGLLVLLICLCGAAQFCCRPSRKYSLWPS
+VPDPARSSLGSWVPTITAEDILQLPSLRDPGMPPITKITVLEEEEKKPGPWESNASSGPG
+SLSTLVQAYVLQGDPRVPSAQPQPQPGNSDQVLYVQVLGSPTGPGPGHYLRCDSTQPLLE
+GLSPSPKSYENLWFQTSSPGTPEPLVPHPEDDSIFEPLLDFPLLQGLRVSGAEGLGGF
+>tr|F1N455|F1N455_BOVIN Dipeptidyl peptidase 1 OS=Bos taurus OX=9913 GN=CTSC PE=3 SV=1
+MGPWSGSRLVALLLLVYGAGSVRGDTPANCTYPDLLGTWVFQVGSSGSQRDVNCSVMGPP
+EKKVVVHLKKLDTAYDDFGNSGHFTIIYNQGFEIVLNDYKWFAFFKYKEEGGKVTSYCHE
+TMTGWVHDVLGRNWACFTGRKTGNTSENVNVNTARLAGLEETYSNRLYRYNHDFVKAINA
+IQKSWTAAPYMEYETLTLKEMIRRGGGHSRRIPRPKPAPITAEIQKKILHLPTSWDWRNV
+HGINFVTPVRNQGSCGSCYSFASMGMMEARIRILTNNTQTPILSPQEVVSCSQYAQGCEG
+GFPYLIAGKYAQDFGLVEEDCFPYTGTDSPCRLKEGCFRYYSSEYHYVGGFYGGCNEALM
+KLELVHQGPMAVAFEVYDDFLHYRKGVYHHTGLRDPFNPFELTNHAVLLVGYGTDAASGL
+DYWIVKNSWGTSWGENGYFRIRRGTDECAIESIALAATPIPKL
+>tr|F1MZW1|F1MZW1_BOVIN 28S ribosomal protein S23, mitochondrial OS=Bos taurus OX=9913 GN=MRPS23 PE=4 SV=1
+MAGSRLETVGSIFTRTRDLIRAGVLKEKPLWFDVYNAFPPLREPVFRRPRLRYGKAKSPT
+QDIFYHEDQIRAKFYAAYGSGPKAFDLFNPNFKSTCQRFVEKYIELQKLGETDEEKLFVE
+AGKALLAEGVILRRVEKARTQQEGSQVSRKSESMGVESQTALEENPPLKEVPQAQHLESP
+GEESKGLSPP
+>tr|A0A3Q1LVD8|A0A3Q1LVD8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=KIAA0556 PE=4 SV=1
+PSFNPPHKPTGSNKKERNLSAKRKDNAEVFIPSKPEPHPSPQPPTMFPDQDRACSRPGSR
+RERPLSATRKPVREDEDREEDASAVLRAIQVENEALQRAILSRKSEPPTSPPQVRSLQRE
+SMDFCILPQLPPITTVTSTQEPARAAGGARAVSEAINRIGLLGSRYLSKRLQNENKQPID
+KSGPKAEEIKDAIYVTMEILSNWGNTSWVGLTEVEFFDLNNTKLYVSPHDVDIRNTDMPG
+DLGHLVSRNLAGKKDPSLWTCPFHPPLQLFFVIRNTGQLRDFGLTKIKVWNYWTADGDFD
+IGAKNVKLYVNKKLIFDGKLDKGGGEAPQSILVGLQDERMEESDAALLEESRGVRKTPGT
+EGDEELRASHSQPAGAGEDVKVSSQGNLFVGRMNSPDSVKDSLSQLEEELSNLAAPSSTG
+GEPRGPPHCPSVECPPPPDQELSLLQQLENLTGRKVSEPPGKTPSWLQPSPAGKGRKPGG
+PKLKPLWLSPEKPLDWRDELPSDDVMGEGPGGSKARDKGSRREQGRVNSWNVITAERAQR
+TTPKVHGDDFDIFNQPPHREQPASGRRGLRRDALSLSHGDSQPASREGAWSTRMPPQPQW
+HSELEHTLHESWDSLTAFDRSHRGRISNMEFQGDILDEFLQQQKSSRQSDQPPPWKEEKP
+EPVKGQDHGSPEADDGGDFKIPVLPYGQHLVIDIKSTWGDRHYVGLNGIEIFSSKGEPVR
+IENIQADPPDINILPAYGRDPRVVSNLIDGVNRTQDDMHVWLAPFTPGKSHSISMDFLQP
+CQVALIRIWNYNKSRIHSFRGVKDITMLLDAQCIFKGEIAKASGTLTGAPEHFGDTILFT
+TDDDILEAIFCSDETFDLDVESLCSLQSEEALQRPSTADGQGEERPHTQAGSWADDQVGL
+PELPPSPPVPDVTTPEPGIYHGICLQLNFTASWGDLHYLGLTGLEVVGQDGQALPINPHQ
+ISASPRDLNDLPEYTDDSRTLDKLIDGANITMEDEHMWLIPFSPGLDHVVTIRFDRAESI
+AGLRFWNYNKSPEDTYRGAKIVHVSLDGLCVSPPEGFLIRKGPGNCHFDFAQEILFVDYL
+QARPLPPPAQRLDTKRLERASMDYEAPPMPCGFIFQFQLLTSWGDPYYIGLTGLELYDER
+GEKIPLSENNIAAFPDSVNSLEGVCGDVRTPDKLIDQVNNTSDGRHMWLAPILPGLVNRV
+YVIFDLPTTVSMIKLWNYAKTPHRGVKEFGLLVDDLLVYNGILAMVGHLVGGILPTCEPT
+VPYHTILFTEDADICNQEKHTAISNQVEDQDVQMMNENQIITNSKRKQNAVDPALRPKTC
+ISEKETARPWWC
+>tr|F1MJ84|F1MJ84_BOVIN Vacuolar protein sorting-associated protein 51 homolog OS=Bos taurus OX=9913 GN=VPS51 PE=4 SV=3
+MAAAAAAPGPGSGPGDSPEGPEAEGPERRRKAHGMLKLYYGLSEGEAAGRPSGPDPLDPT
+DLNGAHFDPEVYLDKLRRECPLAQLMDSETDMVRQIRALDSDMQTLVYENYNKFISATDT
+IRKMKNDFRKMEDEMDRLATNMAVITDFSARISATLQDRHERITKLAGVHALLRKLQFLF
+ELPSRLTKCVELGAYGQAVRYQGRARAVLQQYQHLPSFRAIQDDCQVITARLAQQLRQRF
+REGGSGAPEQAECVELLLALGEPAEELCEEFLAHARGRLEEELRSLEAELGPSPPAPDVL
+EFTDHGGSGFVGGLCQVAAAYQELFAAQGPAGAEKLAAFARELGSRYFALVERRLAQEQG
+SGDNSLLVRALDRFHRRLRAPGALLAAAGLAEAATEIVERVARERLGHHLQGLQAAFLGS
+LTDVRQALAAPRIAGKEGPGLAELLANVASSILSHIKASLASVHLFTAKEVSFSNKPYFR
+GEFCSQGVRESLIVGFIRSMCQTAQSFCDSPGEKGGATPPALLLLLSRLCLDYETATISY
+ILTLTDEQFLVQDQSPVTPVSTLCAEARETARRLLTHYVKVQGLVISQMLRKSVETRDWL
+STLEPRNVRAVMKRVVEDTTAIDVQVGLLYEEGVRKAQSSDSSKRTFSVYSSSRQQGRYA
+PSYTPSAPMDTNLLSNIQKLFSERIDVFSPVEFNKVSVLTGIIKISLKTLLECVRLRTFG
+RFGLQQVQVDCHFLQLYLWRFVADEELVHLLLDEVVASAALRCPDPVPMEPSVVEVICER
+G
+>tr|E1BGM7|E1BGM7_BOVIN Tudor domain containing 6 OS=Bos taurus OX=9913 GN=TDRD6 PE=4 SV=1
+MCSTPGLPTPGASLVLRVSFVDVQPEVIPVQLWGLLGERRDEYVRLSREIQEAAAAARGP
+WMLGGASAFPGELCLVQVGRLWHRGRVVSRQAQESRVFLLDEGCTIAAGAGSLAPGRSEF
+FHLPSEVLGCVLAGLVPAGGGGAGGGEPQHWAPRAVDFLSHLQGKEVHGRVLDVLLLHRL
+VLLEVPELSQQMQELGLARQVPDSVFRSLLKRYLSASTPVVVPRVPPKQEQPGLDYFYPQ
+LQLGVTEPMVVTQVCHPHRIHCQLRSLSQEIHRLSESMAQVYRGSPGTGDEHSTSAPWEE
+REESPDKPGSPCASCGLDGLWYRALLLETFRPQRCAQVLHVDYGRKELVSCSSLRYLLPE
+YFRMPVVTYPCALYGLWDGGRGWSRSQVGDLKALILGQAVNAKIEFYCSFEHVYYVTLYG
+EDGINLNCVFGVQSCCLADQFLQSQGREEEEEEEEPETAFQCQSSAEEMDEEISLPTLPS
+IKLKMNTFYDAQVEFVKNPSEFWIRLRKHNGTFSKLMKKMCSFYASASKLDGVILKPEAD
+DLCCVKWKENGYFRAMVTRLEDQNVDVFLVDRGNSENVDRYDVRMLLPQFRRLPILALQC
+TLADIWPLEENWSQEAISFFKKTVLHKELVIHVLDKQDNQYIIEILDESRTGEENISKVM
+AQAGFAKYQEFETQDSLSVSVHSPGHVSNHFTIDGNRISSAKKEEQKAMRDGKTTAVPEV
+VTDTTVTTNVSAGLVQDNEKRVSVYSPLVQNFLGIEPGSSCKGELQVGTTVEVSVSCVEN
+PGYFWCQLTRNTQRFKALMCSIQDYCNNTAPPHQGTTPACLAKRTADGKWSRALITGAQS
+SEYVNIIFVDYGDKEMVSVKNIYSITEEFLMAKVQAFRCSLYNLIQPMGQNPFLWDEKAI
+QAFIEFIDNAQENSLELKCTIFALASIHDELFNVVDLLTPFQSVCHFLVQKRLARPVKFQ
+KPLEFSVKLHSYFYSTHDMKIGSEESVYITHVDDPWAFYCQLGRNASILEQLSHHITQLS
+QVLLHLRTSHLVPGTLCLAKYTDGNWCRGVIIEKEPNKVFFVDFGNICVVTSDDLCPVPS
+DAHDVLLWPMQAIKCSLSDIPDHIPEEVTAWFRETVLDKSLKALVVAKDPDGRLIIELYN
+DSIQINANINEKLGLRGYKGGTRRKKSKALLSTTETLEVKKEDVKLSPTEYLSKSENKPD
+GKALLGESYKPKISSACRELKFLQSSTKTNLVTLHQDSVGNKNNQVSPLTTDKKLESSAE
+PSLKATKLEASLSERKLRDSCDKGLPLKISEFPKKAIMPGFKTTVYVSHINDLSDFYVQL
+TEDEAEIDHLSERLNDTRTRPEYYAGPPLQRGDVICAVFPEDNLWYRAVVKEPQLNDLLS
+VQFIDYGNVSVVHTNKIGKLDRVNVLLPGLCIPCSLKGCGVPGILNHKEVMHYFSQRTNE
+TQIRCEFVQFQDKWEVTLADEHGIIAEDMVSRYTFSEKSQLGFSTQIVQGACSTLVSKTD
+VDTSMFLNWYNPKMKTIRAYATVIDGPEYFWCQFADTKKLQHLEVEVQTVGEQVTDRRSR
+IHCPRIGDPCIVRYREDGHYYRALVTSICEDYLVSIRLVDFGNIVDSVDPKALWNIPSEL
+LAVPMQAFPCCLSGFTISQGACPREGCDYFYEIVTEDVLEITILDIKRDVGDIPLAIVDL
+KSKGESISEKMKKYSKIDMRSSDLRYEKNDAEVKGALGVPSPDVGLKKSSSKTGRERILH
+VESQTAELLERLNKDLNILETQPGKFYDPKTDNIFEAFENPGKNKIGTELLEEKVKGLLG
+DKTKFDDKYPMPGFNTFLPHATEAKEVLELNSLEVPLSPDDESKEFLELESIELQHSLVG
+DEEKEDLDLVPPTMTLPQGCDPEVTLPPLPGQLPLNCEDEKQPELELPTAQLCLEDRINP
+LSLTASQKAQEALCTEDMRGSSCAESFDEERRLRLRRQTCDAKMQIEMSIFKEEFTECTS
+RDAVSSLTSLFSEEEYKDARKHNYTLPDHIPAQPENTYTLKGFTVGSKCVVWSSLRNTWS
+KCEILEIAEEGTKVLDLSNGIEEVVNPENVWNGIPKLDRSPSEKRGLEMIQI
+>tr|E1BN00|E1BN00_BOVIN Potassium voltage-gated channel subfamily J member 13 OS=Bos taurus OX=9913 GN=KCNJ13 PE=3 SV=1
+MDGSHCKVIAPLLTERHQRMVTKDGHSTLQMDGAQTGLAYLRDAWGILMDMRWRWMMLVF
+SASFVIHWLVFAVLWYILAEMNGDLGLDHDAPPENHTICVKYITSFTAAFSFSLETQLTI
+GYGTMFPSGDCPSAIALLAIQMLLGLMLEAFITGAFVAKIARPKNRAFSIRFTDLAVVAH
+IDGKPNLIFQVANTRPSPLTNVRVSAVLYQERENGKLYQTSVDFHLDGISSEECPFFIFP
+LTYYHSIIPSSPLATLLQHENPPHFELVVFLSAMQEGTGETCQRRTSYLPSEIMLHHCFA
+SLLARGSKGEYQIKMENFDKTTPEFQTPLVSKSPNRTDLDIHINGQSIDNFQISETGLTE
+>tr|F1MY70|F1MY70_BOVIN G_PROTEIN_RECEP_F1_2 domain-containing protein OS=Bos taurus OX=9913 GN=LOC508806 PE=3 SV=3
+MEWANETLVTEFVFLGFSSLAGLQRLLFVVFLLVYLFMLGTNVIIVSTIVLDRALHTPMY
+FFLGVLSCSETCYTFVIVPKMLVDLLAQKKTISFLGCAIQMFFFLFLICSHSFLLAAMGY
+DRYVAICNPLRYTVLMGFGVCVGLVAAACACGFIISLATTSMIFHLPFHSSNQLHHFFCD
+VSPVLKLASHQSYLNQLVIFVLGVFVLVIPLLLILVSYVLIISAIVKIPSSVGRYKAFST
+CASHLIVVTVHYGCASFIYLRPKSNYSSSQDTLISVSYTILTPLFNPMIYSLRNKEFKSA
+LQRAMTHTSYSIN
+>tr|E1BQ07|E1BQ07_BOVIN Transmembrane protease serine OS=Bos taurus OX=9913 GN=TMPRSS11A PE=3 SV=3
+IAAVFDTGSRGLKPWMIALLIVLLLTVVAVAIGLLVHFLVSDQKMEYYHATFKISDLRGN
+GNSRQNSPYRFMELREISENLVDETFIDSALNKHYIKNQVVKLTPEEDGMTVDVIMVFQF
+PSAESSAVRNRKIRSILDEKIRNTRALSINTSSVEVNAMSSSTGKLTVQACCGKRVVPLV
+SNRIMSGEIAGKAAWPWQASLQHNKIHQCGATLISNTWLVTAAHCFKNKENPHQWTVSFG
+TKINPPLMKRNIKRIIVHERYSSPAREYDIAVVQFSPRVSFTDDIRRICLPEASASFQPN
+STVYITGFGALFYGGESQNDLREARLKIISDDVCKQPHVYGSDIKFGMMCAGYLEGIYDA
+CRGDSGGPLVGKDFKDTWYLIGIVSWGDNCGQRNKPGVYTKVTYYRNWIASKTGL
+>tr|G3N0F0|G3N0F0_BOVIN Fork-head domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=2
+MNLPRAERLRPTPPRRLRGSDGEDGEIDILGEGEDEEEEEDEEPEGSQRFPEPLHEPQPQ
+EARRGAGALPGEGTESSGSPSNSSEFCPKFGVSTGSAAASRDAPQPAKPPYSYIALITMA
+ILQSPHKRLTLSGICAFISGRFPYYRRKFPAWQNSIRHNLSLNDCFVKIPREPGHPGKGN
+YWSLDPASQDMFDNGSFLRRRKRFKRHHPPPGDHLHHPFPPPAAPAALHGPYPGMLLGPA
+APPQPVTGAYPASTPGSRPCALLHPHPLRYLLLSAPAYAEVPRKEQGADLGTPGALPALQ
+PTLDSQPWEESAAQSLPSTPWGYCHLLQRSSCLLHPQAAAPLLHVSAAAAAASAARTILK
+QQHQDCTSGCAPSKGALLGRHLSATAALLGCQSGAEGSRLTSLVALSGGEGTSPAFRIVP
+TPVPDDLAAAAGGGR
+>tr|A0A3Q1M5W7|A0A3Q1M5W7_BOVIN Pumilio RNA binding family member 2 OS=Bos taurus OX=9913 GN=PUM2 PE=4 SV=1
+MNHDFQALALESRGMGELLPTKKFWEPDDSTKDGQKGIFLGDDEWRETAWGTSHHSMSQP
+IMVQRRSGQGFHGNSEVNAILSPRSESGGLGVSMVEYVLSSSPADKLDSRFRKGTFGTRD
+AETDGPEKGDQKGKASPFEEDQNRDLKQGDDDDSKINGRGLPNGMDADCKDFNRTPGSRQ
+ASPTEVVERLGPNTNPPEGLGPLPNPTSNKPLVEEFSNPETQNLDAMEQVGLDSLQFDYP
+GNQVPMDSSGATVGLFDYNSQQQLFQRTNALTVQQLTAAQQQQYALATAQQPHIAGVFSA
+GLAPAAFVPNPYIISAAPPGTDPYTAAGLAAAATLAGYQVLAPTAYYDQTGALVVGPGAR
+TGLGAPVRLMAPTPVLISSAAAQAAAAAAAGGTANSLTGSTNGLFRPIGTQPPPQQQQQQ
+QPSTNLQSNSFYGSTSLTNSSQSSSLFSHGPGQPGSTSLGFGSSSSLGAAIGSALSGFGS
+SVGSSASSSATRRESLSTSSDLYKRSSSSLAPIGQPFYNSLGFSSSPSPIGMPLPSQTPG
+HSLTPPPSLSSHGSSSSLHLGGLTNGSGRYISAAPGAEAKYRSASGTSSLFSSSSQLFPP
+SRLRYNRSDIMPSGRSRLLEDFRNNRFPNLQLRDLIGHIVEFSQDQHGSRFIQQKLERAT
+PAERQMVFNEILQAAYQLMTDVFGNYVIQKFFEFGSLDQKLALATRIRGHVLPLALQMYG
+CRVIQKALESISSDQQSEMVKELDGHVLKCVKDQNGNHVVQKCIECVQPQSLQFIIDAFK
+GQVFVLSTHPYGCRVIQRILEHCTAEQTLPILEELHQHTEQLVQDQYGNYVIQHVLEHGR
+PEDKSKIVSEIRGKVLALSQHKFASNVVEKCVTHASRAERALLIDEVCCQNDGPHSALYT
+MMKDQYANYVVQKMIDMAEPAQRKIIMHKIRPHISTLRKYTYGKHILAKLEKYYLKNSPD
+LGPIGGPPNGML
+>tr|F2Z4F0|F2Z4F0_BOVIN ARP1 actin related protein 1 homolog A OS=Bos taurus OX=9913 GN=ACTR1A PE=1 SV=1
+MESYDVIANQPVVIDNGSGVIKAGFAGDQIPKYCFPNYVGRPKHVRVMAGALEGDIFIGP
+KAEEHRGLLSIRYPMEHGIVKDWNDMERIWQYVYSKDQLQTFSEEHPVLLTEAPLNPRKN
+RERAAEVFFETFNVPALFISMQAVLSLYATGRTTGVVLDSGDGVTHAVPIYEGFAMPHSI
+MRIDIAGRDVSRFLRLYLRKEGYDFHSSSEFEIVKAIKERACYLSINPQKDETLETEKAQ
+YYLPDGSTIEIGPSRFRAPELLFRPDLIGEESEGIHEVLVFAIQKSDMDLRRTLFSNIVL
+SGGSTLFKGFGDRLLSEVKKLAPKDVKIRISAPQERLYSTWIGGSILASLDTFKKMWVSK
+KEYEEDGARSIHRKTF
+>tr|A0A3Q1LZY4|A0A3Q1LZY4_BOVIN S-arrestin OS=Bos taurus OX=9913 GN=SAG PE=4 SV=1
+MKANKPAPNHVIFKKISRDKSVTIYLGKRDYIDHVERVEPVDGVVLVDPELVKGKRVYVS
+LTCAFRYGQEDIDVMGLSFRRDLYFSQVQVFPPVGASGATTRLQESLIKKLGANTYPFLL
+TFPDYLPCSVMLQPAPQDVGKSCGVDFEIKAFATHSTDVEEDKIPKKSSVRLLIRKVQHA
+PRDMGPQPRAEASWQFFMSDKPLRLAVSLSKEIYYHGEPIPVTVAVTNSTEKTVKKIKVL
+VEQVTNVVLYSSDYYIKTVAAEEAQEKVPPNSSLTKTLTLVPLLANNRERRGIALDGKIK
+HEDTNLASSTIIKEGIDKTVMGILVSYQIKVKLTVSGLLGELTSSEVATEVPFRLMHPQP
+EDPGQSRLVLAFSSPVPPTDFLLSHC
+>tr|A0A3Q1MD30|A0A3Q1MD30_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MFIAGLFTEAKTWQQPKFPSTEEWIKKMWYMYTMEYHTTTRKNDVTPFAATWMDLKIITL
+SEGSQTGKDKYYMVSLICEI
+>tr|A0A3Q1LPI4|A0A3Q1LPI4_BOVIN Programmed cell death 1 OS=Bos taurus OX=9913 GN=PDCD1 PE=4 SV=1
+MGTPRALWPLVWAVLQLGCWPGWLLEASSRPWSALTFSPPRLVVPEGANATFTCSFSSKP
+ERFVLNWYRKSPSNQMDKLAAFPEDRSQPSRDRRFRVTPLPDGQQFNMSIVAAQRNDSGV
+YFCGAIYLPPRTQINESHSAELMVTEAVLEPPTEPPSPQPRPEGQMQSLVIGVTSVLLGV
+LLLPPLIWVLAAVFLRATRGGCARRSQDQPPVSVLPFPPQSTGQPGGATSEQPRPLPSIT
+ACSRRGVCVCARRHARRPVTIPPSLP
+>tr|A0A3Q1LHS9|A0A3Q1LHS9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MKSGFVQEESVEHFEFQETGNCTLKQSHYEGWWIHSFFVEMAVVVDYTLYNYFKKNVSKV
+KEDLFTIVNIVDSIYQVMGMKVLLIGLEFWTQRNLVEIDAVQRALRDFCVWKANNIDARI
+AHDTTHIFMQKTLRGLSGIGFIAGMCRPHFSCAAVTFANKTLAIIGIAVAHHLGHNLGMT
+HDTILCVCSAGHNRCIMRHDNPPIAKFSNCSYSFFWEYGVQKAKCLRYTIYTKDIFSRKR
+CGNGVVEEGEECDCGSLQQCSRDACCLTNCSLSFGSVCAFGLCCKDCKFLPSGEMCRKEV
+NECDLPEWCNGSSHMCPDDVYVEDGIPCNDISYCYEKRCNDRNAHCRQIFGRTAKNANDS
+CYRQINTQGDRFGNCGTEGPTYLKCNMSDIFCGRIQCDNVAEIPLLTEHSTMHGTRFNNA
+TCWGTDYHFGMDIPDIGEVKDGTECGPEHVCIGRKCNIVTHLFCS
+>tr|E1BG95|E1BG95_BOVIN Upstream binding protein 1 OS=Bos taurus OX=9913 GN=UBP1 PE=4 SV=2
+MAWVLKMDEVIESGLVHDFDASLSGIGQELGAGAYSMSDVLALPIFKQEDSSLPLDDETK
+HPPFQYVMCAATSPAVKLHDETLTYLNQGQSYEIRMLDNRKMGDVPEITGKLVKSIIRVV
+FHDRRLQYTEHQQLEGWKWNRPGDRLLDLDIPMSVGIIDTRTNPSQLNAVEFLWDPAKRT
+SAFIQVHCISTEFTPRKHGGEKGVPFRIQVDTFKQNENGEYTDHLHSASCQIKVFKPKGA
+DRKQKTDREKMEKRAAHEKEKYQPSYDTTVLTEMRLEPIIEDAVEHEQKKSSKRTLPADY
+GDSLAKRGSCSPWPDTPTAYVNNSPSAAPTFTSPPPSACSVPDSNSSSPNHQGDGIPQAS
+SEQLQPSATIQETQQWLLKNRFSSYTRLFSNFLGADLLKLTKEDLVQICGAADGIRLYNS
+LKSRSVRPRLTLYVCREQPAPQGQQPAAGGGESGSATPFVYHAIYLEEMVASEVARKLAL
+VFNIPFHQINQVYRQGPTGIHILVSDQMVQNFQDESCFLFSTVKAENGGGVHIILK
+>tr|A0A3Q1M8D5|A0A3Q1M8D5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+SPVNISCQLGSSLYSQETCCEPIRTQTFRVVSHPCQTSCYRRRTSTFSIPCQTITYSGSL
+GCGSIRGCSLGYGSRSLGCGSRVFRPLGYGIYGFPSLRCGSRLYHPTYLASRSCQSSCYR
+PICRSNFCRSTC
+>tr|A0A3Q1MLR9|A0A3Q1MLR9_BOVIN Origin recognition complex subunit 5 OS=Bos taurus OX=9913 GN=ORC5 PE=4 SV=1
+MPHLENMVLCRESQVSTLQSLFGERHHFSFPSIFIYGHTASGKTYVTQTLLRSLELPHVF
+VNCVECFTLRLLLEQILNKLNHLSSSESECSTHITCEIFNDFVRLFKRITEAENLKDQTV
+YIVLDKAEYLRDMEANLLPGFLRLQELTGRNVTVIFLSEIVWEKFRPNTGCFEPFVLYFP
+DYSIGNLQKILSHDHPPEYSADFYAAYINILLGVFYTVCRDLKELRHLAALNFPKYCEPV
+VKGEANERDTRKLWRNIEPHLKKAMQTVYLREISSSQWEKLQKDDTDPGQLKGLSAYTHV
+ELPYYSKFILIAAYLASYNPARTDKRFFLKHHGKIKKTNFLKKHEKTSNHLLGPKPFPLD
+RLLAILYSIVDSRVAPTANIFSQITSLVTLQLLTLVGHDDQLDEPKYKCTVSLDFIRAIA
+RFLFDLDSDS
+>tr|A0A3Q1MB62|A0A3Q1MB62_BOVIN Cytohesin-2 OS=Bos taurus OX=9913 GN=CYTH2 PE=4 SV=1
+MEDGVYEPPDLTPEERMELENIRRRKQELLVEIQRLREELSEAMSEVEGLEANEGSKTLQ
+RNRKMAMGRKKFNMDPKKGIQFLVENELLQNTPEEIARFLYKGEGLNKTAIGDYLGEREE
+LNLAVLHAFVDLHEFTDLNLVQALRQFLWSFRLPGEAQKIDRMMEAFAQRYCLCNPGVFQ
+STDTCYVLSFAVIMLNTSLHNPNVRDKPGLERFVAMNRGINEGGDLPEELLRNLYDSIRN
+EPFKIPEDDGNDLTHTFFNPDREGWLLKLGGGRVKTWKRRWFILTDNCLYYFEYTTDKEP
+RGIIPLENLSIREVDDPRKPNCFELYIPNNKGQLIKACKTEADGRVVEGNHMVYRISAPT
+QEEKDEWIKSIQAAVSVDPFYEMLAARKKRISVKKKQEQP
+>tr|E1BHM0|E1BHM0_BOVIN C-type lectin domain family 4 member E OS=Bos taurus OX=9913 GN=CLEC4E PE=1 SV=2
+MNSSTSPASQTERRCFSFQLFSWAIAGTSILLLSACFITRCVVTYSIFQLCDEKKFQPPG
+DSMELSCYNDGSGSVKNCCPLKWFHFQSSCYLFSPDTMSWRASLKNCSSMGAHLVVINTQ
+EEQEFLYYTKPRKKEFYIGLTDQVTEGQWQWVDGTPFTKSLSFWDAGEPNNLVIVEDCAT
+IRDSSNPRQNWNDVPCFFNMFRVCEMPERKI
+>tr|G3X8D2|G3X8D2_BOVIN D(1B) dopamine receptor OS=Bos taurus OX=9913 GN=DRD5 PE=3 SV=1
+MLPPGRNGTSHRARSGQQQLAQGGAVGDSEGATLLGPAQVVTAGLLTLLIVWTLLGNVLV
+CAAVVRSRHLRAKMTTNVFIVSLAVSDLFVALLVMPWKAVAEVAGYWPFGAFCDIWVAFD
+IMCSTASILNLCIISVDRYWAISRPFCYERKMTQRVALVMVGLAWTLSILISFIPVQLHW
+HRDKVGSRDGLDPPSNLANGTPWEEAGESDRSAENCDSSLNRTYAISSSLISFYIPVAIM
+IVTYTRIYRIAQVQIRRISSLERAAEHAQSCRSREACAPDSGLRASIKKETKVLKTLSVI
+MGVFVCCWLPFFILNCMVPFCSGHPESFFCVSETTFDVFVWFGWANSSLNPIIYAFNADF
+RKVFAQLLGCSHLCSRTQVETVNISNELISYNQDTAFHREIAAAYIHRIPNAVTPGDAEV
+DKEEEEASPFDQMSRISQTSPEGDPAGQSVWELDCEGGISLGKITPFTPNGFH
+>tr|A0A3Q1MA69|A0A3Q1MA69_BOVIN Ubiquitin specific peptidase 54 OS=Bos taurus OX=9913 GN=USP54 PE=4 SV=1
+MSWKRNYFSGGRGSVQGMFAPRSSTSIAPSKGLSNEPGQNSCFLNSALQVLWHLDIFRRS
+FRQLTTHKCMGDSCIFCALKGIFNQFQCSSEKVLPSDALRSALAKTFQDEQRFQLGIMDD
+AAECFENLLMRIHFHIADETKEDICTAQHCISHQKFAMTLFEQCVCTSCGATSDPLPFIQ
+MVHYISTTSLCNQAICMLERREKPSPSMFGELLQNASTMGDLRNCPSNCGERIRIRRVLM
+NAPQIITIGLVWDSDHSDLAEDVIHSLGTCLKLGDLFFRVTDDRAKQSELYLVGMICYYG
+KHYSTFFFQTKIRKWMYFDDAHVKEIGPKWKDVVTKCIKGHYQPLLLLYADPQGTPVSTQ
+DLPPQVEFQSYSRTCYDSEDSGREPSISSDTRTDSSTESYPYKHFHHESVVSHFSSDSQG
+TVIYNVETDSTSQSSRDTGHLTDSECNQKLPSKKGSLIERKRSSGRVRRKGDESQASGYH
+SEGETLKEKQAPRNASKSSSSTNRLRDFKETVSNMIHNRPSLASQTTLGPPCVGKGGEQT
+DKKPPRSLPLHSRDWEVESTSSESKSSSSSKYRPTWRPKRESLNIDSIFSKDKRKHSGYT
+QLSPFSEDSAKEFTPDESSKPPAYDIRTGPSPQYKIWGPARPGCHFLEQHPRLIQRMESG
+YESSERNSSSPVSLDAALPESSSVCRDPSAKRSAGLAPSWRHIPKSHSSSILEADSAVSR
+SGWMKNQPLSGGEISSKTELDELQEEVARRAQEQELRRKREKELEAAKGFNPHPSRFMDL
+DELQNQGRSDGFERSLQEADSVFEESLHLEQKGDCAAALALCNEAISKLRLALHGASSST
+HSRALVDKKLQISIRKARSLQDRMQQQQSSQPPSQPSTCLPSQGGALPQPTSEQPIPLQV
+LLSQEAQLEPYTNTEFGASTFIYSPASCHEPHSPLFPESSALSAPQHNSPSGSASNLLTS
+VEVDSIDPSVFHRQGLPKTPGRPEKSSHHGWESEDELQSCRGESSSCARSPQREGRDTDR
+EQLFREKRDPADSSQVMPWPQPIGIIATSERGEAHSLGCGPSNSAAQPSLSLYRACHPVK
+PAPSSSVLHSSNTVQKTNQCLQTQNFKIPLTSNMDRGSEEPSMPEFPTTKGLVRSLAEQF
+QRMHGASTRDGTGAQDRSLPNSLRKDSPPSDCKPPFPQSQGKGHWSWAKQQPSLDGRNKL
+PSWEEPANHPSLATNSGPPNGEASRGGQPRLAESERNHIILHPHWNQDTEQETSELESLY
+QASLQVSQSGCSGCGQQEVAWNPLSQTGSADGVGRRLHSAPGLGLSKTPTAEMEHSLHEA
+NSVSASQAAPCQGPSRECGEDDQYGAENFRRISRSLSGTVVSEREEVPVSSHSFDSSSVR
+KKPLETRHRCSSSSSLPVIHDPPVFLLGPQLYPPQPQFLSPDVLMPSMAGEPHRPPGTSR
+SVQQFLAMCDRGETSEGVKYTGKTLNYRSLPHRSRTDASRGLWSEANQHVGARFLTTPGC
+KPQLTHTATLPERHQGLQVPHAQSWGDLFHSPSHPPVVHPVSPPSSSLCVPLRSAWNSGP
+VPGFRAPGPRRVDMPPDDDWRQSSYALPSRHRRTGREEFLFVLADAPNREQIRARALQHS
+RW
+>tr|G3MYK2|G3MYK2_BOVIN Zinc finger protein 623 OS=Bos taurus OX=9913 GN=ZNF623 PE=4 SV=2
+MELTAPTSGRGPDPRLGRLLGNLDAQSLRSCTSQEGGFQQVTVTHWKIQTAEAAQAGSKS
+EGSPLLSPDLLLLQRELIEGEAPQHEACGSFPFNPGLARHQASHAGEKAHRCDECGKGFS
+QSSHLVEHQHAHGGERLYVCNACGKDFVLYTDLLEHQKVHTGERPFKCAQCGKAFCHSSD
+LIRHQRVHTRERPFECKECGKGFSQSSLLIRHQRIHTGERPYECNECGKAFIRSSSLIRH
+FQVHTEVRQYECQDCGKAFRHRSDLIEHQRIHTGERPFECNECGKAFIRSSKLIQHQRIH
+TGERPYVCNECGKRFSQTSNFTQHQRIHTGEKLYECNECGKAFFLSSYLIRHQKIHTGER
+VYECKECGKAFLQKAHLTEHQKIHSGDRPFECKDCGKAFIQSSKLLLHQIIHTGEKPYVC
+SYCGKGFIQRSNFLQHQKIHTEEKLYECSQYGKEFTPPPDFKSSQEAPQEGLPLSQTAVH
+FGETCGGLGGHTDF
+>tr|A0A3Q1LW99|A0A3Q1LW99_BOVIN LEO1 homolog, Paf1/RNA polymerase II complex component OS=Bos taurus OX=9913 GN=LEO1 PE=4 SV=1
+MADMEDLFGSDADSDPERKDSDSGSGSDSDQENVASGSNASGSESDQDERDDAGKPSNKE
+LFGDDSEDEGASHHSGSDNHSEGSDNRSEASEHSDHEDNDPSDVDQHSGSETRNDNDDED
+EGHRSDGGSHHSEAEGSEKAHSDDEKWGREDKSDQSDDEKIQNSDDEERAQGSDEDKLQN
+SDDDEKMQNTDDEERPQLSEDERQQLSEEEKANSDDERPVASDNDDEKQNSDDEERPQIS
+DEEKMQNSDDERPQPSDEEHRHSDDEEEQDHKSESARGSDSEDEVLRMKRKNAIASDSEA
+ESDTEVPKDNSGTMDLFGGADDISSGSDGEDKPPTPGQPVDENGLPQDQQEEEPIPETRI
+EVEIPKVNTDLGNDLYFVKLPNFLSVEPRPFDPQYYEDEFEDEEMLDEEGRTRLKLKVEN
+TIRWRIRRDEEGNEIKESNARIVKWSDGSMSLHLGNEVFDVYKAPLQGDHNHLFIRQGTG
+LQGQAVFKTKLTFRPHSTDSATHRKMTLSLADRCSKTQKIRILPMAGRDPECQRTEMIKK
+EEERLRASIRRESQQRRMREKQHQRGLSASYLEPDRYDEEEEGEESISLAAIKNRYKGGI
+REERARIYSSDSDEGSEEDRAQRLLKAKKLTSDEVKLNLFNSRGLPCTHMLMTEMRRSSQ
+PRSTDMCLHTSLKLSEINPETGWEWPIRASNTPA
+>tr|A0A3S5ZPU0|A0A3S5ZPU0_BOVIN DNA ligase OS=Bos taurus OX=9913 GN=LIG1 PE=3 SV=1
+MPRAAARPGPCSRDAPRGLPPLFPPCLARWLPPDLRFPAGHSSIQSNSGSGLFPSVLCGR
+ARPTSSPFQGVSRPGDYNSQRPPRDGGSDVARPRPSTRPRPRPPKSAREVGGAKLGLRGR
+SRRDAQDSGARAWREKLVTSGEEEWGENSDTIMQRSIMSFFQPKKEGKAKKPEKETAKSI
+RETESPPKVALKERNRVVSEGDSPVKRPGRKATQVLGSEGEEEEEEAPTTPRSQKPASDS
+PQASPPSPVTLPESSPSHSKPSPAVASPSGIPKRRTARKQLPKRTFQDVLKEQGEDKDPE
+TKKKKEEEAETPIESLPEPEGDDKEEVEGGDQPTTPPEPRKTSTESLTESVSEPGVTVKQ
+EPQEDGQAQPPPKTPKTLSSFFSPRKPAVKKEAKEEGPGALRKDEIKGHVDPASYNPAKN
+NYHPIEDACWKAGQRVPYLAVARAFEKIEEVSARLRMVETLSNLLRSVVALSPADLLPVL
+YLSLNRLGPPQQGLELGIGEGILLKAVAQATGRQLESVRAEVAEKGDVGLVAESSRSTQR
+LVLPPPALTAAGVFAKFRDIAQLAGSASTTKKIDVIKGLFVACRHSEARFIARALSGRLR
+LGLAEQSVLAALAQAVSLTPPSQECPPAVVDAGKGKTAEARKTWLEEQGMILKQTFCEVP
+DLERIVPVLLEHGLERLPEHCRLSPGVPLKPMLAHPTRGVSEVLKRFEEAAFTCEYKYDG
+QRAQIHVLEGGEVKIFSRNQEDNTGRYPDIISRIPKIKLPSVTSFILDTEAVAWDREKKQ
+IQPFQVLTTRKRKEVDAAEIQVQVCLYAFDLIYLNGESLVREPLSRRRQLLRENFVETEG
+EFVFATSLDTKDTEQIAEFLEQSVKDSCEGLMVKTLDVDATYEIAKRSHNWLKLKKDYLE
+GVGDTLDLVVIGAYLGKGKRAGRYGGFLLASYDEESEEFQAICKLGTGFSDEELEEHHQR
+LQALVLPTPRSYVRADGAVAPDHWLDPSDVWEVKCADLSLSPIYPAARGLVDSEKGISLR
+FPRFIRVREDKKPEQATTSAQVAGLYKKQSQIQNQQGAEPDSEQEEFY
+>tr|A0A3Q1MHW5|A0A3Q1MHW5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC617709 PE=4 SV=1
+MSVQNPSRLLDLAGKHLLRDDALAFSALEDLLTELFPHLFMEAFHGRHIETLKAMVQAWP
+FVRLPLGGLIDMPHMGPLQAVLEALDGLLAQKVRSRGCKLRVLDLRDTGQNFWSMWSGAS
+SYERSSSRMAPVAEQSSTAKRHLAPLKIFMDLCLKKRTLDNFLTYLLRWVEQRKASVHLC
+CKKLKISAMPMDNVVKILSMVQLDCIQEVQVNWIWHLSTLATFASFLGKMSNLQRLCLSP
+IHLSAFTKQEQDHLVQITSQFLRLGHLQDLHLDYPSFLEGFLDQMLRCLKSPLNNLSITN
+CWLTESDLTYLSQSPNISQLKGLDLSGVTMTDFNPEILHVLLEKVEATLQELDLDLCGIT
+DSQLEAILPALSRCSQLRYFSLCENFLSMAVMEKLLRHTAGLPCLTQERYPAPQESYRSQ
+GVLLEGRLAQLRAQLLEVLRDLGRPRIIWISPSPCLHCGENICYHLDPISHCLLSVA
+>tr|F1N7K7|F1N7K7_BOVIN Macrophage receptor with collagenous structure OS=Bos taurus OX=9913 GN=MARCO PE=4 SV=3
+MENEEILKEKELLASTEDGTALDQTMLSRMETFEVNDPKPKRRNGMNCIMVLVILYLVLL
+TAGAGLLVIQVLNLQERLRVLEMPYNNETQAQLTQVVTKQETLQQRMDNFIQIPGPPGPR
+GPPGIKGEAGRKGDMGMKGDMGPPGARGDKGDSGKPGFPGVAGIPGIKGDQGPPGMKGLP
+GFPGAVGSPGAKGDTGSIGPTGPIGPPGLPGTPGVEGVKGSKGDTGLQGQKGTKGESGFP
+GLSGVKGEKGSPGLGGLKGAPGPTGQKGEPGSRGATGPQGAKGEKGQKGDSSLSVRIIGS
+RNRGRAEVFYNGAWGTICDDSWDGSDATVFCRMLGYSSGSPVYNVGAGSGNIWLDDVACS
+GSEWTLWDCNKSSWGSHNCNHNEDAGVNCS
+>tr|A0A3Q1M6R5|A0A3Q1M6R5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MTRAGALLLLCTALLLIGECDNICPALRDSINLFISGSHEAYIEHVEKYNKTTDVLETAD
+TLKSCTDKSLTAEDKQDASQCSGGSGCV
+>tr|F1MWX8|F1MWX8_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 GN=BOLA-N PE=3 SV=1
+MRVMGPRTLLLLLSGVLVLTETRAGSHSLRYFYTAVSRPGLGEPRFIIVGYVDDTQFVRF
+DSDAGDPRVEPRARWVEQEGPEYWDQETRKAKDHAQFFRLGLNTLRGYYNQSEAGSHTLQ
+WMYGCDVGPDGRLLRGFWQFGYEGRDYIALNEDLRSWTAADTAAQISKRKWEAADVAERQ
+RNYLEGTCVEWLPRYLENGKDTLLRADPPKAHVTHHPISGREVTLRCWALGFYPEEISLT
+WQRNGEDQTQDMELVETRPSGDGTFQKWVALVVPSGEEQRYTCSVQHEGLQEPLTLRWEP
+PQTSFLTMGIIVGLVLLVTGAVVAGFVIWMKKRSGEKGGNYIQASRSDSAQGSDVSLTVP
+KV
+>tr|A0A3Q1LSA0|A0A3Q1LSA0_BOVIN Lipase H OS=Bos taurus OX=9913 GN=LIPH PE=3 SV=1
+MGSTFHMLRLYFLLSLMCLVRSDTDETCPSFTKLSFHSAVVGTGLNVKLMLYTRRNPTCA
+QAINSTVLGNLNVTKKTTFVVHGFRPTGSAPVWIEDLVEGLLSVEDMNVVIVDWNRGATT
+VMYNHASSKTRKVATVLKEFIDLMLAEGASLDDIYIIGVSLGAHIAGFVGKMYDGQLGRI
+TALGYKKQLGNIDFYPNGGLDQPGCPQTIFGGMQYFKCDHQRSVYLYLSSLRENCTITAY
+PCDSYQDYRNGKCINCGISQTEPCPVLGYYADNWKDYLREGDPPMIKAFFDTAEEKPFCI
+YHYFVDIITWNKNVRRGSITIKLKDKAGNTTESKINHEPATFQKYHQVSLLARFNQDLDK
+VEAISLVFSTGSVIGPRYKLRILRMKLRSLGHPERPQLCRYDLVLMENVETVFQPILCPK
+LQM
+>tr|A0A3Q1MIJ1|A0A3Q1MIJ1_BOVIN Family with sequence similarity 120C OS=Bos taurus OX=9913 GN=FAM120C PE=4 SV=1
+MGVQGFQEFLEKRCPGAVVPVDLLKLARTVSRQQQQHQHRQLPPTAALAPGAPRAARGSA
+PQQPPFPPAALGAYSGGAGPTRHHHPAHHFHHHGQAHPGLHPPPPPPPPPPPLPGARVLV
+DAGSALPRLYGGYQTDWVCGGQWNAMLGYLSALCQACAYPGGDGLELVVMFPGGLGKDRL
+AEWGRRCQAERQTAQLIVGHVGNKGTPPPRAWFLPPACLSHCVRLALIRFRVKVFQSLED
+HHLEVVAFFRENGFHGLLAHDSEYALYNIPSYYSSHALKLSWNGKNLTTNQFLMQEVAKQ
+LGLKRMNFPIFAALLGNHILPDEDLAAFHWSLLGPEHPLASLKVRAHQLVLPPCDVVIKA
+VSEYVSSIKDPSNLDVVGKDVFKQSQSRTEDKIERFKKAVEYYSVTTKLSSLPVGPSFLG
+FRNNRLGNPPIPRNQMGTLSAGKPMFSHHVPQKMKYPPPFPVRPNSSLLFSPHALGESHA
+FSEDSMLQDSPFANWAISYDSSASQFPNYLTSKASTPLGPDSSHSSSSDGDEPEGASSDR
+ITETLQQQPGWEDPNSDRGSWVEPIDAGVSNASLGDGEPHIPSLLSMSTRNHMDITIPPL
+PPVAPEVLRVAEHRHRRGLMYPYIYHVLTKGEIKIPVCIEDECNMELPPAAILFRSARQY
+VYGVLFSLAETQRKMERLAIRRRLPVEVPSVILKEWSAYKGKSPQTPELVSALTFREWTC
+PNLKKLWLGKAVEDKNRRMRAFLACMKSDTPSMLNPANVPTHLLLMCCVLRYMVQWPGGR
+ILHRHELDTFLAQAVSTQLYEPDQLQELKIEKLDARGIQLAALFMSGVDTALFANDACGQ
+PVPWEHCCPWIYFDGKLFQSKLIKAGRERVSLVELCDGQADLATKVEKMRQSILEGVNMN
+HPPPSLLPSPTFVPPMVPSLYPVSLYPRAMGPVPPPPQGRSRGFAGLHPIPPQGGKLEIA
+GMVVGQWAGSRSSRGRGSFGMQVVSVGISLYQSSSSDGVSKSLEPQQGRSSSQVDGNSGT
+LIKEEKNDHLPSPSQSALSRDNSVCNNGNRYFPGKNGEKNHLQEQKLGTVTQQKEE
+>tr|G3N3S5|G3N3S5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC785379 PE=4 SV=2
+MVEFAPLCVPWKRRLQTLVVLQAVSCLVSMAILSYVVFIGLLFTRFWIISILYAVWWYLD
+RAKPWQGSRHIDVLRRWSVWKYMKDYFPISLVKTADLDPSRNYLVGYHPHGIATVGAFTN
+FCTDGTGFSSLFPGIRSHLMVLNLCFWAPVFRDYIIISGMVAADKESASHILSREGGGNL
+LAIVVGGVQEALDARPGGYKLVLRNRKGFIRLALMHGADLVPIFSFGENDIYDQVENSPG
+TWLRWFQDGLQRVTRGSILLVYGSFGLMPYRRPITTVVGKPIEVQKTPHPSQEEVDRLHQ
+HYMTELENLFEAHKLKYNVPRDQHLEFY
+>tr|A0A3Q1MXV2|A0A3Q1MXV2_BOVIN ATP binding cassette subfamily A member 6 OS=Bos taurus OX=9913 GN=ABCA6 PE=4 SV=1
+MHMKQKSVYQQTQALLCKNLLKKWRMKRETLLEWGFPILLALYMCIFSRLNENVRFPGMP
+PQNLGRVDKYNRSFTDVVYTPVSNLTQQIMNKTALAPVMEGRRIIGAPDEKSMDEIMLDT
+FSYSVGVIFNDPFSYKLKFYQRYYVPILKEDFFTAYCKRTHIHFSCALEKYWKGGFVPLQ
+AAINAAIIQVTTNHYVMEELMSVTAVNMKTLPFIFKENLQNEMFIFYCLLYFSPLIYFLS
+LNVARERKKYKDLMNLMGLQDSAFWLSWGLIYAAFIFVTSIIITVIITSTEIIILTGFTV
+IFTLFFLYGLSLIALAFLMTVLLKKTILTSLVVFLLTLFWGGVGFSAFYQQLPSPLKWIF
+SICSPFAFSAGINQIIHLDYTMNGVIFPDASGDSYIMIATFSILTFDALLYLALALYFDK
+ILPYGNESHYSPLFFLNSSPCFQHRRTGSHVAWKDVDPEPAAGDYCEPVAPEFHGREAVR
+IRNVKKVYKEKTGKVEVLKGLSFDIYEGQITAVLGHSGAGKSALLRILSGSSVPTAGSVT
+IYNKNLSEMQDLEEIRKITGVCPQFNVQFDTLTVKENLRLFAKIKGIQPKDVEQEVQRVL
+LELDIQNIQDNLATLLSEGQKRKLTIGIALLGDPQVLLLDEPTAGLDPFSRHRIWNFLRE
+RKADRVILLSTNLMDEADMLADRKVILSKGRLKCAGSSVFLKRRWGLGYHLSLYRNETCD
+PEKITSLINHHIPDAKLKTESKEKLVYTLPAERTNKFPDLFSDLDEDCSLGVLSYEVSTS
+TLNEVFTKLEGNSMAEQDFEQVEMSRDTGRLHEMDPPCPPAPETQKAMSIAGLWRLQFWA
+MARLRFLQLRRGKKGLLILLFVFGVAVFPLIVESIFYAMLNETADLEFKPELYFLSPGQL
+PQGPRTSLLIINNTESNIEDFIQSLKHQNIILEVDDFENRNGTEDPSYNGAIIVSGKRKD
+YGFSVVCNTKQLHCFPVLMSVVSNVLLRMFNQTQRIRTERSSYPLVSAGFARSFFLFFVT
+CSLSPYIAMNSVSDYKKRTKSQLWISGLFPSAYWCGQALVDVSLYSLMLLAMYLIFYLAN
+REHIYLTSRIVFALVSNNGVPVLIPCMALAPSATLIGFIAFLQEVRNVIKCAPYFQALLF
+MFVLRCLEAKCGKKIMQKDPVFSLHPGIEPRSLALQADPEPPGKLQTGKGTRQILGKPVI
+IASCLHKEYAGQKKRCFSRRRKKTAVRNVSFCVKKGEILGLLGPNGAGKSSSVRMISGMM
+TPTAGEVAQMKMRFPIRFLGYCPQENVLWPSLTTREHLEVFAAVKGLRRADAHMAISRLV
+DAFRLHEQLDVPVQKLSGGAARKLCFVLSLLGDPPILLLDEPSTGLDPAEQKQLWQTVQR
+AVRNTARGALLTTHSMAEAEAVCDRVAIMVSGRLRCIGSIQHLKNSLGKDYILELKLKEA
+SQGMSVHTEVLRLFPRAAPQERYSSSSAYRLPVQDVQPLSQAFHKLETVKRNFNLEEYSL
+SQCTLGKVFLELSKEQELGNVDEEVNTTMRWKLLCHSEGP
+>tr|G3X7G6|G3X7G6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC100300051 PE=3 SV=2
+MERVEIEAPFSYLYHLCLSAPGLLVLLLFPMGPTAQNSKACALRCPPNSSCVNGTACRCA
+PGFISFSGEIFTDPLESCDGINECGPPSPVYCGSSADCQNTEGGYYCTCSPGYEPVSGAM
+IFRNESENTCRDVDECQHRPRVCKGLSVCINTEGSYTCQRPPGLEFSPEDPRHCTDVNEC
+TSGKKPCHSSTHCLNSMGSYECRLRQSLTPSFLSPDVDECSSRQHQCHNSTVYVNTVGSY
+TCHCRQGWEPKPGFWDKQMNTICKEISFPTWTAPSGIKSQALSHFLNRIQDLGRNFSSAS
+VLNTVQDLVQETGDLLETPGDLKTLPFSEQHFVVTNLLFGLENVLRGVSKALPKGSFTFN
+SSAGIELSLEVQVPSYRNITLIQKQTKMMLTWDTVHDSGDSGLAVVGLLSTPGMDRFLAE
+APLVLDAEELTTLREIHRGLLQETSHILLSDVISAFITNKDTENLRSPVTFVFQHSVTPG
+PREKVHCVYWEHGQNGSGHWDTRGCWVTSTRDNSTTCQCSHLSIFAVLMAHYSVQEEDPA
+LTVITYVGLSLSLLCLLLVVLTFLLCKAIQNTSTSLHLQLSLCLFLAHLLFLTAIDRTES
+KVLCAIIAGALHYLYLASFTWMLLVGLHLFLSARNLTVVNYSGINRFMKKAMFPVGYGVP
+AVIVAISAASRPHLYGTSTSCWLHSEKGFKWGFLGPVCAIFSVTFFLMTLWILKRKLCSL
+NSEVSTLQNTGHSSLSHLGMHWFLLGNLPAGHGLPLTIINSLQGVSSSWCTASSAREQYR
+KWTMSDGCKHSEVRMHSPQSTSLTIH
+>tr|E1BLN3|E1BLN3_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC780976 PE=3 SV=2
+FSLHPLEAMNVSGVNTVTEFILLSFPCSREVQVLLFVLFSVSYILTLMGNGAIVFAVKLD
+HRLHTPMYTLLANFSFLEMCYINTTVPNMLRNFLSETKTISFTACFLQFYFFFSVGTTET
+FLLPLMAFDRYLAICRPLHYPTIMSSCLCMNLVGLCWVTAFLCYPVPIYFITQLPFCGPN
+TIDHFVCDPGPLLALSCTSAPGIELSCSILSSLIIFITFFFILGSYTLVLRAVLRVPSAS
+GRRKAFSTCGSHLVVVSLFYGTLMVMYICPTSGKPAGIQKIVTLFYSSLTPLVNPLIYSL
+RNKDMKAALRKIQKCTKISQSE
+>tr|A0A452DIH0|A0A452DIH0_BOVIN Islet amyloid polypeptide OS=Bos taurus OX=9913 GN=IAPP PE=4 SV=1
+MGILKLPVVLIVLCVALNHLEGGGKPTESHQMEKRKCGTATCETQCLANFLAPSSNKLGA
+IFSPTKMGSNTYGKRKKVEILKREPLSYLPI
+>tr|A0A3Q1LTC5|A0A3Q1LTC5_BOVIN Dynein regulatory complex subunit 3 OS=Bos taurus OX=9913 GN=DRC3 PE=4 SV=1
+MGAGPNETFKVPPPASGPERGRMNRLYDMEPRVMDDDMLKLAVGEQGPREEAGQLAKQEG
+ILFKDVVSLQLDFQNILRIDNLWQFESLQKLQLDNNIIEKIEGLENLTRLVWLDLSFNNI
+EAIEGLDTLVNLEDLSLFNNRISKIDSLDALVKLQVLSLGNNHIGNMMNIIYLRRFKALR
+TLSLSGNPVAEDEDYKMFICAYLPDLVYLDFRRLDDHMKELAEMKHQYSIDELKHRENLM
+QARLEDEQARREELEEHKSLNAIREESELSSIETKIVEYSEDITELFNVLMTLEMQLVEQ
+LEETINMFERNIIDLVGLFVENVQSLMAQCRDLENHHHEKLLEIAINILEKIVKGEMDED
+LPDDVRSLFVDKDTIVNAVGASHDIHLLKIDNREDELVTRVNSWCTHLLDKIHKDEIMRN
+RKRVKEINQYVDHVQSELDSLECGDLLD
+>tr|A0A3Q1M4I2|A0A3Q1M4I2_BOVIN Paternally-expressed gene 3 protein OS=Bos taurus OX=9913 GN=PEG3 PE=4 SV=1
+MESRDRWPSVRSPRSRFHQRDLALPLAERAKEREHRRRDSLLDLDARSEEAVLYQDMVAL
+TEDRKPQNPIQDNMENYRKLLSLGVQLAEDDGHSHMTQGHSARSKRSAYPSTSRGLKTAP
+ETKKSAHRRGICEAESSHGVIMEKFIKDVARSSRSGRARESSERPHRLSRRAGGDWKEAS
+FSRREAGASERGPEGGAFGGGGFSCGSDLVSKKRALERKRRYHFDAEGQGPVHDPRGGAR
+KRPFECGGEARRAAKAAGASSLSAPPAAPSQPLDFGAMPYVCDECGRSFAVISEFVEHQI
+VHTRESLYEYGESFIHSAAVSEAQSRPEGARRSEGAQAAGLAEHRGGQAQEHLRGSGDEE
+QDEPFLPSPTFSELQKMYGKDKFYECKVCKETFLHSSALIEHQKIHNHEDREKERSTGAV
+RRTPMLGELQRACGKEKRYECKVCGETFHHSAALREHQKTHGRGSPSEGRARAFEETFIP
+GQSLKRRQKTYSKEKLYDFREGGDAFGRSSDFMEHQKIHSRKSYFDSRGYEKPLLHSMSM
+PGSQKSHTITRPPEDEDEEKAFTASSSPEDGQEARGYERSAYERAILHSLAAFRPPRGLR
+EDGEPSTYLSGLRDPPQKTPAWESPYAGGRHSFFRSSVFYRASRPAPLDHLAGEGPSGWQ
+RDGEASGPSSDGRQHQKARAKKKNIERKNYDASMMHSLHFGESQTFRPRERFYECLECGE
+FFVRSSELAEHQKIHNRKKLSGSKNYLRSVLRSLSSTDPQTSYQGQSVQMSYPQEAAQTS
+YAELAAQTSYAEEPAQTSYAVEPAQTSYAEEPAQTSYTEAPAEASYTEEPAQTSCIEEPA
+QTSYTNPAAETSYAEEPAQTSYTEAPAEASYTEEPAQTSCIEEPAQTSYTNPAAETSYTE
+EPAQTSYTEAPAEASGIEEPAQTNYTEESAEVSYTEEPSQTSCIEEPAQTSYTDPAAETS
+YTEEPAQTSYTQEPAQTSCTEEPAQTSCTEEPAQTSYTQEPAQTSYTKEPAEASYTEEPA
+QTSCIEEPAQTNYTKESAKASYTEEPAQTSCIEEPAQTSYTDPAAETSYTEEPAQTNYTV
+ESAEASYTEEPSQTSCIEEPAQTSYTDSAADTSCTEEPAQTSCTEEPAQTSYTQEPAQTS
+CTEEPAQTSCTEEPAQTSYTQEPAQTSCTEEPAQTSYTQEPAQTSCTEEPAQTSYTEEPA
+QTSYTEEPAQTSYTQEPAQTSYTQEPAQTSYTEEPAQTSYTEEPAQTSYAQEPAQTSYAE
+EPAQTSYAEEPAQTSYTQEPAQTNYTEEPAEASYTEEPAQTSYAEEPAQTSYPEEPAQTS
+YAEEPAQTSYAEEPAQTSYPEEPAQTSYTEEPAQTSYAKEPAQTSYPEEPAQTSYAEEPA
+QTSYAEEPAQTSYAEEPAQTSYSEEPAQTRYTGEPAQIRYAEEPAQTRYAEEPAQTSYAE
+EPAQTRYAEEPAQTSYSEEPAQTRYAEEPAQTSYSEEPAQTRYAGEPAQTRYAREPAQTR
+YAEEPPAETSYAELVAQISYAELVTPTSYAELAAETGYFEPPAQTSYTEPAETNYADPAA
+QVSFDEPPAEASYADLAAEISYAELAAETSYADLAAQISYDEPPAETSYAELAAQISYSE
+PADQTSYAELAAQTSYSEPLAQTSYAELTSETSYCEQPVLNECKECGECFATVEDLGRHQ
+KIYAREKFHDGKLFGEPVMQDLGLDGSPEEELEEQEEPEEPEDSIYGCKDCGLGFADRAD
+LRDHQKVHGREYLVDSREYTHPAVHMPPVSEYQKDCLGEQLYECPACGESFVHSSFLFEH
+QKVHEQDQFYGHRRYEPFMQPLIVSPRRPQAPQKSAPAGVGPQCQVCGQDFIHASVLSEH
+ARGHAGEGLPDQGQGGAGAAGPGPAPTEPQQDPGEEQRYECETCGESFPSQADLQEHMRV
+HEKGEPYDYGAAFVHTSFLTEPPKRDWPFYECKDCGKSFIHSTILTKHQKLHLQEEGAAA
+AAAATAQEAEANVLVPREVLRIQGSNVEAAEPEVEAAEPEVEAAEPEVEAAEPLGEAEGP
+EWEAAEPSGEAEQPHAEAEQPDMDADEPDGAGIEDPEERAEEPEGDDDEPDGAGIEDPEE
+EGEEQEIQVEEPYYDCGECGETFPSGAAYAEHLTAHASLVILEPAGLYGEGAGGPEGGRP
+DDELFKCDVCGQLFSDRLSLARHQNTHTG
+>tr|A0A3Q1LKL6|A0A3Q1LKL6_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+GTPLTGRSLPGLSVGLRTQVQAGTLPKPTIWVEPGSVVPSGTPVTIWCQGTLEAQVFHLD
+KEGTSVPWDRQKPPGPRDKAQLAIPQMTEQHAGSYQCYYSTPTGWSEGSDRLELVVTGSY
+SKPSLSALPSPVVTLGGSVTLQCGSHQGFNRFLLTKEGEDESSRTLDGQRAPNWQTQALF
+SVGPVTPGHRWMFRCYGFYRDTPQVWSAPSDPLELLVSGQTHRLRHGEGPVITSGQNLTL
+QCRSDVSYARFALFKEGGQDLPQRPARRPQEGLSQADFPLGPVSTVQGGRYRCYGGHGLS
+SEWSVPSETLELLVAGEEPAGQSGTRLCTGPWSFHQEREHLSCLTASLQHNRREAGPQEG
+TGAL
+>tr|A0A3S5ZPA0|A0A3S5ZPA0_BOVIN Exostosin-2 OS=Bos taurus OX=9913 GN=EXT2 PE=3 SV=1
+MRGALGVRPPSPVACPGLPPLVPALPAQRWRPGAPRHPTRAAVARAPRHEPVTKRGAQPE
+AAVAEECEEEAVGVIMCASVKYNIRGPALIPRMKTKHRIYYITLFSIVLLGLIATGMFQF
+WPHSIESSGDWSVEKRSVRDVPLVRLPADSPVPERGDLSCRMHTCFDVYRCGFNPKNKIK
+VYIYPLKKYVGEAGVPVSSTISREYNELLTAISDSDYYTDDVTRACLFVPSIDLLNQNSL
+RVKETAQALAQLSRWDRGTNHLLFNMLPGGPPDYNTALDVPRDRALLAGGGFSTWTYRQG
+YDVSIPVYSPLSAEVDLPEKGPGPRRYFLLSSQVALHPEYREDLAALQARHGEAVLVLDK
+CSNLSEGVPAARRRCHQQQAFDYPQVLQEATFCMVLRGARLGQAVLSDVLRAGCVPVIIA
+DSYVLPFSEVLDWKRASVVVPEEKMSDVYSILQSIPRRQIEEMQRQARWFWEAYFQSIKA
+IALATLQIINDRIYPYAAISYEDWNDPPAVKWGSVSNPLFLPLIPPQSQGFTAIVLTYDR
+VESLFRVITEVSKVPSLSKLLVVWNNQNKNPPEDSLWPKIRVPLKVVRTAENKLSNRFFP
+YDEIETEAVLAIDDDIIMLTSDELQFGYEVWREFPDRLVGYPGRLHLWDHEMNKWKYESE
+WTNEVSMVLTGAAFYHKYFNYLYTYKMPGDIKNWVDAHMNCEDIAMNFLVANVTGKAVIK
+VTPRKKFKCPECTAIDGLSLDQTHMVERSECINKFASVFGTMPLKVVEHRADPVLYKDDF
+PEKLKSFPNIGSL
+>tr|G5E5C9|G5E5C9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC523130 PE=4 SV=2
+MLSESSLFLKGVLLGSILCVLMTMLAHIKIDYGKRTHHHERHHLQAPTKEDTLKISEDER
+TELSKSFRVYCIILVKPKDVRLWAAVKETWAKHCDKAEFFSSENVKVFESVNMETNDMWL
+MMRKAYKYAFDKYRDQYNWFFLAHPTTFAIIENLKYFLLKKNYSQPFYLGHTAKSGDLEY
+VSVGGGIVLSIESIKRLNSLLSIPEKCPEKGRMVREVSEDKQLAVCLKYAGVFAENAEDS
+KGKDVFNTKSVGIFIKEAMTNHPNQVVEGCCSDMAITFNGLTCNQMYVMMYGVYHLRAFG
+HVFNDVLVFLPINGSDND
+>tr|F1MEB7|F1MEB7_BOVIN Cbl proto-oncogene like 1 OS=Bos taurus OX=9913 GN=CBLL1 PE=4 SV=2
+MDHTDNELQGTNSSGSLGGLDVRRRIPIKLISKQGNKAKASPRTPRTINRMPAKAPAGDE
+EGFDYNEEERYDCKGGELFGNQRRFPGHLFWDFQINILGEKDDTPVHFCDKCGLPIKIYG
+RMIPCKHVFCYDCAILHEKKGDKMCPGCSDPVQRIEQCTRGSLFMCSIVQGCKRTYLSQR
+DLQAHINHRHMRAGKPVTRASLENVHPPPIAPPPAEIPDRFIMPPDKHHMSHIPPKQHIM
+MPPPPLQHVPHEHYNQPHEDIRAPPAELSMAPPPPRSVSQETFRISTRKHSNLITVPIQD
+DSNPGAREPPPPAPAPAHHHPEYQGQPVVSHPHHIMPPQQHYAPPPPPPPPISHPMPHPP
+QAAGTPHLVYSQAPPPPMTSAPPPITPPPGHIIAQMPPYMNHPPPGPPPPQHGGPPVTAP
+PPHHYNPNSLPQFTEDQGTLSPPFTQPGGMSPGIWPAPRGPPPPPRMQGPPSQTPLPGPH
+HPDQTRYRPYYQ
+>tr|G3N1M7|G3N1M7_BOVIN Triggering receptor expressed on myeloid cells like 2 OS=Bos taurus OX=9913 GN=TREML2 PE=4 SV=2
+MSPSIRVGLYFSFEFHESRAIATCLYTTSFEFRDASFLLPQPVVSPQVPPRSMCTQNCST
+SKGRLCLCSAPTRAAKTTWRDDTQAKVVNITMAALRLQDSGRYWCMRNSSGILYPLMGFL
+LEVSPASITKGNTPLTKLPSIFQSETVVATGPAPTSGPGSPFISRGTVFTAGLLTLARPL
+PSPTSRSTRLTSVMGSSFSSTSLSTTGPGRATGSQTATVSPSNTRASAAALGYTSTKATH
+LCTVGAPTMGMCPTSRTLLNHLFPSRYLEFYPMVLVGVLALLPMLVMLIVVYGFWKKRHM
+GSYSVCRDPARSCKDSPARPEPPWRSAWSEATQLWGGEELLPEGPRETPRRLLRGGVKTG
+TCLGWSRAGGEDCATWTQVFLEPEAVPHLLGSPGLLGTWRGWESEHVALGRKSLRVWGAT
+EMVLLGATDPRGPS
+>tr|A0A3Q1M6Q0|A0A3Q1M6Q0_BOVIN Ankyrin repeat and zinc finger domain-containing protein 1 OS=Bos taurus OX=9913 GN=ANKZF1 PE=4 SV=1
+MSPAPAATQAPVSVSLFDLSTDAPVLQGLRLVSHFPEEALAQSLQTSCPGSEEQISPERR
+PFQGALDISEKLFCSTCDQVFQNHQEQREHYKLDWHRFNLKQRLKDKPLLSALDFEKQSS
+TGDLSSISGSEDSDSDSEEDLQILDEERADLEKPTRPQGFHPHRVLFQNAQGQFLYAYRC
+VLGPRHASASTYCVVPLEESELLLQNLQTGGPRDCVVLMAAAGHFAGAIFQGREVLTHKT
+FHRYTVRAKRGTAQGLRDARGAAAHSAGASLRRYNEAALYKEVRDLLAGPAWAKALEEAG
+TILLRAPRSGRSLFFGGREAPLRRGDPRLWDIPLATRRPTFQELQRVVHKLTTLHIHGSE
+SEGGDGSQVELELVEVTLGTLDLREFDVFPKQRRRKRNKRERKQDLESGAQMTLSQQPKE
+DEALSGSAPLRPPLDEATSPCQSELWDVLLAACRAGDVGMLKDRLTASPLHPGVLPLLSA
+PLGSGGFTLLHAAAAAGRGSVVRLLLEAGADPTVQDSRARPPYTVAADRSTRNEFRRFME
+KNPDAYDYSKAQVPGPLTAEMEARQATRRREQKAARRHREEQQRKQQEQEKQEQEEQQRF
+AALSDREKRALAAERRLAAQLGALNPQTPDPAITVSNIPRCWSCGMSLQGLVPFHYLDFS
+FCSTRCLRDHRCQAGKPSS
+>tr|G3N3R5|G3N3R5_BOVIN Golgi integral membrane protein 4 OS=Bos taurus OX=9913 GN=GOLIM4 PE=4 SV=2
+MGNGMCSRKQKRIFQTLLLLTVVFGFLYGAMLYYELQTQLRKAEAVALKYQQHQESLSAQ
+LQVVYEHRSRLEKSLQKERLEHKKAKEDFLVYKLEAQETLNKGRQDSNSRYSALNVQHQM
+LKSQHEELKKQHSDLEEEHRRQGEDFSRTFNDHKQRYLQLQQEKEQELSKLKETVYNLRE
+ENRQLRRAHQDMHTQLQDVKTQVAEYKQLKDTLNRIPSFRKPEQTEQQNITQVAHSPQGD
+STARDKSPGEPREVSRNSEVWQNHEAAPGRTEETKPYPSTQKEAELQAPAELTRQEAGPK
+EPEERQVEEEHRRALEEEAMEQVGRPEHLEEEHDPSPEEQDREWTEQRERKEARLAAGEV
+HAEVHPSAKPVTRLQSPYEEQLEQQRLAVQRAEEAQRLREEQEALHQQRLQGHLLRQHQQ
+QQLLARETARQQQDGLQEGRLQRPEQPRQQARYDAVDNDIVQGAEDQGIQEEEGGAYERD
+NQHQDEAEEDPENGHELQEQGPHEADPESEGDRAAVEDINPADDPNNQGEDEFEEAGQVR
+EENLPDENEEQKQSHQKQQNAEMEEHLVMAGNPDQQEDNVDEQYQEEGEEEVQEDLTEEK
+KRELEHNAEETYGENDENADEKNNEGEEQEVRDDNHPKGREEHYEEEDDEEEDGAAAAEK
+SRQRAEM
+>tr|E1BD87|E1BD87_BOVIN Family with sequence similarity 170 member A OS=Bos taurus OX=9913 GN=FAM170A PE=4 SV=3
+MKRRQKRKHLENEESQETAEKGGGIWKLHRDSPQPRAPLAHLQEQGEMAPLSPHVSFSSP
+SSYKTCATSLHMNKEKRGMKIYYMRVQMKKGVAVSWEAEKPSESLEKQPRREEVTLPEDV
+RAGTPPSDVSTRNLLSDSEPSGEEREHEERADFDSPTPGSPTVEERPRARTPDWLVTMET
+GFRCMACCRVFPSLEILQEHVQNGIREGFSCHVFHLTMAQLTGNVEPENTPEEEVEDEDE
+DEDGEDEEQEEKENEEQQSAGEDVSLRRPWSQCPGCVFHSPKDRK
+>tr|A0A3Q1MRD2|A0A3Q1MRD2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=3 SV=1
+MARLVLGLMSCTLFITVNGLYSSSDDVIESTPSNFNREVIQSDSLWLVEFYAPWCGHCQR
+LTPEWKKAATALKDVVKVGAVDADKHQSLGGQHGVQGFPTIKIFGSNKNKPEDYQGGGTG
+EAIVDAALSALCQLVKERLGGRGRGYSSGKQGRGDSSSKKDVIELTDDNFDKNVLDSEDV
+WMVEFYAPWCGHCKNLEPEWAAAATEVKEQTKGKVKLAAVDASVNQVLASRYGIRGFPTI
+KIFQKGESPVDYDGGRRRSDIVSRALDLFSDNAPPPELLEIINEDVAKKTCEEHQLCVVA
+VVPHILDTGAAGRNSYLEVLLKLADKYKKKMWGWLWTEAGAQSEPENTLGIGEFGYPAMA
+AINAREMKFALLKGSFSEQGINEFLRELSFGRGSTAPVGGGAFPTISTREPWDGKDGELP
+VEDDIDLSDVELDDLEKGEL
+>tr|E1BMZ4|E1BMZ4_BOVIN Zinc finger protein 436 OS=Bos taurus OX=9913 GN=ZNF436 PE=4 SV=1
+MAATLLMAGSQAPVTFEDMAMYLTREEWRPLDPTQRDIYRDVMQENYGNVVSLDFEIRSE
+NEVNPKQEISEDVEFETASERPVGNTEENPESEEAFDNRDRSERQWGDLTAEEWVSYPLQ
+QVTDLLVHKEVHTSIRYHICSHCGKAFSQISDLNRHQKTHTGDRPYKCYECGKGFSRSSH
+LIQHQRTHTGERPYDCNECGKSFGRSSHLIQHQTIHTGEKPHKCNECGKSFCRLSHLIQH
+QRTHSGEKPYECEECGKSFSRSSHLAQHQRTHTGEKPYECNECGRGFSERSDLIKHYRVH
+TGERPYKCDECGKNFSQNSDLVRHRRAHTGEKPYHCNECGENFSRISHLVQHQRTHTGEK
+PYECNACGKSFSRSSHLITHQKIHTGEKPYECNECWRSFGERSDLIKHQRTHTGEKPYEC
+VQCGKGFTQSSNLITHQRVHTGEKPYECTECEKSFSRSSALIKHKRVHTD
+>tr|G3X712|G3X712_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MKRLAGTVLGLLFAQVCCVRGVDVEQSPPALSLQEGASYTILCNFSISPQSVIWYLQNSG
+GCIIQLFYIPSGTKQDGRLNATTVPKEGRSSLHISSSQTTDSGTYFCAVQHSAPQAPAAC
+TQTCS
+>tr|F1N6I5|F1N6I5_BOVIN Versican core protein OS=Bos taurus OX=9913 GN=VCAN PE=1 SV=2
+MLINIKSILWMCSTLIAAHALQKVNMEKSPPVKGSLSGKVNLPCHFSTMPTLPPSYNTTS
+EFLRIKWSKIELDKTGKDLKETTVLVAQNGNIKIGQDYKGRVSVPTHPEDVGDASLTMVK
+LLASDAGRYRCDVMYGIEDTQDTVSLTVEGVVFHYRAATSRYTLNFEMAQKACVDIGAVI
+ATPEQLHAAYEDGFEQCDAGWLSDQTVRYPIRVPREGCYGDMMGKEGVRTYGFRAPHETY
+DVYCYVDHLDGDVFHITAPNKFTFEEAGEECKTQDARLATVGELQAAWRNGFDRCDYGWL
+LDASVRHPVTVARAQCGGGLLGVRTLYRFENQTGFPTPDSRFDAYCFKRRMSDFSVSGHP
+IDSESKEDEPCSEETDPEHDLIAEILPELLGMLHSEEDEEDEECANATDVTTTPSVQYIN
+GKHVVTTVPKDPEAAEARRGQFESVAPSQNFSDSSESDSHQFIITHAGLPTAMQPNESKE
+TTESLEITWRPEIYPETAEPFSSGEPDIFPTASIHEGEATEGPDSITEKNPELDHLVHEH
+AESVPLFPEESSGDAAIDQESQKIIFSGATEGTFGEEAEKSSTTHTPSMVASSVSAPVSE
+DASFILTGTPQSDEPLSTVESWVEITPRHTVEFSGSPSIPIPEGSGEAEEDKDKIFAMIT
+DLSQRNTTDPRVTSDTSKIMITESLVDVPTTTVYSISEQVSAVVPTKFVRETDTYEWVFS
+PPLEETTRKEEEKGTTGTASTVEVHSPTQRLDQFVSPSELESSSETPPDDSAAATRKSFT
+SQMTPTQSERETTSSTVVFKETEVLDNLAAQTTDPSLSSQPGVLEVSPTVPGSPVSLFME
+QGSGEAAVDPETTTVSSLSLNIEPEILAEEEAAGTWSPNVETVFPFEPTEQVLSTAVDRE
+VAETISQTSKENLVSEISGEPTHRAEIKGFSTDFPLEEDFSGDFREYSTVSYPITKEEIV
+MMEGSGDAAFKDTQMLPSVTPTSDLSNHTADSEEPGSTLVSTSAFPWEEFTASAEGSGEP
+LLSVSSSVDQVFPSAAGKASGTDSPFIDQRLGEEGAINETDQRSTILPTAEAESTKASTE
+EGEVKENHTVSMDFPPTVEPDELWPRQEVNPVRQGNGSEIVSEEKTQEQESFEPLQSSVA
+PEQTTFDSQTFPEPGLQTTGYFTLTTKKTYSTDERMEEEVISLADVSTPTLDSKGLVLYT
+TLPEVTEKSHFFLATASVTESVPAESVIAGSTIKEEESIKPFPKVTSPIIKESDTDLIFS
+GLGSGEEVLPTLGSVNFTEIEQVLSTLYPLTSQVQSLEASILNDTSGDYEGMENVANEMR
+PLISKTDSIFEDGETASSTTLPEILSDARTEGPFTAPLTFSTGPGQPQNQTHRRAEEIQT
+SRPQPLTDQVSSENSVTAETKETATPATDFLARTYDLEMAKGFVTSTPKPSDLFYEHSGE
+GSGELDAVGAEVHASGMTQATRQGSTTFVSDRSLEKHPKVPSVEAVTVNGFPTVSMVLPL
+HPEQREGSPEATGTPASTASYEKATEGAADSFQDHFWGFKDSTLKPDKRKATESIIIDLD
+KEDKDLILTMTESTILEIIPELTSDKNTIIDIDHTKPIYEDILGMQTDLDPEVPSGPPDS
+SEESTQVQEKYEAAVNLSSTEENFEASGDILLANYTQATPESKAPEDRNPLDHTDFIFTT
+GIPILSSETELDVLLPTATSLPIPSKSATVNPESKTEAKTLEDIFESSTLSDGQAIADQS
+EVISTLGYLERTQNEDEAKKYVSPSFQPEFSSGAEEALTDPTPYVSIGTTYLTAQSLTEA
+PDVMEGARLPDSIDTSTVSAFSELLSQTPSFPPLSIHLGSGDSEHSEDLQPSALPSTDAS
+TPPVSSGELANIEATFKPSSEEDFYITEPPSLPPDTEPSEDESKPKLLEPTEASATELIA
+QEEIEIFQNSDNTTSVQVSGEAVKVFPSIETPEAEAIVTAASETKLEGATLRPHSTSASV
+IHGVEAGVVPQPSPQTSERPTILSPLEISPETQAALIRGEDSTVAAPKQQVPTRMLDSNK
+QATLSTTELNTELATPSFPLLETSNETSFLIGINEESVEGTAVYLPGPDRCKMNPCLNGG
+TCYPTETSYVCTCVPGYSGDRCELDFDECHSNPCRNGATCIDGFNTFRCLCLPSYVGALC
+EQDTETCDYGWHKFQGQCYKYFAHRRTWDAAERECRLQGAHLTSILSHEEQMFVNRVGHD
+YQWIGLNDKMFEHDFRWTDGSTLQYENWRPNQPDSFFSTGEDCVVIIWHENGQWNDVPCN
+YHLTYTCKKGTVACGQPPVVENAKTFGKMKPRYEINSLIRYHCKDGFIQRHLPTIRCLGN
+GRWAMPKITCLNPSAYQRTYSKKYFKNSSSAKDNSINTSKHDHRWSRRWQESRR
+>tr|F1MBJ0|F1MBJ0_BOVIN Ankyrin repeat domain 34A OS=Bos taurus OX=9913 GN=ANKRD34A PE=4 SV=3
+MYCVAGQTWCTGTHQRTKSGERGSVEARRRIGMVPVWVVEESLKEHLAAAMLHTEGHALL
+RAVGQGKLRLARLLLEGGAYVNEGDAQGETALMAACRARYDDPQNKARMVRYLLEQGADP
+NIADRLGRTALMHACAGGGGAAVASLLLAHGADPSVRDHAGASALVHALDRGDRETLATL
+LDACKAKGTEVIIITTDTSPSGTKKTRQYLNSPPSPGVEDPAPAPPSPGVCTSPSEIQLQ
+TAGAGGRGLLSPRAQEEEEKRDVFEFPLPKPPDDPSPSEPLPKPPRHPPKPLKRLNSEPW
+GLVAPPQPVPPAEGRPGFERLTAEFNGLTLTGRPRLSRRHSTEGPEDPPPWAEKVTGGGP
+LSRRNTAPEAQESGPSSGLRQKLSRMESVELDTPGNLCPDSPECSRPSLERRRYSASPLT
+LPLAGSVSSPRQSQESLPGAVSPLSGRRRSPGLLERRGSGTLLLDHIAQTRPGFLPPLNV
+SPHPPIPDIRPGGRAPSLPAPPQAGAPGSPRTKRKLVRRHSMQTEQIRLLGGFQSLGGPG
+EPGR
+>tr|A0A3Q1LTE3|A0A3Q1LTE3_BOVIN t-SNARE coiled-coil homology domain-containing protein OS=Bos taurus OX=9913 GN=VTI1A PE=4 SV=1
+MSSDFEGYEQDFAVLTAEITNKIARVPRLPPDEKKQMVANVEKQLEEAKELLEQMDLEVR
+EIPPQSRGMYSNRMRSYKQEMGKLETDFKRSRIAYSDEVRNELLGDDGNSSENQLIKLRE
+ERAHLLDNTERLERSSRRLEAGYQIAVETEQIGQEMLENLSHDREKIQRARERLRETDAN
+LGKSSRVLTGMLRRIIQNRILIVILGIVLVITILTAITFSVRGH
+>tr|A0A3Q1MQN7|A0A3Q1MQN7_BOVIN Chromosome 15 C11orf74 homolog OS=Bos taurus OX=9913 GN=C15H11orf74 PE=4 SV=1
+MPAKTPELEMMDEDRLIEEVLDKFVNCHEQTYEEFLRTFTHLSKDNVTKREAFGTNSSEN
+NFTSIKFTQRNEPNDCRLSNKAIFLHTSSQCSEEEQIMIGDGQKAGSSFQGDLNRAGKVK
+FKAHRYENRQTHHHRWPEKHKQ
+>tr|F6RF48|F6RF48_BOVIN Vesicle transport protein OS=Bos taurus OX=9913 GN=SFT2D2 PE=3 SV=1
+MDKLKKVLSGQDSEDRGGLSEVVEATSLSSGTRIKGFIACFAAGILCSLLGTLLLWVPRK
+GLYLFAVFYTFGNIASLGSTVFLMGPMTQLKRMFEPTRLIATVLVLLCFALTLCSAFWWH
+NKGLALIFCILQSLALTWYSLSYIPFARDAVKKCFTVCLA
+>tr|E1BHZ3|E1BHZ3_BOVIN FAM161A, centrosomal protein OS=Bos taurus OX=9913 GN=FAM161A PE=4 SV=2
+VNFSDIYHSNEEYFRKLEELKAAHIETMAKLEKLYQSKLNLKEVQPAVTREEAASVSSGS
+LSEKNSYHPVSLMTSISEPDLSQSSSLIVSSSEEELPNLEKKYSEKSGMMTYAKELINNM
+WTNFSVEDYIQHEDVNLPVLEKQRKKPKEWVPRITVPEPFQMMVREQKKKEENMKSKSDI
+EMVHKLLKKQEEESECKKKFRANPVPAFVFLPLYHDIVKQNEVRRRAMKERNKEALLASQ
+KPFKFVAREEQKQAVREKQLKDFFKSKKKTNRFKARPVPRSTYSSTINDKLTEEELYKNM
+RAQQRTQDFLQNPSPRPYSPARRSFATRKSRGPEQAEKSKYKHRVRRQAADSEDLPERYQ
+KHHSEQKYRKFLTVCEPCDLQAASHSATKREKILADIEADEENLKETRWPYLSPRRKSPV
+RAANTKPAPCSCNPPTPTVSSRGREQATRKSEKERMREYRRELEEREEKLKNRPLLFERV
+AQVVFVGI
+>tr|F1MMY7|F1MMY7_BOVIN T-complex 11 OS=Bos taurus OX=9913 GN=TCP11 PE=4 SV=1
+MTDVEENVPVKDPGDAESRPCKPESSGPTREDKSSLRDHPSRLRNTVNDASKLSSEIGMN
+RDFRMEEKVLPPGSLEGRIRETMHDAFWDHLKERLSATPPDFSCALELLKEIKEILLSLL
+LPRQNRLRSEIEEALDMDLLTQEAEHGALNVPHLSKYILNMMTLLCAPVRDEAVQKLENI
+SDPVWLLRGIFQVLGLMKMDMVNYTIQSLQPQLQEHSIQYERAKFQDLLNKQPSLLDHTA
+RWLTRAAAQLSAPPPSGPDAADAASSPCSSPGGGQQPGAPQPHHGAVPGLPEPPPLGP
+>tr|G3MZU2|G3MZU2_BOVIN Phosphatidate cytidylyltransferase OS=Bos taurus OX=9913 GN=CDS1 PE=3 SV=2
+MWELRHRGGGGPGPGGEAASPPREGEAAGGDHETESTSDKETDIDDRYGDLDSRTDSDIP
+EIPPSLDRTPEILKKALSGLSSRWKNWWIRGILTLTMISLFFLIIYMGSFMLMLLVLSIQ
+VKCFHEIITIGYRVYHSYDLPWFRTLSWYFLLCVNYFFYGETVADYFATFVQREEQLQFL
+IRYHRFISFALYLAGFCMFVLSLVKKHYRLQFYMFAWTHVTLLITVTQSHLVIQNLFEGM
+IWFLVPISSVICNDITAYIFGFFFGRTPLIKLSPKKTWEGFIGGFFSTVIFGFIAAYVLS
+KYQYFVCPVEYRSDVNSFVTECEPSELFQLQSYSLPPFLKAVLRRETVSMYPFQIHSIAL
+STFASLIGPFGGFFASGFKRAFKIKDFANTIPGHGGIMDRFDCQYLMATFVHVYITSFIR
+GPNPSKVLQQLLVLQPEQQLNIYKTLKTHLTEKGILQPTLKV
+>tr|A0A3Q1MFE7|A0A3Q1MFE7_BOVIN Secretogranin V OS=Bos taurus OX=9913 GN=SCG5 PE=4 SV=1
+VYVECVAGRPPASGSPSGESWPGSLHLLEEGRGGAKTRREVTHERPTSPRFSPDPHRGPD
+RGRLLRLQLGGAHEGLQHLGPFGNIPNIVAELTGDNIPKDFSEDQGYPDPPNPCPVGKTV
+DDGCLENTPDTAEFSREFQLHQHLFDPEHDYPGLGKWNKKLLYEKMKGGQRRKRRSVNPY
+LQGQRLDNVVAKKSVPHFSDEDKDPE
+>tr|A0A3Q1M1V4|A0A3Q1M1V4_BOVIN LRRC37AB_C domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MACCLCQFKNTIEVVCKTVKLHCDSGCLTNVTFCDENTSIGNAEGSFMKVLQARKKNTST
+ELTIEPERASSDKSAVSLSAFMNEQLDFNDESDVISALNYILPYFSEGNLEDVESTLLPF
+IQLLFTNAHEGDMPVGHFKNNTKKPSVKPIDNNSTYKNKLRKLYFLENLLDAEIQEKIDE
+VKKKEKTAMLIHANLLGPKFKRQMFPKKLEKAQPQENSPAEIDSPGQRLLRVNRVLKHPR
+GLQKRHFKDAGDESSEKKQNAQPLVENTAKERRLRRPSPRELDELDMVQRPRKLVGNSFN
+TEPSFMKEHKAAVSSFLKQYSRGRPSDPLPPKPQPEAKNKSKDLSYTIFVLEDADARVRN
+MKSSKPVSHSGKKYIFHKTRSRMPHRTLKAKVSRKLRKKGSLNRMMLARRPLFSAVRSLI
+NSPPREAFSSSGLLDPQENRFSELYSLSNPPKENSSSVNNTPHVSEAVISSGNITRPKET
+RPGITAQINVSSAHSTVAADFMPPVKHTNETQWEYHNVGIEFASKPPSVSFPVLSSPGDQ
+FESQLNQQLRSLIPNNDVRKLIAHVIRTLKMDCSETHVQLACAKLISRTGLLMKLLSEQQ
+EIKVSKAEWDTDQWKTDNYINESTEAQSEQKEQEPSEVRITPETWDLRTCH
+>tr|A0A3Q1LPX1|A0A3Q1LPX1_BOVIN T-box 19 OS=Bos taurus OX=9913 GN=TBX19 PE=4 SV=1
+MFPVLKISITGLDPNAMYSLLLDFVPTDSHRWKYVNGEWVPAGKPEVSSHSCVYIHPDSP
+NFGAHWMKAPISFSKVKLTNKLNGGGQIMLNSLHKYEPQVHIVRVGDAHRMVMNCSFPET
+QFIAVTAYQNEEITALKIKYNPFAKAFLDAKERNHLKDIPEAMSESQHVTYSHLNLIESS
+SNNLQVFSGADNWTSLSSTPHASILSVPHTSGPVNPGPSPYPCLWTINNSGGGPAGSGSE
+VHANSPGAFLLGNPAVTSPVSTQTPTSLIHAMLRQQKFVEYLPCALTLTLK
+>tr|A0A3Q1M5N6|A0A3Q1M5N6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=COBL PE=4 SV=1
+MKARAPPPPGKPATPNLHSGQRSPRRASPGPPQNQLSRKHSLDDGVVAMTVVLPSGLEKR
+SVVNGSHAMMDLLVELCLQNHLNPSNHALEIRSSETQQPLNFKPNTLVGTLNVHTVFLKE
+KVPEAKVKPVPPKVPEKTVRLVVNYLRTQKAVVRVSPEVPLQSILPVICAKCEVSPEHVV
+LLRDNVAGEELELSKSLNELGIKELYAWDNKRETFRKSSLSNDETDKEKKKFLGFFKVNK
+RSNNKGCLTTPNSPCVNPRSVILGPSLSLGNISGVSVKSDLKKRRAPPPPTLPRAGPPVQ
+DKTSEKMSLGSQIDLQKKKRRAPAPPPPQPPPPSPLVPPRTEDREEGRKGRTVSLSMGPG
+GPCSVDGAPPVPSEAEETVSVSSCFASEDTTEDSGVMSSPSDIVSLDSQHDSMKSKDRWS
+TDREDCSDQDLAGTPEPGPPKSPLWERSSFENRHLRNRKAAPASHEDEEIFMTGQFQKTL
+AELDEDLEEMEESYEADTGPLANSIHGMSTPHGDPEAIPVTFIGEVLDDPVDSGVFSNRN
+NNAGSFDLGSTADSKAQPPPHQAECPQQHSQRRAAGPSSPAPSREPEREVRSALTNTWKE
+VDPRKMEPTASSASALHTHHWNGKEEGRAPVSAHSGGTPAPGRVIPQLGKEKASDGKGDI
+STLPPWHQRGQHPAGSYGLKYGLTTYKIVPPKSEMKCYDRGASLSVGAIKIDELGNLVSP
+HVHTGRTVVPSSPTLEAETPPIGKVKEFWRSNSIEKHSGRPMERPKRTPTPTTPTNLQPQ
+ESRLRGEPTSPDPQGTLPGPQSPHSEDGRAVGEGRSWPPPAATRPLQVPAANPAEVPFLK
+PQRRTSSQYMASAIAKRIGTPKVHSDAGRRQDNTQRTYEGTAPEPVVAPPVVKDSTTPSP
+NPGTGIRREGEESTAGPHPGWQVSSPYRKLSAQDYRSGIHRNSHGSLVTAAQRDQASVGQ
+SSGLSGEQNIRTHRTDSASDPKYKSDGLSPSRLHSGDDQTSGSTLVNGSRWVPIHSEPPC
+SPRVSDTNGRAGQEPPQREEKPSLLSTGALEADGTLPASIFGPKKKFRPVVQRPAPKDTS
+LHSALMEAIHSAGGKDRLRKIPEPGSKGGPKKPSYTEADGERSALLAAIRGHSGTCSLRK
+VTSSASEELQSLRDAALSAHGPDPSRVEDLGVQSQPPPPPPTPVTQAPTSSWSASRSSEG
+PLGNPLDARQALMDAIRSGTGAARLRKVPLLV
+>tr|F1MXP9|F1MXP9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=CDH23 PE=4 SV=3
+MRCHVASSCLVVWLSVLISGCWGQVNRLPFFTNHFFDTYLLISEDTPVGSSVTQLLARDM
+DNDPLVFGVSGEEASRFFAVEPDTGVVWLRQPLDRETKSEFTVEFSVSDHQGVITRKVNI
+QVGDVNDNAPTFHNQPYSVRIPENTPVGTPIFIVNATDPDLGAGGSVLYSFQPPSQFFAV
+DSARGIVTVIRELDYETTQAYQLTVNATDQDKTRPLSTLANLAIIITDVQDMDPIFINLP
+YSTNIYEHSPPGTTVRIITAIDQDKGRPRGIGYTIVSGNTNSIFALDYISGALTLNGLLD
+RENPLYSHGFILTVKGTELNDDRTPSDATVTTTFNILVIDINDNAPEFNSSEYSVAITEL
+AQVGFALPLFIQVVDKDENLGLNSMFEVYLVGNNSHHFIISPTSVQGKADIRIRVAIPLD
+YETVDRYDFDLFANESVPDHVGYAKVKITLINENDNRPIFSQPLYNVSLYENVTVGTSVL
+TVLATDNDVGTFGEVNYFFSDDPDRFSLDKDTGLIVLIARLDYELIQRFTLTVIARDGGG
+EETTGRVRINVLDVNDNVPTFQKDAYVGALRENEPSVTQLVRLRATDEDSPPNNQITYSI
+VNASAFGSYFDISVYEGYGVISVSRPLDYEQIPNGLIYLTVMAKDAGNPPLNSTVSVTIE
+VFDENDNPPTFSKPAYFVSVVENIMAGATVLFLNATDLDRSREYGQESIIYSLEGSSQFR
+INARSGEITTTSLLDRETKSEYILIVRAVDGGVGHNQKTGIATVNITLLDINDNHPTWKD
+APYYINLVEMTPPDSDVTTVVAVDPDVGENGTLVYSIQPPNKFYSLNSSTGKIRTTHVML
+DRENPDPHEAELMRKIIVSVTDCGTPPLKATSSATVFVNLLDLNDNDPTFQNLPFVAEVL
+EGTPAGVSVYQVVAIDLDEGLNGLVSYRMQVGMPRMDFVINSSSGVVVTTAELDRERIAE
+YQLRVVASDAGTPTKSSTSTLTIRVLDVNDETPTFFPAVYNVSVSEDVPREFRVVWLNCT
+DSDVGLNAELSYFITGGNVDGKFSVGYRDATVRTVVNLDRETTATYTLVLEAIDNGPVGK
+RRTGTATVFVTVLDVNDNRPIFLQSSYEASVSEDIPEGHSIVQLKATDADEGEFGRVWYR
+IVHGNHGNNFRIHVSNGLLMRGPRPLDRERNSSHVLIVEAYNHDLGPMRSSVRVIVYVED
+VNDEAPVFTQQQYSRLGLRETTGIGTSVIVVRATDRDTGDGGLVNYRILSGAEGKFEIDE
+STGLIITVDYLDYETKTSYLMNVSATDQALPFNQGFCSVYITLLNELDEAVQFSNASYEA
+AILENLALGTEIVRVQAYSIDNLNQITYRFDAYTSAQAKALFKIDAITGVITVKGLVDRE
+KGDFYTLTVVADDGGPKVDSTVKVYITVLDENDNSPRFDFTSDSAISVPEDCTVGQRVAT
+VKAQDPDAGSNGQVVFSLAAGNIAGAFEIVTTNDSIGEIFVARPLDREELDHYILKVVAS
+DRGTPPRKKDHILQVTILDINDNPPVIESPFGYNVSVNENVGGGTAVVQVRATDHDIGIN
+SVLSYYITGGNEDMTFRMDRVSGEIATRPAPPDRERQSFYHLVVTVEDEGTPTLSATTHV
+YVTIVDENDNAPVFQQPHYEILLDEGPDTINTSLITIRALDLDEGPNGTVTYAIVAGNII
+STFRINRHTGIIRAAKELDYEISHGRYTLIVTATDQCPLLSHRLTSTTTVLVNVNDINDN
+APTFPRDYEGPFDVTEGQPGPRVWTFLAHDQDSGPNGQVEYSIVDGDPLGEFLISPVEGV
+LRVRKDVELDREAIAFYNLTICARDRGVPPLSSTMLVGIRVLDINDNDPVLLNLPMNVTI
+SENSPISSFVARILASDADSGCNALLTFNITAGNRERAFSINATTGIITVNRPLDRERIP
+EYRLTISVKDNPENPRIARRDFDLLLIFLADENDNHPVFTESTYLAEVMENSPAGTSLTV
+LNGPVLALDADLDVYAVVTYQLLGAQSGLFDIDNSTGVVTVRSGIIIDREAFSPPVLELL
+LLAEDIGLLNGTADLLVTILDDNDNWPTFSPAAVTVHLLENCPPGFSVLQITATDEDSGL
+NGELIYRIEAGAQDRFLIHPVSGIVRVGNATIDREEQESYRLTVVATDRGAVPLSGTAII
+TVLIDDINDSRPEFLNPIQTVSVLESAEPGTVIANVTAIDRDLNPELEYHIIDIVAKDDT
+DRLVPDQEDAFAVNINTGSVMVKSPLNRELVATYEVTLSVIDNASDLPERSVSVPNAKLT
+VNILDVNDNTPQFKPFGITYYTERILEGATPGTTLIAVAAVDPDKGLNGLITYSLLNLMP
+PGYVQLEDSSAGKVIANRTVDYEEVHWLNFTVRASDNGSPPRAAEIPVYLEIVDINDNNP
+IFDQLSYQEAVFEDVPVGTVILTVTATDADSGNFALIEYSLVDGEGKFAISPTTGDIYVL
+SSLDREKKDHYILTALAKDNPGDVASNRRENSVQVVIQVLDVNDCRPQFSKLQFSTSVYE
+NEPAGTSVITMMATDQDEGSNAELAYSLEGPGVEAFHVDMDSGLVTTKRPLQSYERFSLT
+VVATDGGQPPLWGTTMLLVEVIDVNDNRPVFVRPPNGTVLHIREEIPLRSNVYEVYATDK
+DEGLNGAVRYSFLKTTGNRDWEYFTIDPISGLIQTAQRLDREKQAVYSLILVASDLGQPV
+PYETMQPLQVALEDIDDNEPLFVRPPKGSPQYQLLTVPEHSPRGTLVGNVTGAVDADEGS
+NAIVYYFIAVGNEEKNFQLQPDGRLLVLRDLDREREAVFSFIVKASSNRSWTPPRRPSPA
+LDLVTDLTLQEVRVVLEDINDQPPRFTKAEYTAGVATDAKVGSELIQVLALDADIGNNSL
+VFYSILAIHYFQALANDSEDVGQVFTMGSVDGILRTFDLFMAYSPGYFVVDIMARDLAGH
+NDTAVIGIYILRDDQRVKIVINEIPDRVRGFEEEFIRLLSNITGAIVNTDDVQFHVDKKG
+RVNFAQTELLIHVVNRDTNHILDVDRVIQMIDENKEQLRNLFRNYNVLDVQPAISVRPPD
+DMSALQMAIIVLAILLFLAAMLFILMNWYYRTVHKRKLKAIVAGSAGNRGFIDIMDMPNT
+NKYSFDGANPVWLDPFCRNLELAAQAEHEDDLPENLSEVADLWNSPTRTHGTFGREPAAV
+KPDDDRYLRAAIQEYDNIAKLGQIIREGPIKGSLLKVVLEDYLRLKKLFAQRMVQKASSS
+HSSISELIQTELEEEPGERSPGQGSLRFCHKPPTELRGPDGIHVMHGSTGTLLATDLNSL
+PEDDQKGLGRSLETLTTAEASAFERNARTESAKSTPLHKLRDVIMESPLEITEL
+>tr|A0A3Q1MEU1|A0A3Q1MEU1_BOVIN Parathymosin OS=Bos taurus OX=9913 GN=PTMS PE=4 SV=1
+CSLPPRARSLPSLSSSYPHLSNLRFHPPPLSSPPSSRLPHPWEAPPVGQRRFISGFSARG
+RPRLVGGSSAGAGAASRGPSDRGLSAPATARTPLPPALRSAAALRVSAPDPPPPHPARLC
+RLFLSPSLPSGRRCRCRRRRRHRARFRPRPASAVQGPSVQAPGPRLPASPGPGPGTMSEK
+SVEAAAELSAKDLKEKKEKVEEKAGRKERKKEVVEVRRGHLPTGPPATFPRGQPFRDPAH
+QVALPRAPPRPLAIGGDDGWRHWASLTPPSPGPRRRRRTAQRRKKKKLPKTARRRMKGTR
+KDEADPKRQKTENGASA
+>tr|A0A3Q1LPS1|A0A3Q1LPS1_BOVIN Unc-5 netrin receptor C OS=Bos taurus OX=9913 GN=UNC5C PE=4 SV=1
+MLSFLNIFHFLVFPDDDFFHELPETFPSDPPEPLPHFLIEPEEAYIVKNKPVNLYCKASP
+ATQIYFKCNSEWVHQKDHIVDERVDETSGLIVREVSIEISRQQVEELFGPEDYWCQCVAW
+SSAGTTKSRKAYVRIAYLRKTFEQEPLGKEVSLEQEVLLQCRPPEGIPVAEVEWLKNEDI
+IDPVEDRNFYITIDHNLIIKQARLSDTANYTCVAKNIVAKRKSTTATVIVYVNGGWSTWT
+EWSVCNSRCGRGYQKRTRTCTNPAPLNGGAFCEGQSVQKIACTTLCPVDGRWTSWSKWST
+CGTECTHWRRRECTAPAPKNGGKDCDGLVLQSKNCTDGLCMQSNLITFHSEHTVLVNLAG
+HFSAPDSDDVALYVGIVIAVIVCLAISVVVALFVYRKNHRDFESDIIDSSALNGGFQPVN
+IKAARQDLLAVPPDLTSAAAMYRGPVYALHDVSDKIPMTNSPILDPLPNLKIKVYNTSGA
+VTPQDDLSEFASKLSPQMTQSLLENEALNLKNQSLARQTDPSCTAFGTFNSLGGHLIIPN
+SGVSLLIPAGAIPQGRVYEMYVTVHRKENMRPPMEDSQTLLTPVVSCGPPGALLTRPVIL
+TMHHCADPSTEDWKIQLKNQAAQGQWEDVVVVGEENFTTPCYIQLDAEACHLLSENLGTY
+ALVGQSTTKAAAKRLKLAIFGPLCCSSLEYSLRVYCLDDTQDALKEVLQLERQMGGQLLE
+EPKALHFKGSTHNLRLSIHDIAHSLWKSKLLAKYQEIPFYHVWSGSQRNLHCTFTLERFS
+LNTVELVCKLCVRQVEGEGQIFQLNCTVSEEPTGMDLPLLDPASTITTMTGPSAFSIPPP
+IRQKLCSSLDAPQTRGHDWRMLAHKLNLDRYLNYFATKSSPTGVILDLWEAQNFPDGNLS
+VLAAVLEEMGRHETVVSLAEGQY
+>tr|F1N6L8|F1N6L8_BOVIN Protein Wnt OS=Bos taurus OX=9913 GN=WNT7A PE=3 SV=2
+MNRKARRCLGHLFLSLGMVYLRIGGFSSVVALGASIICNKIPGLAPRQRAICQSRPDAII
+VIGEGSQMGLDECQFQFRNGRWNCSALGERTVFGKELKVGSREAAFTYAIIAAGVAHAIT
+AACTQGNLSDCGCDKEKQGQYHRDEGWKWGGCSADIRYGIGFAKVFVDAREIKQNARTLM
+NLHNNEAGRKILEENMKLECKCHGVSGSCTTKTCWTTLPQFRELGYVLKDKYNEAVHVEP
+VRASRNKRPAFLKIKKPLSYRKPMDTELVYIEKSPSYCEEDPATGSVGTQGRACNKTAPQ
+ASGCDLMCCGRGYNTHQYARVWQCNCKFHWCCYVKCNTCSERTEVYTCK
+>tr|A0A3Q1ME69|A0A3Q1ME69_BOVIN GRIP and coiled-coil domain containing 2 OS=Bos taurus OX=9913 GN=GCC2 PE=4 SV=1
+MEEPVHEGVASPAARGTGKSKLEALPKEDLIKFAKKQMMLIQKTKLRCTELEKEVEELKS
+KLVAGGADIIKALTERLDAVLLEKAETEQHCVSLKKENIKMKQEVEDSVTKLEDVQKELE
+QSQRSYGKEIENLRNELTTVHSKYSNDKADWQKEVEEAAKKQLELSEQLRFQSDSEDSVK
+KLQEEIQKIKLAFEDQILCLQKQLETATNEKEQEITHLQGVIEANCQQYQKDINSLQEEL
+VRLKSTHQEEVKELMCQIETSAKEHEAEVNYLIQLKDNLVRKCEAGEMNIQKYKCELENE
+GKASGAGQENQVCSLLLQEDSLVEQAVNEKVRHLEDALKELESQHSILKDELTYMNNLKL
+KLEIDAQHIKDEFFHEREDLEFKINELLLAKEEQGYIIEKLKSELEDANKQFCCTIEQHN
+KEVQSLKKQHQKEITELNETFLSDSEKEKLTLMFEIQGLKEQCEKLQQEKQEAMLNYEGL
+REIMEILQTELGESAEKISQEFESMKQQQAFNINELQQKLQTAFNEKDALLETVNYLQKE
+NDNLLSQQECVPELENTIKNLQEKNELYLVSLSQRDTMLQEFEAKISSLTEEKYDFISKM
+RSSHEEMDNLHKKCEREERLTVELREKVDQTAQYNSELEQKVNELTAGLEETLKEKDEKN
+RKLEKLTAQLKILSKDQEATSLEVRSLREENSRLSSEKNLLSRDLEALLSQKEGDVTFQE
+QINKLEEELRLAVRERDNLNKLLENEQVQKLFVKAQLCGFLEQMESKVSQEREEQDVVNI
+LQAMGKSLDKINEEKHNLAFQYDKRIVELEEEIKLLQEEHMVQCEELRSLLTDYEQKKIV
+LRKELEEALLEKEALQSDLLEMKNASEQTRFENQNLLIQVEEMSQKLCRENENHHKKEKD
+LIKELENLRPLLEQKESELQDVKAELISLKDSFKKSTSVESDQPSVKEFEEKIGYLEKEA
+KEKEEKINKLKLVAVKAKKELDSSRKEAQTLQEELQSVRSERDQLSTSMRDLIQGAENYK
+CEKLTSDNEDFLARIETLQSNACLLEAQILEVHKAKAMADKELEAEKLQKEQKIKEHASS
+VNELEELQLQLQKEKKQLQKTMQELELVRKDAQQTTLMNMEIADYERLMRELNQKLSNKN
+SKIEDLEQEIKIQKQKQETLQEEMTSLQAVLQQYEEKNTKIKQLLVKTKKELADLRQAET
+DHLMLQASLKGELEASQQQVEVYKIQLAEVTAEKHKAHEHLKASADQHQRTLGAYQQRVS
+ALQEECREARAEQAAVTSEFESYKVRVHNVLKQQKNKSVSQTEAEGAKQEREHLEMLIDQ
+LKLKLQDTQNSLQISTSELQALQCEHCALLERHNRVLQEAVGKEAELREKLCSVQSENSA
+LKAEHAQIVDQLTAQHEALRAGFREQVRQLQEEHRRTVETLQQQLCRLEAQLLEPRSEPA
+TRSPASSQQPLRSLRERRSADLPFLDVQVTAREEGEGMETTDLEHGSPASTPAQSLEQLL
+SSPEARLEPPAWHNEFTKEELVQKLNSTTKSADHLNGLLRETEATNAILMEQIKLLKSEV
+RRLERNQEREKSAANLEYLKNVLLQFILLKPGSERARLLPVVDTMLQLSPEEKTRLAAAA
+QGEEESGSRSSGWASYLHSWSGLR
+>tr|A0A3Q1LUV6|A0A3Q1LUV6_BOVIN Vesicle-associated membrane protein 5 OS=Bos taurus OX=9913 GN=VAMP5 PE=4 SV=1
+MLFPLLIHCARTRARTHTHTHWGQGGLWVSWPNEGHVGASRSPDLGLGAQAGKELERCQR
+QADEVTEIMLNNFDKVLERDGKLAELEQRSDQLLDMSSAFSKTTKTLAQKKRWENARCRI
+YMGLAVGIALLILLIVLLVIFLPQSSKGSSAPQVQDAGPASGPGE
+>tr|F1N358|F1N358_BOVIN Transmembrane and coiled-coil domains 6 OS=Bos taurus OX=9913 GN=TMCO6 PE=4 SV=2
+MWSGRRGLLRSSGCGVEELRCRRREREAALRKARREQQLVSKRLLRDEATEEAEGGCVVV
+ILGEAEIQEFLRLAQRGTEEKERERALVSLRRGLQHPETQQTFIRLEGSIRTLVGLLTSN
+QALLQLEAARCLHELSHSEQSAVAEACLPATSYLLTYLSGHSSDFIELCLYTLGNLIVES
+EAVRRQLLPQGIVPALAACIQSPHLTVLEALGYALSQLLQAKEAPEKIIPLVLGSTLPQH
+ILQLLQPGPKLNLGVAVEFAWCLHYIICSQVNNALLITQGALTTLGLLLLDLAAAVQRTE
+DAGLELLVCPVVRCLSNLLTEAAAETVGGPMQLRDERVLAALFILLQFFLHKQPSLLPEG
+LWLLNNLTANSPSFCTSLISLDLIEPLLHLLSVSNVVSVLVLTVLCNIAEKGPAYCQHLW
+PGLLLPTLLDTLALSDTEVVGQSLELLQLLFLYQPGAAQAFLQQSGLQALQRHEEVAQLQ
+DRVHALQQTALHG
+>tr|A0A3Q1M7V0|A0A3Q1M7V0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=3 SV=1
+MAGNFWQNLLKECQKDLKFLLEEEYGKLQIFFTNVIQALGEQLKLRQQVIATATVYFKRF
+YARYSLKSIDPVLMGPTCVFLASKVEEFGVVSNTRLIAAATSVLKTRFSYAFLKEFPYKM
+NHVLECEFYLLELMDCCLIVYHPYRPLFQYVQDMGQEDILLSLAWRIVNDTYRTDLCLLY
+PPFMIALACLHVACVVQQNDARQWFAELSVDMEKILEIIRVILKLYEQWKIFDERKERQE
+HWSGLPFPSPMHESENNPMDCSLPGSSVHGIF
+>tr|F1MTH9|F1MTH9_BOVIN Synaptotagmin 16 OS=Bos taurus OX=9913 GN=SYT16 PE=4 SV=3
+MLLAMASQDAQNFFQPLSSWISRVYEALQQAGYTLSASLANFRKQDSKLSDTLDQDLNDI
+QIPETGFEDEEQGTDQRQENTDTLFLEVDHFSYCNSDFQDFGQSSSPRLSQHVKNSIMFH
+NDPVKTHGSCLLPVNSFGDDEELSTSSDNDEEVIKQFEISVSRSQSFRLGASEKGKQTGL
+EHKPKPSHCLSTHKEDSTGVSTCKGLDGASQLTYSENLSYGEDDPVSAHSQSTCELGGTR
+HHGVPPGETSVVHSLSRQSTEGSLEMETAFNNRGFEDSYATDSSSVWSPEEQDGTNFQVP
+PGVPEPISKCGDLDVIFEYRAASQKLTVTIVRAQGLPDKDRSGVNSWQVHVVLLPTKKQR
+GRTSIQRGSNPIFKEKVTFAKLEPRDVAACAVRFRLYAARKMTRERMMGERLFYLSHLHQ
+DGEMKVTLVLEPRSNISSGESPLSPSAVSHSDSGSSTQSLSHGGAPELLVGLSYNATTGR
+LSVEMIKGSHFRNLAVNRAPDTYGKLFLFNSVGQEMSRCKTSIRRGQPNPVYKETFVFQV
+ALFQLSDVTLMISVYNRRTMKRKEMIGWIALGQNNSGEEERDHWEEMKETKGQQVCRWHT
+LLES
+>tr|F1MME6|F1MME6_BOVIN Myomesin-1 OS=Bos taurus OX=9913 GN=MYOM1 PE=4 SV=3
+MSLPFYQKLHQHYDRSYRNRDLSTTMSQYQQEKKSSAIYTHGSTAYSSRSSAAHRQESAA
+FSQASAASYQHQASRASEFALSSAASHKAASAYDYGYSHGLTDSSLMLDYSSKLSPQTKR
+AKKSLLSGEEKENLPSDYMVPIFSGRQVHVSGITDTEEQRIKEAAAYIAQRNLLASEEGV
+TASKQSSVSKQSSVSKQSMSTLHQEEAFEKKSRKVAIREKADQLSLKKTLEETEAFHKKL
+NEDNLLHAPEFVIKPRSHTVWEKENVKLHCSVAGWPEPRLTWYKNQVPINVQAHPGKYII
+ESRYGMHTLEINACDFEDTAQYRASAMNAKGELSAYASVVVKRYKGELDDTRFHSGASTL
+PPSFAVTPYGYASRFEIHFDDKFEVSFGREGETMSLGCSVVITPEIKHFQPEIQWYRNGV
+PVSPSKWVQTHWSGDRATLTFSHLNKEDEGLYTVRARMGEYYEQYSAYVFVRDADAEIEG
+APASPLDVVCLDANKDYIIITWKQPAVDGGSPILGYFIDKCEVGTDTWSQCNDIPVKFAR
+FPVTGLIEGRSYIFRVRAVNKVGIGLPSRVSEPVAALDPAEKARLKSRPSAPWTGQIIVT
+EEEPTEGVVPGPPTDLSVIEATQSYVVLSWKPPRQRGHEGILYFVEKCEAGTENWQRVNT
+ELPVKSPRFALFDLAEGKSYRFRVRCSNSAGVGEPSEATEVTVVGDKLDIPKPPSNIIPS
+RNTDTSVVVTWEEPKDAKELVGYYIESSIVGSGKWEPCNNNPVKGTRFTCHGLKTGQSYI
+FRVRAVNAAGLSEYSQNSEAIEVKAAIAPPSPPCDITCLESFRDSMVLGWKQPDKTGGAE
+ITGYYVNYREVIDGVPGRWREANIKAISDEAYKISNLKENMVYQFQVAAINIAGLGAPSA
+VSPSFKCEEWTIAVPGPPHSLKYSQVRKTSLVLQWKPPIHSGRTPVTGYFVDIKEASARE
+DRWRGLNQAALKHTYLKVQGLKEGESYVFRVRAINQAGVGKPSDLAGPVVAETRPGTKEV
+VVSVDDNGIISLNFECDQMAPSSEFTWSKDYVPTEDSPRLEVESKGNKTKMTFKDLGTED
+LGIYSCDVTDTDGIASSYLIDEEELKRLLALSQEHKFPTVPVKSELAVEILEKGQVRFWM
+QAEKLSTNAKVNYIFNEKEIFEGPKYKMHIDRNTGIIEMFMEKLQDEDEGTYTFQVQDGR
+ATGHSTLVLIGDVYKKLQKEAEFQRQEWIRKQGPHFAEYLSWEVTGECNVLLKCKVANIK
+KETSIVWYKDDREISVDEKHDFKDGICTLLITEFSKKDAGIYEVVLKDDRGKDKSRLKLV
+DEAFKELMTEVCKTIALSATDLKIQSTAEGIRLYSFVTYYVDDLKVNWSHNGTAIKYSDR
+VKSGVTGEQIWLQINEPTPNDKGKYVMELFDGKTGHQKMVDLSGQAYDEAFAEFQRLKQA
+AIAEKNRARVVGGLPDVVTIQEGKALNLTCIVWGDPTPEVSWLKNEKLLASDEHCNLKFE
+AGKTAYFTISGVRTSDSGRYGLVVKNKYGSEISDFTVSVFIPEEEARSVAEEPQKGNKKA
+K
+>tr|A0A3Q1LZN6|A0A3Q1LZN6_BOVIN Chromosome 22 C3orf18 homolog OS=Bos taurus OX=9913 GN=C22H3orf18 PE=4 SV=1
+MDSRIPSARGWISSRPPTSESDLEPATDGPASETTTLSPEATSFNDTRIPDVAGGTTGVG
+TMLLSFGIITVIGLTVAMVLYIRKKKRLEKLRHQLMPMYNFDPTEEQDELEQELLEHGRD
+AASVQAAAAGQAMQGKVGAGRLLHPARRPLVALPPHPPSFPGQLSNTCHVVRWQLYGLCQ
+VGLETGGIAPWPPTDHCTARLGQPWGPAGSAPDHLVTWEGPLASLSLSFLICSEKNTDSC
+FGCTPETRGKAGGNVGRLRPWVPLLPEIAC
+>tr|A0A3Q1MHQ5|A0A3Q1MHQ5_BOVIN Endonuclease V OS=Bos taurus OX=9913 GN=ENDOV PE=3 SV=1
+MARKAAGKPPEEILLDWKREQASLKARVVDRDTEAWQRDPAFSGLQRVGGVDVSFVKDDS
+GSACASLVVLSYPELEVLYEDCRMVTLTAPYVSGFLAFRELPFLVDAVQQLRQREPRLMP
+QVLFVDGNGVLHHRGFGVACHLGVLTDLPCIGVAKKLLQVDGLENNALHKEKIQLLKAGG
+DSFPLMGGSGTVLGRALRSHDHSTKPLYVSVGHKISLEAAVRLTHSCCKFRIPEPVRQAD
+IRSRDYIRRTQEVQGVPAPPSGRSKEAQRPKACPQGVSEEPAGSP
+>tr|F1N5D0|F1N5D0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=3
+MLEQAENELENGATWQNPEPPMELRVMEKDRANCPFYSKTGACRFGDRCSRKHNFPTSSP
+TLLIKSMFTTFGMEQCRRDDYDPDASLEYSEEETYQQFLDFYDDVLPEFKNVGKVIQFKV
+SCNLEPHLRGNVYVQYQSEEECQAALSLFNGRWYAGRQLQCEFCPVTRWKMVYLKYSSVP
+EGSTATSFTCSEIPTMSFGKRIETSTYLQIGLAPPLGRAQRGGRGWAPTTTTTAGGGGGG
+GGALGWPTPTRGTGRPTGRVVVVTGPRNLTNTGPEAGEGTVPEAEEEKGTASVVGAAGAT
+AAGAAARAPPGPGAMAGGGQGAETELFRAPNPNKLTVFFSDCTPYLLWRLHTWMEGSQLM
+WLTKSGKTVCRLEPCFKIFLFSDNHSVFSIENLENIKCIQRQNYL
+>tr|A0A3Q1MF81|A0A3Q1MF81_BOVIN Solute carrier family 7 member 2 OS=Bos taurus OX=9913 GN=SLC7A2 PE=4 SV=1
+MIPCRAALSFARCLVRRKIVTLDSLEDTKLCRCLSTMDLIALGVGSTLGAGVYVLAGEVA
+KADSGPSIVVSFLIAALASVMAGLCYAEFGARVPKTGSAYLYTYVTVGELWAFITGWNLI
+LSYVIGTSSVARAWSGTFDELLSKQIGQFFRTYFKMNYTGLAEYPDFFAVCLILLLAGLL
+SFGVKESAWVNKVFTAVNILVLLFVMVAGFVKGNVANWKISEDFLKNISASARDPPSENG
+TSVYGAGGFMPYGFAGTLAGAATCFYAFVGFDCIATTGEEVRNPQKAIPIGIVTSLLVCF
+MAYFGVSAALTLMMPYYLLDEKSPLPVAFEYVGWGPAKYVVAAGSLCALSTSLLGSIFPM
+PRVIYAMAEDGLLFKCLAQINSKTKTPIIATLSSGAVAAVMAFLFDLKALVDMMSIGTLL
+AYSLVAACVLILRYQPGLSYEQPKYCSEKEALGSCANRTSKSKSQVTMLPGQGFSLRTLF
+NPSLLPTKQSASLVSFLVGFLAFLILGLSVLTTHSVHTIARLEAWSLALLVLFLALCVAI
+VLIIWRQPQNQQKVAFMVPFLPFLPAFSILVNIYLMVQLSADTWIRFSVWMALGFLIYFA
+YGIRHSLEGTPRDEEDDDEDMYSDNINAATEEKSAMQDGDHHQRNLSLPFIFHEKTSEC
+>tr|A0A3Q1MR97|A0A3Q1MR97_BOVIN Spastin OS=Bos taurus OX=9913 GN=SPAST PE=3 SV=1
+MNSPGGRGKKKGSGGPSSPVPPRPPPPCQARSRPAPKPAPPPQSPHKRNLYYFSYPLFLG
+FALLRLVAFHLGLLFVWLCQRFSRALMAAKRSSGAAPASASPPAPVPGGEAERVRAFHKQ
+AFEYISVALRIDEDEKVGQKDQAVEWYKKGIEELEKGIAVVVTGQEKLQPSLQFSKSQTD
+VYNDSTNLTCRNGHLQSESGAVPKRKDPLTHASNSLPRSKTVMKTGPTGLSGHHRAPSCS
+GLSMVSGVRQGPGSAAATHKSTPKTNRTNKPSTPTTAARKKKDLKNFRNVDSNLANLIMN
+EIVDNGTAVKFDDIAGQELAKQALQEIVILPSLRPELFTGLRAPARGLLLFGPPGNGKTM
+LAKAVAAESNATFFNISAASLTSKYVGEGEKLVRALFAVARELQPSIIFIDEVDSLLCER
+REGEHDASRRLKTEFLIEFDGVQSAGDDRVLVMGATNRPQELDEAVLRRFTKRVYVSLPN
+EETRLLLLKNLLCKQGSPLTQKELAQLARMTNGYSGSDLTALAKDAALGPIRELKPEQVK
+NMSASEMRNIRLSDFTESLKKIKRSVSPQTLEAYIRWNKDFGDTTV
+>tr|F1N645|F1N645_BOVIN HECT, C2 and WW domain containing E3 ubiquitin protein ligase 2 OS=Bos taurus OX=9913 GN=HECW2 PE=4 SV=3
+MASSAREHLLFVRRRNPQMRYTLSPENLQSLAAQSSMTENMTLQRANSDTDLVTSESRSS
+LTASMYEYTLGQAQNLIIFWDIKEEVDPSDWIGLYHIDENSPANFWDSKNRGVTGTQKGQ
+IVWRIEPGPYFMEPEIKICFKYYHGISGALRATTPCITVKNPAVMMGAEGMEGGASGNQH
+SRKLVSFTLSDLRAVGLKKGMFFNPDPYLKMSIQPGKKSSFPTCAHHGQERRSTIISNTT
+NPIWHREKYSFFALLTDVLEIEIKDKFAKSRPIIKRFLGKLTIPVQRLLERQAIGDQMLS
+YNLGRRLPADHVSGYLQFRVEVTSSVHEDASPEAVGTILGVSSVNGDLGSPSDEEDMPGG
+HHDSPVCSNGPVSEESADGTPKHSLRTSSTLEIDTEELTSTSSRTSPPRGRQDSLNDYLD
+AIEHNGHSRSGAATCSERSVGASPKLRSSFPTDTRLNAMLHIDSDEEDHEFQQDLGYPSS
+LEEEGGLIMFSRASRTDDGSLASQTKAEDNPVETEEASINEAASFEDKPGNLPELAETSL
+PSGPVPDESENGPESQPSVDQGSTELCGSQEVDQPTSGADTGTSDTSGGSRRAVSETESL
+DQGSEPSQVSSETEPSDPARTESVSEASTRPEGESDLEGADSSCNESVTTQLSSVETRCS
+SLESARFPETPAFSSQEEEDGACAAEPASSGPAEGSQDSICTAGSLPVVQVPSGEEEGPG
+SESTTVPDQEEVGEVWQRRGSLEGAAAAAESQPQEEGAARDTPGACEGATAQEEGAIGGC
+QSNGHQPLRSLPSVRQDVSRYQRVDEALPPNWEARIDSHGRIFYVDHVNRTTTWQRPTAP
+PAPQVLQRSNSIQQMEQLNRRYQSIRRTMTNERPEENSNAIDGAGEEADFHQASADFRRE
+SVLPHSTSRSRLTLLLQSPPVKFLISPEFFTVLHSNPSAYRMFTNNTCLKHMITKVRRDT
+HHFERYQHNRDLVGFLNMFANKQLELPRGWEMKHDHQGKAFFVDHNSRTTTFIDPRLPLQ
+SSRPTSALVHRQHLTRQRSHSAGEVGEDSRHAGPPVLPRPSSTFNTVSRPQYQDMVPVAY
+NDKIVAFLRQPNIFEILQERQPDLTRNHSLREKIQFIRTEGTPGLVRLSSDADLVMLLSL
+FEEEIMSYVPPHALLHPSYCQSPRGSPVSSPQNSPGTQRANARAPAPYKRDFEAKLRNFY
+RKLETKGYGQGPGKLKLIIRRDHLLEDAFNQIMGYSRKDLQRNKLYVTFVGEEGLDYSGP
+SREFFFLVSRELFNPYYGLFEYSANDTYTVQISPMSAFVDNHHEWFRFSGRILGLALIHQ
+YLLDAFFTRPFYKALLRILCDLSDLEYLDEEFHQSLQWMKDNDIHDILDLTFTVNEEVFG
+QITERELKPGGANIPVTEKNKKEYIERMVKWRIERGVVQQTESLVRGFYEVVDARLVSVF
+DARELELVIAGTAEIDLSDWRNNTEYRGGYHDNHIVIRWFWAAVERFNNEQRLRLLQFVT
+GTSSIPYEGFASLRGSNGPRRFCVEKWGKITALPRAHTCFNRLDLPPYPSFSMLYEKLLT
+AVEETSTFGLE
+>tr|A0A3Q1MD06|A0A3Q1MD06_BOVIN Spermatogenesis associated 6 like OS=Bos taurus OX=9913 GN=SPATA6L PE=4 SV=1
+MATRHFRLLEAWATSSCCGTMPLEVVVELQIRAISCPGVFLPDKHDVFLGVYLLNQYLET
+DCFPSMFPIMVQRSMRFEKVFENAIDPGAVADILESFLTRFELIQLVSPVWQELAYYEEN
+TRDFLFPEPRLTPSHPGMCREVLMKTVEGFPGIAPKIEFSTRTAIRERVFLHRNRFFEER
+RKSQRPLSTPNGPKFPLSCVKMKPRESNLDRVPPGMQSRPLSPYCTRRFFQDQPAQLNLG
+YTFKIPGESKPPFVVDSAKPFGENSSQHHSQKSRRKPNFSNFPFPVRRGIRFSCSSCVVY
+PWERMHPTSKSSFILRNHTFVWKLRA
+>tr|A0A3Q1NIZ6|A0A3Q1NIZ6_BOVIN B cell linker OS=Bos taurus OX=9913 GN=BLNK PE=4 SV=1
+MGMNALLNTAGADSYIHTVSGLGVRSGNHRPLTTLTRQLQKMVHDIKNNEGGIMNKIKKL
+KVKAPPSVPRRDYAPESPADEEEQWSDDFTHRVHPPSGLDAHTFPQDTVQDSDYENPDEH
+SDSEMYVLPAEEAGDDSYEPPPVEQETRTVHPALPFTRGEYVDNRSSQRQSPPFSKTLPS
+KPNWPSAKARLATSLPANTSLHKPQVPPKPKELLEDEADYVVPVEDEDENYIHPTEDSSV
+PSEKAPMVNRSIKPNNSSKSASPPGTAPGRKSGAWDSKSTSLPAAPSPLPRAGKKTAMPL
+KTTPVASQQNASSVCEEKPIPAERHRGSSHRQENMQSPVFPPPAQKAVHQKPIPLPRFPE
+GGSPTVDGPLPSFSSNSSLSEQEADVHCKPWYAGACDRKSAEEALYRSNKDGSFLIRKSS
+GHDSKQPYTLVVFFNKRVYNIPVRFIEATKQYALGRKKTGEEYFGSVAEMIKNHQHNPLV
+LIDSQNNTKDSTRLKYAVKVS
+>tr|A0A3Q1MMJ8|A0A3Q1MMJ8_BOVIN Cell division cycle 34 OS=Bos taurus OX=9913 GN=CDC34 PE=4 SV=1
+MARPLVPSSQKALLLELKGLQEEPVEGFRVTLVDEGDLYNWEVAIFGPPNTYYEGGYFKA
+RLKFPIDYPYSPPAFRFLTKMWHPNIYEDHPPECHLPPQRTQHLLASQRGRLCDVQEVER
+EQRQGPRVHGHHPVSGPGVWALLLLPERPGFFSHEACGPRMYRHMWTCCVCCGACVCVSC
+VWCEGMYLTWS
+>tr|A0A3Q1MGC5|A0A3Q1MGC5_BOVIN Secretory carrier-associated membrane protein OS=Bos taurus OX=9913 GN=SCAMP5 PE=3 SV=1
+MYLCVCIGCCDLWVRTCVNVVCMCVCVCLYLSGLGLSACVRLWVCAELWVCLCVVLVCSA
+WALVSVRVCCPSGVCVHAPGSAVNSVTLAVNLVGCLAWLIGGGGATNFGLAFLWLILFTP
+CSYVCWFRPIYKAFKTDSSFSFMAFFFTFMAQLVISIIQAVGIPGWGVCGWIATISFFGT
+NIGSAVVMLIPTVLFTVMAVFSFIALSMVHKFYRGSGGSFSKAQEEWTTGAWKNPHVQQA
+AQNAAMGAAQGAMNQPQTQYSATPNYTYSNEM
+>tr|F1N5E2|F1N5E2_BOVIN Zinc finger protein 521 OS=Bos taurus OX=9913 GN=ZNF521 PE=4 SV=2
+MSRRKQAKPRSLKDPNCKLEDKTEDGEAIDCKKRPEDGEELEDEAVHSCDSCLQVFESLS
+DITEHKINQCQLTDGVDVEDDPTCSWPASSPSSKDQTSPSHGEGCDFGEEEGGPGLPYPC
+QFCDKSFSRLSYLKHHEQSHSDKLPFKCTYCSRLFKHKRSRDRHIKLHTGDKKYHCSECD
+AAFSRSDHLKIHLKTHTSNKPYKCAICRRGFLSSSSLHGHMQVHERNKDGSQSGSRMEDW
+KMKDTQKCSQCEEGFDFPEDLQKHIAECHPECSPNEDRAALQCVYCHELFVEETSLMNHM
+EQMHGGEKKNSCSICSESFHSVEELYSHMDGHQQPESCNHSNSPSLVTVGYTSVSSTTPD
+SNLSVDSSTMVEAAPPIPKSRGRKRAAQQTPDMTVPSSKQAKVTYSCIYCNKQLFSSLAV
+LQIHLKTMHLDKPEQAHICQYCLEVLPSLYNLNEHLKQVHEAQDPGLIVSALPAIVYQCN
+FCSEVVNDLNTLQEHIRCSHGFANPAAKDSNAFFCPHCYMGFLTDSSLEEHIRQVHCDLS
+GSRFGSPVLGTPKEPVVEVYSCSYCTNSPIFNSVLKLNKHIKENHKNIPLALNYIHNGKK
+SRALSPLSPVAIEQTSLKMMQAVGGAPARQAGEYICNQCGAKYTSLDGFQTHLKTHLDTV
+LPKLTCPQCNKEFPNQESLLKHVTIHFMITSTYYICESCDKQFTSVDDLQKHLLDMHTFV
+FFRCTLCQEVFDSKVSIQLHLAVKHSNEKKVYRCTSCNWDFRNETDLQLHVKHNHLENQG
+KVHKCIFCGESFGTEVELQCHITTHSKKYNCQFCSKAFHAIILLEKHLREKHCVFDTKTP
+NCGANGASEPGQKEEVELQTLLTNSQESHNSHDGSEEDVDTSEPMYGCDICGAAYTMETL
+LQNHQLRDHNIRPGESAIVKKKAELIKGNYKCNVCSRTFFSENGLREHMQTHLGPVKHYM
+CPICGERFPSLLTLTEHKVTHSKSLDTGNCRICKMPLQSEEEFLEHCQMHPDLRNSLTGF
+RCVVCMQTVTSTLELKIHGTFHMQKTGNGATVQATGRGPHVPKLYKCASCLKEFRSKQDL
+VKLDINGLPYGLCAGCVNLSKSASPGVNIAPGSSRPGLGQTENLGAVEGKGKASAPKTRC
+SSCNVKFESESELQNHIQTVHRELVPDSNSTQLKTPQVSPMPRISPSQSDEKKTYQCIKC
+QMVFYNEWDIQVHVANHMIVFVQANKLQQHIFSAHGQEDKIYDCTQCPQKFFFQTELQNH
+TMTQHSS
+>tr|A0A3Q1LYS9|A0A3Q1LYS9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MENEPDHENVEQSLCAKTTDEELNKSFSLEASLSKFSYIDLDKELEFRNDLIDDKEFDIP
+QVDTPPTLESILNETDDEDESFVLEDPTLLNIDTIDSHSYDTSSVASSDSGDRTNLKRKK
+KLPDSFSLHGSVMRHSLLKGISAQIVSAAVSILFSSPSFITCNGIMKQE
+>tr|F1N5I1|F1N5I1_BOVIN CD1e molecule OS=Bos taurus OX=9913 GN=CD1E PE=4 SV=1
+MLLLLPLLPLLFKGLLCHGASIVGLQVPGPHHVAAEESPSFRLIQISSFANHSWTKTQGS
+GWLGDLQTHGWDSVLASIRFLRPWAQGNFSKEELKNIQAFLQLYLHSFPREVQAYASQFQ
+FEYPFELQVSFGCLIHSGKALETFLNGAYQGLDFLSFQENSWKSSPEAGSRAENVCKVLN
+HYRVIKEIVQRLLSDTCPRFLAGVLEAGKAELEKQVKPEAWVSKGPSPGPGRLLLVCHVS
+GFHPKPIWVMWMRGKQKHPGTRRGDVLPNADGTWYLRVTLDVAAGEAAGLSCQVKHSSLG
+GQDIIIHWGGYSVFWILICLIVIVTLVMLVIVDSLFKKQSSNQDVFSISHPAFPIGAKTQ
+DPRNSGHQLCLAQESWIKNKLLKKWKARLNQL
+>tr|E1BNS8|E1BNS8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=SIK1 PE=4 SV=3
+MVIMSELSAAPAGSGQGQQKPLRVGFYDIERTLGKGNFAVVKLARHRVTKTQVAIKIIDK
+ARLDSSNLEKIYREVQIMKLLNHPHIIKLYQVMETKDMLYIVTEFAKNGEMFDYLTSNGH
+LSESEARKKFWQILSAVEYCHSHNIVHRDLKTENLLLDDNMDIKLADFGFGNFYNPGEPL
+STWCGSPPYAAPEVFEGKEYEGPQLDIWSLGVVLYVLVCGSLPFDGPNLPALRQRVLEGR
+FRIPFFMSRDCETLIRRMLVVEPAKRITIAQIRQHRWMQAEPALVLPACPGFSLLGYTSS
+VGDYDEQALGIMQMLGVDRKKTVESLQKRSYNHFAAIYYLLVERLKEYRLTQPARPGPAR
+QQRPRSTDLSGFEVPQEGLPGDALRSALLCTQPQTLGQSVLQAEMDYDLHSSLQPLMFPV
+DTSFGGVCRHRSISPSSLLDTAISEEARPGPGLDEEQEVQEPLPSSTGRRHTLAEVSTCF
+SPGAPPCIVVSPSVASPCEGTSSDSCLTSAGDGLAGLSGHLAAQGLVGACSPLRLASPLL
+GTQSATPMLQAQGALRGAALLPVSFQEGRRASDTSLTQGLKAFRQQLRKNARTKGFLGLN
+KIKGLARQVCQPSSSSRASRGGPHAFQLPAQSPGLHGGGAGSWEGRGMLEDVLQQQRLLQ
+LQHHTTAPASCQPAPLVLAPCDGALHMSGLQKDLGLGPSPLLPPHLLQAGVSPVASAAQL
+LDAHLRISGSTAPSPAAAAPQPRFAMLPVSLEPTGLPHGDCEMEDLTSGPLGTFVLVQ
+>tr|A0A3Q1LN81|A0A3Q1LN81_BOVIN N-acetyl-alpha-glucosaminidase OS=Bos taurus OX=9913 GN=NAGLU PE=4 SV=1
+MEAMGVAAALGLLLLTAGGSTGDEAREAAAVRELLVRLLGPGPAAAFSVSVERSLATESG
+LDTYRLSGGGAGTRVQVLGSTGVAAAAGLHRYLRDFCGCHVAWSGSQLRLPQPLPAVPEE
+LTEATPNRYRYYQNVCTQSYSFLWWDWARWEQEIDWMALNGINLALAWSGQEAIWQRVYL
+ALGLTQAEIDEYFTGPAFLAWGRMGNLHTWSGPLPPSWHLKQLYLQVKGWRMEGAELMLD
+SHRSGVGHRILDRMRSFGMIPVLPAFAGHVPKALTRVFPQVNVTQMGNWGHFNCSYSCSF
+LLAPEDPLFPLVGSLFLRELTKEFGTDHIYGADTFNEMQPPSSEPSYLAAATAAVYQAMT
+AVDPDAVWLLQGWLFQHQPEFWGPAQVAAVLGAVPRGRLLVLDLFAESQPVYVRTASFQG
+QPFIWCMLHNFGGNHGLFGALESVNQGPTTARHFPNSTMVGTGMAPEGIGQNEVVYALMA
+ELGWQKDPVADLGAWVTSFAARRYGVSHGDAEAAWRLLLRSVYNCSGEECRGHNHSPLVR
+RPSLQMLVSFDCHFLLAVSETEAHFYEQNSRYQLTLWGPEGNILDYANKQLAGLVADYYA
+PRWRLFTETLVESLVQGVPFQQHQFDRNAFQLEQTFVLGTRRYPSQPEGDTVDLVKKLFL
+KYYPRAVSF
+>tr|A0A3Q1MZ48|A0A3Q1MZ48_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+SIYGFLIKVGLQTEKLDQHPEWFNLCTKAHTILSTPKCAGFSERDINTASFREQVAGSVT
+>tr|A0A452DI07|A0A452DI07_BOVIN ELMO domain-containing protein 1 OS=Bos taurus OX=9913 GN=ELMOD1 PE=4 SV=1
+MLIQVCLFFYCKFLWRCLKFVMRKLTGRCELQRICYNTKPGASRTMKIETSLRDSKSKLL
+QTSVSVHPDAIEKTIDDIMELKKINPDINPQLGISLQACLLQIVGYRNLIADVEKLRRES
+YDSDNPQHEEMLLKLWKFLKPNTPLESRISKQWCEIGFQGDDPKTDFRGMGLLGLYNLQY
+FAERDAAAAQQVLSDSLHPKCSKFSKAEWEKKRMDKAIGYSFAIVGINITDLAYNLLVSG
+ALKTHFYNIAPEAPTLSHFQQTFCYLMHEFHKFWIEEDPMDIMEFNRVREKFRKRIIKQL
+QNPDMALCPHFAASEGLINM
+>tr|E1BEL7|E1BEL7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=3
+FFLIPYFGAGVLTSDLHHAVLGLDRQLLGGEVVDVQGHAPAVGGLLDLGDPTAELPAERA
+VVGGTLDRCGGQRAHVARPSAVAQPLRPLLGQPGQPEGLVEEAAVGRIPVAERVPAGAPQ
+EREGHSALGHAGWSGCVRRRLGEAREVAGAGPRSAFMGLQPGIFSRRCFRSLLMAMTGQG
+AAPPPAFPPTAYQGAGRGEEGPAHIWNLLLFEKTND
+>tr|A0A3Q1MA35|A0A3Q1MA35_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+LGSTWLLATHGGLDGERSSPSTACVCALKKNLLPKLGPGQERRWEVAGGVGGEARKSSAR
+ASDPHPSPWGLHQRAQASLRLPLTPTPPQSQQDLGPPPNCTPDHGPGRRWAQDRTQAQGL
+PRSSGSGPPPPPPLVPLRSRKIADLTPKPPGWGRGGWGASLRPALPVAAPNPQPPPGKSC
+SCQGPRPHGSHRPGPAVYRCMHSTCPHHLLKHSVSPGSH
+>tr|A0A3Q1LX76|A0A3Q1LX76_BOVIN CDC like kinase 1 OS=Bos taurus OX=9913 GN=CLK1 PE=3 SV=1
+MIAFIISKLFSFLGITEFYFSLLDEIVDTLGEGAFGKVVECIDHKAGGRHVAVKIVKNVD
+RYCEAARSEIQVLEHLNTTDPSSTFRCVQMLEWFEHHGHVCIVFELLGLSTYDFIKENGF
+LPFRLDHIRRMAYQICKSVNFLHSNKLTHTDLKPENILFVQSDYTEAYNPKMKRDERTLI
+NPDIKVVDFGSATYDDEHHSTLVSTRHYRAPEVILAKHNVQTHDSKEHLAMMERILGPLP
+KHMIQKTRKRKYFHHDRLDWDEHSSAGRYVSRRCKPLKEFMLSQDAEHELLFDLIQKMLE
+YEPAKRITLKEALKHPFFYPLKKAT
+>tr|F1N0X7|F1N0X7_BOVIN Family with sequence similarity 185 member A OS=Bos taurus OX=9913 GN=FAM185A PE=4 SV=3
+MFPFSGWGLGCLRLCLLRVWAGEATGRWACGTCQSRLYGSGGSQPEVSRSDPVRGTLKEW
+ALLVSPFGRLRARLPCHLTVRPLDPLTYPDGDRVLVTVSGVEGGARALAGLQVNYDEAQK
+EVTIVADVIDHQASVEVNAPLKFDLNIQSSGSGCVKVQNIECDNCKIETEQGTSILQSVK
+SQKLHVQTTGGKVICLGTVYGNIDIHASDKSTVTVDKLQGSSVNISTEDGLLKVKYLYTE
+SSFLSSAAGDITLGSVHGNITLRSKMGNITVDSSSGCLNALAQQGAIDVYVSQLGKVELK
+VPKGSILVKVPSSLQAYLQLSGKEIDVNSDVHVEEMNEAHRDDGVIITGFLNQTSKHEKW
+IKADAPKGTVRFRSQSWFQSLKLQD
+>tr|A0A3Q1MBZ7|A0A3Q1MBZ7_BOVIN MFS domain-containing protein OS=Bos taurus OX=9913 GN=FLVCR2 PE=4 SV=1
+MVNEDPNQQDHDGTPSQTSAVSAHPSVSIHPSVSAHPSVSIHPSVSVYPSISAHPSTLAQ
+PSGLIQPSSSGPEDLSVIKVSKRRWAVVLVFSCYSMCNAFQWIQYGSINNIFMNFYGVSA
+FAIDWLSMCYMLTYIPLLLPVAWLLEKFGLRTIALTGSALNCLGAWVKLGSLKPHLFPVT
+VLGQVICSVAQVFILGMPSRIASVWFGANEVSTACSIAVFGNQLGIAIGFLVPPVLVPNV
+KDQDKLAYHISIMFYIIAGVATLLFILVIIVFKEKPKHPPSRAQSLSYALASTDASYLSS
+IIRLFKNLNFVLLVITYGLNAGAFYALSTLLTRMVIFHYPGEEVNAGRIGLTIVLAGMLG
+AVISGIWLDRTKTYKETTLVVYIMTLVGMVVYTNTLNLGHLWVVFITAGAMGFFMTGYLP
+LGFEFAVELTYPESEGMSSGLLNVSAQVFGIIFTISQGQIIDNYGTMPGNIFLCVFLTLG
+AVLTAFIKADLRRQDANKEIPETKPQDGEEEEDSKSSKAPTTVQEEHF
+>tr|A0A452DIQ6|A0A452DIQ6_BOVIN Electron transfer flavoprotein-ubiquinone oxidoreductase, mitochondrial OS=Bos taurus OX=9913 GN=ETFDH PE=4 SV=1
+MERGWPTGPDLGGRTNTNRLSTARAQSPAGPPPLGSPPGTAKPCLFLGTANQMPMSSPEA
+ALRSRGKPPNGLPDSGAEDHSSQGAVRAAPPHRLGTLRAIHPGICGPPTPPQPFGRLSAC
+RVRRRSACRRGRRWDFESLPLPLRSVTRKRCGRRVASRRVASLVAPAHNKTGGSVVKLER
+SAEQTGGDCLRLGGRWRPQWLRGPAPIRQAQPPPPASASVLSAVNMQVLLARLACPVYQC
+FHAIKIKKNYLPLCATRWSSTSVVPRITTHYTVYPRDQDKRWEGVNMERFAEEADVVIVG
+AGPAGLSAAARLKQLAAQHEKDIRVCLVEKAAQIGAHTLSGACLDPRALQELFPDWKEKG
+APLNTPVTEDRFGILTEKYRIPVPILPGLPMNNHGNYIVRLGHLVSWMGEQAEALGVEVY
+PGYAAAEVLFHEDGSVKGIATNDVGIQKDGAPKTTFERGLELHAKVTIFAEGCHGHLAKQ
+LYRKFDLRANCEPQTYGIGLKELWVIDEKKWKPGRVDHTVGWPLDRHTYGGSFLYHLNEG
+EPLVALGFVVGLDYQNPYLSPFREFQRWKHHPSIQPTLEGGKRIAYGARALNEGGLQCIP
+KLTFPGGLLIGCSPGFMNVPKIKGTHTAMKSGILAAESIFNQLTNENLQSKTIGLDVTEY
+EDNLKKSWVWKELYAVRNIRPSCHSILGVYGGMIYTGIFYWIFRGMEPWTLKHKGSDSDK
+LKPAKDCTPIEYPKPDGQISFDLLSSVALSGTNHEHDQPAHLTLKDDSVPVNRNLSIYDG
+PEQRFCPAGVYEFVPVEQGDGFRLQINAQNCVHCKTCDIKDPSQNINWVVPEGGGGPAYN
+GM
+>tr|F1MMT2|F1MMT2_BOVIN Laminin subunit alpha 2 OS=Bos taurus OX=9913 GN=LAMA2 PE=4 SV=3
+HGHIPLFLLSIFLGVGFGGHEEDLGLFPAVLNLASSALITTNATCGEKGPEMYCKLVEHV
+PGQPVRNPQCRICNQNSSNPYQRHPITNAIDGKNTWWQSPSIKNGIEYHYVTITLDLQQV
+FQIAYVIVKAANSPRPGNWILERSLDNVVYKPWQYHAVTDTECLTLYNIYPRTGPPSYAK
+DDEVICTSFYSKIHPLENGEIHISLINGRPSADDPSPELLEFTSARYIRLRFQRIRTLNA
+DLMMFAHKDPREIDPIVTRRYYYSVKDISVGGMCICYGHARACPLDPVTNKSRCECEHNT
+CGDSCDQCCPGFHQKPWRAGTFLTKSECEACNCHGKAEECYYDENVARRNRSLNIHGKYI
+GGGVCINCTRNTAGINCETCIDGFFRPKGVSPNYPRPCQPCHCDPVGSLNEVCVKDEKHA
+RRGLAPGSCHCKPGFRGVSCDRCARGYIGYPDCKPCNCSGAGSTNEDPCFGPCNCKENVE
+GGDCSRCKFGFFNLQEDNHKGCDECFCSGVSNRCQSSYWTYGNIQDMSGWYLTDISGHIR
+VAPQLDDLDPPQQISISSVEARQALPQSYFWSAPAPYLGNKLTAAGGQLMFTVSYDLEEA
+NEDAEHTLQLMVILEGKDLRISSAQEEVYLQPSEEHINVLSLKEESFTTHGTNFPVSRKE
+FMTVLVNLQRILIQITYSLGMDAIFRLSSVNLESAVPISPDGSFATAVEVCQCPPGYTGS
+SCEKSHQVNASSNLIPANNTKSCWPRHRRVNGTIFGGLCEPCQCFGHAESCDDTTGECLN
+CKDHTDGPYCDKCLPGFYGDPTKGTSDDCQPCACPLNSPSNNFSPTCHMDRSLGLICDEC
+PVGYSGQRCERCAEGYFGQPSVPGGSCQPCQCNDNLDFSIPGSCDSLSGSCLICKPGTTG
+RYCELCADGYFGDAVDAKNCQPCHCNANGSFSEVCHAQTGQCECKPNVQGRRCDECKPET
+FGLQSARGCIPCNCNSFGSKSFDCEESGQCWCQPGVTGKKCDRCAHGYFNFQEGGCTACD
+CSHLGNNCDPKTGRCICPPNTIGEKCSKCAPRTWGHSITTGCKACNCSSVGSLDFQCDLN
+MGQCKCHPKFSGAKCTECTRGHWNYPHCSPCDCFLSGTDDSTCDLETKKCSCIDQTGQCT
+CKVNVEGIHCDRCRPGKFGLEAKNPLGCNSCYCFGATTQCSEAKGLIRTWVTLKPEQTIL
+PLVDEALQHTTTKGIIFQHPEIVAHMDLVRQELHLEPFYWKLPEQFEGKKLMAYGGKLRY
+TIYFEAREETGFSTYNPQVIIRGGTPAHARIIIRHMAAPLIGQLTRHEIEMTEKEWKYYG
+DDPRISRTVTREDFLDVLYDIHYILIKATYGNIMRQSRISEISMEVAEPGRITAVTPPAH
+LIEKCDCPPGYSGLSCETCTPGFYRLRSEPGGRTPGATLGTCVPCQCHGHSNLCDPETSI
+CQNCQHHTAGDFCERCALGYYGIVKGLPNDCQQCACPLISSSNNFSPSCIMEGLDDYRCT
+ACPRGYEGKYCERCAPGYAGSPSSPGGSCQECECDPHGSLPVPCDPVMGICTCRPGATGP
+KCDGCEQGHAREGMECVFCGDECTGLLLGDLARLEQMATSINLTGPLPAPYKILYGLENM
+TQELKNLLSPQRAPERLIQLAEGNLNMLVTEMNELLTRATKVTADGEQTGQDAERTNVRA
+DSVGAFIKALAQDAEAVNEKAVKLNETLGTKDKAFERNLQELQNEIDQMMTELRRKNLDT
+QKEVAEDELVAAEGLLKKVKKLFGESRGKNEEMEKDLREKLADYESKVDDAWDLLREATD
+KIREANLLSAENQKNMTALEKKKEAIESGKRQTENTLKEGNDILDEASRLVDEINSVIVY
+VEDIQTKLPPMSKDLKDKIDDLSQKIKDRKLAEKVSQAESHAAQLNDSSAVLDGILDEAK
+NISFNATAAFNAYSNIKDYIDEAEKIAKEAKVLAHEATELVRNK
+>tr|A0A3Q1M278|A0A3Q1M278_BOVIN 26S proteasome regulatory subunit 8 OS=Bos taurus OX=9913 GN=PSMC5 PE=3 SV=1
+MELEEGKAGSGLRQYYLSKIEELQLIVNDKSQNLRRLQAQRNELNAKVRLLREELQLLQE
+QGSYVGEVVRAMDKKKVLVKVHPEGKFVVDVDKNIDINDVTPNCRVALRNDSYTLHKILP
+NKVDPLVSLMMVEKVPDSTYEMIGGLDKQIKEIKEVIELPVKHPELFEALGIAQPKGVLL
+YGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKFIGEGARMVRELFVMAREHAPSIIFM
+DEIDSIGSSRLEGGSGGDSEVQRTMLELLNQLDGFEATKNIKVIMATNRIDILDSALLRP
+GRIDRKIEFPPPNEEARLDILKIHSRKMNLTRGINLRKIAELMPGASGAEVKGVCTEAGM
+YALRERRVHVTQEDFEMAVAKVMQKDSEKNMSIKKLWK
+>tr|F6R599|F6R599_BOVIN Adenosine deaminase, tRNA specific 1 OS=Bos taurus OX=9913 GN=ADAT1 PE=4 SV=1
+MRGKSLPHGGFKACPCFSFVPTNTRFMLRELPGDLEGLSTMWTADEIARLCYEHYGSKLP
+KQGKPEPNREWTLLAAVVKIQPTADQACDHSDGRVQVTKEVVSMGTGTKCIGQSKMRKSG
+DILNDSHAEVIARRSFQRYLLHQLHLAAALKEDSIFLPGSQRGLWKLRPDLLFVFFSSHT
+PCGDASIIPMLEFEDQPCCPVSRDWASNPSVETSDNLEAPEDKRKCEDPESPVTKKMRLE
+PRTPGGTAHRQSFGSQERGPNPPDVSSSNLTAEELASVTGMTPSGAQVVDVYRTGAKCVP
+GEAGDSGQPGAAYHRVGLLRVKPGRGDRTRSMSCSDKLARWNILGCQGALLMHFLEEPIY
+LSAVVIGKCPYSQEAMQRALIRRCQNVSALPEGFGVQEVKIQQSDLLFEQSRRAVQTRKA
+DSPGRLVPCGAAISWSAVPEQPLDVTANGFPQGTTKKGIGRLQARHSRRLAAPFRQAPRL
+>tr|A0A3Q1MHH8|A0A3Q1MHH8_BOVIN Purkinje cell protein 2 OS=Bos taurus OX=9913 GN=PCP2 PE=4 SV=1
+MGLLAGSPDQEGFFNLLSHVQGGRMEEQRCSLQAGPGPASDSRESGQQESSPAPEMDSLM
+DMLANTQGRRMDDQRVTVSALPGFQPLGPKDGVQKRAGTLSPQPLLTPQDPAALSFRRNS
+SPQPQTQAP
+>tr|F1MUM4|F1MUM4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=3
+MFRPGHTHILSSLSPRAGLPTPGPCLTALQGQGEDPRDSAQAASGPREVSGGASSERGRR
+LEDGEDSGEDASLSTAWAQALPDLGPGPGQARPQRPQDRVGLLGVRKPHTCPECGKAFRK
+TSHLTKHQRTHTGERPYQCQVCGKRFGDRSNCSTHQRVHTGEKPYACAECGKRFSQSSSL
+VIHRRTHTGERPYQCQLCGKRFNNSSHFSAHRRTHTGEKPHTCPACGRGFRRGTDLRKHQ
+RTHGGEQPPRRPPGLQDTPGAWA
+>tr|E1BKY9|E1BKY9_BOVIN Coproporphyrinogen oxidase OS=Bos taurus OX=9913 GN=CPOX PE=1 SV=1
+MAMNLRWLSAGPCWRAVRGACGELRAYGELRAWSPRCAAGRLCRPPGTACGEQSRGLGYG
+PPVGGGSRLRTRLAAGLAGLVGLAAVAFGHVERAEMVRKSSGAQSASLGRPEEEDDELAR
+RCRCFMAPPVTDLRELRRRPDDMKTKMELLILETQAQVCQALAQVDGGARFSVDRWERKE
+GGGGISCVLQDGHVFEKAGVSISVVHGNLSEEAAKQMRSRGKLLKTKDGKLPFSAMGVSS
+VIHPKNPHTPTIHFNYRYFEVEEADGNTLWWFGGGCDLTPTYLNQEDAVHFHRTLKEACD
+QHGPDLYPKFKKWCDDYFFIAHRGERRGIGGIFFDDLDSPSKEEVFRFVQSCTQAIIPSY
+VPLVKKHCNDSFTPQEKLWQQLRRGRYVEFNLLYDRGTKFGLFTPGSRIESILMSLPLTA
+RWEYMHSPSENSKEAEMLEVLRHPRDWVP
+>tr|G3MYZ7|G3MYZ7_BOVIN Ubiquitin specific peptidase 34 OS=Bos taurus OX=9913 GN=USP34 PE=3 SV=2
+LCEIFVNLFFFFFKYWLFFFFFVSDIEGGDGLQLRKEHTLKIFAYINSWTQRQCLCCFKE
+YKHLEIFNQVVCALINLVIAQVQVLRDQLCKHCTTINIDSTWQDESNQAEEPQNIERECN
+EGSTERQKSIEKKSNSTRICNLTEEESSKNSDPFSLWSTDEKEKLLLCVAKIFQIQFPLY
+TAYKHNTHPTIEDISTQESNILGAFCDMNDVEVPLHLLRYVCLFCGKNGLSLMKDCFEYG
+TPETLPFLIAHAFITVVSNIRIWLHIPAVMQHIIPFRTYVIRYLCKLSDQELRQSAARNM
+ADLMWSTVKEPLDTTLCFDKESLDLAFKYFMSPTLTMRLAGLSQITNQLHTFNDVCNNES
+LVSDTETSIAKELADWLISNNVVEHIFGPNLHIEIIKQCQVILNFLAAEGRLSTQHIDCI
+WAAAQLKHCSRYIHDLFPSLIKNLDPVPLRHLLNLVSALEPSVHTEQTLYLASMLIKALW
+NNALAAKAQLSKQSSFASLLNTNLPIGNKKEEEELRRAAPSPWSPAASPQSSDNSDTHQS
+GGSDIEMDEQLINRTKHVQQRLSDTEESMQGSSDETANSGEDGSSGPGSSSGHSDGSSNE
+VNSSHASQSAGSPGSELQSEDIADIEALKEEDEDDDHGHNPPKSSCGTDLRNRKLESQAG
+ICLGDSQGPSERSGTNNGTGKDLVFNTESLPSVDNRIRMLDACSHSEDPEHDISGEMNAA
+HIAQASQESCITRTGDFLGEAIGNELFNCRQFIVYLKIKQLTHMVDDMLSADDVSCSSSQ
+VSAKSEKNMADFDGEESGCEEELVQINSHAELTSHLQQHLPNLASIYHEHLSQGPAVHKH
+QFSSNAVTDINLDNVCKKGNTLLWDIVQDDDAVNLSEGLINEAEKLLCSLVCWFTDRQIR
+MRFIEGCLENLGNNRSVVISLRLLPKLFGTFQQFGSSYDTHWITMWAEKELNMMKLFFDN
+LVYYIQAVREGRQKHALYSHSAEVQVRLQFLTCVFSTLGSPDHFRLSLEQVDILWHCLVE
+DSECYDDALHWFLNQVRSKDQHAMGMETYKHLFLEKMPQLKPETISMTGLNLFQHLCNLA
+RLATSAYDGGSNSELCGMDQFWGIALRAQSGDVSRAAIQYINSYYINGKTGLEKEQEFIS
+KCMESLMIASSSLEQESHSSLTVIERGLLMLKTHLEAFRRRFAYHLRQWQIEGTGISSHL
+KALSDKQSLPLRVVCQPAGLPDKMTIEMYPSDQVADLRAEVTHWYENLQKEQINQQAQLQ
+EFGQSSRKGEFPGGLMGPVRMISSGHELTTDYDEKALHELGFKDMQMVFVSLGAPRRERK
+GEGVQLPASCLPPPQKDNIPMLLLLQEPHLTTLFDLLEMLASFKPPSGKMAVEDSESLRC
+EELHLHAENLSRRVWELLMLLPTCPNMLMAFQNISDEQSNDGLNWKELLKIKSAHKLLYA
+LEIIEALGKPNRRIRRESTGSYSDLYPDSDDSSEDQVENSKNSWSCKFVAAGGLQQLLEI
+FNSGILEPKEQESWTVWQLDCLACLLKLICQFAVDPSDLDLAYHDVFAWSGIAESHRKRT
+WPGKSRKAAGDHAKGLHIPRLTEVFLVLVQGTSLIQRLMSVAYTYDNLAPRVLKAQSDHR
+SRHEVSHYSMWLLVSWAHCCSLVKSSLADSDHLQDWLKKLTLLIPETAVRHESCNGLYKL
+SLSGLDGGDSINRSFLLLAASTLLKFLPDAQALKPIRIDDYEEEPMLKPGCKEYFWLLCK
+LVDNIHIKDASQTTLLDLDALARHLADCIRSREILDHQDGNIEDDGLTGLLRLATSVIKH
+KPPFKFSREGQEFLRDIFNLLFLLPSLKDRQQPKCKSHSSRAAAYDLLVEMVKGSVENYR
+LIHNWVMAQHMQSHAPYKWDYWPHEDVRAECRFVGLTNLGATCYLASTIQQLYMIPEARQ
+AVFTAKYSEDMKHKTTLLELQKMFTYLMESECKAYNPRPFCKTYTMDKQPLNTGEQKDMT
+EFFTDLITKIEEMSPELKNTVKSLFGGVITNNVVSLDCEHVSQTAEEFYTVRCQVADMKN
+IYESLDEVTIKDTLEGDNMYTCSHCGKKVRAEKRACFKKLPQILSFNTMRYTFNMVTMMK
+EKVNTHFSFPLRLDMTPYTEDFLMGKSDRKEGFKEVSDHSKDTESYEYDLIGVTVHTGTA
+DGGHYYSFIRDIVNPHAYKNNKWYLFNDAEVKPFDSAQLASECFGGEMTTKTYDSVTDKF
+MDFSFEKTHSAYMLFYKRMEPEEESGKEYKFDVSSELLEWIWHDNMQFLQDKNIFEHTYF
+GFMWQLCSCIPSTLPDPKAVSLMTAKLSTSFVLETFIHSKEKPTMLQWIELLTKQFNNSQ
+AACEWFLDRMADDDWWPMQILIKCPNQIVRQMFQRLCIHVIQRLRPVHAHLYLQPGMEDG
+SDDMDASVEDIGGRSCVTRFVRTLLLIMEHGVKPHSKHLTEYFAFLYEFAKMGEEESQFL
+LSLQAISTMVHFYMGTKGPENPQVEVLSEEEGEEEEEEEDILSLAEEKYRPAALEKMIAL
+VALLVEQSRSERHLTLSQTDMAALTGGKGFPFLFQHIRDGINIRQTCNLIFSLCRYNNRL
+AEHIVSMLFTSIAKLTPEAANPFFKLLTMLMEFAGGPPGMPPFASYILQRIWEVIEYNPS
+QCLDWLAVQTPRNKLAHSWVLQNMENWVERFLLAHNYPRVRTSAAYLLVSLIPSNSFRQM
+FRSTRSLHIPTRDLPLSPDTTVVLHQVYNVLLGLLSRAKLYVDAAVHGTTKLVPYFSFMT
+YCLISKTEKLMFSTYFMDLWNLFQPKLSEPAIATNHNKQALLSFWYNVCADCPENIRLIV
+QNPVVTKNIAFNYILADHDDQDVVLFNRGMLPAYYGILRLCCEQSPAFTRQLASHQNIQW
+AFKNLTPHASQYPGAVEELFNLMQLFIAQRPDMREEELEDIKQFKKTTISCYLRCLDGRS
+CWTTLISAFRILLESDEDRLLVVFNRGLILMTESFNTLHMMYHEATACHVTGDLVELLSI
+FLSVLKSTRPYLQRKDVKQALIQWQERIEFAHKLLTLLNSYSPPELRNACIDVLKELVLL
+SPHDFLHTLVPFLQHNHCTYHHSNIPMSLGPYFPCRENIKLIGGKSNIRPPRPELNMCLL
+PTMVETSKGKDDVYDRMLLDYFFSYHQFIHLLCRVAINCEKFTETLVKLSVLVAYEGLPL
+HLALFPKLWTELCQTQSAMSKNCIKLLCEDPVFAEYIKCILMDERTFLNNNIVYTFMTHF
+LLKVQGQVFSEANCANLISTLITNLINQYQNLQSDFTNRVEISKASAALNGDLRALALLL
+SVHTPKQLNPALIPTLQELLSKCRTCLQQRNSLQEQEAKERKTKDDEGATPVKRRRVSSD
+EEHTVDSCISDLKTETREVLTPTSTSDNETRDSSIIDPGTEQDLPSPENSSVKEYRMEVP
+SSFSEDTSNIRSQHAEEQSNSGRFEDCKELNDLHCSKGSNLAEEESEFPSTSLSAVLSDL
+ADLRSCDGQALSSQDPEVALSLSCGHSRGLFSHMQQHDILDTLCRTIESTIHVVTRISGK
+GNQAAS
+>tr|A0A3Q1LR04|A0A3Q1LR04_BOVIN PMS1 homolog 1, mismatch repair system component OS=Bos taurus OX=9913 GN=PMS1 PE=4 SV=1
+MKQLSAATVRLLSSSQVITSVVSVVKELIENSLDARATSIDVKLENYGFDKIEVRDNGEG
+IKAIDAPVMAVKYYTSKISSHEDLENLTTYGFRGEALGSICCIAEVSITTKTAADNFSTQ
+YVLDGGGHIISQKPSHLGQGTTVTALRLFKNLPVRKQFYSTAKKCKDEIKKIQDLLISYG
+ILKPDVRIVFIHNKIFLSGFLPKHDADHSCTSLSTPERSFIFINSRPVHQKDILKLIRHY
+YNLKCLKESTRLYPIFFLKIDVPTADVDVNLTPDKSQVLLQNKESVLIALENLMTTRYGS
+LPSTNSYESNKTDVSSADMVVSKTTETDVLLTKMETSGNNYPNVDTSAIPFQNDVRNDTS
+GENTNYCLNHQVHIGDHYDDYFNSENSSIDKNDGNAFKEIPENKSSCEDTQEKNGETWSV
+DSVGQAQSENGNKGHRDESGENEEAAVPEKSAEICVDDWSKGNILNSRGENIEPVKILVP
+QKSLVSNVNSNNNNTSPEQKNLCESSCDKNSNVVDNKSGQLTAYDLISNRVIKKPMSASA
+LFVQDHRAQFLTENSKTSLEDATVQIEELWKTLSEEEKLKYEEKAAKDLERYNKQIKRAI
+EQESQVSLKDGKKRMKPTSVWNLAQKHKLKTSPSNQPKLDELFQAQIEKKKNQNIKIVQI
+PFSMENLKKNFDTQKKFDLEEKDEFCLIHNLKFPDAWLITSKTEIMLLNSYRVEEALLFK
+RLLENHKLPAEPLEKPIILTESLFNGSHYLEILHKMTADDQRYSGSIYLSDPRLTANGFK
+IKLIPGVSISENSLEIEGMANCLPFYGVMDLKEILNAILNKNAKEVYECRPRKVISYLEG
+EAVRLSRQLPMYLSKEDMQDIIYRMKHQFRNEITQCVHGRPFFHHLTHLPEAT
+>tr|A0A3Q1LTH1|A0A3Q1LTH1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=BSN PE=4 SV=1
+PGIEPTPPAQEGEVLTPGCVPRRLDPKEPLGSQRAASPTPKQPSATTPGRESPRETRAQG
+PAGQEADGPRRTLQVDSRTQRSGRSPSVSPDRGSTPTSPYSVPQIAPLPSSTLCPICKTS
+DLTSTPSQPNFNTCTQCHNKVCNQCGFNPNPHLTQVKEWLCLNCQMQRALGMDMTTAPRS
+KSQQQLHSPGLSPSHSPAKQPLGKPEQERARGPGGPQPGPRQAETARATSVPGPAPAAAP
+PEVGKVSPQPSLPIKPSTAEPRPPAGEAPAKSATAAPSGPGAAEPTQEGLTGKLFGLGAS
+LLTQASTLMSAQPEADSQGQPAPSKGPPKIVFSDASKEAGPRPPGSGPGPGPTTGAKTEP
+GSGPRAQARTGGTTSPKHGRTEHQAASKAAAKPKTTTKERATCPLCQAELNVGSKGPANY
+NTCTTCKLQVCNLCGFNPTPHLVEKTEWLCLNCQTKRLLEGSLGEPTPLPLPSSQQPPAG
+APHRTAGTAPPKQKGPQGLGQPSGPPPAKATPLPTKASPLPTKASPLPTKASPQAKPSRA
+SEPSRTPSSAQEKKIGVPAKAEPVPKPPPETTLPPGTPKAKAGVRRTEPTTPITKAVPEA
+PKGGETEEPGGKPYSQDLSRSPQSLSDTGYSSDGISSSQSEITGIVRQEVEQLDSAGVTG
+PRPPSPSELHKVGSSMRPSLEAQGPAPSVERSRPPSGSAEEQKRRPHSLSIMPEAFNSDE
+ELEEILEEEEDSTEWGRRGEQQDAAESSDDFGSQLRHDYVEDSSEGGLSPLPPQPPARAA
+DLTDEEFMRRQILEMSAEEDNLEEDEAAPAKHASQKGGPRPRPEPSQEPGALPKRRLPHN
+ATTGYEELLSEGGPAEDVDGTAGALQGGLRRFKTIELNSTGSYGHELDMGPGPDPSLDRE
+PELEMESLTGSPEDRSRGEHSSTLPASTPSYTSGTSPTSLSSLEEDSDSSPSRRQRLEEA
+KQQRKARHRSHGPLLPTIEDSSEEEELREEEELLREQEKMREVEQQRIRSTARKTRRDKE
+ELRAQRRRERSKTPPSNLSPIEDASPTEELRQAAEMEELHRSSCSEYSPSPSLDSEAEAP
+EGGPGRLYKSGSEYNLPTFMSLYSPTETPSGSATTPSSGRPLKSAEEAYEEMMRKAELLQ
+RQQGQAAVGRGPHSGPSQPVAPRAQGSFEYQDSPDRDYSGVAQPAAEGTPAGLGAAVYQE
+ILQTSQSIARMHQASSRDLAFAEDKKKEKQFLNAESAYMDPMKQNGGPLTPGTSPTQLAA
+PVSFATSTTSDSSGGRVIPDVRVTQHFAKEPQDPVKLHSTPASPSSTSKEVGIGFAQGLG
+ASASMAVTPSPAGLPRGYMAPTSPAASERSLSPSFAGHGYGQSPATANYGSQTEELPQAP
+RGPAVSGRATREKPLGMSEGESGPPQTSGGYPYFAGSSPPLSPSSPSESPTFSPGKLGPR
+ATAEFSTQTPSLTPASDTPRSPGAPAPTPTVAQGTQTPHRPSTPHLVWQQSSQDAPFMVI
+TLASDASSQTKMVHASASTSPVCSPVDTQPITHSYSQTTPPSESQPPEPPGPPGFPRAPS
+AGADGPLAVYGWGALPAENISLCRISSVPGTSRVEPGPRAPGSAVVDLRTAVKPTPIILT
+DQGMDLTSLAVEARKYGLALDPVPGRQSTAVQPLVINLNAQEQTHAFLSTATTVSITMAS
+SVLMAQQKQPVVYGDPFQSRLDFGQGAGSPVCLAQVKQVEQAVQTAPYRGGPRGRPRETK
+FARYNLSNQVAPLARRDVLITQVGPAQSVGLKSGPVPEPGTEPHRAAPTELRSHALPGAR
+KPHTVVVQVGEGAAGTVSTLLPEEPAGALDLTGMRPESQLACCDMVYKFPFGSSCTGSFR
+ATPSAPERSVAEVAPPGQSSGPFYSPRDPEPPEPPTYRAQVIGAPGPHDEQRPYPQGLPG
+RLYSSMSDTNLAEAGLNYHAHRFGQLFQGPGRDSAMDLSSLKHSYSLGFADGRYLGQGLQ
+YGSFTDLRHPTDLLTHPLPMRRYSSVSNIYSDHRYGPRGDAAGFQEASLAQYSATTAREI
+SRMCAALNSMDQYGGRHGSGVGGPDLMQYQPQPGLSAPQGLAPLRPGLLGNPTFPEGQGS
+PGSLAQYRPTAGQAASVRQLLPSTATVRAADGMIYSTINTPIAATLPITTQPASVLRPMV
+RGGMYRPYPPGGVAAVPLASLTRMPVIAPRVPLGPTGLYRYPVPSRFPTPASVPPTEGPV
+YLGKPAAAKGPGTGGPPRPELPAGAAREEPLSTAPPAAAKETGAAPALGAGQKPLGEATP
+GGGGGALGRPGLEKEDALPEERQRKQQEQLLQLERERVELEKLRQLRLQEELERERVELQ
+RHREEEQLLVQRELQELQTLKHHVLQQQQEERQAQFALQREQLAQQRLQLEQIQQLQQQL
+QQQLEEQKQRQKPPFPMACEAPGRGPPPAAPEMAQNGQYWPPLTHAAFIAVPEGSGQPRE
+PMPHRGLPSSASDMSLQTEEPWEVGRSGGLKKRHSMPRLRDACEPESGPEPCGVRRIADS
+SVQTDEEDGEGRYLLTRRRRARRSTDCSVQTDDEDSAEWEQPVRRRRSRLSRHSDSGSDS
+KHDATASSSAAAPTTRATSSVGIQTISDCSVQTEPDQLPRVSPAIHITAVTDPKVEIVRY
+ISAPEKTGRGESLACQTEPEGQAQGVAGPQLMGPTAISPYLPGIQIVTPGPLGRFEKKKP
+DPLEIGYQAHLPPESLSQLVSRQPPKSPQVLYSPVSPLSPHRLLDTSFASSERLNKAHVS
+PQKHFTADSAFRQQTLPRPMKTLQRSLSDPKPLSPTAEESAKERFPLYQHQGGLGSQVSA
+PPPNGLVRKVKRTLPSPPPEEAHLPLAGQAAPPQLYAASLLQRGLVGPAAVPATKASLLR
+ELDRDLRLVEHESTKLRKKQAELDEEEKEIDAKLKYLELGITQRKESLAKDRGGRDYPPL
+RGLSEHRDYLSDSELNQLRLQGCATPAGQYAEFPVTAAAPATPSGSAAFQPPRFPPPAPL
+YPAGSAGPTQNGFAAHQAPTYPGPSTYPAPAFPPGTSYPAEPGLPSQQAFRPPGHYTAQT
+PLPTTQTTLFPAPADSRAPHQKPRQTSLADLEQKVPTNYEVIASPVVAMSSAPSETSYSG
+PGPAASSSYEQGKAPELPRVSDRSGVSLSPAPIYSSDSHYTSLEQTVPRNYVMIDDISEL
+TKDSTSTAPESQRLEPLGPSSSGRPAKEPGEPGVLEGSALPCCYTRGEEESEEDSYEPRG
+KSGHHRGVESNGRPASTHYYSDSDYRHSTRADKYSPGPAGPKHPSKSLAPAAVPSKRSKH
+RKQGMEQKISKFSPIEEAKDVESDLASYPSSAVSSSLASRGRKFQDEITYGLKKNVYEQQ
+RYYGVSSRDPAEEDDRMYGGSSRSRGAPAYSGEKLSSHDFGGRAKGYEREREAVERLQKA
+GPKPSSLSMAHSRTRPPMRSQASEEESPVSPLGRPRPAGGPLPPGDTCPPFCSSHSMPDV
+QEHVKEGPRSHSYKRDEGYILDDAHCVVSDSEAYHLGQEETDWFDKPRDARSDRFRHHGG
+HAVSSSSQKRGPARHGYHDYDEPPEEGLWPHDEGGPGRHASAKEHRHHGDHGRHSGRHAG
+EEPSRRAAKPHVRDVGRHEARPHPQPSPAPAVQKKGQPGYPSSAEYSQPSRAPSAYHHSS
+ESKKSSRQAHPGPAALQPKLESQPQPQGRQAAPGPQPSQPPPSRQMPSATASRQPQTQPQ
+PQQGLGLQPPQQAPSQARLQQQGQPAARGPASAASQSAGKPQAGPPTATGPQPSGPPRAE
+QANSSKGTAKAPQQGRPPQAQPPPGPGPAGVKAGAKPGGTPGAPAGQPGAEGESVLSKIL
+PGGAAEQAGKLTEAVSAFGKKFSSFW
+>tr|A0A3Q1M7Z1|A0A3Q1M7Z1_BOVIN Patatin like phospholipase domain containing 1 OS=Bos taurus OX=9913 GN=PNPLA1 PE=4 SV=1
+MEEQVFKGDPDTPHSISFSGSGFLSFYQAGVVDALRDLAPRMLETAHRFAGTSAGAVVAA
+LVICGIEMDEYLRVLNVGVAEVKKSFLGPLSPSCKMVQMMRQFLYRVLPEDSYKVTTGKL
+HVALTRFTDGESVVVSEYTSKEELIEALYCSCFVPVYCGLIPPTYRGVRYIDGGFTGMQP
+CSFWTDSITISTFTGQQDICPRDCPAIFHDFRMFNCSFQFSLENIARMTHALFPPDLMIL
+HNYYYRGYEDAVLYLRRLNAAYLNSPSKRVIFPRVEVYCQIELALGNQCPERCQPSLQRQ
+PASPEESRQLHTQRAPKEDGRGGRCPPLSPPMPAPESTCDWPVEPPVLSPASPPASSPSR
+SPTDLPPASLPAVSSLPSSTPGLSPVPPSQQIQPTGSPPKSPPPQATASPRPPLGPCSVG
+APPALPRYSSSASPAQPPVEELGPEQPQVPFASSNSKSTVPLANVKETASKPHAVERPAE
+ESNWVSKVFKKNKQKTSGTRKGCLRHPRSKKTGSKVQSAPCPLDFSLTSTSETVWVAYKP
+HPSRIQEYSCPEETVSRERKLEVKRERT
+>tr|A0A3Q1M7E0|A0A3Q1M7E0_BOVIN 24-dehydrocholesterol reductase OS=Bos taurus OX=9913 GN=DHCR24 PE=4 SV=1
+MEPAVSLAVCALLFLLWVRVKGLEFVLIHQRWVFVCLFLLPLSLIFDIYYYVRAWVVFKL
+SSAPRLHEQRVRDIQKQVREWKEQGSKTFMCTGRPGWLTVSLRVGKYKKTHKNIMINLMD
+ILEVDTKKQIVRVEPLVTMGQVTALLTSIGWTLPVLPELDDLTVGGLIMGTGIESSSHRY
+GLFQHICTAYELVLADGSFVRCTPMENSDLFYAVPWSCGTLGFLVAAEIRIIPAKKYIKL
+RFEPVRGLEAICDKFTHESQQPENHFVEGLLYSLHEAVIMTGVMTDEAEPSKLNSIGNYY
+KPWFFKHVENYLKTNREGLEYIPLRHYYHRHTRSIFWELQDIIPFGNNPIFRYLFGWMVP
+PKISLLKLTQGETLRKLYEQHHVVQDMLVPMKCLPQALHTFHNDIHVYPIWLCPFILPSQ
+PGLVHPKGDEAELYVDIGAYGEPRVKHFEARSCMRQLEKFVRSVHGFQMLYADCYMDREE
+FWEMFDGSLYHRLRKQLGCQDAFPEVYDKICKAARLAGPPQPLPKSTQRGPCAWRRHFRV
+ESCLGLGQVG
+>tr|F1MNP4|F1MNP4_BOVIN Ribosomal protein S6 kinase OS=Bos taurus OX=9913 GN=RPS6KB1 PE=3 SV=1
+MRRRRRRDGFYPAPDFRDREAEDMAGVFDIDLDQPEDAGSEDELEEGGQLNESMDHGGVG
+PYELGMEHCEKFEISETSVNRGPEKIRPECFELLRVLGKGGYGKVFQVRKVTGANTGKIF
+AMKVLKKAMIVRNAKDTAHTKAERNILEEVKHPFIVDLIYAFQTGGKLYLILEYLSGGEL
+FMQLEREGIFMEDTACFYLAEISMALGHLHQKGIIYRDLKPENIMLNHQGHVKLTDFGLC
+KESIHDGTVTHTFCGTIEYMAPEILMRSGHNRAVDWWSLGALMYDMLTGAPPFTGENRKK
+TIDKILKCKLNLPPYLTQEARDLLKKLLKRNAASRLGAGPGDAGEVQAHPFFRHINWEEL
+LARKVEPPFKPLLQSEEDVSQFDSKFTRQTPVDSPDDSALSESANQVFLGFTYVAPSVLE
+SVKEKFSFEPKIRSPRRFIGSPRTPVSPVKFSPGDFWGRGASASTANPQTPVEYPMETSG
+IEQMDVTMSGEASAPLPIRQPNSGPYKKQAFPMISKRPEHLRMNL
+>tr|A0A452DK61|A0A452DK61_BOVIN CDGSH iron-sulfur domain-containing protein 2 OS=Bos taurus OX=9913 GN=CISD2 PE=4 SV=1
+RGDSEIGTAGVRGKGCSKENFQFWGRGWDKRARGKKRRQEKLTFERHLPVTQCSHVLYFL
+GHSILSWASGRERPTVKALFPSRLRSGPRCPTAARAPSASAGRARHPPAANRRPAAHLSV
+QAPSPAPAWPRQRAPVGRFRSGRRRSSSAREPRESGEERTPLARMVLESVARIVKVQLPA
+YLKRLPVPESITGFARLTVSEWLRLLPFLGVLALLGYLAVRPFLPKKKQQKDSLINLKIQ
+KENPKVVNEINIEDLCLTKAAYCRCWRSKTFPACDGSHNKHNELTGDNVGPLILKKKEV
+>tr|G3X8E3|G3X8E3_BOVIN Beta-microseminoprotein OS=Bos taurus OX=9913 GN=MSMB PE=3 SV=2
+MNALLFSFVVLATFVTLCNAQCYFIPNESSASNGCKDLSGVTHELKSDWKTENCESCHCS
+NDGIECCNTAAIPMGYDRDKCQAVFNKETCTYTVLEKKDPLKNCTVTAWVL
+>tr|A0A3Q1LQ36|A0A3Q1LQ36_BOVIN Maltase-glucoamylase OS=Bos taurus OX=9913 GN=MGAM PE=4 SV=1
+MKVKSESEVIQSCPTLRDPMDSSPPGSSVHGIFQARVLEWGASGLPNIKQFLFTLSDVLF
+QATCDQRGCCWSPQGTISMPWCYYSKSHGYQVGGDLVNTNAGFTAQLKRLSSPLFGNDVN
+NVLLTAEYQTSNRFHFKLTDQNQNRYEVPHEHVQPFTGNAASSLTYKVEVSKQPFGIKVI
+RTSNNRVLFDSSIGPLLFAHQFLQLSIRLPSANVYGLGEHVHQQYRHDMNWKTWPIFARD
+TTPNGDGTNLYGAQTFFLCLEDASGLSFGVFLLNSNAMEVFLQPTPAVTYRTIGGILDFY
+VFLGNTPEQVVQEYLELVGRPALPSYWALGFHLSRYDYGTLDNMKEVVERNRAAQLPYDV
+QHADIDYMDARKDFTYDPVAFKGFPEFVKELHNNGQKLDPAISNNSSLSNPYGPYDRGSD
+MKIWVNTSDGVTPLIGEVWPGKTVFPDYTNPKCTAWWTNEFELFHSQVEFDGIWIDMNEV
+ANFVDGSVSGCSTSNLNYPPFTPKILDGYLFSKSICMDAVQHWGQHYDVHNLYGYSMAIT
+TAETVKTVFPNKRSLILTRSTFAGSGKFAAHWLGDNAATWSDLRWSIPGMLEFNLFGIPM
+VGADICGFMLDTSEELCRRWMQLGAFYPFSRNHNGQGYKAQDPASFGPDSLLLNSSRHYL
+TIRYTLLPYLYTLFYRAHSRGDTVARPLLHEFYQDSNTWDVHQQFLWGPGLLITPVLDEA
+IVCVPQGGRVRWRKQKVEMELPGDKIGLHLRGGYIFPTQQPATTTVASRRNPLGLIIALD
+ENKEAKGELFWDDGETKGESLCLIVLQNRLEVKILQSTYTDPNNLAFKEIKILGTQEPNK
+VTVKQNGVPIQVSPNVTYDSNLQVALITEIDLVLGGTYTVEWDVKIRDEEKIDCYPDETG
+VSAENCTARGCAWEVTMLTVSHGVPFCYFVNDLYSVSDVQYDSHGASAVITLKTSLYAHS
+FPSVPVNSLRLTVTYHKDNMLQFKIYDPSNNRYEVPVPLNIPSIPSGTSESQLYAVLIKK
+NPFGIEIRRKSTGTVIWDSQLLGFTFNDMFIRISTRLPSKYLYGFGETEHTAFRRDLEWN
+TWGMFSRDQPPGYKKNSYGVHPYYMALEEDGSAHGVLLLNSNAMDVTFQPLPALTYRTTG
+GILDFYVVLGPTPELVTQQYTELIGRPVMVPYWSLGFQLCRYGYQNDSEIASLYDAMVAA
+QIPYDVQYSDIDYMERQLDFTLDAEFEGFPALITRMRADGMRVIIILDPAISGNETKPYL
+PFTRGVEDDVFIKDPSDGSIVWGKVWPDFPDVVINSSLDWDSQVEKYRAFVAFPDFFRNS
+TTTWWKRELRELYTNPREPEKSLKFDGLWIDMNEPASFVNGAVPPGCKDATLNHPPYISF
+SSDLESRDRGLSSKTLCMESQQVLPDGSPVRHYDVHSLYGWAQTRPTYEAVQEVTGQRGI
+VITRSTFPSSGRWGGHWLGDNTAAWDQLKKSIIGMMEFSLFGISYVSVLFFAACGISHCS
+TPMQDPVSWNSTFVTISKSVLETRYTLLPYIYTLMHKASTEGSTVVRPLLHEFVSDRVTW
+DVDSQFLLGPAFLVSPVLEAGVDIQSRGEWKSLPAPLDHINLHVRGGYVLPWQEPAQNTH
+LSRQKFLGFKVALDDEGAAEGWLFWDDGQSIGE
+>tr|A0A3Q1MJD9|A0A3Q1MJD9_BOVIN Annexin OS=Bos taurus OX=9913 GN=ANXA3 PE=3 SV=1
+VVGKRGTIRDYAGFNPSVDAEAIRKAIRGIGTDEKTLISILTERTNAQRLLIAKEYQALC
+GKELKDDLKGDLSGHFKHLMVALVTPPAVFDAKQLKKSMKGMGTNEDALIEILTTRTSKQ
+MQEIGHAYYTAYKKSLGDEISSETSGDFRKALLILANGRRDESLKVDEQLARKDAQILYN
+AGEKRWGTDEDAFTDILCLRSFPQLKLTFDEYRNISQKDIEDSIKGELSGHFEDLLLAIV
+RCARNTPAFLAERLYRALKGAGTDEFTLNRIMVSRSEIDLLDIRAEFKKLSGYSLYSAIK
+SDTSGDYEITLLKICGGDD
+>tr|A0A3Q1LLP7|A0A3Q1LLP7_BOVIN Solute carrier family 25 member 36 OS=Bos taurus OX=9913 GN=SLC25A36 PE=3 SV=1
+MSQRDTLVHLFAGGCGGTVGAILTCPLEVVKTRLQSSSVTLYISEVQLSTMAGASVNRVV
+SPGPLHCLKAIYFAAYSNCKEKLNGLFDPDSTQVHMISAAMAGFTAITATNPIWLIKTRL
+QLDARNRGEKRMGAFECVRKVYQTDGLRGFYRGMSASYAGISETVIHFVIYESIKQKLLE
+YKIASTMENEEESVKEVSDFVGMMLAAATSKTCATSIAYPHEVVRTRLREEGTKYRSFFQ
+TLSLLVQEEGYGSLYRGLTTHLVRQIPNTAIMMATYELVVYLLNG
+>tr|A0A3Q1LPH1|A0A3Q1LPH1_BOVIN Peptidylprolyl isomerase OS=Bos taurus OX=9913 GN=FKBP1B PE=4 SV=1
+RTPVTLPLIRVCQSADPSSTSVPAHLASPTAARPESSKDKIPLVSLPHRKSVSRGLRSSQ
+TSDPKSQSRFRKHRFPEPGRTFPKKGQTCVVHYTGMLQNGKKFDSSRDRNKPFKFRIGKQ
+EVIKGFEEGAAQMSLGQRAKLTCTPDVAYGATGHPGVIPPNATLIFDVELLNLE
+>tr|A0A3Q1LXM5|A0A3Q1LXM5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=ADGRG1 PE=3 SV=1
+MTAQVLLQMPLFLLGLFLVPGAHGGGPREDFRFCGQRNQTQNSSLHYKQASQLHISIRNS
+EEALTIHAPFPGVQPASWPFPLPRGLYHFCLYWNRHAGKLHLRYGKKDFVLSDQALDLLC
+FRHQEETLVPGPPLFATSVSSWWSPQNTSLPSAASFIFSFHNPPHKASHNVSVDVCELKR
+DLQWLSQFLKHPRKTSRRPPFTSIGQQLQSLESKLASVNFTGDAVSFEEERINATVWKLQ
+PAFSSQDLHIHSRQEEEQSEILEYSVLLPSVLFQKAKGRRQEAEKRLFLVDFSSQALFQD
+KNSSQVLGEKVLGIVVQNTKVANLSEPVVLTFQHQPQPKNVTLQCVFWVEDMTLSSPGSW
+SDAGCETIRRETQTSCRCNHLTYFAVLMVASLEVDAVHKHYLSLLSYVGCVISALACVLT
+IAAYLCSRRKSRDYTIKVHMNLLVAVFLLDVSFLLSEPVALSGSEAACRASAIFLHFSLL
+ACLSWMGLEGYNLYRLVVEVFGTYVPGYLLKLSIVGWGFPASLVMLVALVDVNNYGRIIL
+AVHKTPESVIYPSMCWIQDSLVSHVTNLGLFSLVFLFNTAMLGTMVVQILRLRPHAQKWP
+HVLTLLGLSLVLGLPWALVFFSFASGTFQLVILYFFSIITSFQGFLIFLWYWSMRLQAQG
+GPSPLKSNSDSARLPISSGSTSSSRI
+>tr|E1BJ84|E1BJ84_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC617709 PE=4 SV=3
+LPCGKCPQVKMSVQNPSRLLDLAGKHLLRDDALAFSALEDLLTELFPHLFMEAFHGRHIE
+TLKAMVQAWPFVRLPLGGLIDMPHMGPLQAVLEALDGLLAQKVRSRGCKLRVLDLRDTGQ
+NFWSMWSGASSYERSSSRMAPVAEQSSTAKRHLAPLKIFMDLCLKKRTLDNFLTYLLRWV
+EQRKASVHLCCKKLKISAMPMDNVVKILSMVQLDCIQEVQVNWIWHLSTLATFASFLGKM
+SNLQRLCLSPIHLSAFTKQEQDHLPFVYHQYATWHFSFQELIVWFLPRCLKSPLNNLSIT
+NCWLTESDLTYLSQSPNISQLKGLDLSGVTMTDFNPEILHVLLEKVEATLQELDLDLCGI
+TDSQLEAILPALSRCSQLRYFSLCENFLSMAVMEKLLRHTAGLPCLTQERYPAPQESYRS
+QGVLLEGRLAQLRAQLLEVLRDLGRPRIIWISPSPCLHCGENICYHLDPIVYRCTAPA
+>tr|A0A3Q1MI48|A0A3Q1MI48_BOVIN Olfactory receptor OS=Bos taurus OX=9913 PE=3 SV=1
+KPMYERNDTSVSEFVFLGLSASRPVQHFLLAFSTVFYVTIVLGNLLVVFAVTFDPHLHSP
+MYFLLANLSSIDLCLSTLTVPKMISDLYSGHKTISFQGCVIQIFVLHTLGGSEMVLLTAM
+AFDRYVAICKPLHYLTIMSPQVCLLLLCGAWAIGLIHSVVQLAFVIHLPFCGPNEIDSFY
+CDLPWFIKLACVDSYRMDFMVTANSGFISMGTFFLLIISYVFILVTVWKRSSDGLHKALS
+TLSAHITVVVLFFGPCIFVYMWPFPTVPVDKFLAILDFLVTPILNPAIYTLRNKDMKTAM
+RRLVTHGIILRIIIINIKILTNFK
+>tr|A0A3Q1MXV9|A0A3Q1MXV9_BOVIN Voltage-dependent T-type calcium channel subunit alpha OS=Bos taurus OX=9913 GN=CACNA1I PE=3 SV=1
+MAENSPPPPSPTAARVAEPGVTEQPGPQSPPPSPPGPEEPLDGVDPEVPHPDLAPVAFFC
+LRQTTSPRNWCIKMVCNPYPFMLVILLNCVTLGMYQPCDDMDCLSERCKILQVFDDFIFV
+FFAMEMVLKMVALGIFGKKCYLGDTWNRLDFFIVMAGMVEYSLDLQNINLSAIRTVRVLR
+PLKAINRVPSMRILVNLLLDTLPMLGNVLLLCFFVFFIFGIIGVQLWAGLLRNRDVALPP
+YYQPEEDDEMPFICSLSGDNGIMGCHEIPPLKEQGRECCLSKDDVYDFGAGRQDLNASGL
+CVNWNRYYNVCRTGSANPHKGAINFDNIGYAWIVIFQVITLEGWVEIMYYVMDAHSFYNF
+IYFILLIIVGSFFMINLCLVVIATQFSETKQREHRLMLEQRQRYLSSSTVASYAEPGDCY
+EEIFQYVCHILRKAKRRALGLYQALRSRRQAAGMPGSATPGPRAKEPRHYSKSRGLQGAP
+PRPGVSPGPPRLSCVEGSLGTGRGASRMKTFLCPGLLPPSSLDGSSSDSGQEGSGSGDAV
+GSEDEVGADVARTSEDGASLELGKEDEEGPADGAARLCEDVWRETRAKLRGIVDSKYFNR
+GIMMAILVNTVSMGIEHHQQPEELTNILEICNVVFTSMFALEMLLKLAAFGLFDYLRNPY
+NIFDSIIVIISIWEIVGQADGGLSVLRTFRLLRVLKLVRFMPALRRQLVVLMKTMDNVAT
+FCMLLMLFIFIFSILGMHIFGCKFSLRTDTGDTVPDRKNFDSLLWAIVTVFQILTQEDWN
+VVLYNGMASTSPWASLYFVALMTFGNYVLFNLLVAILVEGFQAEVTVALVEEAPLWGLYE
+TGDPKLCPIPMTPNGHLDPNLPLGGHLGPTGAAASAPRLSLQPDPVLMALGSRKSSVMSL
+GRMSYDQRSLSSSRSSYYGPWGRSGAWASRRSSWNSLKHKQPSAEHESLLSAERGGARGC
+EGARDEGPLRTAPLHAPHAHHAHHGPHPVHRHHHHRRTLSLDTRDSVDLAELVPAVGTHS
+RDCNGRMRAALGSTDLPDPAAFSCQTLCFRVRKMIDVYKPDWCEVREDWSVYLFSPENRF
+RVLCQTIIAHKLFDYVVLAFIFLNCITIALERPQIEAGSTERIFLTVSNYIFTAIFVGEM
+TLKVVSLGLYFGEQAYLRSSWNVLDGFLVFVSIIDIVVSVASAGGAKILGVLRVLRLLRT
+LRPLRVISRAPGLKLVVETLISSLKPIGNIVLICCAFFIIFGILGVQLFKGKFYHCLGVD
+TRNITNRSDCMAANYRWVHHKYNFDNLGQALMSLFVLASKDGWVNIMYNGLDAVAVDQQP
+VPNHNPWMLLYFISFLLIVSFFVLNMFVGVVVENFHKCRQHQEAEEARRREEKRLRRLEK
+KRRKAQRLPYYATYCPTRLLIHSMCTSHYLDIFITFIICLNVVTMSLEHYNQPTSLETAL
+KYCNYMFTTVFVLEAVLKLVAFGLRRFFKDRWNQLDLAIVLLSVMGITLEEIEINAALPI
+NPTIIRIMRVLRIARVLKLLKMATGMRALLDTVVQALPQVGNLGLLFMLLFFIYAALGVE
+LFGKLVCNDENPCEGMSRHATFENFGMAFLTLFQVSTGDNWNGIMKDTLRDCTHDERSCL
+SSLQFVSPLYFVSFVLTAQFVLINVVVAVLMKHLDDSNKEAQEDAEMDAELELELAHGLG
+PSPRPPASAPGAPAPAHGPGGAAGEGDPEAPQSLFPGPLSWFQGSAPPSPGGVSLMGTAG
+HPWPWTCLVQAQAGPVPSPQPRGALSTSLGVASPQGSPLA
+>tr|A0A3Q1LQE4|A0A3Q1LQE4_BOVIN Integrin beta OS=Bos taurus OX=9913 GN=ITGB2 PE=1 SV=1
+MLRQRPQLLLLAGLLALQSGESHGGGSSREQRDPPSHPPGRGLRKGPALPSPAPLPGADL
+GPTPLKTASRGSWFPPCFLILPLALVLHHPCLRAWSSIHSPQPLIVALGRPAISGRGGST
+GAGSPARVSLPVLSQECTNYKVSTCRDCIESGPGCAWCQKLNFTGQGEPDSIRCDTRAEL
+LSKGCPADDIMEPKSLAETRDSQAGSRKQLSPQEVTLYLRPGQAVAFNVTFRRAKGYPID
+LYYLMDLSYSMVDDLVNVKKLGGDLLRALNGITESGRIGFGSFVDKTVLPFVNTHPEKLR
+NPCPNKEKECQPPFAFRHVLKLTDNSKQFETEVGKQLISGNLDAPEGGLDAMMQVAACPE
+EIGWRNVTRLLVFATDDGFHFAGDGKLGAILTPNDGRCHLEDNLYKSSNEFDYPSVGQLA
+HKLAESNIQPIFAVTKKMVKTYEKLTEIIPKSAVGELSEDSRNVVELIKNAYNKLSSRVF
+LDHSTLPDTLKVTYDSFCSNGKSQVDQPRGDCDGVQINVPITFQVKVTATECIQQQSFTI
+RALGFTDTVTVRVLPQCECQCRDASRDGSICGGRGSMECGVCRCDAGYIGKNCECQTQGR
+SSQELEGSCRKDNSSIICSGLGDCICGQCVCHTSDVPNKKIYGQFCECDNVNCERYDGQV
+CGGEKRGLCFCGTCRCDEQYEGSACQCLKSTQGCLNLDGVECSGRGRCRCNVCQCDPGYQ
+PPLCSECPGCPVPCAGFAPCTECLKFDKGPFAKNCSAACGQTKLLSSPVPGRKCKERDSE
+GCWMTYTLVQRDGRDRYDVHVDDMLECVKGPNIAAIVGGTVGGVVLVGILLLVIWKALTH
+LSDLREYHRFEKEKLKSQWNNDNPLFKSATTTVMNPKFAES
+>tr|F1MHI1|F1MHI1_BOVIN Perilipin OS=Bos taurus OX=9913 GN=PLIN2 PE=3 SV=1
+MASVAAEPQLSVVTRVANLPLVSSTYDLVSSAYISRKDQYPYLKSLCEMAEKGMKTITSV
+AVTSALPIIQKLEPQIAVANTYACKGLDRIEEKLPILNQPTNQVVANAKGAMTGAKDAVT
+TTVTGAKDSVASTITGVVDRTKGAVTGSVEKTKSVVSGSINTVLRSRVMQLMSSGVENAL
+TKSELLVDQYLPLTKDELEKEAKKVEGFDMVQKPSYYVRLGSLSTKLRSRAYQQALCRVE
+EAKRKGQETISQLHSAFNLSELARKNVHNANQKIQDAQDKLYLSWLEWKRSIGYDDTDES
+HCAEHIESRTLAIARNLTQQLQTMCHTLLSNIQGLPQNIQDRANHLGVMAGDIYSVFRNA
+ASFKEVSDGLLASSKGQLQKMKESLDDVMDYLVNNTPLNWLALDFSITDFTSETDEIPDI
+IALEEEDGPNHSHANGPEPSQGKMLNN
+>tr|E1BK51|E1BK51_BOVIN Tripartite motif containing 62 OS=Bos taurus OX=9913 GN=TRIM62 PE=4 SV=1
+MACSLKDELLCSICLSIYQDPVSLGCEHYFCRRCITEHWVRQEAQGARDCPECRRTFAEP
+ALAPSLKLANIVERYSAFPLDAILNARRAARPCQAHDKVKLFCLTDRALLCFFCDEPALH
+EQHQVTGIDDAFEELQRELKEQLQALQDSEREHTEALQLLKRQLAETKSSTKSLRTTIGE
+AFERLHRLLRERQKAMLEELEADTARTLTDIEQKVQRYSQQLRKVQEGAQILQERLAETD
+RHTFLAGMASLSERLKGKIHETNLTYEDFPTSKYTGPLQYTIWKSLFQDIHPVPAALTLD
+PGTAHQRLILSDDCTIVAYGNLHPQPLQDSPKRFDVEVSVLGSEAFSSGVHYWEVVVAEK
+TQWVIGLAHEAASRKGSIQIQPSRGFYCIVMHDGNQYSACTEPWTRLNVRDKLDKVGVFL
+DYDQGLLIFYNADDMSWLYTFREKFPGKLCSYFSPGQSHANGKNVQPLRINTVRI
+>tr|F1N196|F1N196_BOVIN TBC1 domain family member 17 OS=Bos taurus OX=9913 GN=TBC1D17 PE=4 SV=2
+MEGAGYRVVFEKGGVYLHTSAKKHQDPDSLIAGVIRVVEKDNDVLLHWAPIEEAGDSSQI
+FFSKKDTSGGDSCTSEEEPTFDPGYEPDWAVISTVRPRPRHSEPKRGAEPSSPRGSWAFS
+VSLGELRSIRRSKPGLSWAYLVLVTQAGGSLPALHFHRGGTRALLRVLSRYLLLASSPQD
+SRLYLVFPHDSSALSSSFHHLQLFDQDSSNVVSRFLQDPYSTTFSSFSRVTNFFRGALQP
+HLEGTSPDLPPAPDDEPEPGFEVISCVELGPRPAVERAPPVTEEEWASHVGPEGRLQRVP
+ELKARIFSGGLSPSLRREAWKFLLGYLSWEGSMEEHKAHVRKKTDEYFRMKLQWKSVSPE
+QERRNSLLHGYRSLIERDVSRTDRTNKFYEGPENPGLGLLNDILLTYCMYHFDLGYVQGM
+SDLLSPILYVTQNEVDAFWCFCGFMELVHGNFEESQETMKRQLGQLLLLLRVLDPPLCDF
+LDSQDSGSLCFCFRWLLIWFKREFPFPDVLRLWEVLWTGLPGPNLHLLVACAILDMERDT
+LMLSGFGSNEILKHINELTMKLSVEDVLTRAEALYRQLTACGELPHNVQEVLGLASPAEP
+QSPSPSASPLPLSPTRAPPAPLPPADTATATQPDSSLEILPEEEDDEEGVES
+>tr|A0A3S5ZPG7|A0A3S5ZPG7_BOVIN Protein kinase domain-containing protein OS=Bos taurus OX=9913 GN=GSK3A PE=3 SV=1
+MSGGAPSGGGPGGSGRARTSSFAEPGGGGGGGGGGPGGSASGPGGSGGGKTSVGAMGGGV
+GASSSGGGPGGSGGGGSGGPGAGTSFPPPGVKLGRDSGKVTTVVATLGQGPERSQEVAYT
+DIKVIGSGSFGVVYQARLADTRELVAIKKVLQDKRFKNRELQIMRKLDHCNIVRLRYFFY
+SSGEKKDELYLNLVLEYVPETVYRVARHFTKAKLSIPIIYVKVYMYQLFRSLAYIHSQGV
+CHRDIKPQNLLVDPDTAVLKLCDFGSAKQLVRGEPNVSYICSRYYRAPELIFGATDYTSS
+IDVWSAGCVLAELLLGQPIFPGDSGVDQLVEIIKVRGTPSREQIREMNPNYTEFKFPQIK
+AHPWTKVFKSRTPPEAIALCSSLLEYTPSSRLSPLEACAHSFFDELRCPGTQLPNNRPLP
+PLFNFSPGELTIQPSLNAILIPPHLRSPAGTASLTPSSQALSEAQTSSDWQSTDNTATLP
+PGLHLLQPPHLLCPL
+>tr|E1BFE7|E1BFE7_BOVIN Neurexophilin OS=Bos taurus OX=9913 GN=NXPH3 PE=3 SV=3
+MQLTRCCFVFLVQGSLYLVICGQDDGPPGSEDPEHDDSESQTRPRMPRKRGHMSPKSRHM
+ANSTLLGLLAPPGEAWGVLGQPPSRPNHSPPPSAKVKKIFGWGDFYSNIKTVALNLLVTG
+KIVDHGNGTFSVHFRHNATGQGNISISLVPPSKAVEFHQEQQIFIEAKASKIFNCRMEWE
+KVERGRRISLCTHDPAKTCSRDHAQSSATWSCSQPFKVVCVYIAFYSTDYRLVQKVCPDY
+NYHSDTPYYPSG
+>tr|F1MLS1|F1MLS1_BOVIN Solute carrier family 2 member 9 OS=Bos taurus OX=9913 GN=SLC2A9 PE=3 SV=3
+MGSASLSHSNPGPHERAHPRTVMQGRRRRKDWSCSLIVASLVGAFGSSFLYGYNLSVVNA
+PTPYIKAFYNESWERRHGHPIDSDTLTLLWSVTVSIFAIGGLVGTFLVKVIGKFLGRKNT
+LLVNNGFGIFAALLMACSLPAGALEMLIVGRFIMGVDGGIALSALPMYLSEISPKEMRGS
+LGQVTAIFICIGVFAGQLLGLPELLGKESTWPYLFGVIVIPALVQLVSLPFLPKSPHYLL
+LEKHDQEGAEKAFRTFLGKEDVSREMQEVLAESRVQRNIRLVSVLELLRSPFVRWQLITA
+VISMACYQLSGLNAIWFYTNSIFGKAGITPEKIPYITLSTGGIETLAAVFSGLVIERMGR
+RPLLIGGFGLMALFFGILTITLMLQDHVPWIPYLSILCILAIIAAFCSGPGGIPFILTSE
+FFQQAQRPAAFTVVGTVNWLSNFTIGLLFPFIQQSLDTYCFLVFAAICFAGALYLYFVLP
+ETKNRTHAEISQAFAKRNKAYPPEGKTDSAVTDDKMNIQLEPDSSSALEN
+>tr|E1B9Q3|E1B9Q3_BOVIN F-box protein 11 OS=Bos taurus OX=9913 GN=FBXO11 PE=4 SV=3
+MNSVRAANRRPRRVSRPRPVQQQQQQPPQQPPPQPPQQQPPQQQPPPPPQQQQQPPPPPP
+PPPPLPQERNNAGERDDDVPADMVAEESGPGAQNSPYQLRRKTLLPKRTACPTKSSMEGA
+STSTTENFFGHRAKRARVSGKSQDLSAAPAEQYLQEKLPDEVVLKIFSYLLEQDLCRAAC
+VCKRFSELANDPILWKRLYMEVFEYTRPMMHPEPGKFYQINPEEYEHPNPWKESFQQLYK
+GAHVKPGFAEHFYSNPARYKGRENMLYYDTIEDALGGVQEAHFDGLIFVHSGIYTDEWIY
+IESPITMIGAAPGKVADKVIIENTRDSTFVFMEGSEDAYVGYMTIRFNPDDKSAQHHNAH
+HCLEITVNCSPIIDHCIIRSTCTVGSAVCVSGQGACPTIKHCNISDCENVGLYITDHAQG
+IYEDNEISNNALAGIWVKNHGNPIIRRNHIHHGRDVGVFTFDHGMGYFESCNIHRNRIAG
+FEVKAYANPTVVRCEIHHGQTGGIYVHEKGRGQFIENKIYANNFAGVWITSNSDPTIRGN
+SIFNGNQGGVYIFGDGRGLIEGNDIYGNALAGIQIRTNSCPIVRHNKIHDGQHGGIYVHE
+KGQGVIEENEVYSNTLAGVWVTTGSTPVLRRNRIHSGKQVGVYFYDNGHGVLEDNDIYNH
+MYSGVQIRTGSNPKIRRNKIWGGQNGGILVYNSGLGCIEDNEIFDNAMAGVWIKTDSNPT
+LRRNKIHDGRDGGICIFNGGRGLLEENDIFRNAQAGVLISTNSHPVLRKNRIFDGFAAGI
+EITNHATATLEGNQIFNNRFGGLFLASGVNVTMKDNKIMNNQDAIEKAVSRGQCLYKISS
+YTSYPMHDFYRCHTCNTTDRNAICVNCIKKCHQGHDVEFIRHDRFFCDCGAGTLSNPCTL
+AGEPTHDTDTLYDSAPPIESNTLQHN
+>tr|A0A3Q1MF47|A0A3Q1MF47_BOVIN Nei like DNA glycosylase 1 OS=Bos taurus OX=9913 GN=NEIL1 PE=4 SV=1
+MEQGRDRAGAVAKPVDFLPIPIDHAPSDPLGSPLKTGSDIATSLEAHLAQPAVILPTGPG
+HPSHRMPEGPELHLASHFVNEACRELVFGGCVEKSPVSRNPEVPFESSAYSISALARGKE
+LRLTLSPLPGAQPHREPLALVFRFGMTGSFQLVPSDALPPHAHLRFYTAPPGPRLALCFV
+DIRRFGRWDLGGEWQPGRGPCVLLEYEQFRENVLRNLADKAFDRPICEALLDQRFFNGIG
+NYLRAEILYRLRIPPFEKARTVLEALQQRRPGDPGPLAPKGGKSRKKSSQEAQQGPEDRV
+EDPPSPNKAPSRTRRAQRGLPKQTTAQVPEGTSLQQDPEAPPVPDKGKRRRRPATSGRHR
+TQKIKADTPSLEPEGTSAS
+>tr|E1BGB7|E1BGB7_BOVIN Glyco_hydro_35 domain-containing protein OS=Bos taurus OX=9913 GN=LOC613282 PE=3 SV=3
+MQAFLFLVRLAGLLSLTSRFLVTSALQEGLPESVVEALLRPSHVSERLVGLQVRGSNFTL
+GNMPFLILSGTIHYFRVPRDYWKDSLLKLKACGFNTVTTHVPWNLHEPRRGQFHYSGNLD
+LIAFISLASEVDLWVILCVGPYIGSDLDLGGLPSWLLKDSHMKLRTTHKGFTAAVNRYFD
+DLIPKIRGFQFQEEGPIIAMQMENEYGSYNLDKRYMPYIKNALLSRGIKTMLMTADTGQG
+LLKGHTPTVFATLHMKSIRQKTYEHLSSAQVRTAQRRGVFSFISGSAPPSPPPAVLMESV
+REMLKLRFSLNFYMFHGGTNFGFTGGAAFPGHRLPMVTSYDYGALLTEDGGYTPEYLVFQ
+EFFRVPSFQHQDHRPKDTYAPLAAGHFISLWDTLIHQDDEPVRSTGPLSMEQLSVNEGSG
+QSAGYILYETVITRGGILNSDGHVKDRGQVFLDDKYIGVLDDTHQKLTLPSDHYKEFLTL
+RILVENQGRLASGTSMNQERKGLTGNIYLNGSPLRKFKIYSLEMQNKFIQRKLPNIWKPS
+FLHTVGPAFFLALLRVGSQPKDTFMSLQGWTKGVVFINGQNLGRYWNVGPQETLYVPGPW
+LKPGLNEIIVFEEFKSTLLIYFTNTSQLGKRLPPRKQLRQLPASRGVCARFPGPGHAPPL
+PASPRFSWRFSRPRLLCLLLPWPLGPSWRSPGGGCAAQPGPPS
+>tr|A0A3Q1MMG4|A0A3Q1MMG4_BOVIN Signal induced proliferation associated 1 like 3 OS=Bos taurus OX=9913 GN=SIPA1L3 PE=4 SV=1
+MTTYRPIPSDGVDLAAGCGARAADVLPGPHTGDYTPLGFWVQNGSVPQPVGESTVSAPTR
+PSPTTPAMPKMGVRARVADWPPKREALREQNNPSPSLDADGTKATKAAHAMRSVQQNGQP
+PAGTPTPASSGSKALHRLARRRSKDVEFQDGWPRSPGRAFLPLRNRSSSEITLSECDVED
+TAEPRAARHTGALPLFREYGSTSSIDVQGVPEQSFFDILNEFRSEQPEARGTPSLTELLR
+ADPGPHVSGGGGGAAKGEARNGQPTKDSLLPLQPLKEKEKARKKPLRGLGGGDQVDSSIF
+RKLRSSRAEGEAARCSGEAEDGRSPPEASRPWVCQKSFAHFDVQSMLFDLNEAAANRAST
+AQRRNTTTGASAASAMASLTASRAHSLGGLDPAFTSTEDLNCKENLEQDLGDDTSNDLLL
+SCPHFRNEIGGECERNVSFSRAAAGSPSGGGGGGEVRPPEPTLSAYRTNASISVLEVPKE
+QQRTQSRPRQYSIEHVDLGARYYQDYFVGKEHANYFGVDEKLGPVAVSIKREKLEDHKDH
+GPQYQYRIIFRTRELTTLRGSILEDATPTAMKHGTGRGLPLRDALEYVIPELNIHCLRLA
+LNTPKVTEQLLKLDEQGLCRKHKVGILYCKAGQSSEEEMYNNEEAGPAFEEFLSLIGEKV
+CLKGFTKYAAQLDVKTDSTGTHSLYTTYQDYEIMFHVSTLLPYTPNNRQQLLRKRHIGND
+IVTIIFQEPGALPFTPKNIRSHFQHVFIIVRAHNPCTDNVCYSMAVTRSKDAPPFGPPIP
+SGTTFRKSDVFRDFLLAKVINAENAAHKSDKFHTMATRTRQEYLKDLAENCVSSTPIDST
+GKFNLISLTSKKKEKTKARAGAEQHSAGAIAWRVAAEDYAQGVEIDCILGISNEFVVLLD
+LRTKEVVFNCYCGDVIGWTPDSSTIKIFYGRGDHIFLKASEGSVEDIREIVQRLKVMTNG
+WETVDMTLRRNGLGQLGFHVKYDGTVAEVEDYGFAWQAGLRQGSRLVEICKVAVVTLTHD
+QMIDLLRTSVTVKVVIIPPFDDGTPRRGWPETYDMNTSEPKTEPEGLAPGGRPPYRSNAP
+WQWSGPASHNSLPASKWAAPATPGHAQSLSRPPKQTPMVPFRESQPLHSKRPVSFPETPY
+TASPAGVDKVPPYRQPSGSFSTPGSATYARYKPSPERYTAAPHPLLSFDPHFSHDGTSSG
+DSSSGGLTSQESTMERQKPEPLWHVPAQARLSAMAGSNGSKHASRQDAAGKDSPNRHSKG
+EPQYSSHSSSNTLSSNASSSHSDDRWFDPLDPLEPEQDPLSKGGSSDSGIDTTLYTSSPS
+CMSLAKTPRPAKPHKPPGSMGLCGGGREATGRSHQADRRREVSPAPGITGQNKGYRPKLY
+SSGSSTPTGLAGGSRDPSRQSRQVDSSTKNVFGQPRLRASLRDLRSPRKNYKSTIEDDLK
+KLIIMDNLAPEQERDTGSPQKSLQRTLSDESLCSGRREPSFANPAGLEPGLPSDVLFTST
+CAFPSSTLPARRQHQHPHPPGGPGPVPTTASNSFPEKKSTISASELSLADGRDRPLRRLD
+PGMMPLPDTAAGLEWSSLVNAAKAYEVQRAVSLFSLNDPALSPDVPPAHSPVHSHLSLER
+GPPTPRTTPTMSEEPPLDLTGKVYQLEVMLKQLHTDLQKEKQDKAVLQSEVASLRQNNQR
+LQEESQAASEQLRKFAEIFCREKKEL
+>tr|A0A3Q1LK95|A0A3Q1LK95_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC112446904 PE=4 SV=1
+MCCNYYGNSCGYGCGKSYSCGFSPYYGCGYGSRYGCGYGSGYGCGYGTGYGCGFSPYYGC
+GYGTRYGCGYGSGYSSYWPVCYRRCYSCC
+>tr|A0A3Q1MH22|A0A3Q1MH22_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=PERP PE=4 SV=1
+MLRCGLACERCRWILPLLLLSAIVFDIIALAGRGWLQSSDDSQTSSLWWHCLLEVCDSLM
+TYAWGRAAAAMLLCGFIILVICFILSFFALCGPQMLVFLRVIGGLLALAAVFQIISLVIY
+PVKYTQTFNLHLNPAVTYIYNWAYGFGWAATIILIGCAFFFCCLPNYEDDLLGNAKPRWN
+GLGLLRTEEKKKILVKIVSD
+>tr|A0A3Q1MBC7|A0A3Q1MBC7_BOVIN Regulating synaptic membrane exocytosis 1 OS=Bos taurus OX=9913 GN=RIMS1 PE=4 SV=1
+MEFSRPEYWSGYPFPSPGDLPNPGSEPRSPTLREDSLPEKVVFFPQLLHLLSLCPFSLLS
+RRLHQQFESYKEQVRKIGEEARRYQGEHKDDAPTCGICHKTKFADGCGHLCSYCRTKFCA
+RCGGRVSLRSSNVMWVCNLCRKQQEILTKSGAWFFGSGPQQPSQDGTLSDTATGAGSEAP
+REKKARLQERSRSQTPLSSAAASAQDQATPGAQVDRTKGNGKGAPKGERKRAPRTSAPPA
+EAPAEERERKERRESRRLEKGRSQDYSVVPEKREEGPVAEAEKQRKEEEYQTRYRSDPNL
+ARYPVQPPPEEQQMRMHARVSRARHERRHSDVSLPRTEAAAGPEGRPGPRAPHAARGSPP
+ASPRAYSAERTAEARAPGAQPLADSSPPAPRPGPGPAEVPEPLRKQSRLDPGSAVLVRKA
+KREKVETMLRNDSLSSDQSESVRPSPPKPHRAKRGGKRRQMSVSSSEEEGVSTPEYTSCE
+DVELESESVSEKGDLDYYWLDPATWHSRETSPISSHPVTWQPSKEGDRLIGRVILNKRTT
+MPKESGALLGLKVVGGKMTDLGRLGAFITKVKKGSLADVVGHLRAGDEVLEWNGKPLPGA
+TNEEVYNIILESKSEPQVEIIVSRPIGDIPRIPESSHPPLESSSSSFESQKMERPSISVI
+SPTSPGALKDAPQVLPGQLSVKLWYDKVGHQLIVNVLQATDLPPRVDGRPRNPYVKMYFL
+PDRSDKSKRRTKTVKKVLEPKWNQTFVYSHVHRRDFRERMLEITVWDQPRVQEEESEFLG
+EILIELETALLDDEPHWYKLQTHDESSLPLPQPSPFMPRRHTHGESASKKLQRSQRISDS
+DMSDYEVDDAIGVVPPGYRSSTRESKPSTLTVPEQQRTTHHRSRSVSPHRGDDQGRPRSR
+LPNVPLQRSCDNVSTRSSDSDVSDASAVSRTSSASRLSSTSFLSEQSERPRGRISSFTPK
+MQGRRMGTSGRAITKSTSMSGEMYTLEHNDGSQSDTAVGTVGAGGKKRRSSLSAKVVAIV
+SRRSRSTSQLSQTESGHKKLKSTIQRSTETGMAAEMRKMVRQPSRESTDGSINSYSSEGN
+LIFPGVRLGADSQFSDFLDGLGPAQLVGRQTLATPAMGDIQIGMEDKKGQLEVEVIRARS
+LTQKPGSKSTPAPYVKVYLLENGACIAKKKTRIARKTLDPLYQQSLVFDESPQGKVLQVI
+VWGDYGRMDHKCFMGVAQILLEELDLSSMVIGWYKLFPPSSLVDPTLTPLTRRASQSSLE
+SSTGPPCIRS
+>tr|G5E5Y5|G5E5Y5_BOVIN Small ubiquitin-related modifier OS=Bos taurus OX=9913 GN=SUMO2 PE=3 SV=1
+VASERIKEGVKTENNDHINLKVAGQDGSVVQFKIKRHTPLSKLMKAYCERQGLSMRQIRF
+RFDGQPINETDTPAQLEMEDEDTIDVFQQQTGGVY
+>tr|F1N646|F1N646_BOVIN Cell adhesion molecule 4 OS=Bos taurus OX=9913 GN=CADM4 PE=4 SV=2
+MGRARRFQWPLLLLWAAAAGPGAGQEVQTENVTVAEGGVAEITCRLHQYDGSIVVIQNPA
+RQTLFFNGTRALKDERFQLEEFSPRRVRIRLSDARLEDEGGYFCQLYTEDTHHQIATLTV
+LVAPENPIVEVREQAVEGGEVELSCLVPRSRPAAVLRWYRDRKELKGVTSGQENGKVWSV
+ASTVRFRVDRKDDGGIVTCEAQNQALPSGHSKQTQYVLDVQYSPTARIHASQAVVREGDT
+LVLTCAVTGNPRPNQIRWNRGNESLPERAEAVGETLTLPGLVSADNGTYTCEASNKHGHA
+RALYVLVVYDPGAVVEAQTSVPYAIVGGILALLVFLIICVLVGMVWCSVRQKGSYLTHEA
+SGLDEQGEAREAFLNGSDGHKRKEEFFI
+>tr|A0A3Q1N522|A0A3Q1N522_BOVIN Ubiquitin carboxyl-terminal hydrolase OS=Bos taurus OX=9913 GN=UCHL3 PE=1 SV=1
+MEGQRWLPLEANPEVTNQFLKQLGLHPNWQFVDVYGMDPELLSMVPRPVCAVLLLFPITE
+KYEVFRTEEEEKIKSQGQDVTSSVYFMKQTISNACGTIGLIHAIANNKDKMHFESGSTLK
+KFLEESASMSPEERARYLENYDAIRVTHETSAHEGQTESSSPSSSQPHSSHCRTKASSLC
+HHASLPWVKRNHVGPAKATSPSLRLRRWRPFLRLPSLGLHSVAPNIDEKVDLHFIALVHV
+DGHLYELDGRKPFPINHGETSDETLLEDAIEVCKKFMERDPDELRFNAIALSAA
+>tr|F1MLC0|F1MLC0_BOVIN DNA polymerase alpha subunit B OS=Bos taurus OX=9913 GN=POLA2 PE=3 SV=1
+MAVSAQLLVEELQIFGLECEEAVIEKLVELCILYGQNEEGMASELIAFCTSTHKDCFTLE
+TLNSFEHEFLSKRVSKTRHGASKDKGLRHAGARDIVSIQELIEVEEEEETLLNSYTTPSK
+GSQKRTITTPETPLTKRSVSARSPHQLLSPSSFSPSATPPQKYSSRSNRGEVVTSFGSAQ
+GVSWSGRGGASPLSLKVLGHPEPLTGSYKYMFQKLPDIREVLTCKIEELGSELKEHYKIE
+AFAPILVPAQEPVTLLGQIGCDSNGKLNHKSVILEGDLEHSSGAQIPVDLSELKEYSLFP
+GQVVVMEGINTTGRKLVATRLYEGVPLPFHQPDEEDGDSEQFMVLVACGPYTTSDSITFD
+PLLDLITIINRDRPDVCILFGPFLDAKHEQVESCLLTSSFEDVFKQCLRTIIEGTRSSGS
+HLIIVPSLRDVHHEPVYPQPPFSCSDLLREDKKRVRLVSEPCTLSINGVIFGLTSTDLLF
+HMGAEEISSSSGTSDRFSRILRHILTQRSYYPLYPPQEDMAIDYENFYLYAQLPVTPDVF
+IAPSELRYFVKVGLNSAISQQCQNQFLSGALALNSSRVCWWGQWPRSFGGGVGVENKSVS
+IMLK
+>tr|A0A3Q1LN66|A0A3Q1LN66_BOVIN Sperm associated antigen 4 OS=Bos taurus OX=9913 GN=SPAG4 PE=4 SV=1
+MRRSPRPGSAASQHKHTPNFYSDNSNSSVSVTSGDSCGHRSAGPGPGEPEGRRARGSSCG
+EPALSAGVPGGTTWAGSSRQKPAPRSHNGQTACGAATVRGGASVSEEQLDLLPTLDLRQE
+MPSPQVSKSFLSLLFQVLSVLLSLVGDVLVSVYREVCSIRFLLTAVSLLSLFLAALWWGL
+LYLAPPLENVTCQPSACSEYHERVRSQGQQLQQLQAELVKLHKEMSSVRAANSERVAQLV
+FQRLSEDFVQKPDYALSSVGASIDLEKTSQDYEDANTAYFWNRFSFWNYARPPTVILEPD
+VFPGNCWAFEGDQGQVVIRLPGRVQLSDITLQHPPPTVAHTRGANSAPRDFAVYGLQVDG
+ETEVFLGKFTFDVEKSEIQTFHLQNDPPAAFPKVKIQILSNWGHPRFTCLYRVRAHGIRT
+SEGAGDSATGGAH
+>tr|A0A3Q1M532|A0A3Q1M532_BOVIN Mitogen-activated protein kinase kinase kinase 15 OS=Bos taurus OX=9913 GN=MAP3K15 PE=4 SV=1
+SDGNKQQNLQFVNPPFSEHCPGDAAGGARRAPAPPPFPDLPPPSEGPDVPAPGVAGPPGP
+PEPEGSAEGAGVGGEGGGAGGPRRALRAVYVRSESPQGGPEAGARQCLLRACEAEGAHLT
+SVPFGELDFGETAVLDAFYDADVAVVDMSDVSRQPSLFYHLGVRESFDMANNVILYHDTD
+ADTALSLKVKSIASSGNYYFIPYIVTPCADYFCCESDAQRRASEYMQPNWDTLLGPLCVP
+LVDRFTTFLVSLSSAYYKETLLNDIRRAREKYQGDELAKELARIKLRMDNTEVLTSDIII
+NLLLSYRDIQDYDAMVKLVETLEMLPTCDLADQHNIKFHYAFALNRRNSAGDREKALQVM
+LQVLQSCDHPAPDMFCLCGRIYKDVFLDSDCKDDASRDSAIEWYRKGFALQPSLYSGINL
+AVLLIVAGQQFETSMELRKIGVRLNSLLGRKGSLEKMNSYWDVGQFFSVSMLASDVGKAV
+QAAERLFRLKPPVWYLRSLVQNLLLIQRFKKPLIEHSPRQERLNFWLDIIFEATNEVTNG
+LRFPVLVIEPTKVYQPSYVSINSEAEERTVSLWHVSPTEMKQIHEWNFTASSIRGISISK
+FDERCCFLYVHDNSDDFQIYFSTENQCSERVVLGKGTYGVVYAGRDLSNQVRIAIKEIPE
+RDSRYSQPLHEEIALHKYLKHRNIVQYLGSVSEDGYIKIFMEQVPGGSLSALLRSKWGPM
+KEPTIRFYTKQILEGLKYLHENQIVHRDIKGDNVLVNTYSGVVKISDFGTSKRLAGVNPC
+TETFAGTLQYMAPEIIDQGPRGYGAPADIWSLGCTIIEMATSKPPFHELGEPQAVMFKVG
+MFKIHPEIPETLSAEARACLLSCFEPDPHKRVTAAGLLQEGFFRQMHKGKKNRIAFKPAG
+EVSLDRVLPGSSSSEHGSVSPDSDAQPDPFFEKSRLPVQRLSHLVPDESSALEDRSAASS
+PEDRDPGLFLLRKDSERRAILYKILWEEQNQVASNLQECVAQSSEELHLSVGHIKQIIAI
+LRDFIRCPEHRVMASTISKLKVDLDFDSSSINQIHLVLFGFQDAVNKILRNHLIRPHWMF
+AMDNIIRRAVQAAVTILIPAEPSLIHFLFTAQLHSGIVYFIHLLLLHISIQHNTFLIITE
+NSVSPPGSQEQRTDKELVDWLQLQGADTRTIEKILEEGYTLSDILNDITKEDLRYLRLR
+>tr|A0A3Q1NAK0|A0A3Q1NAK0_BOVIN Tetratricopeptide repeat domain 21A OS=Bos taurus OX=9913 GN=TTC21A PE=4 SV=1
+MRVTIANVDLALSKGSVDLALSPEKALEVYDEAYRKNPHDASLINRIGQAYVKTHQYTKA
+INYYEAAQKMSGQDFVCCDLAELLLKLKKFNKAEKVLKQALDHDFVKDIPSMMNDVKCLL
+LLAKVYKSHKKEDVTETLNKAMDLQSRILKRVPLERPEMIPSQKQLAASICIQFGEYYLA
+EKEYAKAVQSYKDALSYTPINNKVVLELARLYLLQGHLDLCEQHCADLLQTEKNHEAASV
+VMADLMFRRQKYEAAINLYHQVLEKAPDNFPVLNKLIDLLRRSGKLEDAPAVFELAEKMS
+SRVPLEPGFNFCRGIYCWHIGQPNEALKFLNKARKDTAWGQSATYHMVQICLNPDNEIVG
+GEAFENLVTESNFSSRKELEQHGVRTAEKLLREFYPHSAGGHTQLRLLQSLCLLATREKA
+NVEVALSTFVEMAQAEKDSVPALLAMAQACSLLKQVPKARTQLKRLAKAPWALAEADDLE
+RSWLLLADIYCQGGKFDLASELLQRCVKYNKSCCKAYEYMGFIMEREQSYKDAAANYELA
+WKYSHHTNPAIGFRLAFNYLKDKRFVEAIEVCHDVLREHPNYPKIREEILEKAQGSLRP
+>tr|G5E5S1|G5E5S1_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=OR2T2 PE=3 SV=1
+VNMETVLQNSTDFILLGLITHPTFPGFIFAVVFSIFLVAVTANMVMILLIHTDSHLHTPM
+YFLLSQLSIMDTVYICITVPKMLQDLLSKEKTISFLGCALQIFLYLTLIGGEFFLLGLMA
+YDRYVAVCNPLRYPLLMNRRICLFMVVGSWVGGSLDGFMLTPFTMSFPYCGSREINHFFC
+EIPAVLKLSCIDTSLYQTLMYACCVLMLLIPISIISVSYTRILLTVHRMNSAEGRRKAFA
+TCSSHIVVVIIFYGAAFYTNVLPQSYHTPEKDKVVSAFYTILTPMLNPLIYSLRNKDVAT
+ALRRIQGRCTYSQKVRAGDVSKKY
+>tr|F1MLK1|F1MLK1_BOVIN Remodeling and spacing factor 1 OS=Bos taurus OX=9913 GN=RSF1 PE=4 SV=3
+MAAAAAAAAAMAPPGCPGSCPNFAVVCSFLERYGPLLDLPELPFPELERVLQAPPPDVGN
+GEVPKELVELHLKLMRKIGKSVTADRWEKYLIKICQEFNSTWAWEMEKKGYLEMSVECKL
+ALLKYLCECQFDDNLKFKNIINEEDADTMRLQPIGRDKDGLMYWYQLDQDHNVRMYIEEQ
+DDQDGSSWKCIVRNRNELAETLALLKAQIDPVLLKNSSQQDSSSRESPSLEEEETKKEEE
+TTKQEEQKGSAKMKSEERPIDSEKCSTPSALEETTVKIEKEDEKELVKLPVIVKLEKPFP
+ESEEKKIIKEESDSFKENVKPVKVEMKECKADPRDIKGSMEKLEPERLEFVGNVKSSQEI
+TEKSTEETEKLKNDQQAKIPLKKREIKLSDDFDSPIKGPLCKSVTPTKEFLKDEIKQEEE
+TCKRISTITALGHEGKQLVNGEVSDEKVTPHFKTEQMETKFYDSKEDSCSPSKDRSVIME
+GNGAESVNSVIPSVKIGDLEKEVVPLGKDTDNSISVLETPSQKEYIDETGPSEMETSLET
+AEVAKDLCLKTALSATESYSMRVEEKSPKSKKDKRPPVLECLEKSKKTFLDKDIQRLSPI
+PEEVPKTTVESEKAGSPEAAETYPSSNVTVHCEKLASEVECQNTSSLEGHSLEKVDPEIL
+KVDSESTKVEVDNLDNAQTSGTGDPSETKGSMQKSKLKYKLIPEEENTASENTEITSERQ
+KEGIKLTIRISSRKKKSDSPPKILEPETKQEKTEKEEEKTNVGRTLRRSPRISRPTAKVA
+EIRDQKADKKRGEEDEVEEESAALQKTDKKENLKKAEKDTNSKVAKVKPKGKVRWTGSRT
+RGRWKYSSNDESEGSDSEKSSAASEEEEEKESEEAILADDDEPCKKCGLPNHPELKLLCE
+KLEEQLQDLDVALKKKERAERRKERLVYVGISIENIIPPQEPDFSEDHEEKKKDSKKSKA
+NLLERRSTRTRKCISYRFDEFDEAIDEAIEDDIKEADGGGVGRGKDISTITGHRGKDIST
+ILDEERKENKRPQRAAAARRKKRRRLNDLDSDSNLDEEESEDEFKISDGSQDEFVVSDEN
+PDESEEDPPSNDDSDTDFCSRRLRRHPSRPMRQSRRLRRKTPKRKCSDDDEEEESEENSR
+DSESDFSDDFSDDFVETRRRRSRRNQKRQINYKEDSESDGSQKSLRRGKEIRRVHKRRLS
+SSDSEESYMSKNSEDDELAKESKRSVRKRGRSTDEYSEADEEEEEGKPSRKRLHRIETDE
+EESCDNAHGDADQPAHDRQPRVLPSEQDSTKKPYRIDSDEEEDFENVGKVGSPLDYSLVD
+LPSTNGQSPGKAIENLIGKPAEKPQTPKDSSTASASLAPNGTSGGQEAGAPEEDEDELLR
+VTDLVDYVCNSEQL
+>tr|F1MNJ1|F1MNJ1_BOVIN Aquaporin 10 OS=Bos taurus OX=9913 GN=AQP10 PE=3 SV=3
+QGPLRIRSLLARQCLAELLAVFVLMLLIQGSSAQAVTSGGTKGNFFTVFLAGSLGIMLAI
+YVSGNVSGAHLNPAFSLPMCLRGHLPWARFLSYSLVQLLSAFCASGVPYALYLEKNYPGG
+SLTVTGPKETASIFATYPAPYLSLSNGFLDQVLGTWKLMGVPAGLEPVVVGLLILADMLS
+MGANCGFPINPVQDLDPRLFTYVAGWGPEVFSAGNGWWWVPVVGSLVGAMPGTATYQLLV
+AKHHPEDSEPSQDLECAQQEASDSGSPAATQLQESKL
+>tr|G5E562|G5E562_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=SLC35F2 PE=4 SV=2
+MLSRLVITFLPRSKHLLISWLQLPSAVILEPRKIKNILKTIALGQMLSLCICGTAITSQY
+LAERYKVNTPMLQSFINYCLLFLIYTVMLAFQSGSDNLLCILKKKWWKYILLGLADVEAN
+YLIVRAYQYTTLTSVQLLDCFGIPVLMALSWFVLYARYRVIHFIAVAVCLLGVGTMVGAD
+ILAGREDNTGNNVLIGDILVLLGASLYAVSNVCEEYIVKKLSRKEFLGMVGLFGTIISGI
+QLLIVEYKDIASIHWDWKIALLFVAFALCMFCLYSFMPLVIKVTSATSVNLGILTADLYS
+LFFGLFLFGYKFSGLYILSFTVIMVGFILYCSTPTRTAEPAESSVPPVTSIGIDNLGLKL
+EENLQETHSAVL
+>tr|A0A3Q1M8Y6|A0A3Q1M8Y6_BOVIN Lin-54 DREAM MuvB core complex component OS=Bos taurus OX=9913 GN=LIN54 PE=4 SV=1
+MLVELAPDQLSRRRTIMEVVPAEVNSLLPEEIMDTGITLVDDDSIEAVIVSSPIPMETEL
+EEIVNINSTGDSAATPISTEPITVHSNHTTRVAVNTTVTKADSNTTVKPAFPSGLQKLGA
+QTPVTISANQIILNKVSQTSDLKLGNQTLKPDGQKLILTTLGKSGSPIVLALPHSQPQAQ
+KVTTQAQSGDAKLPPQQIKVVTIGGRPEVKPVIGVSALTPGSQLLNTTTQPSVLQTQQLK
+TVQIAKKPRTPTSGPVITKLIFAKPINSKAVTGQTTQVSPPVIAGRVLSQSTPGTPSKTI
+TISESGVIGSTLNSTTQTPNKIAISPLKSPNKAVKSTVQTITVGGVSTSQFKTIIPLATA
+PNVQQIQVPGSKFHYVRLVTATTASSSTQPVSQNPSTNTQPLQQAKPVVVNTTPVRMSVP
+LVSAQTVKQVVPKPINPTSQIVTTSQPQQRLIMPATPLPQIQPNLTNLPPGTVLAPAPGT
+GNVGYAVLPAQYVTQLQQSSYVSIASNSNFTGTPGIQTQARLPFNGIIPSESASRPRKPC
+NCTKSLCLKLYCDCFANGEFCNNCNCTNCYNNLEHENERQKAIKACLDRNPEAFKPKIGK
+GKEGESDRRHSKGCNCKRSGCLKNYCECYEAKIMCSSICKCIGCKNFEESPERKTLMHLA
+DAAEVRVQQQTAAKTKLSSQISDLLTRPAPALNSGGGK
+>tr|A0A3Q1NJI5|A0A3Q1NJI5_BOVIN Failed axon connections homolog OS=Bos taurus OX=9913 GN=FAXC PE=4 SV=1
+MCLWGLAEERCSALDGAGDKKYIMGPKLSTLDATVFGHLAQAMWTLPGTRPERLIKGELI
+NLAMYCERIRRKFWPEWHHDDDNTIYESEESSDGSKTHTPLLDFSFYSRTETFEDEGAEN
+SFSRTPDTDFTGHSLFDSDVDMDDYTDHEQCK
+>tr|A0A3Q1N562|A0A3Q1N562_BOVIN Rho-associated protein kinase 1 OS=Bos taurus OX=9913 GN=ROCK1 PE=4 SV=1
+MSTGDSFETRFEKIDNLLRDPKSEVNSDCLLDGLDALVYDLDFPALRKNKNIDNFLSRYK
+DTINKIRDLRMKAEDYEVVKVIGRGAFGEVQLVRHKSTRKVYAMKLLSKFEMIKRSDSAF
+FWEERDIMAFANSPWVVQLFYAFQDDRYLYMVMEYMPGGDLVNLMSNYDVPEKWARFYTA
+EVVLALDAIHSMGFIHRDVKPDNMLLDKSGHLKLADFGTCMKMNKEGMVRCDTAVGTPDY
+ISPEVLKSQGGDGYYGRECDWWSVGVFLYEMLVGDTPFYADSLVGTYSKIMNHKNSLTFP
+DDNDISKEAKNLICAFLTDREVRLGRNGVEEIKRHLFFKNDQWAWETLRDTVAPVVPDLS
+SDIDTSNFDDLEEDKGDEETFPIPKAFVGNQLPFVGFTYYSNRRYLSSANPNDNRTSSNV
+DKSLQENLQKTIYKLEEQLHNEMQLKDEMEQKCRTSNIKLDKIMKELDEEGNQRRNLEST
+VSQIEKEKMLLQHRINEYQRKAEQENEKRRNVENEVSTLKDQLEDLKKVSQNSQLANEKL
+AQLQKQLEEANDLLRTESDTAVRLRKSHTEMSKSITQLESLNRELQERNRILENSKSQMD
+KDYYQLQAVLEAERRDRGHDSEKIGDLQARITSLQEEVKHLKHNLERVEGERKEAQDMLN
+HSEKEKNNLEIDLNYKLKSLQQRLEQEVNEHKVTKARLTDKHQSIEEAKSVAMCEMEKKL
+KEERDAREKAENRVVQIEKQCSMLDVDLKQSQQKLEHLIENKDRMEDEVKNLTLQLEQES
+NKRLLLQNELKTQAFEADNLKGLEKQMKQEINTLLEAKRLLEFELAQLTKQYRGNEGQMR
+ELQDQLEAEQYFSTLYKTQVKELKEEIEEKNRENLKKIQELQSEKETLATQLDLAETKAE
+SEQLARGLLEEQYFELTQESKKAASRNRQEITDKDHTLSRLEETNSMLTKDIELLRKENE
+ELTDKMRKAEEEYKLKKEEEINILKAAFEKNINTERTLKTQAVNKLAEIMNRKDFKIDRK
+KANTQDLRKKEKENRKLQLELNQEREKFNQMVVKHQKELNEMQAQLVEECTHKNELQMQL
+ASKESDIEQLRAKLLDLSDSTSVASFPSADETDGNLPVGLPAFLIYLSSILVF
+>tr|E1B8Y9|E1B8Y9_BOVIN Storkhead box 1 OS=Bos taurus OX=9913 GN=STOX1 PE=4 SV=1
+MAQPVQLAPGSLALVLCRLQAQEEVEGAEEPGGRAVFRAFRRANARCFWNSRLARAASRL
+AFQGWLRRGVLLVHAPPASLQVLRDAWCRRALRPPRGFRILAVGDVFPVQMNPIAQSQFI
+PLAEVLCCAISDMNADQIVVTQESLLEHLMKRYPGIAIPSRDILYTTLGTLIKERKIYHT
+GEGYFIVTPQTYFITNTTPQENKRVLSDENSQMPTSITYLVNVESCAELAKENAAFISHC
+HSCGCFHDVCTQDVQEPPAAVEVTNKGQKGLVESKSLVHNQAVSVSEENHVCESIKPLPH
+TKDREKVKKFGFNLFWRNISRKEKSRIEHSSFSAQFPPEEWPVRDEDNMDNIPRDIEHEI
+IKRINPILTVDNLIKHAVLMQKYEEQKKYNSQGTSTDMLTLRHKYFSKVGVKKRQGWSVK
+PRRQGHSHRDRHKARSQGSGPQPGNVRLEKHPKLPATQPTSSIKSPNEAVLQKPLGENPS
+ALGPHLIYKKRISNPFQDLAPRGSPITKGHKIQKTSDLEPNQTGPKGKPFERSRSLVSSR
+IFESEAKELCGKQCNDILREESTYVNHSTVKSISDDFRDHLLNYSQCNVLQNGSKCCSFR
+ESILRCDVYGGENEAIPEVSRRSYSHLDTLEETEEAQHVLPSGGSSLNQASPACRLVDST
+VHQFQNLGLLDYPLGAKHLRQIERQDRDSKETLMGKAHVQEAQTVCLENEGLSDDDQALY
+QNEVEDEDGACSSLYLDEDDFSENDDLIQMLPDNIQFSFPEGNKWNHLGKQTVTERSLTE
+YDSNIQRFEPQVLKGNEHYKLTNPGESQKPNLSAENCILNLGIQCGFNYEEEPSVTECVQ
+ASAADDGSIFDYYSTGKATAEAEALQGSVGDTGKKPGSWSQSAQSQEMRKQFTHKLELFS
+TSHMSALAQDVQPERSHLEGAENQSLTGDSGIDSPRTQSLASNNSVILDGLKRRQNLLQN
+FEGTKSSQTLTSSSLLQLTPVINV
+>tr|E1BC77|E1BC77_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LY75 PE=4 SV=2
+MRTRWATPRRAAELLMLLLPGFGLVEPSGHSGNDPFTIVNENTGKCITPLNDWIVVKDCD
+ETKDMLWKWVSQHRLFHLQSQKCLGLDITKPTDSLRMFSCDSNAMLWWKCEHHSLYGAAQ
+YQLALRDGHAIASTNSSDVWKKGGSEENLCAQPYHEIYTRDGNSFGRPCEFPFLVNGTWH
+HECIFDGDRSGPWCATTLNYEYDQQWGICLQPESGCEDNWEKNEEIGSCYQFNIQATLSW
+KEAYVSCQNQGADLLSINSAAELTYLKGKEGIARIFWIGLNQLYSARGWEWSDHRPLNFL
+NWDPDVLMTPIIGRSSCARMDADSGLWQSFSCEAQLPYVCEKLLNSTVELTDMWTYSDTH
+CDAADWVPNDGFCYLLVNESDSWDKAHTTCQTFSSDLISIHSLADVEVVVTKLHNGDAEG
+EMWTGLRNINTPALFQWSDGTEVTLTYWDENEPRVPYNETPNCVSYSGKLGQWKVQSCEE
+KLKYVCKKKGEKLNDTRSDKICPPEEGWKRHGETCYKIYKDEVPFGTNCNLTITSRFEQE
+YLNDMIKKHTMSPEKYFWTGLRDTDANGEYSWASVGGVKRAVTFSNWNFFEPASPGGCVV
+MATGKSLGKWEVKDCRSFRALSICKKMSQHSEPEKAAPKPEDPCPEGWHSSPSGLSCYKL
+FHRERIVRKRNWEEAERFCQALGGHLPSFSHMDEIKEFLHFLIEQFSDQRWLWIGLNKRS
+PDLQGSWQWSDHTPVSTIITANEFQQDYDIRDCAAVKVMQRPWRRSWYFYDDREYMNLRP
+FACDAKLEWVCQIPKGHTLKIPDWYNPERAGIHGPPVVVEGSEYWFVADPRLSYEEAVLY
+CDSNHSSLASLTSFTGLRAIKNKIANISSDEQKWWVRTTEQLKSHRFIYSRDPWHHFPIM
+FGEECFYLSAKTWFNDLRKPADCSTNLPFVCEKHNVSSLEKYSPDSAAKVQCSGDWITFQ
+NKCFLRINPKSVTFSEASDICHTYGGTLPSVLSQREQDFITSLFPDMEASLWIGLRWTAY
+EKIKKWMDNRELTYSNFHPLLVGRRLRIPPNIFDEEASYHCAVMLNLQKSPFTGMWNFTS
+CSEHHTLSLCQKYSEIESRQTLWNTTETMKYQNNLYKIILKTLTWTDALRECQKENMRLV
+SITDPYQQAFLTVQAVVRNSSLWIGLSSHDDELNFGWSDGKHLQFSRWAENNEQLEDCVV
+LDTDGFWKTSDCDNNQPGAICYYSGNETKKEVKPVASFQCPSPVLSTPWIPFQNSCYNFL
+ITENRYRVPTQDEVHSKCKTLNPKSHILSIRDEKENNFVLEQLLHYNYMASWVILGITYE
+NNSLRWSDKTTLSYTHWRSGRPAIKDGKFIAGLSTDGFWDIQTFNVVGDLLYFHQHSILA
+CKIEMVDYKEEYNTTLPQFIPYEDGIYNVIQKKVTWYGALNACSQSGGHLASVHDQNGQL
+FLEDIVKRDGFPLWVGLSSHDGSESSFEWSDGSAFDYIPWKDKKSAGNCVVLDPKGIWKH
+ENCKSVKDGAICYKPTESKEVSSYTYSSRCPGVKGNKSQWVQYRDHCYTSDQALHSFSEA
+RQFCSKLAHSATVVTIKDEDENKFVSRLMRENNNITMRVWLGLSQLSADHSWNWLDGSKV
+TFVKWANKIKSGSGQCSILLASNETWTKVECSRGYGRVVCKVPLGPDYRGIGIAFAVLSI
+LAVTCGLIWLFFRRNRWHWLGFSSVRYQQGGNEDEIMLPSFHD
+>tr|A0A3Q1MGK5|A0A3Q1MGK5_BOVIN Arf-GAP with SH3 domain, ANK repeat and PH domain-containing protein 1 OS=Bos taurus OX=9913 GN=ASAP1 PE=4 SV=1
+MRSSASRLSSFSSRDSLWNRMPDQISVSEFIAETTEDYNSPTTSSFTTRLHNCRNTVTLL
+EEALDQDRTALQKVKKSVKAIYNSGQDHVQNEENYAQVLDKFGSNFLSRDNPDLGTAFVK
+FSTLTKELSTLLKNLLQGLSHNVIFTLDSLLKGDLKGVKGDLKKPFDKAWKDYETKFTKI
+EKEKREHAKQHGMIRTEITGAEIAEEMEKERRLFQLQMCEYLIKVNEIKTKKGVDLLQNL
+IKYYHAQCNFFQDGLKTADKLKQYIEKLAADLYNIKQTQDEEKKQLTALRDLIKSSLQLD
+QKEVGGDSQSRQGGYSMHQLQGNKEYGSEKKGYLLKKSDGIRKVWQRRKCSVKNGILTIS
+HATSNRQPAKLNLLTCQVKPNAEDKKSFDLISHNRTYHFQAEDEQDYVAWISVLTNSKEE
+ALTMAFRGEQSAGESSLEELTKAIIEDVQRLPGNDVCCDCGSAEPTWLSTNLGILTCIEC
+SGIHREMGVHISRIQSLELDKLGTSELLLAKNVGNNSFNDIMEANLPSPSPKPTPSSDMT
+VRKEYITAKYVDHRFSRKTCSSSSAKLNELLEAIKSRDLLALIQVYAEGVELMEPLLEPG
+QELGETALHLAVRTADQTSLHLVDFLVQNCGNLDKQTALGNTALHYCSMYSKPECLKLLL
+RSKPTVDVVNQAGETALDIAKRLKATQCEDLLSQAKSGKFNPHVHVEYEWNLRQEEMDES
+DDDLDDKPSPIKKERSPRPQSFCHSSSISPQDKLSLPGFSTPRDKQRLSYGAFTNQIFVS
+TSTDSPTSPIAEAPPLPPRNATKGPPGPPSTLPLSTQTSSGSSTLSKKRPPPPPPGHKRT
+LSDPPSPLPHGPPNKGAVPWGNDVGPSSSSKTTNKFEGLSQQSSTGSAKTALGPRVLPKL
+PQKVALRKTETSHHLSLDKANVPPEIFQKSSQLTELPQKPPPGDLPPKPTELAPKPPIGD
+LPPKPGELPPKPQLGDLPPKPQLADLPPKPQVKDLPPKPQLGELLAKPQTGDASPKAQPP
+LELTPKSHPADLSPNVPKQASEDTNDLTPTLPETPVPLPRKINTGKSKVRRVKTIYDCQA
+DNDDELTFMEGEVIVVTGEEDQEWWIGHIEGQPERKGVFPVSFVHILSD
+>tr|A0A3Q1NL45|A0A3Q1NL45_BOVIN Glycine receptor alpha 2 OS=Bos taurus OX=9913 GN=GLRA2 PE=3 SV=1
+PPSPSPSILTSAPLWSSPVNDVFRAAFCKDHDSRSGKQPSQTLSPSDFLDKLMGRTSGYD
+ARIRPNFKGPPVNVTCNIFINSFGSVTETTMDYRVNIFLRQQWNDSRLAYSEYPDDSLDL
+DPSMLDSIWKPDLFFANEKGANFHDVTTDNKLLRISKNGKVLYSIRLTLTLSCPMDLKNF
+PMDVQTCTMQLESFGYTMNDLIFEWLSDGPVQVAEGLTLPQFILKEEKELGYCTKHYNTG
+KFTCIEVKFHLERQMGYYLIQMYIPSLLIVILSWVSFWINMDAAPARVALGITTVLTMTT
+QSSGSRASLPKVRNLLT
+>tr|A0A3Q1MQL6|A0A3Q1MQL6_BOVIN Glutamate-rich protein 2 OS=Bos taurus OX=9913 GN=ERICH2 PE=4 SV=1
+MKECQSEMSKNVVIAEQEKNNEHCPEDINDKLSESTDDDGEDTSDEDKEEDSNPNKDTHA
+PLELMTEFLRAEMGHDYHLAKKLCQMILIYEPENPEAKEFFSLIEEMLLMEKAQNLEEDD
+DESEEDNSESEGESTEDPSEESSDECEDG
+>tr|G3N1U9|G3N1U9_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC506549 PE=3 SV=1
+MGANNQTWVREFILLGLSNDWDTQVTLFVLFSVTYLLTLLGNVLIVLLIRLDSRLHTPMY
+FFLTNLSLVDVSYATSIVPQMLVHFLAEHKGIPYVSCAAQLFFSLGLGGIEFVLLAMMAY
+DRYVAVCDPLRYSVIMHGGLCARLAITSWVSGSVNSLVQTTITFQLPMCTNKYIDHISCE
+ILAVVRLACVDTSSNEVAIMVSSIVLLMTPFCLVLLSYIRIISTILKIQSTEGRKKAFHT
+CASHLTVVFLCYGMTIFTYIQPNSSPSVLQEKLISVFYAILMPVLNPMIYSLRNKEVKGA
+WQKLLGQLSGLMSKLGT
+>tr|A0A3Q1MJH7|A0A3Q1MJH7_BOVIN Dual specificity phosphatase 22 OS=Bos taurus OX=9913 GN=DUSP22 PE=4 SV=1
+FRRPPTPGVLSLSPPPSVPRRQIPLPWPSLQGVVSTSPGVRRDRRPAHWGAVVGGDVANP
+FPQGRWRLCLAAGKSRGGGQAGAERSDRPGGRGLRRGSAGARSSSPAPHALTAPAAAPRA
+RPRGRHGEWDEQDARDAEQLSKNKVTHILSVHDSARPMLEGVKYLCIPAADSPSQNLTRH
+FKESIKFIHECRLQGEGCLVHCLAGVSRSVTLVVAYIMTVTDFGWEDALHTVRAGRSCAN
+PNLGFQRQLQEFEELQVHQFRQWLREEYGESPLRDAEEARSILAAPGILKLWPFLRRP
+>tr|A0A3Q1M2V9|A0A3Q1M2V9_BOVIN Transmembrane protein 11, mitochondrial OS=Bos taurus OX=9913 GN=TMEM11 PE=4 SV=1
+MSMWASGSWTYSTQRSLTPTAGAASPSTWPRVSLSATDCYIVHEIYNGENAQDQFEYELE
+QALEAQYKYIVIEPTRIGDETARWITVGNCLHKTTVLAGTACLFTPLALPLDYSHYISLP
+AGVLSLACCTLYGISWQFDPCCKYQVEYDAYRLSRLPLHTLTSSTPVVLVRKDDLHRKRL
+HNTIALAALVYCVKKIYELCAV
+>tr|F1MUF6|F1MUF6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=NECTIN3 PE=4 SV=3
+MARTPGPAPLCPGGGKAQLSSASPPGAGLLLPPPTPPPLLLLLFPLLFFSWLCGALAGPI
+IVEPHVTAVWGKNVSLKCLIEVNETITQISWEKIHGKSSQTVAVHHPQHGFSVQGEYQGR
+VLFKNYSINDATITLHNIGFSDSGKYICKAVTFPLGNAESSTTVTVLVEPTVSLIKGPDS
+LIDGGNETVAAICIAATGKPVAHIDWEGDLGEMESTTTSFPNETATIVSQYKLFPTRFAK
+GRRITCVVKHPALEKDIRYSFILDIQYAPEVSVTGYDGNWFVGRKGVNLKCNADANPPPF
+KSVWSRLDGQWPDGLLASDNTLHFVHPLTFNYSGVYVCKVTNSLGQRSDQKVIYISDPPT
+TTTLQPTIQWHPSTADFKDLATEPKKLPFPLSTLATIKDDTIGTIIASVVGGALFIVLVS
+VLAGIFCYRRRRTFRGDYFAKNYIPPSDMQKESQIDVLQQDELDSYPDSVKKENKNPVNN
+LIRKDYLEEPEKTQWNNVENLNRFERPMDYYEDLKMGMKFVSDEQYDENEDDLVSHVDGS
+VISRREWYV
+>tr|A0A3Q1LYB0|A0A3Q1LYB0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=ZNF596 PE=4 SV=1
+MESQESVTFQDVAVDFTQEEWALLDTSQRTLFREVMLENISHLVSVVISDREDDPKKQEM
+LSMQHICKKDAPLISAMQWSHTQEDPLECNNFREKFTEILPLTQYVIPQVGKKPFISQDV
+GKAISYLPSFNIQKQIHSRSKSYECHQRRNTFIQRSAHRQHNNTQTGEKTFECHVCRKAF
+SKSSNLRRHEMIHTGVKPHVCHLCGKSFTHCSDLRKHERIHTGEKLYGCHLCGKAFSKSY
+NLRRHEVIHTKEKPNECHLCGKAFAHCSDLRKHERTHFGEKPYGCHLCGKTFSKTSYLRQ
+HERTHNGEKPYGCHLCGKAFTHCSHLRKHERTHTGEKPYECHLCGKAFTESSVLRRHERT
+HTGEKPYECHLCWKAFTDSSVLKRHERTHTGEKPYECHLCGKTFNHSSVLRRHERTHTGE
+KPYECNICGKAFNRSYNFRLHKRIHTGEKPYKCYLCGKAFSKYFNLRQHERTHAIKVINE
+DSPPIPSKYKHS
+>tr|G3X7F6|G3X7F6_BOVIN Androgen dependent TFPI regulating protein OS=Bos taurus OX=9913 GN=ADTRP PE=4 SV=1
+MTRTSTCVYHLFVLSWYIFLNFYISREGEDPRKSQIFLNGGQWKYLTFLNLVLQAIFFGV
+ACLEDVLKRTKGKKDIKFVTAFRDLLFTTLAFPISTFVFLSFWILFLYDRELVYPKALDN
+IFPVWLNHGMHTFILPFSLAEVILRPHCYPLRKKGLTLLAAACLAYVSRVLWIYSETGTW
+VYPVFAKLSPGGLAAFFSLSYIFTIGIYLFGEKLNHWKWGDTMQPRKKRK
+>tr|A0A3S5ZP93|A0A3S5ZP93_BOVIN Peptidylprolyl isomerase OS=Bos taurus OX=9913 GN=AIP PE=4 SV=1
+MADIIARLREDGIQKRVIQEGRGALPDFQDGTKATFHYRTLRSDEEGAVLDDSRVRGKPM
+ELIIGKKFKLPVWETIVRTMREGEIAQFCCDVKHVVLYPLVAKSLRNIAAGKDPLEGQRH
+CCGIAQMHEHNSLGHADLDALQQNPQPLIFDIEMLKVENPGTYQQDPWAMTDEEKAKAVP
+VIHQEGNRLYREGHVKEAAAKYYDAIACLKNLQMKEQPGSPDWIQLDQQITPLLLNYCQC
+KLVAEEYYEVLDHCSSILNKYDDNVKAYFKRGKAHAAVWNAQEAQADFAKVLQLDPALAP
+VALQEHRLLTQWVVSETIAEGDDAVGEVVLRQPGHHAVLLHIRTARHVHDQVARVLPVSA
+GRGGGQPRAPGVGTSPHSEWGREGAAAPT
+>tr|A0A3Q1MJZ2|A0A3Q1MJZ2_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MLKILQARLRQYVNRELPDVQAGFRKGRGIRNQIANIRWIIEKAREFQKNIYFCFIDYAK
+AFDCVDHNKLWKILKEMGIPDHLTCLLRNLYAGQEATVRTGHGTTDWFQIGKGVCQGCIL
+SPCLFNLYAEYIMRNAGLEEAQAGIKIAGRNINNVRYADDTTLMAESKEELKSLLMKVKV
+ESEKVGLKLNIQKTKIMASGPITLWEINGETVSDFLFWGSKITADGDCSHEIKRCLLLGR
+KVMSNLDSIFKSRDITLPTKVRLVKAMVFPVIMYGCESWTVKKAERQRIDAFELWCWRRL
+LRVPWTARRSNQSILKEISPGISLEGMMLKLKLQYFGHLMRRVDSLEKTLMLGGIGGRRR
+RRGRQRMRWLDGITDSMDVSLSELWELVMDREGWRAVILGVAGSRTGLRD
+>tr|A0A3Q1LKX7|A0A3Q1LKX7_BOVIN Rho-related GTP-binding protein Rho6 OS=Bos taurus OX=9913 GN=RND1 PE=4 SV=1
+MKERRAPQPVVARCKLVLVGDVQCGKTAMLQVLAKDCYPETYVPTVFENYTACLETEEQR
+VELSLWDTSGSPYYDNVRPLCYSDSDAVLLCFDISRPETVDSALKKWRTEILDYCPSTRV
+LLIGCKTDLRTDLSTLMELSHQKQAPISYEQVGVCVCARGPMCGLGVELGKATGEGLGNG
+SCSHSFTIARENWYSGVPSVISKLVTWTEVMDTNLLRFILPRWC
+>tr|F1MDK3|F1MDK3_BOVIN Period circadian regulator 1 OS=Bos taurus OX=9913 GN=PER1 PE=4 SV=2
+MSRSGPLEGAEGGGDPRPGEPFCPGGGPSPGPPEHRPCPGPSLADDTDANSNGSSGNESN
+GPESRGASQRSSHSSSSGNGKDSALLETTESSKSTNSQSPSPPSSSIAYSLLSASSEPDN
+PSTSGCSSEQSARARTQKELMTALRELKLRLPPERRGKGRSGTLATLQYALACVKQVQAN
+QEFYQQWSLEEGEPCAMDMSTYTLEELEHVTSEYTLRNQDTFSVAVSFLTGRIVYISEQA
+GVLLRCKRDVFRGARFSELLAPQDVGVFYGSTTPSRLPTWGPGTSAGSGLKDFTQEKSVF
+CRIRGGPDRDPGPRYQPFRLTPYVTKIRVSDGAPAQPCCLLIAERIHSGYEAPRIPPDKR
+IFTTRHTPSCLFQDVDERAAPLLGYLPQDLLGAPVLLFLHPEDRPLMLAIHKKILQLAGQ
+PFDHSPIRFCARNGEYVTMDTSWAGFVHPWSRKVAFVLGRHKVRTAPLNEDVFTPPVPSP
+ALSLDSDIQELSEQIHRLLLQPVHSPSPTGLCGVGPVPSSGPLLSPGSSSDSNGGDAEGP
+GPPAPVTFQQICKDVHLVKHQGQQLFIESRARPLPRPRVPATGTFKAKTLPCQSPDLEAA
+PAPIQAPVALAAEDAERKEASSCSYQQINCLDSILRYLESCNLPSTAKRKCASSSSCTAS
+SASDDDKQRAGPVSVGAKKDPSAVLPGEGATPLKEPVVGGGALSPLALANKAESVVSITS
+QCSFSSTIVHVGDKKPPESDIIMMEDLPSLPPGPAPSPAPSPTVAPDPAPDAYRPVGLTK
+AVLSLHTQKEEQAFLSRFRDFSRLRGLDSSSTAPSAPGERGCHHSLAIPGRRHHCRSKAK
+RSRHHQTTRAEAPGYISQPSPVPPSAPWPPPPATPPFPAVVQPYPLPVFPPRGGSQPLPP
+APTSVPPAAFPAPLVTPMVALVLPNYLFPTPSTYPYGAPQMPAEGPPTPASRSPSPSLPP
+SPPHRSDSPLFNSRCSSPLQLNLLQLEEAPRGEGGAAAAGSGSSAGHPPPSEETAEPEAR
+LAEVTESSNQDALSGSSDLLELLLQEDSRSGTGSAASGSLASGLGSGSGSHEGGSTSASI
+TRSSQSSHTSKYFGSIDSSEAEAGAAQARAEPGDQVIKYVLQDPIWLLMANADQRVMMTY
+QVPSRDMASVLKQDRERLRAMQKQQPRFSEDQRRELGAVHSWVRKGQLPRALDVMACVDC
+GSSTQEPGHPDDPLFSEVDGLGLEPMEEGGGEGGGGGGEGEGSDEAQAQAGARVSSSQDL
+AMEEEEQGGSSPSPALPATENGTS
+>tr|A0A3Q1M1P7|A0A3Q1M1P7_BOVIN Histone-lysine N-methyltransferase EZH1 OS=Bos taurus OX=9913 GN=EZH1 PE=4 SV=1
+MDIPNPPTSKCITYWKRKVKSEYMRLRQLKRLQANMGAKALYVANFAKVQEKTQILNEEW
+KKLRVQPVQLMKPVSGHPFLKKCTIESIFPGFASQHMLMRSLNTVALVPIMYSWSPLQQN
+FMVEDETVLCNIPYMGDEVKEEDETFIEELINNYDGKVHGEEEMIPGSVLISDAVFLELV
+DALNQYSDEDEEGHNDTSDGKQDDSKEDLPVTRKRKRHAIEGSKKSSKKQFPNDMIFSAI
+ASMFPENGVPDDMKERYRELTEMSDPNALPPQCTPNIDGPNAKSVQREQSLHSFHTLFCR
+RCFKYDCFLHPFHATPNVYKRKNKEIKIEPEPCGTDCFLLLEGAKEYAMLHNPRSKCSGR
+RRRRHHVVNASCSNTSASAVAETKEGDSDRDTGNDWASSSSEANSRCQTPTKQKASPAPP
+QLCVVEAPSEPVEWTGAEESLFRVFHGTYFNNFCSIARLLGTKTCKQVFQFAVKESLILK
+LPTDELMNPSQKKKRKHRLWAAHCRKIQLKKDNSSTQVYNYQPCDHPDRPCDSTCPCIMT
+QNFCEKFCQCNPDCQNRFPGCRCKTQCNTKQCPCYLAVRECDPDLCLTCGASEHWDCKVV
+SCKNCSIQRGLKKHLLLAPSDVAGWGTFIKESVQKNEFISEYCGEVSEDVELPGKLIVPL
+VSFIDFVVDATRKGNKIRFANHSVNPNCYAKVVMVNGDHRIGIFAKRAIQAGEELFFDYR
+YSQADALKYVGIERETDVL
+>tr|A0A3Q1LXV9|A0A3Q1LXV9_BOVIN AP complex subunit sigma OS=Bos taurus OX=9913 GN=AP3S1 PE=3 SV=1
+MIKAILIFNNHGKPRLSKFYQPYSEDTQQQIIRETFHLVSKRDENVCNFLEGGLLIGGSD
+NKLIYRHYATLYFVFCVDSSESELGILDLIQVFVETLDKCFENVCELDLIFHVDKVHNIL
+AEMVMGGMVLETNMNEIVTQIDAQNKLEKSETFIFQSPRQDR
+>tr|A0A3Q1N8I1|A0A3Q1N8I1_BOVIN Tafazzin family protein OS=Bos taurus OX=9913 GN=TAZ PE=3 SV=1
+MPLHVKWPFPAVPPLTWTLASSVVMGLVGTYSCFWTSEWAHSRGRVGPFSPPGILKLRHI
+WNLKLMRWTPTAADICFTKELHSHFFSLGKCVPVCRGDGVYQKGMDFILEKLNHGDWVHI
+FPEGIGRLIAECHLNPIILPLWHVGEPTSRWSCGVGLLWVVEAGCPDCPAPPPTPAPWLS
+VCCAVGMNDVLPNSPPYFPRFGQKITVLIGKPFSARPVLERLRAENKSAVEMRKALTDFI
+QEEFQRLKSQAEQLHNHLQAR
+>tr|G3N2G0|G3N2G0_BOVIN SPOC domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=2
+MKPRNERNHRTTEAEPKPLEEQKHETKKLKNLSEYAQTLQLGWNGLLVLKNSCFLTSMHI
+LEGDQGVISSLFKDHTSGSKLTQLKIAQCLRLDQPKLDEVTRRIKQGSPNGYAVLLATQA
+TPSGPGSEGMPTVEPGLQRRLLRNLVSYLKQKQAAGVISLPVGGSKGRDSTGMLYAFPPC
+DFSQQYLQSALRTLGKLEEEHMVIVIVRDTA
+>tr|A0A3Q1MQ16|A0A3Q1MQ16_BOVIN Forkhead associated phosphopeptide binding domain 1 OS=Bos taurus OX=9913 GN=FHAD1 PE=4 SV=1
+MKAYLKSAEGFFVLNKSTTIGRHGDSDLVLESADIDNHHALIEYNEAEGSFVLQDFNSRS
+GTFVNECHIQNVAVKLLPGDLLRFGTGGLTYELVIENPASVSFPWTMGPAPWPRPQPSRT
+TQQPNQSPSPPQVPFHPGVRPVPVQRSWSQGFPRPSVVPPASHKRPMSASGKMFSFMMDG
+TAHGVPIIKQVWTNAVDLSDQPVAEEIPGAGSAREMFVDHDLAQREKDEIILLLGKEVSR
+LSDFELESKYKDAVITNLQNEVARLSQKLSETATSRQNERVVPPKLQVLEEDDDAQQREI
+ENLKSQISALQQGYSQVLCQTLSERNLEITSLKNQGENLRRDNAITSEMVSSLQKDMLAK
+DEQVQQLKQEVNQLKSENKEKDHQLEALNSRCSVLKEELKKEDAQKENLEAQEKELKLYK
+TQIHGMEKEVRKLREELKRSSTEQSLISKTLREKSKVEEKLQEDSRRKLLQLQEMGNREN
+LIKANLERAVGQLEHFRSQVIKATYGRTKPFQDKPVSDQQLIEKITQVTEDNINFQQKKW
+TLPKESQLGLCRHEEVTDSVERLKKALDSCQACMKMSCCSNDLRKEVSLLQHLQVSPPVS
+GLQKVSLDILRLSLSWLEETEHLLQDVGIQFSSSNKGLSLYLKYLLEHYKKITSRTQELQ
+IKISSSQETQQSLMQEKLREHLAEKEKLSEERLQQEEKLKARVQCLMEEKAALEESITQE
+KNRAKEALEEEQKRAQELEDRLTRQKKALEETISQEKSRAKEALEGQQSKVQELENRLTH
+QKEVLESSMAHEKRKAKEALETEKRKVQDLENHLTQQKEISESSIAYEKNKAKEAIEKEK
+KKVQDLENRITKQKEEMDLKMQKEDILNNKLNDALAMLEKAQKTKIAESLKAENLTMKLN
+ETLAELETAKTKMIMMEERMQLQQHRVMALQEEQESQKHEFEKQIMEYKEQIKQHSQTIV
+SLEERLQKVTEHHRKIEGEIATLKDNDPAQEGDAQPDPAAAPLGESSSKDAMCDHLIEDL
+LTAQKEILSQQEIIMRLRKNLTEAHNRMSDLRGELNEKQKIELERNVALVQQQSSELSVL
+KDKMVQMTGLVEKKDKELEVLKQALRASQEKQKLQLHKEKEQKPRNTTKMCDISVQIEPV
+HTDIFLSSQEEQSFSDLGAKCKGSRHEEVIQRQKKALSELRARIKELEKAGSSNPKDHMD
+ESFLDLKTLGMEKNVQKILDVKPDLPTFSRVESRVPQNGLSSSGSLMATNKLGKTDATEA
+LDLSEKLYMDMSKTLGSLMNIKDMSGHVSMKHLSPKERERVNQLRQRDLDMVFDKITQLK
+NRLERKEELLRGYEKDIEQLRQSKVSVQMYQSQVAKLEDDIYKEAEEKALLKEALERMEQ
+QLHQEKRVNRAIRQQKERLEDLEQRNAKESAACNCSFKEKERQVQRPFLILQPDTK
+>tr|A0A3Q1MFJ8|A0A3Q1MFJ8_BOVIN Formin like 1 OS=Bos taurus OX=9913 GN=FMNL1 PE=4 SV=1
+MPRLPSPECWIPRLRLFTCQYDNEKKWELICDQVRTGPGPTHQSPPVFGSQFKRRVQEST
+QVLRELEISLRTNHIGWVQEFLNEENRGLDVLLDYLAFAQCSVTTDNGAPGSEKSKPLEQ
+SVEDLSKGPPSSLPPPPKSRHLTIKLTPAHSKKALRNSRIVSQKDDVHVCIMCLRAIMNY
+QSGFSLVMNHPACVNEIALSLNNKNPRTKALVLELLAAVCLVRGGHDIILSAFDNFKEVC
+GEQHRFEKLMEYFRNEDSNIDFMVACMQFINIVVHSVENMNFRVFLQYEFTHLGLDLYLE
+RLRLTESDKLQVQIQAYLDNIFDVGALLEDTETKNAVLEHMEELQEQVALLTERLRDAEN
+ESMAKIAELEKQLSQARKELETLRVRSRGSGLGGNKGWAGLPRTDHVGASRLDTSLHPGK
+RMGPAPGAAPPPPPPPPPPPPPPLPPLPGLPSQQEAPPLAPPLAPPLPGSPEPPPPPPLP
+GDQPPPPPPPPPPPGADGQVPPPPPPPPGGVKAKKPIQTKFRMPLLNWVALKPNQITGTV
+FTELNDEKVLQELDMSDFEEQFKTKSQGPSVDLSALKSKAAQKAPSKATLIEANRAKNLA
+ITLRKGNLGADRICQAIETYDLQTLGLDFLELLTRFLPTEYERSLITRFEREQRPIEELS
+EEDRFMLRFSRIPRLPERMNTLTFLGNFPDTAQMLMPQLNAIIAASMSIKSSDKLRQILE
+IVLAFGNYMNSSKRGAAYGFRLQSLDALLEMKSTDRKQTLLHYLVKVIAEKYPQLTGFHS
+DLHFLDKAGSVSLDSVLGDVRSLQRGLELTQREFVRQDDCVVLKEFLRVNSPVMDKLLAD
+SKTAQEAYESVVEYFGENPKTTSPSMFFSLFSRFIKAYKKAEQEVEQWKKEAAAQEAGTD
+TSPPKVRRQQMDLISELKRKQQKEPLIYEGDRDGAIEDIITVLRPSPASCRAVRGSRFLS
+AKLPLLGGREWKVLCICC
+>tr|A0A3Q1M3Q6|A0A3Q1M3Q6_BOVIN FosB proto-oncogene, AP-1 transcription factor subunit OS=Bos taurus OX=9913 GN=FOSB PE=4 SV=1
+MFQAFPGDYDSGSRCSSSPSAESQYLSSVDSFGSPPTAAASQECAGLGEMPGSFVPTVTA
+ITTSQDLQWLVQPTLISSMAQSQGQPLASQPPAVDPYDMPGTSYSTPGMSGYSSGGASGS
+GGPSTSGTTSGPGPSRPARARPRRPREETLTPEEEEKRRVRRERNKLAAAKCRNRRRELT
+DRLQAETDQLEEEKAELESEIAELQKEKERLEFVLVAHKPGCKIPYEEGPGGPGPGPLAE
+>tr|A0A3Q1LTK9|A0A3Q1LTK9_BOVIN CD109 molecule OS=Bos taurus OX=9913 GN=CD109 PE=4 SV=1
+MRGLRLLTAARLFCVWSAALAAVPGPRFLVTVPGIIRPGGNVTIGVELLEHSPSQVTVKA
+ELRKMAANRTVSVLEAEGVFEKGKIHSRAPSLPLNSADEMYELRVTGRAQDEILFSNSTR
+LSFETKRMTVFIQTDKPLYKPKQEVKFRVVTLFSDFKPYKTFLNILIKDPKSNLIQQWLS
+EKSDLGVVSKTFQLSSHPILGDWSIQVQVNDQTYYQSFQVSEYVLPKFEVALQTPLYCSL
+NAKSLNGTVTAKYTYGKPVKGDLTLTFLPLSFWGVKKNITKTLKINGSANFSFNDEEMKK
+VMDFSDGPSEYMYLSSPGPVEIIATVTESLTGISRNASSNVFFKQHDYIIEFFDYATVLK
+PSLNFTATVKVTRSDGHQLTPEERRNNVVLMVTQRNYTEYWSRWDTQNQEVGAVQIINHT
+VPANGIFKIEFPILDDSSELQVKASFLDSVSNMAVHGMFKSPSKTYIQLKVKDENIKVGS
+PFELVVIGNKQLKELSYMVVSRGQLVAVGKQNSTTFSLIPENSWAPKACIIVYYIEDDGE
+IINDVLKIPVQLVFKNKIQLFWSKANAEPSEKVSLRISVTQPDSTVGIVAVDKSVNLMNV
+SNDITMENVVHELELYNTGYYLGMFMNSFAVFQECGLWVLTDANLVKDYIDGVYDSVELA
+ERFVDENEGYLVDIHDFSLGSSPRVRKHFPETWIWLDTNMGSRIYQEFEVTVPDSITSWV
+ATAFVISEDLGLGLTTAPVELQAFQPFFIFLNLPYSVIRGEEFALEVTIFNYLKDATEVK
+VIIEKSDAFDILMASNEINATGHQQTILVPSEDGATVLFPIRPTRLGEVPITVTAVSPAA
+SDAVTQRILVKAEGIEKSYSQSILLDLTDSTLQTTLKTLSFSFPPHTVSGSERVQVTAIG
+DILGSSINGLASLIRMPYGCGEQNMINFAPNIYILDYLTKKKQLTENLKEKALSFMRQGY
+QRELLYQREDGSFSAFGNDDPSGSTWLSAFVLRCFLEADPYIDIDQNVLHRTYTWLKGHQ
+KSNGEFWEPGRVIHSELQGGNKSPVTLTAYIVTSLLGYKKYQPNIDVQESINFLESEFDR
+GISDNYTLALVTYALSSGRSPKAKEALDMLTWRAEQEGGLQFWVSLVSRLSESWQPSSLD
+IEVAAYALLSHFLQHRVPEGIPIMRWLSRQRNSLGGFASTQDTIVALKALSEFAALMNVE
+ETNIQVTVEGSGSLSPVKFVIDTQNRFLLQTAELAVVQPTTVNISANGFGYAICQLNVIY
+NVKNSRSSKSRRSIQDQESFDLDVAVKDNKDDVNHLDLNVCTRFLGPARSGMALMEVNLL
+SGFTVSSDAIPLSDTLKKVEHDHGKLNLYLDSVNETQFCVDIPAVRSFRVSNTQDASVSI
+VDYYEPRRRAVRSYNSRAQLTSCDLCGDALACGPCDLAAAAHLPRLTVPLLILGCIIFLH
+FVNLRQLVD
+>tr|A0A3Q1M217|A0A3Q1M217_BOVIN LDL receptor related protein 8 OS=Bos taurus OX=9913 GN=LRP8 PE=4 SV=1
+MGRPERGALRPLALLLLLLQLQHLAAAAADPLHGGQGSVKECDENQFRCRNERCIPSVWR
+CDEDDDCSDNSDEDDCPKKTCADSDFTCNNGHCIRERWKCDGEEDCPDGSDESEATCTKQ
+VCPAEKLSCGPTSHKCVPASWRCDGEKDCESGADEAGCATLCAPHEFQCSNRSCLAAVFV
+CDGDDDCGDGSDERGCADPACGPREFRCSGDSGACIPERWVCDRQFDCEDRSDEAAELCG
+RAGPRATPAPAACAAAAQFACRSGECVHLGWRCDGDRDCKDKSDEADCPLGTCRGDEFQC
+GDGTCVPAIKRCNQEQDCPDGSDETGCLQESTCEGPRRFQCKSGECVDGRKVCDAQRDCR
+DWSDEPLKECGLNECLHNNGGCSHICTDLKIGFECTCPAGYQLLDQKTCGDIDECEDPDA
+CSQICVNYKGYFKCECHPGYEMDTLTKNCKAVAGRSPSLIFTNRHEVRRIDLVKRDYSRL
+IPMLKNVVALDVEVATNRIYWCDLSYRKIYSAYMDKASNPVEQDVLIDEQLHSPEGLAVD
+WVHKHIYWTDSGNKTISVATVDGKRRCTLFSRNLSEPRAIAVDPLQGFMYWSDWGFQAKI
+EKSGLNGVGRQTLVSDGIEWPNGITLDLLNQRLYWVDSKLHQLSSIDFSGGNRKMLISSP
+DFLSHPFGIAVFEDKVFWTDLENEAIFSANRLNGLEISVLAENLNNPHDIVIFHELKQPR
+AADACELSAQPNGGCEYLCLPAPQISSHSPKYTCACPDTMWLGPDMKRCYRDGNEDGKMG
+STVTAAVIGIIVPMVVIALLCMSGYLIWRNWKRKNTKSMNFDNPVYRKTTEEEEEDELHI
+GRTAQIGHVYPAVSIPCRKDSFLPSFPSFPSPSLPPPFRHLSRTNHVPGIVLGPGDTEML
+GQVVGVEGYQNHLVPRSSSWSKEACLCAEQVFRTCGLEG
+>tr|F1MYY3|F1MYY3_BOVIN Prefoldin subunit 4 OS=Bos taurus OX=9913 GN=PFDN4 PE=3 SV=2
+NISMFSQAAEDVNVTFEDQQKINKFARNTSRITELKEEIEVKKKQLQNLEDACEDIMLAD
+DDCLMIPYQIGDVFISHSQEETQEMLEEAKKNLQEEIDALESRVESIQRVLADLKVQLYA
+KFGSNINLEADES
+>tr|A0A3Q1ME68|A0A3Q1ME68_BOVIN Protein tyrosine phosphatase, receptor type T OS=Bos taurus OX=9913 GN=PTPRT PE=4 SV=1
+MMVNSSGRASGQKAHLLLPTLKENDTHCIDFHYYFSSRDRSSPGALNVYVKVNGGPQGNP
+VWNVSGVVTEGWVKAELAISTFWPHFYQVIFESVSLKGHPGYIAVDEVRVLAHPCRKAPH
+FLRLQNVEVNVGQNATFQCIAGGKWSQHDKLWLQQWNGRDTALMVTRVVNHRRFSATVSV
+ADTTQRSVSKYRCVIRSDGGSGVSNYAELIVKEPPTPIAPPELLAVGATYLWIKPNANSI
+IGDGPIILKEVEYRTTTGTWAETHIVDSPNYKLWHLDPDVEYEIRVLLTRPGEGGTGPPG
+PPLTTRTKCADPVHGPQNVEIVDIRARQLTLQWEPFGYAVTRCHSYNLTVQYQYVFNQQQ
+YEAEELIQTSSHYTLRGLRPFMTIRLRLLLSNPEGRMESEELVVQTEEDVPGAVPLESIQ
+GGPFEEKIYIQWKPPNETNGVITLYEINYKAVGSLDPNADLSSQRGKVFKLRNETHHLFV
+GLYPGTTYSFTIKASTAKGFGPPVTTRIATKISAPSMPEYDTDTPLNETDTTITVMLKPA
+QSRGAPVSVYQLVVKEERLQKSRRAADIIECFSVPVSYRNASSLDSLHYFAAELKPANLP
+VTQPFTVGDNKTYNGYWNPPLSPLKSYSIYFQALSKANGETKINCVRLATKAPMGSAQVT
+PGTPLCLLTTGASTQNSNTVEPEKQVDNTVKMAGVIAGLLMFTIILLGVMLTIKRRRNAY
+SYSYYLKLAKKQKETQSGAQREMGPVASADKPTAKLSSSRNDEGFSSSSQDVNGFDGSRG
+ELAQPTLTIQTHPYRACDPVEMSYPRDQFQPAIRVADLLQHITQMKRGQGYGFKEEYEAL
+PEGQTASWDTAKEDENRNKNRYGNIISYDHSRVRLLVLDGDPHSDYINANYIDGYHRPRH
+YIATQGPMQETVKDFWRMIWQENSASIVMVTNLVEVGRVKCVRYWPDDTEVYGDIKVTLI
+ETEPLAEYVIRTFTVQKKGYHEIRELRLFHFTSWPDHGVPCYATGLLGFVRQVKFLNPPE
+AGPIVVHCSAGAGRTGCFIAIDTMLDMAENEGVVDIFNCVRELRAQRVNLVQTEEQYVFV
+HDAILEACLCGNTAIPVCEFRSLYYNISRLDPQTNSSQIKDEFQTLNIVTPRVRPEDCSI
+GLLPRNHDKNRSMDVLPLDRCLPFLISVDGESSNYINAALMDSHKQPAAFVVTQHPLPNT
+VADFWRLVFDYNCSSVVMLNELDTAQLCMQYWPEKTSGCYGPIQVEFVSADIDEDIIHRI
+FRICNMARPQDGYRIVQHLQYIGWPAYRDTPPSKRSLLKVVRRLEKWQEQCDGREGRAVV
+HCLNGGGRSGTFCAICSVCEMIQQQNIIDVFHIVKTLRNNKANMVETLDQYKFVYEVALE
+YLSSF
+>tr|A0A3Q1LPD8|A0A3Q1LPD8_BOVIN GAGE domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+LPEHSVRSVGGQVASKLGPTNQDCSQVDEPVVDQQPSVEQPQQEEPPKDPFEDSGLEADL
+QQLAEAKTGGEGGDGPDVREEFASNIEPVEMPEAGEGQPFA
+>tr|A0A3Q1N803|A0A3Q1N803_BOVIN Interleukin 1 receptor associated kinase 3 OS=Bos taurus OX=9913 GN=IRAK3 PE=4 SV=1
+MAWTAGAARSGGTRRALSSHTLLFDLPPALLGEFCAVLDSCDGALGWRGLAERLSSSWLD
+VRHIEKYVDQGKSGTRELLWSWAQKNKTIGDLLQILQEMGHHRAIHLIANYGATLNPIEQ
+SHREKEFPNMLQKETANVTVDNVLIPERNEKGILLKSSISFHSIVEGTKNFHKDFLIGEG
+EIFEVYRVEIQNRTYAIKLFKQGGKMQCKKQWKRFLSELEVLLLFRHPNILELAAYFTES
+EKFCLVYPYMRNGSLFDRLQCVGDTAPLSWHIRIGVLIGTSKAIQYLHNTELCSVICGSI
+SSANILLDDQFQPKLTDFAMAHFRPHLEPQSSAISMTSSSSKHVWYMPEEYIRQGKLSIK
+TDIYSFGIVIMEVLTGCKVVLDEPKHIQLRDLLIELMEKRGLDSCISFLDKKVPPCPQNF
+SAKLFSLAGRCAATRAKLRPSMDEVLNILESTQASLYFAEDPPTSLKSFRSPSPLFFDNV
+PSIPVEDDENQNNPSPPHDQSLRKDRMTQKTPFECSQSEVTFLGFDKKTGSRGNEDSSSR
+SGSPCEESWSPKCATPSLDLRAPSVHTDTSAEAPGHSYRSRPVETSCSSIFSWNECKLYK
+KASVSDEDKEESKNC
+>tr|F1N7S5|F1N7S5_BOVIN Olfactory receptor OS=Bos taurus OX=9913 PE=3 SV=3
+MTNQSCQEGFILLGFSDRPKLEQILFVFVLIFYLVTLVGNAVIILVSRLDPSLHMPMYFF
+LTNLSFLDLCFTTSSIPQLLFNLGSPDKTISYVGCAIQLFMFLGLGGTECVLLAVMAYDR
+FTAICKPLHYSVLMHPQLCWKLVSVAWGVGLLNSLVMSPVTMKLPRCGRCRVKHFLCEMP
+ALIKVACVDTVAVESAVFILSVIIVLVPLSFILISYSCIALAVLRMKSAAGRRKAFNTCG
+SHLTVVSLFYGNIIYMYMQPGNNSSQDQGKFLTLFYNLVTPMLNPVIYTLRNKDVKGALR
+RLVSTK
+>tr|A0A3Q1LYK3|A0A3Q1LYK3_BOVIN PX domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MMEGLDNGPDFLLEEDHGLKAINVDFQSDAALHVDISDALSERDKVKFTVHTKSSLLNFK
+QNEFSVVRQHEEFIWLHDSFIENEDYAGYIIPPAPPRPDFDASREKLQKLGEGEGSMTKE
+EFTKMKQELEAEYLAIFKKTVAMHEVFLCRVAAHPILRKDLNFHVFLEYNQDLSVRGKNK
+KEKLEDFLKNMVKSADGVIVSGVKDDASAKSDKMTRSHKSISCHSSFRSYKLM
+>tr|E1B7I7|E1B7I7_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC112441546 PE=3 SV=2
+MLGRNITLVSQFILVGFPTAPWLQVLLFSLFLVVYLLVVVENLAIMLTVWVTGSLHKPMY
+YFLGSLSFLEVWYVSVTVPKMLDGFLLQRRCISFIGCMTQLYFFISLACTECVLLAAMAC
+DRYVAICHPLRYPVIMTTGHCVQLVAFSYMSGFMVSVFKVYFISHVTFCGSNVMNHFFCD
+ISPILKLACKDMSTAELVDFALAVVILVFPLATTILSYVYIVSAILRIPSTQGRKKAFST
+CASHLTVVIIYYTAMIFMYVRPRAIASFNSNKLISAVYAVLTPMLNPFIYCLRNQEVKNA
+IKKTVGVGQCFLLS
+>tr|A0A3Q1LU02|A0A3Q1LU02_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=C16orf92 PE=4 SV=1
+WLFHRLRSVSPAPNLESAKALGRGAESPLFLDRPDFFDYPDSDQARLLAVAQFIGEKPVI
+FANSDSDSRLFHHILVGALLVAFFFLLFQFCTHMSCEKGA
+>tr|A0A3Q1LSY1|A0A3Q1LSY1_BOVIN Suppression of tumorigenicity 5 OS=Bos taurus OX=9913 GN=ST5 PE=4 SV=1
+MTMTANKNSSITHGAGGTKAPRGTLSRSQSVSPPPVLSPPRSPIYPLSDSETSACRYPSR
+SGSRLLLKDWHHREPSPQHPQAPSPNTSPPVCPLKATSFGYLDRSPVVCKREDQKENIRG
+AAQNVEGIVASPPLAQSTPSLGSAVGPRSVFLNRTGPRAHSLGIREKISAWEGRQEASPR
+MSVCGEKREGSGGEWAASEGCPSVGCPSVVPSPCSSDKTFDFRGLRRMSRTFSECSYPET
+EEEGEALPVRDSFYRLEKRPGRSEPSALLRGHSSRKESSAVLSRIQKIEQALKEQPGRGL
+PQLPSSCYSVDRGRWKTGTLGPLEEPTGNASVSTDSRAGGAAGVGGEAGPPPEREGSGPA
+KPGTPGNSPTSQLLPPKSSPDPAVNPVPKPKRTFEYEADKNPKSKPSNGLPPPPTPAAPP
+PLPSTPAPPVTRRPKKDTRGHRKSQNRKSFEFEDASSLQSLYPSSPTENGTESQPKFGSK
+STLEENAYEDIVGDLPKENPYEDVDLKSRRAGRKPQQLSENSLDSLHRMWSPQDRKYNNP
+PTQLSLKPGSQSLRSGNWSERKSHRLPRLPKRHSHDDMLLLAQLSLPSSPSSLNEDSLST
+TSELLSSRRARRIPKLVQRINSIYNAKRGKKRLKKLSMSSIETSSLRAHTQRLVHIQSML
+KRAPSYRTLELELLEWQERELFEYFVVVSLKKKPSRNTYLPEVSYQFPKLDRPTKQMREA
+EERLKAIPQFCFPDAKDWLPVSEYSSETFSFMLTGEDGSRRFGYCRRLLPSGKGPRLPEV
+YCVISRLGCFGLFSKVLDEVERRRGISAALVYPFMRSLMESPFPAPGKTIKVKTFLPGAG
+NEVLELRRPTDSRLEHVDFECLFTCLSVRQLIRIFASLLLERRVIFVADKLSTLSSCSHA
+VVALLYPFSWQHTFIPVLPASMIDIVCCPTPFLVGLLSSSLPKLKELPVEEMDDEDTLLP
+RKLQAALEQALERKNELISQDSDSDSDDECNTLNGLVSEVFIRFFVETVGHYSLFLTQSE
+KGERAFQREAFRKSVASKSIRRFLEVFMESQMFAGFIQDRELRKCRAKGLFEQRVEQYLE
+ELPDTEQSGMNKFLRGLGNKMKFLHKKN
+>tr|G3N2Q4|G3N2Q4_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC510046 PE=3 SV=1
+MKNRTFTEFILLGLTNQPEVQALIFIFLFLTYMLSVLGNLTIIILTLVDSHLQTPMYFFL
+RNFSFLEVSFTSIFIPRFLTSMTTGNKAISFAGCLIQYFFAIFLGATEFYLLASMSYDRY
+VAICKPLHYLTIMNSRVCIQLVFCSWLGGFLAIFPPIILMSQVDFCASNVLNHYYCDYGP
+LLELACSDTSLLELMVISLAVVTLVVTLVLVTLSYTYIIRTILRIPSAQQRAKAFFTCSS
+HRIVISLSYGSCMFMYINPSAKEEGAFNKGIAVLITSITPLLNPFIYTLRNQQVKQAFKD
+TIKKIVKF
+>tr|A0A3Q1LH90|A0A3Q1LH90_BOVIN Grainyhead like transcription factor 3 OS=Bos taurus OX=9913 GN=GRHL3 PE=4 SV=1
+MGFKLVRLPRILLKSWDLIRGRKNAPHQCVILLLTSMDVENCFRSVRLLKNDPVNFQKFP
+YTNEDEAWKTYLENPLTAATKAMMRVNGDDDSVAALSFLYDYYMGPKEKRLLSSSTGGRN
+DQGKRYYHGMEYEMDLTPLESPTHLMKFLTENVSGTPEYPDVLKKNNLMSVEGAAPTPGK
+AALLPAGPSKLETGSVDSYLLPTSDVYDNGSLSSLFESIHGVPPTQRWQPDSTFKDDPQE
+SLLFPDILKTSPEPPGPEDYPNPKSDFEYTLGSPKAIHIKSGESPMAYLNKGQFYPVTLR
+TPAGGKGLALSSNKVKSVVMVVFDNEKGPVEQLRFWKHWHSRQPTAKQRVIDVADCKENF
+NTVQHIEEVAYNALSFVWNVHEEAKVFVGVNCLSTDFSSQKGVKGVPLNLQIDTYDCGSG
+TERLVHRAVCQIKIFCDKGAERKMRDDERKQFRRKVKCPDSSNSGIKGSLLAGFRGNETT
+YLRPEADLETPPVLFIPNVHFSSLQRPGGAVLSAGHGSSNRLALKRTCSPFTEEFEPLPS
+KQAKEDDLQRVLLYVRRETEEVFDALMLKTPDLKGLRSAISEKYGFPEENIYKVYKKCKR
+GILVNMDNNIIRHYSNHVAFLLDMGELDGKIQIILKEL
+>tr|A0A3Q1M5L9|A0A3Q1M5L9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=SAMD11 PE=4 SV=1
+MSKGILQVHPPICDCPGCRISSPVNRGRLADKRTVALPPARILKKELTPSFSASDGDSDG
+SGPACGQRLGLKQEDEPHVHIMKRRVHTHWDVNISFRETSCSQDSDLPTLISSVHRSRHL
+VMPEHQSRCEFQRGSVEIGLGAAGDLLGKRLGRSPHISSDCPLEKKARSKSPQETLLLPE
+LGPSMAPEDHYRRLVSALSEASTFEDPQRLYHLGLPSHDLLRVRQEVAAAAARSPSGLEV
+HLPSSTAGQRRKQSLAQHRDATGPAGAPSFSERELSQPPPLLSPQNAPHIALGPHLRPPF
+LGVPSALCQTPGGDVRPAAGAPAEAESGPAGDVSRALAPEGAGELAPAATAGARGAAAAR
+GHAGAETQLRTAAGPASPGPAGPRAPYPTPRACPPIQGDNGGWTLGTRRF
+>tr|A0A3Q1MUN7|A0A3Q1MUN7_BOVIN Heart development protein with EGF like domains 1 OS=Bos taurus OX=9913 GN=HEG1 PE=4 SV=1
+MASPPLLLLLLPLLLLPPPAAPGTRGQPFSPAGAGPAWPTESSPGLKLPPSPTSPPERRG
+PASPGHRQTAPAPGAARRPGPSSRAPRSGSAAVVKNHWLESNTEPQIENITFDQNQVDFS
+TVVSKEGVMVQTPRKIHPSLDAPENITLQTETAAARGINDSSGRTEFTVPHYGPGRTTTL
+TSQSSTLASGSTEDLNNSTAVHSSSVSGTESVHVATTFPNSVQRTPQSSATSLRPLNETE
+HFPEDSEIAITSSSVHSSPSEAELRRSSGVFGSPGNGAFTELSTEIAFGPTSSNISREFW
+QNDSPTSGGPQLASGSGAGNGSPVSQTETSSRSIPPVRGEESTALWFSTGSEPFAGAAGS
+STSHPEVVNASVLTRFSASAPQSQGSDPALYEMSYSELATEALSSSSSESLGFSAPRGER
+STTEDSPELGAGTKAEEGASWGVPGVRTHSPHTLATFAGVGERTLRSLTNRSTTSGDVER
+SMATTGEMESATQQGNMTVTGDARPVSGSLAATRTFGGHGVTGISYDGASSTDPDCRTSS
+EHTNYTCASSPFTKGERTLLSITDNSSSWDGRETSTSSVKISSSLSSDSSPSSQAQTERS
+NVSSHQGEHAQPSTGALVLSTASSPSHTPTLNTLTTLVPRDTDAKSVGGALSSSSSEPPL
+PLPSVSQSYQFSSTLPSAGASTHRLQSSPDAPTPLSSSPPPSSVSLTASAPASLSDSQTA
+LPHAPFTPVLPRTRDAPVTSVRMSAVASSIPSSQTADPPKNQSSPYLKNTVTESKPPSLQ
+TLPAEATKAVTVMSTLGIPSPRPFTDYSTSTDTEQTLPATSTDLAHTSPALAATTPETSH
+SPVASPSLQSSTAALTGGPTTGQTVARETEQTVVQLSPTSPEILVVQVTTEGAVTTEESP
+VDRDAATRLFPLTKESTTGPGPIEDNSLASPFLKTSPSPRTTPVSTAKVLTPPSTTSTVR
+SSTRSPTAPSSPAAVNSCTTHPCLHDGKCVVDLTTHPGYRCVCSPAWQGDNCSVDVNECL
+SNPCPPLATCNNTQGSFTCRCPVGYQLEKGICNLVRTFVTEFKLKKTFLNTTVEKHSDLR
+EVENEITKMLNVCFSTLPGYTRSTAHVSRESSVVVMSLQATFSLASNVTLFDLADRMQKC
+VNSCWASAEVCQLLGSQRRIFRAGSLCKRKTPECDKETSICTDLDGVALCQCKSGYFQFN
+KMDHSCRACEDGYRLENETCMSCPFGLGGLNCGNPYQLITVVIAAAGGGLLLILGIALIV
+TCCRKNKNDISKLIFKSGDFQMSPYAEYPKNPRSQEWGREAIEMHENGSTKNLLQMTDVY
+YSPSSVRNPELERNGLYPAYTGLPGSRHSCIFPGQYNPSFISDESRRRDYF
+>tr|A0A3Q1N3L6|A0A3Q1N3L6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=3 SV=1
+MVGQKVGNEIDQSSCIWRMNNAPTKGYEEDVGRMTMIRVVSHTSVPLLLKNPDYFFKEAN
+TTIYVIWGPFRNMRKDGNGIVYNMLKKTVDIYPNAQIYVTTEKRMSYCDGVFKKETGKDS
+PCLAVTTQRK
+>tr|G3MYN0|G3MYN0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=FNDC10 PE=4 SV=2
+MRAPPLLLLLAACAPPPCATAAPTPPGWEPAADAPWCPYKVLPEGPEAGGGRLCFRSPAR
+GFRCQAPGCAAHASAGRSLRASVLRNRSVLLQWRLGPAEARRVRAFALNCSWRGAYTRFP
+CERVLLGASCRDYLLPDVHDGVRYRLCLQPLPLRAEPAGAPEPAAPAECVEFAAEPAGMR
+EIVVAMTAVGGSICVMLVVICLLVAYITENLMHPAFGRPGLRRQP
+>tr|A0A3Q1MPK1|A0A3Q1MPK1_BOVIN KRAB domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MSLSKLREMGSLTFSDVAIGFSQQEWECLDPVQKTLYRDVMMENYGNLVSLGHSISKPNV
+ITLLEQGKEPWLVVREETRSWCTGKSQRYVLGKHIPETLVESSLLSDVC
+>tr|G3N2E9|G3N2E9_BOVIN Lysine demethylase 6A OS=Bos taurus OX=9913 GN=KDM6A PE=4 SV=2
+MKSSGVSLATAAAAAAAFGDEEKKMAAGKASGESEEASPSLTAEEREALGGLDSRLFGFV
+RFHEDGARTKALLGKAVRCYESLILKAEGKVESDFFCQLGHFNLLLEDYPKALSAYQRYY
+SLQSDYWKNAAFLYGLGLVYFHYNAFQWAIKAFQEVLYVDPSFCRAKEIHLRLGLMFKVN
+TDYESSLKHFQLALVDCNPCTLSNAEIQFHIAHLYETQRKYHSAKEAYEQLLQTENLSAQ
+VKATVLQQLGWMHHTVDLLGDKATKESYAIQYLQKSLEADPNSGQSWYFLGRCYSSIGKV
+QDAFISYRQSIDKSEASADTWCSIGVLYQQQNQPMDALQAYICAVQLDHGHAAAWMDLGT
+LYESCNQPQDAIKCYLNATRSKSCSNTSALAARIKYLQAQLCNLPQGSLQNKTKLLPSIE
+EAWSLPIPAELTSRQGAMNTAQQACKPHHPNTEPVLGLSQTPISQQSLPLHMIPSSQVDD
+LSSPAKRKRTSSPTKNTSDNWSSGHAVSHPPVQQQGHSWCLTPQKLQHLEQLRANRNNLN
+PAQKLMLEQLESQFVLMQQHQMRQTGVTQVRSTGIPNGPSADSSLPTNSVSGQQPQLALT
+RVPSVSQPGVRPACPGQPLANGPFSAGHVPCSTSRTLGSTDTILIGNNHITGSGSNGNVP
+YLQRNALTLPHNRTNLTSSAEEPWKNQLSNSTQGLHKGQSSHLAGPNGERPLSSTGPSQH
+LQAAGSGIQNQNGHPTLPSNSVTQGAALNHLSSHTATSGGQQGITLTKESKPSGNTSIVP
+EISRHAGETPNSTASVEGLPNHVHQVTADAVCSPSHGDSKSPGLLSSDNPQLSALLMGKA
+NNNVGTGTCDKVNNIHPAVHTKTDNSVASSPSSAISTATPSPKSTEQTTTNSVTSLNSPH
+SGLHTINGEGMEESQSPMKTDLLLISHKPSPQIIPSMSVSIYPSSAEVLKACRNLGKNGL
+SNSSILLDKCPPPRPPSSPYPPLPKEKLNPPTPSIYLENKRDAFFPPLHQFCTNPNNPVT
+VIRGLAGALKLDLGLFSTKTLVEANNEHMVEVRTQLLQPADENWDPTGTKKIWHCESNRS
+HTTIAKYAQYQASSFQESLREENEKRSHHKDHSDNESTSSDNSGRRRKGPFKTIKFGTNI
+DLSDDKKWKLQLHELTKLPAFVRVVSAGNLLSHVGHTILGMNTVQLYMKVPGSRTPGHQE
+NNNFCSVNINIGPGDCEWFVVPEGYWGVLNDFCEKNNLNFLMGSWWPNLEDLYEANVPVY
+RFIQRPGDLVWINAGTVHWVQAIGWCNNIAWNVGPLTACQYKLAVERYEWNKLQSVKSIV
+PMVHLSWNMARNIKVSDPKLFEMIKYCLLRTLKQCQTLREALIAAGKEIIWHGRTKEEPA
+HYCSICEVEVFNLLFVTNESNSRKTYIVHCQDCARKTSGNLENFVVLEQYKMEDLMQVYD
+QFTLAPPLPSSSS
+>tr|F1N0J3|F1N0J3_BOVIN Phospholipid-transporting ATPase OS=Bos taurus OX=9913 GN=ATP11A PE=3 SV=3
+MDRCSLVRTLVNRYCAGEEDWVDSRTVYVGHREPPPGAEAYIPQRYPDNRIVSAKYTFWN
+FIPKNLFEQFRRIANFYFLIIFLVQLIIDTPTSPVTSGLPLFFVITVTAIKQGYEDWLRH
+KADNAMNQCPVHFVQHGRLVRKQSRKLRVGDIVMVKEDETFPCDLIFLSSSRADGTCHVT
+TASLDGESSHKTHYAVQDTKGFHSEEDIDSLHATIECEQPQPDLYKFVGRINVYNDQNDP
+VVRSLGSENLLLRGATLKNTEKIFGVAIYTGMETKMALNYQSKSQKRSAVEKSMNVFLVV
+YLCILISKALINTTLKYVWQSEPSRDEPWYNRKTEAERQRNLFLRAFTDFLAFMVLFNYI
+IPVSMYVTVELQKFLGSYFLTWDEDMFDEEMGEGPLVNTSDLNEELGQVEYVFTDKTGTL
+TENNMEFKECCVEGHVCVPHAVCNGQVLPDASAIDMIDASPGASGREREELFFRALCLCH
+TIQVKDDDEVDGPQKSPDSGKRSAYISSSPDEVALVEGIQRFGFTYLRLKDNYMELLNRD
+NDIERFELLEILSFDSVRRRMSVIVKSATGEIYLFCKGADSSIFPRVTEGKVDQIQSRVE
+RNAVEGLRTLCVAYKTLIPEEYEGIRELLQDAKVALQDRDKKLAEAYEQIETDLVLLGAT
+AVEDRLQEKAADTIEALQKAGIKVWVLTGDKMETAAATCYACKLFRRNTQLLEVTTKRLE
+EQSLHDVLFELSKTVLRSSASLTRDNFSGLSADMQDYGLIIDGAALSLIMKPREDGSSSN
+YRELFLDICRNCSAVLCCRMAPLQKAQIVKLIKLSKEHPITLAIGDGANDVSMILEAHVG
+IGVIGKEGRQAARNSDYAIPKFKHLKKMLLVHGHFYYIRISELVQYFFYKNVCFIFPQFL
+YQFFCGFSQQTLYDTAYLTLYNISFTSLPILLYSLMEQHVAMDTLKRDPALYRDIAKNAL
+LRWRAFIYWTLLGLFNALVFFFGAYFMFENTTVTSNGQVFGNWTFGTLVFTVMVLTVTLK
+LALDTHYWTWVNHFVIWGSLLFYIVFSLLWGGVIWPFLSYQRMYYVFIQMLSSGPAWLAI
+VLLVTVSLLPDVLKKVLCRQLWPSATERVQTSRFVSGLPLGSHPLATL
+>tr|A0A3Q1LQ41|A0A3Q1LQ41_BOVIN Zinc finger C4H2-type containing OS=Bos taurus OX=9913 GN=ZC4H2 PE=4 SV=1
+MAESKEELKSLLLNKTLQMEKIKTRLKAEFEALESEERHLKEYKQEMDLLLQEKMAHVEE
+LRLIHADINVMENTIKQSENDLNKLLESTRRLHDEYKPLKEHVDALRMTLGLQRLPDLCE
+EEEKLSLDYFEKQKAEWQTEPQEPPIPESLAAAAAAAQQLQVARKQDTRQTATFRQQPPP
+MKACLSCHQQIHRNAPICPLCKAKSRSRNPKKPKRKQDE
+>tr|G3MYL1|G3MYL1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MKTILSNQTVDIPENVDINLKGRTVIVKGPRGTLRRDFNHINVELSLLGKKKKRLRVDKW
+WGNRKELATVRTICSHVQNMIKGVTLGFRYKMRSVYAHFPINVVIQENGSLVEIQNFWGE
+KYIRRVRMRPGVACSVSQAQRDELILEGNDIELVSNSAALIQQATTVKNKDIRKFLDGIY
+VSEKGTVQQADE
+>tr|F1N2P4|F1N2P4_BOVIN Platelet-derived growth factor subunit A OS=Bos taurus OX=9913 GN=PDGFA PE=3 SV=2
+MRTWACLPFLGCGYLANALAEEAEIPREVIERLAHSQIHSIRDLQRLLEIDSVGAEEPLE
+TSLRAHGGHGAKHALEKRPVPIRRKRSIEEAIPAVCKTRTVIYEIPRSQVDPTSANFLIW
+PPCVEVKRCTGCCNTSSVKCQPSRVHHRNVKVAKVEYFRKKAKLKEVQVRLEEHLECTCT
+SASPSPDHREEEAGRRRESGKKRKRKRLKPT
+>tr|A0A452DJA1|A0A452DJA1_BOVIN Homeobox protein Hox-A5 OS=Bos taurus OX=9913 GN=HOXA5 PE=3 SV=1
+MRSASSGWRSSPTERRRRAAGTRLGRARHPSRLHPTPPLVHEFTSRGHQAGFTTGQQKHV
+IRSRTPYLGAYVGGNQVHVPVISIIHHKLCKGAIDAQTTASHKSSTHIKKQMSSYFVNSF
+CGRYPNGPDYQLHNYGDHSSVSEQFRDSASMHSGRYGYGYNGMDLSVGRSGSGHFGSGER
+ARSYAAGASAAPAEPRYSQPATSTHSPPPDPLPCSAVAPSPGSDSHHGGKNSLGNSSGAS
+ANAGSTHISSREGVGTASGAEEDAPASSEQASAQSEPSPAPPAQPQIYPWMRKLHISHDN
+IGGPEGKRARTAYTRYQTLELEKEFHFNRYLTRRRRIEIAHALCLSERQIKIWFQNRRMK
+WKKDNKLKSMSMAAAGGAFRP
+>tr|A0A3Q1M3F5|A0A3Q1M3F5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=WC-7 PE=4 SV=1
+MALGRHVSLRGLCVLLLGIVVGGQVLELRLKDGAHRCEGRVEMKYKGEWGTVYTEYWLIE
+SIEVVCRRLECGVAIDAPRGSYFGPRLGPIWFLYVSCESREVESTLSLSECVHIDFKNYN
+DTFSHDQDIGAVCSGSVRLFGGDRPCSGRVEVHSGKAWIPVSDGNFTLSTAQVICAELGC
+GKAVSVLKHMPFRESDGQVWAEEFRCEGEEPELRVCPRVPCPGGMCHHSGAAQIACSAYL
+EVRLMTNGSSQCEGQVEMNISGRWRALCASHWSLANANVVCRQLGCGVAISTPRGPHLVG
+RDDQISTVRFHCLGAESFLWSCPVTALGGSGCSHGNTASVICSGNQTQVLPQCSDSLSEP
+TGSAASENSAPYCSDSRQLRLVDGGGHCAGRVEILDQGSWGTICDDGWDLDDAHVVCRQL
+GCGQALNATRSAHFGAGSGPIWLDDLNCTGKESHVWRCPSRGWGQHDCRHKQDAGVICSE
+FLALRMVSEDQQCAGWLEVFYNGTWGSVCRSPMEDITVSMICRQLGCGESGTLNSSVGLR
+EGSRPQWVDGIRCQKSDTSLWQCPSDPWNYTSCSPKEEAYILCEDSRQLRVVDGRGPCAG
+RVEILDQGSWGTICDNGWDLDDARVVCRQLGCGDALSAMGSAHFGAGSGRILLNTLDCRG
+KESHVWKCPSQGWRVHNCSHENDAGVVCSGFVRLVGDDGPCSGRVEVRPGEDWTPVSDGN
+FTLPTAQVICAELGCGEAVSVLGHELFRESDGQVWAEEFRCEGEERKLWWCPRVPCPGGT
+CHHSGAAQVVCSAYSEVRLMTNGSSQCEGQVEMKISGRWRALCASHWSLANANVVCRQLG
+CGVAISTSRGPHLVERGGQISTVRFHCSGAESFLWSCPVTVLGGPDCSHGNTASVTCSGN
+QTQVLPQCNDAVSEPAGSVASEESAPYCSDSRQLRLVDGGGPCAGRVEILDQGSWGTICD
+DGWDLDDAHVVCRQLGCGQALNATRSAHFGEGSGPIWLDDLNCTGKESHVWRCPSRGWGR
+HDCRHKEDAGVICSEFLALRMVSGDQECAGWLEVFYNGTWGSVCRSPMDDVTVSILCSQL
+GCGDSGSLNTSVGLREGSRPHWVDGIQCQRTDASLWQCPSDPWNYNSCSPKEEAYISCAV
+RRPKSCPTAAPCTDREKLRLRGGDSECSGRVEVWHSGSWGTVCDDSWSLAEAEVVCQQLG
+CGQALEAVRAATFGPGNGSIWLDEVRCGGRESSLWECAAEPWGQSDCKHEEDAGVRCSGV
+RTTLPTTTAGTRTTSNPLPGIFSLPGILCLILGALLFLVLIILVTQLLRWRAERRALSSY
+EDALAEAVYEELDYLLTQKEGLGSPGECLCLPSWDLWLSPPTGCAHLLSIFLIKLLFSTS
+GSCLNFSREAADPGQGEESFWLLQGKKGDAGYDDVELSALGTSPVTFS
+>tr|G3MWR0|G3MWR0_BOVIN Signal peptide peptidase like 3 OS=Bos taurus OX=9913 GN=SPPL3 PE=4 SV=2
+MLRFLCFVVRVAAGAYSLVDSSQVSTFLISILLIVYGSFRSLNMDFENQDKEKDSNSSSG
+SFNGNSTNNSIQTIDSTQALFLPIGASVSLLVMFFFFDSVQVVFTICTAVLATIAFAFLL
+LPMCQYLTRPCSPQNKISFGCCGRFTAAELLSFSLSVMLVLIWVLTGHWLLMDALAMGLC
+VAMIAFVRLPSLKVSCLLLSGLLIYDVFWVFFSAYIFNSNVMVKVATQPADNPLDVLSRK
+LHLGPNVGRDVPRLSLPGKLVFPSSTGSHFSMLGIGDIVMPGLLLCFVLRYDNYKKQASG
+DSCGASGPANISGRMQKVSYFHCTLIGYFVGLLTATVASRIHRAAQPALLYLVPFTLLPL
+LTMAYLKGDLRRMWSEPFHSKSSSSRFLEV
+>tr|A0A3Q1M909|A0A3Q1M909_BOVIN Mitochondrial peptide methionine sulfoxide reductase OS=Bos taurus OX=9913 GN=MSRA PE=1 SV=1
+MLSATRRALQLFHSLFPIPRMGDSAAKIVSPQEALPGRKEPLVVAGMGCFWGAERKFWTL
+KGVYSTQVGFAGGYTPNPTYKEVCSGKTGHAEVVRVVFQPEHISFEELLKVFWENHDPTQ
+GMRQGNDHGSQYRSAIYPTSAEHVGAALKSKEDYQKVLSEHGFGLITTDIREGQTFYYAE
+DYHQQYLSKDPDGYCGLGGTGVSCPLGIKK
+>tr|A0A3Q1NAL4|A0A3Q1NAL4_BOVIN PROTEASOME_ALPHA_1 domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MSCNRSITVFSPNGHLFPVEYLQEAMKKGWTMVSVRGKDIVVLGVEKSVAKLQDERTGRK
+VCALDDNVFTNRARVECQSHRLTVEDLVTMEYITRYIASLKQRYTQSKECWGFGISALLV
+GFDFDGTPDSIRLTSQVLLEMVQSGGKNIELAVVRQDQPLKILNPEEIENFCRAKETINK
+MKRKDLPQNGKKYLQMI
+>tr|A0A452DIU9|A0A452DIU9_BOVIN Protein transport protein SEC23 OS=Bos taurus OX=9913 GN=SEC23B PE=3 SV=1
+MATYLEFIQQNEERDGVRFSWNVWPSSRLEATRMVVPLACLLTPLKERPDLPPVQYEPVL
+CSRPTCKAILNPLCQVDYRAKLWACNFCFQRNQFPPAYAGISEVNQPAELMPQFSTIEYV
+IQRGAPSPLIFLYVVDTCLEDDDLQALKESLQMSLSLLPPDALVGLITFGRMVQVHELSC
+EGISKSYVFRGTKDLTAKQIQDMLGLTKPAMPVQPMRPAQPQERPSVSSRFLQPIHKIDM
+NLTDLLGELQRDPWPVPQGKRPLRSTGVALSIAVGLLEGTFPNTGARIMLFTGGPPTQGP
+GMVVGDELKVPIRSWHDIEKDNARFMKKATKHYEMLANRTAANGHCIDIYACALDQTGLL
+EMKCCPNLTGGYMVMGDSFNTSLFKQTFQRIFSKDFNGNFRMAFGATLEVKTSRELKVAG
+AIGPCVSLNVKGPCVSENELGVGGTSQWKICGLDPTTTLGIYFEVVNQHNAPIPQGGRGA
+IQFVTHYQHSSTQRRIRVTTVARNWADVQSQLKHIEAAFDQEAAAVLMARLGVFRAETEE
+GPDVLRWLDRQLIRLCQKFGQYNKEDPMSFRLSDSFSLYPQFMFHLRRSPFLQVFNNSPD
+ESSYYRHHFARQDLTQSLIMIQPILYSYSFHGPPEPVLLDSSSILADRILLMDTFFQIVI
+YLGETIAQWRKAGYQDMPEYENFKHLLQAPLDDAQEILQARFPMPRYIHTEHGGSQARFL
+LSKVNPSQTHNNLYAWGQETGAPILTDDVSLQVFMDHLKKLAVSKIMCSC
+>tr|A0A3Q1MQM3|A0A3Q1MQM3_BOVIN TPR_REGION domain-containing protein OS=Bos taurus OX=9913 GN=ST13 PE=4 SV=1
+MDPRKVSELRAFVKMCKQDPSVLHTEEMRFLRETVGGREEGRMGGKIPPAAHKTKLEENT
+KEEKTDSKKTEENVKTDEPSSEESDLEIDNEGVIEPDTDAPQEMGDENVEITEEMMDQAN
+DKKVAAIDALNDGELQKAIDLFTDAIKLNPRLAILYAKRASVFIKLQKPNAAIRDCDRAI
+EINPDSAQPYKWRGKAHRLLGHWEEAAHDLALACKLDYDEDASAMLKEVQPRAQKIAEHR
+RKYERKREEREIKERIERVKKAREEHERAQRVKFLLLGNMVGVYTDLSFILAGGFPGGMP
+GNFPGGMPGMAGGMPGMPGMPGLNEILSDPEVLAAMQDPEVMVAFQDVAQNPANMSKYQS
+NPKVMNLISKLSAKFGGQA
+>tr|A0A452DIU6|A0A452DIU6_BOVIN DNA polymerase delta subunit 4 OS=Bos taurus OX=9913 GN=POLD4 PE=4 SV=1
+MLAGVGETRHSLEPSDFLAVCLGRPSAHRWPQARSLAPALSRSLSAAVSRARWPASPSRQ
+WPPPLPAWVAAMGRKRLITDSYPVVKRREGSAGHSKGELAPDLGEEPLPLSVDEEELELL
+RQFDLAWQYGPCTGITRLQRWHRAEQMGLKPPPEVHQVLQSHPGDPRFQCSLWHFYPL
+>tr|A0A3Q1LNM3|A0A3Q1LNM3_BOVIN Phosphotransferase OS=Bos taurus OX=9913 GN=HK3 PE=3 SV=1
+RSRLGKIGWDQGEQVRCVQHSLQGDILAYPCPGLVRSTGVPEVVAEADSLWGLQGLRQGQ
+RSQAAGAMAKGLQGEASSLRMLPTYVRATPDGSERGDFLWGAFGDDGSLSMLSTRFDASV
+DQASINPGKQRFEKMISGMYLGEIVRHILLHLTSLGVLFRGQQTQRLQTRDIFKTKFLSE
+IESDSLALRQVRAILEDLGLPLTSDDALMVLEVCQAVSQRAAQLCGAGVAAVVEKIRENR
+GLEELTISVGVDGTLYKLHPHFSSLVAATVRELAPRCVVTFLQSEDGSGKGAALVTAVAC
+RLAQRTRI
+>tr|A0A3Q1MTN6|A0A3Q1MTN6_BOVIN Lysosomal associated membrane protein 2 OS=Bos taurus OX=9913 GN=LAMP2 PE=1 SV=1
+MTRAESAESWLLQRCGRWSSALLSGFREPFPCCRCSCRRRLLSGIMVCFRLAPVPGSGFL
+LLCLVLGAVSSYALELNLTDSSNATCLYAKWQMNFTIRYETTDKHNKTVPISDLGAATYN
+GSFCGDDQNGPKIAVQFGSGFSWIVNFTKEAASPSTYLVDTISFSYNTNDNKTFPDAKEK
+EVFTVNNRVALKIPLNDIFRCNSLSTLENRDVVQHYWDVHVQAFVQNGTVSTTEFLCDKD
+KTVTTAVPIVPTTLPSPTKPVVGSYSVVNSNGTCLLATMGLQLNITHDKVASVFNINPNT
+TNATGSCQPQTALLRLSSSNIKYLDFVFAVKNENRFYLKEVNVSMILVNGSVYSISNTNL
+SYWDAPLGSSYMCNKEQTVSVSGAFQINTFDLRVQPFSVTEGKYSTEEFPQQRF
+>tr|E1BPJ7|E1BPJ7_BOVIN NADPH oxidase 1 OS=Bos taurus OX=9913 GN=NOX1 PE=4 SV=1
+MGNWVVNHWFSVLFLVAWLGLNVFLFVHAFLSFEKATKYYYTRQILGSALAWARASARCL
+NFNRMLILLPMCRNLLSFLRGTCSFYRSTLRKQLDHNLAFHKLVGYMICLHTAIHIIAHL
+FNLERYSRSRQATDGSLASILSNLPHQENYSWLNPIQSPNTTVVYVTFTSIAGLTGVIIT
+VALVLMVTSAMEFIRRSYFEVFWYTHHIFIIYFIGLGIHGLGGIVWGQTEESMAENHPHK
+CAEFFDKWDDPASYCKPPQFEGLPAESWKWILAPGILYIFERILRFYRSQQKVVITKVVM
+HPSKVLELQMHKHGFSMEVGQYIFVNCPSISSLERHPFTLTSAPEEDFSIHIRAVGDWTE
+NLIRTFEQQYSPVPRIQVDGPFGTVSEDVFQYEVAVLVGAGIGVTPFASILKSIWYKFQH
+TDHNLKTQKIYFYWICREMGAFAWFNDLLASLEQEMEELGKVGFLNYRLFLTGWDSNFAG
+HAALNFDKANDILTGLKQKTFFGRPMWDNEFSTIATAHPKLAVGVFLCGPQTLAKSLSKC
+CHQYSSLDPRKVQFYFNKENF
+>tr|G3X6H4|G3X6H4_BOVIN Leucine-rich glioma-inactivated protein 1 OS=Bos taurus OX=9913 GN=LGI1 PE=4 SV=1
+SLLHWSLANNNLQTLPKDIFKGLDSLTNVDLRGNSFNCDCKLKWLVEWLSHTNATVEDIY
+CEGPPEYKKRKINSLSSKDFDCIITEFAKSQDLPYQSLSIDTFSYMNDEYVVIAQPFTGK
+CIFLEWDHVEKTFRNYDNITGTSTVVCKPIVIETQLYVIVAQLFGGSHIYKRDSFANKFI
+KIQDIEILKIRKPNDIETFKIENNWYFVVADSSKAGFTTIYKWNGNGFYSHQSLHAWYRD
+TDVEYLEIARTPQTLRTPHLILSSSSQRPVIYQWNKAIQLFTNQTDIPNMEDVYAVKHFS
+VKGDVYICLTRFIGDSKVMKWGGSSFQDIQRMPSRGSMVFQPLQINNYQYAILGSDYSFT
+QVYNWDAEKAKFVKFQELNVQAPRSFTHVSINKRNFLFASSFKGNTQIYKHVIVDLSA
+>tr|A0A3Q1MR80|A0A3Q1MR80_BOVIN CNKSR family member 3 OS=Bos taurus OX=9913 GN=CNKSR3 PE=4 SV=1
+MEPVTKWSPKQVVDWTRGLDDCLQQYVHKFEREKINGEQLLQISHQDLEELGVTRIGHQE
+LVLEAVDLLCALNYGLETDNMKNLVLKLRASSHNLQNYISSRRKSPAYDGNTSHKPPNEF
+LTSVVELIGAAKALLAWLDRAPFTGITDFSVTKNKIIQLCLDLTTTVQKDCLVAEMEDKV
+LAVVKVLNGICDKTIRSTTDPVMSQCACLEEVHLPNIKPGEGLGMYIKSTYDGLHVITGT
+TENSPADRSQKIHAGDEVIQVNQQTVVGWQLKNLVRKLRENPTGVVLLLKKRPTSSFNFT
+PAPLKNLRWKPPLVQTSPPTTTTQSPDSTMDASLKKEKPAILDLYIPPPPSVPYSPREEN
+GGSVYGFSKCKQPQPGPKGSESPNSFLDQESRRRRFTIADSDQLPGYSVETNILPAKMRE
+KTPSYGKPRPLSMPADASWMGIVDPFARPRGHGRKSEDALCRYFSNERIPPILEESSSPT
+YRFSRPGTERQLVRGADYIRGSRCYITSDLHSSATIPFPEEGTKKKAGSSAAKSSSPEPS
+LLVSWLTRLKLLTH
+>tr|A0A3Q1M6K3|A0A3Q1M6K3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+LGSLDVVIKLVGGRSPCAGVPGLQHSNQTLTSCDPQSLEAGTILCKELGCGSMLQAPSPL
+PETEGPRKGQQFVGCEGSEPTVLNCKIDWANFKPCVSNDEVICSGGLEVVIKLVGGRSPC
+AGVPGLQHSNQTLTSCDPQSLEAGTVLCKELGCGSMLQAPSPLPETEGPRKGQQFVGCEG
+SEPTVLNCKIDWANFKEGWCELSVPTGHTEARLVGGEHSCAGRLEVRRGLTWGTVSGTML
+WASKIFFFLFLATAHVVCRELQCGAAVSTPQGAHFGQGPGLVWAEAFRCAGNESLLFHCP
+REPGHRCGHGQDAGLRCSGEFRLVNGSSACEGRVELQVQGAWAPLCAAHWDLADATVLCH
+QLDCGNAVATPPGGHFGGGASAPWPDEVHCVGTEPYLWSCAVSTLGAPACGPGDAAAAVC
+SGGRPCRNPGAPVGGELH
+>tr|F1N558|F1N558_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC617709 PE=4 SV=3
+MSVQNPSRLLDLAGKHLLRDDALAFSALEDLLTELFPHLFMEAFHGRHIETLKAMVQAWP
+FVRLPLGGLIDMPHMGPLQAVLEALDGLLAQKVRSRGCKLRVLDLRDTGQNFWSMWSGAS
+SYERSSSRMAPVAEQSSTAKRHLAPLKIFMDLCLKKRTLDNFLTYLLRWVEQRKASVHLC
+CKKLKISLDCIQEVQVNWIWHLSTLATFASFLGKMSNLQRLCLSPIHLSAFTKQEQDHLV
+QITSQFLRLGHLQDLHLDYPSFLEGFLDQMLRCLKSPLNNLSITNCWLTESDLTYLSQSP
+NISQLKGLDLSGVTMTDFNPEILHVLLEKVEATLQELDLDLCGITDSQLEAILPALSRCS
+QLRYFSLCENFLSMAVMEKLLRHTAGLPCLTQERYPAPQESYRSQGVLLEGRLAQLRAQL
+LEVLRDLGRPRIIWISPSPCLHCGENICYHLDPIVYRCTAPA
+>tr|A0A3Q1LQT1|A0A3Q1LQT1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=TRIM34 PE=4 SV=1
+MALNTVMNLQEEVSCPICRELLTEPLSLGCGHSFCQTCITNKETDISLGGDSSCPVCGTR
+YSLGNLWPNLHLANIVERLRKVKLSPEEGQKTGLCVHHEEKLLLFCKEDRKVICRLCERS
+QEHHGHHTFLMEEALKESQEMLQAALTRLRKEQQKAEKLEADIREERTSWKYQIQTERQR
+IQTEFNQLRSILDSEERRELQKLEEEEKKTLDSLAVAEAELVQQGELLKELISDLERRSE
+WSTVELLQVRRAIQPIWTLKKPKTVSKKLKNVFRVPDLRGMLHTFKDLTRVQCHWVDITL
+NPFNLNLNLVLSEDQRQVLSVPIWPVKYYNYGILGCQYFSSGKHYWEIDVSEKNAWILGV
+YCRIRSCNIKFAVQQSTSYENAYSIYRPQFGYWVIGLKDKFRYEAFEDSSTTHPDSRVLT
+LSMTVPPRHVGVFLDYEAGTVSFFNVTNHGSLIYKFSKCNFSRNAYPYFNPWDCPAPMTL
+CPPSS
+>tr|G3MX73|G3MX73_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=2
+MKAATRILLKPTQALYRLQEHVRRSLPELAQHKADMQRWEQQSQGAIYTVEYACSAVKNL
+VDSSVYFHSVEGLLKQAISIRDHMNTTAQGHSPEEPLPPSSA
+>tr|G3X8A5|G3X8A5_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC531039 PE=3 SV=2
+MDLLMLQNSVTEFVLLGLTQNPHLQKVLFIVFLLIFLFTMVANLLIAITISLSPTLSAPM
+YFFLTYLAFIDAFYTSTTTPKMAIDLLYQRRTISWHGCLAQLFLVHFLGGSEIIVLIVMA
+YDRYVAICKPLHYTAIMQQGLCQLLVVVALIGGILHATVQILFTVDLTFCGHNVMDHFMC
+DFFSLLEIACSHTHTLGMVVAANSGAMCLLIFFTLLISYIVILSSLKSHGSEGRRRALST
+CGSHFTVVVLYFVPCIFSYMRPVATYPGDKLVSVFFIIITPMLNPIIYTVRNTEVKNATW
+SLLKRRVA
+>tr|A0A3Q1ML32|A0A3Q1ML32_BOVIN Carbonyl reductase [NADPH] 1 OS=Bos taurus OX=9913 GN=CBR1 PE=1 SV=1
+MSSSNCVALVTGANKGIGFVIVRDLCRRFSGDVVLTARDEARGRAAVQQLQAEGLSPLFH
+QLDIDDRQSIRALRDFLRKEYGGLDVLVNNAGIAFKTADTTPFHIQAEVTMKTNFFGTRD
+VCTELLPLIKPQGRVVNVSSFVSVNSLKKCSRELQQKFRSETITEEELVGLMNKFVEDTK
+NGVHRKEGWPDTAYGVTKIGVTVLSRIHARKLSEQRGGDKILLNACCPGWVRTDMGGPKA
+SKSPEEGAETPVYLALLPSDAEGPHGEFISEKRVFTQTHVH
+>tr|A0A3Q1M427|A0A3Q1M427_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MPANLENSAVATGLEKVSFHSQRKSSIPKKSNAKEYSNYRTIALISHTSKGMFKILQARL
+QQYVNREIPDVQAGFRKGRGARDQIANIWWIIEKVREFQKNIYFCFIDCAKSFDCVDHNK
+LWKILKEMGIPDHLICLIRNLYAGQEATVRTGHGTTDWFQIGKGVCQGCILLPCLFNFYA
+EYIMRNAGLEEAQAGIKIAGRNINNLRYADDTTLMAENEEELKSLLMKVKEESEKVGLKL
+NIQKTKIMASGPITSWEIDGETVETVSDFIFGGSKITADGNCSHEIKRYLFLGRKAMTNL
+DSILKSRDITLPTRVHLVKAILFPVVMYGNESWTVKKAECQRIDAFELWC
+>tr|A0A3Q1LJY2|A0A3Q1LJY2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MQVQWSWHTGLVTPWHVGSSWARDRIHVPCIAKGVTSFSSKLSTPLRGTCDIQGGGAVHL
+ESVDILHLIKVLQEWIQEYEHNEKFLIKTHHVLVEGTPQCPESGHLFLIVHGISNMLLND
+EEAET
+>tr|E1BMZ2|E1BMZ2_BOVIN MDS1 and EVI1 complex locus OS=Bos taurus OX=9913 GN=MECOM PE=4 SV=3
+MKSEDYSHETMAPDIHEERQYRCEDCDQLFESKAELADHQKFPCSTPHSAFSMVEDDFQQ
+KLETENDLREIHAIQECKECDQVFPDLQSLEKHMLSHTEEREYKCDQCPKAFNWKSNLIR
+HQMSHDSGKHYECENCAKVFTDPSNLQRHIRSQHVGARAHACPECGKTFATSSGLKQHKH
+IHSSVKPFICEVCHKSYTQFSNLCRHKRMHADCRTQIKCKDCGQMFSTTSSLNKHRRFCE
+GKNHFAAGGFFGQGISLPGTPAMDKTSMVNMSHANPGLADYFGANRHPAGLTFPTAPGFS
+FSFPGLFPSGLYHRPPLIPASSPVKGLSSTEQTNKSQSPLMTHPQILPATQDILKALSKH
+PPVGDNKPVELQPERSSEERPLEKISDQSESSDLDDVSTPSGSDLETTSGSDLESDIESD
+KEKFKENGKMFKDKVSPLQNLASIHNKKEYSNHSIFSPSLEEQTAVSGAVNDSIKAIASI
+AEKYFGSTGLVGLQDKKVGALPYPSMFPLPFFPAFSQSMYPFPDRDLRSLPLKMEPQSPS
+EVKKLQKGSSESPFDLTTKRKDEKPLTPVPAKPSATPAASQDQPLDLSMGSRSRASGTKL
+TEPRKNHVFGEKKGGNIEPRPASDGSLQHARPTPFFMDPIYRVEKRKLTDPLEALKEKYL
+RPSPGFLFHPQMSAIENMAEKLENFSALKPEASELLQSVPSMFNFRAPPNALPENLLRKG
+KERYTCRYCGKIFPRSANLTRHLRTHTGEQPYRCKYCDRSFSISSNLQRHVRNIHNKEKP
+FKCHLCDRCFGQQTNLDRHLKKHENGNMSGTATSSPHSELESTGAILDDKEDAYFTEIRN
+FIGNSNHGSQSPRNAEERMNGSHFKDEKALVTNQNSDLLDDEEVEDEVLLDEEDEDNDIT
+GKMGKEPGTSNLHEGNPEDDYEDTSTLEMSCKASPMRYKEEEYKTGLSALDHIRHFTDSL
+KMRKMEDNQYTEAEVSSFSASHVPEELKQPLHRKSKSQVHTFLQYKKNFLS
+>tr|A0A3Q1LND9|A0A3Q1LND9_BOVIN Cytosolic purine 5'-nucleotidase OS=Bos taurus OX=9913 GN=NT5C2 PE=4 SV=1
+MSTDHSLCPPNPGVKLLELSFALPVDSTQDAEVRVFVNRSLAMEKIKCFGFDMDYTLAVY
+KSPEYESLGFELTVERLVSIGYPQELLSFAYDSTFPTRGLVFDTLYGNLLKVDAYGNLLV
+CAHGFNFIRGPETREQYPNKFIQRDDTERFYILNTLFNLPETYLLACLVDFFTNCPRYTS
+CETGFKDGDLFMSYRSMFQDVRDAVDWVHYKGSLKEKTVENLEKYVVKDGKLPLLLSRMK
+EVGKVFLATNSDYKYTDKIMTYLFDFPHGPKPGSSHRPWQSYFDLILVDARKPLFFGEGT
+VLRQVDTKTGKLKIGTYTGPLQHGIVYSGGSSDTVCDLLGAKGKDILYIGDHIFGDILKS
+KKRQGWRTFLVIPELAQELHVWTDKSSLFEELQSLDIFLAELYKHLDSSSNERPDISSIQ
+RRIKKVTHDMDMCYGMMGSLFRSGSRQTLFASQVMRYADLYAASFINLLYYPFSYLFRAA
+HVLMPHESTVEHTHVDINEMESPLATRNRTSVDFKDTDYKRHQLTRSISEIKPPNLFPLA
+PQEITHCHDEDDDEEEEEEE
+>tr|A0A3Q1LIA7|A0A3Q1LIA7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MSMPSGLAHHPAAQTLLWTARRLLHKGQHPAQLRRDVCMPSGSTSTHRLPGGLRQRDLPS
+VNRAKAPAWQLHHHSPHTCIPELLSLVLGPLFTHPPNPEHLLSTQVLTHKYNLHCPAGPQ
+PAIA
+>tr|A0A3Q1MYM6|A0A3Q1MYM6_BOVIN Protein arginine N-methyltransferase 5 OS=Bos taurus OX=9913 GN=PRMT5 PE=3 SV=1
+LGSLGTAAREFTQEPAKSRPGPQTRSDLLLSGRDWNTLIVGKLSPWIRPDSKVEKIRRNS
+EAAMLQELNFGAYLGLPAFLLPLNQEDNTNLARVLTNHIHTGHHSSMFWMRVPLVAPEDL
+RDDIIENAPTSHTEEYSGEEKTWMWWHNFRTLCDYSKRIAVALEIGADLPSNHVIDRWLG
+EPIKAAILPTSIFLTNKKGFPVLSKMHQRLIFRLLKLEVQFIITGTNHHSEKEFCSYLQY
+LEYLSQNRPPPNAYELFAKGYEDYLQSPLQPLMDNLESQTYEVFEKDPIKYSQYQQAIYK
+CLLDRVPEEEKDTNIQVLMVLGAGRGPLVNASLRAAKQADRRIKLYAVEKNPNAVVTLEN
+WQFEEWGSQVTVVSSDMREWVAPEKADIIVSELLGSFADNELSPECLDGAQHFLKDDGVS
+IPGEYTSFLAPISSSKLYNEVRACREKDRDPEAQFEMPYVVRLHNFHQLSAPQPCFTFSH
+PNRDPMIDNNRYCTLEFPVEVNTVLHGFAGYFETVLYQDITLSIRPETHSPGMFSWFPIL
+FPIKQPITVREGQTICVRFWRCSNSKKVWYEWAVTAPVCSAIHNPTGRSYTIGL
+>tr|A0A3Q1NGU4|A0A3Q1NGU4_BOVIN KAT8 regulatory NSL complex subunit 3 OS=Bos taurus OX=9913 GN=KANSL3 PE=4 SV=1
+MAHRGGERDFQTSARRMGTSLLFQLSVHERELDLVFLDHSYAKPWSAHPDASSARPTRML
+FVTPRRQHESTIESDVPIDVETVISTPVPLYDNQKARSVMNECERHVIFARTDADAPPPP
+EDWEEHVNRTGWTLAQNKLFNKILKALQSDRLARLANEGACNEPVLRRVAVDKCARRVRQ
+ALASVSWDTKLIQWLHTTLVETLSLPMLAAYLDALQTLKGKIPTLIDRMLVSSNTKTGAA
+GAEALSLLLKRPWDPAVGVLSHNKPSKLPGSPLILIASSGPSSSVFPTSRRHRFWQSQLS
+CLGKVIPVATHLLSNGSGVGVLQCLEHMIGALRSKVLEIHSHFPHKPIILIGWNTGALVA
+CHVSVMEYVTAVVCLGFPLLTVDGPRGDVDDPLLDMKTPVLFVVGQNSLQCHPEAMEDFR
+EKIRAENSLVVVGGADDNLRISKAKKKSEGLTQSMVDRCIQDEIVDFLTGVLTRTEGHVG
+SEPRDQDAEKKKKPRDVARRDLAFEVSERGSRPASPAAKLPASPSGSEDLSSVSSSPTSS
+PKTKVTTVASSQKSSQIGSTQLLKRHVQRTEAVLTHRQAQAQFAAFLKQNMLVRKALPPG
+TSSCLFVPISSEQTEEAEKEELRVQLKRHHPSSPLAGSKTCKRPKIKVSLISQGDAAGGP
+CPPSSGGAPEAAGGKPLTMTLGQASTGATELTGLLATAKSGASEGCVSASQTSSVVSSST
+SPSALHTLQSRLVAPSPGSSLPGAASASSLLQGLSFSLQDISSKASGLPASPSPGPAPQA
+ASVKLPAPVQSLGAVTTGAGSIVRAVPVATALSSLGAAPGGKPTAIHQLLTNGGLAKLAS
+SLPGLAQISNQASGLKVPTTITLTLRGQPSRITTLSPVGTGAAPSEEPASQALPSSSQAQ
+TLRSWAPHPEGLRQDSQESRLPCAAGGETRVLEMSSLVLSRALGSGWGSKALPILT
+>tr|A0A3Q1LR78|A0A3Q1LR78_BOVIN TOX high mobility group box family member 3 OS=Bos taurus OX=9913 GN=TOX3 PE=4 SV=1
+MNMAEANNAFFAASEQTFHTPSLGDEEFEIPPITPPPESDPALGMPDVLLPFQGLSDPLP
+SQGSEFTPQFPPQSLDLPSITISRNLVEQDGVLHSSGLHMDQSHTQVSQYRQDPSVIMRS
+IVHMTDAARSGIMPPAQLTTINQSQLSAQLGLNLGGASVPHTSPSPPASKSATPSPSSSI
+NEEDADETNRAIGEKRAAPDSGKKPKTPKKKKKKDPNEPQKPVSAYALFFRDTQAAIKGQ
+NPNATFGEVSKIVASMWDSLGEEQKQVYKRKTEAAKKEYLKALAAYRASLVSKAAAESAE
+AQTIRSVQQTLASTNLTSSLLLNPPLSQHGTVSASPQTLQPSLPRSIAPKPLTMRLPVNP
+MVTSVTIAANMPSNLGAPLISSMVGSASSAQVSPSVQTQQQQQQQQMQQLQQQQLQQQQL
+QQQQLQQQLQQQHFQHHVQLQQQQLQQHINQQQLQQRLQLQQLQHMQHQSQPSPRQHSPV
+TSQITSPIPALGSPQPASQQHPSQIQSQTQTQVLSQVSIF
+>tr|A0A3Q1N318|A0A3Q1N318_BOVIN Protein NDRG3 OS=Bos taurus OX=9913 GN=NDRG3 PE=4 SV=1
+MDELQDVQLTEIKPLLNDKNGTRNFQDFDCQEHDIETAHGMVHVTIRGLPKGNRPVILTY
+HDIGLNHKSCFNAFFNFEDMQEITQHFAVCHVDAPGQQEGAPSFPTGYQYPTMDELAEML
+PPVLTHLNLKSIIGIGVGAGAYILSRFALNHPELVEGLVLINVDPCAKGWIDWAASKLSG
+LTTNVVDIILSHHFGQEELQANLDLIQTYRLHIAQDINQENLQLFLGSYNGRKDLEIERP
+ILGQNDNKSKTLKCSTLLVVGDSSPAVEAVVECNSRLNPVNTTLLKMADCGGLPQVVQPG
+KLTEAFKYFLQGMGYIPYVQLSHLSTESVPSASMTRLARSRTHSTSSSIGSGESAFSRSV
+ASNQSDGTQESSESPDVLDRHQTMEVSC
+>tr|M0QW44|M0QW44_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC789766 PE=3 SV=2
+MGKTNQSSVTEFVLLGLSGYPELEAIYFVLVLFMYLVILLGNGVIIIVSVCDSHLHTPMY
+FFLSNLSFLDICYTSSSIPLFLSSFLTTKKTISFSGCGVQMFLSFAMGATECVLLSMMAF
+DRYVAICNPLRYPIIMSKNSYVPMAAGSWIAGDVNSMLQTSLAMQLPFCGDNVINHFTCE
+ILAVLKLACADISINVISMVVANMIFLVVPVLFIFISYVFILSTILRIPSSEGRRKAFST
+CSAHLTVVIIFYGTILFMYAKPKAKDSSGADKVQVTDKIISLFYGVVTPMLNPLIYSLRN
+KDVKAAVKNILCQKCFSGGK
+>tr|F1MVW0|F1MVW0_BOVIN ADAM metallopeptidase domain 21 OS=Bos taurus OX=9913 GN=ADAM21 PE=4 SV=3
+MRCGLTNKAAHQQLEFKEAEKLTLKQNSAYNRWAHLWFLELVVVVDHNFFIYSQSNFSKV
+QENVFVVVNIVDSIYQQLGTYVILMGIEIWNYGNVFPLISIEQVLEDFSQWKQISLSQLQ
+YDAAHIFIENSLISVLGIAYVAGICHPPLDCGVNNFKGDPWSVFALTVAHELGHTLGMKH
+DEEFCVCGQSACIMNAIRLPAERFTNCSYAEFTKTTLNQGSCLHNLPIPEAVFMLNHCGN
+GVIEGEEKCDCGSIKQCEQDPCCLLNCTLRPGAACAFGLCCKDCKFMPSGELCRPQINEC
+DLPEWCNGTSHQCPEDVHVQDGIPCSDSAFCYQKSCNSHDEQCREIFGEGAKSASQRCYK
+EINSQGSRFGHCGVNGTTYLKCPISDSFCGRVHCENVGNIPHLRDHSNLQYTHINGVTCW
+STDYHLEMSIPDIPDVGEVKDGTMCGPGKICIHKKCANKIHFPASESSLCFFEHRYDSGK
+AGDIHLGTQG
+>tr|A0A3Q1MG18|A0A3Q1MG18_BOVIN Mitogen-activated protein kinase OS=Bos taurus OX=9913 GN=MAPK8 PE=4 SV=1
+MSRSKRDSNFYSVEIGDSTFTVLKRYQNLKPIGSGAQGIVCAAYDAILERNVAIKKLSRP
+FQNQTHAKRAYRELVLMKCVNHKNIIGLLNVFTPQKSLEEFQDVYIVMELMDANLCQVIQ
+MELDHERMSYLLYQMLCGIKHLHSAGIIHRDLKPSNIVVKSDCTLKILDFGLARTAGTSF
+MMTPYVVTRYYRAPEVILGMGYKENVDIWSVGCIMGEMIKGGVLFPGTDHIDQWNKVIEQ
+LGTPCPEFMKKLQPTVRTYVENRPKYAGYSFEKLFPDVLFPADSEHNKLKASQARDLLSR
+MLVIDASQRISVDEALQHPYINVWYDPSEAEAPPPKIPDKQLDEREHTIEEWKGNIYDIL
+WNFNRPPVVKHTIIYHQERETQCQLYCTIKLTEKKLIYKEVMDLEERTKNGVIRGQPSPL
+GWLQYKLSYDCSLLLVS
+>tr|A0A3Q1NAD9|A0A3Q1NAD9_BOVIN Dynein assembly factor 3, axonemal OS=Bos taurus OX=9913 GN=DNAAF3 PE=4 SV=1
+MRDHRAQCGGALPRDGRVGAPESRRGGPGAGAGRRGGKLGARVLSEVGADRTRQVPGAFK
+VTPDAPSLTVQELLAPRVLPPSAPGLRPSMADRSGGSTAGDTVPAPPPVRRRSSANYRAY
+ATEPHAKKKSKISASRKLQLKTLMLQIAKQELEREAEERRGEKGRALSTRCQPLELAGLG
+FAELQDLCRQLHARVDKVDEERYDVEAKVTKNITEIADLNQKIFDLRGKFKRPTLRRVRI
+SADAMMQALLGARAKETLDLRAHLKQVKKEDTEKENREVGDWRKNIDALSGMEGRKKKFE
+G
+>tr|A0A3Q1MK16|A0A3Q1MK16_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MSQPGIPASGGSSTGLQAQNGAASASGSPYTNGPVQNALMSSQVSVSQGYNSQLPGSYPH
+LIPAKTLNPVSGQSNSGGSQTVSPLSNYQGPGQALYGPPVASHPVTPSLRSGPSPQMPLP
+TSQNPAATPMPSGSFLPGASVSSPSNWQYNYLSQTNHCPRASSQPTMTGNTNLMSPQYVS
+SGDSSLQNNIIKSGTYFQGRNKNVDTENGLVDMGRWRERAGRMKRLAWT
+>tr|A0A3Q1MF79|A0A3Q1MF79_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MQGFFNIRKSINVIHHINKLKNKNHMIISIDAEKAFDKIQHPFMIRTLQKAGIEGTYLNI
+IKAIYDKPTANIILSGEKLKAFPLKSGTRQGCPLSPLLFNIVLEVLATAIRAEKEIKGIQ
+IGKEEVKLSLFADDMILYIENPKDSTRKLLELINDYSKVAGYKINTQKSLAFLYTNNEKT
+EREIKETIPFTIAMERIKYLGIYLPKETKDLYIENYKTLVKEIKEDTNRWRNIPCSWIGR
+INIVKMSILPKAIYRFNAIPIKLSTVFFTELEQIISQFVWKYKKPQIAKAILRKKNGTGG
+INLPDFRLYYKATVIKTVWYWHKDRNIDQWNKIESPEIHPRTYGHLIFDKGGKNIQWIKD
+NLFNKWCWENWSMTCKRIKLEHFLTPYTKINSKWIKDLNVRPETIKLLEENIGKTLSDIH
+HSRILYDPPPRILEIKAKINKWDLINLKSFCTTKETISKVKRQPSEWEKIIANEATDKQL
+ISKIYKQLLQLNSRKINDPIKKWAKELNRHFSKEDIQMANKHMKRCSTSLIIREMQIKTT
+MRYHFTPVRMAAIHKSTSNKCWRGCGEKGTLLHCWWECKLVQPLWRTVWRFLKKLETELP
+YDPAIPLLGIHTEETRRERDTCTPVFIAALFIIARTWKQPRCPSADEWIRKLWYIYTMEY
+YSAIKKNTFESVLMRWMKLEPIIQSELSQKEKHQYSMLTHIYGI
+>tr|A0A3Q1MFA7|A0A3Q1MFA7_BOVIN Ins145_P3_rec domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MLKILQARLQQYVNHELPDVQAGFRKGRGTRDQIEDEVVLQCIANVHKEQRKFCLAAEGL
+GNRLCFLEPTSEAKYVPPDLCVCNFVLEQSLSVRALQEMLANTGENGGEGVNLSYWAAQG
+GGHRTLLYGHAILLRHSFSGMVSSPGSLSPLKEKGQGRANSLGHTAGRVSLETVFNMTFS
+PK
+>tr|A0A3Q1LJR7|A0A3Q1LJR7_BOVIN Adenosine deaminase, tRNA specific 1 OS=Bos taurus OX=9913 GN=ADAT1 PE=4 SV=1
+MRGKSLPHGGFKACPCFSFVPTNTRFMLRELPGDLEGLSTMWTADEIARLCYEHYGSKLP
+KQGKPEPNREWTLLAAVVKIQPTADQACDHSDGRVQVTKEVVSMGTGTKCIGQSKMRKSG
+DILNDSHAEVIARRSFQRYLLHQLHLAAALKEDSIFLPGSQRGLWKLRPDLLFVFFSSHT
+PCGDASIIPMLEFEDQPCCPVSRDWASNPSVETSDNLEAPEDKRKCEDPESPVTKKMRLE
+PRTPGGTAHRQSFGSQERGPNPPDVSSSNLTAEELASVTGMTPSGAQVVDVYRTGAKCVP
+GEAGDSGQPGAAYHRVGLLRVKPGRGDRTRSMSCSDKLARWNILGCQGALLMHFLEEPIY
+LSAVVIGKCPYSQEAMQRALIRRCQNVSALPEGFGVQEVKIQQSDLLFEQSRRAVQTRKA
+DSPGRLVPCGAAISWSAVPEQPLDVTANGFPQGTTKKGIGRLQARSRISKVELFRSFQKL
+LSSISEDKWPDSLSGEARE
+>tr|A0A3Q1MXM4|A0A3Q1MXM4_BOVIN Discs large MAGUK scaffold protein 1 OS=Bos taurus OX=9913 GN=DLG1 PE=3 SV=1
+MNYIFGNNTLLYSRASRGGNTSSSHGSVGPKQKHWAKKGSSDELQAEPEPSRWQQIVAFF
+TRRHSFIDCISVATSSTQANPPPVLVNTDSLETSTYVNGTDADYEYEEITLERGNSGLGF
+SIAGGTDNPHIGDDSSIFITKIIAGGAAAQDGRLRVNDCILRVNEIDVRDVTHSKAVEAL
+KEAGSIVRLYVKRRKPVSEKIMEIKLIKGPKGLGFSIAGGVGNQHIPGDNSIYVTKIIEG
+GAAHKDGKLQIGDKLLAVNSVCLEEVTHEEAVTALKNTSDFVYLKVAKPTSMYMNDGYAP
+PDITNSSSQPIDNHVSPPSYLVQTPASPARYSPVSKAVLGDDEITREPRKVVLHRGSTGL
+GFNIVGGEDGEGIFISFILAGGPADLSGELRKGDRIISVNSVDLRTASHEQAAAALKNAG
+QAVTIVAQYRPEEYSRFEAKIHDLREQMMNSSISSGSGSLRTSQKRSLYVRALFDYDKTK
+DSGLPSQGLNFKFGDILYVINASDDEWWQARQVTPDGESDEVGVIPSKRRVEKKERARLK
+TVKFNSKARGDKGVQHVTSNASDSESSYRKCFKLFVLLLSPFIFIVNYTRPVIILGPMKD
+RINDDLISEFPDKFGSCVPHTTRPKRDYEVDGRDYHFVTSREQMEKDIQEHKFIEAGQYN
+NHLYGTSVQSVREVAEKGKHCILDVSGNAIKRLQIAQLYPISIFIKPKSMENIMEMNKRL
+TEEQARKTFERAMKLEQEFTEHFTAIVQGDTLEDIYNQVKQIIEEQSGPYIWVPAKEKL
+>tr|A0A3Q1M0Q1|A0A3Q1M0Q1_BOVIN ER membrane associated RNA degradation OS=Bos taurus OX=9913 GN=ERMARD PE=4 SV=1
+MICKLGFEVRESCDISSIVTQNGEVCWKKITDCMVYTESAQGLDHPESVRLLGPVCQAVH
+LHLSSLPEGQFEMRYAPALQWTGVPELFPEILGALRSPESPSICLSLMKLSSCLERALGD
+VYLLIGKDCPFLLRDLLASEELAQVFGQPVMDVLKVFVGSPRGLNLRNVLWHGFAAPQEV
+PPKYCSMMVLLTAGLGQLLTGFLQQTNFTLAHRPFVTLTSLEDLIVFPDVTSEVLSVLEE
+VMKKSTFILKIMLPYWEVALTKLKSHRFADCAILLLMQLETGLRRVFAEVNECPKRLLTA
+ESTALYTTFDEILAKHLNDGKINQLPLFLGEPAMEFLWDFLNHQEGPRLRDRLSHGEVSL
+PEFPKEAASQLLAFCFVLLLRFIDEDLLAVFKEKAAVGSLINLAGTYVSRCHPASQLKKQ
+VLSCEGSVGAWPLLPLPEEAEREPVRWPRLLHVLCSIPVQTLFCPRAVLEVLAVLRRVSV
+HCRRVCGQVAVCVELRRRQWEDRSLRSRQRRNYLRLVRSMKLLSPMLYLILLLIALELIN
+IHMVLGKNASEYQQYLRFLKCILQYTENLAACTRQDRNKWDDAVRLTHTALLKIWTFIEK
+KQMLMHLAEKSTIKVV
+>tr|A0A3Q1NAL9|A0A3Q1NAL9_BOVIN Coiled-coil domain containing 146 OS=Bos taurus OX=9913 GN=CCDC146 PE=4 SV=1
+MEDSTTDTDQEEEEEKGGKAQEDPIYATAPTINIQDERFVDLSTTPAFICLHELHALGKL
+PGTRMAELKAKYTLLHDTVMSTQESEVQLLQDAKRFTEQIQEQQFHLQQADNFPQAFTTE
+VSKMREQLLKYQNEYNAVKEREFHNQYRLNSLVEEKNLILKEFEKIPKPGEMEKKMRLLR
+ESTEELRKEVMQKKLEIKNLREDLTSKQKQLLKEQKELEELLEYQVTLKDEVVHHQSIPV
+QIGKEIEKTTRKKVEMEKKKLVLEYELKELNDSLKKVETKINAVMEEKEDVIKEVEGKRA
+LLEIKEREYNQLVKLLELTRENEASSLTERGILDLNLRNCLIDKHNYHDELSRKQREKER
+DFRNLKKMELLLKVSWDALNQTQALHQRLLLEQKYTSIVREIKAKDLEIRIHRKKKREIH
+RRLREFAKLYDTIRNERNKFINLLHKAHQKVNEIKERHKMSLNELEILRNSAVTQERKLQ
+NSMLKHANNITIRESMQNDVCKIVAKLQEMKEKKEAQLNNIDRLANMITMIEEEMVQLRK
+KYERAVQRRNESGVQLIEREEEVCIFYEKINIQEKMKLNGEIELHVLEEKIRFLKLKIAE
+KQRQIHVTRKLLPVKSALDADLAVLQIQFSQCTDKIKDLEKKFINPEGENRTRLLPGKDM
+TEEEMIKKMDELELQLAKKEEKLLEKDFIYEQVSRLTDRLYSKTQACKQDTLLLAKKMNG
+YQKKIKDATEKMMALVAELSMKQAMAIELQKEVKEKEEFIFTCNSRMEKGLPLNKEIERE
+WLKVLRDEEMYAMAIAEKSREFLEAETRQLPNGVYTTAEQRPNAYIPEAEATLPLPKPYG
+ALAPFKPSEPGANIRHIRKPVIKPIEI
+>tr|A0A3Q1MUR7|A0A3Q1MUR7_BOVIN Golgi associated kinase 1B OS=Bos taurus OX=9913 GN=GASK1B PE=4 SV=1
+MSCPDKPGQLINWFLCSLCVPRVRKLWSSRRPRTRRNLLLGTACAIYLGFLVSQVGRASV
+QHARPAGKGPHRSRAATEAPFPEIPLDGTLAPPESQGNGTTLQPNVVYITLRSKRSKPAN
+IRGTVKPKRRKKYAVASRVPGPEALVGPSLRPQVAARAVDSEVPGHVPGGNLAKAGERPW
+RWIQGPRARGGGADVQLPLAVESNIRIYSESAPSWLSKEDIGQMRLLANRAVAGLQPAQS
+RSGARLLVLEGTTRPSVPACGPSPCGLLKQSLDMSEVFAFHLDRILGLNRTLPSVSRKSE
+FIQDGRPCPFILWDPSLSPTNNETHSSVKLTWGTYQQLLKQKCWQNGRVPKPEWGCTEIH
+HHEWSKMALFDFLLQIYNRLDTNCCGFRPRKEDTCVQSGQSSKCDNQDTVVLAHIVQRKQ
+DPRHLVFIDNKGFFDRSEDNLNFKLLEGIREFPESAVSVLKSQHLRQKLLQSLFLDKVYW
+ESQGGRQGIEKLIDVIEQRAKILLTYINAHGAKVLPMNE
+>tr|F1MMG3|F1MMG3_BOVIN Beta-catenin-like protein 1 OS=Bos taurus OX=9913 GN=CTNNBL1 PE=4 SV=1
+MDVGELLSYQPNRGTKRPRDDEEEELKMRRRQAGTRERGRYREEEMTVVEEADDDKKRLL
+QIIDREGEEEEEEEEPLDESSVKKMILTFEKRSYKNQELRIKFPDNPEKFMESELDLNDI
+IQEMHVVATMPDLYHLLVELNAVQSLLGLLGHDNTDVSIAVVDLLQELTDIDTLHESEEG
+AEVLTDALVDGQVVALLVQNLERLDESVKEEADGVHNTLAIVENMAEFRPEMCTEAAQQG
+LLQWLLKRLKAKMPFDANKLYCSEVLAILLQDNDENRELLGELDGIDVLLQQLSVFKRHN
+PSTAEEQEMMENLFDSLCSCLMLSSNRERFLKGEGLQLMNLMLREKKISRSSALKVLDHA
+MIGPEGTDNCHKFVDILGLRTIFPLFMKSPRKIKKVGTTEKEHEEHVCSILASLLRNLRG
+QQRTRLLNKFTENDSEKVDRLMELHFKYLDAVQVADKKIEGEKHDMVRRGEIIDNDIEDE
+FYLRRLDAGLFVLQHICYIMAEICNANVPQIRQRVHQILNMRGSSIKIVRHIIKEYAENI
+GDGRSPEFRESEQKRILALLENF
+>tr|A0A3Q1LMI1|A0A3Q1LMI1_BOVIN Leucine-rich repeat-containing protein 39 OS=Bos taurus OX=9913 GN=LRRC39 PE=4 SV=1
+MSENVVSTGAVNAVKEVWEKRIKKLNEDLKREKEFQQKLVRIWEERVCLTKLREKVTKED
+GRVILKIEKEEWKTLPSSLLKLNQLQEWQLHRIGLLKIPEFIGRFQNLIVLDLSRNTITE
+IPRGIGLLTRLQELILSYNRIKTVPMELSYCASLEKLELAVNRDISDLPQELSNLLKLTH
+LDLSMNLFTTIPPAVLNMPALEWLDMGSNRLEQLPDTIERMQNLHTLWLQRNEITCLPET
+ISSMKNLSTLVLSNNKLQDIPVCMEKMTNLRFVNFRDNPLKLEVTLPPSENIEEEEEREL
+FGLQFMHTYIQESRRAEYLVLLSETFIKLNGPQDFISVILV
+>tr|A0A3Q1NFE3|A0A3Q1NFE3_BOVIN Syntaxin-8 OS=Bos taurus OX=9913 GN=STX8 PE=4 SV=1
+MAPDPWFSTYDSTCQIAQEIAEKIQQRNQYERNGENTTKLTVTIRALLQKLKEKIALLKD
+LLLRAVATHQITQLEGDRRQNLLDDLVTRERLLLASFKNEGAEPDLIRSSLMTGGAKRGA
+PNPWLLEEPEETRGLGFDEIRQQQQKIIQEQDAGLDALSSIISRQKQMGQEIGNELDEQN
+GQRLGLYPGPCSRLRDHLMREARIFQRKSCGNSCRGKGHLSSGPGTAGPGDSLGFPLPGL
+HRSP
+>tr|F1MGP8|F1MGP8_BOVIN KRAB domain-containing protein OS=Bos taurus OX=9913 GN=ZNF677 PE=4 SV=2
+MELSQGLFTFKDVAVEFTQEEWECLDPIQRALYRDVMLETYRNLLSLGHFLTTGPPAKNL
+CLHPYPIYSIL
+>tr|G5E500|G5E500_BOVIN Myeloid-associated differentiation marker-like protein 2 OS=Bos taurus OX=9913 GN=MYADML2 PE=4 SV=1
+MGSTMEPPGGGYLHLGAVTSPVGTARVLQLVFGCTTFSLVAHRGGFSGVQGTFCVAAWGF
+CFALSVLVVACEFTRLHGCLRLSWGNFTAAFAMLATLLSATAAVIYPLYFTRLECPPEPE
+GCTARNFRLAASVFAGLLFLAYATEVALTRARPGQVASYMATVSGLLKIVQAFVACIIFG
+ALVHDSRYGRYVATQWCVAVYSLCFLATVVVVILSVLGHTGGLGCPFDRMVVVYTFLAVL
+LYLSAAVIWPVFCFDPKYGEPGRPPDCPRGSCPWDSQLVVATFTYINLLLYVADLAYSQR
+IRFVPTF
+>tr|F1MG24|F1MG24_BOVIN ATP binding cassette subfamily A member 1 OS=Bos taurus OX=9913 GN=ABCA1 PE=4 SV=1
+MAYWPQLRLLLWKNLTFRRRQTCQLFLEVAWPLFIFLILISVRLSYPPYEQHECHFPNKA
+MPSAGTLPWVQGIICNANNPCFRYPTPGEAPGVVGNFNKSIVSRLFSDARRLLLYSHRDT
+SMKDIRKVLKTLYQIERFSSRLKLQDFLVDNETFSGFLHHNLSLPRPTVDKMLGADVSLR
+KVFLQGYQLHLSNLCNGSKLGEVIRLGDQEVSRFCSLPREKLNAAQQVLRSNLDILKPVL
+TKLNSTSLFPTEELGEATKALLDSLGNLAQELFSMRSWSDMRQEVMFLTNVNSSSSSTQI
+YQAVSRIICGHPEGGGLKIKSLNWYEDNNYKALFGGNGTEDDAGTVYDNSTSPYCNDLMK
+NLESSPLSRIIWKALKPLLVGKILYTPDTPVTRRLMTEVNKTFQELAVFHDLEGMWQELS
+PKIWTFMESSSEMDLVRTLLDSRGNDDFWEQRLEGSDWTAKDIVAFLAKHPEDVQSTNGS
+VYTWREAFNETNQAIQTISRFMECVNLNKLEPVATEVLLINKSMELLDERKFWAGVVFTG
+IAPGSVELPHHVKYKIRMDIDNVERTNKIKDGYWDPGPRADPFEDMRYVWGGFAYLQDVV
+EQAIIRVLTGTEKKTGVYMQQMPYPCYVDDIFLRVMSRSMPLFMTLAWIYSVAVIIKGIV
+YEKEARLKETMRIMGLDNGILWFSWFISSLIPLLVSAGLLVVILKLGNLLPYSDPSVVFV
+FLSVFATVTILQCFLISTLFSRANLAAACGGIIYFMLYLPYVLCVAWQDYVGFTLKIFVS
+LMSPVAFGFGCEYFALFEEQGIGVQWDNLFESPTEEDGFNLTTSVSMMLFDTFLYGVMTW
+YIEAVFPGQYGIPRPWYFPCTKSYWFGEESDEKSHPGSSHKGASEICMEEEPTHLKLGVS
+IQNLMKVYRDGMKVAVDGLALNFYEGQITSFLGHNGAGKTTTMSILTGLFPPTSGTAYIL
+GKDIRSEMSTIRQNLGVCPQHNVLFDMLTVEEHIWFYARLKGLSEKHVKAEMEQMALDVG
+LPPSKLKSKTSQLSGGMQRKLSVALAFVGGSKVVILDEPTAGVDPYSRRGIWELLLKYRQ
+GRTIILSTHHMDEADILGDRIAIISHGKLCCVGSSLFLKNQLGTGYYLTLVKKDVESSLS
+SCRNSSSTVSYLKKEDSVSQSSSDAGLGSDHESDMLTIDVSVISNLIRKHVAEARLVEDI
+GHELTYVLPYEAAREGAFVELFHEIDDRLSDLGISSYGISETTLEEIFLKVAEESGVDAE
+TSDGTLPARRNRRVFGDKQSCLRPFTEDDAMDPNDSDIDPESRETDLLSGMDGKGSYQVK
+GWKLTQQQFVALLWKRLLIARRSRKGFFAQIVLPAVFVCIALVFSLIVPPFGKYPSLELQ
+PWMYNEQYTFVSNDAPEDVSTQELLNALTGKPGFGTRCMEGNPIPETPCLVGEEKWNTAP
+VPQTITDLFRNGNWTMENPSPTCQCSSDKIKKMLPVCPLGAGGLPPPQRKQNTADILQNL
+TGRNISDYLVKTYVQIIAKSLKNKIWVNEFRYGGFSLGASNSQSLPPSEEVNDAIKQMKK
+HLKVVKDSSADRFLSSLGRFMTGLDTKNNVKVWFNNKGWHAISSFLNVINNAILRANLQK
+GANPSQYGITAFNHPLNLTKQQLSEVALMTTSVDVLVSICVIFAMSFVPASFVVFLIQER
+VSKAKHLQFISGVKPVIYWLSNFVWDMCNYVVPATLVIIIFICFQQKSYVSSTNLPVLAL
+LLLLYGWSITPLMYPASFVFKIPSTAYVVLTSVNLFIGINGSVATFVLELFTNNKLNNIN
+DILKSVFLIFPHFCLGRGLIDMVKNQAMADALERFGENRFVSPLSWDLVGRNLFAMAVEG
+VVFFLITVLIQYRFFIRPRPVKAKLPPLNDEDEDVKRERQRILDGGGQNDILEIKELTKV
+YRRKRKPAVDRICVGIPPGECFGLLGVNGAGKSSTFKMLTGDTTVTRGDAFLNKNSILSD
+IHEVHQNMGYCPQFDAITELLTGREHVEFFALLRGVPEKEVGKVGEWAIRKLGLVKYGEK
+YAGNYSGGNKRKLSTAMALIGGPPVVFLDEPTTGMDPKARRFLWNCALSIIKEGRSVVLT
+SHSMEECEALCTRMAIMVNGRFRCLGSVQHLKNRFGDGYTIVVRIAGSNPDLKPVQEFFE
+LAFPGSVLKEKHRNMLQYQLPSSLSSLARIFSILSQSKKRLHIEDYSVSQTTLDQVFVNF
+AKDQSDDDHLKDLSLHKNQTVVDVAVLTSFLQDEKVKESYV
+>tr|A0A3Q1M7W8|A0A3Q1M7W8_BOVIN NADH:ubiquinone oxidoreductase complex assembly factor 5 OS=Bos taurus OX=9913 GN=NDUFAF5 PE=4 SV=1
+MPLLTPLRFSWRRLPAAGVPVKNLGLRTVASGASSPSGASPKAFNIFDRDLKRKQKNWAA
+RQPEPMKFDYLKEEVGSRIADRVYDIARDFRLALDVGCGRGYVAEHLNKETVGKFFQTDI
+AENALKNALETEIPTVSVLADEEFLPFRENTFDLVVSSLSLHWVNDLPRALEQIHYVLKP
+DGVFIGAMFGGDTLFELRCSLQLAETEREGGFSPHVSPFTAVNDLGHLLGRAGFNTLTVD
+TDEIQVNYPGMFELMEDLQEMYRNEDGSVPATYQIYYMIGWKYHDSQARPAERGSATVSF
+GELGKINDLMSQGKKSQ
+>tr|A0A3Q1LKE4|A0A3Q1LKE4_BOVIN TEA domain transcription factor 1 OS=Bos taurus OX=9913 GN=TEAD1 PE=4 SV=1
+MERMSDSADKPIDNDAEGVWSPDIEQSFQEALAIYPPCGRRKIILSDEGKMYGRNELIAR
+YIKLRTGKTRTRKQVSSHIQVLARKKVREIQAAIKDQTAKDKALQHMAAMSSAQIVSATA
+IHNKLGLPGIPRPTFPGAPGFWPGMIQTGQPGSSQDVKPFVQQAYPIQPAVTAPIPGFEP
+ASAPAPSVPAWQGRSIGTTKLRLVEFSAFLEQQRDPDSYNKHLFVHIGHANHSYSDPLLE
+SVDIRQIYDKFPEKKGGLKELFGKGPQNAFFLVKFWADLNCNIQDDAGAFYGVTSQYESS
+ENMTVTCSTKVCSFGKQVVEKVETEYARFENGRFVYRINRSPMCEYMINFIHKLKHLPEK
+YMMNSVLENFTILLVTVLSLSCFVRLSHEAAREEGPGWVWVPGGQSAAEFMHP
+>tr|F1MFU7|F1MFU7_BOVIN Zinc finger protein 862 OS=Bos taurus OX=9913 GN=ZNF862 PE=4 SV=3
+MEPRESGKAPVTFDDITVYLLQEEWVLLSQQQKDICGSDKLVAPLGSTIASPELFYKFEQ
+GPEPWLASVQGQRSHLSPNPGKTKMGFVEEMDMQSSSREAGLYLPPQKEACLSHFSSERG
+SLQGDCAGRSSKPSKPRSIQKSWFAQFPWLVMNEEQTALFCCACREYPSVRDKRSRLIEG
+YTGPFKVETLKYHAKSKAHVFCVKALAARDPLWAARFQSTQEVSGDVLASQGPFFPADYP
+IFYPPGPLGACDNVAQLLPSSRAALGDPGGNGAIPALYLDRIPDFRQTEIVDDIRSSSDD
+NILCNDSAEPCGQDPSEEGLLEELPVVFEDVAVYFTREEWGALDKWQKELYRDVMQMNYE
+LLASLGPAAAKPDLISKLERRAAPWIRDPHGPKLGKGRPPPGKKKTVAVREAHIQASAVE
+SSWLPVPSVETCTSYCRPRLCELEADGQAKIKRTYRPRSIQRSWFGQFPWLVMDPKETKL
+FCSACKERPSLHDRSSRLVRGYTGPFKVETLKYHEVSKAHKLCVNTVEVREDTPQAAAVP
+EISSDLMANMEHFFHAAYSIAYHSRPLNDFEKILQLLQSTGTMILGKYRNRTACTQFIKH
+ISETLKKEILEDVRNSPCLSVLLDGATDASDQSCVGIYLRYLKGTEMKESYLTLAPLHSE
+TADGYFETIISALDELDIPFRKPGWVVGLGTDGSAPLRCGGGLVEKLQEILPQLLPVHCV
+AHRLPLAVVDACGGIGLVKKCDRHIRTVFKFYQSSNKRLNELQEGAAPLEQEMVRLKDLN
+AVRWVASERRTLNALTLSWPALARHLQSVADAGGQVGHRAQGMLKLMKGFHFLKFCHFLL
+DFLSLYRPLSEVCQKEIVLITEVNATLGRAYVALETLRHRAGPKEEEFNAGFRDGRLHGI
+VLERTETSEQWFQADRERVVLTGIEYLQRRFDADRPPQLRNMEVFDTKAWPSGMALASFG
+NDDILTLARYFELSLPPGYSEEALLEEWLGLKAMAQNLPFSMLCKHALAQHRRFPLLSRL
+LALVVCVPVSTSCCERGFSAMNRIRTDERTKLSNEVINMLMMTAVNGVAVTEYDPQPAIQ
+HWYLTSSGRRFSHVYTCAPVPSRSHARAGLRKKTGALCREEPTAWKPPGPSCREPVEVLT
+ACVLEPPERLPCPLLGQEAPGCPAKALL
+>tr|A0A3Q1MC42|A0A3Q1MC42_BOVIN SH3 domain-containing protein OS=Bos taurus OX=9913 GN=MIA2 PE=4 SV=1
+MADLKIHRIFLLVISLTKCLESTKLLADFKKCGDLECEALISRVFAIRDYRGPDCRYLNF
+TKGEEISVYVKLSGKREDLWAGSKGKDFGYFPRDAVQIEEVFISEEVQIPTKESDFFCLL
+GISYIFENEASELNSDNSENMYPYEEDNDPKSSVYESDFQIEPGFYSTSESSLFEDQFPA
+SEAPEDIRSTSELEDWEAEAESIKQYLIPEEDHVPPSSAVPEVKGWFGFGKDQAEEKPFE
+SVTDPQEESSFLSRQIAVEDENDPEELNSGEPQTEHKQELELEFDSVPKEQSELLVSELE
+HSLNPQDTGWFGGGFTSYLGFGGKDTELELSSKESNPPLEDVPSSISSEEESTVPCTEIL
+TEKEDIITNESSILKPSWFDFGFAMLGFAYANEDKMISDDGKNEEGSEEDKHRHPSTSEF
+DPDKEQEIKLIKVTETENQIGKERVLEKTEDSDTVPYFKKLLYNFDNRWRFQNTPKEMEL
+TFPKQTLDESNVGENDETEEFSAEKYPTDNIEDTLKSGYSQPDMDSEIEFPMRIIEEAHF
+KTLSSENSDEKLKISLDTERPTQVEMDTSMENTLSNSQILLTDYSLSSQNYIAKKEDASW
+RQLLEYLFQIDVYDFLNSAFSPIVILIEGAVAALPEDMRQSNLYGFPWELVICATIVGFF
+AVLLFLWRSFQSYESLESSLKDASYEKESTEAQSLEFVEGSQISEAFYEKLTESKSELED
+EILILEKELKEEKSKHSKQDELMSEISKRIQSLEDESKSLKSQVAEAKTTFKIFQMNEER
+LKIAIKDALSENSQLQESQKQLLQEAEEWREQVNELNKQRMTFEDSKVHVEQVLCDKENQ
+IKSLTERLRKMKDWTAVLGEEIADDDNLEWEMKSDSENDAHLECIKNLQTEQASLQSENT
+QFENETQKLQQKLKVMTELYQENEMILHRKLTMEENYRLEKEEKLSKADEKINHAAEELE
+TYRKRAKDLEEELERTIHSYQGQIISHEKKAHDNWLAARTAERNLNDLRKENAHNRQKLT
+ETEFKIELLEKDPYALDVPNTAFGREHSPYGPSPLGRPSPEMRAFLSPPTLLEGPLRLSP
+LLPGGGGRGSRGPGNPLDHPITNERGESNSDRLTDPHRASSDTGSLSPPWEQDRRMMIPP
+SGQPYPDPVLLPQRQDRFYSNSGRLSGPAELRSFNMPSLDKTDGPVSSEMESSRNESKDD
+LGNLNVPDSSLPIENEATGPGFVPPPRPPVRVPLFPMDTRGPFIRRGPPFPPPPPGSMYG
+GPRDYFPPRDFPGPPPPPFAMRNVYPPRGFPHYLPPRAGFFPPPPHPESRSEFPSGLVPP
+SNEPTTEHPEPPQEP
+>tr|A0A3Q1M7H2|A0A3Q1M7H2_BOVIN Dynein axonemal heavy chain 17 OS=Bos taurus OX=9913 GN=DNAH17 PE=4 SV=1
+MTIPPDVRLEYMESVASLVLRFKPDKWSKMMGTEENMALFTDFFEKPDVLVLVLTLNPAG
+MIIPCLGFPASLKSKGMYFIKKRPENIGKDNYKERLVYGDMSPTPVDQLIAVVEEVLYSL
+LNQSENMSGWPRVVSEDIVKQVHKLKNEMFVMSGKIKGKTLLPIPEHLGSLDGTLESMER
+IPSSLDNSLLHAIETIIIDWSHQIRDVLSKDSAQALLDGLHPLPRVEFEFWDARLMNLKY
+IHEQLNRPKVNKIVEILEKAKSCYWPALQNVYMNVTEGLKEANDIVLYLKPLRILLEEME
+QADFTALPTFITKVLYTICFIWATSEHYNTPSRIIVILQEFCNQLIDMTRTFLSPEEVLK
+GLQGETEEVLSGISLSVNVLKELYRAYDFCCANMKLFFKEPVPWEFPSSLAFSRINSFFR
+RVQTIEDLYKTAIEFLKLEKIELGGVRGNILGSQVAQIYDEVFELVKVFADCKYDPLDPG
+DSSFDDDYADFETKIQDLDRRLATIFCQGFDDCNSIESSAKLLHMCGGLLERPLILAEMV
+PRYSVMLELFDTELDNTKILYDAQIAASADGNIPPIHKNMPPVAGQLKWSLELQERLEAP
+MRDLKHIDHPVMSSVEAKLVYQKYDEMMELLRSYRERIYQQWVSGVDKDCHFNLGQPLIQ
+RDPVTNLIRVNFSKALVAVLREVKYLNFQHQKDIPTSAENLFSQYETFRKFVGNLELIVG
+WYNEIKTTVKDVEFPLIKSELEAIDVKLLNAETTLFWNGEGVFEYIQEMREILHNLQNRI
+QKAKQNVEGISQAMKDWSANPMFERKDNKKEALLDLDGRVANLNKRYTAVKDAGLKIQAM
+VAENAELFRADTTSQSWKDYVNYIDSMVLDEFDQFIRKSLNYLMDNMVADESVAPLFEVR
+MELEDDGLVFNPSLEVGGDRGFLALIGSLVTDIYNAAKLIPRLAKGRMHYKTDLEDMTDL
+IEMREEISNLVISAMKEAEEYQDSFERYSYLWTDDPQEFMRNFLTYGRAISREDLDSRPE
+ETLPKTPPTLAQFQQQIDSYEKLYEEVSKCDNTKVFNGWLQCDCRPFKQALLNTIKRWSL
+MFKRHLGNHVINSLADLEAFMKVARMGLTKPVKEGDYDGLVEVMGHLMKVKERQTATDNM
+FEPLKQTIELLKSYGEEMPEETHVKLQELPEQWTNTKKLALQVKQNVSPLQANEVSILRR
+KCQQFELKQHEFRERFRQEAPFSFSDPDPYKSLSKVFLLKEEMGIMDALCKSGSLFEVSV
+PDYKQLKACHKEVRLLKELWDMIIMVNTSIDDWKTTKWKNINVEQMDIDCKKFAKDVRSL
+DKEMKSWDAFVGLDNTVKNMITSLRAVSELQNPAIRDRHWQQLMQATQVKFEMSDETTLA
+DLLQLNLHKYEDEVRNIVDKAVKESGMEKVLKALDSTWSTMEFEHEPHPRTGTMMLKSDE
+VLVETLEDNQVQLQNLMMSKYLSHFLKEVTSWQQKLSTADSVISIWFEVQRTWSHLESIF
+IGSEDIRAQLPEDSRRFDDIDIEFKALMEDAVKTPNVVEATNKPGLYDKLEDLKKRLAVC
+EKALAEYLETKRLAFPRFYFVSSADLLDILSNGNDPVEVSRHLSKLFDSLCKLKFRLDAS
+GKPLKFGLGMYSKEDEYVDFDQECNLSGQVEVWLNRVLDRMCATLRHEIPEAVVTYEEKP
+REQWIFDYPIALTCTQIWWATEVGLAFARLEEGYENAIKDYNKKQISQLNALITLLIGNL
+SAGDRMKIMTICTIDVHARDVVAKMITVESSQAFTWQSQLRHRWDEEKKHCFANICDAQI
+QYSYEYLGNTPRLVITPLTDRCYITLTQSLHLIMGGAPAGPAGTGKTETTKDLGRALGTM
+VYVFNCSEQMDYKSCGNIYKGLAQTGAWGCFDEFNRISVEVLSVIAVQVKCVQDAIRAKK
+KTFNFLGEMISLIPTVGIFITMNPGYAGRTELPENLKALFRPCAMVVPDFELICEIMLVA
+EGFLEARLLARKFITLYTLCKELLSKQDHYDWGLRAIKSVLVVAGSLKRGDPSRAEDQVL
+MRALRDFNIPKIVTDDLPVFMGLIGDLFPALDVPRKRDLNFEKVIKQSIVELQLQAEDGF
+VLKVVQLEELLQVRHSVFVIGNAGSGKSQVLRSLNKTYQNLKRKPVAVDLDPKAVTCDEL
+FGIINPATREWKDGLFSTIMRDLANITHDGPKWIVLDGDIDPMWIESLNTVMDDNKVLTL
+ASNERIPLNRTMRLVFEISHLRTATPATVSRAGILYINPADLGWNPVVSSWIERRKVQSE
+KANLMILFDKYLPTCLDKLRFGFKKITPVPEITIIQTILYLLECLLTEKNAPPDSAKELY
+ELYFVFACFWAFGGAMFQDQLVDYRVEFSRWWINEFKTIKFPSQGTVFDYYIDPDTKKFL
+PWTDKVPAFELDPDVPLQASLVHTTETIRIRYFMDLLMEKSWPVMLVGNAGTGKSVLMGD
+KLDSLSTDDYLVQAVPFNFYTTSAMLQGILEKPLEKKSGRNYGPPGTKKLIYFIDDMNMP
+EVDKYGTVAPHTLIRQHMDHGHWYDRQKLTLKDVHNCQYVACMNPTSGSFTIDPRLQRHF
+CVFAVSFPGQEALTSIYSTILSQHLSYRSAPFAVQRMSSHLVASALALHQKVTSTFLPTA
+IKFHYVFNLRDLSNIFQGLLFSTAEILKFPLDLVRLWLHEAERVYGDKMVDEKDQDTLRR
+VTIASTKKFFDDLGDELLFAKPNIFCHFAHGIGDPKYLPVTDLAPLNKLLVEVLDSYNEV
+NAVMNLVLFEDAVAHICRINRILESPRGNALLVGVGGSGKQSLSRLAAYISALDVFQITL
+KKGYGIPDLKLDLGAQYIKSAVKNVPSVFLMTDSQVAEEQFLVLINDLLASGEIPGLFMD
+DEVENIISSMRPQVKSLGLTDTREACWKFFIDKVRRNLKVILCFSPVGSILRVRARKFPA
+VVNCTAINWFHEWPEDALVSVSARFLEDTEGIQPEVKASISLFMSYVHTTVNEMSKVYLA
+TERRYNYTTPKTFLEQIKLYQNLLAKKRMELVAKIERLENGLMKLQSTASQVDDLKARLA
+VQEAELKQKNENADKLIHVVGVETEKVSKEKAIADEEEIKVEVINKNVTEKQKACETDLA
+KAEPALLAAQEALDTLNKNNLTELKSFGSPPDAVVNVTAAVMILTAPGGKIPKDKSWKAA
+KIMMGKVDTFLDSLKKFDKEHIPEACLKAFKPYQGNPTFDPEFIRSKSAAAAGLCSWCIN
+IVRFYEVYCDVAPKRQALEEANAELAEAQEKLSRIKNKIAELNANLSNLTSAFEKATAEK
+IKCQQEADATNRVISLANRLVGGLASENVRWAESVESFKGQGITLCGDVLLISAFVSYVG
+YFTKKYRNELMERFWIPYINHLKVPIPITKGLDPLTLLTDDADVATWNNQGLPSDRMSTE
+NATILCNTERWPLIVDAQLQGIKWIKNKYGSELKAIRLGQKSYLDIIEQAISEGDTLLIE
+NIGETIDPVLDPLLGRNTIKKGKYIKIGDKEVEYHPNFRLILHTKYFNPHYKPEMQAQCT
+LINFLVTRDGLEDQLLAAVVAKERPDLEQLKANLTKSQNEFKIVLKELEDSLLARLSAAS
+GNFLGDTALVENLETTKHMASEIEEKVQEAKITEVKINEARENYRPAAARASLLYFILND
+LNKINPIYQFSLKAFNVVFEKAILKTTPADEVKQRVINLTDEITYSVFMYTARGLFERDK
+LIFLAQVAFQVLSMKKELNPVELDFLLRFPFKAGVVSPVDFLQHQGWGGIKALSEMDEFK
+NLDNDIEGSAKRWKKLVESEAPEKEIFPKEWKNKTALQKLCMVRCMRPDRMTYAVKNFVE
+EKMGSKFVEGRSVEFSKSYEESSPSTPIFFILSPGVDPLKDVEALGKKLGFTIDNGKLHN
+VSLGQGQEVVAENALDVAAENGHWVILQPWSWPQNIHLVARWLSTLDKKLERHSAGSHDD
+YRVFISAEPAPSPESHIIPQGILENAIKITNEPPTGMYANLHKALDLFTQDTLEMCTKEI
+EFKCILFALCYFHAVVAERRKFGAQGWNRSYPFNNGDLTISINVLYNYLEANPKVPWDDL
+RYLFGEIMYGGHITDDWDRRLCRTYLAEYIRAEMLEGEILLAPGFQIPPNLDYKGYHEYI
+DENLPPESPYLYGLHPNAEIGFLTVTSEKLFRTVLEMQPKETDSGAGTGVSREEKVNFGA
+VSWPGTGAGLGPSDTSRLGTGFQVKAVLDEILEKIPETFNMAEIMAKAAEKTPYVVVAFQ
+ECERMNILTNEMRRSLKELNLGLKGELTITTDMEDLSTALFYDTVPDSWVARAYPSMMGL
+AAWYADLLLRIRELEAWTTDFALPTTVWLAGFFNPQSFLTAIMQSMARKNEWPLDKMCLS
+VEVTKKNREDMTAPPREGSYVYGLFMEGARWDTQTGVIAEARLKELTPAMPVIFIKAIPV
+DRMETKNIYECPVYKTRIRGPTYVWTFNLKTKEKAAKWILAAVALLLQV
+>tr|E1BLY2|E1BLY2_BOVIN MCM domain-containing protein OS=Bos taurus OX=9913 GN=MCM4 PE=3 SV=3
+MQEAFFQCQVCAHTARVEIDRGRIAEPCVCERCHTSHSMALIHNRSVFSDKQMIKLQESP
+EDMPAGQTPHTVVLFAHNDLVDKVQPGDRVHVTGIYRAVPIRINPRVSNVKSVYKTHIDV
+IHYRKTDSKRLHGLDEEAEQKLFSEKRVELLKELSRKPDIYERLASALAPSIYEHEDIKK
+VTDLERLLAYGRPRVASDIPVCPKSQLAVGLTAYVMKDPETRQLVLQTGALVLSDNGVCC
+IDEFDKMNESTRSVLHEVMEQQTLSIAKAGIICQLNARTSILAAANPIESQWNPKKTTIE
+NIQLPHTLLSRFDLIFLMLDPQDEAYDRRLAHHLVSLYYQSEEEAQEEGMDMAVLRDYIA
+YAHSTVMPRLSQDASQALIEAYVDMRKVGSSRGMVSAYPRQLESLIRLAEAHAKVRFSNK
+VEAIDVEEAKRLHREALKQSATDPRTGIVDISILTTGMSATSRKRKEELAEALRKLILSK
+GKTPALKYQQLFEDIRGQSDIAITKDMFEEALRALADDDFLTVTGKTVRLL
+>tr|A0A3Q1LPI7|A0A3Q1LPI7_BOVIN POU-specific domain-containing protein OS=Bos taurus OX=9913 GN=POU2F2 PE=4 SV=1
+MTQLFPQPCGAGSMVHSSMGAPEIRMSKPLEAEKQGLDSPSEHPDTERNGPDTNHQNPQN
+KTSPFSVSPTGPSTKDIQQLLQLQQLVLVPGHHLQPPAQFLLPQAQQSQPGLLPTPNLFQ
+LPQQTQGALLTSQPRAGLPTQAVTRPTLPDPHLSHPQPPKCLEPPSHPEEPSDLEELEQF
+ARTFKQRRIKLGFTQGDVGLAMGKLYGNDFSQTTISRFEALNLSFKNMCKLKPLLEKWLN
+DAGGTWAGVPARAAGRGRRPLTPPSPPGHRDYVCGLKPAQPQPAEQPQPGFRWAPRPETQ
+EEDQHRDKRPLRLREEFSSEPEAYLRGDPADRRAAAHGEGSNPRLVLQPAPEGETHQPLQ
+RGPHAAQPGQAGQLQPPPGHTTRGRRDPAVVPSFQQSEHNRSERAVGSDRVLGERRPGSS
+LRSSRPRCLRAFMGLETEPGGGGWGRGQTHPYLPEQLRLHLLHNFI
+>tr|A0A3Q1LWP4|A0A3Q1LWP4_BOVIN Natural cytotoxicity triggering receptor 3 OS=Bos taurus OX=9913 GN=NCR3 PE=4 SV=1
+MALLCVFSLPPGSCVLWVSQPPEIRTQEGSPAFLPCSFNASQGSLAIGSVTWYRDKVAPG
+MEVRNETAEFQGRLAPLPSSRFLCDHQAELHIWDTRGRDTGVYVCRVEVLGLGVGTGNGT
+LLVVEEGPPQLAAGTVLLLRAGFYAFSFLSVAMGSSMYYQGKYHCHKGTPCHSLDGL
+>tr|A0A3Q1MP15|A0A3Q1MP15_BOVIN Phosphate cytidylyltransferase 1, choline, beta OS=Bos taurus OX=9913 GN=PCYT1B PE=4 SV=1
+MVSHQGGTMEKDPKNNYTPQMGRKTLTAPAPFADETSCLCQAPHEKLTIAQARLGTPVDR
+PVRVYADGIFDLFHSGHARALMQAKTLFPNSYLLVGVCSDDLTHKFKGFTVMNEAERYEA
+LRHCRYVDEVIRDAPWTLTPEFLEKHKIDFVAHDDIPYSSAGSDDIYKHIKEAGMFVPTQ
+RTEGISTSDIITRIVRDYDVYARRNLQRGYTAKELNVSFINEKKYRFQNQVDKMKEKVKN
+VEERSKEFVNRVEEKSHDLIQKWEEKSREFIGNFLELFGPDGAWKQMFQERSNRMLHALS
+PKQSPVSSPTRSRSPSRSPSPTFLWLPHKPSPPFSPKAASASMSSMSEGDEDEK
+>tr|A0A3Q1MEM7|A0A3Q1MEM7_BOVIN UPAR/Ly6 domain-containing protein OS=Bos taurus OX=9913 GN=LOC112441461 PE=4 SV=1
+MLLFAFLLFMGLPLGFVEPWTFNVQCHECIVKNTFHCPVKRTTCPYDIRRCFTVSMRLNS
+REILVYKNCTFNCTFLYRAEEPQETPRRKTTHRFNSFYWVHCCGSNMCNFGGPTNLERDI
+TLDYPLEEDIEGNAQLVQSTVFLSIVSILVRNTLT
+>tr|F1ME49|F1ME49_BOVIN Rap guanine nucleotide exchange factor 3 OS=Bos taurus OX=9913 GN=RAPGEF3 PE=4 SV=1
+MKVGWPGEGRWQVGLAVEGDSILGAPPLGGLPDVVPEGTLLNMVLRRMYRSRSCSYQLLL
+EHQRPSCIQGLRWTPLTSSEESLDFSVSLEQASAERVLRAGKQLHRHLLANCPNLIRDRK
+YHLRLHRQCCSGRELVDGILALGLGVHSRSQAVGICQVLLDEGALCHVKHDWAFQDRDTQ
+FYRFPGPEPEPVGVHELEEELVEALALLSQRGPDALLTVALRKPPGQRTDEELDLIFEEL
+LHIKAVAHLSNSVKRELAAVLLFEPHSKAGTVLFSQGDKGTSWYIIWKGSVNVVTHGKGL
+VTTLHEGDDFGQLALVNDAPRAATIILGEDNCHFLRVDKQDFNRIIKDVEAKTMRLEEHG
+KVVLVLERTSQGTGPSRPPTPGRNRYTVMSGTPEKILELLLEAMRPDSSAHDPTETFLSD
+FLLTHSVFMPTAQLCAALLHHFHAEPAGGSEQERSSYICNKRQQILRLVSQWVTLYGPTL
+RTDPVATSFLKKLSDLVSRDTRLSNLLREQWPERRRHHRLENGCGNVSPQMKARNVPVWL
+PSQDEPLPSSNCAIRVGDKVPYDICRPDHSMLTLQLPVTASVREVMAALAQEDGWTKGQV
+LVKVNSAGDAIGLQPDARGVATSLGLNERLFVVNPQEVHELTPHPDQLGPTVGSAEGLDL
+VSTKDLAGQLTDHDWSLFNSIHQVELIHYVLGPQHLRDVTTANLERFMRRFNELQYWVAT
+ELCLCSVPGLRAQLLRKFIKLAAHLKEQKNLNSFFAIMFGLSNSAISRLAHTWERLPHKV
+RKLYSALERLLDPSWNHRVYRLALTKLSPPLIPFMPLLLKDMTFIHEGNHTLVENLINFE
+KMRMMARAARMLHHCRSHSNVPLSPLRSRVSHLHEDSQAVRVSTCSEQSLSTRSPASTWA
+YVQQLKVIDNQRELSRLSRELEP
+>tr|A0A3S5ZPL0|A0A3S5ZPL0_BOVIN G protein subunit beta 5 OS=Bos taurus OX=9913 GN=GNB5 PE=4 SV=1
+MGTTFLRAGRLCANISVAGGTERGRGGAEVALFLRPAEREEDRPPQPGSQRRRTRRRAGR
+ARGGDWSCAGGGWAPASRPGHRRLLTRIPASLPPRPRAKMAADGLHENETLASLKSEAES
+LKGKLEEERAKLHDVELHQVAERVEALGQFVMKTRRTLKGHGNKVLCMDWCKDKRRLVSS
+SQDGKVIVWDSFTTNKEHAVTMPCTWVMACAYAPSGCAIACGGLDNKCSVYPLTFDKNEN
+MAAKKKSVAMHTNYLSACSFTNSDMQILTASGDGTCALWDVESGQLLQSFHGHGADVLCL
+DLAPSETGNTFVSGGCDKKAMVWDMRSGQCVQAFETHESDINSVRYYPSGDAFASGSDDA
+TCRLYDLRADREVAIYSKESIIFGASSVDFSLSGRLLFAGYNDYTINVWDVLKGSRVSIL
+FGHENRVSTLRVSPDGTAFCSGSWDHTLRVWA
+>tr|F1MRJ3|F1MRJ3_BOVIN Sialidase-3 OS=Bos taurus OX=9913 GN=NEU3 PE=4 SV=1
+MEEVTSCSFSSPLFQQEDKRGVTYRIPALIYVPPAHTFLAFAEKRSSSKDEDALHLVLRR
+GLRTGQSVQWEPLKSLMKATLPGHRTMNPCPVWERKSGYVYLFFICVQGHVTERQQIMSG
+RNAARLCFICSQDAGYSWSDVRDLTEEVIGPEVTHWATFAVGPGHGIQLQSGRLIIPAYA
+YYIPFWFFCFRLPYRARPHSLMIYSDDLGATWHHGRLIKPMVTVECEVAEVIGKAGHPVL
+YCSARTPNRHRAEALSIDHGECFQKPVLSHQLCEPPHGCQGSVVSFCPLEIPGGCQNLAG
+EDAPAIQQSPLLCSSVRPEPEAGTLSESWLLYSHPTNKKRRVDLGIYLNQSPLEAACWSR
+PWILHCGPCGYSDLAALENEGLFGCLFECGTKQECEQIAFRLFTDREILSHVQGDCSTPG
+MNSEPSKK
+>tr|F1MP06|F1MP06_BOVIN Sulfotransferase OS=Bos taurus OX=9913 PE=3 SV=3
+MSSKSQSSVLHKFKGVIFSTMSSEELLNSLDSFEAREDDVFLVSYPKSGTHWIAKVIENI
+PNARITLTPPIELGDISKFEELKTYCERRVIPTHLSYSMLPMNVKQKQCKIIYIIRNPKD
+IAVSFFHYYKDNPNLPSIETWHEFFELFLKGDVVYGSWFDHVLSWEEHKNVKNILIISYE
+EMKKDPSKNIKKIATFLGLNMTDSEINQIVWKTSFTEMKNNTAKENCDPNHTICALTSNR
+NLVFRKGAVGDWINYFTSKQKRVFDELFTEKMKHSELAKHFGDYS
+>tr|A0A3Q1LTU4|A0A3Q1LTU4_BOVIN MHC_I-like_Ag-recog domain-containing protein OS=Bos taurus OX=9913 GN=CD1A PE=4 SV=1
+MLFLQLPLLLALLVGGDNEQNKNSVPEGFQEPISFEVICVLSFHNSSWVQSLGSGWLGEL
+QTHGWKSNSGTFIYLWPWSKGNFSNEELMELQNYLHTNFVRFVQAFYSHARKWQFEYPFE
+VQIAEGCELHAGEVPVGFMRIAYQGSDFLSFQNKSWVSSPEGGKRAQVLRRLFNLFRGAQ
+EIIHKLLSDTCPRFLLGLLDAGKAYLQRPDHHSSMGWIALAVIVTLVLMAGLAFWLWKHW
+THRESPSSVLPLE
+>tr|A0A3Q1MMQ3|A0A3Q1MMQ3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=ADH1C PE=1 SV=1
+RTSVIKCKAAVLWELNKPFSIEEVEVAPPKAHEVRIKTKEYVQYNPSETKKNLCGGSAVK
+NLPANTGNVEESVLSSSPGDKVIPLFIPQCGKCNVCKHPEANLCLKFQLKEPRGTLNDGT
+CRFTCRGKPIHHFLGTSTFTQYTVVDEMSVAKIHADSPLEKVCLIGCGFSTGYGSAVKIA
+KVTQGSTCAVFGLGGVGLSVIMGCKAAGAARIIAVDINKDKFARAKQVGATECINPQDYE
+KPIEEVLKEVSGGGVDFSFEVIGRLDTMMSALLCCQEAYGVSVIVGVPPHAQNISMNPML
+LLTGRTWKGAIFGGFKSKESVPKLVTDFMAKKFSLDQLITHVLPLEKINEGFDLLRSGMS
+VRTILTF
+>tr|A0A3Q1MJK6|A0A3Q1MJK6_BOVIN POC1 centriolar protein B OS=Bos taurus OX=9913 GN=POC1B PE=4 SV=1
+MASSPEDPVLERYFKGHKAAITSVDFSPNGKQLATGSWDTFLMLWSLRPQARAFRYVGHK
+DVITSVQFSPLGNLLASASRDRTIRLWIPDKRGKSSEFKAHTAPVRSVDFSADGQFLASA
+SEDKSIKVWNMYRQRFLYSLYRHTHWVRCAKFSPDGRLIVSCSEDKTIKIWDTTNKQCVN
+NFSDFVGFANFVAFNPNGTCIASAGSDHTVKIWDIRVNKLLQHYQVHSGGVNCVSFHPSG
+NYLITASSDGTLKILDLLEGRLIYTLQGHTVLLWRTNFDELNYKDVSKRNLKRLHFDSPP
+HLLDIYPRTPHPHEGRIDTVEINPKLDVTDLQTSTPPVVDILSFDSTTTTETTVRTLPDK
+GEEICGYFLNPSLMSSECSPTILKKKTEDISDLPSESQRSIPLAVTDALEHIMEQLNVLT
+QTVSILEQRLTLTEDKLKDCLENQQKLFNAIQQKI
+>tr|E1BCD5|E1BCD5_BOVIN Pecanex 3 OS=Bos taurus OX=9913 GN=PCNX3 PE=4 SV=3
+MGSQVLQILRQGVWASLTGGWFFDPHQSTFSNCFHLYVWIFLLTFPFLLYMVLPPSLMVA
+GVYCLVVAVIFATIKTVNYRLHAMFDQGEIVEKRNSTMGEPEEEPAQGDNNLPRDPGVEM
+TVFRKVSSTPPVRCSSQHSVFGFNQVSELLPRIEDSGPLRDIKELVREQGSNNVIVTSAD
+REMLKLSSQEKLIGDLPQTPPGAAPDPSLPSTDSSERSPLAGDGAPWSGGSVADTPMSPL
+LKGSLSQELSKSFLTLTRPERALVRTSSRREQRRGAGGYQPLDRRGSGDPTPQKAGSSDS
+CFSGTDRETLSSFKSEKTNSTHLDSPPGGQAPEGSDTDPPSEAELPASPDAGVPSDDTLR
+SFDTVVGAGTPPGPAEPLLVVRPKDLALLRPSKRRPPVRRHSPATGRAPRRPLLEGRGFF
+EDDDTSEGSELSPASSLRSQRRYSTDSSSSTSCYSPASSRGAAGGARKRRAPHGAEEGMA
+VPPKRPYGTQRTPSTASAKTHARVLSMDGAGGDALRGPPAGSKAELEAQAGVELAAAEPT
+VLAAEARRGPAANQPGWRGELREEGALGGAAEETGKRDHSSNVRRAQAIRRRHNAGSNPT
+PPASVMGSPPSSLQEAQRGRAASHSRALTLPSALHFASSLLLTRAGANVHEACTFDDTSE
+GAVHYFYDESGVRRSYTFGLAGGGYENPVGQQGEQAANGAWDRHSHSSSFHSADVPEATG
+GLNLLQPRPVVLQGMQVRRVPLEIPEFDLLDQDSLHESQEQTLMEEAPPRAQHSYKYWLL
+PGRWTSVRYERLALLALLDRTRGLLENILGVGLSSLVAFLGYLLLLKGFFTDIWVFQFCL
+VIASCQYSLLKSVQPDAASPMHGHNWVIAYSRPVYFCICCLLIWLLDALGSAQPFPPVSL
+YGLTLFSASFFFCARDVATVFTLCFPFVFLLGLLPQVNTCLMYLLEQIDMHGFGGTAATS
+PLTAVFSLSRSLLAAALLYGFCLGAIKSPWPEQHVPVIFSVFCGLLVALSYHLSRQSSDP
+TVLWSLVRSKLFPELEERSLETARAEPPDPLPEKMRQSVREVLHSDLVMCVVIAVLTFAI
+SASTVFIALKSVLGFVLYALAGAVGFFTHYLLPQLRKQLPWFCLSQPVLKPLEYSQYEVR
+GAAQVMWFEKLYAGLQCVEKYLIYPAVVLNALTVDAHTVVSHPDKFCLYCRALLMTVAGL
+KLLRSAFCCPPQQYLTLAFTVLLFHFDYPRLSQGFLLDYFLMSLLCSKLWDLLYKLRFVL
+TYIAPWQITWGSAFHAFAQPFAVPHSAMLFVQALLSALFSTPLNPLLGSAVFIMSYARPL
+KFWERDYNTKRVDHSNTRLVTQLDRNPGADDNNLNSIFYEHLTRSLQHTLCGDLVLGRWG
+NYGPGDCFVLASDYLNALVHLIEVGNGLVTFQLRGLEFRGTYCQQREVEAITEGVEEDEG
+CCCCEPGHLPRVLSFNAAFGQRWLAWEVTASKYVLEGYSISDNNAASMLQVFDLRKILVT
+YYVRSIIYYVSRSPKLEAWLSHEGIATALRPVRAPGYADSDPTFSLSVDEDYDLRLSGLS
+LPSFCAVHLEWIQYCASRRSQPVDQDWNSPLVTLCFGLCVLGRRALGTASHSMSASLEPF
+LYGLHALFKGDFRITSPRDEWVFADMDLLHRVVAPGVRMALKLHQDHFTSPDEYEEPAAL
+YDAIAANEERLVISHEGDPAWRSAILSNTPSLLALRHVLDDASDEYKIIMLNRRHLSFRV
+IKVNRECVRGLWAGQQQELVFLRNRNPERGSIQNAKQALRNMINSSCDQPLGYPIYVSPL
+TTSLAGSHPQLRALWGGPVSLGAIARWLLRSWERLHKGCGAGCNSGGNVDDSDCGGGGGL
+TSLSNNPPLAQPTPENTAGAGDQPPPPGPAWGPRPSLSGSGDGRPPPLLQWPPPRLPGPS
+PASPALPEGPRPSRPPGPGLLSSEGPSGKWSLGGRKGLGGSDGEPASGSPKGSTPKSQAP
+LDLSLSPDISTEASPPRTVQDIPCLDSSAPETGTPTGALGDWPAPAEERESPAAQPLLEH
+QY
+>tr|E1B952|E1B952_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC528343 PE=3 SV=2
+MELINKSHPEEFILLGFADRPWLELPLFIILLITYPMAMMGNIAIILVSKLDTRLHSPMY
+FFLTNLSFLDMCYTTSIVPQMLFNLGTSKKTISYVGCAVQLYFFHIMGGTECLLLAVMSF
+DRYVAICKPLHYTLIMNQRVCILLVATVWLSGMTYAVSEATVTLQLPLCGHNTLDHLVCE
+IPVLIKTACGEKGANELTLSVVCIFFLAVPLCLILIFYACIGHAVFKIRSLEGRKKAFGT
+CSSHLIVVFLFYGPAISMYLQPPSSISRGQPKFMALFYGVVTPTLNPFIYTLRNKDVKGA
+LGNLMRSVFTCK
+>tr|A0A3Q1LUX8|A0A3Q1LUX8_BOVIN Hypoxia inducible factor 3 subunit alpha OS=Bos taurus OX=9913 GN=HIF3A PE=4 SV=1
+MTNYSSILAWRIPWTEEPGGLQSIGLSSTELRKEKSRDAARSRRSQETEVLYQLAHTLPF
+ARGVSAHLDKASIMRLTISYLRMHRLCTAGEWNQVGAGGEPLDACYLKALEGFVMVLTAE
+GDMAYLSENVSKHLGLSQLELIGHNIFDFIHPCDQEELQDALTPRQSLSKKKPEAPTERC
+FSLRMKSTLSSRGRTLNLKAATWKVLHCSGHMRVYKPPAQTSPAGSPNLEPPLQCLVLIC
+EAIPHPGSLEPPLGRGAFLSRHSLDMKFTYCDERIAEVAGYSPDDLIGCSAYEYIHALDS
+DAVGQSIHTLLSKGQAVTGQYRFLARSGGYLWTQTQATVVSGGRGPQSESIVCVHFLISR
+VEETEVVLSLEQTERHSRRHVQRGSPSQKDAPNPGDRLDTSGPRILAFVHPPALSEAALA
+ADPRRFCSPDLRRLLAPILDGTSVAATPSAPPATRRPQSPLPVSIPSTSALRIQAFGCLM
+ELGPKLMLLTASCPLQDVDALDLEMLAPYISMDDDFQLNSSEQLPRAYHRPPGAVPRPRA
+RSFHGMSPPTPETSLLPRWGSDPRLSCSSPSRGDPSASSPMVGARKRALIPSSEDEAEKV
+ELLGIRPPKRSPSLEPENFLLPPLSLSFLLTGGPAPGSRQDPSTHLVELNEPLGPGPSLL
+SLYPDEDTAQPRSHFQLAAGLAQAN
+>tr|A0A3Q1MPZ8|A0A3Q1MPZ8_BOVIN Hexosyltransferase OS=Bos taurus OX=9913 GN=B3GNT5 PE=3 SV=1
+MDVRMFVSGRRVKKWQFIQLFATCFVLSLMFFWIPIDNHIVSHMKSYSYRYLINSYNFVN
+DSLSLKRSEDGVPRYQYLINHEDKCQMQDVLLLLFVKTAPENYNRRSAIRKTWGNEKYVC
+SQLNANIKTLFVLGTPSDPLIRERLQKRLVWEDKMYNDIIQQDFADSFYNLTLKFLLQFS
+WANRFCPHAKFLMTADDDIFIHMPNLIEYLQSLERIGVQDFWVGRVHRGAPPVRDKRSKY
+YVSYEMYQWPAYPDYTAGAAYVISGDVAAKVYEASQTLNSSLYIDDVFMGLCANKIGIVP
+QYHVFFSGEGKTPYHPCIYEKMMTSHGHVEDLQDLWTDATDPKDSSLGRPPLPTPSLKLV
+LRSGLMTDLTPLIKILWTDPVALSSPDWFDIGVWSRQKRFFWLIILKNVVTTTHRISAQA
+VKVLKFNNSPTFIG
+>tr|A0A3Q1M702|A0A3Q1M702_BOVIN Transmembrane protein 68 OS=Bos taurus OX=9913 GN=TMEM68 PE=4 SV=1
+MTNKNQSFGVGQDSMSSMTCLIHVLEAWFGVEHLEDYWNFANYLLWVFTPLLLLILPYFT
+IFLLYLTIIFLHIYKRKNVLKEAYSHNLWDGARKTVATLWDGHAAVWHGYEVHGMEKIPE
+EGPALIIFYHGAIPIDFYYFMAKIFIHKGRTCRVVADHFVFKIPGLFRWLYEKFRYPFAP
+MYGGFPVKLRTYLGDPIPYDPKITAEELAEKTKDAVQALIDKHQRIPGNIMSALLERFRN
+KQKINQKTL
+>tr|A0A3Q1MK37|A0A3Q1MK37_BOVIN SECIS binding protein 2 OS=Bos taurus OX=9913 GN=SECISBP2 PE=4 SV=1
+MASEGRREPAAEGIKLSADVKPFVPKFAGLNVGWSESSEARVFPSCAATPYYPCVQELAV
+PEQKLYTDDMAFGASAFPSQYFSAEIPLHPYAYSPYTLQSPQNVCPVPGSPYDDNQPSCF
+GGFQRLKPRSEHTCPLSQDTKAVFKKKTYDEQKFDSKKTDGPVSSDLKSVKDSPRVSIHA
+ENSLKSDGYYKRTDRKSRIIEKSGSASKPEFGFTRLDFPELQSPENSEIPKIQKQPKWGP
+LRSTSTDISLLREMGTPSAVLSETLSTELSPAPKNVTSMINLKMVASPADPKNDSMSSSE
+VLSLEPSYKEKHVVHLTEKSKASQGGNPEQNEASRKNKKKKEKSKSKYEVLTVQEPPRIE
+DAEEFPNLAVASERRDRVESPKFQSKQQPQNNFKSSRKKSQIPVQLDLGGMLTALEKKQH
+SPNAKQSSKPVVFSVGAVPVLSKDSMSGKKGHRLAQVKTPHNPLDSTSPMMKKGKQREVP
+KAKKPTPLKKIILKERQERKQQRLQENALSPAFPSDDVQDVQDEESGGDDQVLRPPDMLG
+ETKESVSCVPVVESKSEEQPGAEPQKETEACPKIHSRRFRDYCSQMLSKEVDACVTDLLK
+ELVRFQDRMYQKDPVKAKAKRRLVLGLREVLKHLKLRKLKCIIISPNCEKIQSKGGLDDT
+LHTIIDYACDQNIPFVFALNRKALGRSLNKAVPVSVVGIFSYDGAQDQFHKMVELTMAAR
+QAYRTMLENARQELPGELGPCAPVGPPSQGPGCPVEDSPLAPTEKEEPHYIQVWRNHVEA
+YSRCGLELEESLEASTSQMMNLNL
+>tr|F1MMN6|F1MMN6_BOVIN Integrin subunit alpha 1 OS=Bos taurus OX=9913 GN=ITGA1 PE=3 SV=3
+MDPRRRASPGVAVAYCWLLSVVLRFCVSFNIDVKNSMTFSGPVEDMFGYTVQQYENEEGK
+WVLIGSPLVGQPKNRTGDVYKCPVGRSESLPCIKLDLPVNTSIPNVTEVKENMTFGSTLV
+TNPKGGFLACGPLYAYRCGHLHYTTGICSDVSPTFQVVNSIAPVQECSTQLDIVIVLDGS
+NSIYPWESVTAFLNDLLKRMDIGPKQTQVGIVQYGENVTHEFNLNKYSSTEEVLVAAKKI
+VQRGGRQTMTALGIDTARKEAFTEARGARRGVKKVMVIVTDGESHDNHRLNKVIQDCEDE
+SIQRFSIAILGSYNRGNLSTEKFVEEIKSIASEPTEKHFFNVSDELALVTIVEALGERIF
+ALEATVDQSAASFEMEMSQTGFSAHYSQDWIMLGAVGAYDWNGTVVMQKADQSVIPQNTT
+FNVESTKKNEPLASYLGYTVSSATTPGDVLYIAGQPRYNHTGQVVIYRMEGRDIRILQTL
+NGEQIGSYFGSVLTTTDIDKDSNTDILLVGAPMFMGREKEEQGKVYVYALNQTRFEYQMS
+LEPIKQTCCSSLKHNSCTKENRNEPCGARFGTAIAAVKDLNLDGFNDIVIGAPLEDDHGG
+AVYIYHGSGKTIREEYAQRIPSGGDGETLKFFGQSIHGEMDLNGDGLTDVTIGGLGGAAL
+FWSRDVAVVKVTMNFEPNKVNIQKKNCRVEGKETVCINGTVCFNVKLKSKENVVYEADMQ
+YRVTLDSLRQISRSFFSGTQERKIQRNITVRESECTKHSFYMLDKHDFRDSVRITLDFNL
+TDPENGPVLDDSLPNSVHEYIPFAKDCGNKEKCVSDLALDVSTTEKGLLIVKSHNDKFNV
+SLTVKNKKDSAYNTRTIVNYSPNLIFSGIEAIQKDSCESNHNITCKVGYPFLRKGEMVTF
+KILFQFNTSYLMENVIIHLSATSDSEEPPETLFDNEVNISIPVKYEVGLQFFSSASEYHI
+SIAANETVPEIINSTEDLGNEIDIFYLIRKSGHFPMPELKLTISFPNVTSDGFPVLYPSG
+WSSSENVNCIPSNLQDPFGINTGKKMMISKSEDIKRGTILDCSACKFATITCNLIPSDMS
+QVNVSLILWKPTFIKAHFSSLNLTVRAELQSENATLVLSAGNQKRELAIQISKDGLPGRV
+PLWVILLSAFAGLLLLMLLILALWKIGFFKRPLKKKMEK
+>tr|A0A3Q1MIC3|A0A3Q1MIC3_BOVIN Short coiled-coil protein OS=Bos taurus OX=9913 GN=SCOC PE=4 SV=1
+MDGSSKEEEEEEDRAFTNISLADDTDHSSRILYPRRRSLLPKMMNADMDAVDAENQVELE
+EKTRLINQVLELQHTLEDLSARVDAVKEENLKLKSENQVLGQYIENLMSASSVFQTTDTK
+SKRK
+>tr|F1MK58|F1MK58_BOVIN Glutamate ionotropic receptor AMPA type subunit 2 OS=Bos taurus OX=9913 GN=GRIA2 PE=3 SV=2
+MQKIMHISVLLSPVLWGLIFGVSSNSIQIGGLFPRGADQEYSAFRVGMVQFSTSEFRLTP
+HIDNLEVANSFAVTNAFCSQFSRGVYAIFGFYDKKSVNTITSFCGTLHVSFITPSFPTDG
+THPFVIQMRPDLKGALLSLIEYYQWDKFAYLYDSDRGLSTLQAVLDSAAEKKWQVTAINV
+GNINNDKKDETYRSLFQDLELKKERRVILDCERDKVNDIVDQVITIGKHVKGYHYIIANL
+GFTDGDLLKIQFGGANVSGFQIVDYDDSLVSKFIERWSTLEEKEYPGAHTTTIKYTSALT
+YDAVQVMTEAFRNLRKQRIEISRRGNAGDCLANPAVPWGQGVEIERALKQVQVEGLSGNI
+KFDQNGKRINYTINIMELKTNGPRKIGYWSEVDKMVVTLTELPSGNDTSGLENKTVVVTT
+ILESPYVMMKKNHEMLEGNERYEGYCVDLAAEIAKHCGFKYKLTIVGDGKYGARDADTKI
+WNGMVGELVYGKADIAIAPLTITLVREEVIDFSKPFMSLGISIMIKKPQKSKPGVFSFLD
+PLAYEIWMCIVFAYIGVSVVLFLVSRFSPYEWHTEEFEDGRETQSSESTNEFGIFNSLWF
+SLGAFMQQGCDISPRSLSGRIVGGVWWFFTLIIISSYTANLAAFLTVERMVSPIESAEDL
+SKQTEIAYGTLDSGSTKEFFRRSKIAVFDKMWTYMRSAEPSVFVRTTAEGVARVRKSKGK
+YAYLLESTMNEYIEQRKPCDTMKVGGNLDSKGYGIATPKGSSLRTPVNLAVLKLSEQGVL
+DKLKNKWWYDKGECGAKDSGSKEKTSALSLSNVAGVFYILVGGLGLAMLVALIEFCYKSR
+AEAKRMKVAKNAQNINPSSSQNSQNFATYKEGYNVYGIESVKI
+>tr|A0A3Q1LG31|A0A3Q1LG31_BOVIN BHLH domain-containing protein OS=Bos taurus OX=9913 GN=AHRR PE=4 SV=1
+MIPPGECLYAGRKRRKPVQKQRPAVGAEKSNPSKRHRDRLNAELDHLASLLPLPPDIISK
+LDKLSVLRLSVSYLRVKSFFQALQERCPRQPVAAAPSPGDRGPRGGSAGSTVLEGRLLLE
+SLHGFALVVSAEGMIFYASATIVDYLGFHQVSTAPRLLGSVPLP
+>tr|A0A3Q1MW50|A0A3Q1MW50_BOVIN Cysteine protease OS=Bos taurus OX=9913 GN=ATG4A PE=3 SV=1
+MCAYLYCMILQYADVSGKGSLMNSHSFSSILPTGGTGPSSDAGWGCMLRCGQMMLAQALI
+CRHLGRDWNWEKQKEQPKEYQRILQCFLDRKDCCYSIHQMAQMGVGEGKSIGEWFGPNTV
+AQVLKKLALFDEWNSLAVYVSMDNTVVIEDIKKMCRTLSLSADTPAERPLESLTASNQSK
+GPSACCTAWKPLLLIVPLRLGINQINPVYVDAFKECFKMPQSLGALGGKPNNAYYFIGFL
+GDELIFLDPHTTQTFVDTEENGTVDDQTFHCLQPPQRMNILNLDPSVALGFFCKEEKDFD
+SWCSLVQKEILKENLRMFELVQKHPSHWPPFVPPAKPEVTTTGAEFIDSTEQLEEFDLEE
+DFEILSI
+>tr|A0A3Q1LLX1|A0A3Q1LLX1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=C6orf132 PE=4 SV=1
+MKKNPTVQGTFSKLFGKKHANPPATSLYATNPPWIFTQEAPEEGTRGFDGIYYGDNRFDT
+VSESGTATLKARPRVRPLLTFLPLDAQENHGLAVPTPCVPDSFADKQATGTSTLVNGNLR
+LYSSVGDLRPGHYGQDLLIPPPPPGPAPAPPLGALQPPEFSPPPPPSTAPPPPPLLESPP
+PPPPSTAPALPPGMGALPPPPTVSSPSTPTPPDFIPPAPPQAVQAPPLPLLPALTPPAPG
+SPHTMGTRLFPAGGVTKWKSEVALNGRQPEAPRSSAPRSPAEPTEALPGPESHLTFPRSF
+KVPPPTPVRTSSISIPEAQGTPPEDKESTKKGPIRPSLPPSFHIRSACQAYPDRAAELAL
+PKEPGAVAPAGPRRGQPQVQSSEQAETPPPAPPLPPPAPPLPPPAPPLPPAAPPLPPAEK
+AAPAPAGLMKRPKSGSPAPKPKPEPPSPEETVSSEPMDWRDPSQMAKLRDQLSAYLCGSR
+REDRLASHRPGPTAASQEREDHKRPSLPAKEAPPSLLQKEAPPSPPEKEAPSSVPEESPC
+SRPKKAATSLILPPVDYLPQDAPTPSVWEIRKKLEAQLSSLAEKEARPSRGSLPPKPQPE
+GGRIFENRDDNSKFPKPVAKNLPPLSTTPLPNTPLQSKVTPGPATSPKATPEPATPPKAT
+PGPATPPKATPEPATPPKATPEPATPPKATPGPATPPKATPELATPPKATPGPATPPKAV
+PGPATTPKATPGPATPPKATPGPATPPKAMPGPATPPKAMLGPATTPKAVPGPATTPKAT
+SGPATPPKATPLPITSSQLIAEKNLVPAGQWEKPEHEELAVPSKPEVEGHSSETSKPPTQ
+GALSSPAFPPKISPGREEVPCLYKPHCSQNSSSREVAVVMPTLSRGEAAGSGKPVAVKEP
+QELPPKAPVSSQAADELLRHPVTGEVVERGSPMALLLAAKQRAQKGRPRGAALGRSSLPG
+SLQGPSQPKAGSDTILYNEGQPNSFTVVPKVSKETEKVPQLTSPGQPKGLCQWELQPRRD
+PEDTESCSGPSWTKVKPQASAAWERPAPSSLLQGRLLPKSFSSPPSPSHKPEEDKEEEFN
+FEVIPPPPEFSNDPEPPAAPLQYLGRRGSPPRNNFSDLGQPANAGPPRVFSHFADPGPAR
+GLERFSGVGGGRSLIKKRLYVGEPHRNPGPPRGGTGRSLSFPNCFGPQPGGPFAAPSGPE
+MRRVNSAGRGPPGGLHSRKVSLEAPRGEAKYKALGGGGGGDYGCTQATGRSPHNNTQYGS
+SINTFTVRPGTRHPISYAYSGAHWKATS
+>tr|A0A452DI54|A0A452DI54_BOVIN Coiled-coil domain-containing protein 25 OS=Bos taurus OX=9913 GN=CCDC25 PE=4 SV=1
+MAPATGVMTRPDVSRKCVEQFRGTRPPRFRYPELPSLSTAAGRCSCPTAAGIMVFYFTSS
+SVNSSAYTIYMGKDKYENEDLIKYGWPEDIWFHVDKLSSAHVYLRLHKGEKIEDIPKEVL
+MDCAHLVKANSIQGCKMNNVNVVYTPWSNLKKTADMDVGQIGFHRQKDVKIVTVEKKVNE
+ILNRLEKTKMERFPDLEAEKECRDHEERNEKKAQIQEMKRREKEEMKKKREMDELRSYSS
+LMKVENMSSNQDGNDSDEFM
+>tr|E1BN54|E1BN54_BOVIN Cerberus 1, DAN family BMP antagonist OS=Bos taurus OX=9913 GN=CER1 PE=4 SV=1
+MHVLFLQLLVLLPLGEAVQHGGGRQRQSSISLMLLERNRRELSMGTQEEAEEKPDLFVAV
+PHLIGASPAEEGQRQREKMLSRFGRFWKKPERELHPSQGLGSEQIFPGTWGLTQPKGRMP
+TEKSPLREEAKKFWHHFMFRMSPASQGIILPIKSHEVHQETCRTVPFSQTITHEDCEKVV
+VQNNLCFGKCGSLPFPEAAQHPHTFCSHCLPAKFTTRHLQLNCTNLAMVIKVVMLVEECQ
+CMVKTEHQHGYPAQAGFRAEFHVQDPFIPGFST
+>tr|A0A3Q1LTM3|A0A3Q1LTM3_BOVIN Ectopic P-granules autophagy protein 5 homolog OS=Bos taurus OX=9913 GN=EPG5 PE=4 SV=1
+MAEAVRPPRRAKAKGSRTKTKEKKKYETLQREESDEISLAQTSRELGILTPACEFKGDSP
+KELIDSQLQNDASGQNESEMFDVPLTSLTLSNEETLTCNTESLNMGQEIRACAGDDAVEL
+KVDPEGSVRTTVETPKNFTEMEKDTLLQCRTSESIQQSNVSYIQQEVENLQVRETQNRKE
+DKEALGLSSEVLQNVGLQSSCEAKDIFQPPRVKKLYPQLPTEIAGDVPALVAVKSLLRNE
+RLYPELPSQPEVVPFTKEQLKIFEPGSWLENVESYLEEFDSMAHQDRHEFYELLLNYSRC
+RKQLLLAEAELLALTSDCQNAKSRLWHFKEEQLSVQGICADQVKVSGHHRYQRVEMNENA
+LAELKKLFDAKSEHLHQTLALHSYTSVLSRLQVESYIYSLLNSSAVLRSLAIYQEGQASK
+QAESVPSDLCQLKECISVLFTFTRRVHEDAQFHDDVLLWLQKLVSVLQRAGCPGDHLFLL
+NHILRCPAGVSKWAVPFIQIKVLNNPSGVFHFMQSLALLMSPVKNRAEFLCHMKPSERNP
+SSSGPASGTWTLVDEGGEEDEDPETSWILLNEDDLVILLSQFPFHELFQHLLGFKAKGDY
+LPETTRPQEMMKIFAFANSLVELLAVGLETFNRARYRQFVKRIGYMIRMTLGYVSDHWAQ
+YVSHNQGSGLALQPYSMEKLQVEFDELFLRAVLHVLKAKRLGIWLFMSEMPFGTLSVQML
+WKLFYLMHRVESGNLDRLSTALLPAECKKHLQDPEHFVNFEKCLSSMNSSEEICLLTTFA
+QMAQARRTNVDEDFIKIIVLEIYEVSYVTLSTRETFSKVGRELLGAIAAVHPEIISVLLD
+RVQDTIDQVGMVSLYLFKELPLYLWRPSASEIAVIRDWLLNYNLTSVKNKLACVILEGLN
+WGFGEQVSLHLDQAVHAEIALMILEAYQKYLAQKPYAGLLSESMKQVSYLASIVRYGETP
+ETSFNQWAWNLILRLKLHKNDYGIQQNCAAVPFSSTVPEMTESPTFHPLLKAVKAGMPIG
+CYLALAMTAVGHSIEKFCAEGIPLLGILVQSRHLRTVVHVLDKILPLFYPCQYYLLKNEQ
+FLSHLLLFLHLDSGVPQGVTQQVTHKVAQHLTGASHGDNVKLLNSMIQAHISVSTQPNEV
+GPVAVLEFWVQALISQHLWYREQPILFLMDHLCKTAFQLRQEDCVQKLLYQQHKNALGYH
+CDRSLLSSLVSWILAGNITPSFVEGLATPTQVWFAWTVLNMESIFEEDSQLRRVVEGELV
+INSAFTPDQALKKAQAQLKLPIVPSLQRLLIYRWAQQALLTPSDHPLLPLIWQKFFLLYL
+HRPGPQYGLPIDGCIGRRFFQSPAHISLLKEMKRRLTEVADFHHAASKALRVPAEGSEGP
+PESRAGALAYLTSPELHTELVRLFNVYILWLEDENFQKGDTYIPSLPKHYDIHRLAKVMQ
+NQQDLWMEYLNMERVHYEFQETVGLWTQAKLESHSAPCSSSVQLDFTDPLLAKERVLSNL
+RKHEDPRPPLVLHLMRPPVPVISSAMLLSQKDATELVRTDLNLLQQQARTAALRESQQVA
+LDSELLDTMPKQYVNREEQTTLHLECRGSSGKKCQGAAVVTVQFEGMHKNEAISQQLHVL
+RKEVKQLQAEAAKPPSLNIVEAAVHAENLITALVNAYQSQPTPGVQKVGISLFFTVVDYV
+SDETQRHPPTRQFFTSCVEILGQVFISGTKSECRKVLETILKNRRLCSLLSPFFTPSAAP
+AEFIQLYEKVVKFLREDNSDMIFMLLTKFDLKQWLNSTKPPLSDRTRLLESIHLALTAWG
+LEPDEDILMPFNLFCKHWTYLLLYQFPDQYSDILRLLMQSSAEQLLSPECWKATLRALGC
+CTPGCQQGAASVESTVLQSSPDVLLSDKQVMETIQWLSNFFYKLRLSTLDFKSFGLFSKW
+SPYMADMKTFLGYLVKRLLDSEMACLAQDPSASSKTVLRSLHSVIIQLFKPWILVLEDNE
+SSQRHYPWLESDAVVASSIVQVFTDCIDSLHGCFKDKLLPGDEGALRLHLMHYCEACTAP
+KMPEFILYAFHSAYQKLPWRDLHPDQMLMEAFFKVERGSPKSCFLFLGSVLCEVNWVSVL
+SDAWSPSPRPETRGMVVCLLFMMILLGKEDQLVDQTDSPLLTLLGQTSSLSWHLVDIVSY
+QSVLGYFSSHYQPSVILAKESSAELIVKLLKVSAGLSVPTDSQKHLDAVPKCQAFTHQMV
+QFLSSLEQNGKITLAVLEQEMSKLLDDIIVFNPPDLDSQTRHMALSSLFMEVLMMMNSAT
+VPTAEFLRGSIRTWIGQKVHGLVVLPLLTAACQSLASVRHMAETTEACITAYFKEGSLKQ
+NLGWGPILVSLQVPELTIEEFLQECLSLGSYLTLYVYLLQCLNSEQTLRNEMKVLLLLSK
+WLEQVYPSSMQEEAKLFLWWHQVLQLSLVQTEQNDSVLTESVIRILLMLQGRQNLLAEER
+LSSGILGAIGLGRKSPLSNRFRVAARSMAAFLSVQVPAEDQIRLKPSSELYLSMKAQQAL
+NALESLTSSKQYVEYQDQISQAAQFIKHPGHCLQDGKSFLALLVNCLDSVLLVFIQQEKL
+TKFYWVENHSRFLNTRHLMRPQDGVLLCVATSLLLSDLNVKTPAPDLTAWGIMLNPHF
+>tr|A0A3Q1M3N9|A0A3Q1M3N9_BOVIN Dedicator of cytokinesis 3 OS=Bos taurus OX=9913 GN=DOCK3 PE=3 SV=1
+ILIDMFIFGFLVICSFRGSVPQGLVLEIGETVQILEKCEGKYGPINYKTQIGIFPANYIH
+LKKAIVSNRGQYETVVPLEDSIVTEVTATLQEWASLWKQLYVKHKVDLFYKLRHVMNELI
+DLRRQLLSGHLTQDQVREVKRHITVRLDWVPYISLSLSLLHFSERFLVRLNKNGGPRNPE
+KIERMCALFTVCSEWSQIHENIIRKSSAKYSAPSLIISLQLLRGDMEQIRRENPMIFNRG
+LAITRKLGFPDVIMPGDIRNDLYLTLEKGDFERGGKSVQKNIEVTMYVLYADGEILKDCI
+SLGSGEPNRSSYHSFVLYHSNSPRWGEIIKLPIPIDRFRGSHLRFEFRHCSTKDKGEKKL
+FGFAFSPLMRDDGTTLSDDIHELYVYKCDENSTFNNHALYLGLPCCKEDYNGCPNIPSSL
+IFQRSTKESFFISTQLSSTKLTQNGRPGACGVLDLSPLVFMLLQFLQDILDTLFVILDDN
+TEKYGLLVFQSLVFIINLLRDIKYFHFRPVMDTYIQKHFAGALAYKELIRCLKWYMDCSA
+ELIRQDHIQEAMRALEYLFKFIVQSRILYSRATCGMEEEQFRSSIQELFQSIRFVLSLDS
+RNSETLLFTQAALLNSFPTIFDELLQMFTVQEVAEFVRGTLGSMPSTVHIGQSMDVVKLQ
+SIARTVDSRLFSFSESRRILLPVVLHHIHLHLRQQKELLICSGILGSIFSIVKTSSLEAD
+VMEEVEMMVESLLDVLLQTLLTIMSKSHAQEAVRGQRVFCVVPQGEYVSCLLSLLRQMCD
+THYQHLLDNFQSKDELKEFLLKIFCVFRNLMKMSVFPRDWMVMRLLTTSMQLSPEYYILA
+VLFINQPSLQLEIITSTKRKKILDKYGDMRVMMAYELFSMWQNLGEHKIHFIPGMIGPFL
+GVTLVPQPEVRNIMIPIFHDMMDWEQRKNGNFKQVEAELIDKLDSMVSEGKGDESYRELF
+GLLTQLFGPYPSLLEKVEQETWRETGISFVTSVTRLMERLLDYRYLLRNLPDLFSGHMLS
+PNFYKSEINKEEMYIRYIHKLCDMHLQAENYTEAAFTLLLYCELLQWEERPLREFLHYPS
+QTEWQRKEGLCRKIIHYFNKGKSWEFGIPLCRELACQYESLYDYQSLSWIRKMEASYYDN
+IMEQQRLEPEFFRVGFYGRKFPFFLRNKEYVCRGHDYERLEAFQQRMLSEFPQAVAMQHP
+NHPDDAILQCDAQYLQIYAVTPIPEYVDVLQMDRVPDRVKSFYRVNNVRRFRYDRPFHKG
+PKDKENEFKSLWIERTTLTLTHSLPGISRWFEVERRELVEVSPLENAIQVVENKNQELRA
+LISQYQHKQVHGNINLLSMCLNGVIDAAVNGGIARYQEAFFDKDYITKHPGDAEKIAQLK
+ELMQEQVHVLGVGLAVHEKFVHPEMRPLHKKLIDQFQMMRASLYHVTSPTILAVWTSILL
+IFLPLQLAYPNPRYQGSVTNVSVLSSSQASPSSSSLSSTHSAPSQMITSAPSSARGKDGR
+ALLAEGRGESLMGAPCPALICGYVFQSGPET
+>tr|A0A3Q1MUJ8|A0A3Q1MUJ8_BOVIN Plexin B2 OS=Bos taurus OX=9913 GN=PLXNB2 PE=4 SV=1
+MGRGAWTRIRRRPGGPAPRGGSGSGRSSAPAGRPAPAPARPLAPSLGSGNIPFIPRPALA
+GAAAGAKAELGRGRGGGNAGTRGAGAMALQLWALALLGLAGTSASLRPRKLDSFRSETEL
+NHLVVDEASGMVYVGAVNMLYQLSADLQLEQRVATGPALDNKKCTPPIEVSQCHEAVQTD
+NVNQLLLLDPPRSRLVECGSLFKGICALRALGNISTRLFYEDGSGEKSFVASNDESVATV
+GLVSAADPGGERLLFVGKGNGPHDNGVIVSTRLLDRTEGREAFEAYTDHATYKAGYMSSN
+TQQFVAAFEDGPYVFFVFNQQDKHPARNRTLLARMCKQDPFYYSYLEVDLSCLDPGDAQA
+PAFGTCLAASLDRPGSGGVLYAVFSTDGRGGRGPRTGLCLFPLDEVHRRMEANRDACYTG
+AREVARDTFYKPFHGDIQCGGHVSVRGLSVLTGAWATCGGVCAWPCLTCVHMPPCCEGCV
+RLHGCVGFPRAGQRGHPHGCLFSQVLGAPGVGAWSSEHPGALVWPGWFWGMCAAECWGPS
+RERGLGQALTPWSAGCQQEFPVWLGALALPAGQPRRPLGQSRAAPWRPEPDGRDRDHRER
+PHHRLSGHLGWPGPQGEAQGWGRVAPGGVLSAQDGPALTARLPPCPQVYFAPDGSSTEYG
+AILVEINKRIKRDLVLAADLASLYAMTQDKVFRLLVQECASFSSCAHCQSSQDPYCGWCV
+VEGRCTRKAECPRADESGHWLWSRNESCVAVTGAHPQNMSRQAQGEVQLTVSPLPALRDD
+DTLLCLFGGSPPHPARLQEGAVVCNSPSRSSLPRTPPGQDHVAVTIRLHFKRGNVFLTSH
+QYPFYDCREAMSLQENLPCISCSSNRWTCQWALLEHACQQASPGPEDGVVGAHMEDDCPQ
+FLNPSPLVIPVNHETDVTFQGKNLDTVQGSPLLVGSDLLKFEALVSTQEPGTFSFRTPKL
+THDANETLPLHLYVKSAGKNVDSRLQVTLYNCSFGRSDCSLCLAADPAYRCVWCSGRSRC
+VYEALCSNATSECPPPVVTRIQPETGPLGGGIRVTILGSNLGVRADDVKRVTVAGQNCAF
+EPERYSVSTRIVCTIEAAEEPCTGGVEVDINGKLGHSPPHAQFTYQQPQPHSVEPKQGPQ
+AGGTTLTINGTHLDTGSEEDVRVTLSDVPCNVTQFGAQLQCVTGPQAVPGELALKIYYGG
+SEVPNPGITFTYRENPVLRAFEPLRSFVSGGRSINVTGQGFSLIQRFAMVVIAEPLQSWR
+RRRETGPLRSVTVVGTEYVFYNDSKVVFLSPAVPEEPEAYNLTALIRMDGHQALLRTEAG
+AFEYVADPTFENFTGGVKKQVNKLIHARGTNLNKAMTIHEAEAFVGAERCIMKTLTETDL
+YCEPPEVQPPPKRRQKRDTAHNLPEFIVKFGSREWVLGRVEYDTRASDVPLSLILPLVIG
+PMVAVIAVSVYCYWRKSQQAEREYEKIKSQLEGLEESVRDRCKKEFTDLMIEMEDQTNDV
+HEAGIPVLDYKTYTDRVFFLPSKDGDKDVMITGKLDIPESRRQVVEQALYQFSNLLNSKC
+FLINFIHTLENQREFSARAKVYFASLLTVALHGKLEYYTDIMRTLFLELMEQYVVAKNPK
+LMLRRSETVVERMLSNWMSICLYQYLKDSAGEPLYKLFKAIKHQVEKGPVDAVQKKAKYT
+LNDTGLLGDDVEYTPLTVSVIVQDEGVDAVPVKVLNCDTISQVKEKIIDQVYRTQPCSRW
+PKADSVVLEWRPGSTAQILSDLDLTSQREGRWRRVNTLMHYNVRDGATLILSKVGVSQQP
+EDSQQDLPGERHALLEEENRVWHLVRPTDEVDEGKSKRGSVKEKERTKAITEIYLTRLLS
+VKGTLQQFVDNFFQSVLAPGNAVPPAVKYFFDFLDEQAEKHDIKDEDTIHIWKTNSLPLR
+FWVNILKNPHFIFDVHVHEVVDASLSVIAQTFMDACTRTEHKLSRDSPSNKLLYAKEIST
+YKKMVEDYYKGIRQMVQVSDQDMNTHLAEISRAHTDSLNTLVALHQLYQYTQKYYDEIIN
+ALEEDPAAQKMQLAFRLQQIAAALENKVTDL
+>tr|A0A3Q1MFI6|A0A3Q1MFI6_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=OR1E1 PE=3 SV=1
+MKMGNQTVFSDFLLLGLPIRPDQQDLFYTLFLAMYVTTVLGNLLILVLICLDPHLHTPMY
+LFLSNLSFSDLCFSSVTMPKLLQDMQSHIPSISYAGCLTQMYFFLLFADLESFLLVAMAY
+DRYVAICFPLHYTAIMSPRLCLSLLVLSWVLTICISLLHTVLMARLSFCADNVIPHFFCD
+MSALLKLACSDIQINEMVIFILGGLVIIVPFLLIFSSYARIVSSILKVRSARGIHKAFST
+CGSHLSVVSLFYGTIIGLYLCPSSNNSTVKETVMAVMYTVVTPMLNPFIYSLRNQDIKGA
+LRRVFSKWTISFFFNQ
+>tr|A0A3Q1M3H5|A0A3Q1M3H5_BOVIN Smg4_UPF3 domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MRSEDGAGGPGVAVATRGPSGREKPSSAESQFRRESPRREAEAPLASSSSCGSGAGKPRE
+EKRTVLSKVVIRRLPPSLTKEQLEQQLHPLPAHDYFEFFTADVR
+>tr|A0A3Q1M635|A0A3Q1M635_BOVIN Suv3 like RNA helicase OS=Bos taurus OX=9913 GN=SUPV3L1 PE=4 SV=1
+MSLPRCALLWARLPAGRQAGHRAAVCSALRAHTGPFPGALGRVPAIACASSSASGGSKAP
+NTSLFVPLTVKPQGPSADGDVGAELTRPLDKNEVKKVLDKFYKRKEIQKLSADYGLDARL
+FHQAFISFRNYIMQSHSLDVDIHIILNDICFSAAHVDDLFPFFLRHAKQIFPVLECKDDL
+RKISDLRIPPNWYPEARAIHRKIIFHSGPTNSGKTYHAIQKYLSAKSGVYCGPLKLLAHE
+IFEKSNAAGVPCDLVTGEERVSVDPDGKQAAHVACTVEMCSVTTPYEVAVIDEIQMIKDP
+ARGWAWTRALLGLCAEEIHLCGESAAIDMVTELMYTTGEDVEVRTYKRLTPISVLDHALE
+SLDNLRPGDCIVCFSKNDIYSVSRQIEIRGLESAVIYGSLPPGTKLAQAKKFNDPDDPCK
+ILVATDAIGMGLNLQLVFIQLLNRLKCLPTISLTQRFLISLVDPAYSVKSPSEVCFLHSP
+YQQEAAFRLFFIVAGKPLSF
+>tr|E1BDL0|E1BDL0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC104968820 PE=4 SV=3
+METLERIQPNRQVTTFILMVILSQANPAPIRYSVLEETESGSFIAHLTKDLGLEIGELKA
+RSARVVCDDDKQRLLLDRQTGDLLLREKLDREDICGSDEPCVLHFQVFLETPVQFFEGEL
+LIQDINDHSPVFPNREMLLKIPENSQPGTVFPLKLAQDLDVGSNGLQKYTINANSHFHVL
+TRNHSDGKKYPDLVQDKVLDREEQSEFSLTLMALDGGSPPRSGTSMVRILIMDVNDNAPE
+FVHTPYEVQVLENSPLDSLILTVLARDVDAGNFGTVSYSLFQASEEIKQTFSINEVTGEI
+RLTKKLDFEQIKSYHVETEATDGGGLSGKGTVVIEVVDVNDNAPELTISSLISSIPENAP
+ETVVSIFRIRDRDSGDNGKMICSIPDNLPFILKPTFKNFYTLVTDSPLDRESQAEYIITI
+TVTDMGTPRLKTEHNITMLVSDVNDNAPAFTQTSYTLWVRENNSPALHIGSVSATDTDAG
+ANAQVTYSLLPPPDPLVPLASLVSINPDNGHLFALTSLDYEALRAFEFHVGATDRGSPAL
+SSQALVRVLVADTNDNAPFVLYPLQNASAPCTELVPRAAEPGYLVTKVVAVDGDAGQNAW
+LSYQLLKATEPGLFGVWAHNGEVRTARLLSERDAPKQRLVVLVKDNGEPPLSASVTLHVL
+LVDGFSQPYLPAPEAEAAAAAPADPLTVYLVVALASVSSLFLFSVLVFVAVRLCRRGGAA
+SAGRCPVAEGHFPGHLVDVSGTGTLSKSYQYEVCLTGDHRTGTMETPLPKMTQKRQVTAI
+IILLLLWEAGGQIIKYSVLEERDSGSFVANLAKDLGLGLGELVSRGARILFKGNKQHLQI
+EQKSGNLILKEKLDREDLCGDTDPCILHFQVLLKNPVQFIQGELQIQDVNDHAPEFLENE
+ILLKISESSHPGSAYPLKIAQDLDVGSNTVQNYTISSNFHFHLFTRNHSDGRKYPELVLD
+QELDREEQPELRLTLTAMDGGSPPKTGTSQVLIIVLDINDNAPEFAQQLYQVQVPENSPI
+GSLVITVSARDLDAGIHGELSYSFFQSSNQVIQAFEVNTATGDIRLKKKLDFEEIRSYHM
+EIEASDGGGLSGKCTVAIEVMDVNDNAPELTVSLLINDIPENTPDTVVAVFGISDSDSGN
+NGKMMCSIQDHLPFLLKLTIENFYTLVTEGALDREEKAEYNITITVTDMGTPRLKTEHNI
+TVLVSDVNDNAPTFTQTSYTLWVRENNSPALHIGSVSATDTDAGANAQVTYSLLPPPDPL
+VPLASLVSINPDNGHLFALTSLDYEALRAFEFRVGATDRGSPALSSQALVRVLVADANDN
+APFVLYPLQNASAPCTELVPRAAEPGYLVTKVVAVDGDAGQNAWLSYQLLKATEPGLFGV
+WAHNGEVRTARLLSERDAPKQRLVVLVKDNGEPPLSASVTLHVLLVDGFSQPYLPAPEAE
+AAAAAPADPLTVYLVVALASVSSLFLFSVLVFVAVRLCRRGGAASAGRCPVAEGHFPGHL
+VDVSGTGTLSQSYQYEVCLMGGTGSGEFKFLKPIVPSLMSEGAGMDTAENANFINRLGFN
+>tr|A0A3Q1MKD2|A0A3Q1MKD2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=MYO9A PE=3 SV=1
+MNINDGGRRRFEDNEHTLRIYPGTISEETIYCPIPARKNSTAAEVIDSLINKLHLDKTKC
+YVLAEVKEFGGEEWILNPTDCPVQRMMLWPRMALENRLSGEDYRFLLREKNLDGSIHYGS
+LQSWLRVTEERRRMMERGFLPQPQQKDFDDLCSLPDLNEKTLLENLRNRFKHEKIYTYVG
+SILIAINPFKFLPIYNPKYVKMYDNHQLGKLEPHIYAVADVAYHAMLQRKKNQCIVISGE
+SGSGKTQSTNFLIHHLTALSQKGFASGVEQIILGAGPVLEAFGNAKTAHNNNSSRFGKFI
+QVNYQETGTVLGAYVEKYLLEKSRLVYQEHNERNYHVFYYLLAGASEEERLAFHLKQPEE
+YHYLNQDCFTVEGEDLRHDFERLQLAMEMVGFLPKTRRQIFSLLSAILHLGNICYKKKTY
+RDDSIDICNPEVLPVVSELLEVKEEMLFEALVTRKTVTVGEKLILPYKLAEAVTVRNSMA
+KSLYSALFDWIVFRINHALMNSKDLEQNTKTLSIGVLDIFGFEDYENNSFEQFCINFANE
+RLQHYFNQHIFKLEQEEYRTEGISWHNIDYIDNTCCINLISKKPTGLLHLLDEESNFPQA
+TNQTLLDKFKHQHEENSYIEFPAVMEPAFIIKHYAGKVKYGVKDFREKNTDHMRPDIVAL
+LRSSKNAFISGMIGIDPVAIFRWSVLRAFFRAMVAFREAGKRHIQRKTALIFLKKSLDFP
+ILLFSSISLLRSLSIYVKNLIFPPVFQHLLEVKSLKHLTSLTIQDRITKSLLHLHKKKKP
+PSISAQFQVSLSKLMETLGQAEPYFVKCIRSNAEKLPLRFNDALVLRQLRYTGMLETVRI
+RQSGYSCKYSFQDFVSHFHVLLPRNIIPSKFNIQDFFRKINLNPDNYQVGKSMVFLKEQE
+RQHLQDLLHQEVLRRIVLLQRWFRVLLCRQHFLHLRQASIVIQRRWREHCRRRHLAAVCI
+QARWKGYRESKRYQEQRNKIILLQSLCRGFRNCLGVAERNSKRTKSLLQRARRKRSVKIS
+SVALESVHWQNDSVQIIASASDLKSMDEFLLKKVFRPLSSFDCGMLLYFFIQVEEHNGHI
+FKATQYSIPTYCEYCSSLIWIMDRASVLCKYACHKKCCLKTTAKCSKKVRKLSSRQFGVE
+LSRLTSEDRTVPLVVEKLINYIEMHGLYTEGIYRKSGSTNKIKELRQGLDTDAENVNLDD
+YNIHVIASVFKQWLRDLPNPLMTFELYEEFLRAMGLQERKETIRGVYSVIDQLSRTHLNT
+LERLIFHLVRIALQEDTNRMSANALAIVFAPCILRCPDTIDPLQSVQDISKTTTCVELIV
+VEQMNKYKARLKDISSLEFAENKAKTRLSLILKNQLKCGSFEELQRKKILRCGLDSGKFY
+SSHSGDLTYKELDPQNEDFLRI
+>tr|A0A3Q1LWD8|A0A3Q1LWD8_BOVIN Peptidase S1 domain-containing protein OS=Bos taurus OX=9913 GN=LOC100848132 PE=3 SV=1
+MCSKSRSCWSPGVAGKTLGKRDCTVEIPSFKLRPTPHPCEHTHKDTQTPTHVCPNAHAHS
+VPHLPASSGNLSFSLALCRTSDVVVAGEFDQGSSSEKIQKLKIAKVFKNSKYNSLTINND
+ITLLKLSTAASFSQTVSAVCLPSASDDFAAGTTCVTTGWGLTRYTNANTPDRLQQASLPL
+LSNTNCKKYWGTKIKDAMICAGASGVSSCMGDSGGPLVCKKNGAWTLVGIVSWGSSTCST
+STPGVYARVTALVNWVQQTLAAN
+>tr|A0A3Q1LLD4|A0A3Q1LLD4_BOVIN Polypyrimidine tract binding protein 3 OS=Bos taurus OX=9913 GN=PTBP3 PE=4 SV=1
+MDGVVTDLIAVGLKRGSDELLSSGIINGPFTMNNSTPAGVYANGNDNKKFKGDRPLCSPS
+RVLHLRKIPSDVTEAEVISLGLPFGKVTNLLMLKGKSQAFLEMASEEAAVTMVNYYTPVT
+PHLRSQPVFIQYSNHRELKTDNLPNQARAQAALQAVSTVQSGSLALPGAPTNEGTILPGQ
+SPVLRIIIENLFYPVTLEVLHQIFSKFGTVLKIITFTKNNQFQALLQYADPLNAHYAKMA
+LDGQNIYNACCTLRIDFSKLTSLNVKYNNDKSRDFTRLDLPTGDGQPSLEPPMAAAFGAP
+GIISSPYAGAAGFAPAIGFPQATGLSVPAGLGPGALGPLAITSSAVTGRMAIPGAGGMPG
+NSVLLVTNLNPDLITPHGLFILFGVYGDVHRVKIMFNKKENALVQMADANQAQLAMNHLS
+GQRLYGKVLRATLSKHQAVQLPREGQEDQGLTKDFSNSPLHRFKKPGSKNFQNIFPPSAT
+LHLSNIPPSVTVDDLKNLFTEAGCSVKAFKFFQKDRKMALIQLGSVEEAIQALIELHNHD
+LGENHHLRVSFSKSTI
+>tr|G5E545|G5E545_BOVIN Solute carrier family 9 member A7 OS=Bos taurus OX=9913 GN=SLC9A7 PE=4 SV=2
+MEPGDAARLGPGRAARALPPRLLLMLLLGRGLRGAAAASSYGAAAEDSSAMEELATEKEA
+EESHRQDSVSLLTFILLLTLTILTIWLFKHRRVRFLHETGLAMIYGLIVGVILRYGTTAT
+SGHDKSLSCTQEDRAFSTLLVNVSGKFFEYTLKGEISPGKVNSVEQNDMLRKVTFDPEVF
+FNILLPPIIFHAGYSLKKRHFFRNLGSILAYAFLGTAVSCFIIGNLMYGVVKLMKIVGQL
+SDKFYYTDCLFFGAIISATDPVTVLAIFNELHADVDLYALLFGESVLNDAVAIVLSSSLT
+GADVTKFTKLHCFPLLETALFFLMSWSTFLLAEACGFTGVVAVLFCGITQAHYTYNNLSV
+ESRSRTKQLFEVLHFLAENFIFSYMGLALFTFQKHVFSPVFIIGAFVAIFLGRAAHIYPL
+SFFLNLGRRHKIGWNFQHMMMFSGLRGAMAFALAIRDTASYARQMMFTTTLLIVFFTVWI
+IGGGTTPMLSWLNIRVGIEEPSEEDQNEHRWQYFRVGVDPDQDPPPNNDSFQVLQGDGSD
+SARGNRTKQESAWLFRLWYSFDHNYLKPILTHSGPPLTTTLPAWCGLLAQCLTSPQVYEN
+QEPLREEDSDFILTEGDLTLTFGDSTVTANGSSGSHTASMSLEGGRRMKSSSEEVLERDL
+GMGDQKVSSRGTRLVFPLEDNVRLPAQSPE
+>tr|A0A3Q1MTM9|A0A3Q1MTM9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MHSTFPFMTTPPREGRKALQGHAARTKDARGLLPPAPASVPAPPTPGHLERGASLIEMLP
+ALRVCSRHLAARGAGEGAAGLGRRARRGGRRPSAHVGAGEAGRVSGKPAPPASR
+>tr|A0A3Q1MZ82|A0A3Q1MZ82_BOVIN Polycystin 1 like 3, transient receptor potential channel interacting OS=Bos taurus OX=9913 GN=PKD1L3 PE=4 SV=1
+MFFKGRTWLWLYITTGFVLGSELHGSEQRGGNNCFQLSSFRCSFEGAEQHCHALGGHLAF
+TWNQEVQDLLWNFLEKEKWWVGGNLTLPEKHQGKNHPGEALRFTTKPTRCIYLTRSHNQT
+SSKEGSCLAKHYFICQSKTLCHRLSPFPSLPPEVTPQGVSVTPAPTSQPLPLTPGLPRPG
+SVTRAGKALVEITSSPKEDSHPGVFTSHPQVSLQNASYQIIDEITGNFSKAVLGFRAHNK
+LQKACEILEGLAAFIPGFSESAQVSRGRLTQVENMLKMSLLSLGRIQEAFLQQNRCAEPE
+VTLTSSTAVLMLSRQNISTLPLSSYTLGDPAPVRLGFPSASALEELLKKHPGVDVQVTGL
+AFNPFRDFDEKKIVGSIGSVLLSSNHKLLQVHDLMEDIEIMLWRNTSVETHRTSLNMSTD
+HFTITVNVTSLEKSLMVCIEPEGPLSLTLHLGFQHQPNLTHFHLPKEKVSQKDEEYTWVL
+TPEKLRYGVGTYYITAVLDKSKEGTQRTPTLLSVVTAVTQCYFWDSLNSMWKSSGCQVGP
+QSTLVRTQCLCNHLTYFGSDFFLVPRTVNVEDVAELFLRVTSNPVGVSLLASLVGIYMLI
+FVWAWRKDQADAQKVKVTILADNDPSSQFHYLIEVSTGHRRRASTTANVVITLYGSEGRS
+EPHHLCDSQKAVFERGGLDVFLLGTPSSLGELHSLRLWHDNSGTSPAWYVSQVTVTDIAG
+KRKWHFLCNCWLAMDLGDSERDRVFMPVSKKELFSFRHLFSSMIIEKFTQDCLWLSVATR
+HPWNQFTRVQRLTCYMTLLLCNMVTNVMFWKVNSTTTKRDQQARVGPFAVTWSELLVSIQ
+TAVIFFPISLVIGRLFPLIQPQEPLPVFPPIQASCPSDASSEPLSLTEVVEKLKETVGFL
+LRRNTYLLSECEPSSWRSDSINELLKLLSSLICSYLEHPGCPQQAGHYWANVVAENHRHF
+CSYLLRVLQRLQSHLQTPGPTQADHPSDFLDAVHQLQKLQELLETHVLPTEQGLSREATS
+FPILSPEEGKQRVSKGWHRWLTYFCWLLLGVTSLASAFFTALYSLELNKDQATSWVISMI
+LSLLQNTFISQPAKVIFLTLFYSLMLNRLPQLHREKEHQTRRILALWARCPSSLPGSRDK
+NNSIYVAPTINSPVKRPERTLKEKKFFKLTGDVLAQILFLTLLMTTVYSTQNSNRFYLRQ
+AIHKSFSQRFSEIKLLKHFYTWANSTLLPNLYGDYRGFITDGNSFLLGNVLLRQIRLPRA
+TFFPTKPSLREQVKPSHQVQEDTENYGVNWGPPDINNTKSDTIWHYQNQEALGGYPIQGE
+FATYSGGGYVVRLGRNSSNAHRVLQQLEQSQWLDHCTKSLFVEFVVFNANVNLFCVVTLI
+LESNNVGAFFTSMRLDVLTSLQISRNFAWSVTSQVIYYLLVCYYAFAQGRQLKQQRWRFF
+MRKRNLLDMSIILLSFVILGLDLTLISLHKKHMVQYHYDRDRFINFYEAVKVNSAVIHLM
+GFLVLLATVQLWSLLYQNPRVRVIGRTLSRAWDEVVGFLLVILILLTGYAIVFNLLFGWS
+ISDYRTFLSSAVTVVSLLMGISHHKEVTAVNPVLGSFLIFTYVVLMVLVIINLFVSAILM
+AFGKERNPLREATLMDMLLLKLSSLLGIRQHQSACNSSNLRERSSKSMSSDAEVLAPGDA
+VGSVSGTDGSSGSTKLS
+>tr|A0A3Q1MHJ7|A0A3Q1MHJ7_BOVIN Myosin IIIB OS=Bos taurus OX=9913 GN=MYO3B PE=3 SV=1
+MKGLQHLHNNRIIHRDVKGNNILLTTEGGVKLVDFGVSAQLTSTRLRRNTSVGTPFWMAP
+EVIACEQQYDSSYDARCDVWSLGITAIELGDGDPPLFDMHPVKTLFKIPRNPPPTLLHPE
+KWCEEFNHFISQCLIKDFEKRPSVTHLLDHPFIKGVHGKLLFLQKQLAKVLQDQKHLNPV
+VKTRHERMHTKRPYHVEDAEKYCLEDDLVNMEVLDEDTIIHQLQKRYVDLLIYTYVGDIL
+IALNPFQNLSIYSPQFSRLYHGVKRASNPPHIFASADAAYQCMVTFSKDQCIVISGESGS
+GKTESAHLIVQHLTFLGKADNQTLREKILQVNSLVEAFGNACTAINDNSSRFGKYLEMMF
+TPTGAVMGARISEYLLEKSRVIKQAVGEKNFHIFYYIYAGLYHQKKLSEFRLPEEKPPRY
+LADETGRVMHDITSKESYRRQFEAIQHCFRIIGFTDKEVHSVYSILSGILNIGNIEFAAI
+SSQHQTDKSEVPNAEALENAASVLCISPEELQEALTSHCVVTRGETIIRANTVDRASDVR
+DAMSKGLYGRLFSWIVNRINTLLQPDKNICTADDGMNVGILDIFGFENFQRNSFEQLCIN
+IANEQIQYYFNQHVFALEQMEYQNEGIDATPVEYEDNRPLLDMFLQKPLGLLALLDEESR
+FPQATDQTLVDKFEDNLRCKYFWRPKGMELCFGIQHYAGKVLYDASGVLEKNRDTLPADV
+VVILRASENQLLQQLFSIPLTKTGNLAQTRARITAASRSLPPHFSSGRLKVDTLEVIRHP
+EETTNMKGQTMASYFRYSLMDLLSKMVVGQPHFVRCIKPNDDREALKFSTDKVRAQLRST
+GILETVSIRQQGYSHRILFEEFVKRYYYLAFRAHQTPLASKESCIAILEKSRLDHWLLGK
+TKVFLKYYHVEQLNLLLREVIGRVVVLQAYAKGWLGARRYRRVREKREKGAVAIQSAWRG
+YDARRKFKKISNRRSEPAVHIHAGCPSDQSSDPQPTITGGRSGTAQGQFSSEPGDHKVLR
+GSGNHKSSPQAESSNGHAEASSTSPVTEKNWHSQAQSSSKGCDVFAGHSNKTCHAVPDCP
+GLSPSRGAPAKSDSENGLAQKQRTPRRRCQEPKMLSSPEDTMYYNQLNGTLEYQGSKRKP
+RKLGQIKVLDGEDEYYKSLSPVDSIPEEDNSVHPFFFSSSSKGASFAQH
+>tr|A0A3Q1MTV8|A0A3Q1MTV8_BOVIN Regulator of G protein signaling 6 OS=Bos taurus OX=9913 GN=RGS6 PE=4 SV=1
+MQDDKTGGVPVRTVKSFLSKIPSVVTGTDIVQWLMKNLSIEDPVEAIHLGSLIAAQGYVF
+PISDHVLTMKDDGTFYRFQAPYFWPSNCWEPENTDYAIYLCKRTMQNKARLELADYEAEN
+LARLQRAFARKWEFIFMQAEAQVKIDRKKDKTERKILDSQERAFWDVHRPVPGCVNTTEM
+DIRKCRRLKNPQKVKKSVYGVTEESQSQSPVHIPSQPIRKTTKEDIRKQITFLNAQIDRH
+CLKMSKVAESLIAYTEQYVEYDPLITPAEPSNPWISDDIALWDIEMSKEPSQQRVKRWGF
+SFDEILKDQVGRDQFLRFLESEFSSENLRFWLAVQDLKKQPLQDVARRVEEIWQEFLAPG
+APSAINLDSHSYEITSQNVKDGGRYTFEDAQEHIYKLMKSDSYARFLRSNAYQDLLLAKK
+KVSLEGQPCLS
+>tr|A0A3Q1M8X9|A0A3Q1M8X9_BOVIN Aftiphilin OS=Bos taurus OX=9913 GN=AFTPH PE=4 SV=1
+MEPDIIRMYSSSPPPLDNGAEDDDDDEFGEFGGFSEVSPSGVGFVDFDTPDYTRPKEEFV
+PSNHFMPIHDFSENVDSLTSFKSIKNSNDKDITAELSSPVKGQSDVLLSTTSKEIISSKT
+LDTSIDGIESPRDKEVEQRQNVGTPESFSPGDFRTDLNVVHQNKQLESCNGEKPPCLEIL
+TNGFAVLETVNPQGTDDLDAAADSKGRKPLSTYSTEYNLDYAPSPAEEFADFATFSRKER
+IQLEEIECAVLNDEALTIQENKINRVNELNSIKEVSLDRSCDDKGDTARKDQVCVSKISV
+ASDRGFSRGKQGLPTLQQDEFFNSSVQSEAWSLADPAENSEASRREQCKIEENLDIFTSK
+CSNLCMVSTKTLDADDEVGSSKEEIRGFTDSQSLSFDPTEENVLDDSVSVKNGDSSNDFV
+TCNDTIEDDFGDFGTASGTTPPFVTAGTQDSMSDVTFEESSEHFPHLSEPGDDFGEFGES
+NTVSCQEEIIFTESDLKQTSDSLSEGCSLARKPTGTGTEPISKLEIGQEGEFGDFDSVPN
+IQDDCSAFQDSDDFADFSSAGPSQVVDWNAFEDEQKDSCSWAAFGDQQATESHHRKEAWQ
+SHRTDGKIDTPGTPKVHSVSLAASKGAVAGGHLHETTTSVQTALLNRLERIFEACFPSIF
+VPDTEEEVTSLKHLLETSTLPIKTREALAESGELLDVWTELQDVHDAHGLRYQWGGSHSN
+KKLLCSLGIDTRNILFTGNKKQPVIVPMYAAGLGMLEPTKEPLKPLSAAEKIASIGQTTT
+MSPEMNTCTSDQFQESLPPVQFDWSSSGLTNPLDGVDPELYELTTSKLEISTSSLKVTDA
+FARLMSTVEKTSTSTRKPKREEHLSEEAIKVIASLPDLTFMHAKVLMFPTTLTPSTSSQE
+KADG
+>tr|A0A3Q1M6U7|A0A3Q1M6U7_BOVIN Meiosis regulator and mRNA stability factor 1 OS=Bos taurus OX=9913 GN=MARF1 PE=4 SV=1
+MMEGSGTENPCSRRVGWLQQDNDAKPWLWRFSNCFSRPQQTLPLSPQTKDYMENKKVAVE
+LKDVPSPLHAGSKLFPAVPLPDIHSLQQPKVQLSTVPKHLPCCGKLHFQSCRGNVHKLHQ
+FPALQGCPSSAGYFPCSDFTSGAPGHVEEHVSQSELTPHLCTNSLHLNVVPPVCLKGSLY
+CEDCLSKPARNSIIDAAKVWPNIPPPNTQTAPVTVPLCNGCGTKGMGKETTLLLATSLGK
+AASKFGSPEVALAGQMLENLPPIGVFWDIENCSVPSGRSATAVVQRIREKFFKGHREAEF
+ICVCDISKENKEVIQELNNCQVTVAHINATAKNAADDKLRQSLRRFANTHTAPATVVLVS
+TDVNFALELSDLRHRHGFHIILVHKNQASEALLHHANELIRFEEFISDLPPRLPLKMPCH
+TLLYVYNLPANKDGKSISNRLRRLSDNCGGKVLNITGCSAILRFINRDSAERALKRMENE
+DVFGNRIVVSFTPKSSELCETKSSNATADKVKSPKKLKNPKLCLIKDISESPSSAKAAPG
+KGSQANSGSATRNANVKSLQELCRLESKTGTRSSEPQQGHLRLGAPPHRSSSAAAPAPKA
+PGLAESVYKTNPKKESLGARSVTSSPVEKKEKEETLFQVSYPSAFSKLIASRQVSPLLTA
+QPWSSRASPLAFNAAHSSVGADGPDPFAHGVDVQISNLDYRLSRKELQQLMQEAFSRHGK
+VKSVELSPHTDYQLKAVVQMENLQEAIGAVNSLHRYKIGSKKILVSLATGAANKSLSLLS
+AETMSILQDAPACCLPLFKFTDIYEKKFGHRLNVSDLYKLTDTVAIREQGNGRLVCLLPS
+SQARQSPLGSSQSHDGSSTNCSPIIFEELEYHEPVCRQHCPNKDFSEHEFDPDSYKIPFV
+ILSLKTFAPQVHSLLQTHEGTVPLLSFPDCYAAEFGELEIVQENRGGGVPLEHLITCVPG
+VNIATAQNGVKVVKWIHNKPPPPNTDPWLLRSKSPVGNPQLIQFSREVIDLLKNQPSCVI
+PISNFIPSYHHHFAKQCRVSDYGYSKLIELLEAVPHVLQILGMGSKRLLTLTHRAQVKRF
+TQDLLKLLKSQASKQVIVKEFAQAYHWCFSKDWDVTEYGVCELIDIISEIPDTTICLSQQ
+DSEAMICIPRRERTQDEIERTKQFSKDVVDLLRHQPHFRMPFNKFIPSYHHHFGRQCKLA
+YYGFTKLLELFEAIPDILQVLECGEEKILTLTEVERFKALAAQFVKLLRSQKDNCLMMTD
+LLKEYAKTFGYTFRLQDYDVSSVSALTQKLCHVVKVADMESGKQIQLINRKSLRALTAQL
+LVLLMSWEGTTHLSVDELKRHYESTHSTPLNPCEYGFMTLTELLKSLPYLVEVFTNDKTE
+ECVKLTSLYLFAKNVRSLLHTYHYQQLFLHEFSMAYSKYVGETLQPKTYGFSSVEELLGA
+IPQVVWIKGHGHKRIVVLKNDMKSRVNSLGPSPASHETQPSAPERILEVPESPPASELRL
+GVGGDGPHPAEQELLRLTDDSPVDLLCAPVPSCLPSPQLRPDPVVLQAADLIWFEEHPQE
+PSEIMILNQEEKIEIPVPIRNENLPPDPSSPGVSAAVPAPPSPSSETPESLLSKDPTESP
+AKKQPKNRVKLAANFSFAPITKL
+>tr|E1BHC1|E1BHC1_BOVIN Fibroblast growth factor OS=Bos taurus OX=9913 GN=FGF6 PE=3 SV=1
+MARGQTPLITMSRGAGRPQGTLRALVFLGVLVGMVVPSPAGTRANGTLLASRGWGTLLSR
+SRAGLAGEIAGVNWESGYLVGIKRQRRLYCNVGIGFHLQVPPDGRISGTHEENPYSLLEI
+STVERGVVSLFGVKSALFVAMNSKGKLYATPSFQEECKFRETLLPNNYNAYESDLYRGAY
+IALSKYGRVKRGSKVSPTMTVTHFLPRI
+>tr|A0A3Q1N3K9|A0A3Q1N3K9_BOVIN Dynein axonemal heavy chain 3 OS=Bos taurus OX=9913 GN=DNAH3 PE=4 SV=1
+MRAVKSVLTAAGNLKLKYPEENESVLLLRALLDVNLAKFLAQDVPLFQGIISDLFPGVIL
+PQPDYVVFMEVLNANIKKMKLQPVPWFIGKIIQIYEMMLVRHGYMIVGDPMGGKTCAYKV
+LAAALGDLYEAKQMEEFAVEYKIINPKAITMGQLYGCFDQVSHEWTDGVLANAFREQASS
+LSDDRKWIIFDGPVDAVWIENMNTVLDDNKKLCLMSGEIIQMSPKMSLIFEPADLEQASP
+ATVSRCGMIYMEPHQLGWKPLKDSYMDTLPSSLTEEHKELVRPSEFWRLRNVLYDYWDVT
+P
+>tr|A0A3Q1M966|A0A3Q1M966_BOVIN Family with sequence similarity 126 member B OS=Bos taurus OX=9913 GN=FAM126B PE=4 SV=1
+MLGSERGVVEEWLSEFKALPDTQITNYAATLHRKKTLVPALYKVIQDSNNELLEPVCHQL
+FELYRSSEVRLKRFTLQFLPELMWVYLRLTVSRDRQSNGCIEALLLGIYNLEIADKDGNN
+KVLSFTIPSLSKPSIYHEPSTIGSMALTEGALCQHDLIRVVYSDLHPQRETFTAQNRFEV
+LSFLMLCYNSAIVYMPASSYQSLCRMGSRVCVSGFPRQHEKQWKELCGRIVLDPEFMVQL
+LTGVYYAMYNGQWDLGQEVLDDIIYRAQLELFSQPLLVANAMKNSLPFDAPDSSQEGQKV
+LKVEVTPTVPRISRSAITTASIRRHRWRREDGFDFSNEADSSIPGSPIQHGSTDLGIKRV
+QEGEVLVHRTPEHGSPEPNSAAATTEGAEGINGGEESVNLNDADEGFSSGASLSSQPIGI
+KPSSSSQRGSLRKVATGRSAKDKETTSAIKSNESPRDSVVRRQYVQQPTDLSVDSIELTP
+MKKHLSLPAGQVVPKTNSINLIRTASASSSKSFDYVNGSQASTSIGVGTEGITNLAANNA
+NRYSTVSLQEDRLGQATEGKELLSPGAPLTKQSRSPSFNMQLISQV
+>tr|F1N2E2|F1N2E2_BOVIN Spermatogenesis associated 1 OS=Bos taurus OX=9913 GN=SPATA1 PE=4 SV=3
+WTENPDRPHSPWGPKELDTTKQLSTPQLVELHVFYVPEGSWNYKLNTISIEVVNKFISAG
+FIRVSPHLTLQDLREHLSEFLGEEAVAEKFLFLKCIGNNLAVVKTKQESELKLKSFVPPY
+ALQPELYLLPIMDHLGNIYSASAAVSLDEQQTNNGVAEPDRIIHRPLNVTLSKEEPGTDP
+SVLENALKEHLDKNQEEGDFNWNEVVIVHFNSQLGKTEYIPLPDLIDFPSLPCQPALSPG
+ITDIPLSQTERDKIIEQMKQTEEERRYLERITKELMKKAERLLEQGKLKRYHACGGWKKK
+CFETKKVTASLEEVLTKLREDVELHYKKLLMQLKAREIKMRPKNLANITDSKNYLIIQIT
+EVQHAIDQLKRKLDTDKMKLIIEIKMRKQAISDLRTLKAELAQKKLNTTFQSQLGMLI
+>tr|A0A3S5ZPJ9|A0A3S5ZPJ9_BOVIN Beta-mannosidase OS=Bos taurus OX=9913 GN=MANBA PE=4 SV=1
+MLLRLLLLLAPCGAGFATKVVSISLRGNWKIHSGNGSLQLPAAVPGCVHSALFNKRIIKD
+PYYRFNNLDYRWIALDNWTYIKKFKLHSDMSTWSKVNLVFEGIDTVAVVLLNSVPIGKTD
+NMFRRYSFDITHTVKAVNIIEVRFQSPVVYANQRSERHTAYWVPPNCPPPVQDGECHVNF
+IRKMQCSFGWDWGPSFPTQGIWKDVRIEAYNVCHLNYFMFTPIYDNYMKTWNLKIELSFD
+VVSSKLVSGEAIVAIPELNIQQTNNIELQHGERTVELFVKIDKAIIVETWWPHGHGNQTG
+YNMSVIFELDGGLRFEKSAKVYFRTVELVEEPIQNSPGLSFYFKINGLPIFLKGSNWIPA
+DSFQDRVTSAMLRLLLQSVVDANMNALRVWGGGVYEQDEFYELCDELGIMIWQDFMFACA
+LYPTDKDFMDSVREEVTHQVRRLKSHPSIITWSGNNENEAALMMGWYDTKPGYLQTYIKD
+YVTLYVKNIRTIVLEGDQTRPFITSSPTNGAKTIAEGWLSPNPYDLNYGDVHFYDYVSDC
+WNWRTFPKARFVSEYGYQSWPSFSTLEKVSSEEDWSYRSSFALHRQHLINGNNEMLHQIE
+LHFKLPNSTDQLRRFKDTLYLTQVMQAQCVKTETEFYRRSRSEIVNGKGHTMGALYWQLN
+DIWQAPSWSSLEYGGKWKMLHYFARHFFAPLLPVGFEDKDMLFIYGASDLHSDQQMMLTV
+RVHTWSSLELVCSESTNPFVIKAGESVLLYTKPVPELLKGCPGCTRQSCVVSFYLSTDGE
+LLSPINYHFLSSLKNAKGLHKANITATISQQGDTFVFDLKTSAVAPFVWLDVGSIPGRFS
+DNGFLMTEKTRTVFFYPWKPTSKSELEQSFHVTSLADTY
+>tr|A0A3Q1LWZ0|A0A3Q1LWZ0_BOVIN Origin recognition complex subunit 4 OS=Bos taurus OX=9913 GN=ORC4 PE=3 SV=1
+ESSRKTSTSALLTMPKPLTVQRILRERFCHQSPHGNLFGVQVQYKHLIELLKRTAIHGES
+NSILIIGPRGSGKTMLINHALKELMEIEGVSENILQVHLNGLLQINDKIALKEITRQLNL
+ENVVGDKVFGSFAENLSFLLEALKKGDRTSSCPVIFILDEFDLFAHHKNQTLLYNLLDIS
+QSAQTPVVIIGLTCRLDILELLEKRVKSRFSHRQIHLMNSFSFPQYLKIFKEQLSLPSVF
+PEEIFAEKWNENVQSLSEDRSVKEVLQKHFNVSKNLRSLHMLLMLALNRVTPSHPFITAA
+DLMEANQLCSMDSKGNIVHGLSVLEICLIIAMKHLNDIYEEEPFNFQMVYNEFQKFVQRK
+AHSVYNFEKPVVMKAFEHLQQLELIRPMERTSVNAQREYQLMKLLLDNTQIMNALQKYPN
+CPTDVRQWATSSLSWL
+>tr|A0A3Q1M3K6|A0A3Q1M3K6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MDAFYPEESLPTDFLGSPDQPPEPPEMPEEPTEEVELPLLQQEALSQSSEAPKEVETSSP
+QEALAQPLETPKETVAGPVEHHKVQQSHLHNVTVKPLDLVLTLTPEVTKEVEPSPVQQEI
+LSQPPEHFEGLEPLPAQQEAPSEFPEQQYGFPALPRKETEPSPALQGTPSQPPVPPTEIV
+AQTPMLYEVTVSTSGQDQTHHSNLTSATVKPLAQKPTITPEPTPLEATIPNPEQVQVQHP
+TLTEVTVQPLDLELTIRPEPTKEEEPSPTMQELPPQPVKPPKEVVADSTPGQYQAQNPTL
+PSITVQPLNPEPTVTPEPTVEAESSTALRPKPPEVTLPNPEQVQSQLTEFAVQPLDLELT
+ITPESTNEAESSPATQETPAQHPVQQEVTVPMPAQSQLPPSVTVQPSDQRPNTTPEPTTE
+AEYSTGLQQTAAIPPYPELTLPHPKPVQSQRPALSEVTFQSLDLELTLTPESTVEVEPSP
+TVQQTQAQPPKPIKEVTGPPEGTPAHPNLTEVTVQPMDVEVNVTAVNNTETEPPPTLQET
+PSKDQSQPPTSPSVTTHHANLELTITPEPAMVSEYSTALQQTTAPPEVVLFPLTPFSEPK
+SPPGVKRILGKKQPVQNAPTTINVCKLCTCKDETLSCVGLSAKQKLHRVPEPGPNSYNGT
+FTILNFQGNYISYIDENIWKAYRWLITHHFLNSFNKYFFECLLCARNCFTCWETGPKTKK
+TKYSSS
+>tr|A0A3Q1MR25|A0A3Q1MR25_BOVIN Protein-serine/threonine kinase OS=Bos taurus OX=9913 GN=PDK3 PE=3 SV=1
+MRLFRLLLKQPVPKQIERYSRFSPSPLSIKQFLDFGRDNACEKTSYMFLRKELPVRLANT
+MREVNLLPENLLNRPSVGLVQSCFLQVLIKVRNRHNDVVPTMAQGVIEYKEKFGFDPFIS
+SNIQYFLDRFYTNRISFRMLINQHTLLFGGDTNPVHPKHIGSIDPTCNVADVVKDAYETA
+KMLCEQYYLVAPELEVEEFNAKAPNKPIQVVYVPSHLFHMLFELFKNSMRATVELYEDRK
+EGYPAVKTLVTLGKEDLSIKISDLGGGVPLRKIDRLFNYMYSTAPRPSLEPTRAAPLAGF
+GYGLPISRLYARYFQGDLKLYSMEGVGTDAVIYLKALSSESFERLPVFNKSAWRHYKSTA
+EADDWSNPSSEPRDASKYKGQDED
+>tr|A0A3Q1LQS3|A0A3Q1LQS3_BOVIN SUMO-activating enzyme subunit 1 OS=Bos taurus OX=9913 GN=SAE1 PE=1 SV=1
+MVEKEEAGGGISEEEAAQYDRQIRLWGLEAQKRLRASQVLLVGMKGLGAEIAKNLILAGV
+KGLTMLDHEQVSPEDPGAQFLIRTGSVGRNRAEASLERAQNLNPMVDVKVDTENIEKKPE
+SFFTQFDAVCLTCCSRDVIVKVDQICHKNSIKFFTGDVFGYHGYTFANLGEHEFVEEKTK
+VAKVSQGVEDGPDTKRAKLDSSETTMVKKKVVFCSVKEALEVDWSSDKAKAALKRTTPDY
+FLLQEFFLVLKTGVPLLRDFLPSLTIIQRALVLLKFRTDKGRDPSSDTFGEDSELLLQIR
+NDVLDALGVNPDLLPEDFVRYCFSEMAPVCAVVGGILAQEIVKALSQRDPPHNNFFFFDG
+MKGNGIVECLGPN
+>tr|A0A3Q1MRV2|A0A3Q1MRV2_BOVIN Neuroligin 3 OS=Bos taurus OX=9913 GN=NLGN3 PE=4 SV=1
+MWLWLGPPSLSLSPKPTVGRSLCLTLWFLSLVLRASTQAPAPTVNTHFGKLRGARVPLPS
+EILGPVDQYLGVPYAAPPIGEKRFLPPEPPPSWSGIRNATQFPPVCPQNIHTAVPEVMLP
+VWFTANLDIVATYIQEPNEDCLYLNVYVPTEDGSGAKKQGEDLADNDGDEDEDIRDSGAK
+PVMVYIHGGSYMEGTGNMIDGSVLASYGNVIVITLNYRVGVLGFLSTGDQAAKGNYGLLD
+QIQALRWVSENIAFFGGDPRRITVFGSGIGASCVSLLTLSHHSEGLFQRAIIQSGSALSS
+WAVNYQPVKYTSLLADKVGCNVLDTVDMVDCLRQKSAKELVEQDIQPARYHVAFGPVIDG
+DVIPDDPEILMEQGEFLNYDIMLGVNQGEGLKFVEGVVDPEDGVSGTDFDYSVSNFVDNL
+YGYPEGKDTLRETIKFMYTDWADRDNPETRRKTLVALFTDHQWVEPSVVTADLHARYGSP
+TYFYAFYHHCQSLMKPAWSDAAHGDEVPYVFGVPMVGPTDLFPCNFSKNDVMLSAVVMTY
+WTNFAKTGDPNKPVPQDTKFIHTKANRFEEVAWSKYNPRDQLYLHIGLKPRVRDHYRATK
+VAFWKHLVPHLYNLHDMFHYTSTTTKVPPPDTTHSSHITRRPNGKTWSTKRPAISPAYSN
+ENAQGSWNGDQDAGPLLVENPRDYSTELSVTIAVGASLLFLNVLAFAALYYRKDKRRQEP
+LRQPSPQRGAGAPELGAAPEEELAALQLGPTHHECEAGPPHDTLRLTTLPDYTLTLRRSP
+DDIPLMTPNTITMIPNSLVGLQTLHPYNTFAAGFNSTGLPHSHSTTRV
+>tr|F1MI97|F1MI97_BOVIN Actin binding LIM protein 1 OS=Bos taurus OX=9913 GN=ABLIM1 PE=4 SV=3
+MDPHHILEDHRVAHPQDPHHPSEKPVIHCHKCGEPCKGEVLRVQTKHFHIKCFTCKVCGC
+DLAQGGFFIKNGEYLCTLDYQRMYGTRCHGCGEFVEGEVVTALGKTYHPNCFACTICKRP
+FPPGDRVTFNGRDCLCQLCAQPMSSSPKEASCSGNCAGCGRDIKNGQALLALEKQWHLGC
+FKCKSCGKVLTGEYISKDGAPYCEKDYQGLFGVKCEACHQFITGKVLEAGDKHYHPSCAR
+CSRCNQMFTEGEEMYLQGSTVWHPDCKQSTKTEEKLRPPNIRRSPSDFFYPKSLIRRTGR
+SPCLQPTRTSSESIYSRPGSSIPGSPGHTIYAKVDNEILDYKDLAAIPKVKAIYDIERPD
+LITYEPFYSSGYDDKQERQSLGESPRTLSPTPSAEGYQDGRDRMIHRSTSQGSINSPVYS
+RHSYTPTTSRSPQHFHRPGNEPSSGRNSPLPYRPDSRPLTPTYAQAPKHFHVPDQGINIY
+RKPPIYKQHAALAAQSKSSEDIIKFSKFPAAQAPDPSEIPKIETDHWPGPPSLAAVGADT
+RRRSSGREEEDEELLRRRQLQEEQLMKLNSGLGQLILKEEMEKERESRERASLAASRYDS
+PINSASHVLSSKTASLPGYGRNGLHRPVSTDFAQYNSYGDVSGGARDYQVWTPVFLKLTT
+WAKQSFSNPDVLFCYSREEFLLRRP
+>tr|G3N021|G3N021_BOVIN Collagen type XX alpha 1 chain OS=Bos taurus OX=9913 GN=COL20A1 PE=4 SV=2
+MSVRVRPHLGVGLCLYLSVTLGLSQGQASGRLRLAVLPEDRLQMKWRESEGSSLGYLVQV
+KPRAGDPEQEVMLTTKTPKATVGGLSPSKGYTLQIFELTGSGNILLARREFVIEDLKSNS
+VSRSSQRPLGATLEPTPSLVGSPDLEPPGALAPSQDPPTLGGAKSGDGMCLGTGGREGPA
+QPTPDLEGPNHARTSAGGRGKPGRPQFRCTPPMPVDMIFLVDGSWSIGHSHFQQVKDFLA
+SVIEPFEIGPGKVQVGLTQYSGAPQTEWDLNAFGTKEEVLNAVHNLHYRGGNTFTGLALT
+HVLEQNLKPRAGLRPEAAKLVILVTDGKSQDDAHTAGHVLKGLGVDIFAVGVKNADETEL
+RLLASQPLDITVHNVQDFPQLSTLSGLLSRLICQKVQGRSPRGSPATPALDPPPAPTRLV
+LTQVTSSSVLLSWSPAPQPPLKYLIVWRPSKGGTPREVVVEGPTSSAQLHNLTSSTEYLV
+SVFPLYKAGVGEGLQGLATTAPLPPPQALTLAAVTPKTVRLTWQPSAEATQYLVRWSPAS
+PKGEEERREVRVGRPEVLLDGLAPGRDYDVWVQSLRGAEISEAQGIRARTPALTTPRHLS
+FSDVSHDSARVSWEGTARPVRLFRVSYISGKGGHSGQTEVPGNATSAILGPLSSSTTYMV
+RVTCLYPGGSSSTLTSRLTTRKVPSPSQLSVTELPGDEVRLEWAAAAVSGVLVYQITWMP
+LGEGKAREISVPGNLGTAILPGLGRHSEYEITILAYYRDGARSDPVSLRYTPVSRSPPSN
+LALASESPDSLRVSWTPPSSHVLHYRLSYALASGSGPEKSISIPGPGSHVTIPDLLAATK
+YRVLVSAIYGAGESVAVSATGRTAACPALHLDGSLPGFDLMAAFGLVEKEYASIRGVAME
+PSAFGPTRTFTLFKDSQLTRRASDIHLATLPPEHTVVFLLRLLPETPREAFALWQVASED
+FQPILGVLLDAGRKSLTYFNRDPRATLQEVTFDLPEVRRIFFGSFHKVHVAVGRARVRLY
+VDCRKVAERPIGEAGGLPAAGFVMLGRLAKARGPRSSSASFQLQVLQLVCNDSWAEEDRC
+CELPASKEGESCPAFPSACACSSQTPGPPGPQGPPVSPAVPPWGNQGLRPHLPCLQGEPG
+PPGQMGPEGPGGQQGSPGTQGRTIQGPVGPPGVKGEKGDHGHPGLQGHPGLQGTPGKIGI
+QGPKVGEKPAEVGPLLSGDSWVSGQLWGPGTSPFPPSQGLPGPKGERGEKGEPQSLATIY
+QLVGQACESAIQTHVLKLHACTHESTRPPMPILEAPRALGTSNKARLPGEGGHGGPHPGD
+RGTGGGVFSSPGAVCCEWSGHG
+>tr|A0A3Q1LNP8|A0A3Q1LNP8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=JRK PE=4 SV=1
+MASKPAAGRSPGEKRKRVVLTLKEKMDICRRLEKGESRRALMQEYNVGMSTLYDIRAHKA
+QLLRFFASSDSDKALAQRRTLHTPKLEHLDRVLYEWFLVKRSEGVPVSGPMLIEKAKDFY
+EQMQLTEPCVFSGGWLWRFKARHGIKKLDASSEKQAADQQAAEQFCGFFRSLTAEHGLSP
+EQVYNADETGLFWRCSPSPSPEGGSAPGPTQSKDRLTVLMCANATGSHRIKPLVVGKWGS
+PKAVQGLQHLPVVYKAQGSAWVDKEIFADWFHHIFVPAVKEHFRAMALPEDSKAILLLDG
+SRAHPREAELASENVFTIFLPASVTALLQPMDQGIRRDFMRNFVTPRGRLQALAPRCSVQ
+DAVLDVACAWDAVPGAVLSRAWRKLWPEAALTEGSSSEEEPERLRTKPPDQAFTHTPGLT
+AGAPAHLGSAALGSLEPAEAGGVDRAAWEQAAVSFDALVRFAEAQPCFSVQELGQLRALR
+SVFSRRWQAQRGRAPAAAVKLEAPWERPGPCGTQPCSPLPGSSTAGEA
+>tr|A0A3Q1MRA9|A0A3Q1MRA9_BOVIN CapZ-interacting protein OS=Bos taurus OX=9913 GN=RCSD1 PE=4 SV=1
+MEERPAQTNAIVDDSAPPSVAQLAGRFREQAAAAKEKSLPSANHPPKVKVKSSPLIEKLQ
+ANLVFDPAALLPGASPKSPGFKAMVSPFHSPPSTPSSPGVRSRPSEPEEVPVSFDQPPEG
+SHLPCYNKVRTRGSIKRRPPSRRFRRSQSDCGELGEFRAVEPSQENGAKEESGDEVFPAK
+SKAPGSPPLRRTSSRTEKLEEKSRAVGEAQEPEKIAGGSEEGAGQHPARASSSEAEDGCG
+SPKEERPAGEQAEEPTEVKERVASEEEEPRQSSQDTKELEEGAVEEEPPQPPPGGGAGGH
+SPEQGTSEEKQDEGASLKPGCSPNSGAHAQPDTSSEVPRTEDNTPVQDTKM
+>tr|A0A3Q1MBQ0|A0A3Q1MBQ0_BOVIN 39S ribosomal protein L9, mitochondrial OS=Bos taurus OX=9913 GN=MRPL9 PE=4 SV=1
+MAAAAFAVPRGVQLRVLTERLLRGGVRELLRPRLSGSTPGSERDFSLSHSRGTVIVERWW
+KVPLAGEGRKPRLHRRHRVYKLVEDTKHRPKENLELILTQSVDELGVRGDLVSVKKSVGR
+NRLLPEGLAVYASPENKKLFEEEKLVSPKRKQRDSRDMKFLRSCHLEVGMKNNVKWELNP
+EIVARHFLRNVSTPKHHCSPKMEATPGKQQLL
+>tr|F1MWQ1|F1MWQ1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=TMEM239 PE=4 SV=2
+MQQLRMETDAIGAGEGPQRAVPWSAWVSREGWVRWCMCHVPPSWTQWWTTSGWRQPLQRV
+LWGLEGVLYLLLALMLCHALFTTGSHLLSSLWPVAAAVWRHLLPAVLLLLLSALPALLFT
+ASFLLLFSTLLSLVGLLTSMSHPGNAQDLDQ
+>tr|A0A3Q1MLP6|A0A3Q1MLP6_BOVIN Dihydrouridine synthase 2 OS=Bos taurus OX=9913 GN=DUS2 PE=4 SV=1
+MTVNNLSLCYHNKLILAPMVRVGTLPMRLLALDYGADIVYCEELVDLKMLQCKRVVNEAL
+STVDFVAPDDRVVFRTCEREQSKVVFQMGTSDAERALAVARLVENDVAGIDVNMGCPKEY
+STKGGMGAALLSDPDKIEKILSTLVKGTRRPVTCKIRILPSLEDTLSLVKRIERTGIAAI
+AVHGRKREERPQHPVSCEAIKAIAETLSIPVIANGGSHDHIQGYLDIEDFRRATAASSVM
+VARAAMWNPSIFLKEGPRPLEEVMQKYIRYAVQYDNHYTNTKYCLCQMLREQLESPQGRL
+LHAAQSSQEICEAFGLGAFYEETTRELDARRAKLLARSPEEAEEPAEDTSGIIKMAIKFD
+RRAYSPQITPKMCLLEWCRREKLAQPVYETVSSWLCPALVQPMHSRCRRAPSPPIAPPGL
+QATWLEPGVAFCGLVATSSFPVTVALLSSSGHFHKTELDT
+>tr|F1MGS8|F1MGS8_BOVIN N-acetylated alpha-linked acidic dipeptidase 2 OS=Bos taurus OX=9913 GN=NAALAD2 PE=4 SV=2
+MATSGRRPYLWIFLAAALASFLAGFTVGWLSKPLKDTATSADSHQNLRWKLISEMKADNI
+KSFLRSFTKLPHLAGTEQNLLLAKKIQNQWKKFGLDSAELVHYDVLLSYPNETNANYISV
+TDEHGIEIFNTSYIEPPPDGYENVKNIVPPYNAFSPAGVPEGELVYVNYARTEDFFKLER
+EMNINCTGKIVIARYGRIFRGNKVKNAMLAGAKGIILYSDPADYSAPGVQPYPKGWNLPG
+TVAQRGNVLNLDGAGDPLTPGYPAKEYTFRLDVEDGVGIPQIPVHPIGYNDAEIFLRYLG
+GIASPDESWKGGLNVSYNIGPGFVGHDSFRKVRMHVYNINKITRIYNVIGTIRGSVEPDR
+YVILGGHRDSWVFGGIDPTSGAATLQEVAQSFGKLLSSGWRPRRTIIFASWDAEEFGLLG
+STEWAEENVKTLQERSVAYINSDSSIEGNYTLRVDCTPLLHQLVYKLTKEISSPDDGFES
+KSLYESWLAKDPSSESKTLPRINKLGSGSDFEAYFQRLGIASGRVRYTKNRKTDTYSSYP
+IYHTIYETFELVEKFYDPTFKKQLAVAQLRGALVYELADAKIIPFNIQDYAKTLENYARS
+IYNSSRKHEQACRGHGVSFESLFSAVQNFSEAASDFHRRLSQVDLNNPMVVRIMNDQLMF
+LERAFIDPLGLPGRPFYRHIIFAPSSRNKYAGESFPGIFDAMFDIENKADPHSAWKEVKK
+HISIAAFTIQAAAGTLKEFL
+>tr|A0A3Q1M374|A0A3Q1M374_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MGLVAPGYVEYSQTRDQTSGTHDDDYNYLFKAVLIGDSGVGKSNLLSRFAQNELSLESKS
+TIGVEFATRSIQVDGKTIKVQIQDLPGQEPYHSRTSAYYCGAVGALLVYDIAKHLTYENV
+ERWLKELRDHADSNVVIMPVGNKSDLHHLRAVPADESRAFAEENDLSFIETSALDPTNVE
+KQMSDRRENNMSPGNNVVPIHVPPTTENKPKVQCCCV
+>tr|E1BG91|E1BG91_BOVIN AIG1-type G domain-containing protein OS=Bos taurus OX=9913 GN=LOC530077 PE=4 SV=3
+MSGYQLLGHIYHNKMEGLRQGGGDSCTTGGGGESLNPESSSLRIILVGKTGSGRSATGNS
+ILCQPMFESKLGAQAVTRKCQRATGMWNGRSIVVVDTPPIFEAEAQDQEVYENIGACYLL
+SVPGPHVLLLVTQLGRFTEQDVVAVTRVKEVFGAGAERYMVILFTHKEDLGGGSLDEYVA
+NTDNLRLRRLVRECGRRYCAFNNRALGDEQREQLAQLMAVIEGLEQEHQGVFLTNELFSD
+AQMLLQMGGDAHGEGQRRYLNKVRLQVAKQRQGLKEAESNCAFKTVFRLRAWIVVNYELC
+VCLVWCSLLFLLILLIILYHL
+>tr|A0A3Q1MC99|A0A3Q1MC99_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MEKKCKKAKWLSGEALQIAVKRREVKSKGEKERYKHLNAEFQRIPRRDKKPFLSDQCKEI
+EENNRMGKNRDLFKKIRDTKGTFHAKMGSIKDRNGMDLTEAEDIKKRWQEYTELYKKDLH
+DQDNHDGVITDLEPDILECEVKWALGSITTNKASGGGGISVELFQILKDDAVKVLHSICQ
+QISKTQQWPQDWKRSVFIPIPKKGNAKECSNYCTIALISHASKVMLKILQAVNHELPDVQ
+AGFRNGRETRDQIANIHWIIEKAREFQKNIYFCFIDYAKAFDCVDHNKLWKILKEMGIPD
+HLICLLRNLYAGQEATVRTGYGTTDWFQIGKGVCQGCILSPCLFNFYAEYIMRNSGLEEA
+QAGIKIAGRNINNLRYAVDTTLMAESEEELKSLLMKVKVESEKVGLKLNIQKTKIMASGP
+ITSWEIDGERVETVSDFILVSPKSQQMVTAAMKLKDAHSLESSYDQPRQHIQKQRH
+>tr|A0A3Q1MIL5|A0A3Q1MIL5_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+GKILIIYRTLSLCFSLSTGVLSQVQLRESGPSLVKPSQTLSLTCTVSGFSLSSNGVGWVR
+QAPGKALEWVGGIDNDGDTYYNPALKSRLSITKDNSKSQVSLSVSSVTPEDTATYYCAKD
+TVRGNQCEPRQKPPCMGARDHQGARSTHSELSPGAGAEEALGGGGPGGDSPQSFSFLLLP
+RSCTSNPLLCPGNSLCFMSLSENCVYIYFNFSLKHG
+>tr|F1N4X9|F1N4X9_BOVIN Chromosome 26 C10orf120 homolog OS=Bos taurus OX=9913 GN=C26H10orf120 PE=4 SV=2
+MIREWENGCPKIGKQRARDSRAQERMTTEGKLNKNGIPARVFNISDSFLNKESLSSQGDV
+CPASPLGIWTKFYKSDPRIALGKYSPLEKEILRLGGVHTVAARRFLTYKQEEERKMLKEL
+QTLSADYKRVVDCRRQHTPPCATCGSLGKMWTAKVIVSPEEFRMPRRERLNVSKHIERMQ
+LARALRSKQLLPYIERFRGSSLLPSGGLGPMARARAGEGQDDGNTDDGNDVHQKGRGEVE
+SKTSKRQEIKMNVIFKSEEPQKCITSHPNDLKPFFPAKKAERSITGLTNRSLLHVSEFPG
+DLMLMNQDFLSRGIYPSYASQATRLEEENAWKEYMCKVAPHHY
+>tr|A0A3Q1MRQ4|A0A3Q1MRQ4_BOVIN ADAM metallopeptidase with thrombospondin type 1 motif 7 OS=Bos taurus OX=9913 GN=ADAMTS7 PE=4 SV=1
+MKKDVTGRLKPFGRLLLLFLAPSAAAHSVSALLPAGHAADGRAALDIVHPVRVDSGGSFL
+SYELWPRALRKRDLSARPSAPTFYELQYRGRELRFNLTANPHLLAPGFVSETRRRGGLGR
+AHIRARAPACHLLGEVQDPELEGGLAAISACDGLKGVFQLSNEDYFIEPLDGVPARPGHA
+QPHVVYKRQVPEKWAEPGDSRAPGTCGVKGFPELEPQRERWEQRQQWRRQRLRHRYQRSV
+SKEKWVETLVVADTKMVEYHGQPNVESYVLTIMNMVAGLFHDPSIGNPIHITIVRLILLE
+DEEEDLKISHHADNTLRSFCKWQKSINMKGDAHPLHHDTAILLTRKDLCAAMNQPCETLG
+LSHVAGMCQPHRSCNINEDTGLPLAFTVAHELGHSFGIQHDGSGNDCEPVGKRPFIMSPQ
+LLYDAAPLTWSRCSREYITRFLDRGWGLCLDDSPSKDVIDFPSIPPGVLYDVGHQCRLQY
+GAYSAFCDDMDNVCHTLWCSVGTTCHSKLDAAVDGTSCGESKWCLNGECVPVGFRPEAVD
+GGWSGWSAWSLCSRSCGMGVQSAERQCTQPAPKYKGKYCVGERKRFRLCSLQACPAGRPS
+FRQVQCSHFDAMLYKGQLHTWVPVVNDVNPCELHCRPSNEYFAEKLRDAVVDGTPCYQGQ
+ASRDLCINGICKNVGCDFEIDSGAVEDRCGVCHGNGSTCHTVSGTFEEAEGLGYVDVGLI
+PVGAREIRIVEVAEAGNFLALRSEDPDKYFLNGGWTIQWNGDYEVAGTTFTYARTGNWEN
+LTSPGPTDEPVWIQLLFQESNPGVRYEYIIHREADGHDHIQPPEFSWRYGPWSKCTVTCG
+TGMQRQSVHCTERQAGPVDERHCDPLDRPDDRQRKCSEEPCPARWWAGEWQLCSSSCGPG
+GLSRRAVLCIRSVGLDEQRALEPPACEHLPQPPAETPCNRDVPCPATWAVGNWSECSVTC
+GPGTQRRSILCINDTGVPCDEAQQPAREAACLLPPCPQALDTLGPEGSGSGSFSPELFNE
+VDFIPSHLAPRPPLPSSPEPAGMGNAIEEDGHELGLPGPVFVDDFYYDYNFINFHEDLSY
+GPFEDPDSEPVGTGDWRPPPPSTAAEPPTGTPVPPTEPPGTRDEGALGDGYPAPWPSQAG
+PSPPPPSEQTPGNSLVNFLPEEDAPIGAPDLGLPSLPWPPTSISMETPAAPGSQNQFLGA
+EDSQSLPPAPRWERTNEVSDDEEALGRGAPLPPQRPSPTSPSLSSAGTTHSSPSPRTVEL
+WTSGTAAWEPALEGSLGPLDSDLGPLGQPEPPSSKMLPSPGLLSTPAQDSPANSSRAPGP
+LDPSPVEEGFPVDLLPARNASWEVGNWSQCSTTCGLGAVWRLVRCSSGREEDCAPAGRPQ
+PARRCHLRPCAAWHMGNWSKCSRSCGGGSSTRDVQCVDTRDLQPLRPFHCQPGPTKPPAR
+RPCGAQPCLSWYTSSWRECSEACGGGEQQRLVTCPEPGLCEEALRPNSTRSCNTHPCTQW
+VVGPWGQCSAPCGGGVQRRLVKCVNTQTGLPEEDSDLCGHEAWPESSRPCGTQDCELTEP
+PRCERDRLSFGFCETLRLLGRCQLPTVRAQCCRSCPPPGVPSRGHQRVARR
+>tr|A0A3Q1MGS3|A0A3Q1MGS3_BOVIN Uveal autoantigen with coiled-coil domains and ankyrin repeats protein OS=Bos taurus OX=9913 GN=UACA PE=4 SV=1
+TLVCAAVLRRQDVECCSSFPQAADWNKYDDRLMKAAERGDVEKVSSILAKKGVNPVLLVG
+NLECLNAILIHGVDITTSDTAGRNALHLAAKYGHALCLQKLLQVQMFTIYHLPLSLKAMA
+DCPSSIQLLCDHGASVNAKDVDGRTPLVLATQMCRPTICQLLIDRGADINSRDKQNRTAL
+MLGCEYGCKDAVEVLIKNGADVTLLDALGHDSSYYARIGDNLDILTLLKTASENSNKGTS
+SFLSLEKYEVNTKSNQREHQNIQDLEIENEDLKERLRKIQQEQRILLDKVNGLQLQLNEE
+VMVADDLESEKEKLKSLLAAKEKQHEESLRTIEALKSRFKYFEVSFIWIYLGKEDMLLKQ
+GQMYMTDSQCTSTGMPVHMQSRSMLRPLELALPNQASYSENEILKKELEAMRTFCDSAKQ
+DRLKLQNELAHKVAECKALALECERVKEDSDEQIKQLEDALKDVQKRMYESEGKVKQMQT
+HFLALKEHLTSDAATGNHRLMEELKDQLKDMKVKYEGASAEVGKLRNQIKQNEMLVEEFK
+RDEGKLMEENKRLQKELSMCELEREKRGRKLTEMEGQLKDLSAKLALSIPAEKFENMKSL
+LSNELNEKAKKLIDVEREYERSLNETRPLKRELENLKAKLAQHVKPEEHEQLKSRLEQKS
+GELGKRITELTSKNQTLQKEIEKVCLDNKLLTQQVNNLTTEMKNHYVPLKVSEEMKKSHD
+VIVDDLNKKLSDVTHKYTEKKLEMEKLLMENASLSKNVSRLETVFIPPERHEKEMMALKS
+NITELKKQLSELNKKCGEDQEKIYSLMSENNDLKKTMSHQYVPVKTHEEIKTALSSTLDK
+TNRELVDVKKKCEDINQEFVKIKDENEILKRNLENTQNQVKAEYISLREHEEKMSGLRKS
+MKKVQDNSAEILANYKKSQEEIVTLHEEIAAQKRELDTIQECIKLKYAPIISLEECERKF
+KATEKELKEQLSQQTQKYNTSEEEAKKCKQENDKLKKEILTLQKDLKDKNVHIENSYETE
+RALSRKTEELNRQLKDLLQKYTEAKKEKEKLVEENAKQTSEILAAQTLLQKQHVPLEQVE
+SLKKSLSGTIETLKEELKTKQRCYEKEQQTVTQLRQMLENQKNSSVPLAEHLQVKEAFEK
+EVGIIKASLREKEEESQNKTEEVSKLQSEIQNTKQALKKLETREVVDLSKYKATKSDLET
+QISDLNEKLANLNRKYEEVCEEVLHAKKKELSAKDEKELLHFSIEQEIKDQQERCDKSLT
+TITELQRRIQESAKQIEAKDNKITELLNDVERLKQALNGLSQLTYGSGSPSKRQSQLIDS
+LQQQVRSLQQQLAGHMDEDVQAPTVAFPAGAEHSVRNFMAFLGLAVLV
+>tr|A0A3Q1LWE5|A0A3Q1LWE5_BOVIN HORMA domain-containing protein 1 OS=Bos taurus OX=9913 GN=HORMAD1 PE=4 SV=1
+MATAQLQRTSMSALIFPNKISTEQQSLVLVKRLLAVSVSCITYLRGIFPECAYGTRYLDD
+LCVKILREDKNCPGSTQLTISECYQFKFKYTSNGPVMDFTSKNQSNEPNMSSADTKKASI
+LLIRKIYILMQNLGPLPNDVCLTMKLFYYDEVTPPDYQPPGFKDGDCEGVIFEGEPMYLN
+VGEVPTPFHTFKVKVTTERERMENIGSGILSPKQLKTPLQKILTDKDDLEDDQEHYISDE
+FDTETKMEEQEKNPGCSVRREAGFICEEDEMKSKGSPDFSISHSQVEQLVSKTSELDVSE
+SKTRSGKIFQNKMANGNQQVKSKENRKRTQLESGKTVLHPFDSSSQESVPKRRKFSEPKE
+RI
+>tr|E1B9A3|E1B9A3_BOVIN Mab-21 domain containing 2 OS=Bos taurus OX=9913 GN=MB21D2 PE=4 SV=2
+MKMAAPTASKAASLGCNNKPAFPELDFRSGARVEELNKLIQEFTKHDQREYDDQRALEIH
+TAKDFIFSMLGMVQKLDQKLPVANEYLLLSGGVREGVVDLDLDELNVYARGTDYDMDFTL
+LVPALKLHDRNQPVTLDMRHSALCHSWLSLRLFDEGTISKWKDCCTIVDHINGATNYFFS
+PTKVADWFYDSISIVLSEIQKKPQRGMPKVEKVEKNGTIISIILGVGSSRMLYDIVPVVS
+FKGWPAVAQSWLMENHFWDGKITEEEVISGFYLVPACSYKGKKDNEWRLSFARSEVQLKK
+CISSSLMQAYQACKAIIIKLLSRPKAISPYHLRSMMLWACDRLPANYLAQEDYAAHFLLG
+LIDDLQHCLVNKMCPNYFIPQCNMLEHLSEETVMLHARKLSSVRSDPAEHLRTAIEHVKA
+ANRLTLELQRRGSTTSIPSPQSDGGDPNQPDDRLAKKLQQLVTENPGKSISVFINPDDVT
+RPHFRIDDKFF
+>tr|A0A3Q1NLC4|A0A3Q1NLC4_BOVIN CCAAT/enhancer-binding protein OS=Bos taurus OX=9913 GN=CEBPB PE=3 SV=1
+MQRLVAWDPACLPLPPPPPAFKSMEVANFYYEADCLAAAYGGKAAPAAPPAARPGPRPPA
+GELGSIGEHERAIDFSPYLEPLGAPQAPAPTTASDTFEAAPPAPAPAPASSGQHHDFLSD
+LFSDDYGGKNCKKAAEYGYVSLGRLGAAKGALHPGCFAPLHPPPPPPPPPAELKAEPGFE
+PADCKRKEEAGAPGGGAAGMAAGFPYALRAYLGYQAVPSGSSGSLSTSSSSSPPGTPSPA
+DAKATPAAAACYAGAAPAPSQVKSKAKKTVDKHSDEYKIRRERNNIAVRKSRDKAKMRNL
+ETQHKVLELTAENERLQKKVEQLSRELSTLRNLFKQLPEPLLASSGHC
+>tr|A0A3Q1LRP3|A0A3Q1LRP3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MELLKETLPSLVPLAAWDWNLPLNVSTCMEHPLEAVRYWSDRVFLLQFEAWMLFGRTLKI
+LNAASERIGSSGQ
+>tr|A0A3Q1LLJ3|A0A3Q1LLJ3_BOVIN Protein phosphatase, Mg2+/Mn2+ dependent 1M OS=Bos taurus OX=9913 GN=PPM1M PE=4 SV=1
+MSADWFRRRFLPRGPLPAPRPPRPRTSPVPYRRPRFLRGSGSSSGTNDASRRPDARPVRS
+PVRGRSLPWNAGYAEIINAEKSEFNEDQAACGKLCIRRCEFGVEEDQEWQTLCSEEFLTG
+HYWALFDGHGGPAAAILAANTLHSCLRRQLEAVVEGMVATQPPMHLSGCCVCPSDPQFVE
+EKGIRTEDLVIGALESAFQECDEVIGRELEASGQVGGCTALVAVSLKGKLYVANAGDSRA
+ILVRRDEVRPLSSEFTPETERQRIQQLAFIYPELLAGEFTRLEFPRRLKGDDLGQKVLFR
+DHHMSGWSYKCVEKSDLKYPLIHGQGRQARLLGTLAVSRGLGDHQLRVLDTNIQLKPFLL
+SVPQVTVLNMDQLEPQEEDVVVMATDGLWDVLSNEQVAWLVRSFLLGNREDPHRFSELAK
+MLIRSTQGMDDSPIQEGQVSYDDVSVFVIPLHHQGQGHSSR
+>tr|F1N7X3|F1N7X3_BOVIN Nucleosome assembly protein 1-like 4 OS=Bos taurus OX=9913 GN=NAP1L4 PE=3 SV=2
+MSGGVVGGHGGAVPERAKPRRLPLLPQEARGDKDIQMADNSFSDGVPSDSLEAAKNASNT
+EKLTDQVMQNPQVLAALQERLDNVSHTPSSYIETLPKAVKRRINALKQLQVKCAHIEAKF
+YEEVHDLERKYAALYQPLFDKRREFITGDVEPTDAESEWHSETEEEDKLAGDMKNKAVIA
+EKEAAAAEEPAPRGIPEFWFTIFRNVDMLSELVQEYDEPILKHLQDIKVKFSDPGQPMSF
+VLEFHFEPNDYFTNPVLTKTYKMKSEPDKADPFSFEGPEIVDCDGCTIDWKKGKNVTVKT
+IKKKQKHKGRGTVRTITKQVPNDSFFNFFSPLRASGDGESLDEDSEFTLASDFEIGHFFR
+ERIVPRAVLYFTGEAIEDDDNFEEGEEGEEEELEGDEEAEDDDDAEINPKV
+>tr|A0A3Q1MNK9|A0A3Q1MNK9_BOVIN Centrosomal protein 89 OS=Bos taurus OX=9913 GN=CEP89 PE=4 SV=1
+MLLGFRRGHKRKYKHIIHGLLPAASIAPKPAVPRTPPPRSPNPSPERPRSALAAAILSTT
+LTGRTVAIPQPRRRAHSESDMTSMEKDSLIEPYATTSELRHQPHWQNETGRRSSLPSFEM
+LGYEEDEDTDSHLSSSRRQSGDASACKEEGSLCDATYATPHRNQVPSSHGVDTEDEESIS
+EQDGFPGSPIPPENTQGKDGKHSVLKLKDEKPPLCEKPPLCPDITGRTRQRCIEITKEKL
+EELKEENLHLNNANQALTHELNIIKKTMKDLQLKLERMKKENVKPKEVEKASSQEVVAAP
+ELHYLRKQAQELVDENDGLKMTVHRLNVELSRYQTKFRHLSKKESLNIEGLPSKGPTPPW
+LVDIKYLSPLLLAYEDRMKEKDELIASLQEEMRVFRIRAQEVVKENEKLHQELNKSSPVT
+NEEWRQLQTQAELVLEENKLLIEQLEIQQRKAKDTHRERLQEVSKLTKQLMLLETKTQSQ
+EKELTESKEQLAILRTECQELQIQLDSKVAMEVHTSIVNEFKSQLQKEEEKENAEMEELM
+EKLAALQVQKKSLLLEKKNLMAKNKALEAELEKAQKINRRSQKKIDVLKKQVEKAMENEM
+SAHQYLANLVGLAENVTQERDNLVFLGYKKQMALKLDDMNHHLTEQQEDFASKSAQYQQE
+MRHLHRMLLDKQDILDKALQQKREVEGELEVVWESTSKENRRIRELLQATLERTGPWENT
+RVSEDHCLDVISQQAMLDAVT
+>tr|F1MJP8|F1MJP8_BOVIN FA core complex associated protein 100 OS=Bos taurus OX=9913 GN=FAAP100 PE=4 SV=2
+MADPRPRVEYLAGFCCPLGGVAAGKPRVLCHGAEIFLSTGGEMVYVYDREGRLLIAVYKF
+PGQVWHLELLALRKVLYVLCARSGIYCLSLDQTTRSVSRDEEDGGDDQDREDGQVGEPPV
+PVIPVDPDSCVLPDASLHAFTVLDDTLVTLALGPTQWKMQLFERPSPGEDPRPGGQIGEV
+ELSTCTPPAGSQGESAAPRFLPVLCCASPPGSRTPLGHSRSSEGVMLEGALFGLLFGADA
+ALLESPVVLCGLPDGQLCCVIIKTLVTSMSAPGDPKALVKILHHLEEPVVFIGALRTEPL
+AEDMEDVHCDCLVALGHHGRTLAIKASWDEAGHLVPELREYSIPGPVLCAACDRSSHVYH
+STSAGLRVVDLAQEGSPLDPTERDGAPGSLPPLLYPDNLGVGSLVTLCVSSSAPEGGAEL
+LALSAKGRLMICHLDPHNEAPQSSRVTSAKAGKKIKQLLSGIGTVSERVSSLKKAVDQRD
+KALTCLNEVMNVSCALLSSREGPRPISCTISTTWSHLQLQDVLVATCLLENSSGFSLDRG
+WALCIQVLRSSRALDLDSAGSAITYTIPVDQLGPGGRREVTLPLGPGEDGALDLPVTVSC
+ALFYSLREVVGRALTPQDPFKDPPSAECTPIVLPEQDGVCLPLSEHTVDMLQGLRFPSLG
+VPHTQALGPARDPIHTFLGTCRVPGSQPAGPESLRAKYLPPSVATIKVSAELLRAALGDS
+HAGVSLGCATLQWLLAENAAADIIKAQALSSVQGVAPDGTDVHLIIREATVTDLCPAGPI
+QAMEIQVESSSLANMCRAHHAIVGRLQRLVVEQAARGSSPPDLRLQYLHQMQANHETLLR
+EVQTLRDRLCTEDEASSCATAQRLLQVYRRLRSPSLLLL
+>tr|F1MWM8|F1MWM8_BOVIN Mannose receptor C-type 1 OS=Bos taurus OX=9913 GN=MRC1 PE=4 SV=3
+MRPSPLLSLLFLLPVAVQLLDTRPFLIYNEDHKRCVEALSPSAVQTAVCDPNNEAQKFRW
+VSESQIMSVALKLCLGVPSKTDWVPVTLYACDSRSEFQKWECKNDTLLGIKGEDLFFNYG
+NRQEKNIMLYKGSGLWSRWKIYGTTDDLCSRGYEAMYTLLGNANGATCAFPFKFENKWYG
+ECTKAGRSDGWLWCGTTTDYDTDRLFGYCPLKFEGSESLWTKDPLTSIYYQINSKAALTW
+HQARKSCQQQDAELLSITEIHEQTYLTGLTNSLTSGLWIGLNSLNFNSGWQWSGGSPFRY
+LNWLPGSPSAEPGKSCVSLNPGKNAKWENLQCVQKLGYICKKGNTTLNPFVIPSESDVPT
+NCPSQWWPYAGHCYKIYREEKKIQRDALRACRKEGGDLASIHSIEEFDFIISQLGYEPSD
+ELWIGLNDIKIQMYFEWSDGTPVTFTKWLHGEPSHENNRQEDCAVMKGKDGYWADRACER
+PLGYICKMKSQAQTPGVVEVEAGCQKGWKRHGFYCYLIGHTLSTFTEANQTCVSEKAYLT
+TVEDRYEQAFLTSLVGLRPERYFWIGLSDVQHKGTFQWTVEEGVQFTHWNSDMPGRKAGC
+VAMKTGVAGGLWDVLRCEEKAKFVCKHWAEGVTRPPEPTTTPEPKCPEDWGASSKTSLCF
+KLFAKGKHEKKTWFESRDFCRALGGDLASINSKEEQQAIWRLVTASGSYHELFWLGLTYG
+SPSEGFTWSDGSPVSYENWAYGEPNNYQNVEYCGELKGDPGMSWNDINCEHLNNWICQIR
+KDLPVTDDGWVIYKDYQYYFSKEKATMDKAREFCKRNFGDLVSIRSESEKKFLWKYVNRN
+DVQPAYFIGLLISLDKKFIWMDGSKVDYVAWATGEPNFANDDENCVTMYSNSGFWNDINC
+GYPNAFICQRHNSSINATVVPTTVSVPGGCKEGWNFYNHKCFKIFGFVEEERKNWQEARK
+DCIGFGGNLASIYSEKEQAFLTYHMKNSTFNAWTGLNDINSEHTFLWTDGRGVHYTNWGK
+GYPGGRRSSLSYEDADCVVVIGGNTRDAGKWMDDTCDSKRGYICQTHPDRSLPSSPTTIP
+TDGFIKYGESSYSLMKLKLQWHEADDYCKMHTSHIASILDPYSNAFAWMHMQTLNEPVWI
+ALNSNLTNNDYIWTDKWRVRYTNWAPDEPRLKSACAYMDLDGYWKTAQCNESFYFLCKRS
+DEIPATEPPQLPGRCPETEHSAWIPFHGHCYYIESSFTRNWGQASLECLRMGSSLVTIES
+AAELSFLSYRVEPLQSKTNFWIGLYRNVEGMWVWINNNPLSFVNWKTGDPSGERNDCVTL
+YASSGLWSNIHCSSYKGYICKRPKIVDAEPTHTLITTKADPRKMEPSKPSSSATGVVVIV
+VLLIIMGAGFAVYFFYKKRRVHLPREDAFENTLYFNSGSSPGTNDTKDLMGNIEQNEHAA
+I
+>tr|A0A3Q1ME73|A0A3Q1ME73_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MPANLENSAVATGLEKVSFHSNPKERQCQRMLKLPHNCTISHASKVMLKILQARLQQYVN
+RELPDVQAGFTKGRGTRDQIANILWIIKKAREFQKNIYFCFIDCAKAFDCVAHNKLWKIL
+QEMGIPDHLTCLLRNLYAGQETTVRTGHGTTDWFQTGKGVRQGCILSPCLFNLYAEYIMR
+NAGLEEAQTGIKIARRNINNLRYADDTTLMAVSEEELKSLLMKVKEESEKVGLKLNIQKT
+KIMASGPITSWEIDGETVETVSDFIFGGSKITADGDCSHEIKRRLLLGRKVMIDLDSTLK
+SRDITLPTNVHLVKAMVFPVVMYGCESWTVKKAEC
+>tr|F1MB01|F1MB01_BOVIN Dysferlin OS=Bos taurus OX=9913 GN=DYSF PE=4 SV=3
+MLRVFILYAENVHTPDTDISDAYCSAVFAGVKKRTKVIKNNVNPVWNEGFEWDLKGIPLD
+QGSELLVVVKDHETMGRNRFLGEANIPLREVLATPSLSASFNAPLLDTKKQPTGASLVLQ
+VSYTPLPGAVPTFPPLTPLEPSPTLPDMDTVADTGGEEDTEDQGLTGDEAEPFLDQSGAL
+GPGAPSTPKKQPSHPPPYHPGGGRKRSAPAPSKPLSDKPQDFQIRVQVIKGRQLPGVNIK
+PVVKVTAAGQTKRTRIHKGNSPVFNETLFFNVFDSPAELFNEPIFITVVDSRSLRTDALI
+GEFRLDVGSIYREPRHAYLRKWLLLSDPDDFSAGARGYLKASLCVLGPGDEAPLERKDPS
+EDKEDIESNLLRPIGVALRGAHFCLKVFRAEDLPQMDDAVMDNVRQIFGFDSNKKNLVDP
+FVEVSFAGKMLCSKILEKTANPQWNQSITLPAMFPSMCEKMRIRVVDWDRLTHNDIVATT
+YLSMSKISASGGEIEEEPAGVVKPPPATELDDHLGFLPTFGPCYINLYGSPREFTGFPDP
+YAELNTGKGEGVAYRGRLLLSLETKLVERSEQKVEALSADDILRVEKYLRRRKYSLFAAF
+YSATMLQDVDDAVQFEVSIGNYGNKFDTTCLPLASTTQYSRAVFDGCHYYYLPWGNVKPV
+VVLSSYWEDIRHRVEAQNQLLRIADQLEAGLEQVHLALKAQCSDEDVDSLVAQLMDELIA
+GCSQPLGDVQEMPSATHLDQYLYQLRTRHLSQITEAAQALKLGHSELPAALEQAEDWLLR
+LRALADEPQNSLPDIVIWMLQGDKRVAYQRVPAHEVLFSRRGTSYCGKNCGKLQTIFLKY
+PMEGVPRARMPVQIRVRLWFGLSVDEKEFNQFAEGKLSVFAETYENQTKLALVGNWGTTG
+LTYPKFSDITGRIKLPKDSFRPSAGWAWAGDWFVCPEKTLLHDTDAGHLSFVEEVFENQT
+RLPGGQWIYMSDNYTDVNGEKVLPKDDIECPLGWKWEDEEWSTDLNRAVDEQGWEYSITI
+PPDRKPRHWVPAEKMYYTHRRRRWVRLRRRDLSQMEALKRHRQAEAEGEGWEYASLFGWK
+FHLEYRKTDAFRRRRWRRRMEPLEKTGPAAVFALEGALGGVVDDRSEDSVSVSTLSFGVN
+RPTISCIFDYGNRYHLRCYMYQARDLPAMDKDSFSDPYAVVSFLHQSQKTVVAKNTLNPT
+WDQTLIFYEIEIFGEPSSIAEQPPSIVVELYDHDTYGVDEFMGRCICQPSLERTPRLAWF
+PLTRGSQPAGELLASFELIQREKPAIHHIPGFEVQDTTGILEESEDTDLPYPPPQREANI
+YMVPQNIKPVLQRTAIEILAWGLRNMKSYQLASVSSPSLVVECGGQSVQSCVIKNLRKNP
+NFDICTLFMEVMLPREELYCPPIVVKVIDNRQFGRRPVVGQCTIRSLEGFLCDPYSEESP
+SPQGGPDDVSLLSPGEDVLIDIDDKEPLIPIQFADGLSGLAPTNMASSPSSLHVSTQEEE
+FIDWWSKFFSIGESEKCGSYLEKDFDTLKVYDTSLENVKAFEGLSDFCNTFKLYRGKTQE
+ETEDPSVIGEFKGLFKIYPLPEDPAIPLPPRQFHQLASQGPQECLVRVYIIRAFGLQPKD
+PNGKCDPYIKISIGKKSVSDQDSYIPCTLEPVFGKMFELTCTLPLEKDLKVTLYDYDLLS
+KDEKIGETVIDLENRLLSKFGARCGLPQTYCVSGPNQWRDQLRPSQLLHLFCQQHRVKAP
+VYRTDHVVFQDKEYTVEEIEAGRVPNPHLGPVEERLALHVLQQQGLIPEHVESRPLYSPL
+QPDIEQGKLQMWVDLFPKALGRPGPPFNITPRRARRFFLRCIIWNTKDVILDDLSITGEK
+MSDIYVKGWMVGFEEHKQKTDVHYRSLGGEGNFNWRFVFPFDYLPAEQVCTVSKKDAFWR
+LDKTESKIPARVVFQIWDNDKFSFDDFLGSLQLDLNHMPKPAKTAEKCSADQLEDTFHPE
+RFVSLFEQKTVKGWWPCVAEEGEKKILAGKLEMTLEIVTESEHEERPAGHGRDEPNMNPK
+LEDPRRPDTSFLWFTSPYKTMKFILWRRFRCAIIFFLILFIFLLFLGIFVYSFPNYAAMK
+LVKPFS
+>tr|A0A3Q1M023|A0A3Q1M023_BOVIN Rac GTPase activating protein 1 OS=Bos taurus OX=9913 GN=RACGAP1 PE=4 SV=1
+MKPPCSPGCLSFWSSLLRKMDTTMLNMRNLFEQLVRRVEILSEGNELQFIQLAKDFEDFR
+KKWQRTDHELGKYKDLLMKAETERSALDVKLKHARNQVDVEIKRRQRAEADCEKLERQIQ
+LIREMLMCDTSGSIQLSEEQKSALAFLNRGQPASGNAGNKRLSTIDESGSILSDISFDKT
+DESLDWDSSLVKTFKLKKREKRRSNSRQFVDGPPGPVKKTRSIGSTADQGNESIVAKTTV
+TVPNDGGPIEAVSTIETVPYWTRSRRKTGTLQPWNSDSTLSSRQPEPKTETDGSSTPQSN
+GGMRLHDFVSKTVIKPESCVPCGKRIKFGKPSLKCRDCRVVSHPECRDRCPLPCIPTLIG
+TPVKIGDGMLADYVSQTSPMIPSIVVHCVNEIEQRGLTETGLYRISGCDRTVKELKEKFL
+RVKTVPLLSKVDDIHAICSLLKDFLRNLKEPLLTFRLNKTFMDAAEITDDDNSIAAMYQA
+VGELPQANRDTLAFLMIHLQRVAQSPNTKMDVANLAKVFGPTIVGHAVSNPDPVTLLQDI
+KHQPKVVERLLSLPLEYWSQFMMVEQENIDPMHVIENANAFSTPQTPDVKVSLLGPVTTP
+EHQLLKTPSSSSLSQRVRSTLTRNTPRFGSKSKSATNLGRQGNFFASPMLK
+>tr|A0A3Q1M4I0|A0A3Q1M4I0_BOVIN Repetin OS=Bos taurus OX=9913 GN=RPTN PE=1 SV=1
+MTELLNSILTVIRVFQKYAKENGDSTSLCKEELKQLLLAEFGDILRRPNDPETVETILSL
+LDRNRNEHVDFHEYLLMVFQLAQACYHRLDNESYGDRTSQQERKQEGTQGHTFPTNTGRQ
+HRKRHEGERQDSCHSQSEKQSQDTHQDQSERQDRDSRYSQSERLDRDSHYGQSEGQDRDS
+HYGQTERQGQDSSSGQRLSNKSGSGNPERQGYVFALNQYEKQLQDSHCGQSDRLGQQSSY
+GQSGRLREDPQYSHTNQQESGSYNEQSGRLGQESGYGQRNRQELESHYGQTDRQGLSTHC
+SQTRPDRQGQSYHYGQTDRQGQSSHYGQTDRQGLSSQYGQTDRQGLSSHYGQTDRQGLSS
+QYGQTDRQGLSSHYGQMDRQGQRSHYDQTDRQGLSSQYGQMDRQGLSSHYGQTDRQGLSS
+QYGRMDRQGQRSHYDQTDRQGLSSQYGQTDRQGQSSHYDETDRQGLSSHYGQTDRQGLSS
+QYGQTDRQGQSSHYDETDRQDLSSHYGQTDRQGLSSQYGQTDRQGQSSHYDETDRQGLSS
+HYGQTDRQGLSSQYGQTDRQGQSCHYDETDRQGLSSHYGQTDRQGLSSQYGQTDRQGQSS
+HYDETDGQGLSSHYGQTDRQGLSSQYGQTDRQGQSCHYDETDRQDLSSHYGQTDRQGLSS
+QYGQTDRQGQSFHYDETDRQGLSSHYGQTDRQGQRSHYDQTDRQGLSSHYGQTDRQGLSS
+QYGQTDRQGLSSHYGQTDRQGQSSHYDETDRHGLSTRYSQTDRQGVNSQYGQSETGETRQ
+NRCFQGSEGASRDSHVEQSGRPGRPSQYTQGQEVNRNQGQRFQTREGQQNSRQAWESEED
+SQHKLVAQIQQERPPCHSGRDWQSHSGEQGHRQVQTRQSHGEKQSHQAEEEQDHQSCGRH
+SHEGQETPRETWDRETHEDKQTPQRRDRQTHEDQQTGQRQDRQTHEDEQTRQRRDRQTHK
+DEQTRQRRDRQTHEDEQTRQRRDRQTHEDEQTRQRRDRQTHEDEETRQRRDRQTHEDEET
+RQRRDRPTREDQQTGQRRDRQTREDEQTRQRRDRQTHEDEQTRQRRDRQTHEDEQTRQRR
+DRPTREDQQTGQRRDRQTREDEQTRQRRDRQTHEDEQTHEDEQTRQRQDRQTHEEDQNRR
+QQQDWQTHKDKERYQESQYRQSHGTQEGCANREKFHMNEDGQSPSSQGRCSDLAFHPTQN
+AGRPQRREQGGSHPIKATIAPNPLYDYVQEQKGVWH
+>tr|F1MJF0|F1MJF0_BOVIN Neuronal tyrosine-phosphorylated phosphoinositide-3-kinase adaptor 2 OS=Bos taurus OX=9913 GN=NYAP2 PE=4 SV=2
+MKSSKMMSSNPEEDPLDTFLQYIEDMGMKAYDGLVIQNASDIARENDRLRNETNLAYLKE
+KNEKRRRQEEAIKRIGGEVGRGHEGTYVGKHFRMGFMTMPAPQDRLPHPCSSGFSVRSQS
+LHSVGGTDDDSSCGSRRQPPPKPKRDPSTKLSTSSETVNSTAASKSGKGPERTEVSTKPR
+PHSDEYSKKIPPPKPKRNPNTQLSTSFDETYIKKHGPRRTSLPRDSSLCQVSSPAGDPEE
+EEPVYIEMVGNILRDFRKDDEDQSEAVYEEMKYPIFDDLGQDSKCDLDHHSCSSQCATPT
+VPDLDLAKSSVPCTPKGLLCDIPPPFPNLLSHRPPLLVFPPAPVQCSPNSDESPLTPLEV
+TKLPVLENVSYMKQQAGASPSSLPSHASSHAKLEKDQAGALGPVPTASVLSSSPPPPSTL
+YRTQSPHGYPKSHSASPSPVSMGRSLTPLSLKRPPPYDAVHSGSLSRSSPSVPHSTPRPV
+SDGSKMVNAAVNTYGSAPSGSRSRTPTSPLEELTSLFTSGRSLLRKSSSGRRSKEPAEKS
+TEELKVRSHSTEPIPKLDSKERGHHGASSSREPIKAQEWDGTPGPPVVTSRLGRCSVSPT
+LLAGNHSSEPKVSCKLGRSASTSGVPPPSVTPLRQASDLHQSQVACMQWFHGDHTMLEMI
+EKKRCLCKEIKARQKTEKGLCKQDSMPILPSWKKNAGAKKYSPPPYSKQQTVFWDTAI
+>tr|G8JKY1|G8JKY1_BOVIN Ankyrin repeat and SOCS box containing 14 OS=Bos taurus OX=9913 GN=ASB14 PE=4 SV=2
+MDNYTSDEDIDDDFDTQLIIQQSLQDIHKPGTGQQSPEDERRDYPFGRVPIQSSDMTALE
+KDSMDPSSYSVPFNLCFLSAYYKKIVETIETGKEDVLSQLTKYHSAFDEADEIGWLPLHK
+AAVQLNKNILEITLKASKLSVWEQTTHNGETPLFLAVSNCLLENVSFLLLNGCNPNTKNV
+EGNSPLLTAVLQDSYDMASLLISHGANVNLLCSNKRTALHEAAKLGRRDIVALLLASGAY
+PDPQSSYGFTPLALAAQSGHTEIMELLLQKGANALGQASDSSSILLEAASGGNPDSVTLL
+LEYGADANVPKNSGHLPIHVAADRGHLLALKTLVPVTDFAAIKRSGISPIHCAAAGAHPK
+CLELLIQAGFDVNFMLDQRIRKHYDDHRKSALYFAVSNGDLSSVKLLLSAGAMPNQDPVN
+CLQIALRMGNYELVSLLLRHGANVNYFCRVNPLHFPSALQYTLKDEVMLRMLLNYGYDTE
+LCFDCPHGDKVHRFSASEGWTSTVIKDTMFCEVITLSWLQHLSGKVVRVMLDYVDQVRIC
+SKLKAVLQKQGLWSEIHFILTNPRSLKHLCRLKIRKCMGRLRLRCPVFMSFLPLPSRLKA
+YVLYKEYDLYEQGIFTGTW
+>tr|E1BKK2|E1BKK2_BOVIN ALX homeobox 1 OS=Bos taurus OX=9913 GN=ALX1 PE=3 SV=2
+METLDNESFYSKASAGKCVQAFGPLPRAEHHVRLERTSPCQDSSVNYGITKVEGQPLHTE
+LNRAMDNCNSLRLSPVKGIPEKGELDELGDKCDSNVSSSKKRRHRTTFTSLQLEELEKVF
+QKTHYPDVYVREQLALRTELTEARVQVWFQNRRAKWRKRERYGQIQQAKSHFAATYDISV
+LPRTDSYPQIQNNLWAGNASGGSVVTSCMLPRDTSSCMTPYSHSPRTDSSYTGFSNHQNQ
+FSHVPLNNFFTDSLLTGATNGHAFETKPEFERRSSSIAVLRMKAKEHAANISWAM
+>tr|F1MGM4|F1MGM4_BOVIN Nuclear receptor corepressor 1 OS=Bos taurus OX=9913 GN=NCOR1 PE=4 SV=2
+MSSSGYPPNQGAFSTEQSRYPPHSVQYTFPSTRHQQEFAVPDYRSSHLEVSQASQLLQQQ
+QQQQQQLRRRPSLLSEFHPGSDRPQERRTGYEQFHPGPSPGDHDSLDSKRPRLEQLSDSH
+FQRVSAAVLPLVHTLPEGLRSSADAKKDPAFGVKHEAPSSPISGQPCGDDQNASPSKLSK
+EELIQSMDRVDREIAKVEQQILKLKKKQQQLEEEAAKPPEPEKPVSPPPVEQKHRSVVQI
+IYDENRKKAEEAHKIFEGLGPKVELPLYNQPSDTKVYHENIKTNQVMRKKLILFFKRRNH
+ARKQREQKICQRYDQLMEAWEKKVDRIENNPRRKAKESKTREYYEKQFPEIRKQREQQER
+FQRVGQRGAGLSATIARSEHEISEIIDGLSEQENNEKQMRQLSVIPPMMFDAEQRRVKFI
+NMNGLMEDPMKVYKDRQFMNVWTDHEKEIFKDKFIQHPKNFGLIASYLERKSVPDCVLYY
+YLTKKNENYKALVRRNYGKRRGRNQQIARPSQEEKVEEKEEDKAEKTEKKEEEKKDEEEK
+DEKEDSKENTKEKDKTEGTAEETEEREQATPRGRKTANSQGRRKGRITRSMTSEAAAASA
+AAAAATEEPPPPLPPPPEPVSTEPVETSRWTEEEMEVAKKGLVEHGRNWAAIAKMVGTKS
+EAQCKNFYFNYKRRHNLDNLLQQHKQKASRKPREERDVSQCESVASTVSAQEDEDIEASN
+EEENPEDSEVEAVKPGEDSTENAPPRGTAEAAAELEATPDAVPRPSPSPAAASTKVAEDD
+SVEAPANDSITVDTAEPMEVEHEEHGAEGTSALDLPSAAKADAVDVEMRVPESSPSRVEG
+DPKDRDLQRSGEKPEPGEDDLGVAQQLSAPRPEPLSDHDSSATCSADEDVDGEPERQRMF
+PMDSKPSLLNPAGSILVSSPIKPNPLDLPQLQHRAAVIPPMVSCTPCNIPIGTPVSGYAL
+YQRHIKAMHESALLEEQRQRQEQLDLECRSSTSPCSAARSPGRDWEVLQPAPHQVITNLP
+EGVRLPTTRPTRPPPPLIPSSKTTVASEKPSFLLGGSISQGTPGTYLPSPNQASYAPEAA
+KPSAGSISLGLPRQQEATKPATVPYIKQEEFSPRSQNSQPEGLLVRAQHEGVVRGTSGTI
+QEGSITRGTPSSKLSVESIPSLRGSITQGTPALSQAGIPTEALVKGPVSRLSIEESSPEK
+GREEAASKGHVIYEGKSGHILSYDNIKNAREGTRSPRTAHEISLKRSYESVEGTIKQGLS
+MRESPVSAPLEGLICRALPRGSPHSDLKDRTVLSGSIMHGTPRATTESFEDGLKYPKQIK
+RESPPIRAFEGAITKGKPYDGITTIKEMGRSVHEIPRQDVLAQESRKTPEVVPSARPVIE
+GSISQGTPIKFDSSSGQSAIKHNVKSLITGPSKLPRGMPPLEIVPENVKVVERGKYEDVK
+AGEPVRSRHTSVVSSGPSVLRSTLHEAPKAQLSPGIYEDASARRTPGSYASTVSRGSPML
+SRASEVTISSGKSANHERKSTLTPTQRESIAAKSPVPGVDPAVSHSPFEPHHRGGTPGEV
+YRSHLPAHLDPAMPFHRALDPAAAAYLFQRQLSPTPGYPSQYQLYAMENTRQTILNDYIT
+SQQMQVGLRPDVARGLSPREQQLGLPYPATRGIIDLTNMPPAILVPHPGGTSTPPMDRIT
+YIPGTQITFPPRPYSSASVSPGHSTHLAAAASAEREREREKERERLAAASSDLYLRPGSE
+QPGRPSSHGYVRSPSPSVRAQETLLQQRPSVFQGTNGTSVITPLDPSAQLRIMPLPAGGP
+SISQGLPASRYNTAADALAALVDAAASAPQMDVSKTKESKHDATRLEENVRSRAAVSEQQ
+LEQKSLEAEKRAGQCLYTSSALPSGKPQPHSAVIYSEAGKEKGPPPKSRYEEELRTRGKT
+TITAANFIDVIITRQIASDKDSRDRGSQSSDSSSSLSSHRYETPGDAIEVISPASSPAPP
+QERLTAYQPEVVKASQAENEAPRQYEGPLHHYRPQQESPSPQQPPPPPSQAEGAGQVPRT
+HRLITLADHICQIITQDFARNQVSSQPPQQPSTSTFQSSPSALVSTPVRTKTSNRYSPES
+QSQSAHHQRPGSRVSPETLVDKSRASIRPGKSPERSHISSEPYEPISPPQAPVVHEKQDS
+VLLLAQRGAEPAEQRNDSRSPGSISYLPSFFTKLESTSPMVKSKKQEIFRKLNSSGGGDS
+DMAAAQPGTEIFNLPAVTTSGSVSSRGHSFADPASNLGLEDIIRKALMGSFDDKVEDHGV
+VIPQPVAVGPGGASTSVVTSGETRREEGDPSPHSGGVCKPKLLGKANSRKSKSPVPGQGY
+LGAERPSSVSSVHSEGDYHRQTPGWAWEDRPSSTGSTQFPYNPLTMRMLSSTPPAPVACT
+PTSANPAAPHQPSRIWEREPAPLLSAQYETLSDSDD
+>tr|F1MQ97|F1MQ97_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=METTL23 PE=4 SV=3
+MYVWPCAVVLAQYLWFHRGSLPGKAVLEIGAGVSLPGIVAAKCGAEVTLSDSSELPHCLA
+ICRESCQMNNLPQVHVVGLTWGHVSRDLLALPPQDIILASDVFFEPEDFEDILTTVYFLM
+QKNPKVKLWSTYQVRSADWSLEALLYKWDMKCVHIPLESFGADKEDIAESALPGRHTVEM
+LVISFAKDNLNYT
+>tr|E1B869|E1B869_BOVIN Methyltransferase like 24 OS=Bos taurus OX=9913 GN=METTL24 PE=4 SV=1
+MARERLPGRGCCALRRCLLAAALLLGLRLCAELQRAGPQPPALSPPPGQAPRPPGSHLQP
+APGQLRGASRRQVTYVRSGRRAPAGGSRSGTPEPGCCALRGRPRLKGPRWQIDLQPWAGP
+ARSLDEEALRFLRYISTIQIECDHMSTDSLATDSSPTKKPWPVCLDDRFGLVHQIRNKQC
+RLYSLGLGSDDTHFEVGMASNGCEVHRFDPSVKSAHVLESERLWFHRLSIDWRDPHPAVA
+AQKPYGNTRKLGAILNEFGHHKIDVLKADLESAEWKVLENLILEDVLEQIGQLIFEIHLH
+WPGFEVSGSDSSVVRFWYSLLKELELQDFRLFHSYKDLSKPQLFLKKDIFNASSCYTLGW
+VNTRWK
+>tr|E1BBJ6|E1BBJ6_BOVIN RWD domain-containing protein OS=Bos taurus OX=9913 GN=RWDD2A PE=4 SV=2
+MSASMKECLQLQLLEMEMLFSMFPNQGEVKLEDVNALTNIKRYLDGIREALPPKIEFVIT
+LQIEEPKVKIDLQVTMPHSYPYVALQMFARSPELDRQQQLLLNKGLTSYIGTFDPGELCV
+CAAIQWLQDNSASYFLNRKLVDEPSTQAKPVKNTFLRMWIYSHHIYQQDLRKKILEVGKR
+LDVTGFCMTGKPGIICVEGFKEHCEEFWHTIRYPNWKHISCKHAESMETEGDGQDLRLFH
+SFEELLLEAHGDYGLRNDYHMNLGQFLEFLKKHKKPNMVSCPHRVPQVVHLGLLPCASLH
+RPVGQGHCCGWPFTVCFLEDKSDVTTMSSENFKLHSLHQPSLK
+>tr|F1MUI8|F1MUI8_BOVIN Protein kinase domain-containing protein OS=Bos taurus OX=9913 GN=BMP2K PE=4 SV=2
+MKKFSRMPKSEGGGGGGGAAGGGAGGAGAGSSSVGVRVFAVGRYQVTLEESLAEGGFSTV
+FVVRTHGGHRYALKRMYVNNTTDLNICKREITIMKELSGHKNIVGYLDCAVNSISDNVWE
+VLILMEYCRAGQVVNQMNKKLQTGFTEAEVLQIFCDTCEAVARLHQCKTPIIHRDLKVEN
+ILLNDGGNYVLCDFGSATNKFLNPQKDGVNTVEEEIKKYTTLSYRAPEMINLYGGKPITT
+KADIWALGCLLYKLCFFTLPFGESQVAICDGSFTIPDNSRYSHNIHCLIRFMLEPDPERR
+PDIFQVSYFAFKFAKKDCPVSNINNSPIPSTLPEPMTASEAASRKSQIKARITDTIGPTE
+TSIAPRQRPKANSTTATPSVLTIQSSATPVKVPAPDEFGNHRPKGPLKPGNGPEILLGQG
+PTQQPPQQHRVLQQLQQGDWRLQQLHLQHRHPHQQQQLLQDAYMQQYQHAVQQQQMLQQQ
+LLVHSVYQPQPSASQYPAMMQQYQQAFLQQQMLAQHPQSQPQASPEYLTSPQEFSPALVS
+YSSSLPAQVGPMMDSSYSANRSIAGKEAVANMTNQKNISNPPDMSGWNPFGEDNFSKLTE
+EELLDREFDLLRSSSPEKKIEHPSMNQENGTANPIKNTKGSPVSKDHRTGKKTSENSLVR
+AQVQKGNDESESDFESDPPSPKSSEEEEQEDEEVLQGEQGDFNDDDTEPENLGHRPLLMD
+SEDEEEEEKHSSDSDYEQAKTKYSDTSPGYRDSGGGAVQGPSAALLTPAWAPSDVGAGTP
+RPEFDVFGAVPFFAAQAQLPRQGEQKDLSPHSRLPATGLEPEEFDVFTKAPFSKKVMARD
+AHAAPAGPPSVDVFGSTPFQPFPPSASKSDSGEDLFGLVPFEEITGSPQQKVKQRSLQKL
+SSRQRRTKQDAAKSNGKRHHGTPTGAKKPAKPAFRTPERARRHRRVGRRDSQSSNEFLTI
+SDSKENISVALTDGKDRGHVLQAEEGLLDPFGAKPFHPPDLPWHSPHQGLSTDHNPVLPG
+RPRPNSLHGSFHGAEALKMDDFGAVPFTELVVQSITSHQPQQPQPVELDPFGAAPFPSKQ
+>tr|F2Z4C6|F2Z4C6_BOVIN Eukaryotic translation initiation factor 4 gamma 2 OS=Bos taurus OX=9913 GN=EIF4G2 PE=4 SV=1
+VESAIAEGGASRFSASSGGGGSRGAPQHYPKTAGNSEFLGKTPGQNAQKWIPARSTRRDD
+NSAANNSANEKERHDAIFRKVRGILNKLTPEKFDKLCLELLNVGVESKLILKGVILLIVD
+KALEEPKYSSLYAQLCLRLAEDAPNFDGPAAEGQPGQKQSTTFRRLLISKLQDEFENRTR
+NVDVYDKRENPLLPEEEEQRAIAKIKMLGNIKFIGELGKLDLIHESILHKCIKTLLEKKK
+RVQLKDMGEDLECLCQIMRTVGPRLDHERAKSLMDQYFARMCSLMLSKELPARIRFLLQD
+TVELREHHWVPRKAFLDNGPKTINQIRQDAVKDLGVFIPAPMAQGMRSDFFLEGPFMPPR
+MKMDRDPLGGLADMFGQMPGSGIGTGPGVIQDRFSPTMGRHRSNQLFNGHGGHIMPPTQS
+QFGEMGGKFMKSQGLSQLYHNQSQGLLSQLQGQSKDMPPRFSKKGQLNADEISLRPAQSF
+LMNKNQVPKLQPQITMIPPSAQPPRTQTPPLGQTPQLGLKTNPPLIQEKPAKTSKKPPPS
+KEELLKLTETVVTEYLNSGNANEAVNGVREMRAPKHFLPEMLSKVIILSLDRSDEDKEKA
+SSLISLLKQEGIATSDNFMQAFLNVLDQCPKLEVDIPLVKSYLAQFAARAIISELVSISE
+LAQPLESGTHFPLFLLCLQQLAKLQDREWLTELFQQSKVNMQKMLPEIDQNKDRMLEILE
+GKGLSFLFPLLKLEKELLKQIKLDPSPQTIYKWIKDNISPKLHVDKGFVNILMTSFLQYI
+SSEVNPPSDETDSSSAPSKEQLEQEKQLLLSFKPVMQKFLHDHVDLQVSALYALQVHCYN
+SNFPKGMLLRFFVHFYDMEIIEEEAFLAWKEDITQEFPGKGKALFQVNQWLTWLETAEEE
+ESEEEAD
+>tr|F1MS24|F1MS24_BOVIN Rab proteins geranylgeranyltransferase component A OS=Bos taurus OX=9913 GN=CHM PE=3 SV=2
+MADNLPSEFDVIVIGTGLPESIIAAACSRSGQRVLHVDSRSYYGGNWASFSFSGLLSWLK
+EHQENSDIVNECPAWQEKIHENEEAIALSRTDKTIQHVEVFCYACQDLHEDVEEAGALQK
+NHASVTSVNCTEAADSACLPVEDESLRTTSCEIPAEQTPSSDPERALEVNDVQVTGEKEN
+CCDDNTCVPSTAEKETSENVPVAEDTAEQPKKNRITYSQIIKEGRRFNIDLVSKLLYSRG
+LLIDLLIKSNVSRYAEFKNITRILAFREGRVKQVPCSRADVFNSKQLTMVEKRMLMKFLT
+FCMEYEEHPDEYKAYEEITFSEYLKTQKLTPNLQYFVLHSMAMTSETGSSTIDGLKATKN
+FLHSLGRYGNTPFLFPLYGQGELPQCFCRMCAVFGGIYCLRHSVQCLVVDKESGKCKAII
+DQYGQRIISKHFLVEDSYLSENTCAHVQYRQISRAILITDRSVLKTDSDQQISILTVPAE
+EPGTFAVRVIELCSSTMTCMKGSYLVHLTCTSSKTAREDLEPVVQKLFTPYTETEIENEE
+IEKPRLLWGLYFNMRDSSDVNRNTYNDLPSNVYVCSGPDCALGNDNAVKQAEALFQQICP
+NEDFCPPPPNPEDIILDGDSLQPEASESSALPEASSETPKESSNLRNPEEPSK
+>tr|A0A3Q1LLV6|A0A3Q1LLV6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MNLFIYVLLLSIWTSSCLDRNESNGSATAVTTHAEFKQTKLQELRRRLLIIIIGTLITGY
+MVTCTCFLHYSCDSEEAHKATKDKKEDITIKASRSSKISFTDSKSPTAGLGDPERQSVVS
+RIDKSSGPSSPRKVPSSAEKLVRPSSQKKPSKPSAPKKVLGSPPQEKLHRTRSPKKAHRQ
+AHAHKLVSQVSPSYPEKAIKPTWPPSLQCRVKPTKTPLPYPKNQSFPEHSSADKLTKRQR
+YLKLKCPASAGRAEILSRPQPVKFCRCYKEKCLVCRAVSEPFITHVSEANKKHVPVPLFS
+RELKHFYKSYKKKQPKYNTLYGNMSDSDITTYNSDGESDREVIIMCNIKCKEDIYKNSPN
+N
+>tr|A0A3Q1M675|A0A3Q1M675_BOVIN Myosin motor domain-containing protein OS=Bos taurus OX=9913 GN=MYO9A PE=3 SV=1
+MEMVGFLPKTRRQIFSLLSAILHLGNICYKKKTYRDDSIDICNPEVLPVVSELLEVKEEM
+LFEALVTRKTVTVGEKLILPYKLAEAVTVRNSMAKSLYSALFDWIVFRINHALMNSKDLE
+QNTKTLSIGVLDIFGFEDYENNSFEQFCINFANERLQHYFNQHIFKLEQEEYRTEGISWH
+NIDYIDNTCCINLISKKPTGLLHLLDEESNFPQATNQTLLDKFKHQHEENSYIEFPAVME
+PAFIIKHYAGKVKYGVKDFREKNTDHMRPDIVALLRSSKNAFISGMIGIDPVAIFRWSVL
+RAFFRAMVAFREAGKRHIQRKTGHDDTAPCAVLKSMDSISFLQHPVHQRSLEILQRCKEE
+KSILHLNITRKNPRTPLSDLQGMNTLNEKNQHETFDFAWNGRTGIRQSKLSSNTSLLDKD
+GIFANSASSKLLERAHGILTLNLIFPPVFQHLLEVKSLKHLTSLTIQDRITKSLLHLHKK
+KKPPSISAQFQVSLSKLMETLGQAEPYFVKCIRSNAEKLPLRFNDALVLRQLRYTGMLET
+VRIRQSGYSCKYSFQDFVSHFHVLLPRNIIPSKFNIQDFFRKINLNPDNYQVGKSMVFLK
+EQERQHLQDLLHQEVLRRIVLLQRWFRVLLCRQHFLHLRQASIVIQQFWRNYVHQKQVRD
+AAVQKDALLMASAATLLQASWRAHVQRQRYLELRTATVVIQRRWREHCRRRHLAAVCIQA
+RWKGYRESKRYQEQRNKIILLQSLCRGFRARQRFKSLKEQKVKEAKLELGLASIKPYGTL
+EIHGSDPSKWEDCSFDSRVKAIEECKSVIESNRISHESSMDCLNESPNKRQERARSQSGV
+DLQENVIVRERPKSLEDLHQKKVSRAKRESRRMRELEQAIFSLELLKVRSLGGVSPSEER
+RWSTELMPEGLQSLQGTPDSESSQGSLELLSCEESQKSKPEPVILDERDLPFVPPEVSHS
+PDFDPQDYSLSAASETNYTLTQRGAPSDSVHLKNGAMKEKLVCSSESITCKPQLRDPFVS
+NSLPTFFYIPHQDPLKMSSQLDTSVKRSKRLESEETLPSLTLDISREAGKYHLPGENQVV
+ASLNIDSSNTVLKKLEKLNTEKEERQKQLQQQNEKEMMKQIRRQKDILEKERKAFKTIEK
+PRTGESFLIPSFHQSKQRIERPSSLLILKTPNKDEEPSMVGTPSVTIKDSVLAPKDSPSA
+HLPLKDRPVTLFFERKGGPGQSHTVKELSKTDGMSTQLNVACKHSHSRISKREHLRPAHP
+CSHKSDDPFRDGATKAIFFTPKENMSSSL
+>tr|A0A3Q1MHZ5|A0A3Q1MHZ5_BOVIN Semaphorin 6C OS=Bos taurus OX=9913 GN=SEMA6C PE=3 SV=1
+MPRAPHFMPLLLLLLLSIPHTQAAFPQDPLPLLTSDLHGISPLSWFRGLEDDAVVAELGL
+DFQRFLTLNRTLLVAARDHVFSFDLQAQEEGEGLVPNKYLTWRSQDVENCAVRGKLTDEC
+YNYIRVLVPWDSQTLLACGTNSFSPVCRSYGITSLQQEGEELSGQARCPFDATQSNVAVF
+AEGSLYSATAADFQASDAVVYRSLGPQPPLRSAKYDSKWLREPHFVHALEHGDHVYFFFR
+EVSVEDARLGRVQFSRVARVCKRDMGGSPRALDRHWTSFLKLRLNCSVPGDSTFYFDVLQ
+ALTGPVNLYGRSALFGVFTTQTNSIPGSAVCAFYLDDIERGFEGKFKEQRSLDGAWTPVS
+EDRVPSPRPGSCAGVGVAALFPSSRDLPDDVLTFIKAHPLLDPAVPPATHQPLLTLTSRA
+LLTQVAVDGMAGPYSNITVLFLGSNDGTVLKVLPPGGQSGGSEPILLEEIDAYSPSRSCL
+ASQDPYCGWDSSRGCVDIRAPGGIDVDPTGNQESMEHDDCQDGATGSQSGTGDSTYVLLS
+PGPSPETPSPPSDAHPRPQSSTLGAHTQGVRRDLPPASASRSVPIPLLLACVAAAFALGA
+SVSGLLVSCACRRAHRRRSKDIESAGIPRPLSLRSLARLHGAGPEPPPPSKDGEGAQTPQ
+LYTTFLPPPEGVPPPELACLPTPESTPELPVKHLRHAGGPWEWNQNGNNAKEGRSRARGG
+NAAGGAAPRVLVKPPPPGCPGQAVEVTTLEELLRYLHGPQAPRKEAEPPVAAPFTSRPLP
+PEPSPTLFAGPSLLPRDCAPPRRLDVPPEGKCPAPAARPALSAPAPRLGVGGSRKLPFSS
+HRAPPALLTRVPSGGPSRYSGGAGRHLLYLGRPEGHRGRALKRVEGREAPGAPEASLCRA
+LLAGGRP
+>tr|A0A3Q1LVZ8|A0A3Q1LVZ8_BOVIN Phosphatidylinositol transfer protein membrane associated 2 OS=Bos taurus OX=9913 GN=PITPNM2 PE=4 SV=1
+MIIKEYRIPLPMTVEEYRIAQLYMIQKKSRNETHGEGSGVEILENRPYTDGPGGSGQYTH
+KVYHVGMHIPSWFRSILPKAALRVVEESWNAYPYTRTRFTCPFVEKFSIDIETFYKTDAG
+ENPNVFSLSPVEKNQLTIDFIDIVKDPVPPNEYKTEEDPKLFHSTKTQRGPLSENWIEEY
+KQQVFPIMCAYKLCKVEFRYWGMQSKIERFIHDTGLRKVMVRAHRQAWCWQDEWYGLNMD
+NIRELEKEAQLMLSRKMAQFNEEDKGAAELAKNEAAQDQASGEPSQPSSSGGEPLAGRGL
+KKQWSTSSKSSRSSKRGASPSRHSISEWRMQSIARDSDESSDDEFFDAHEDLSDSEEMFP
+KDITKWNSNDLMDKIESPEPEDSQDGLYRQRAPEFRVASSVEQLNIIEIKNKIFTLCSTC
+LKSLKKKRWKGRKRKSPRQK
+>tr|A0A3Q1LSP6|A0A3Q1LSP6_BOVIN PX domain-containing protein OS=Bos taurus OX=9913 GN=HS1BP3 PE=4 SV=1
+MQSPAVLVTSRRVQNVHTGLDLSVPQHQEVRGKMMSGHVEYQILVVTRLAAFKSAKHRPE
+DVVQFLVSKKYSDIEEFYQKLCSRYPTASLPPLPRKVLFVGEGDIRERRALFDEILRCIS
+KDAELAGSPELLEFLGTRSPGATDLSGRDVSVLDTDSQAGDEDALDFFQQQDRVEDEGLP
+MLGHQDGDAGKSSEEEEEEALDPLGIMRSKKPKKRPEVAVKPKAVPRLTIFDEEVDPDEG
+LFGPGRKPSPRSHAENSPPRDPLKLFDDPDLGGAVPLGDPLLLPAAPQSGGATPHEGHRE
+ASKELFRVEEDLDQILNLGAEPKPQPKPRPKPKPPVAAKPGLPRKPAMPPRAGPPEAAAT
+QQQKQQQQIQAMDEMDILQYIRDHDAPGQAAPSLF
+>tr|A0A3Q1LIX1|A0A3Q1LIX1_BOVIN Potassium sodium-activated channel subfamily T member 1 OS=Bos taurus OX=9913 GN=KCNT1 PE=4 SV=1
+MSSWWPLCVGCLSLPSASWVSRGAHLLLPGPWGHTRPGAVSVQSRAVGAPEPAVQPLAWL
+QAGARPACHLLESSPCPTGVGTGCMYPHCRGRCAASGASAGQAPPGGVRMGVPCSPRPLP
+ESSLCVREPRLSHLSIRPLSVLMCPLHSALRLHVEEFSLDSSVSQVQVEFYVNENTFKER
+LKLFFIKNQRSSLRIRLFNFSLKLLTCLLYIVRVLLDDPALGIGCWGCPKQNYTFNESSS
+EINWAPILWVERKVALWAIQVIVAIISFLETMLLIYLSYKGNIWEQIFRVSFILEMINTL
+PFIITIFWPPLRNLFIPVFLNCWLAKHALENMINDFHRAILRSQSAMFNQVLILFCTLLC
+LVFTGTCGIQHLERAGDNLSLLTSFYFCIVTFSTVGYGDVTPKIWPSQLLVVIMICVALV
+VLPLQFEELVYLWMERQKSGGNYSRHRAQTEKHVILCVSSLKIDLLMDFLNEFYAHPRLQ
+DYYVVILCPTEMDIQVRRVLQIPLWSQRVIYLQGSALKDQDLMRAKMDNGEACFILSSRN
+EVDRTAADHQTILRAWAVKDFAPNCPLYVQILKPENKFHVKFAEHVVCEEECKYAMLALN
+CICPATSTLITLLVHTSRGQEGQDSPEQWQRMYGRCSGNEVYHVRMGDSKFFREYEGKSF
+TYAAFHAHKKYGVCLIGLKREDNKSILLNPGPRHILAASDTCFYINITKEENSAFIFKQE
+EKQKRKGFAGQGLYEGSSRLPVHSIIASMGTVAMDLQNTECRPTQSGGGSGGSKLALPTE
+NGSGSRRPSIAPVLEVADSSALLPCDLLSDQSEDEMTPSEDEGLSVVEYVKGYPPNSPYI
+GSSPTLCHLLPVKAPFCCLRLDKGCKHNSYEDAKAYGFKNKLIIVSAETAGNGLYNFIVP
+LRAYYRPRRELNPIVLLLDNKPDHHFLEAICCFPMVYYMEGSVDNLDSLLRCGVIYADNL
+VVADKESTMSAEEDYMADAKTIVNVQTMFRLFPSLSITTELTHPSNMRFMQFRAKDSYSL
+ALSKLEKRERENGSNLAFMFRLPFAAGRVFSISMLDTLLYQSFVKDYMIPITRLLLGLDT
+TPGSGYLCAMKISEDDLWIRTYGRLFQKLCSSSAEIPIGIYRTQCHVFSTEPPDLRAQSQ
+VSVSVEDCEDTREAKGPWGARVGTSGGSTHGRHTVGSDPAEHPLLRRKSLPWARRLSRKG
+SRHTGNAAAEWISQQRLSLYRRSERQELSELVKNRMKHLGLPTTGYEDVANLTASDVMNR
+VNLGYLQDEMNDHQNTLSYVLINPPPDTRLEPNDIVYLIRSDPLAHVASSSQSRKSSCSN
+KLASCNPETRDETQL
+>tr|A0A452DJJ1|A0A452DJJ1_BOVIN Histone H4 OS=Bos taurus OX=9913 PE=3 SV=1
+MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLK
+VFLENVIRDAVTYTEHAKRKTIYGKGEGEDQGGPLEHTQEHHFLHQSWI
+>tr|A0A3Q1LZQ0|A0A3Q1LZQ0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MEWHWANQTQASRILGHLLGDHMEGRNSSNSTRALKLSDGTRAAWYILTIFGIYGLIFLF
+RLASNILRKNEKSLEDIYYSNLTFELEKKGLQSKAARCSSLTISHRAVLRPNQASPETKG
+GNSSPHAEIQEIP
+>tr|E1BG19|E1BG19_BOVIN Sulfotransferase OS=Bos taurus OX=9913 GN=LOC514658 PE=3 SV=2
+MTGKCVWFEGIPFPSLDYSPELLREVQESFLVKDKDVLLLTFPKSGTNWLIETVCLIYSK
+GDPKWVQSEPIWDRSPWVETKHGYELLKEKEGPRLISSHLPIQLFPKSFFKSKAKMIYLI
+RNPRDVLVSGYFFWRSAKFVKRPQSLEQYFEWFVEGNVVFGSWFDHARGWMSMRDKENFL
+ILSYEEMKWDMRSTVEKICQFLGKKLEPEELNSVLKNSSFQAMKENSMSNYSLLKGQYFE
+ENGQLLRKGVTGDWRNYFTVAQAETFDKLFQEKMADLPQDLFLWKQ
+>tr|A0A3Q1MB65|A0A3Q1MB65_BOVIN Nuclear factor 1 OS=Bos taurus OX=9913 GN=NFIX PE=3 SV=1
+MYSPYCLTQDEFHPFIEALLPHVRAFSYTWFNLQARKRKYFKKHEKRMSKDEERAVKDEL
+LGEKPEIKQKWASRLLAKLRKDIRPEFREDFVLTITGKKPPCCVLSNPDQKGKIRRIDCL
+RQADKVWRLDLVMVILFKGIPLESTDGERLYKSPQCSNPGLCVQPHHIGVTIKELDLYLA
+YFVHTPESGQSDSSNQQGDADIKPLPNGHLSFQDCFVTSGVWNVTELVRVSQTPVATASG
+PNFSLADLESPSYYNINQVALGRRSITSPPSTSTTKRPKSIDDSEMESPVDDVFYPGTGR
+SPAAGSSQSSGWPNDVDAGSPRATASALHFPSTSIIQQSSPYFTHPTIRYHHHHGQDSLK
+EFVQFVCSDGSGQATGQHSQRQAPPLPTGLSASDPGTATF
+>tr|A0A3Q1MU58|A0A3Q1MU58_BOVIN SAP domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MAESLSGLGDSGAAGAAALSSASSETGTRRLTDLRVIDLRAELKKRNLDSSGNKSALMER
+LRKAIEDEGGNPDEIEITSEGNKKTSKRSSKGRKPEEEGLEDNGIEENSGDGQEDVETSL
+ENLQVIDMMDINVLDEAEIDNGSIADYVEDDDNLQESLSDSRELVEGDMQELPEQLQEHA
+VGTLAGSPGCILGVIIPQIA
+>tr|F1N630|F1N630_BOVIN G_PROTEIN_RECEP_F1_2 domain-containing protein OS=Bos taurus OX=9913 GN=OR51M1 PE=3 SV=2
+MPAQYPLHPHIVLLSNLTQFSPMLYLTGFPGLETIEHWIFIFFFLMYLVAISGNCFILII
+IKTNPHLHTPMYYLLSFLAFTDLGLSVSTLPTTVGIFWFKSHGIYFGACQIQMFCIHSFS
+FMESSVLLVMSFDRFVATCYPLRYMVIITGQRVVRVGLIVILRGPVALLPIVLLLKAFPY
+CGTQVLSHSFCLHQEVIHLACTDTTFNNLYGLSLVVFTVMLDLVLIALSYGVILHTVARL
+ASKEERLRAFQTCTSHLCAVLVFFVPMMGLSLVHRFGKHAPPAVHLLMANVYLFVPPMLN
+PIIYSIKTKEIRHVISKLLG
+>tr|A0A3Q1M6K2|A0A3Q1M6K2_BOVIN Desmocollin-2 OS=Bos taurus OX=9913 GN=DSC2 PE=4 SV=1
+MAPARAPGSPSGALCRQLLLTLAILTFACDACKTVTLHVPAKLDAEKFIGRVNLKECFKS
+ATLIHSSDPDFQILEDGSVYTTHAILLSSEKSSFTILLSNTETQEEKEILVLLEHQTKVL
+KKRHSQEKVLRRAKRRWAPIPCSVPENSLGPFPLFLQQIQSDTAQNYTIYYSISGPGVDK
+EPRNLFYVERDTGNLFCTASIDRETYPLFELVAFATTPDGYTPEYPLTLVIRIEDENDNA
+PIFTETSYSFEVFENSKVGTTVGQVCATDQDEPDTLHTRLKYSIIEQFPALPTLFSMHPT
+TGVITTSSSKLDRELIDKYQLKIKVQDMDGQYFGLQTTAICIINIEDVNDNLPTFTRSSY
+VASVEENRIDVEILRVAVRDKDLINTANWRANYTILKGNEDGNFKIVTDSQTNEGVLCVV
+KPLNYEEKQQVTLEIGVVNEAPYTGTSRSTTNMATVTVNVQNQDEGPECDPRVQTVRIKE
+NVPVGTKTIGYKAYDPETGSSSGIRYKKSSDPEGWVDVDENSGVITILKRLDREARSGVY
+NISIIASDKDGRTCNGVLGIVLEDVNDNGPVIRQWTVVICKTVMSSAEIVAVDPDEPIHG
+PPFDFSLEGVSDSEVLRMWRLTKVNDTAARLSYLNDLRFGKYTVPVRVTDRLGQSLVTQL
+VVILCDCVTPNDCSLPPVPRTSNGEVILGKWAILAILLGIALLFCILFTLVCGATTGADK
+KPKVFPDDLAQQNLIVSNTEAPGDDKVYSTNDFTTHAVGGSAHGIGGTLGSRVKNGGQET
+IEMVKGGHQTMESCQETGHHHTLERCKEGGQHTLDSCRGGPVATDNCKYTYSEWYTYTQP
+RLGEESIRGHTLVKN
+>tr|A0A3Q1LQN3|A0A3Q1LQN3_BOVIN EF-hand domain-containing protein OS=Bos taurus OX=9913 GN=EFCAB10 PE=4 SV=1
+MEARSSRELQARNYLEKHRIMDLLTYLTSALLFFRPERPREYLISILERLRIARLSGVAL
+PFFMDNSNIASMFEMLDSSNKGSISFVQYKEALKTLGLCTAEEVLKDDGHGVTFDKFRNE
+VNKRTQEIWSAF
+>tr|A0A3Q1M600|A0A3Q1M600_BOVIN Interleukin 17 receptor C OS=Bos taurus OX=9913 GN=IL17RC PE=4 SV=1
+TLTCLYLSPRACPAISGVSSSTFREERSSWVGSREEGWELFSLGRAQSSLALWGCQVQPD
+SGSEQLQHLPHPSHADGDVLCLPGSLVSAPGPVLVPTRLQTELVLRCHEETDCDLCVRVA
+VHLAVQGYWEDPEDEEKFRRAADPELEEPRNASLQAHIVLSFQAYPTAHCVLLEVQVPAA
+LVQPGQSVGSVVFDCFEAALGAEVQIWSYTQPRYQKELNLTQQLPDCRGLEVQDNIQSCW
+ALPWLNVSADGEDVRLVLDVSEEQRFGLSLYWNQVQGPIKPWWHSNLTGPQTITLNHTDL
+VPCLCIQVWPLEPDSVRTSICPFREDPRAHRNLWRAARLQLLPPLEWRLDAPCSLPAEAT
+LCWQPLDGGPCLSLVPPLPRENVTVNKVLEFPLLKGHPNVCVQVSTWEKLQLQECLWADS
+LGPRKDDMLLVETRGPQDNSSLCALEPSGCTPLLSRASTRAARLGEQLLQDVQSGQCLQL
+WDNDLGALWACPMDKYIHQRWALVWLACLLLAAVLFLLLLLKMSRVKAAARSRAALLLYS
+ADDASFERLVGALASALCQLPLRVAVDLWSRRDLSAQGALAWFHAQRRQTLQEGGVVVLL
+FSPGAVALCREWLQDATSAPRAHDPHDAFAASLSCVLPDFLQGRAPGRYVGAYFDKLLPA
+DAVPALFRSVPVFSLPSQLPDFLGTLQGSAAPRPRRLAERAEQVSRALQPALESCFRPPG
+APGTGRGMGPEAGDRT
+>tr|F1MEL3|F1MEL3_BOVIN Thioredoxin domain containing 2 OS=Bos taurus OX=9913 GN=TXNDC2 PE=4 SV=3
+VGRDQELEMESDEAGVLEEPEMRSDNQGETNEGEDKGQEEGRGCFGDLCGNIPNFPEKNI
+LPKEGDILNPPSKTTLHKQANTPNSSEKTTLPKQGDTPKPSAKTILPKEADAPISPIQTI
+LPNQSYASKFSEKTISTKEGDIFNTPAKVIPSWPADSPNFPAKINPSRQADSPKFPAKII
+LPKQADSPNSPNQILPPKRTDSPSFPGKIISSQQADSPKFPLKTALHRQVDIPTSSEKNI
+SPKQDNTPNFPAKFSLPKKSKTHKFTTKAILSKQGDTPKSSAKTIVPKEGETPKPSAETI
+LPKAGEIPKSSAETILPKEGETLKSSAKTILPKDGETPKSSTKTILLKEGETPKSSAETI
+LPKDGETPKSSAETILPKEGETPKSSAETILPKEGETPKSSTETILLKAGETTRSLEHTV
+WSAEGNSLQSEEDTELLDDNLVKVILSKDDFKMALKEAGEQLVAVDFSATWCRPCKTIKS
+LFQALSLKHEDVVFLEVDADECEELVRECKVDCIPTFQFYRKEEKVGQFSGALHEKLETL
+IAELK
+>tr|A0A3Q1MCD3|A0A3Q1MCD3_BOVIN TEA domain transcription factor 2 OS=Bos taurus OX=9913 GN=TEAD2 PE=4 SV=1
+MGEPRAGAPLDDGSGWTGSEEGSEEGTGGSEGAGGDGGPDAEGVWSPDIEQSFQEALAIY
+PPCGRRKIILSDEGKMYGRNELIARYIKLRTGKTRTRKQVSSHIQVLARRKSREIQSKLK
+ALNVDQVSKDKAFQTMATMSSAQLISAPSLQAKLGPAGPQTPELFQFWSGSSGPPWNVPD
+VKPFSQTPFSLSLTPPSTDLPGYEPPQALSPPALPPPAPSPPAWQARALGTARLQLVEFS
+AFVEPPDATDSYQRHLFVHISQHCPSPGAPPLESVDVRQIYDKFPEKKGGLRELYDRGPP
+HAFFLVKFWADLNWGPSGEEVGAGGSSGGFYGVSSQYESLEHMTLTCSSKVCSFGKQVVE
+KVETERAQLEDGRFVYRLLRSPMCEYLVNFLHKLRQLPERYMMNSVLENFTILQVVTNRD
+TQELLLCTAYVFEVSTRTL
+>tr|G3MY63|G3MY63_BOVIN Boule homolog, RNA binding protein OS=Bos taurus OX=9913 GN=BOLL PE=4 SV=1
+METESGAQTSNQTQTDSLSPSPNPVSPVPLNNPTSAPRYGTVIPNRIFVGGIDFKTNEND
+LRKFFSQYGSVKEVKIVNDRAGVSKGYGFVTFETQEDAQKILQEAEKLNYKDKKLNIGPA
+IRKQQVGIPRSSIMPAAGTMYLTTSTGYPYTYHNGVAYFHTPEVTSVPPPWPAPAQCLPG
+QWQWNVPQSPASSAPFLYLQPSEVIYQPVEIAQDGGCVPPPLSLMEASVPEPYSDHGVQA
+TYHQVYASSAIAMPAPVMQPEPIKTVWSIHY
+>tr|A0A3Q1NNQ9|A0A3Q1NNQ9_BOVIN Host cell factor C1 OS=Bos taurus OX=9913 GN=HCFC1 PE=4 SV=1
+MASAVSPANSPAVLLQPRWKRVVGWSGPVPRPRHGHRAVAIKELIVVFGGGNEGIVDELH
+VYNTATNQWFIPAVRGDIPPGCAAYGFVCDGTRLLVFGGMVEYGKYSNDLYELQASRWEW
+KRLKAKTPKNGPPPCPRLGHSFSLVGNKCYLFGGLANDSEDPKNNIPRYLNDLYILELRP
+GSGVVAWDIPITYGVLPPPRESHTAVVYTEKDNKKSKLVIYGGMSGCRLGDLWTLDIETL
+TWNKPSLSGVAPLPRSLHSATTIGNKMYVFGGWVPLVMDDVKVATHEKEWKCTNTLACLN
+LDTMAWETILMDTLEDNIPRARAGHCAVAINTRLYIWSGRDGYRKAWNNQVCCKDLWYLE
+TEKPPPPARVQLVRANTNSLEAAAAPPTTTTIQVLPTVPGSSISVPAAARTQGVPAVLKV
+TGPQATTGTPLVTMRPASQAGKAPVTVTSLPAGVRMVVPTQSAQGTVIGSSPQMSGMAAL
+AAAAAATQKIPPSSAPTVLSVPAGTTIVKTVAVTPGTTTLPATVKVASSPVMVSNPATRM
+LKTAAAQVGTSVSSAANTSTRPIITVHKSGTVTVAQQAQVVTTVVGGVTKTITLVKSPIS
+VPGGSALISNLGKVMSVVQTKPVQTSAVTGQASTGPVTQIIQTKGPLPAGTILKLVTSAD
+GKPTTIITTTQASGAGTKPTILGISSVSPSTTKPGTTTIIKTIPMSAIITQAGATGVTSS
+AGIKSPITIITTKVMTSGTGAPAKIITAVPKIATGHGQQGVTQVVLKGAPGQPGTILRTV
+PMGGVRLVTPVTVSAVKPAVTTLVVKGTTGVTTLGTVTGTVSTSLAGAGGHSTSASLATP
+ITTLGTIATLSSQVINPTAITVSAAQTTLTAAAGLTTPTITMQPVSQPTQVTLITAPSGV
+EAQPVHDLPVSILASPTTEQPTATVTIADSGQGDVQPGTVTLVCSNPPCETHETGTTNTA
+TTTVVANLGGHPQPTQVQFVCDRQEATAALVTSTVGQPNGSVVRVCSNPPCETHETGTTS
+TATTAMSGIGGGPRRDIRLACAATTIPTVVRVSVTAGASEGAQVSIKPACQTRQTSATST
+TMTVMATGAPCSAGPLLRPSVALEAAGRGATLLQLGPLSAQVRPGGEERSLAGLGPLVSV
+GRQLEVHHTHTTNTPTVARSAMGAGEPHELLGAPTLVYESSASASVTAAALEALLCPSAA
+VTQVCSNPPCETHETGTTHTPTTATSGGAAGQPEGGQQPPASRPCETHQTASTGTTMSVS
+LGALLPDAAPSHRTLESSLEVAVPPAVAPQAGASLLTPFPTQRVCSNPPCETHETGTTHT
+ATTVTSNMSSNQDPPPPAGDQGEVESTQGDSVNIASSSPITTTVSSTLTRAVTTVTQSTP
+VPGPSVPKISSVTETAPGALTTEVPIPATITVTIANTETSDMPFSAVDILQPPEELQASP
+GPRQQLPPRQLLQPASAPLVGDSAEVLSASQSPELQAAVDLSSTGDPSSGQEPASSAVVA
+TVVVQPPPPTQSEVDQLSLPQELMAEAQAGTTTLMVTGLTPEELAVTAAAEAAAQAAATE
+EAQALAIQAVLQAAQQAVMGTGEPMDTSEAAAAVTQAELSHLSAEGQEGQATTIPIVLTQ
+QELAALVQQQQLQEAQAQQQQHHLPTEALAPADSLNDPTIESNCLNELAGAVPSTVSLLP
+PTATESLAPSNTFVAPQPVVVASPAKLQAAATLTEVANGIESLGVKPDLPPPPSKAPVKK
+ENQWFDVGVIKGTNVMVTHYFLPPEDAVPTDDDSGTVPDYNQLKKQELQPGTAYKFRVAG
+INACGRGPFSEISAFKTCLPGFPGAPCAIKISKSPDGAHLTWEPPSVTSGKIIEYSVYLA
+IQSAQAGGETKSSTPAQLAFMRVYCGPSPSCLVQSSSLSNAHIDYTTKPAIIFRIAARNE
+KGYGPATQVRWLQETSKDSSGAKPASKRPMSSPEMKSAPKKSKADGQ
+>tr|A0A3Q1M035|A0A3Q1M035_BOVIN Ubiquitin specific peptidase 28 OS=Bos taurus OX=9913 GN=USP28 PE=3 SV=1
+MTAELQQDDAAGATDRHGSSCQMLLNQLREITGIQDPSFLHEALKASNGDITQAVSLLTD
+ERVKEPSQETAAEPSEEEGSAASKEELAKVIDLTHDSKDDLQAAIALSLLESPKIQADGR
+DLNRMHEATSAETKRSKRKRCEVWGENPNPNDWRRVDGWPVGLKNVGNTCWFSAVIQSLF
+QLPEFRRLVLSYSLPQNVLENCPSHTEKRNIVFMQELQYLFALMMGSNRKFVDPSAALDL
+LKGAFRSPEEQQQDVSEFTHKLLDWLEDAFQLAVNVNSNPRNKSENPMVQLFYGTFLTEG
+IREGKPFCNNETFGQYPLQVNGYRNLDECLEGAMVEGDIELLPSDHSVKYGQERWFTKLP
+PVLTFELSRFEFNQSLGQPEKIHNKLEFPQIIYMDRYMYRSKELVRSKRDCIRKLKEEIK
+ILQQKLERYVKYGSGPARFPLPDMLKYVIEFASTKPASESSASQSDACMTEPLSSAHCLT
+SDLTSKESTSEESTSQEAEGTFSSSEDSGRKSRMAEQPLKPPRSSVEMPAHPAPRTVTDE
+EINFVKTCLQRWRNEIEQDIQDLKNSIASTTQTIEQMYCDPLLRQVPYRLHAVLVHEGQA
+NAGHYWAYVYNQPRQVWLKYNDISVTESSWEELERDSYGGQRNVSAYCLMYINDKLPHFN
+AEAAPNELDQMSGEVEALSVELKHYIQEDNWRFEQEVEEWEEEQSCKIPQMASSTSSASQ
+DFSPSQESSVASSHGARCLSSEHAVIVKEQTAQAIANTAHAYENSGVEAALSEAFHEEYS
+RLYQLAKETPTSHSDPRLQHVLIYFFQNEAPKRVVERTLLEQFADKNLSYDERSISIMKV
+AQAKLKEIGPDDMNMEEYKKWHEDYSLFRKVSVYLLTGLELYQKGKYQEALSYLVYAYQS
+NATLLMKGPQRGVKESVIALYRRKCLLELNAKAASLFETNDEHSVTEGINVMNELIIPCI
+HLIINNDISKDDLDAIEVMRNHWCSYLGQDIAENLQLCLGEFLPRLLDPSAEIIVLKEPP
+TIRPNSPYDLCSRFAAVMESIQGVSAVTVK
+>tr|G3X833|G3X833_BOVIN HIG1 domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MSSDTDISLSSYDEDQGSKLIRKAREAPFVPIGMAGFAAIVAYGLYRLKSRGHTKMSVHL
+IHMRVAAQGFVVGAMTLGRFLQDIFKFLVPHEWISL
+>tr|A0A3Q1M267|A0A3Q1M267_BOVIN Speckle type BTB/POZ protein like OS=Bos taurus OX=9913 GN=SPOPL PE=4 SV=1
+MVKYSPVWDIAYILEDCNKVAMSREPTPPLSGDMSIGPIAESWCYTQVKVVKFSYMWTIN
+NFSFCREEMGEVLKSSTFSSSPSDKMKWCLRVNPKGLDDESKDYLSLYLLLVSCPKSEVR
+AKFKFSLLNAKREETKAMESQRAYRFVQGKDWGFKKFIRRDFLLDEANGLLPDDKLTLFC
+EVSVVQDSVNISGHTNTNMLKVPECRLAEDLGNLWENTRFTDCSFFVRGQEFKAHKSVLA
+ARSPVFNAMFEHEMEESKKNRVEINDVDPEVFKEMMRFIYTGKAPNLDKMADNLLAAADK
+YALERLKVMCEEALCSNLSVENVADTLVLADLHSAEQLKAQAIDFINRCSVLRQLGCKDG
+KNWNSNQAADIMETSGWKSMIHSHPHLVAEAFRALASAQCPQFGIPRKRLKQS
+>tr|F1MER4|F1MER4_BOVIN Vitrin OS=Bos taurus OX=9913 GN=VIT PE=4 SV=2
+MSSSVIFLHFLHVVLLVTGIQSNQEMTKKMKRPKFTVPQISCDVRAGKITNVEFIVKCPP
+GCQDPRYHVYGADVYASYSSVCGAAVHSGVLDNSGGKILVRKVAGQSGYKGSYSNGVQSL
+SLPRWRESFVVSEGKPQKGVTYPSSLTYSSSKSPAAKAGETARADQSPPVPGTAAQPVTV
+TQAPGTTAIEATHTTLPKPSPSAGSTASGLRPQPAGQRSKDLGEPALWKPESVLLDAGFV
+PKEELSTQSLEPASQGDPSCKVDLSFLIDGSSSIGKRRFRIQKQFLTDVAQTLDIGPAGP
+LMGVVQYGDNPATQFNLKTHMNSQDVKAAIEKISQRGGLSNAGRAISFVTKNFFSKFNGN
+RGGAPNVAVVMVDGWPTDKVEEASRLARESGVNIFFITIEGASENEKQYMLEPNFANKAV
+CRTNGFYSLTVQNWFSLHKTVQPLVKRVCDTDRLACSKTCLNSADIGFVIDGSSSVGTSN
+FRTVLQFVANLSREFEISDTDTRIGAMQYTYEQRLEFGFDEYSTKSDVLNAIKRVGYWSG
+GTSTGAAIHYALEQLFKKSKPNKRKLMILITDGRSYDDIRIPAMLAHHKGVITYAIGVAW
+AAQDELDIIATHPARDHAFFVDEFDNLYKVVPKVIQNICTEFNSQPRN
+>tr|A0A3S5ZP70|A0A3S5ZP70_BOVIN GULP PTB domain containing engulfment adaptor 1 OS=Bos taurus OX=9913 GN=GULP1 PE=4 SV=1
+LQRNFVRKKEQNYSYFSRIMTFLTFSNSKPRFLGSTEVEQPKGTEVVRDAVRKLKFARHI
+KKSEGQKIPKVELQISIYGVKILEPKTKEVQHNCQLHRISFCADDKTDKRIFTFICKDSE
+SNKHLCYVFDSEKCVSMPDVLGWFALLCKPGIVLFPALVKYLEMNKVCKYLTSQIDSSCH
+ENMLQDFIPK
+>tr|A0A3Q1M9P1|A0A3Q1M9P1_BOVIN WD repeat-containing protein 70 OS=Bos taurus OX=9913 GN=WDR70 PE=4 SV=1
+MERPGPSDGSDASGPDPQLAVTMGFTGFGKKARTFDLEAMFEQTRRTAVERSRKTLEARE
+REEEMNREKELRRQNEDLEPTSSGSNVARACSKSSSRDTSSSESDESSDSSDDELIGPPL
+PLKMVEEPVNPMEEGVLGPLPPPLAEDVEEEDDDDGDSEEEENPVRKIPDSHEITLKHGT
+KTVSALGLDPSGARLVTGGYDYDVKFWDFAGMDASFKAFRSLQPCECHQIKSLQYSNTGD
+MILVVSGSSQAKVIDRDGFEVMECIKGDQYIVDMANTKGHTAMLHTGSWHPKIKGEFMTC
+SNDATVRTWEVENPKKQKSVFKPRTMQGKKVIPTTCTYSRDGSLIAAACQNGSIQIWDRN
+LTVHPKFHYKQAHDPGTDTSCVTFSYDGTVLASRGGDDTLKLWDIRQFNKPLFSASGLPT
+MFPMTDCCFSPDDKLIVTGTSVQRGCGSGKLVFFERRTFQRVYEIDITDASVVRCLWHPK
+LNQIMVGTGNGLAKVYYDPNKSQRGAKLCVVKTQRKAKQAETLTQDYIITPHALPMFREP
+RQRSTRKQLEKDRLDPLKSHKPEPPVAGPGRGGRVGTHGGTLSSYIVKNIALDKTDDSNP
+REAILRHAKAAEDNPYWVSPAYSKYLEAWVADWTRPRSARHS
+>tr|A0A3Q1M168|A0A3Q1M168_BOVIN Alpha-1,4 glucan phosphorylase OS=Bos taurus OX=9913 GN=PYGL PE=1 SV=1
+MAKPLTDQEKRRQISIRGIVGVENVAELKKGFNRHLHFTLVKDRNVATPRDYFFALAHTV
+RDHLVGRWIRTQQYYYEKCPKRVYYLSLEFYMGRTLQNTMINLGLQNACDEAIYQLGLDM
+EELEEIEEDAGLGNGGLGRLAACFLDSMATLGLAAYGYGIRYEYGIFNQKIRDGWQIEEA
+DDWLRHGNPWEKARPEFMLPVHFYGRVEHTEAGTKWTDTQVVLALPYDTPVPGYLNNTVN
+TMRLWSARAPNDFNLRDFNVGDYIQAVLDRNLAENISRVLYPNDNFFEGKELRLKQEYFV
+VAATLQDVIRRFKASKFDSSNSTKTAFDAFPDQVAIQLNDTHPSLAIPELMRIFVDIEKL
+PWSKAWEITQKTFAYTNHTVLPEALERWPVELVEKLLPRHLQIIYEINQKHLDKIAALFP
+KDVDRLRRMSLIEEEGGKRINMAHLCIVGSHAVNGVAKIHSDIVKTQVFKDFSELEPDKF
+QNKTNGITPRRWLLLCNPGLAELIAEKIGEDYVKDLSQLTKLNSFLGDDIFLREISNVKQ
+ENKLKFSQFLEKEYKVKINPSSMFDVQVKRIHEYKRQLLNCLHVVTMYNRIKKDPKKLFV
+PRTVIIGGKAAPGYYMAKLIIKLITSVAEVVNNDPVVGSKLKLIFLENYRVSLAEKVIPA
+TDLSEQISTAGTEASGTGNMKFMLNGALTIGTMDGANVEMAEEAGEENLFIFGMRIEDVA
+ALDKKGYEAKEYYEALPELKLAIDQIDKGFFSPKQPDLFKDLVNMLFYHDRFKVFADYEA
+YVKCQEKVSQLYMNPKAWNIMVLKNIAASGKFSSDRTIKEYARDIWNMEPSDIKISLSSD
+PSGGANKANGKASGNGASR
+>tr|G3N3C0|G3N3C0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=2
+LTKRTLSFTALVRCPFFLAALTMSDKNQITARVSLIKQLMSQRSFEELGHHLTVLETLHV
+TPEHLQETGVVRAVYRVLKNCPTGALKQKAKRLLSEWKVLYKDTLCKPEGSPKRFPLGGS
+QEENRVLPPDPHQEEVRGGSRYNSLFTSQDVAGAVETIVPEDSCGSVEPKAVPLSARDPQ
+STDLAANGQQDRIVPVRARCTELLYEALTASSPGQPRAHVWPNLAQEIEAHVFALHPKNL
+QKYKTCIRSKVANLKKPHNSHLQQNLLSGTMSPREFAEMTAMEMASQELKQLRASYMKSA
+LRKHYLPQVVEGTDNKFQ
+>tr|A0A3Q1MPS8|A0A3Q1MPS8_BOVIN Collagen alpha-2(IV) chain OS=Bos taurus OX=9913 GN=COL4A2 PE=4 SV=1
+PWGPCRKVSAPLKRWLSGSHCLIGVKKLDVPCGGRDCSGGCQCYPEKGGRGQPGPVGPQG
+YTGPPGLQGFPGLQGRKGDKGQRGAPGITGPKGDVVRMGPWALLCGGGGGSWPGPQGPKG
+QKGEPYALSSEDRDKYRVSLQGRGMTEPHGPPGRPGPVGQMGPVGAPGRPVSSRLTCSPS
+WVPGQRSFHRGVSVSVPGVRGEPGSPGAPGEPGDRGVPGDPGLPGRPGTTIGDEDEKRGL
+PGEMGPKGFTGEQGFPALYPGPPGTDGQPGLRGPPGLPGPPGPDGFLFGLKGEKGSGGFP
+GSSGFPGARGQKGWKGDAGDCKCADQFIRGPPGLPGPKGFAGANGQPGSKGSQGDPGQHG
+VPGFAGFKGAPGNVGPPGPKGMKGDSRTITTKGERGQPGVPGAPGLKGSDGIPGPPGLDG
+FHGLPGPPGDGIKGPRGDTGQPGAPGTKGLPGERGPPGLGLPGPKGERGFPGDAGLPGPP
+GFPGPPGLPGSPGQIDCDSGVKRPIGADGQETIQPGTVPTGPLETVYRVCAQGERPGVPP
+GARSAKGLRGIPGFSGADGAPGLKGLPGDPGREGFPGPPGFMGPRGSKGAVGPPGLDGLP
+GASGLPGPVGPPGDRGLPGEVLGAQPGPRGDSGLPGRPGPKGPPGERGPPGFRGSQGMPG
+MPGQKGQPGSPGLSGQPGLPGPPGQHGFPGAPGREGPLGPPGAPGFQGLPGDRGEPGDTG
+VPGPVGMKGGSGDRGDPGQQGERGHPGHPGFKGVSGMPGAPGLKGARGSPGMDGFEGMLG
+LKGRPGLPGIKGEAGFFGIPGLKGLAGEPGVKGSRGDPGPPGPPPLIEPGMKDIKGEKGD
+EGPMGLKGYLGLKGLPGMPGIPGLSGIPGLPGRPGQIKGVKGDTGIPGVPGSPGFPGVPG
+SPGIMGFQGFTGSRGDKGVPGRAGLFGEVGPTGDFGDIGDTIDLPGSPGLKGERGTTGIP
+GQKGFFGERGTEGDIGFPGITGLAGVQGPPGFKGQKGFPGLTGLQGPQGDPGRAGAPGIK
+GDSGWPGNPGLPGKRNPPVPDTMPAERCADVHGDPGFPGPAGDKGDPGEPNTLPGPTGAP
+GQKGERGAPGERGPIGSPGLQGFPGITPLSNISGSPGDVGAPGIFGLEGYRGPPGPPGPA
+ALPGSKGDEGSPGTPGSPGTKGWIGDPGPQGRPGVFGLPGEKGPKGEPGFMGNIGPTGSP
+GDRGPKGPKGDRGLPGAPGAVGTPGITGIPQRIAIERGPVGPQGRRGPPGAQGEMGPQGP
+PGEPGFRGAQGKAGPQGRGGVSAIPGFRGDQGPVGLQGPVGFEGEPGRPGSPGLPGMPGR
+SISIGYLLVKHSQTDKEPMCPVGMNKLWSGYSLLYFEGQEKAHNQDLGLAGSCLARFSTM
+PFLYCNPGDVCYYASRNDKSYWLSTTAPLPMMPVAEEDIRPYISRCSVCEAPAVAIAVHS
+QDVSIPHCPAGWRSLWIGYSFLMHTAAGDEGGGQSLVSPGSCLEDFRATPFIECNGARGT
+CHYYANKYSFWLTTIPEQSFQGTPSADTLKAGLIRTHISRCQVCMKNL
+>tr|A0A3Q1LJX4|A0A3Q1LJX4_BOVIN B2-adapt-app_C domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MTDSKYFTTNKKGEIFELKAELNNEKKEKRKGAVKKLIAAMTVGKDVSTLFPDIVNYMQT
+DNLELKKLVYLYLMNYAKSHFVKDCKDPNPLTQALAVRTMQCIRMNKITEYLCEPLCKCL
+KDDDPYVQKTAAVCVAVKNKTDVIYFSCLIPLNVLFAQDGKMELQVFLATWKDIPIENKL
+QFQIKECHLNIDTVPSKLRNNVYTIAKRNVEGQDMLYQSLKLTNGIWILAELRIQPGNPN
+YTVLLKWRPPEVSQYIYQVYNSILKN
+>tr|A0A452DI48|A0A452DI48_BOVIN Tubulin beta chain OS=Bos taurus OX=9913 GN=TUBB2B PE=3 SV=1
+MDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELVDSVLDVVRKESESCDCLQG
+FQLTHSLGGGTGSGMGTLLISKIREEYPDRIMNTFSVMPSPKVSDTVVEPYNATLSVHQL
+VENTDETYCIDNEALYDICFRTLKLTTPTYGDLNHLVSATMSGVTTCLRFPGQLNADLRK
+LAVNMVPFPRLHFFMPGFAPLTSRGSQQYRALTVPELTQQMFDSKNMMAACDPRHGRYLT
+VAAIFRGRMSMKEVDEQMLNVQNKNSSYFVEWIPNNVKTAVCDIPPRGLKMSATFIGNST
+AIQELFKRISEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADE
+QGEFEEEEGEDEA
+>tr|A0A3Q1N0L1|A0A3Q1N0L1_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+KEQVFQSPTVVSLEGAVAEISCNHSVSDVYDFLWYLHFPGFAPRLLIKGLRPSQQGRYNM
+TYERFSSSLLILQVQTADAGVYYCALMYTEAGHP
+>tr|A0A3Q1LLV8|A0A3Q1LLV8_BOVIN Echinoderm microtubule associated protein like 4 OS=Bos taurus OX=9913 GN=EML4 PE=4 SV=1
+MDGFAGSLDDSISAASTSDVQDRLSALELRVQQQEDEITVLKAALADVLRRLAISEDHVA
+SVKKSVPSKGQPGLREAISMSCITNGSGTNRKPSHTSSVSIARKETLSSAAKSGTEKKKE
+KPQGQREKKEDSHSNDQSPQIRASPSPQPSSQPLQIHRQTQESKNSTPTKSIKRPSTAEK
+SHNSWENSDDSRNKLLKAVSTSKLISKVIKNTDKHKDVIINQAKMSTREKNSQEGEYIKM
+FMRGRPITMFIPSDVENYDDIRTELPPEKLKLEWVYGYRGKDCRANVYLLPTGEIVYFIA
+SVVVLFNYEERTQRHYLGHTDCVKCLAIHPDKIRIATGQIAGVDKDGRPLQPHVRVWDSV
+SLSTLQIIGLGTFERGVGCLDFSKADSGVHLCVIDDSNEHMLTVWDWQKKSKGAEIKTTN
+EVVLAVGFHPTDANIIITCGKSHIFFWTWSGNSLTKKQGVFGKYEKPKFVQCLAFLGNGD
+VLAGDSGGVILIWSKTTVEPTPGKGPKGVYQISKQIKAHDGSVFTLCQMRNGMLLTGGGK
+DRKIILWDHDLNPEREIEVPDQYGTIRAVAEGKADQFLVGTSRNFILRGTFNDGFQIEVQ
+GHTDELWGLATHPFKDLLLTCAQDRQVCMWNSVEHRLEWTRLLDEPGHCADFHPSGTVVA
+IGTHSGRWFVLDAETRDLVSIHTDGNEQLSVMRYSIDGTLLAVGSHDNFIYLYAVSENGR
+KYSRHGKCTGHSSYITHLDWSPDNKYIMSNSGDYEILYWDIEHGCKLMRNRSECKDINWT
+TYTCVLGFQVFGVWPEGSDGTDINALVRSHNRKVIAVADDFCKVHLFQYPCSKAKAPSHK
+YSAHSSHVTNVSFTHNDSHLISTGGKDMSIIQWKLVEKLPLPQNETLADPTLTKAPPSSI
+ESVVQSDTPTPPPSQPLNETAEEESRISSSPTLLENSLEQTVEPSEDHSEDQSEGGSEDL
+GEPVYEELANELSEEQSKAALPGDQQDPSSLS
+>tr|A0A3Q1M4V0|A0A3Q1M4V0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MGQRSGFPLSHHMNGSLGSGDMDSISKNSPNNMSLSDQPGTPRDDGEMRGNSLNPFQSES
+SSPSMTMNV
+>tr|A0A3Q1M3B8|A0A3Q1M3B8_BOVIN Glutamate-rich protein 2 OS=Bos taurus OX=9913 GN=ERICH2 PE=4 SV=1
+MSTLPMLRVRGPQAGPALEPANFSDRSRFGLWRPTTAQAAHEKESSRQDICCKGEMSKNV
+VIAEQEKNNEHCPEDINDKLSESTDDDGEDTSDEDKEEDSNPNKDTHAPLELMTEFLRAE
+MGHDYHLAKKLCQMILIYEPENPEAKEFFSLIEEMLLMEKAQNLEEDDDESEEDNSESEG
+ESTEDPSEESSDECEDG
+>tr|E1BMB7|E1BMB7_BOVIN Cytochrome b5 heme-binding domain-containing protein OS=Bos taurus OX=9913 GN=LOC521081 PE=4 SV=3
+MTFEEKPEGNGSLVDENQLDLSAKHQANGKPAASGRAKLPARQEANAKCGLPSKSLSTYT
+WQEIQRHNQKTDKWLVINRKVYDVTGWADRHPGGRRVLNHCAGEDATDVFRAMHPDPDIV
+RLYLKPLLIGELAPEEPNQERNKNVSELVEDFQELRRTLETMNMFNANLGFFFLHLAQVL
+ILEALAWVIVWHFGSGWLITIFIYFLLTVAQAQDSFLQHDMGHLSVFKKSKWNRLMQNFV
+IGHLKGFSAKWWNNQHSQHHVKTNIYPKDPDVVFGPFFVVGDLQPVKVCLQILSTREMFQ
+EVVLGKVYTFVLRRSGWRFCLTFKHFFPQDIAWVSTFYIRYFITFGPFYGIFGTLVLIYL
+VKLLESLWVAYVTQMSHIPMKMSTEENQDWFSSQVLATCNVEQSFFNDWFTGHLNFQIEH
+HLFPTMPRHNYHKVAPLVKSLCAKHGLPYVNKPILKAFGDIIR
+>tr|E1BC67|E1BC67_BOVIN Protein phosphatase 1 regulatory subunit 9A OS=Bos taurus OX=9913 GN=PPP1R9A PE=4 SV=2
+MLKTESSGERTTLRSASPHRNAYRTEFQALKSTFDKPKSDGEQKTKEGEGSQQSRGRKYG
+SNVNRIKNLFMQMGMEPSENAAVMARTRGRGGPSSPQRRMKPREFLEKTDGSVVKLESSV
+SERISRFDTMYDGPSYSKFTETRKMFERSVHESGQNNRYSPKKEKAGGSEPQDEWGGSKS
+NRGSTDSLDSLSSRTEAVSPTVSQLSAVFENTDSPSAIISEKAENNEYSVTGHYPLNLPS
+VTVTNLDTFGHLKDSNSWSPPSKHSDDAEDAQKRSTSPGLEVASKSTSLASVPSEEAQQS
+KAAEDPASNREAPGRTDRDTPEEPGAESEAVPEPEIPSPQNEPSEDAEARLVESEAAMQQ
+RRELAGGDFTSAEVSRFTCEKEVFEEPHHFGSSHVYMHSDYNVYRVRSRYNSDWGETGTE
+QEEQEDSDENNYCQPDVECSEIAGLPEEEDIPANRKIKFSSAPIKVFSTYSNEDYDRRND
+EVDPVAASAEYELEKRVEKLELFPVELEKDEDGLGISIIGMGVGADAGLEKLGIFVKTVT
+EGGAAQRDGRIQVNDQIVEVDGISLVGVTQNFAATVLRNTKGNVRFVIGREKPGQVSEVA
+QLISQTLEQERRQRELLEQHYAQYDADDDENTVAELQGVSGNCNNNNNYFLKTGEYATDE
+EEDDVGPVLPGGDMAIEVFELPENEDVFSPSDLDTSKLSHKFKELQIKHAVTEAEIQKLK
+TKLQAAENEKVRWELEKTQLQQNIEENKERMMKLESYWIEAQTLCHTVNEHLKETQSQYQ
+ALEKKYNKAKKLIKDFQQKELDFIKRQEAERKKIEDLEKAHLVEVQGLQVRIRDLETEVF
+RLLKQNGTQVNNNNNIFERRTSLGEVSKGDTLENLDIKQTSCQDGLSQDFNEAVPETERL
+DSKVLKTRAQLSLKNRRQRPSRTRLYDSVSSTDGEDSLERKNFTFNDDFSPSSTSSADLS
+GLGAEPKTPGLSQSLVLSSDESLDMIDDEILDDGQSPKHSQYPNRAVHEWSVQQVSHWLM
+SLNLEQYVSEFSAQNITGEQLLQLDGNKLKALGMTSSQDRAVVKKKLKEMKVSLEKARKA
+QEKMEKQREKLRRKEQEQMQRKSKKTEKMAAATEGASEQ
+>tr|A0A3Q1LUA6|A0A3Q1LUA6_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MGLIKDRNGMDLTEAEDIKKRWQEYTEELYRKDLHDPDNHDSVITDLEPDILECEVQWAL
+ESITTNKASGGDGIPVELFQILKDDAVKVLHSICQQIWKTQQWPQDWKRSVFIPIPKKGN
+AKECSNYCTIALISHTSKVMLKILQARLQQYVNHELPDVQAGFRKGRGTRDQIANIRWIM
+EKAREFQKNIYFCFIDYAKAFDCVDHNKLWKILKEMGIPDHLTCLLRNLYAGQEATVRTG
+HGTTDCFQIGKGIRQGCILSPCLFNFYVEYIMRNAGLEETQAGIKIARRNINNFRYADDT
+TLMAESEKELKSLLMKVKVESEKVGLKLNIQKTQIMASSPITSWEIDGETVETVSDFIFS
+GSKITADGDCSHEIKRRLLLGRKVMTNLDSIFKSRDIPLPTKVRLVKAMVFPVVMYGCES
+WTVKKADHQRIDAFELWCWRRLLRVPWTARRSNQSILKEISPGISLEGMMLKLKLQYFGH
+LMLRVDSLEKTLMLGGIGGRRRRGRQRMRWLDGITDSMDVSLSELRELVMDREAW
+>tr|A0A3Q1MFX5|A0A3Q1MFX5_BOVIN Myotubularin related protein 3 OS=Bos taurus OX=9913 GN=MTMR3 PE=4 SV=1
+MDEETRHSLECIQANQIFPRKQLIREDENLQVPFLELHGESTEYVGRAEDAIIALSNYRL
+HIKFKESLVNTASQSAASEIPIPLQLIESVECRDIFQLHLTCKDCKVIRCQFSTFEQCQE
+WLKRLNNAIRPPAKIEDLFSFAYHAWCMEVYASEKEQHGDLCRPGEHVTSRFKNEVERMG
+FDMNNAWRISNINEKYRLCGSYPQELIVPAWITDKELESVAGFRSWKRIPAVVYRHQSNG
+AVIARCGQPEVSWWGWRNADDEHLVQSVAKACASDSRSGGSKPSARNSPRDFPCAGDLSD
+VEFDSSLSNASGAESLAIQPQKLLILDARSYAAAVANRAKGGGCECPEYYPNCEVVFMGM
+ANIHSIRRSFQSLRLLCTQMPDPGNWLSALESTKWLHHLSVLLKSALLVVHAVDRDQRPV
+LAHCSDGWDRTPQIVALAKLLLDPYYRTIEGFQVLVEMEWLDFGHKFADRCGHGENSDDL
+NERCPVFLQWLDCVHQLQRQFPCSFEFNEAFLVKLVQHTYSCLFGTFLCNNAKERGEKHT
+QERTCSVWSLLRAGNKAFKNLLYSSQSEAVLYPVCHVRNLMLWSAVYLPCPSPSTPVDDS
+CAPYPAPGCSPDDPPLSRLPKTRSFDNLTTACDNTVPLASRRSSDPSLNEKWQEHRRSLE
+LSTLAGPGEEPVEPDSLGKPTKVLGGAELSVAAGVAEGQMENILQEATKEESGVEEPAHR
+GVQEVKEEALLEEGSRGKSPEGSARELDQQPEVGEASLRSHPGTSLSGLSQGVPEQGGHS
+VLPSSLQEPPREEGSQEVPMEPSQIGATAEDREEAVLPVSADVAVDSGTSQSSSLPSQVS
+FETRGPNMDGSVDMLMEDKVKSDSGPQGHHRPGPVHSGWLGGKDVLPPAVEPRPAERPQL
+GPVVHRTSPGSARSPAHSPSALPLAECKEGLVCNGAPETENKASEQPPGLSTLQKYPTPN
+GHCANGETGRSKDSLSRQLSATSCSSAHVHTRSLHPKWLHGHSGRPSAAGSPEQPSRSHL
+DDDGMPVYTDTIQQRLRQIESGHQQEVETLKKQVQELRSRLESQYLTSSLRFNGDFGDEV
+MTRWLPDHLAAHCYACDSAFWLASRKHHCRDTDRVDQTWNCGNVFCSSCCNQKVPVPSQQ
+LFEPSRVCKSCYSSLHPTSSSIDLELDKPIAATSN
+>tr|A0A3Q1M3D3|A0A3Q1M3D3_BOVIN Centrosomal protein 131 OS=Bos taurus OX=9913 GN=CEP131 PE=4 SV=1
+MKGSRALSGPEGSLEGVDLSLTGLPPPMNRRPNSASATKPITRSISVVTGNEPRRKLLEN
+PGPGGSRAINNLRRSNSATQVNQPQANQAWPSPLRPAEPPDFLTLFEGSPSGRKRPASLS
+KASSEKGATWNVLDDRPRAFTLPSDAQSPGALDVPVGPRRRECTVPLAPNFTANNRSNKG
+AVGNCVTTMVHNHYTPSERVPPPPKSSNRTAPSLNNIIKAATDEGEGSSLGKPQKNVARS
+NHVVQNNSGGTTGLLRRKEVTEEEAERFIHQVNQAAVTIQRWYRHQVQRRRAGAARLERL
+LASKREGQRQRLGEGSLLDQHQQREAARRKAREEKARQARRAAIQELQQKRAQKSGNADL
+GLLKGMGETEKPQPTQEPAMRPGSTTQQTRKANNTGASFHTAGSEDAGQPALGSSPEPRQ
+LSEDKPQDASLQDVPGEGLEGMGRAGSRAKSKATLDELLDTLKLLEQEPEPLPCPRAYHK
+DKYAWTDEEDDASSLTADNLEKFGKLSAAAGPPEDGTLLSEAKLQSIMNFLDEMEKSGQG
+RPASAPQGPMPEEGLGRLEPTSEVSTSVMRLQLEVEEKKQAMVLLQRALAQQRDLTFRRV
+KETERELGRQLRQQKEHYEATIRRHLSFIDQLIEDKKALGEKCEALVAELKLGDQRRKDR
+EAQLQEQHELEIKKLKELMSATEKVRREKWINEKTRKIKEITVKGLEPEIQKLIAKHKQE
+VKKLKGLHATELLQAEERAAQHYGRQAEELREHLEREKEALGRQEWERAQQRFEQHLEQE
+QRALQQQRRRLYNEVAEEKERLGQQAARQRAELDELRRQLEESSSAGGRALRAEFEKGKE
+EQERRHQMELKALKDQLEVERQMWEANSAKKEEAWLLNRERELKEEIRKGRDKEIELVIH
+RLEADMTQAREESERAAENRVKRLRDKYEAELSELEQSERKLQERCAELKGRLGEAEGEN
+VRLQGLVRQKEKELADVKAVNEQLTGERSSLAQVLRQEFADRLATSEEENRQTKAELAEL
+RARQRLELEQLTREKQAELEEVHGRVKMALAKKEEAVSSLRKQHQAAMKRAEHLEELLEQ
+CRRPFPSAK
+>tr|F1MMK3|F1MMK3_BOVIN DExD-box helicase 50 OS=Bos taurus OX=9913 GN=DDX50 PE=4 SV=1
+MPGKLLWGDIMELEAPLEETESQRKERQKSDRRKSRHHYDSDEKSETRENGVTDDLDAPK
+PKKAKMKEKLNGDTEEGCDRLSDEFSKSHKPRRKDLSNGDIDEHEKRSKRVSSLNSSTHK
+SSDNKVEETLTREQKEGAFSNFPISEETIKLLKGRGVTYLFPIQVKTFGPVYEGKDLIAQ
+ARTGTGKTFSFAIPLIERLQRNQETIKKSRSPKVLVLAPTRELANQVAKDFKDVTRKLSV
+ACFYGGTSYQSQINHIRNGIDILVGTPGRIKDHLQSGRLDLSKLRHVVLDEVDQMLDLGF
+AEQVEDILHESYKTDSEDNPQTLLFSATCPQWVYKVAKKYMKSRYEQVDLVGKMTQKAAT
+TVEHLAIQCHWSQRPAVIGDVLQVYSGSEGRAIIFCETKKNVTEMAMNPHIKQNAQCLHG
+DIAQSQREITLKGFREGSFKVLVATNVAARGLDIPEVDLVIQSSPPQDVESYIHRSGRTG
+RAGRTGICICFYQPRERGQLRYVEQKAGITFKRVGVPSTMDLVKSKSMDAIRSLASVSYA
+AVDFFRPSAQRLIEEKGAVDALAAALAHISGASSFEPRSLITSDKGFVTMTLESPEEIQD
+VSCAWKELNRKLSSNAVSQITRMCLLKGNMGVCFDVPTTESERLQAEWHDSDWILSVPAK
+LPEIEEYYDGNTSSNSRQRSGWSSGRLGRSGRSGGRSGGRSGRQSRQGSRTGSRQDGRRR
+SGNRNRSRSGGHKRNFD
+>tr|A0A3Q1LN72|A0A3Q1LN72_BOVIN Rho GTPase activating protein 17 OS=Bos taurus OX=9913 GN=ARHGAP17 PE=4 SV=1
+MKKQFNRMKQLANQTVGRAEKTEVLSEDLLQIERRLDTVRSMCHHSHKRLMACFQGQHGT
+DAERRHKKLPLTALAQNMLEASTQLEDSLLGKMLETCGDAENQLALELSQHEVFVEKEIV
+DPLYGIAEVEIPNIQKQRKQLAKLVLDWDSVRARWNQAHKSSGTNFQGLPSKIDTLKEEM
+DEAGNKVEQCKDQLAADMYNFMAKEGEYGKFFITLLEAQADYHRKALAVLEKALPELRAH
+QDKWAEKPAFGTPLEEHLKRSGREIALPIEACVMLLLETGMKEEGLFRIGAGASKLKKLK
+AALDCSTSHLDEFYSDPHAVAGALKSYLRELPEPLMTFNLYEEWTQVASVQDQDKKLQDL
+WRTCQKLPPQNFVNFRYLIKFLAKLAQTSDINKMTPSNIAIVLGPNLLWAKNEGTLAEMA
+AATSVHVVAVIEPIIQHANWFFPEEVEFNVSEAFVPLTTPNSNHSSHTGNDPVDSGTLER
+KRPASMAVMEGDLVKKESPPKPKDSVAAPAPGRNSSQAAPGPSQAQAAAGSHQLSVGPAH
+NSAGPSPHTVRRAVKKPAPAPPKPGNPPPGHPGGQSSPGTSQHPPSLSPKPSTRSPSPPT
+QPPGQAPGQPCATSQLSAPRRYSSSLPPIQAPNHPPPQPPTQAASPSALTKPGSQGPPAP
+GEPGLEQSSHTPPQTPTPPSTPPLGKQNPGQAVSNPETAQPHAGTLPRPRPVPKPRNRPN
+VPPPPHPPGAHAAGDGNLSIAAPTASKIVTDANSRVSEPLRSIFPEMHSDSASKDLPGRI
+LLDMDNDTESTAL
+>tr|A0A3Q1N9J7|A0A3Q1N9J7_BOVIN Claudin domain containing 1 OS=Bos taurus OX=9913 GN=CLDND1 PE=4 SV=1
+MPSAAPRSFSSVPPTTPTSPLGSGARLGPGWRRRRRRGSYGGGGLCREVRQRRRGESRVL
+WFELEGEAGGAGGWASSLNARMDNRFATAFVIACVLSLISTIYMAASIGTDFWYEYRSPV
+QENSSDLNKSIWNDFASDEADEKTYSDALFRYNGTVGLWRRCITIPQNTYWYSPPERTES
+FDMVTKCMSFTLNEQFMEKFVEPGNHNSGIDLLRTYLWRCQFLLPFVSLGLMCFGALIGL
+CACICRSLYPTIATGILHLLAGLCTLGSVSCYVAGIELLHQKLELPENVSGEFGWSFCLA
+CVSAPLQFMASALFIWAAHTNRKEYTLMKAYRVA
+>tr|A0A3Q1NC41|A0A3Q1NC41_BOVIN Acetoacetyl-CoA synthetase OS=Bos taurus OX=9913 GN=AACS PE=4 SV=1
+MSKEPRAGREEILECQVMWEPDSKKNTQMDRFRAAVGAACGLALENYDDLYHWSVESYSD
+FWAEFWKFSGIVFSRMYDEVVDTSKGIADVPEWFKGSRLNYAENLLQHKENDRVALYVAR
+EGKEEIVKVTFEELRQQVALFAAAMRKMGVRRGDRVVGYLPNSAHAVEAMLAAASIGAIW
+SSTSPDFGVNGVLDRFSQIQPKLIFSVEAVVYNGKEHSHMDKLQRVVKGLPDLKKVVLIP
+YISSREKIDISKIPNSVFLEDFLATGQGDQAPQLEFEQLPFSHPLFIMFSSGTTGAPKCM
+VHSAGGTLIQHLKEHILHGNMTSSDIILYYTTVGWMMWNWVVSALATGAAVVLYDGSPLV
+PTPNVLWDLVDRIGITILGTGAKWLSVLEEKDMRPVETHSLQTLHTILSTGSPLKAQSYD
+YVYRCIKSSVLLGSISGGTDIVSCFMGQNPSIPVYKGEIQARNLGMAVEAWNEQGKAVWG
+ESGELVCTKPLPCQPTHFWNDENGSKYRKAYFSKFPGVWAHGDYCRINPKTGGIVMLGRS
+DGTLNPNGVRFGSSEIYNIVEAFEEVLDSLCVPQYNKDGEERVILFLKMASGHTFRPDLV
+KRIREAIRLGLSARHVPSLILETQGIPGSGQNWPHLRRMPILVCCRCWNRLPHT
+>tr|A0A3Q1M3U5|A0A3Q1M3U5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+APSGAPRGVFFPLVSCVSSPSDESTVALGCLARDFVPNSVSFSWKFNNSTVSSERFWTFP
+EVLRDGLWSASSQVVLPSSSAFQGPDDYLVCEVQHPKGGKTVGTVRVVPRGEPGSPACKQ
+DRSPVVGRRLPSALLEATESRGPWGEGRPGARRVPLASPQPHRPPTKTPTPLLPLPAECQ
+NHTQAPRVHLLPPTPQGLWLLDKAEFTCLATGEAPLDAHFSWEVNGQPHGGALEEGPTRH
+INSSWSQSSRLALPRSLWASGSNVTCTLSSPGLQSPVTLTAQREHAASVPGNLTLRTVTA
+PGPFSPAWLLCEVSGFSPVDILLTWLEGQQEVEPSQFATAHTTAQAGRASSHTWSVLRVS
+SPLDHAGATYTCVVSHEASRTLLNGSCSLDTGGESHGPGMKTANKARPQVTQTLC
+>tr|A0A3Q1MBH9|A0A3Q1MBH9_BOVIN DS cell adhesion molecule OS=Bos taurus OX=9913 GN=DSCAM PE=4 SV=1
+CTPLLLFGVSQKLSLNSENLSLGSRFLITSTGALYIKDVQNEDGLYNYRCVTRHRYTGET
+RQSNSARLFVSDPANSAPSILDGFDHRKAMAGQRVELPCKALGHPEPDYRWLKDNMPLEL
+SGRFQKTMTGLLIENTRPSDSGSYVCEVSNRYGTAKVIGRLYVKQPLKATISPRKVKSSV
+GSQVSLSCSVTGSEDQELSWYRNGEILNPGKNVRITGINHENLIMDHMVRSDGGAYQCFV
+RKDRLSAQDFVQVVLEDGTPKIISAFSEKVVSPAEAVSLMCNVKGTPLPTITWTLDDDPI
+LKGGSHRISQMITSEGNVVSYLNISSSQVRDGGVYRCTANNSAGVVLYQARINVRGPASI
+RPMKNITAIAGRDTYIHCRVIGYPYYSIKWYKNSNLLPFNHRQVAFENNGTLKLSDVQKE
+VDEGEYTCNVLVQPQLSTSQSVHVTVKVPPFIQPFEFPRFSIGQRVFIPCVVVSGDLPIT
+ITWQKDGRPIPASLGVTIDNIDFTSSLRISNLSLMHNGNYTCIARNEAAAVEHQSQLIVR
+VPPKFVVQPRDQDGIYGKAVILNCSAEGYPVPTIVWKFSKGAGVPQFQPIALNGRIQVLS
+NGSLLIKHVVEEDSGYYLCKVSNDVGADVSKSMYLTVKIPAMITSYPNTTLATQGQKKEM
+SCTAHGEKPIIVRWEKEDRIINPEMARYLVSTKEVGEEVISTLQILPTVREDSGFFSCHA
+INSYGEDRGIIQLTVQEPPDPPEIEIKDVKARTITLRWTMGFDGNSPITGYDIECKNKSD
+SWDSAQRTKDVSPQLNSATIIDIHPSSTYSIRMYAKNRIGKSEPSNELSITADEAAPDGP
+PQEVHLEPISSQSIRVTWKAPKKHLQNGIIRGYQIGYREYSTGGNFQFNIISIDTTGDSE
+IYTLDNLNKFTQYGLVVQACNRAGTGPSSQEIITTTLEDVPSYPPENVQAIATSPESISI
+SWSTLSKEALNGILQGFRVIYWANLMDGELGEIKNVTTTQPSLELDGLEKYTNYSIQVLA
+FTRAGDGVRSEQIFTRTKEDVPGPPAGVKAAAASASMVFVSWLPPLKLNGIIRKYTVFCS
+HPYPTVISEFEASPDSFSYRIPNLSRNRQYSVWVVAVTSAGRGNSSEIITVEPVAKAPAR
+ILTFSGTVTTPWMKDIALPCKAVGDPSPAVKWMKDSNGTPSLVTIDGRRSVFSNGSFVIR
+TVKAEDSGYYSCIANNNWGSDEIILNLQVQVPPDQPRLTVSKTTSSSITLSWLPGDNGGS
+SIRGYILQYSEDNSEQWGSFPISPSERSYRLENLKCGTWYKFTLTAQNGVGPGRISEIIE
+AKTLGKEPQFSKEQELFASINTTRVRLNLIGWNDGGCPITSFTLEYRPFGTTVWTTAQRT
+SLSKSYILYDLQEATWYELQMRVCNSAGCAEKQTNFATLNYDGSTIPPLIKSVVQSDEGL
+TTNEGLKMLVTISCILVGVLMLFVLLLVVRRRRREQRLKRLRGERSKNTRTSDTLSKQQQ
+TLRMHIDIPRAQLLIEERDTMETIDDRSTVLLTDADFGEAAKQKSLTVTHTVHYQSVSQA
+TGPLVDVSDARPGTNPTTRRNAKAGPTARNRYASQWTLNRPHPTVSTHTLTTDWRLPTPR
+AAGSMDKESDSYSVSPSQDTDRARSSMVSTESASSTYEELARAYEHAKMEEQLRHAKFTI
+TECFISDTSSEQLTAGTNEYTDSLTSSTPSESGICRFTASPPKPQDGGRVMNMAVPKAHR
+PGDLIHLPPYLRMDFLLNRSGPGTSRDPSLGQACLEPQKSRTLKRPPVLEPIPMEAASST
+ASTREGQQWQQGAVATLPQREGAELGQAAKMSSSQESLLDSRGHLKGNNPYAKSYTLV
+>tr|E1BJ35|E1BJ35_BOVIN Glial cells missing homolog 2 OS=Bos taurus OX=9913 GN=GCM2 PE=4 SV=3
+MPAPEAQLADCAWSYGMKLSWDINDPQMPQKPAHFDRFCEWPDGYVRFIYSSDEKKAQRH
+LSGWAMRNTNNHNGHILKKSCLGVVVCAQDCALPDGSRLQLRPAICDKARLKQQKKPCPN
+CHSALELIPCRGHSGYPVTNFWRLDGNAIFFQAKGVHDHPRPESKSETEARRSAIKRQMA
+SFYQPQKRRIREPEAGENQDHSRHFNNIPSLENPEGLDVIPDAGFPVSGQHCLSFPNSDA
+CKATCDLTTFQGDIVPPFQKYSNPRIYLPRPPCSYELAGPGYANSSPYPTFYKDAGSIPK
+DSDWVQLNALPYNVNTYSSFERSCDFTSNQHSWKPALGKAGPGERMDQGQFPVEATHPQH
+TPQPPCRYLATAPPAAPALQTVITTTTRVSYQACQPPTLKCCDNVRDAKSLSSCDYASEN
+TQMSIYPGALDFPAPVVTTSSPTASLPLKIPRDCWTVRQHSLPYPLGAAPSRTDGAEAWE
+VCSSRLGSAANYSDRISPFFSCDNEDF
+>tr|A0A3Q1LYA1|A0A3Q1LYA1_BOVIN Nectin cell adhesion molecule 2 OS=Bos taurus OX=9913 GN=NECTIN2 PE=4 SV=1
+MARAAALRPLRLSPPTLPLLPLLLLLLRETGAQDVRVQVAAEVRGNLGDTVELPCHLLPS
+APEIRVSQVTWLRLDAPEGKQNVAIFHPQYGRSFPSPKPGKERLSFVNTAPSSGAGQGSE
+LEVRDATLSLRGLTVEDEGNYTCEFATFPKGTSRGVTWLRVIAQPQNHAEKQEVTFNLDP
+VPVARCVSAGGRPPARVSWLSPLDGEAKETQGSGPLPGTVTVTSRYTVTPLSEADGVKVI
+CKVEHESFQEPKLLPVILSVRYPPQVSISGYDDNWYIGRSEATLNCNVRSKPEPTGYDWS
+TTSGVFPASAVAQGPKLIVHSVDRLFNTTFICTVTNAVGTGQAEQVVLVRETPNTAGAGA
+TGGIIGGIIAAIIATAVAATGILICRQQRKEQRLQGAEEEEELEGPPSYKPPTPKAKLEE
+PEMEMPRYHELPTLEERSGPLILGATSLGSPILVPPGPPAVERVSLDLEDEEEEEDYMDK
+INPIYDALSYSGPPESYQGKGFVMSRAMYV
+>tr|A0A3Q1LU27|A0A3Q1LU27_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=RAPGEF6 PE=4 SV=1
+MSLFKLHRLSVIWKNWMFNQESEHVFQVENSKDNEDNILQREIPARQSRRRFRKINCKGE
+RQTITDDVDINSYLSLPADLTKMHIADNPHPQVTHVSSSQSGCSIASDSGSSSLSDIYQA
+TESEVGDVDLTRLPEGPVDSEDEEEEDEDIDRTDPLQGRDLVRECLEKEPADKTDDDIEQ
+LLEFMHQLPAFANMTMSVRRELCSVMIFEVVEQAGAVILEDGQELDSWYVILNGTVEISH
+PDGKVDNLFMGNSFGITPTLDKQYMHGVVRTKVDDCQFVCIAQQDYWRILNHVEKNTHKV
+EEEGEIVMVHEHRELDRSGTRKGHIVIKGTPERLIMHLIEEHSIVDPTYIEDFLLTYRTF
+LASPLDVGTKLLEWFKIDSLRDKVTRIVLLWVNNHFNDFEGDPAMTRFLEEFEKNLEDTK
+MNGHLRLLNIACAAKAKWRQVVLQKASRESPLHFSLSGGSEKGFGIFVESVEPSSKAADA
+GLKRGDQVMEVNGQNFENITFVKALEILRNNTHLALTVKTNIFVFKELLSRTEQEKSGVP
+HIPKIAEKKSNRHSIQDVPGDLEQTSQEKGNKKIKANTVSGGRNKIRKILDKTRFSILPP
+KLFSDGGLSQSQDDSIVGTRHCRHSLAIMPIPGTLSSSSPDLLQPTTSMLDFSNPSDIPD
+QVIRVFKADQQSCYIIISKDTTAKEVVCQAIHEFGLTGASDTYSLCEVSVTPEGVIKQRR
+LPDQFSKLADRIQLNGRYYLKNNMETETLCSDEDAQELVKESQLSMLQLSTIEVATQLSM
+RDFDLFRNIEPTEYIDDLFKLESKTGNTHLKEFEDIVNQETFWVASEILTESNQLKRMKI
+IKHFIKIALHCRECKNFNSMFAIISGLNLASVARLRGTWEKLPSKYDKHFQDLQDLFDPS
+RNMAKYRNILSSQSMQPPIIPLFPVVKKDMTFLHEGNDSKVDGLVNFEKLRMIAKEIRQV
+VRMTSANMDPAMMFRQRSLSQGSTNSNMLDVQGGAHKKRARRSSLLNAKKLYEDAQMARK
+VKQYLSSLDVETDEEKFQMMSLQWEPAYGTLTKNLNEKKSMKSSEMSPVPLRSAGQTTKA
+HLHQPHRGSQVLQVPAVNLHPIRKKGQGKDPATLSTGLPQKILGTAEEVSGGKKHTEDTV
+SVASSLHSSPPVSPQGSPRKGYTLIPSAKSDNLSDSSHSEISSRSSIVSNCSVDSMSTAL
+QDERCSSQAVAVPESTGALEKTEHSSGIGDHSQLGPGWTLSKPSLINKSLAVSSSVSNEE
+ISHEHIIIETADSGRGSWTSCSSSSHDNFQSLPNPKGWEFLNSYRPTHLDGPIAEVEPTD
+CEHYSCPKGCSRTCGQCKGSLETNQMRQSWASSSSLSDTYEPNYGTVKRRVLENTPAESS
+EGLDHKEGIDPVYKTVTSSTEKGLIVYCVTSPKKEDRYREPPPTPPGYMGISLADLKEGP
+HLHLKPPEYSVAVQRSKMMHNSLSRLPPASLSSSPVACVPSKIVIQPQRHKLDVTDGDSE
+ADGMLTNYLNSSQINSLTL
+>tr|A0A3Q1MR32|A0A3Q1MR32_BOVIN Piccolo presynaptic cytomatrix protein OS=Bos taurus OX=9913 GN=PCLO PE=4 SV=1
+MGNEASLEGEGLPEGLAAAAAAAAGGGAGGAGSPLHTVIPAGMEADLSQLSEEERRQIAA
+VMSRAQGLPKGSVTPAAAEPPSMHRKQELDSSQPPKQPGKPPDPGRPIQPGLSKSRTTDT
+LRSEQKLPGRSPSTISLKESKSRTDFKDEHKSSVMPGFLSEVNPLSAVSSVVNKFNPFDL
+ISDPDASQEEASKKQKIAQKEQGKPEGVTKPPSQQQSPKTVPKQPGPVRAPLQQEGSPKS
+GSSQPPEKSKSQPPETGKPAQGFPQAPQTDQAKLPLQRDVSRPQTKQTDPGRGESAKPSL
+QSPSKPPIQQGSPGKSPAQQPGPEKRTIPQPGPAKPLAQQLGTAKPLAQAPGAAKSLVHQ
+PGPQSPAQPPGPAKAPAQQVGTTKPQAQLSGLGKTPPQQPGPTKPPPQQPGPVKPPPQQL
+GPAKPSPQQPGPAKPPPQQPGPAKPPPQQPGPAKPPPQQPGPAKPPPQQPGPAKPPPQQP
+GPAKPPPQQPGPAKPPPQQPGPTKPLPQPPGSAKPPPQQPGPTKPPPQQPGPAKPPPQLP
+GPTKPPPQQPGPAKPSAQQSTKPVSQTGAGKPLQPPTFPSAAQTPVHGLPKTICPLCNTT
+ELLLHVPEKANFNTCTECQTTVCSLCGFNPNPHLTEMKEWLCLNCQMQRALGGNLAPIPS
+SPQPKPKTTPVPASAVSKPPTPSQQVSPKKDTIPKQDVSKVAESKKPPPLVKQPTLHSPH
+PVTSQQPPEAESSSKPVPPEEPSVSSEPAKVSTADDKPEQPKAGKPATDIVSSSSTATRP
+DIPGPKIPSKDQEKTTPPLKPDSAKPSQSFPPTGEKVTPVDSKVIPRPASDTKIISHPGP
+TPESKDQKQVDPIQKKDEPKKAQTKMSPKPDTKPMPKGALTPQGPRPPTGQTAPLSPQPP
+KPQEQSRRFSLNLGSITDAPKSQPTTPQETVTGKLFGFGASIFSQASNLISTAGQPGSHS
+QGGPAAPTKQVPPPSQPPTSQGPPKSTAPPAPAKALPVKKETKAPVIEKLEPKAEQVSTV
+KRTETEKKPLPTKDSKPSTAEPQKVVLPPKLEETPKPESACPLCKTELNISSKDPPNFNT
+CTECKKQVCNLCGFNPTPHLTEIQEWLCLNCQTQRAISGQLGDVGKMPATQAGPKASPLP
+VPAEQPFQETATPAPVKVKKKQQEEKPEAEKTISEKVKETPSGEKIPPKETIDQIREESK
+GEKDKTSAPQEKKSPPEDKKAPSEDKKPPSEDKKPLPEEKKPPLEEKKPTLKDKKPALED
+KKLAPGTKASILEEEQKHDLLKTQVQIAEVKPEGGVPPEAVEEKKPPVMEVEDLPASAPR
+RLPKEDDGMTQKMKVQPQAPGMAKPDQTESGKEKTEKEDDKSDTSSSQQPKSPQGLSDTG
+YSSDGISSSLGEIPSLIPSDEKDLLKGLKKDSFSQESSPSSPSDLAKLESTVLSILEAQA
+STLVEEKSEKKTQPHEVSPEQPKDQQKTQSLVEKLESTVSEEELKESQEEGDTFKKESQQ
+DIPSRKDQEKPEFVDELATRRQPYDSIEDSSESENSPVPQRKGRTSVGSSSSDEYKQEDS
+QGSGEEEDFIRKQIIEMSADEDVSDSEDDEFIRNQLKEISSSIESQKKEETRGKGKGTGG
+KHRRLTRKSSASFDDEAGRRHSWHDEDDETFDESPELKYRETKSQESEELVVAGGGGLRR
+FKTIELNSTIADKYSAESSQKKTALYFDDEPELEMESLTDSPEDRSRGEGSSSLHASSFT
+PGTSPTSVSSLDEDSDSSPSHKKGESKQQRKARHRSHGPLLPTIEDSSEEEELREEEELL
+KEQEKQRELEQQQRKSSSKKSKKDKDELRAQRRRERPKTPPSNLSPIEDASPTEELRQAA
+EMEELHRSSCSEYSPSIESDPEGFEISPEKIIEVQKVYKLPTAVSLYSPTDEQSIMQKEG
+GQKALKSAEEMYEEMMHKSQKYKAFPAASERDEMFEKEPLYGGMLIEDYIYESLVEDTYN
+GSVDSSLLTGQEEENGFLQQREREQNMRLPEQIYEDPMQKITALQKEFYELENLHAVLPQ
+EDIVSSSFIIPESHEIVDLGSMVTSTSEEKKLLDADAAYEELMKRQQMQLTPGSSPTQPL
+IRDDMTESTVDFDRVPDASLTSSVLSGASLTDSTSSTTLSIPDVKITQHFSAEEIEDEYV
+TDYTREIQEIIAHESLILTYSEPSESATSVPPSDTPSLTSSVSSVCTTDSSSPITTLDSM
+TTVYTEPVDIVAKFEDAEEISSSTYFPGSIIDYPEDISIPLDQTTIQDRTSKDHIVISLS
+DIEPSLIESVGTKLGGLIADTVSTDLSISEKDAAKKARKETGDGLILEVLEAYRDSRKES
+EAELTEISLPDTVFDQTPSSVKTLPMKEQVSTTCFLPEEVLGQAKPASQLPSGTPPIFSL
+PTKTRPFLRSSSLDISAQPPPPPPPPPPPPPPPPPPPPPPLPPPTSPKPTIHPRKKLAVT
+APVTATTAATSLVDSVTTVETTSAPRSDGLPMTKVYTTAPPPVPPKPSLIPSGLVFTHRP
+EPSKPPLAPKPAVPQPPVTTPKLTDTYPKPTGLPLTTSMTLNLVTSADYKLPSPTSPLSP
+HSNKSSPRFSKSLMETYVVITLPSEPGTPTDSSPSQAITSWPLGSPPKDLISIEPVFSVV
+PPVTAVEISTSSQQSLYISRALETFAAIPVTTLSSFQATSASVTQSHPTEVSKAEVPTAR
+STVPSVGLSSVSISFPPEPLALDHLRLEKQQHKEDVQLQLVGDAIDLRTVPKVDVKATEK
+CMDLSASAVDVKRQTTTSEMYGRQISAVQPSIINLSATSSGVTPISLVTETLTVVTCTAT
+ASYTTGTESLVGLELATAAPLQLTTSKHVEPLYRVPSGQTFPTIREEAPINLSLSTSAHP
+VTSAPTKPVTAPPVGVTNGWTDSSTSQSITDGEVVDLSTSKTHRTVVTMDEASSNVVTKI
+IEDDEKPVDLTAGRRAVCCDVVYKLPFGRSCTAQQPATTLPEDRFGYRDDHYQYDRSGPY
+GYRGIGGMKPSMSDTNLAEAGHFFYKSKNAFDYSGGTDAAVDLTSGRITTGEVMDYSSKT
+TGPYPETRQVISGVGISTPQYSTARMTPPPGPQYGVGSVLRSSNGVVYSSVATPIPSTFA
+ITTQPGSIFSTTMRDLSGVHTNDAVTSLSALHQSQPMPRSYFLTTGASETDIEVTGIDIS
+ASLQTITMETLTAETIDSVPTLTTASEVFSEVVGEESALLIIPEEDKQQQQLDLERELLE
+LEKIKQQRFAEELEWERQEIQRFREQEKIMVQKKLEELQSMKQHLLYQQEEERQAQFMMR
+QETLAQQQLQLEQIQQLQQQLHQQLEEQKIRQVYQYNYDPSGTASPQTTADQAILEGQYV
+APEGGQFWATEDATTTASAVVAIEIPQSQGWYTVQSDGVTQYIAPPGILSTVSEIPLTDV
+IVKEEKQPKKRSSGAKVRGQYDEMGENLADDPRCFKKIVDSGVQTDDEDSADRSYVSRRR
+RTKKSVDTSVQTDDEDQDEWDMPTRSRRKARVGKYGDSPAEADKAKPPSKVSSIAVQTVA
+EISVQTEPVGTIRTPSIRARVDAKVEIIKHISAPEKTYKGGSLGCQTEADSDTQSPQYLS
+ATSPPKDKKRPTPLEIGYSSHLRADSTLQLAPSPPKSPKVLYSPISPLSPGKALESAFVP
+YEKPLPDDISPQKVLHPDMAKVPPASPKTAKMMQRSMSDPKPLSPTADESSRAPFQYTEG
+YTAKGSQTATTSGTQKKVKRTLPNPPPEETSTGTQSAFSTMGTVSRRRICRTNTMARAKI
+LQDIDRELDLVERESAKLRKKQAELDEEEKEIDAKLRYLEMGINRRKEALLKEREKRERA
+YLQGVAEDRDYMSDSEVSSARPTRIESQHAIERPRTAPQTEFNQFIPPQTQTESQLVPPT
+SPYTQYQYSSPALPTQAPTPYTQQSHFQQQTLYHQEVSPYQTQPTFQAVATMSFTPQAQP
+TPTPQPSYQLPSQMMVIQQKPRQTALYLEPKITSNYEVIRNQPLMIAPVSTDSTYAVSHL
+GSKYNSLDLRIGLEERSSMASSPISSISADSFYADIDHHTSRNYVLIDDIGEITKGTAAL
+STAFSLHEKDLSKTDRLLRTTETRRSQEVTDFLAPLQTSSRLHSYVKAEEDPMEDPYELK
+LLKQQIKQEFRRGAESLDHLAGLSHYYHADTSYRHFPKSEKYSISRLTLEKQAAKQLPAA
+ILYQKQSKHKKSLIDPKMSKFSPIQESRDLEPDYSSYMTSSTSSIGGISSRARLLQDDIT
+FGLRKNITDQQKFMGSSLGTGLGTLGNTIRSALQDEADKPYSSGSRSRPSSRPSSVYGLD
+LSIKRDSSSSSLRLKAQEAEALDVSFSHASPSGRTKPTSLPISQSRGRIPIVAQNSEEES
+PLSPVGQPMGMARAAAGPLPPISADTRDQFGSSHSLPEVQQHMREESRTRGYDRDIAFIM
+DDFQHAMSDSEAYHLRREETDWFDKPRESRLDNGHGLDRKLPERLVHSRPLSQHQEQIIQ
+MDGKTVHYIFPHARIKITRDSKDHTVSGNGLGIRIVGGKEIPGHSGEIGAYIAKILPGGS
+AEQTGKLVEGMQVLEWNGIPLTSKTYEEVQSIISQQNGEAEICVRLDLNMLSDSENPQHL
+ELHEPPKAVDKAKSPGVDPKQLAAELQKVSLQQSPLVLSSVVEKGSHVHSGPTSAGSSSV
+PSPGQPGSPSVSKKKHSSSKPTDAAKVVSHPITGEIQLQINYDLENLIIHILQARNLVPR
+DNNGYSDPFVKVYLLPGRGAEYKRRTKYVQKSLNPEWNQTVIYKSISMEQLKKKTLEVTV
+WDYDRFSSNDFLGEVLIDLSSTSHLDNTPRWYPLKEQTESIDHGKSHSSQSSQQSPKPSV
+IKSRSHGIFPDPSKDMQVPTIEKSHSSPGSSKSSSEGHLRSHGPSRSQSKTSVTQTHLED
+AGAAIAAAEAAVQQLRLQPTAHKSGQSNHARKQHRHSIAGVFPIQRTQSDNLPPPANGNQ
+DQSQLALRKVMSDGPVKPEGAKPTNHRPAESSVSTGSSGSSFGSGYSVDSEGSSSTAGET
+NLFPIPRIGKMGQNGQEPIKQTGVGIGLADTEVKTQVMGEIKIALKKEMKTDGEQLIVEI
+LQCRNITYKFKSPDHLPDLYVKLYVMNISTQKKVIKKKTRVCRHDREPSFNETFRFSLSP
+AGHSLQILLFSNGGKFMKKTLIGEACIWLDKVDLRKRIVNWHKLLVSPTQTH
+>tr|F1MM81|F1MM81_BOVIN BAH domain and coiled-coil containing 1 OS=Bos taurus OX=9913 GN=BAHCC1 PE=4 SV=3
+MDGRDFAPPPHLLSERGSLGHRSAAAAARLAPPGPAAQPPAHFQPGKYFPSPLPMASHTA
+SSRLMGNSPASSFMGSFLTSSLGSAASAHPSGPTPSPSEQAYRGSHPATSQIWFSHSHEA
+PGYPRFSGSLASTFLPMSHLDHHGNSNVLYGQHRFYGTQKDNFYLRNLPPQPTLLPANHN
+FPSVARAAPGHPMGSCSRDRGEASHLQKGTKEFDRFLMGKEKAGKAVEGKERPAAEEDVA
+RGRHKLVLPVPGDSHCKEGSMARGACEGRPKHLASCLLNTKVLDGELGRSALASCAGAVL
+GRPGVGVPASGRCAKEAAGPAEPGPAFSECLERRQMLHHAVSYTVPSGLPAGPPPPLSTA
+AAGPFPCLQLHGGPDGLCPLQDKVPRDLKASGPTFVPSVGHLADKSRPFQAAEACAVVGE
+SKDRHLEVAAAPDHAAPYGVSYAHLKAEGKGERRSGSFEAALNPRLKGLEYLDSAGPEAP
+FPGLPKAGLDKSGYFELPAPSQDCARPNHQDPLGGKVTQACCTLDKAASKETPVGAPGAQ
+KVARIRHQQHLVAPEVEPGGSGAEAKRKSLELASLGYGGPPPPPWNVQSGQGATMAIGEE
+RKAGTYLDPFGGTLQQAALLPQDLPTPPDEVSAMKNLLKYSNQALVIGQKAPFVGLGGLK
+ASCAQQDGKFPASKGAGQAPGEVERPDCARSREHDATHSDGEVRQPPVGIAVALARQKDT
+VSRSESAYGANTGRQGRAAPTFKAGGGPRSAHPLDLEAEEERARLCEDRLGLAGRELLLQ
+DNKDLVEFARIHPSGGCPGDLAPHLMITGGSSLQSSQLAGDPAPHPHPAHPPWLPRTRSP
+SLWMGGHSYGLGHPALHQNLPPGFPASVPGSMPPVFPLSQETPTQLVILPSEPTPHTAPH
+ALADVMDQASLWPPMYGGRGPASHMQHPGQLPVYSRSQFLRQQELYALQQQQQQQQQQRA
+TQALELQRASQFQQKPEDHHLEPEEPAQEKALKSTHKPVALTPTAKGTPSPATAGPAKLS
+PCCHSPAPKPPPASCPTPPPHPGAPCTLSVCPTGSSGLGSKLPGTEDKSGEGQRPRADLN
+TLEPDLPPGYTCPAAASSGFSLPRSVHSSDLSDPETMQTAPLGPQPELARTFPPGELCLR
+SPQKLEEPGLPSGTREATQDLATMPHPAERGPPGKAADPSPLEGLRELRCGALLEGGGPE
+ASGQADSTQGGGAQEARTTEEGREEGELGPSLGAGPQAVEQPARSLGALDQAELGKQQAP
+TEAEAEEVAEFEEAELEEEEEEQDWGSTPDNSQLPRELPGLDALVAATINLGDLPGIGPL
+DSPPPAVPGPPRTAPLPRSSGIHGIALLSELADLEIQQQRTEPALQEEEEVLAFNLQRLA
+TLASAWSLVEAANLDSPASSAQPPTADPCRAPTLTPRMQILRRKDTWTPKTKPVCPLKAA
+IDRLDTQEVEMRVQLAELQRRYKEKQRELARLQRRHDHERDESSRSPARRGPGRPRKRKY
+SSLLPALRPSDSKKVKAVRSSLSLLCAELRGGDDEPSKKRGRLEKGTYVGLQPASVEKVR
+CKKSSSQGDLASAVAHKVAQLKPKVKSKGLPTGLSPFRRKEATSGGRIRKKLSRAKNAKA
+SGAARHPQPDGGIGGREAPKFPAQPAVAAAREAGNGSDSENCDGLLETEEPPKEPGLVLH
+AGARMAVLGPSPSSVVKMEANQKAKKKKERQSLLGACRLSSPESEVKVKRRTVKTKVGSK
+LERAPGRRPPGGPGKKRPKAKGGLRAEPGAAPGREALCGPARAFTCHEEGSRLASERLKR
+ATRKSTVLQPGLRRKNGALSIALSPRNAKAILGRGRKVGKVKTKAVGKQGKGRAVSRLLE
+SFAVEDDFEFEDSSCLSEDEEEEEASGPLSAEQSAALARSCTIHKEDLQDGLPVLIPKED
+SLLYAGSVRTLQPPDIYSIVIEGERGNRQRIYSLEQLLQEAVLDVRPQSSRYLPPGTRVC
+AYWSQKSRCLYPGNVVRGASSDEEEDLDSVVVEFDDGDTGHIAVSNIRLLPPDFKIQCTE
+PSPALLVSSSCRRTKKSSCEAPPPSEATAPSLSPKAHDGSEASKTSGKKSTGKDKAGKAE
+LLTSGAKPPAGASDHFLGRRGSPLLSWSAVAQTKRKAVAAAGSKGPGMLQNLFQLNGSAK
+KLRAREALFPMHSVAPPVFGNGFRADSFSSLASSYTPFVGGAGPGLPGGAHKLLRAKKAE
+AEKGGRRRAGSEFLVKLDHEGVTSPKSKNCKALHAGDKDSGPRPGRPLPSPSYGHPALMG
+KDRKGRAPVHPLSMGLALRKFAGQAEYPLPCDSDCHSSYSDEEEDGPGLAPGVPSRFLAR
+LSVSSSSSGSSTSSSSGSLSTSSLCSSDDEGSSYTSDEEDPTLLLQTCLTHPVPALLAQP
+EALRSKGGGPHPHAQRCFLSRAAVASGGAGAGPSGNRPRLKRKEALSFSKAKELSRRQRL
+PSVENRPKISAFLPARQLWKWSGNPTQRRGMKGKARKLFYKAIVRGKETLRIGDCAVFLS
+AGRPNLPYIGRIESMWESWGSNMVVKVKWFYHPEETKLGKRQSDGKNALYQSCHEDENDV
+QTISHKCQVVGREQYEQMTRSRKYQDRRDLYYLAGTYDPTTGRLVTADGVPILC
+>tr|A0A3Q1LTD6|A0A3Q1LTD6_BOVIN Misshapen like kinase 1 OS=Bos taurus OX=9913 GN=MINK1 PE=4 SV=1
+MGDPAPARSLDDIDLSALRDPAGIFELVEVVGNGTYGQVYKGRHVKTGQLAAIKVMDVTE
+DEEEEIKQEINMLKKYSHHRNIATYYGAFIKKSPPGNDDQLWLVMEFCGAGSVTDLVKNT
+KGNALKEDCIAYICREILRGLAHLHAHKVIHRDIKGQNVLLTENAEVKLVDFGVSAQLDR
+TVGRRNTFIGTPYWMAPEVIACDENPDATYDYRSDIWSLGITAIEMAEGAPPLCDMHPMR
+ALFLIPRNPPPRLKSKKWSKKFIDFIDTCLIKAYLSRPPTEQLLKFPFIRDQPTERQVRI
+QLKDHIDRSRKKRGEKEETEYEYSGSEEEDDSHGEEGEPSSIMNVPGESTLRREFLRLQQ
+ENKSNSEALKQQQQQLQQQQQRDPEAHIKHLLHQRQRRIEEQKEERRRVEEQQRREREQR
+KLQEKEQQRRLEDMQALRREEERRQAEREQEYIRHRLEEEQRQLEILQQQLLQEQALLLE
+YKRKQLEEQRQSERLQRQLQQEHAYLKSLQQQQQQQQLQKQQQILPGDRKPLYHYGRGIN
+PADKPAWAREVEERTRMNKQQNSPLAKSKPGSTGPEPPIPQASPGPPGPLSQTPPMQRPV
+EPQEGPHKSLVAHRVPLKPYAAPVPRSQSLQDQPTRNLAAFPASHDPDPAVPAPTATPSA
+RGAVIRQNSDPTSEGPGPSPNPPAWVRPDNEAPPKVPQRTSSIATALNTSGAGGSRPAQA
+VRASNPDLRRSDPGWERSDSVLPASHGHLPQAGSLERNRVGASSKLDNSPVLSPGNKTKP
+DDHRSRPGRPASYKRAIGEDFVLLKERTLDEAPRPPKKAMDYSSSSEEVESSEDEEEESN
+GEPSEGSRDAPGARDGDTDSVSTMVVHDVEEIAGPQTPYGGGTMVVQRTPEEERSLLHAD
+SNGYTNLPDVVQPSHSPTESSKGQSPPLKDGGSDYQSRGLVKAPGKSSFTMFVDLGIYQP
+GGSGDTIPITALVGGEGSRLDQLQYDVRKGSVVNVNPTNTRAHSETPEIRKYKKRFNSEI
+LCAALWGVNLLVGTENGLMLLDRSGQGKVYGLIGRRRFQQMDVLEGLNLLITISGKRNKL
+RVYYLSWLRNKILHNDPEVEKKQGWTTVGDMEGCGHYRVVKYERIKFLVIALKNSVEVYA
+WAPKPYHKFMAFKSFADLPHRPLLVDLTVEEGQRLKVIYGSSAGFHAVDVDSGNSYDIYI
+PVHIQSQITPHAIIFLPNTDGMEMLLCYEDEGVYVNTYGRIIKDVVLQWGEMPTSVAYIC
+SNQIMGWGEKAIEIRSVETGHLDGVFMHKRAQRLKFLCERNDKVFFASVRSGGSSQVYFM
+TLNRNCIMNW
+>tr|G3N004|G3N004_BOVIN MAGE family member B3 OS=Bos taurus OX=9913 GN=MAGEB3 PE=4 SV=2
+MPRGKKAKLHTCEKRRQAQHGTQDLRGTQVTATTMEALSSSSSPGPGVDAKRKSGARSGN
+HLKRPRGALVTTTVPAGVSPTRSSKRALGKIGKTRNSSQAPLSNVRSGKHSLTRPANLLV
+QFLLRMYKTKKPIRKANMLKIIDKKYHNRFLRILKRASDSMEVVFGIDVKKDNTAKHSYV
+LVSKMNLPRNGIVHRGRGFPKTGLLMNLLGVIFMKGNCATEEDIWDFLGKMNIYAGKRHF
+LFGEPKKLITQDLVQLKYLEYRQVPGSDPACYELLWGPRAHAETSKMRVLEFLARINRLD
+PSAFHFWYEEALKDEEERAQASGSGMECSLCSCSSTGKHCAD
+>tr|G3N151|G3N151_BOVIN Jupiter microtubule associated homolog 2 OS=Bos taurus OX=9913 GN=JPT2 PE=1 SV=1
+MFRAPDSEGGRAGPRKGIKWWLLRGLGPSTAAANQVVPGKPLWELMGRAAKPPGGEPGDR
+PEEAAPPRRPDRMASNIFGPTEEPQNIPKRTNPPGGKGSGIFDESGPVQTRPRLNPPGGK
+TSDIFGSPVAAASPTAHPNKPKDHVLLCEGEDTPDLRASASPRQEPGEPGGPKEAARVQE
+PAPAVDSHEPRLGPRPRSHNKVLNPPGGKSSISFY
+>tr|E1BNJ8|E1BNJ8_BOVIN Adhesion G protein-coupled receptor F3 OS=Bos taurus OX=9913 GN=ADGRF3 PE=3 SV=2
+MVCSAAPVLLLAMTLPLVEPPAVRAFQPVSKPGQQEELPRDQVTADYPGCPRSGLEKKVS
+LDKGRVQLSPESPCALFQGQNQARGGSGPQLDPESGAGELVLVSVYIQLDFSDEPWPVAL
+SQPLTVPAASASSAPVTLTGLNFTTECNVTHDRRSYCACLSGYQWNASICSHRQPCQTTI
+KHRPCGCLVLSPTEAGYCELLPPGEEVPATLNLDSWLQMPGNTLNLTLLMNQETTDLNWF
+LWPTGSPSPVLLQAGTCVSLTSSRGQTVLSIVNISHRWAGEYICRFEAQGFRWELHQVVR
+VPLQATDVTGLPDQLSVSCATSPGFQLSCCIPSTHLGYTASWSPREGGQASVFNKPDSQC
+FMLAVQHCPTANTTYICELQSPGLSPLRVTVSVTIIQDGDTTCPEDSSIAAWNVTKAGHV
+AQALCPGDRTGMVKRPCGPDGVWGPIHSNCTDAGLLALLLRAQLLQAGQGWPEEEVSQIL
+AQLQEQVEAVISPSDLLALVATMTVLAKVVADTGTQLNCSALEAVLKTTDKVLGMNSSSL
+WTLAQRQTPSVGSSLLLAVETLAHSLCPQDQPFSFVLPNVQLQSQLLEPTFPANYRVSFP
+TQPPLQAWIPRHSLAPLRHNRTNVSITSLVLQELDHLLPSNYGQGLGDSLYATPGLVLAI
+SIMAGGQVFKQGEVIMDFGDTDGSPHCVFWDHDLFQGKGGWSDAGCQVQAASASPTTQCI
+CRHLTAFSILMSGHTVPNNPTLELLSQVGLGASILALLLCLGVYRLVWRFVVQNKVAYLR
+HSALLNMVLCLLAANACFLGAPLLPAGPRSPLCLAAAFLCHFLYLATFFWMLAQALMLAH
+QLLFVFHQLSKLRVLSLMVLLGYLCPMGLAGITLGLYLPRGQYLSEGACWLDKKGGALYT
+FVGPVLAIVGVNGLVLTMAVLKLLRPSLSEGPQVEKRQALLGVIKALLILTPIFGLTWGL
+GLATLLEEVSIVPHYLFTVLNASQVGDTVVQEALRKRFCCSQPPRSTLSLVSCWLQVLL
+>tr|A0A3Q1N073|A0A3Q1N073_BOVIN Coiled-coil domain containing 141 OS=Bos taurus OX=9913 GN=CCDC141 PE=4 SV=1
+MSSQESPAVEFSTTTVSSVAVQAGDSKIVIAVIKCGKWIQLQLAESQPNLLEIGSNQDET
+KKLLHDHELLLTKLKALEDRVWELLQEADKTAEENKDQSQVYDAMAKTLGEAWASLVSML
+ERRRELLRLTSEFFENALEFAIKIDQAEDFLQNTQEFESAESLKSLLQLHELHTKELLER
+SLALLNKSQELTDFIEKIKSDGPNVSAEMIQGAQNSCLKIDSLLELLQDRRRQLDKYLKQ
+QQQELSQVLQMCQWDQQESQVTCWFQKTIRDLEEQGLGTSLSDNEELIRKHEELIIKAKA
+FDALGRVEAYLKLLKSEGLSLPVLAVKHEELHREIKDCTADALQKGQALISQLASCSSRV
+TGVCEIMGCLRRRVEHLTEQCSAHEEFALKRQQLTASVEGHLREVEMSIQKISTILSNAM
+DVGSSLSESEKILSKYLELDKQAKETSHELEAAAKLLTEKHDFELDELASLSSKAKRLEE
+ELNIFGQSINSRSQVLQTYVAFLKSSEEVEKQCQGLKEFYQTDILRKEEGGAEAKHWSDS
+VEKQWQLFLKRSFLTHDLGLEFLNLINMAKENEILNVKNEVHVMENTMESQKAEREELSR
+LRTAWQLKAAASKPVKQQWAAFKEQLRKTMHNLKLLQEALMPVSALDLGGSLQTILALRK
+KWNEMKPQFQQLNDEVQYIIRESEELNGKGAPVKEKSQQLKDLIHFHQSLKQRIQDYEDI
+LYKIIQFHQVREELGRLLKSRELEFPEQAEETNDAHDAQVLLRRSRAKQAHVDHLHDLAL
+SLGVDVISSVQQPNCSNVSAKNLQQQLDMLEGDCLTWRAQTEDHERALTRSLEFCITRDE
+IGELKESFKEIKKKFNNLKFNYTKKNEKARNLKALKYQIQQVDIYAEKLQALKRKMEKVE
+NKTSFLNYPNNKVNILLEAMRDLQKHVDEFDKVVTDYRKNLDLTEHLQELIEECHFWYED
+ASATVVRVGKYSTECKTKEAVEILHQQFKKFITPSVPQQEERIQEISDLAQRLYGFEEGQ
+KYAEKIVAKHKEVLESVTELCSSLTELEEKLKQGDVLKLNLNLEDFHDDCIDLLKEPARN
+KQTIFNDGSNKEQAQPAAILAVNGARKCQLPQGVKQLVPGKESVQGLLLPVDVLSGAEHE
+CVSSDDISLPPVSGSPDSLLAPSDMELEAPVSPFPRHHLSGHQMQTGASCPGEAPESGFP
+PPAAFADACNDKRETFSSHFEKPSPQVQAEPPLTSQGFLEKSTAFHKISAQLPDSMCSEV
+HDRASQQHPQAQGHLLETPEKMHADNNVTKTRNRLHASQDAFSGLGFQPGPSQACQRQMV
+PREEIISIPAKNSMVSLAGQAPNFSRLLSNVTVVEGSPVTLEVEVTGFPEPTLTWYKKGQ
+KLSADGRLQVLHKETRHLVFIPKVCEADAGLYVAWAQNPSGILSSSVILHVTGNRKPPIR
+GINWVMLCVIYVTVSLMYWLLTQ
+>tr|E1BI80|E1BI80_BOVIN AT-rich interaction domain 3B OS=Bos taurus OX=9913 GN=ARID3B PE=4 SV=2
+MEPLHPQQKQPPPPQPPHLAPLQMDAREKQGQQMRETPFLYAQKLVTQQTHLSATPGRPS
+GSPALGPSARVPPATAVARVFERSKVNSEPEEEEGGLEGEDGDDEAEVAEKQTQAASKYF
+HVQKVARQDPRAAPLPGLLPAPGLAPHGQQAKEDRTKDASKTSASVSAAGQPGWNRDEQL
+KQNGGLAWSDDADGGRGREISRDFAKLYELDSDPERKEFLDDLFVFMQKRGTPINRIPIM
+AKQILDLYMLYKLVTEKGGLVEIINKKIWREITKGLNLPTSITSAAFTLRTQYMKYLYAY
+ECEKKALSSPAELQAAIDGNRREGRRPSYSSSLFGYSPTAAAGAPALLSPPKIRFPILGL
+GSSGGTSASGSRISPAAPLRKGDGVPVTTVPVPNRLAVPVTLAGQQASTRTATLEQLRER
+LESGEPPEKKVSRLSEEEQRLVQQAFQRNLFNMARQLPMKIRINGREDRAEASAAALNLT
+TSSIGSISMSVDIDGTTYAGVLFAQKPAVHLITGSAPQSIGSSSSSSSSSHCSPSPTSSR
+GTPSAEPSTSWSL
+>tr|E1BMQ3|E1BMQ3_BOVIN SANT domain-containing protein OS=Bos taurus OX=9913 GN=MIS18BP1 PE=4 SV=3
+MIATSLKHPGIHLSSETSSQRRNVPMHAIFFDSIPSGTLTPVKDLVKYQISSLKLNNHKK
+SQFVEMAVFNNKNVLQSTLLAEATTSNSSLDISAIKPNKDEFKNKESYESPGKIFQRMKE
+KVLRNKQEQASRNSFLEPTQNESFAPNGAEERILQHTYLCEEKENNRSFQPENRSLRENS
+TSVQEVPLESSNNIFVPVKQKITYQQEKKVPLHNLTYELPVLNQEHKNVATANRALTRAQ
+LARQILHSKENTVATNKSKKDTFVLEDTDSTCEKSPNTTVATLCGPDVENGGQLLVSGDS
+KTTTEGTSKQEIKEGNEITMHRETDFPGSMNDTCKIVLATPQFHITIPQRSKRNAWTLSP
+IISQTITNGVNIKVVQLQEWMIKVINNNTAICVEGKLTDITNIYWHSNVIIERIKHNKLR
+TLSGNIYVLKGMIDQISMKEAGYPKYLIRKFMFGFPEKWKEYIDDFLEELRTCAKEKGKA
+RQKQKAKRCIPAIQKSMKNDARKEKPDVLQRTTTTYDLDCDPLERTKESKVSIDILTSRE
+QFFSDEERKYMTVSQKKPCILVTPLKSKKIIEQKCMDYNLSSDSIKAVTEFALGKPPKES
+KTDSNETTSLVSKPTKTLEGTFECSVGHNSENTEDCCERDFFTVNHKIKIPSSKKEQMVT
+SDFKKNTRLSKLKKIENQVTVSFDKQQSSSDLSNEESEKEKKFRRKTEIVKKTRAINTEE
+TVVHQSKSTRKTRTVPVMPECETEESENELYMKQKKAKCSAKENLKKPDVGNELPNTEKM
+GSSKTNRHSLECLPGFTQDEWNKKELQKLHCAVASLPKHKSGFWSDVAMAVGSRSADECQ
+RKYMEDPRGKRFQKHDTKKKPANPKGKNGKIDDADKKETMKITAKVGTLKRKQQMRDFLE
+QLPKDDHDDFFSATPLQHQKILLPNFQDSQEGDDILPNMDRNPTTPSSVVFPLAKTPQCH
+HVTPGMLDSINRNDCDKYVFRMQKTHKSKGGTTWGNIKKKAMETDFSTPPSRRKTPFNKE
+LAENSGIGKLFTKAMESLDEDGL
+>tr|F1MSR5|F1MSR5_BOVIN TBC1 domain containing kinase OS=Bos taurus OX=9913 GN=TBCK PE=4 SV=3
+MIKCWTPFVLETILLDPSGTSDYLGRFRTRRMFPLKDAEMGAFTFFASALPHDVCGSNGL
+PLTPNSIKILGRFQILKTITHPRLCQYVDISRGKHERLVVVAEHCERSLEDLLREGKPVS
+YSKVLCIAFEVLQGLQYMNKHGIVHRALSPHNILLDQKGHVKLAKFGLYHMTAYGDDVDF
+PIGYPSYLAPEVIAQGIFKTSDHVPSEKPLPSGPKSDVWSLGIILFELCVGRKLFQSLDI
+SERLKFLLTLDCVDDTIIVLAEEHGCLDIIKELPETVIDLLKKCLTFHPSKRPTPDELMQ
+DNVFSEVLPLYPPFIKPASLFSSSLRCADLTLPEDISQLYEDINSDYLAERSIEEVYYLW
+CLAGGDLEKELVNKEIIRSKPPICTLPNFLFEDGESFGQGRDRSSLLDDTTVTLSLCQLR
+NRLKDVGGEAFYPLLEDDQSNLPHSNSNNELSAAATLPLIIRERDTEYQLNRIILFDRLL
+KAYPYKKNQIWKEARVDIPPLMRGLTWAALLGVEGAIHAKYDAIDKDTPIPTDRQIEVDI
+PRCHQYDELLSSPEGHAKFRRVLKAWVVSHPDLVYWQGLDSLCAPFLYLNFNNEALAYAC
+MSAFIPKYLYNFFLKDNSHVIQEYLTVFSQMIAFHDPELSNHLNEIGFIPDLYAIPWFLT
+MFTHVFPLHKIFHLWDTLLLGNSSFPFCIGVAILQQLRDRLLANGFNECILLFSDLPEID
+IERCVRESINLFCWTPKSATYRQHAQPPKPTSDSSGVRSSTPYFPTECPDPPKTDLSRES
+IPLNDLKSEVSPRISAEDLIDLCELTVTGHFKTPTKKTKSSKPKLLVVDIRNSEDFVRGH
+ISGSINIPFSTAFTAEGELTQGPYTAMLQSFKGKVIVIVGNVAKHTAEFAAHLVKMKYPR
+ICILDGGINKIKPTGLLTVPSPQI
+>tr|A0A3Q1MAU1|A0A3Q1MAU1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=WC1-8 PE=4 SV=1
+MALGRHLSLQGLCVLLLGTMVGGQVLELRLKDGAHRCEGRVEVKHQGEWGTVDDLEWTLK
+DASVVCRQLGCGAAIGFPGGAYFGPGLGPIWLSYTSCEGVESTVSDCRHSNIKDYRNDSY
+LHDWDAGVVCSGFVRLAGGDEPCSGRVEVYSGEAWIPVSDGNFTLPTAQVICAELGCGKA
+VSVQGHELFRESSARVWAEEFRCEGEEPELSVCLRVPCPGGTCHHSGAAQVVCSAYSEVR
+LMTNGSSQCEGQVEMKFSGRWRALCASHWSLANANVVCRQLGCGVAISTPGGPHLVEGGD
+QILTARFHCSGAESFLWSCPVTALGGPDCSHGNTASVICSGNQTQVLPQCNNSMAEPAGS
+AASVESAPYCSDSRQLRVVGGASPCAGRVEIFDQGSWGTICDDGWDLDDAHVVCRQLGCG
+EALNATGSAHFGAGSGPIWLDDLNCAGNESQVWTCPSPRGWGRHDCRHKEDAGVICSEFL
+ALRMVSEDQQCAGWLEVFYNGTWGSVCRSPMEDITVSVICRQLGCGDSGSLNTSVGLREG
+SRPQWVDLIQCRKMDTSLWQCPSGPWKYSSCSPKEEAYISCAGRRPKSCPTAAPCTDREK
+LRLRGGDSKCSGRVEVWHSGSWGTVCDDSWSLAEAEVVCQQLGCGQALEAVQSAAFGPGN
+GSIWLDEVRCGGRESSLWDCAAEPWGQSDCKHEEDAGVRCSGVRTTVPTTTAGTRTTSNS
+LPGIFSLPGILCVILGALLFLVLVILMTQLLRWRAERRALSSYEDALAEAVYEELDYLLT
+QKEGLGSPDQRTDVPAENYDDAEEVPVPGTPSASQGNEEEVPPEKEDGVRSSQTGSYLNF
+SREAADPGEGEESLWLFQGKNEDAGYDDVELSALGTSPVTF
+>tr|A0A3Q1M0G3|A0A3Q1M0G3_BOVIN Molybdopterin molybdenumtransferase OS=Bos taurus OX=9913 GN=GPHN PE=3 SV=1
+MATEGMILTNHDHQIRVGVLTVSDSCFRNLAEDRSGINLKDLVQDPSLLGGTISAYKIVP
+DEIEEIKETLIDWCDEKELNLILTTGGTGFAPRDVTPEKFPTFPFCGLQKGATKEVIERE
+APGMALAMLMGSLNVTPLGMLSRPVCGIRGKTLIINLPGSKKGSQECFQFILPALPHAID
+LLRDAIVKVKEVHDELEDLPSPPPPLSPPPTTSPHKQTEDKGVQCEEEEEEKKDSGVAST
+EDSSSSHITAAAIAAKKHPFYTSPAVIMAHGEQPIPGLISYSHDATGSAEEPIPDSIISR
+GVQVLPRDTASLSTTPSESPRAQATSRLSTASCPTPKVQSRCSSKENILRASHSAVDITK
+VARRHRMSPFPLTSMDKAFITVLEMTPVLGTEIINYRDGMGRVLAQDVYAKDNLPPFPAS
+VKDGYAVRAADGPGDRFIIGESQAGEQPTQTVMPGQVMRVTTGAPIPCGADAVVQVEDTE
+LIRESDDGTEELEVRILVQARPGQDIRPIGHDIKRGECVLAKGTHMGPSEIGLLATVGVT
+EVEVNKFPVVAVMSTGNELLNPEDDLLPGKIRDSNRSTLLATIQEHGYPTINLGIVGDNP
+DDLLNALNEGISRADVIITSGGVSMGEKDYLKQVLDIDLHAQIHFGRVFMKPGLPTTFAT
+LDIDGVRKIIFALPGNPVSAVVTCNLFVVPALRKMQGILDPRPTIIKARLSCDVKLDPRP
+EYHRCILTWHHQEPLPWAQSTGNQMSSRLMSMRSANGLLMLPPKTEQYVELHKGEVVDVM
+VIGRL
+>tr|A0A3Q1NF78|A0A3Q1NF78_BOVIN Glypican-1 OS=Bos taurus OX=9913 GN=GPC1 PE=3 SV=1
+CRVRNRGWWRLKSPVRGGPPSPSSPSRQPLPTGEHLRICPQGYTCCTSEMEENLANRSRA
+ELETALLEGTRALQATLAAQQRGFDDHFQRLLNDSERALQEAFPGAFGELYTQNAKAFRD
+LYAELRLYYGGANLHLQETLAEFWARLLERLFRQLHPQLLLPDDYLDCLGKQAEPLRPFG
+EAPRELRLRATRAFVAARTFVQGLGVAGDVVRKVAKVPLSPECSRAVMKLVYCAHCLGVP
+GARPCPDYCRNVLKGCLANQADLDAEWRNLLDSMVLITDKFWGPSGAESVVGGVHYWLAE
+AINALQDNSDTLTAKVRAAGTPRLAGAPGGLTTAPQVSEAKAQLRDAQDFWISLPGTLCS
+EKLAMSSASDERCWNGMAKGRYLPEVMGDGLANQINNPEVEVDITKPDMTIRQQIMQLKI
+MTNRLRGAYNGNDLDFQDASDDGSGSGSGEGCPDEMCGRKVGRKSASSRTPLTHALPGLS
+EREGQQTSAAAPTPPQASPLLLLGLALALPAAAPRGR
+>tr|A0A3Q1MLR0|A0A3Q1MLR0_BOVIN Cochlin OS=Bos taurus OX=9913 GN=COCH PE=4 SV=1
+MWASWIPVLCLGVCLLLPPEPVGSEGAVPIPITCSTRGLDIRKEKADVLCPGGCPLEEFS
+VFGHIVYASVSSICGAAVHRGVIGHSGGPVRIYSLPGRENYSSVVANGIQSQMLSRWSAS
+FTVTKGKSGTQEATGQAVSTAHPATGKRLKKTPEKKTGNKDCKADIAFLIDGSFNIGQRR
+FNLQKNFVGKVALMLGIGTEGPHVGLVQASEHPKIEFYLKNFTSAKDVLFAIKEVAFRGG
+NSNTGKALKHTAQKFFTADTGARKGIPKVVVVFIDGWPSDDIEEAGIVDVFGTSRGSAVC
+RNNGFFSYHMPNWFGTTKYVKPLVQKLCTHEQMMCSKTCYNSVNIAFLIDGSSSVGESNF
+RLMLEFVSNIAKTFEISDIGAKIAAVQFTYDQRTEFSFTDYSTKENVLAVIRNISYMSGG
+TATGDAISFTVRNVFGPVRDSPNKNFLVIVTDGQSYDDVRGPAAAAHDAGITIFSVGVAW
+APLDDLKDMASKPKESHAFFTREFTGLEPIVSDVIRGICRDFLESQQ
+>tr|A0A3Q1M9W0|A0A3Q1M9W0_BOVIN Protein NDRG1 OS=Bos taurus OX=9913 GN=NDRG1 PE=4 SV=1
+MSRELQDVDLAEVKPLVEKGETITGLLQEFDVQEQDIETLHGSIHVTLCGTPKGNRPVIL
+TYHDIGMNHKTCYNPLFNSEDMQEITQHFAVCHVDAPGQQDGAASFPTGYMYPSMDQLAE
+MLPGVLQQFGLKSIIGMGTGAGAYILTRFALNNPEMVEGLVLINVNPCAEGWMDWAASKI
+SGWTQALPDMVVSHLFGKEEMQNNVEVVHAYRHHVMNDMNPGNLQLFINAYNSRRDLEIE
+RPMPGAHTVTLQCPALLVVGDSSPAVDAVVECNSKLDPTKTTLLKMADCGGLPQISQPAK
+LAEAFKYFVQGMGYMPSASMTRLMRSRTASGSSVTSLEGARSRSHTSEGTRSRSHTSEGT
+RLDIIPNSGGPGSSAGPNSTEVSC
+>tr|H2XJE9|H2XJE9_BOVIN Mitogen-activated protein kinase OS=Bos taurus OX=9913 GN=NLK PE=3 SV=1
+MSLCGARANAKMMAAYNGGTSAAAAGHHHHHHHHLPHLPPPHLHHHHHPQHHLHPGSAAA
+VHPVQQHTSSAAAAAAAAAAAAAMLNPGQQQPYFPSPAPGQAPGPAAAAPAQVQAAAAAT
+VKAHHHQHSHHPQQQLDIEPDRPIGYGAFGVVWSVTDPRDGKRVALKKMPNVFQNLVSCK
+RVFRELKMLCFFKHDNVLSALDILQPPHIDYFEEIYVVTELMQSDLHKIIVSPQPLSSDH
+VKVFLYQILRGLKYLHSAGILHRDIKPGNLLVNSNCVLKICDFGLARVEELDESRHMTQE
+VVTQYYRAPEILMGSRHYSNAIDIWSVGCIFAELLGRRILFQAQSPIQQLDLITDLLGTP
+SLEAMRTACEGAKAHILRGPHKQPSLPVLYTLSSQATHEAVHLLCRMLVFDPSKRISAKD
+ALAHPYLDEGRLRYHTCMCKCCFSTSTGRVYTSDFEPVTNPKFDDTFEKNLSSVRQVKEI
+IHQFILEQQKGNRVPLCINPQSAAFKSFISSTVAQPSEMPPSPLVWE
+>tr|A0A3Q1N590|A0A3Q1N590_BOVIN Protein kinase domain-containing protein OS=Bos taurus OX=9913 GN=MAP3K20 PE=4 SV=1
+MSSLGTSFVQIKFDDLQFFENCGGGSFGSVYRAKWISQDKEVAVKKLLKIEKEAEILSVL
+SHRNIIQFYGVILEPPNYGIVTEYASLGSLYDYINSNRSEEMDMDHIMTWATDVAKGMHY
+LHMEAPVKVIHRDLKSRNVVIAADGVLKICDFGASRFHNHTTHMSLVGTFPWMAPEVIQS
+LPVSETCDTYSYGVVLWEMLTREVPFKGLEGLQVAWLVVEKNERLTIPSSCPRSFAELLH
+QCWEADAKKRPSFKQIISILESMSLDSKLSDQCNSFLHNKAEWRCEIEATLERLKKLERD
+LSFKEQELKERERRLKMWEQKLTEQSNTPLLLPLAARMSEESYFESKTEESNSAEMSCQI
+TAASNGEGHGMNQSLQAMMLMGFGDIFSMNKAGAVLHSGMQINMQAKQNSSKTTSKRRGK
+KVNMALGFSDFDLSEGDDDDDDDGDEEDNDMDNNSE
+>tr|A0A3Q1MGE4|A0A3Q1MGE4_BOVIN Amyloid-beta A4 protein OS=Bos taurus OX=9913 GN=APP PE=4 SV=1
+MLPALALVLLAAWTARALEVPTDGNAGLLAEPQVAMFCGKLNMHMNVQNGKWESDPSGTK
+TCIGTKEGILQYCQEVYPELQITNVVEANQPVTIQNWCKRGRKQCKTHAHMVIPYRCLVG
+EFVSDALLVPDKCKFLHQERMDVCETHLHWHTVAKETCSEKSTNLHDYGMLLPCGIDKFR
+GVEFVCCPLAEESDNVDSADAEEDDSDVWWGGADTDYADGSEDKVIEVAEEEEVADVEEE
+EADDEEDDEDGEELEEEAEEPYEEATERTTSIATTTTTTTESVEEVVREVCSEQAETGPC
+RAMISRWYFDVTEGKCAPFFYGGCGGNRNNFDTEEYCMAVCGSVIPTTAASTPDAVDKYL
+ETPGDENEHAHFQKAKERLEAKHRERMSQVMREWEEAERQAKNLPKADKKAVIQHFQEKV
+ESLEQEAANERQQLVETHMARVEAMLNDRRRLALENYITALQAVPPRPRHVFNMLKKYVR
+AEQKDRQHTLKHFEHVRMVDPKKAAQIRSQVMTHLRVIYERMNQSLSLLYNVPAVAEEIQ
+DEVDELLQKEQNYSDDVLANIISEPRISYGNDALMPSLTETKTTVELLPVNGEFSLDDLQ
+PWHPFGVDSVPANTENEVEPVDARPAADRGLTTRPGSGLTNIKTEEISEVKMDAEFRHDS
+GYEVHHQKLVFFAEDVGSNKGAIIGLMVGGVVIATVIVITLVMLKKKQYTSIHHGVVEVD
+AAVTPEERHLSKMQQNGYENPTYKFFEQMQN
+>tr|A0A3Q1LXN6|A0A3Q1LXN6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC100847151 PE=4 SV=1
+MFFLHLSFLSSASVVYFYDKNDIQHHQPLSAQMDCNYHGYVAGFPNSLVSLNICSGLRLG
+TLQFKNISYALEPVESVLGFMHMIYEEKNDISAIPLLLENDTYSYERSQYKVRKSSEVSF
+DYMGSDINIVTQKIIQIIGLVNTMFIQLKLTVRISSIEVWSDKNKISIEGPPHSVLYKFL
+TWKYEFTSRPHHIAYLFAFKENPTFIGVTVPGEICGKIAVGGVALYIEGLSLEAYAVSIV
+QLLGLNVGMSYDNTEICHCSGDVCTMSPEALQSGGVKDFSTCSLDDFKYFAAYTGIECLH
+KILPDEPVYKQRRVCGNGILETGEQCDCGTPQTCTHPDCCDARLCTKKKNKVCGSGACCT
+TSCQIKPVNTPCREAADECDFVEFCTGNESMCVPDTYARNGEPCASGDAFCYDGRCSQPF
+LYNFFFLLGARGAPFACFDEINARGDRYGNCGRGFCLFSHTLCGKLVCAWPYKTLVSQPN
+LSVIYTHVQDEICASAFLNAEKLPRDTFTTVQKPEDRDETFVEDGTICGPEMYCLNFSCV
+EVKYQINTTICSNAVHCNSKGVCNNLNHCHCSMGFAPPNCKPLPEAFGSVDDGHQHKTGY
+EVKSFLKERSLGHPKHRLQLVFYISIPVFIIAAALIIKQDKIRELCYKGELESERSVSEE
+SSTNSKSSPSVR
+>tr|A0A3Q1LQD9|A0A3Q1LQD9_BOVIN WD repeat and FYVE domain containing 2 OS=Bos taurus OX=9913 GN=WDFY2 PE=4 SV=1
+MAAEIQPKPLTRKPILLQRVEGSQEVVNMAVIVPKEEGVISVSEDRTVRVWLKRDSGQYW
+PSIYHVMPSPCSCMSFNPETRRLSIGLDNGTISEFILSEDYNKMTPVKNYQAHQSRVTMI
+QFVLELEWVLSTGQDKQFAWHCSESGQRLGGYRTSAVASGLQFDVETRHVFIGDQSGQVT
+ILKLEQENCTLVTTFRGHTGGVTALCWDPVQRVLFSGSSDHSVIMWDIGGRKGTAIELQG
+HNDKVQALSYAQHTRQLISCGGDGGIVVWNMDVERQETPEWLDSDSCQKCDQPFFWNFKQ
+MWDSKKIGLRQHHCRKCGKAVCGKCSSKRSPIPLMGFEFEVRVCDSCHEAITDEERAPTA
+TFHDSKHNIVHVHFDATRGWLLTSGTDKVIKLWDMTPVVS
+>tr|A0A3Q1LR63|A0A3Q1LR63_BOVIN Hexokinase 3 OS=Bos taurus OX=9913 GN=HK3 PE=1 SV=1
+MDSIGSLGLQQGEGAPSCPQEGLPCPSNSSEMVQECLQQFKVTGAQLRQIQTSLLGSMEQ
+ALRGQVGPAPAVRMLPTYVGSTPHGTEKGDFVVLELGATGASLRVLWVTLTGIEGHKVEP
+RSQEFVIPQEVMLGPGQQLFDFAARCLSEFLDVLPVDNQGLQLGFSFSFPCHQTGLDKST
+LISWTKGFKCSDVEGQDVVQLLRDAIQRQGAYSIDVVAVVNDTVGTMMGCEPGVGPCEVG
+LVVDTGTNACYMEEARHVAVLDEDRGRVCISIEWGSFSDEGALGPVQTIFDHTLDHESLN
+PGAQRFEKMIGGLYLGELVRLVLVHLAQRGVLFGGHTSPVLRSQGSILLEHVAEMEDPSA
+GAARVHAVLQDLGLNPKASDAEWVQCVCMAVCTRAAQLCAAALAAVLSRLQHSREQQALQ
+IAVATGGRVFERHPRFLSVLRETVMLLAPNCDVSFIPSVDGGGRGVAMVTAVAARLAAHR
+RLLEETLAPFRLTREQLAEVQAQMREAMAKGLQGEASSLRMLPTYVRATPDGSERGDFLA
+LDLGGTNFRVLLVRVTTGGVQISSQIYSIPECVAQGSGQQLFDHIVDCIVDFQQKQGLSG
+QSLPLGFTFSFPCRQMGLDQGILLNWTKGFNASDCEGQDVVCLLREAIRRRQAVELNVVA
+IVNDTVGTMMSCGYEDPHCEVGLIVGTGTNVCYMEELRNVASVDGDSGQMCINTEWGAFG
+DDGSLSMLSTRFDASVDQASINPGKQRFEKMISGMYLGEIVRHILLHLTSLGVLFRGQQT
+QRLQTRDIFKTKFLSEIESDSLALRQVRAILEDLGLPLTSDDALMVLEVCQAVSQRAAQL
+CGAGVAAVVEKIRENRGLEELTISVGVDGTLYKLHPHFSSLVAATVRELAPRCVVTFLQS
+EDGSGKGAALVTAVACRLAQRTRI
+>tr|A0A3Q1M1Z9|A0A3Q1M1Z9_BOVIN Scaffold protein involved in DNA repair OS=Bos taurus OX=9913 GN=SPIDR PE=4 SV=1
+MPGGGQSRGPKRKRNRDAEYPSFPGESPLPCRRPGLRTVGTVASLSEAWLRCGEGFLKSP
+GTPSLTDEKKTTTEKHLELSCRPKKEPTTSKSTSGLAAITWSSSGSDLSDEDKTAFKSQR
+DNGYHSRTGRCCNSNILCPEDGASEDDLQVIDWEIDSEREDACECDEFEERESVEISDCA
+SGASSRSLTPAETPPELPKTNPTEILEYSSDSEEDDGSESVLLIDSESSHKYHMDFGSDG
+RQVTERRKNLRVESTETILQTPKKQTKLPKTPETILQTPKKQTKLPKTPESSAKRKKLLR
+GGLAERLNGLQNRERSTISLWRHQGLSYQKTLSGIKSGVLTVKILELHEECSVHVATCRQ
+LSELQADGPSQGGAVGASLKVLFTKETAHCLRGRPQDVVHIYPPWQKLIIPGGSCPVILN
+TYFCQKVVAKEDSEASRDGHECYTPCPRRSSTLAQMFQFKSLTDSSPESKVLCDSHTAVG
+TDQTLHPEQVEPCVPSQAPLRDSLLDAVESHGAAAWTGVGVRVVVQRVYSLPCRGQQAHS
+ADPTRARVCLLVQDALGLFSEVHLEGGILKDRRLEGKCCRLSGMKVLQKVTRARMAELFS
+LIDTMWPPRAALKATGPGTAFEEKTHLPPPSFCYILSAHPDVGQIDVIEDDPVAELYQPP
+TPRFLKEILQTDGLCTHCSFCARVIYRRPQANSLFLEPREMWLVVTDITLQTLDKDSGLP
+RTMPVRVAASCLLDQEVRDALCKTTSQSFLFRDALQDQGQIVCVGRTVLLPLKPREGVTL
+GAWELTGPLRLDELGPATRVNSICSIQGTVVAVDESTACSWPVCDLCGSERLERSLADSS
+GTFSCGDCSHVVTLPILRRHLQVFLDSPSQPRCTVRVKLLQDSISSLLKFAACEDGVVSL
+WFGDCWSLGKAHGLESSKSYEVRSVLGKEVGPLTCFVRCITTHPTSSVGLEEVELLSEGR
+AS
+>tr|A0A3Q1M6G9|A0A3Q1M6G9_BOVIN Immunoglobulin superfamily member 9B OS=Bos taurus OX=9913 GN=IGSF9B PE=4 SV=1
+MVMSGASPADRLGACTLEGWRPLPLPPLRQARRAEGGGAERPAQAGGVFPLAMPGAASSQ
+LVGDSPLLCGTLLFGAVNIRLSWGWGVGGRWLRVSLLCSSRKHPGAGRGPHSPLAAVPAR
+TLVSTEGPRPLYLLSGHLLRAGRSVTGKRHGRAVLFRVGRAEVVSGTAGQDLLASPFLRP
+NVAVPLGAHGLREEPEFVTARAGESVVLRCDVVHPVTGQPPPYVVEWFKFGVPIPIFIKF
+GYYPPHVDPEYAGRASLHDKASLRLEQVRAEDQGWYECKVLLLDQQYDTFHNGSWVHLTI
+HAPPTFTETPPQYIEAKEGGSVTMTCIAFGNPKPIVTWLKEGTLLGASNKYQVSEGSLTV
+TSVSREDRGAYTCRAYSIQGEAVHTTHLLVQGPPFIVSPPENITVNISQDALLTCRAEAY
+PGNLTYTWYWQDENVYFQNDLKLRVRILIDGTLIIFRVKPEDAGKYTCVPSNSLGRSPSA
+SAYLTVQYPARVLNMPPVIYVPVGIHGYIRCPVDAEPPATVVKWNKDGRPLQVEKNLGWT
+LMEDGSIRIEEATEEALGTYTCVPYNTLGTMGQSAPARLVLKDPPYFTVLPGWEYRQEAG
+RELLIPCAAAGDPFPVITWRKVGKPSRSKHNTLPSGSLQFRALSKEDHGEWECIATNVVT
+SITASTHLTVIGTSPHAPGSVRVQVSMTTANVSWEPGYDGGFEQTFSVWMKRAQFGPHDW
+LSLPVPPGPSWLLVEALEPETAYQFSVLAQNKLGTSAFSEVVTVNTLAFPVTTPEPLVLV
+TPPRCLTANRTQQGVLLSWLPPANHSFPIDRYILEFRVGERWETLDDGIPGTELDFFARD
+LSQDTWYEFRVLAVMQDLISEPSNIAGVSSTDIFPQPDLTDEGLARPVLAGIVATICFLA
+AAILFSTLAACFVNKQRKRKLKRKKDPPLSITHCRKSLESPLSSGKVSPESIRTLRAPSE
+SSDDQGQLAAKRMLSPSREKELSLYKKTKRAISSKKYSVAKAEAEAEATTPIELISRGPD
+GRFVMDPSEVEPSAKARRIEGFPFAEETDMYPEFRQSDEENEDPLVPTSVAALKSQLTPL
+SSSQESYLPPPAYSPRFQPRGLEGPGGLESRLQATGQARPPAPRPFQHGQYYGYLSSSSP
+GEVEPPPFYMPEVGSPLSSVMSSPPLHAEGPFGHPTIPEENGENASNSTLPLTQTPTGGR
+SPEPWGRPEFPFGGLETPAMMFPHQLHPCEVGESLQPATGLPRGLPPASLQMPAAYPGIL
+SLEAPKGWAGKSPGRGPAPVPPTAKWQDRPVQPLVSQGQLRHTSQGMGIPVLPYPEPAEP
+GAHGGPSALGLDTRWYEPQPRPRPSPRQARRSEPSLHQVVLQPSRLSPLTQSPIGSRTGS
+PELAARARPRPGLLQQAEMSEITLQPPAAVSFSRKSTPSTGSPSQSSRSGSPSFRPTVGF
+TTLATAYPSPPPGPTGPADSLDVFGQTPSPRRLGEELLRPEPPAPPLPSSGTHPPAPGNA
+AAPERLEALKYQRIKKPKKSSKGSSKSKKRSGKCGAARHPSLPTPTCLYRSQLWVLCKVS
+SSSVSSSLLLSSANCKVIYK
+>tr|A0A3Q1MC41|A0A3Q1MC41_BOVIN Coiled-coil domain containing 85A OS=Bos taurus OX=9913 GN=CCDC85A PE=4 SV=1
+MSKTAGGASAAESCPPTSAGPSAAAAVEDLSKVSDEELLQWSKEELIRCLRRAEAEKVSA
+MLDHSNLIREVNRRLQLHLGEIRGLKDINQKLQEDNQELRDLCCFLDDDRQKGKRVSREW
+QRLGRYTAGVMHKEVALYLQKLKELEVKQEEVVKENMELKELCVLLDEEKGPGCAGSRCS
+IDSQASLCQLSATTAPYVRDVGDGSSTSSTGSTDSPDHKHHASGSSPEHLQKPRAEGSPE
+HSKHGSASPEHLQKARASGTPDHPKALKGPSPEHHKPLCKGSPEQQRHPHAGNSPETLPK
+HVLSGSPEHFQKHRPGGSPEHTRHSGGSPEHLQKHALGGSLEHLPRVRGTSPEHLKQHFG
+GSPDHKHVGGGGSGSSSREGTLRRQAQEDASAHHRNVYSGMNESTLSYVRQLEARVRQLE
+EENRMLPQATQNRRQPPTRNSSNMEKGWGPRARRVLQWWQGCRGIGRCLPTLPGSFRLSS
+GADGSNSSPNSPASFSGHTTPSQQPEPVVHSLKVLDVQETIDRQQGKEYEHDLSETEKAI
+VREMCNVVWRKLGDAAGSCPGIRQHLSGNQYKGPM
+>tr|A0A3Q1LKJ8|A0A3Q1LKJ8_BOVIN V-set and immunoglobulin domain containing 10 like OS=Bos taurus OX=9913 GN=VSIG10L PE=4 SV=1
+MRPAPARPFPQFPATPPSQSSCPFFSGFRLPPQGPLPTGPRLLGRRAPQRLADWLRGAQA
+QRRAGGRGERSGKQKVSSDPAGRRGRKMAELRALVAVKRVIDFAVKIRVKPDKTGVVTDG
+VKHSMNPFCEIAVEEAVRLKEKKLVKEIIAVSCGPAQCQETIRTALAMGADRGIHVEVPA
+AEANHLGPLQVARVLAKLAEKEKVDLVLLGKQAIDDDCNQTGQMTAGFLDWPQGTFASQV
+TLEGDKIKVEREIDGGLETLRLKLPAVVTADLRLNEPRYATLPNIMKAKKKKIEVIKAGD
+LGVDLTSKLSVISVEDPPQRTAGVKVETTEDLVAKLKEIGRI
+>tr|A0A3Q1N365|A0A3Q1N365_BOVIN Golgin A4 OS=Bos taurus OX=9913 GN=GOLGA4 PE=4 SV=1
+MFKKLKQKISEEQQQLQQALASPQASSSSSTPTRTRSRTSSFTESLDEGTPNRENASFQA
+TKSPDSVNGSEPTTPQSSDTQSFAQKLQLRVPSVESLFRSPVKESLVRSSSKESLVRTSS
+RESLNRFDLDSSAAFFDPPSDMESETEDSLGNLDSLSKEQLIQWLRRTERRLNGYKGKCC
+ELVTAYQTLHREKKKLQGILSQSQDKALRRIGELREELQMDQQAKKHLQEEFDASLEEKD
+QYISVLQTQVSLLKQRLRNGPVNADLPKPLPQMEPEAEGVTKENTDSDVEPVVGDGASAK
+AVEALQQRVKRQENLLQRCKETIRSHKEQCAQLTSEKEALQEQLDERLQELEKMKGMVIA
+ETKRQMHETLEMKEEEIAQLRSRIKQMTTQGEELREQKEKSERAAFEELEKALSTAQKTE
+EARRKMKAEMDEQIKAIEKASEEERVRLQQELSCVKQEVVDVTKKSSEQIAKLQKLHEKE
+LASKEQELTKKLQTQETEFQEQMRIALEKSQSEYLKITQEKDQQESLALEELELQKKAIL
+TESENKLRDLKQEAETYRTRILELESSLEKSLQENKNQSEDLTSHLEAEKNKHNKEITIM
+VEKHKTELESLQHQQDNIWTEKLQVLKQQHQTEMEELREKYEQEKETLVKDRETLFQAHI
+EEMNEKTLEKLDVKQTELESLSSELSEVLKTRDKLEEELSVLKDQADRVKQELEAKLDEQ
+KNHHQQQVDNIIKEQEISIQRTEKALKDEINQLGLLLKEKDRHLKEHQARVESLEADIKR
+SEGELQQASTKLELFQSLQNTTHEQAKVHEEQLAQLQQQLLDLETERILLTKQVAEVEAQ
+KKDVCAELDAHKIQVQDLLQKLEKQNREMEEKVKSLTQLSESQLKDNNTEQEQRKQLLME
+KENVILQMREAQSKETEILKQKLSAKEDSIRVLQEEYEAKFKNQEKKMEKIKQKAKEMQE
+ILKKKLLDQEAKLKKELENTALELSQKEKQFNAKILEMAQANSAGINDAVSRLETNQKEQ
+IESLTEAHRRELDDFIAVWERKLSQQAEELQEKHDIQLQEKEQEVAELKQKMLLFGCEKE
+EMNKEMAWLKEEGARQDTALKELQGQLKQNAALMDSLTQNEAKLKAELEKLEVDLNGSLK
+ENIFLQEQVAELKVLAEKDRLKVLEFTEKLKTTDEEFQSLKSSHDSSKKSLEDKSLEFKK
+LSEELAVQLDIYSKKTEALLQAKTSELIDISSSKISAILSRISHCQQHTAKVKEALLSKT
+CQVSELEAQLRQLTEEQNILNSSFQHAAHQLEEKESQIQSMKADIEGLVTEKEALQKEGG
+NQQQAASEKESCITQLKKELSENINAVTMMKEELKEKKAEISSLSKQLADLNAQLQNSIS
+LAEKEAAISSLSKRHDEAQQELLDQVRDLSLKVETLSKEKTSALEQADHLSIKFSEWKKK
+AQSRFTQYQNTSKELQVQLELKTKETSEKEEQLTLLKEDLDQQKKRFEYLKSEMEDKKSE
+MEKRDFNLETELKTQTVRIVELEEHVAQKTIEIESLNEVLKNYHQQKDSEQKEMIQKLQH
+IQELGEEKDNRVKEAEEKVLRLEEQASSMKSELESVKKELEHVNSIVKGKEEELKALEDR
+LELEGAAKLAELKKKAEQKIAAIKKQLLSQMEEKEQQYRKDKESHLSELTTKLQERDREI
+HILEEKLKSAESSPQSETSVVPRLSENVAVCTEQEEADSQGCVHNACEEKLGVLQRNLIE
+KEMLVQRLEQEKEEIISSHSEIQCRYQELLIKIEQAEAKQHEDRVMINQLQEELEGKNKK
+HSLVSSQHLEAEGDKNNAGAKQNLENVVDNVQKTLQGEDLTCQILEQKMKELDSCLLKER
+EGHRIEIEELTSKLESLQALQQMNGKSKPTEVLEEGAEGKSTSHVVQPSSLSNMEADHND
+LEFKLAGAEQEKRKLSQEVVKLQKDIRMLRKEHQQELDIIKKEYEKEMEEKIKQEQEDLE
+LKHNSTLKQLMREFHTQLAQKEQELEMTIKETIDKAQEVEAELLESHQEETNQLYKKIAE
+KEDDLKRTAKRYEEILDAREEEMTAKVTDLQTQFEDLQKKYQQRLEQEETPGSDKVTIME
+LQTQLAQKTTLISDSKLKEQEFREQVQVYLVLLILK
+>tr|A0A3Q1LMZ3|A0A3Q1LMZ3_BOVIN Neurofascin OS=Bos taurus OX=9913 GN=NFASC PE=4 SV=1
+MAGDMLIMTFCVCWALIKGLDQSLSFTCSFRHPVNKHIPGLGFMSRTIQRTVWVGREVKD
+MKLWLTDFCAVWDAGVFLVSAAGKGAPSGVKKRRLNEAETLNSVREAQFQRLEAARPRGL
+GRGARGPVLRMAGQPPPWAYTAVLLCALSLGGAIEIPMDPSIQNELSQPPTITKQSVKDH
+IVDPRDNILIECEAKGNPAPSFHWTRNSKFFNIAKDPRVSMRRRSGTLVIDFRSGGRPEE
+YEGEYQCFARNKFGTALSNRIRLQVSKSPLWPKENLDPVVVQEGAPLTLQCNPPPGLPSP
+VIFWMSSSMEPITQDKRVSQGHNGDLYFSNVMLQDMQTDYSCNARFHFTHTIQQKNPFTL
+KVLTNHPYNDSSLRNHPDMYSARGVAERTPSFMYPQGTASSQMVLRGMDLLLECIASGVP
+TPDIAWYKKGGDLPSDKAKFENFNKALRITNVSEEDSGEYFCLASNKMGSIRHTISVRVK
+AAPYWLDEPKNLILAPGEDGRLVCRANGNPKPTVQWMVNGEPLQSAPPNPNREVAGDTII
+FRDTQISSRAVYQCNTSNEHGYLLANAFVSVLDVPPRMLSPRNQLIRVILYNRTRLDCPF
+FGSPIPTLRWFKNGQGSNLDGGNYHVYENGSLEIKMIRKEDQGIYTCVATNILGKAENQV
+RLEVKDPTRIYRMPEDQVAKRGTTVQLECRVKHDPSLKLAVSWLKDDEPLYISNRMKKEE
+DSLTIFGVAERDQGSYTCVASTELDQDLAKAYLTVLADQATPTNRLAALPKGRPDRPRDL
+ELTDLAERSVRLTWIPGDDNNSPITDYVVQFEEDQFQPGVWHDHSKFPGSVNSAVLRLSP
+YVNYQFRVIAINEVGSSHPSLPSERYRTSGAPPESNPSDVKGEGTRKNNMEITWTPMNAT
+SAFGPNLRYIVKWRRRETRETWNNVTVWGSRYVVGQTPVYVPYEIRVQAENDFGKGPEPD
+TVIGYSGEDYPRAAPTDVKIRVLNSTAISLQWNRVYSDTVQGQLREYRAYYWRESSLLKN
+LWVSQKRQQAGFPGDRLRGVVSRLFPYSNYKLEMVVVNGRGDGPRSETKEFTTPEGVPSA
+PRRFRVRQPNLETINLEWDHPEHPNGILIGYTLKYVAFNGTKVGKQIVENFSPNQTKFTV
+QRADPVSRYRFSLSARTQVGSGEAVTEESPAPPNEATPTAAPPTSPPTTVGVPGTVSSTD
+ATATAAATEATTVPTVPPVAPTTTATTEATATTASTATTTTTESPATTSRTEIQESAPDE
+QSIWNVTVLPNSKWANISWKHNFGPGTDFVVEYTDSNHTKKTVPVKAQAQPVQLTDLYPG
+MTYTLRVYSRDHEGISSAVITFMTSAAYTNNQADIATQGWFIGLMCAIALLVLILLIVCF
+IKRSRGGKYPVREKKDVPLGPEDPKEEDGSFDYSDEDNKPLQGSQTSLDGTIKQQESDDS
+LVDYGEGGEGQFNEDGSFIGQYTVKKDKEETEGNESSEATSPVNAIYSLA
+>tr|A0A3Q1LV02|A0A3Q1LV02_BOVIN Peptidylprolyl isomerase domain and WD repeat-containing protein 1 OS=Bos taurus OX=9913 GN=PPWD1 PE=4 SV=1
+MAAECGSDSQPRRRRRRDPEEPGKTELSAREPVVAVPQENEEENEERWVGPLPVEATLAK
+KRKVLEFEKVYLDNLPSASMYERSYMHRDVITHVVCTKTDFIITASHDGHVKFWKKIEEG
+IEFVKHFRSHLGVIESIAVSSEGALFCSVGDDKAMKVFDVVNFDMINMLKLGYFPGQCEW
+IYCPGDAISSVAASEKSTGKIFIYDGRGDNQPLHIFDKLHTSPLTQIRLNPVYKAVVSSD
+KSGMIEYWTGPPYEYKFPKNVNWEYKTDTDLYEFAKCKAYPTSICFSPDGKKLATIGSDR
+KVRIFRFLTGKLMRVFDESLSMFTELQQMRQQLPDMEFGRRMAVERELEKVDAVRLINIV
+FDETGHFVLYGTMLGIKVINVETNRCVRILGKQENIRVMQLALFQGIAKKHRAATTIEMK
+ASENPVLQNIQADPTIVCTSFKKNRFYMFTKREPEDTKSADSDRDVFNEKPSKEEVMAAT
+QAEGPKRVSDSAIIHTSMGDIHIKLFPVECPKTVENFCVHSRNGYYNGHTFHRIIKGFMI
+QTGDPTGTGMGGESIWGGEFEDEFHSTLRHDRPYTLSMANAGSNTNGSQFFITVVPTVSR
+TCMCVCQVASVMFDSLQL
+>tr|A0A3Q1LFZ6|A0A3Q1LFZ6_BOVIN Tropomyosin alpha-1 chain OS=Bos taurus OX=9913 GN=TPM1 PE=1 SV=1
+MSLEGRRVAGLGSGVLGFFTVPLGTHLSPKPLARLLSLLGRDLAVRSGALPPPVGGTVAP
+CSQIRYSGFSAAPGRGAGSPSNILGAVGPRSPAHLVPPQRAPRPSAGQPRARAVPPATGE
+FPGSAARDPPRPLGPPPALAHPLAVRLSFPGGRDFRAEPGPQGAPPSRAAAWAGRAVGRS
+AAAAAAAGAQQPASPTAARPLAGPPPLGAMAGSSSLEAVRRKIRSLQEQADAAEERAGSL
+QRELDYERKLRETAEADVASLNRRIQLVEEELDRAQERLATALQKLEEAEKAADESERGM
+KVIESRAQKDEEKMEIQEIQLKEAKHIAEDADRKYEEVARKLVIIESDLERAEERAELSE
+GKCAELEEELKTVTNNLKSLEAQAEKYSQKEDKYEEEIKVLSDKLKEAETRAEFAERSVT
+KLEKSIDDLEEKVAHAKEENLSMHQMLDQTLLELNNM
+>tr|A0A3Q1MA94|A0A3Q1MA94_BOVIN Src homology 2 domain containing F OS=Bos taurus OX=9913 GN=SHF PE=4 SV=1
+MLLSGAPPAGSGPGPRAQGSAGGGPAGSRRGAGGAGAGPGGGGSGGVAKWLREHLGFRGG
+GGGGGGGKPAPPEPDYRPPAPSPAAPPAPPPDILAAYRLQRERDFEDPYSGGPSGTATLA
+TPSAPGPTPPPRHGSPPHRLIRVETPGPPAPPPEERISGHPASSDRLAILEDYADPFDVQ
+ETGEGPIGAAGAPEKVPENDGYMEPYEAQKMMAEIRGSKETAAQPLPLYDTPYEPEEEGA
+TPEGEGAPWPRESRLPEDDERPPEEYDQPWEWKKERISKAFAAGITGPSAEQMPRTCSGC
+AKRPAIWCATVRPARTTSPCPSSEWGLLAGGSRQDEPVRMSPRGISVRTTSRAGGVSSSL
+PGWRERHPL
+>tr|M0QW04|M0QW04_BOVIN Aldo_ket_red domain-containing protein OS=Bos taurus OX=9913 GN=LOC538060 PE=4 SV=2
+MDPKGQRVKLNDGHFIPVLGFGTAVPPEVPKREALEVTRFAIEVGFRHIDCAYVYKNEEH
+VGQAIRSKIADGTVKREDIFYTSKLWCTFHQPELVRPALEKSLKNLQLDYVDLYIIHFPL
+AMKPGEELFPKDENGKLIFDLVDLCHTWEALEKCKDAGLTKSIGVSNFNRKQLEKILNKP
+GLKYKPVCNQVECHPYLNQSKLLDFCKSHDIVLVAYGALGSQRIKEWVNPNHPVLLEDPV
+LCAIAKKHKQTPALVALHYQVQRGVVVLAKSYNKKRIKENIQVFDFELSPDDMKAIDGLN
+RNLRYYEFLIGLSHPEYPYHEEY
+>tr|A0A3Q1MC54|A0A3Q1MC54_BOVIN Docking protein 7 OS=Bos taurus OX=9913 GN=DOK7 PE=4 SV=1
+MLAYKDKSERAKGLRERSSLTLEDICGLEPGLPYEGLAHTLAIVCLSQAVMLGFDSREAM
+CAWDARIRYALGEVHRFPVIVAPGTKLESGPATLHLCNDILVVARDVPPAVAGQWKLSDL
+RRYGAVPNGFIFEGGTRCGFWAGVFFLSSTEGDQISFLFDCIVRGISPTKGPFGLRPVLP
+DPSPGGPALEERVAQEALEALQLEKRLSLLSHPGRPGSGGDDRSVSSSSSEASHSDVSAS
+SRLTPWPEPSSSSASTSQEGPGLAAAQAPGEATPGTARPAPKPLRPRQLQEVGRQSSSDS
+GIATGSHSSYSGSFSSCAGSSLDVWRAGDELGSLLSLPAAGAPEPGLCACPPGVSEYQVP
+AAPRPHYDTPRSLRQAPRDQPPVAPGSPEGGTARDSGCTAGWPGERRRGPAPEAAAGAGE
+SWEAGAPHAGPPPALFSACPICGGLKVKPPP
+>tr|A0A3Q1LVT1|A0A3Q1LVT1_BOVIN F-box protein 47 OS=Bos taurus OX=9913 GN=FBXO47 PE=4 SV=1
+MTSRVNTSFTLIPNQKYRRSSRRSSCFSNTFDSDSQPLSTLGNFKALPLEIFQIILKYLS
+VKDISMLSMVSKTVSQHIINYISTSSGSKRLLLQDFHDLELPDREDTAIVEHYRSLGLLF
+KRCTLLLPTKERLKYIHKILADVSCFKFNGCAAPLQCVGLLCYGIFLQTLTAGWDELECH
+RVYNFLCELTHLSRKMQTVVCSKPGSARKLELRIRLFCRNVLLDHWTHRSDSAFWLTCIL
+KPWPMVNQARLLYIIFGPISPQDGQVVWQKMIEGPTDESCLKGLAEAIKLLYDTGTKEWT
+ADDVISLVDELSVVPREWLLENNARLLILSGNNICFTFMASKAVNGRTIELAKLIVFLAL
+VSEKELYCMDWAVKMMQKVCKVFSTPVERNNFLQSVADAFACAIMEMLQLVMSGDGDDDD
+RSFMNLFHLVQAQASFHKEVLYLTMNVLPS
+>tr|A0A3Q1MYG7|A0A3Q1MYG7_BOVIN Major facilitator superfamily domain containing 3 OS=Bos taurus OX=9913 GN=MFSD3 PE=4 SV=1
+MAMLGKLLPLAGLYLVQGLPYGLQSGLLPVLLRARGLSLTRVGLAKALYAPWLFKLVWAP
+LVDKWGSSRGWLALSTAALGLLCGLLATLPPAGPGGAALPVPVAALLLLLNLAAAVQDVA
+LDMLAVRLLEPAELGPGNTVQVVAYKLGAALASGGLLALLPALSWTLLFLLLAATYWLAA
+AAAWVAPALRQLPVPPPSAHPRPSLRLQQDLLAVPGTLWTAGFVLTYKLGEQGASGLFPL
+LLLDGGISTAELGLWNGVGAVLCSIAGSSLGGVLLARCWQPLPLLRSVLWFRLGGLAYQT
+ALLFQLNSPRTNPVPGTVLRGATLLSLCLQHLLGGLVTTTTFTMMMRCSQLAPSALQATH
+YSLLATLELLGKLLVGTLAGALADSLGPRLCFSLFLALSATPMLYLGFAPSALA
+>tr|A0A3Q1M605|A0A3Q1M605_BOVIN Rho guanine nucleotide exchange factor 28 OS=Bos taurus OX=9913 GN=ARHGEF28 PE=4 SV=1
+MRVIFVRNTQDCLLFSPRWEEDAETMELNCSEVPLYGQMTVCAKFGKNVYLPKDAEFYFI
+YNGSHQRHIVIAERTEDNVLQSSIPGHGLQETVTVSVCLCSEGYSPVTMGSDSVTYVDNM
+ACRLARLLVTQADRLTASSHQTLLTPFALTAGALPALDEELVLALTHLELPLGWTVLGNS
+SLEVSLHRESLLHLAMRWGLAKLSQLLLCLPGGAQALTLPNEEGATPLDLALHGGHSKLV
+EDITNFQGRRSPGFSCVQLSEDASLRYIHSSETLTLTLNHTAEHLLEADIKLFRKYFWDR
+AFLIKALEEQEGTSEEKPDMPSSAAETEEEIKNSGCSRSPPGKEGVKRVKSLVVPLNEHE
+DQERLDLDRSFDALKKSKHPSTLLAAGQLSDMLNGGDDVYANCMVIDQVGDLDINYINIE
+EIPANTCLESMDSSLGPESSKPSLPTEASAGTYPPNKRPEVTSHTEAQSSFISSSSYASN
+LNLSFGLRGFEKEQSRLKKRSSSLDALDADSEGEGHSEQSHNCYTPVCQSSSRTGIASGD
+ELDSFETNTEPDFNISRTESFSLSSSLQLKESLFSGIRSRSYSCSSPKISLGKTRLMRDF
+TVCNSIEEQRAYSLPEPPREQRIQEEEWDKYIIPAKSESEKYKVSRTFSFLMNRMTSPRN
+KSKTKGKDAKDKEKLTRHQFVPGTFSGVLQCLVCDKTLLGKESFQCSNCNGNVHKVCKDA
+APPCTKKFQDKYNKNKPQTILGNSSFRDVPLPGLSLHPSSSMPIGLPTGRKEMAGQVHPL
+SRSVPGTTLESFRRPGASLESENDGSTWRSRSHSDELFQPMGSSPSTDSFLTEDVVDSSL
+WSDLSGDAQEFEAESWSLVVDPSFCSRQEKDVIQRQDVIFELMQTELHHIQTLFIMSEIF
+RKGMKEELQLDHSTVDKIFPCLDELLEIHKHFFCSMKERRQESCVGNDRNFIIGRIGDIL
+VQQFSEENANKMKKIYGEFCSHHKEAVSLFKELQQNKKFQNFIKLRNSNLLARRRGIPEC
+ILLVTQRITKYPVLVERILQYTKESTEEQRDLCKALCLIKDMIAAVDLKVSEYEKKQKWL
+EILNKIENKTYTKLKNGHVFRKQALMSKERTLLYDGLVYWKTATGRFKDILALLLTDVLL
+FLQEKDQKYIFAAVDQKPSVISLQKLIAREVANEERGMFLISASSAGPEMYEIHTNSKEE
+RNTWMRRIQQAVESCPEEEGGRTSESDEERRKAEARVAKIQQCQEILSNQDQQICTYLEE
+KLHIYAKLGELSGFQDVHLEPHLLIQPDPGEPPQAASLLAAALKEAESLQVAVKASQMSD
+ACQSPEEGCGEPALPDVLHSQDAPGSSTASLVTEGTGGRGCWDVDAGTQGVGTDLAVSDA
+GEKVEYRSFPGSLQSEIIQAIQNLTRLLYSLQAALTIQDSHIEIHRLVLQQRESLAPGPA
+FRGSPFQDQEKSRSLEKQREELADTHKLQLQFQQDQHRWLRRCDQQQREQEARASRLQER
+ERECRAQEELLLRSRGELDQQLQDYQQSLERLREGQRLVERERERMRAQQSLLRHWKHGR
+QSSLPAAFSPGALEVMELNRSETLRHENSFFINEALVQMSLNPLNKPPPPDINHELWIAA
+GACHQIPPLHQSSKDSCKNGN
+>tr|A0A3Q1MDW0|A0A3Q1MDW0_BOVIN AKAP7_RIRII_bdg domain-containing protein OS=Bos taurus OX=9913 GN=AKAP7 PE=4 SV=1
+ISLCNYRICLQEKGLLVVCSPLPFLETYLQSRAAHVALISGRELPVLSELGERRAASFPE
+SQSRFPESQPRISCVGLGPGEVEPACSQRLREPPRRRASPPPLSLLLCCSAEWRRQGVLA
+DRGVNCGCSLGSPGRTPGGRESASAMGQLCCFPFSRDEEKISDKNGGEPDDAELVRLSKR
+LVENAVLKAVQQYLEETQNKNKPGDGSSVKTEEADRNGTDSDNRK
+>tr|A0A3Q1M529|A0A3Q1M529_BOVIN Microtubule associated protein 7 OS=Bos taurus OX=9913 GN=MAP7 PE=4 SV=1
+MEDTKLASPDGNKVQDKKTTASHRPSTISGQNSNHSGNKPDPPPVLRVDDRQRLARERRE
+EREKQLAAREIVWLEREERARQHYEKHLEERKKKLEEQRLKEERRRAAVEEKRRQRLEED
+KERHEAVVRRTMERSQKPKQKQNRWSWGGALHGSPSIHNTGGFVESSFTFLDLAGLDHHF
+TTFGGTRKPDPDRRSVSTMNLSKHVDPVISKRLSSSSATLLNSPDRARRLQLSPWESSVV
+NRLLTPTHAFLARSKSTAALSGDSVIPICPRSASCSPINITPYRAAHSRNPLERPKFFVT
+PPEGSARRRTVHGTAGYKREKERENVPFHLTCGSQRSLSPSHPKARSPASSRVWLPSKSF
+PHLPGTPRPAPSTPPGPVKAAPAQLRPPSPGNIRPVKREVRVESERKDPDKEPQKVTSEP
+SLKGRTPLVKVEESTVEEGTPDETESAPAAPAAASAPAPAPGSTPAPTPAPSSTLTASAS
+PKTSAGTTDPEEATRLLAEKRRLAREQREKEEREKREKEELERQKREELAQKVAEERSRR
+EEEARQLEAELAREQEEQLRRQEEERARREREEMERIRKQKEEEARVREEAERVRQEREK
+HFQREEQERLERKKRLEEIMKRTRRTEASDKKTVDQRNGDITKGTPTGGIAVSTLPNMTN
+SPGSEEPEASTHVVTTHQSKVTVDSTPSLEKQPSENGISVQNENFEEIINLPIGSKPSRL
+DVTNSENPDIPLNPILAFDDEGTLGPLPQVDGVQTQQTAEVI
+>tr|A0A3Q1LK59|A0A3Q1LK59_BOVIN WD repeat-containing protein 76 OS=Bos taurus OX=9913 GN=WDR76 PE=3 SV=1
+MSGSRAEAEQKGGSRQRLQVNEYKENQNIADTSLRPVQTTVLGVTAKVCLVPFSLSNYNT
+GQLKRPKSLLDKNSNSEVTCKKSKKAEIKKSCRRLLTPKMEATSSKEESTLQKSSLDVHA
+ESNKWQYKSPSDTVVLSPDTESSQEGDSDEDTTPGLSAARFREMIKKRQPPESKRKKPKK
+KENETGCRRSMRLLKVDPSGVPLPAAPIQTVLEVDENPLIPPGPLEMTPENQDDDNALFK
+GFLQTWAEMSKTRSKNTEKELSSIKSYKVHLNGMVISEDTVCKVTRGSISSMAIHPSEMR
+TLVAAGAKSGQVGLWDLTHQPKEDGVYLFQPHSQPVSCLYFSPANPAHLLSLSYDGTLRC
+GDFSRAVFEEVYRDETRSFSSFDFLTEDASTLIVGHWDGSMSLVDRRTPGTSCEKLIKTS
+LSKIRTVHVHPVQRQYLITAGLRDIHVYDARCLNLSRNQPLISLTEHTKSIASAYFSPLT
+GNRVVTTCADCKLRIFDSSCISSKIPLLTTIRHNTITGRWLTRFQAVWDPKQEDCIVVGS
+MAQPRRVEVFHETGKWVHSFLGGECLASVCSINAMHPTRYILAGGNSSGRVHVFMN
+>tr|A0A3Q1MDU5|A0A3Q1MDU5_BOVIN Cytoplasmic polyadenylation element binding protein 3 OS=Bos taurus OX=9913 GN=CPEB3 PE=4 SV=1
+MQDDLLMDKSKTQPQPQQQRQQQQQPQPEPSTAEAPSTPLSSETPKPEDSSAVPALSPAA
+APPAPNGPDKMQMESPLLPGLGFHQPPQQPPPPPQEPAAPGASLSPSFGSTWSTGTTNAV
+EDSFFQGITPVNGTMLFQNFPHHVNPVFGGTFSPQIGLAQTQHHQQPPPPAPQPAQPAQP
+PQAQPSQQRRSPASPSQAPYAQRGATAAYGHQPIMTSKPSSSSAAAAVAAAAAASSASSS
+WNTHQSVNAAWSAPSNPWGGLQAGRDPRRAVGVGVGVGVGVPSPLNPISPLKKPFSSNVI
+APPKFPRAAPLTSKSWMEDNAFRTDNGNNLLPFQDRSRPYDTFNLHSLENSLMDMIRTDH
+EPLKGRMGINFHHPGTDNIMALNSRSSLFPFEDAFLDDSHGDQSLSSGLSSPTRCQNGER
+VERYSRKVFVGGLPPDIDEDEITASFRRFGPLVVDWPHKAESKSYFPPKGYAFLLFQEES
+SVQALIDACLEEDGKLYLCVSSPTIKDKPVQIRPWNLSDSDFVMDGSQPLDPRKTIFVGG
+VPRPLRAVELAMIMDRLYGGVCYAGIDTDPELKYPKGAGRVAFSNQQSYIAAISARFVQL
+QHNDIDKRAILLTTWSCGPNSAD
+>tr|F1MXZ9|F1MXZ9_BOVIN THAP domain containing 8 OS=Bos taurus OX=9913 GN=THAP8 PE=4 SV=1
+MPKYCLAPNCSNTAGQLGADNRPVSFYKFPLKDGPRLQAWLRHMGREHWVPSCHQHLCSE
+HFAPSCFQWRWGVRYLRPDAVPSIFSRVPPAQRQQSSRSTEKPVVPPPLQATPSLASGPV
+QLLVLGPASGAPEAPATVFLTPLSLQPAPAGPRPGASAQHPRAGLGAALGALQRRVRRLQ
+RRHERHQARLRALEQLARQLQARAHWGRLCALPRPEESQAFTIICGGPDIAVVLAHGPAS
+PTLDAKPELLDTQTPGA
+>tr|A0A3Q1M3E1|A0A3Q1M3E1_BOVIN ATP binding cassette subfamily C member 9 OS=Bos taurus OX=9913 GN=ABCC9 PE=4 SV=1
+MSLSFCGNNISSYNIYDGVLQNPCFVDALNLVPHVFLLFITFPILFIGWGSQSSKVQIHH
+NTWLHFPGHNLRWILTFALLFVHVCEIAEGIVSDSRRESRHLHLFMPAVMGFVATTTSIV
+YYHNIETSNFPKLLLALFLYWVMAFITKTIKLVKYWQSGWGISDLRFCITGIMVILNGLL
+MAVEINVIRVRRYVFFVNPQKVKPPEDLQDLGVRFLQPFVNLLSKATYWWMNTLIISAHK
+KPIDLKAIGKLPIAMRAVTNYVCLKDAYEEQKKKVADDPNRTPSIWLAMYRAFGRPILLS
+STFRYLADLLGFAGPLCISGIVQRVNETQNETNNPTGVKTLSSKEFLENAYVLAVLLFLA
+LILQRTFLQASYYVTIETGINLRGALLAMIYNKILRLSTSNLSMGEMTLGQINNLVAIET
+NQLMWFLFLCPNLWAMPVQIIMGVILLYNLLGSSALVGAAVIVLLAPIQYFIATKLAEAQ
+KSTLDYSTERLKKTNEILKGIKLLKLYAWEHIFCKSVEETRMKELSSLKTFALYTSLSIF
+MNAAIPIAAVLATFVTHAYASGNNLQPAEAFASLSLFHILVTPLFLLSTVVRFAVKAIVS
+VQKLNEFLLSDEIGEDSWRTGEGSLPFESCKNYQGNPKTINRKQPGRYHLDNYEQSTRRL
+RPMETEDIAIKVTNGYFSWGSGLATLSNIDIRIPTGQLTMIVGQVGCGKSSLLLAILGEM
+QTLEGKVHWSNRNRYSVAYAAQKPWLLNATVEENITFGSPFNKQRYKAVTDACSLQPDID
+LLPFGDQTEIGERGINLSGGQRQRICVARALYQNTNIVFLDDPFSALDIHLSDHLMQEGI
+LKFLQDDKRTLVLVTHKLQYLTHADWIIAMKDGSVLREGTLKDIQTKDVELYEHWKTLMN
+RQDQELEKVIDMEADQTTLERKTLRRAMYSREAKAQLEDEDEEEEEEEDEDDNMSTVMRL
+RTKMPWKTCWRYLTSGGFFLLFLMIFSKLLKHSVIVAIDYWLATWTSEYSINSTGKADQV
+QRHRSVLCDFILCGAGIFLCLVTSLTVEWMGLTAAKNLHHNLLNKIILGPIRFFDTTPLG
+LILNRFSADTNIIDQHIPPTLESLTRSTLLCVSAIGMISYATPVFLVALVPLGVAFYFIQ
+KYFRVASKDLQELDDSTQLPLLCHFSETAEGLTTIRAFRHETRFKQRMLELTDTNNIAYL
+FLSAANRWLEVRTVLQVILSPHIQCSQKLLLYLLCAHCFCHLTQITNYLNWVVRNLADVE
+VQMGAVKKVNSFLTMESENYEGTMDPSQVPEHWPQEGEIKIHDLCVRYENNLKPVLKHVK
+AYIKPGQKVGICGRTGSGKSSLSLAFFRMVDIFDGKIVIDGIDISKLPLHTLRSRLSIIL
+QDPILFSGSIRFNLDPECKCTDDRLWEALEIAQLKNMVKSLPGGLDAVVTEGGENFSVGQ
+RQLFCLARAFVRKSSILIMDEATASIDMATENILQKVVMTAFADRTVVTIAHRVSSILDA
+GLVLVLSEGILVECDTVPNLLALKNGLFSTLVMTNK
+>tr|F1N402|F1N402_BOVIN Centrosomal protein of 44 kDa OS=Bos taurus OX=9913 GN=CEP44 PE=4 SV=2
+MATGDLKRSLRNLEQVLRSLNYPREVDCVGLVKGDTAASLPIISYSLTSYSPYVAELLVD
+SNIELLAKNDLRFIDTVYKLLRDQFNYKPILTKKQFIQCGFAEWKIQIICDILNCVMKKH
+KELSSLEKTPSQQRKKTSSAKSEPCSSTEKTSTEPVGIDVTGRFVTSGKKKAVVIRHLYN
+EDGANIPEDTVTDVNEAFDVCDIKAAEITIPELQVPDINCEQEDITVNPEVTALQSMLAE
+CQEKLKKLTCIESRLESLEEKMKGKVLVNEKTWANLLSRVTLLETEMLLSKKNDEYIQFN
+EMSEDYSSSSDMDSLNPDRKSKEERHANIPLSSGYSTVSSDSTPRTSTVNYCGLKEISEE
+TTMQKMERMKKMFEETAELLKCPNH
+>tr|A0A3Q1MTS9|A0A3Q1MTS9_BOVIN Nuclear receptor binding SET domain protein 2 OS=Bos taurus OX=9913 GN=NSD2 PE=4 SV=1
+MEFSVRKSPLSAPKVVKCVKMKQAPEVLGSANGKTPSCDVSRECSVFLSKAQLSAGLQDG
+VVQKFNGHDALPFIPAERLKDLTSRVFNGEPGAHDAKLRFESQEVKGLGTPPHTTPVKNG
+SPEIKLKITKTYMNGKPLFESSICGDGAAAVSHSEADGQRPEYKARRSRKRSAQRGPVLE
+HGLGEAALASRLSSPVDKKIPVKRESCPNSSRDKGQLLKYDVGDLVWSKVSGYPWWPCMV
+SADPLLHSHTRLKGQKKSARQYHVQFFGDAPERAWIFEKSLVAFEGEGQFEKLCQESAKQ
+APTKAEKIKLLKPISGKLRAQWEMGLVQAEAAARMAVEERKAKFTFLYVGDQLRLNPHVA
+KEAGVAVESLGEAAEPSGVEEEAADSPKSSGEEGAPVKRRRRAKLSSSTENLPGDPGPGR
+ATPQKVAEADSRRGAGSPPGRKKAAASTPRSRRGDAASQFLVFCQKHRDEVVAEHPDASA
+EEIEELLASQWNMLNEKQKARYHTKFALVAPPQSEEDSGNLNGKKRSHTKRTQGLRGDAE
+VEEAPRKRLRTDRHGLRKRETITDKTARTTSCKALEAASSLKSQAATKHLSDACKPLKKR
+HRAPAAAATTLTFSKSSSPSASLTENELLWEPHQPSWI
+>tr|A0A3Q1MTH9|A0A3Q1MTH9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MSRQNTLHSFFPKFPGVNNANKAPVRASSESRATATSTGASLPPGGDVAWSEAGPEPLAV
+TMSGAEARNLNGGLRKSASPAVPTAVYRFTFKGSPERRSFLQCKA
+>tr|A0A3Q1N173|A0A3Q1N173_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MKVKAESEKAVLNKKRRSWHPAPGFGFGIAISGGRDNPHFQSGETSIVISDVLKGGPAEG
+QLQENDRVAMVNGVSMDNVEHAFAVQQLRKSGKNAKITIRRKKKVQIPVNRPDPEPVSEN
+EEDSYDEEAHDPRSGRGALANRRSEKSWGRDRSASRERSLSPRSDRRSVASSQPARPTKV
+TLVKSRKNEEYGLRLASHIFVKEISQDSLAARDGNIQEGDVVLKINGTVTENMSLTDAKT
+LIERSKGKLKMVVQRDERATLLNVPDLSDSIHSADASERDDISEIQSLASDHSGRSHDRP
+PRHSRSRSPDQRSEPSDHSRHSPQQPSSVVCVLDACFWFYTCSILCKISGRAQGSVGQQW
+T
+>tr|A0A3Q1MUQ2|A0A3Q1MUQ2_BOVIN Glutamate-rich protein 2 OS=Bos taurus OX=9913 GN=ERICH2 PE=4 SV=1
+MSTLPMLRVRGPQAGKRRRTERGRRLPISASNLTQFLPRTPSGPALEPANFSDRSRFGLW
+RPTTAQAAHEKFLRAEMGHDYHLAKKLCQMILIYEPENPEAKEFFSLIEEMLLMEKAQNL
+EEDDDESEEDNSESEGESTEDPSEESSDECEDG
+>tr|F1N1C9|F1N1C9_BOVIN Bromodomain and WD repeat domain containing 3 OS=Bos taurus OX=9913 GN=BRWD3 PE=4 SV=2
+VQTQKYCYSNAHIPPDYLLKICERIGPLLDKEIPQSVPGVQTLLGVGRQSLLRDAKDCKS
+TLWNGSAFAALHRGRPPELPVNYVKPPNVVNITSARQLTGCSRFSHIFPSAAYQHIKMHK
+RILGHLSSVYCVAFDRSGRRIFTGSDDCLVKIWATDDGRLLATLRGHSAEISDMAVNYEN
+TLIAAGSCDKVVRVWCLRTCAPVAVLQGHSASITSIQFCPSTKGTTRYLTSTGADGTICF
+WQWHVKTMKFKDRPVKFTERSRPGVQISCSSFSSGGMFITTGSTDHVIRIYYLGSEIPEK
+IAELESHTDKVVAVQFCNNGDSLRFVSGSRDGTARIWQYQQQEWKSIVLDMATKMTGNNL
+PSGEDKVTKLKVTMVAWDRYDTTVITAVNNFLLKVWNSITGQLLHTLSGHDDEVFVLEAH
+PFDQRIILSAGHDGNIFVWDLDRGTKIRNYFNMIEGQGHGAVFDCKFSPDGNHFACTDSH
+GHLLLFGFGCSKYYEKIPDQMFFHTDYRPLIRDANNYVLDEQTQQAPHLMPPPFLVDVDG
+NPHPTKFQRLVPGRENCKDEQLIPQLGYVANGDGEVVEQVIGQQTNDQDESILDGIIREL
+QREQDLRLINEGDAPHFPINRSYSSNGALRNPDMDISASPNIGLRRSGQIEGVRQMHNNA
+PRSQMATERDLMAWSRRVVVNELNNGVSRVQEECRTVKGDIEISLYTVEKKKKPSYTTQR
+SDYQPSYGRSLRRTQRKRQHTYQTRSNIEHNSRASCQNSGVQEDSDSSSEEDETVGTSDA
+SIEDPVIEWQSESSSSDSSSEYSDWTADAGINLQPPKRQTRQATQKICSSSEEENLKSLE
+ERQKKPKQTRKKKGGLVSMAGEPNEEWFAPQWILDTIPRRSPFVPQMGDELIYFRQGHEA
+YVRAVRKSKTYSVNLQKQPWNKMDLREQEFVKIVGIKYEIGPPTLCCLKLAFLDPISGKM
+TGESFSIKYHDMPDVIDFLVLHQFYNEAKERNWQIGDRFRSIIDDAWWFGTVESQQPFQP
+EYPDSSFQCYSVHWDNNEREKMSPWDMEPIPEGTAFPDEVGAGVPVSQEELTALLYKPQE
+GEWGAHSRDEECERVIQGINHLLSLDFASPFAVPVDLSAYPLYCTVVAYPTDLNTIRRRL
+ENRFYRRISALMWEVRYIEHNARTFNEPDSPIVKAAKIVTDVLLRFIGDQSCTDILDTYN
+KIKAEELNSTDAEEDTEMVDLDSDGPGTSSGRRVSRRQSLRFNPDAWRKQCKELLGLIYE
+REDSEPFRQPSDPLSYPDYHDVIDTPMDFSTVKETLETGNYGSPLEFYKDVRQIFSNSKA
+YTSNKKSRIYSMTLRLSALFESRIKNIISEYKSAIQSQKRRRPRYRKRLRSSSSSLSMSK
+LNLKKFIHLDLDHSIDGPLTNGDGREPRTGIKRRLLSASEEDEIMGREEKEKKETKEKSH
+LSSSESGELESSLSSESTCGSDSDSESTSRTDQDYVDGDHDYSKFIQTRPKRKLRKQYAN
+GKRNWKTRGTGGRGRWGRWGGGRGRGRGRGGRGASRGATRAKRARITDDEFDTMFSGRFS
+RLPRIKTRNQGRRTVLYNDDSDNDNFVSTEDPLNLGTSRSGRVRKMTEKARVSHLMGWNY
+>tr|A0A3Q1M7Q7|A0A3Q1M7Q7_BOVIN Histocompatibility minor 13 OS=Bos taurus OX=9913 GN=HM13 PE=4 SV=1
+MGHPGIKEIDWEWRMGSSGLPRSGCPLQWSSAPFPTTSMLLSNRPPGPPPPLEGARAWRH
+ERARVRGAGRRGRHVTSCFFRGTWLSPQRRIFLSASPLQRLELELDPERSFAMDSAVSDP
+HNGSAEAGGPTNGTTRPPSTPEGIALAYGSLLLMALLPIFFGALRSVRCARGKNASDMPE
+TITSRDAARFPIIASCTLLGLYLFFKIFSQEYINLLLSMYFFVLGILALSHTISPLMNKF
+FPANFPNRQYQLLFTQGSGENKEEIINYEFDTKDLVCLGLSSIVGVWYLLRKHWIANNLF
+GLAFSLNGVELLHLNNVSTGCILLGGLFIYDIFWVFGTNVMVTVAKSFEAPIKLVFPQDL
+LEKGLEADNFAMLGLGDIVIPGIFIALLLRFDISLKKNTHTYFYTSFAAYIFGLGLTIFI
+MHIFKHAQPALLYLVPACIGFPVLVALAKGEVTEMFSYESSAEILPHTPRLTHFPTVSGS
+PASLADSMQQKLAGPRRRRPQNPSAM
+>tr|A0A3Q1LPN0|A0A3Q1LPN0_BOVIN C2H2-type domain-containing protein OS=Bos taurus OX=9913 GN=BCL11A PE=4 SV=1
+MTLILIFAAILKDHPISSSVCFKAEPLEAILTDDEPDHGPLGAPEGDHDLLTCGQCQMNF
+PLGDILIFIEHKRKQCNGSLCLEKAVDKPPSPSPIEMKKASNPVEVGIQVTPEDDDCLST
+SSRGICPKQEHIAGKDEPSSYTCTTCKQPFTSAWFLLQHAQNTHGLRIYLESEHGSPLTP
+RVLHTPPFGVVPRELKMCGSFRMEAREPLSSEKI
+>tr|A0A3Q1M4I3|A0A3Q1M4I3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=PPFIBP2 PE=4 SV=1
+MASDASHVLEAALEQMDGIIAGTKTGADISDGTCEPGPTSPGSYMNPFPVLHLVEDLRLA
+LEMLEHPQERAALLSQIPGPTAAYIKEWFKESSSQVNHHSAAGNETYQERLARLEGDKES
+LILQVSVLTDQVEAQGEKIRDLEVCLEGHQVKLNAAEEMLQQELLSRTSLETQKLNLMTE
+VSELKLKLVGMEKEQKEQEEKQKKAEELLQELRHLKIKVEELENERNQYEWKLKATKAEV
+AQLQEQVALKDAEIERLHSQLSRSAALHGDHAEKDQEIQRLKMGMETLLVANEDKDRRIE
+ELTGLLNQYRRVNEIVMATQGPSERTLSINEEDLEGGFRNWNTANKGPEDLFKSEVSPRG
+SPPTAGPPPLPQKSLETRTQKKLSCSLEDLRSESVDKDGPFLAEHKYPTLPGKLPGATPN
+GEAAKSPPTASPLDPAGSSPLRLRDTESGWDDTAMANDSSMSSGTESSPQSPLTPDGKRS
+PKGIKKFWGKIRRTQSGNFNTDAPGVAEFRRGGLRATAGPRLSRTRDPKAQKSDANAPFA
+QWSTERVCTWLEDFGLAQYVIFARQWVTSGHTLLTATPQDMEKELGIKHPLHRKKLVLAV
+KAINTKQEEKSALLDHIWVTRWLDDIGLPQYKDQFHESRVDGRMLQYLTVNDLLFLKVTS
+QLHHLSIKCAIHVLHVNKFNPHCLHRRPADESNLSPSEVVQWSNHRVMEWLRSVDLAEYA
+PNLRGSGVHGGLIILEPRFTGDTLAMLLNIPPQKTLLRRHLTTKFNALIGPEAEQEKREK
+MTSPAYTPLTTTAKVRPRKLGFSHFGNIRKKKFDESTDYICPMEPGNSVGDGHRAYSGTR
+GLSTLDAPELDGLDQMAPSEGTVTQIGLLSQDIHRLTTMLSQDQLLTDSCLATPRSEDWR
+>tr|A0A3Q1M7Z3|A0A3Q1M7Z3_BOVIN One cut domain family member OS=Bos taurus OX=9913 GN=ONECUT2 PE=3 SV=1
+MKAAYTAYRCLTKDLEGCAMNPELTMESLGTLHGPAGGGSGGGGGGGGGGGGGGGPGHEP
+ELLASPSPHHAGRGAAGSLRGPPPPPPPPPPPTAHQELGTAAAAAAAAASRSAMVTSMAS
+ILDGGDYRPELSIPLHHAMSMSCDSSPPGMGMSNTYTTLTPLQPLPPISTVSDKFHHPHP
+HHHPHHHHHHHHHQQRLSGNVSGSFTLLRDERGLPTMNNLYSPYKEMPGMGQSLSPLAAT
+PLGNGLGGLHNAQQSLPNYGPPGPDKMLSPNFDAHHTAMLTRGDQHLSRGLGTPPAAMMS
+HLNGLHHPGHAQSHGPVLAPSRERPPSSSSGSQVATSGQLEEINTKEVAQRITAELKRYS
+IPQAIFAQRVLCRSQGTLSDLLRNPKPWSKLKSGRETFRRMWKWLQEPEFQRMSALRLAG
+ASPAGSDGVWLQLHPRPRPVDAPRSGPLEGVQRSRGAELPVGACKRKEQEPSKDRNNSQK
+KSRLVFTDLQRRTLFAIFKENKRPSKEMQITISQQLGLELTTVSNFFMNARRRSLEKWQD
+DLSAGGASSTSGTCTKA
+>tr|A0A3Q1MD35|A0A3Q1MD35_BOVIN RNA binding protein fox-1 homolog OS=Bos taurus OX=9913 GN=RBFOX1 PE=4 SV=1
+MEEKGSRMVQQGNQEAAAAPDTMAQPYASAQFAPPQNGIPAEYTAPHPHPAPEYTGQTTV
+PEHTLNLYPPAQSHSEQSAADTSAHTVSGTATQTDDSAPTDGQPQTQPSENTENKSQPKR
+LHVSNIPFRFRDPDLRQMFGQFGKILDVEIIFNERGSKGFGFVTFENSADADRAREKLHG
+TVVEGRKIEVNNATARVMTNKKTVNPYTNGWKLNPVVGAVYSPEFYAGTVLLCQANQEGS
+SMYSAPSSLVYTSAMPGFPYPAATAAAAYRGAHLRGRGRTVYNTFRAAAPPPPIPAYGGV
+VYQEPVYGNKLLQGGYAAYRYAQPTPATAAAYSDRNQFVFVAADEISCNTSAVTDEFMLP
+TPTTTHLLQPPPTALVP
+>tr|A0A3Q1MC18|A0A3Q1MC18_BOVIN DCN1-like protein OS=Bos taurus OX=9913 GN=DCUN1D4 PE=4 SV=1
+MHSDAAAVNFQLNSHLSTLANIHKIYHTLNKLNLTEDVGQDDHQTGSLRSCSSSDCFSKV
+MPPRKKRRPASGDDLSAKKSRHDSMYRKYDSTRIKTEEEAFSSKRCLEWFYEYAGTDDVV
+GPEGMEKFCEDIGVEPENVVMLVLAWKLDAQNMGYFTLQEWLKGMTSLQCDTTEKLRNTL
+DYLRSLLNDSTNFKLIYRYAFDFAREKDQRSLDINTAKCMLGLLLGKIWPLFPVFHQFLE
+QSKYKVINKDQWCNVLEFSRTINLDLSNYDEDGAWPVLLDEFVEWYKDKQMS
+>tr|A0A3Q1M2E7|A0A3Q1M2E7_BOVIN HEAT repeat containing 4 OS=Bos taurus OX=9913 GN=HEATR4 PE=4 SV=1
+MPGHAPRPSSGPDSLEGGICWETMTRTEKGKTILPHRFPQSLLPRLGWGMIFSNVKPRNK
+KECASVSGVPPVFHFSPQHCAHLKNKYLNKASANLTFSQEVVWQRGLPSVPYSQYSFDHL
+YDASGIIQPRQVRKARPEKKPICLKVSDSPGPPTKVTSQAVKTESYANLTKLKKSKPASA
+GQEASSPLSLHPSGELDMLDLSLAPEVNLEERETWLPPPEKEARAWEAVVLEKLNKRTAR
+WIQNKRPPRPGVSTSKWQSFLRHQYDWSHIRDELTSSSDLDLLKQLEEEETAEFEGRSVV
+LPTQEEKKPELLLPVYYRLPNYLPQVQIAETMPGMNKTAEEIDEKGSLYKPPSQSHVRQV
+NPRAGKFAYSTDNTFEQEIYFDEVQIVHQIGAKRDQILLENLNGYIKQLYKVFPETPERW
+TSQPVPESTCKPVKGAMRWTALPTPAKDLLLKVGEKDMSVKTRKLKKQAESLKENVSWEL
+VVLRRMLQEWKMAWALIIEWHHKTIEGLLQSLVDIHDDVRIQAIVTCATAALERPRAAIS
+QGDSDKETMKALSIQDLPEVLQPPLMAALCDKNANVRMAAAVCQYAIQSHNPLAQEIMQT
+VLLKGNTVDSWAAAQCLALEGAATYPVIKRILHQLFHKKNEDTEQQSCTLLRHLSGKTTL
+IHTMLAVELNSRQWKDRIVACRALSRIGGNVSLDMKHKLIQLMLSDWNKKVRQAAAQALG
+QMNLGKEVHDTIRIKLGQGNFQERVEALYLICGLKLMTVKLLPSFLNCFSDDFMAVRRAA
+CLAAGALQIRDNMVLECLLNLIQGDPCWKIKAFAIRALGQIGCVSPQLTDLLLWAVHYEE
+SPGVRLEACRSILALKLQGDRVRDTFLDVLLLENHEAVLKEIHHAMKILNLENEGNQEML
+QEIRNRIQTLSQKELLIQKVFKIEAAVKKVKEEAKRVYVQPKEGQKPLKLHTFLQEIFQG
+EVSPRRLSDFCDTEAVIKVLQPPSELGARKRLVPSPCQSTQGAENRG
+>tr|F6R653|F6R653_BOVIN Beta-1 adrenergic receptor OS=Bos taurus OX=9913 GN=ADRB1 PE=3 SV=1
+MPPAPRRGCPDLAATSLRAPRRLGLWGGSPIAAQLRHTPLPRPPQLGMGAGALALGASEP
+CNLSSAAPVPDGAATAARLLVPASPPASLLTSASEGPPLPSQQWTAGMGLLMAFIVLLIV
+VGNVLVIVAIAKTPRLQTLTNLFIMSLASADLVMGLLVVPFGATIVVWGRWEYGSFFCEL
+WTSVDVLCVTASIETLCVIALDRYLAITSPFRYQSLLTRARARALVCTVWAISALVSFLP
+IFMQWWRDKDAKASGCYNDPECCDFIINEGYAITSSVVSFYVPLCIMAFVYLRVFREAQK
+QVKKIDSCERRFLSGPARLPSPAPSPGPPLPAATVANGRANKRRPSRLVALREQKALKTL
+GIIMGVFTLCWLPFFLANVVKAFHRDLVPDRLFVFFNWLGYANSAFNPIIYCRSPDFRKA
+FQRLLCCARRAACGSHAAAGDPPRALGCLAVARPSPSPGAASDDDDDDDEDDVGAAPPVR
+LLEPWAGYNGGAAANSDSSPDEPSRAGCASESKV
+>tr|A0A3Q1MH16|A0A3Q1MH16_BOVIN Centrosomal protein 89 OS=Bos taurus OX=9913 GN=CEP89 PE=4 SV=1
+MLLGFRRGHKRKYKHIIHGLLPAASIAPKPAVPRTPPPRSPNPSPERPRSALAAAILSTT
+LTGRTVAIPQPRRRAHSESDMTSMEKDSLIEPYATTSELRHQPHWQNETGRRSSLPSFEM
+LGYEEDEDTDSHLSSSRRQSGDASACKEEGSLCDATYATPHRNQVPSSHGVDTEDEESIS
+EQDGFPGSPIPPENTQGKGKKKNYITGRTRQRCIEITKEKLEELKEENLHLNNANQALTH
+ELNIIKKTMKDLQLKLERMKKENVKPKEVEKASSQEVAAPELHYLRKQAQELVDENDGLK
+MTVHRLNVELSRYQTKFRHLSKKEVMFPIKKNQFY
+>tr|E1B818|E1B818_BOVIN Olfactomedin like 2A OS=Bos taurus OX=9913 GN=OLFML2A PE=4 SV=2
+QNFHHSAPFPTLLIPLGTASVFGDVDQVRMTSEGSDCRCKCIMRPLSKDACSRVRSGRAR
+VEDFYTVETVSSGTDCRCSCTAPPSSLNPCENEWKMEKLKKQAPELLKLQSMVDLLEGTL
+YSMDLMKVHAYVHKVASQMNTLEESIKANLSRENEVVRESMRHFSEQLRHYENHSAIMMS
+IKKELSSLGLQLLQKDAATAPAAAPAPAGSKAQDTAGGKGKENNKYGSVQKSFVDKGLSK
+PPKEKLLKVERLKKEGKGRFPQPTAKPRALAQQQAVIRGITYYKAGRKDTTEPMADNALK
+GTSWLEQLPPRVEGRPPEPNSAEHEEAAPQAPEGADLAPGTPASDPTPTPTPTTSPLPTE
+PPPRPEVPSQGRQASCEGTLRAVDPPVRHHSYGRHEGAWMKDPAARDDRIYVTNYYYGNS
+LVEFRNLENFKQGRWSNMYKLPYNWIGTGHVVYQGAFYYNRAFTKNIIKYDLRQRFVASW
+ALLPDVVYEDTTPWKWRGHSDIDFAVDESGLWVIYPAVDDRDEAQSEVIVLSRLDPGDLS
+VQRETTWKTRLRRNSYGNCFLVCGILYAVDTYNQREGHVAYAFDTHTGTDARLQLPFLNE
+HAYTTQIDYNPKERVLYAWDNGHQLTYTLHFVV
+>tr|E1BH40|E1BH40_BOVIN MYC binding protein 2, E3 ubiquitin protein ligase OS=Bos taurus OX=9913 GN=MYCBP2 PE=4 SV=3
+QSENLENTVIIPDIKLHSNPSAFNIYCNVRHCVLEWQKKETSLAAASKNSVQSGESDSDE
+EEESKEPPIKLPKIIEVGLCEVFELIKETRFSHPSLCLRSLQALLNVLQGQQPEGLQSEP
+PEVLESLFQLLLEITVRSTGMNDSTGQSLTALSCACLFSLVASWGETGRTLQAISAILTN
+NGSHACQTIQVPMILNSLQRSVQAVLVGKIQIQDWFSNGIKKAALMHKWPLKEISVDEDD
+QCLLQNDGFFLYLLCKDGLYKIGSGYSGTVRGHIYNSTSRIRNRKEKKSWLGYAQGYLLY
+RDVNNHSMTAIRISPETLEQDGTVLLPDCHTEGQNILFTDGEYINQIAASRDDGFVVRIF
+ATSTEPVLQQELQLKLARKCLHACGISLFDLEKDLHIISTGFDEESAVLGAGREFALMKT
+ANGKIYYTGKYQSLGIKQGGPSAGKWVELPITKSPKIVHFSVGHDGSHALLVAEDGSIFF
+TGSASKGEDGESTKSRRQSKPYKPKKIIKMEGKIVVYTACNNGSSSVISKDGELYMFGKD
+AIYSDSSSLVTDLKGHFVTQVAMGKAHTCVLMKNGEVWTFGVNNKGQCGRDTGAMNQGGK
+GFGVENMATAMDEDLEEELDEKDEKSMMCPPGMHKWKLEQCMVCTVCGDCTGYGASCVSS
+GRPDRVPGGICGCGSGESGCAVCGCCKACARELDGQEARQRGILDAVKEMIPLDLLLAVP
+VPGVNIEEHLQLRQEEKRQRVIRRHRLDEGRGPLVFAGPIFMNHREQALARLRSHPAQLK
+HKRDKHKDGSGERGEKDASKITTYPPGSVRFDCELRAVQVSCGFHHSVVLMENGDVYTFG
+YGQHGQLGHGDVNSRGCPTLVQALPGPSTQVTAGSNHTAALLMDGQVFTFGSFSKGQLGR
+PILDVPYWNAKPAPMPNIGSKYGRKATWIGASGDQTFLRIDEALINSHVLATSEIFASKH
+IIGLVPASISEPPPFKCLLINKVDGSCKTFNDSEQEDLQGFGVCLDPVYDVIWRFRPSTR
+ELWCYNAVVADARLPSAADMQSRCSILSPELALPTGSRALTTRSHAALHILGCLDTLATM
+QDLKMGVASTEEETQAVMKVYSKEDYSVVNRFESHGGGWGYSAHSVEAIRFSADTDILLG
+GLGLFGGRGEYTAKIKLFELGPDGGDHETDGDLLAETDVLAYDCAAREKYAMMFDEPVLL
+QAGWWYVAWARVSGPSSDCGSHGQASITTDDGVVFQFKSSKKSNNGTDVNAGQIPQLLYR
+LPTSDGSASKGKQQTSEPVHILKRSFARTVSVECFESLLSILHWSWTTLVLGVEELRGLK
+GFQFTATLLDLERLRFVGTCCLRLLRVYTCEIYPMSATGKAVVEETSKLAECIGKTRTLL
+RKILSEGVDHCMVKLDNDPQGYLSQPLSLLEAVLQECHNTFTACFHSFYPTPALQWACLC
+DLLNCLDQDIQEANFKTSSSRLLAAVMSALCHTSVKLTSIFPIAYDGEVLLRSIVKQVST
+ENDSTMVHRFPLLVAHMEKLSQNEENISGMTSFREVLEKMLVIVVLPVRNSLRRENELFS
+SHLVSNTCGLLASIVSELTASALGSEVDGLNSLHSVKASANRFTKTSQGRSWNTGNGSPD
+AICFSVDKPGIVVVGFSVYGGGGIHEYELEVLVDDSEHAGDSTHSHRWTSLELVKGTYTT
+DDSPSDIAEIRLDKVVPLKENVKYAVRLRNYGSRTANGDGGMTTVQCPDGVTFTFSTCSL
+SSNGTNQTRGQIPQILYYRSEFDGDLQSQLLSKANEEDKNCSRALSVVSTVVRAAKDLLH
+RALAVDADDIPELLSSSSLFSMLLPLIIAYIGPVAAAIPKVAVEVFGLVQQLLPSVAILN
+QKYAPPAFNPNQSTDSTTGNQPEQGLSACTTSNHYAVIESEHPYKPACVMHYKVTFPECV
+RWMTLEFDPQCGTAQSEDVLRLLIPVRTVQNSGYGPKLTAVHENLNSWIELKKFSGSSGW
+PTMVLVLPGNEALFSLETASDYVKDDKASFYGFKCFAIGYEFSPGPDEGVIQLEKELANL
+GGVCAAALMKKDLALPIGNELEEDLEILEEAALQVCKTHSGILGKGLALSHSPTILEALE
+GNLPLQIQSNEQSFLDDFIACVPGSSGGRLARWLQPDSYADPQKTSLILNKDDIRCGWPT
+TITVQTKDQYGDVVHVPNMKVEVKAVPVSQKKTSLQQEQVKKPQRIPGSPAVPPASSNTD
+MTFGGLASPKLDVSYEPMIVKEARYIAITMMKVYENYSFEELRFASPTPKRPSENMLIRV
+NNDGTYCANWTPGAIGLYTIHVTIDGIEIDAGLEVKVKDPPKGMIPPGTQLVKPKTEPQP
+NKVRKFVAKDSAGLRIRSHPSLQSEQIGIVKVNGTITFIDEIHNDDGVWLRLNDETIKKY
+VPNMNGYTEAWCLSFNQHLGKSLLVPVDEPKTNTDDFFKDINSCCPQEATMQEQDMPFLR
+GGPGMYKVVKTGPSGHNIRSCPNLRGIPIGMLVLGNKVKAVGEVTNSEGTWVQLDKNSMV
+EFCESDEGEAWSLARDRGGNQYLRHEDEQVLLDQNPQTPPPSPFSVQAFNKGASCSAQGF
+DYGLGNNKGDRGTISTSPRPVCTSGKPELSSKHSRTLKPDGRMSRTTADQKKPRGTEGLS
+ASESLMLKSDAAKLRSDSHSRSLSPNHNTLQTLKSDGRMSSGFRAESPGPGSRSSSPKPK
+TLPANRSSPSGASSPRSSSPHDKNLPQKSTAPVKTKLDPPRERSKSDSYTLDPDTLRKKK
+MPLTEPLRGRSTSPKPKPVPKDSKDSPGSENRAPSPHVVQENLHSEVVEVCTSSTLKTNS
+LTDSTCDESSEFKSVDEGSNKVHFSIGKAPLKEEQEMRASPKISRKCANRHTRPKKEKSS
+FLFKGEGSKPLEPAKQAMSPSVAECARAVFASFLWHEGIVHDAMACSSFLKFNPDLSKEH
+APIRSSLNSQQPTEEKETKLKNRHSLEISSALNMFNIAPHGPDISKMGSINKNKVLSMLK
+EPPLHEKCEDGKAEATFEMSTHHATKLKSPLPLTLQHLVAFWEDISLATIKAASQNMIFP
+SPGSCAVLKKKECEKENKKAKKEKKKKEKTEVRPRGNLFGEMAQLAVGGPEKDTICELCG
+ESHPYPVTYHMRQAHPGCGRYAGGQGYNSIGHFCGGWAGNCGDGGIGGSTWYLVCDRCRE
+KYLREKQAAAREKVKQSRRKPMQVKTPRALPTMEAHQVIKANALFLLSLSSAAEPSILCY
+HPAKPFQSQLPSVKEGISEDLPVKMPCLYLQTLARHHHENFVGYQDDNLFQDEMRYLRST
+SVPAPYISVTPDASPNVFEEPESNMKSMPPSLETSPITDTDLAKRTVFQRSYSVVASEYD
+KQHSILPARVKAIPRRRVNSGDTEVGSSLLRHPSPELSRLISAHSSLSKGERNFQWPVLA
+FVIQHHDLEGLEIAMKQALRKSACRVFAMEAFNWLLCNVIQTTSLHDILWHFVASLTPAP
+VEPEEEEDEENKTNKKMQNKEKDTRVCEHPLSDIVIAGEAAHPLPHTFHRLLQTISDLMM
+SLPSGSSLQQMALRCWSLKFKQSDHQFLHQSNVFHHINNILSKSDDGDSEESFSISIQSG
+FEAMSQELCIVMCLKDLTSIVDIKTSSRPAMIGSLTDGSTETFWESGDEDKNKTKNITIN
+CVKGINARYVSVHVDNSRDLGNKVTSMTFLTGKAVEDLCRIKQVDLDSRHIGWVTSELPG
+GDNHIIKIELKGPENTLRVRQVKLLGWKDGESTKIAGQISASVAQQRNCEAETLRVFRLI
+TSQVFGKLISGDAEPTPEQEEKALLSSPEGEEKVYNATSDADLKEHMVGIIFSRSKLTNL
+QKQVCAHIVQAIRMEATRVREEWEHAISSKENANSQPNDEDASSDAYCFELLSMVLALSG
+SNVGRQYLAQQLTLLQDLFSLLHTASPRVQRQVTSLLRRVLPEVTPNRLASIIGVKSLPP
+ADISDIIHSTEKGDWNKLGILDMFLGCIAKALTVQLKAKGTTITGTAGTSVGKGVTTVTL
+PMIFNSSYIRRGESHWWMKGSTPTQISEIIIKLVKDMAAGHLSEAWSRVTKNAIAETIIA
+LTKMEEEFRSPVRCIATTRLWLALASLCVLDQDHVDRLSSGRWMGKDGQQKQMPMCDNHD
+DGETAAIILCNVCGNLCTDCDRFLHLHRRTKTHQRQVFKEEEEAIKVDLHEGCGRTKLFW
+LMALADSKTMKAMVEFREHTGKPTTSSSEACRFCGSRSGTELSAVGSVCSDADCQEYAKI
+ACSKTHPCGHPCGGVKNEEHCLPCLHGCDKNATTLKQDADDMCMICFTEALSAAPAIQLD
+CSHVFHLQCCRRVLENRWLGPRITFGFISCPICKNKINHIVLKDLLDPIKELYEDVRRKA
+LMRLEYEGLHKSEAITTPGVRFYNDPAGYAMNRYAYYVCYKCRKAYFGGEARCDAEAGQG
+DDYDPRELICGACSDVSRAQMCPKHGTDFLEYKCRYCCSVAVFFCFGTTHFCNACHDDFQ
+RMTSIPKEELPHCPAGPKGKQLEGTECPLHVVHPPTGEEFALGCGVCRNAHTF
+>tr|E1BLR2|E1BLR2_BOVIN Cell division cycle 14A OS=Bos taurus OX=9913 GN=CDC14A PE=4 SV=3
+MAAESGELIGACEFMKDRLYFATLRNRPKSTVNTHYFSIDEELVYENFYADFGPLNLAMV
+YRYCCKLNKKLKPKKIFKNIPFLGKLESYSLSRKRIVHYTCFDQRKRANAAFLIGAYAVI
+YLKKTPEEAYRALLSGSNPPYLPFRDASFGNCTYNLTILDCLQGIRKGLQHGFFDFETFD
+VDEYEHYERVENGDFNWIVPGKFLAFSGPHPKSKIENGYPLHAPEAYFPYFKKHNVTAVV
+RLNKKIYEAKRFTDAGFEHYDLFFIDGSTPSDNIVRRFLNICENTEGAIAVHCKAGLGRT
+GTLIACYVMKHYRFTHAEIIAWIRICRPGSIIGPQQHFLEEKQASLWVQGDIFRSKLKNR
+PSSEGSINKILSSLDDMSIGGNLSKIHNMERFGENNLEEDEDVEMKNNITQGDKLRALKS
+QRQPRTSPSCAFRLEDMKGHPRAVSQPSRLGSSPQGSASPLKTSKVALSPSVTAKRINRG
+SVSSGASVRSFSINSRLASSLGNLNAAADDPENKKTTSPSKMGFIANPFTNLLNGSSQPP
+ARNYPELNNNQYSRSSNSSGSAPSSQPGPHGAKTTEEHSTALRPSYTGLSSSSARFLSRS
+IPSLQSEYVHY
+>tr|A0A3Q1LMK5|A0A3Q1LMK5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+EMEGREEEETGREKEKQGWPPLQRAGWQLLVGHVHRSLKSGPSSRGLVGLSATGRSAADL
+EDLTTEESDSVVKAAIAGGEVTPRPHIPAWEERTTGD
+>tr|F1MT72|F1MT72_BOVIN Pancreatic progenitor cell differentiation and proliferation factor-like protein OS=Bos taurus OX=9913 GN=PPDPFL PE=4 SV=2
+MASVPSAGCLLARNQYYRKASVSSGPSLTGPDSANFVGDDKTQLGLPKVAESTWWFKSFF
+QSVPVLNVKGEDQSACGGNGPGSRSKVPSGTNNHSLLQQEESQLLGEMADSGTVNGFRNS
+QTVKDKPRPFHGAPKDTNSPQ
+>tr|A0A3Q1MGS7|A0A3Q1MGS7_BOVIN Poly(ADP-ribose) glycohydrolase OS=Bos taurus OX=9913 GN=PARG PE=4 SV=1
+MSAGPGCEPCTKRPRWDAAATSPPAASDARSFPGRQRRVLDSKDAPVQFRVPPSSSGCAL
+GRAGQHRGSATSLVFKQKTITSWMDTKGIKTVESESLHSKENNNTREESMMSSVQKDNFY
+QHNMEKLENVSQLGFDKSPVEKGTQYLKQHQTAAMCKWQNEGPHSERLLESEPPAVTLVP
+EQFSNANVDQSSPKDDHSDTNSEESRDNQQFLTHVKLANAKQTMEDEQGREARSHQKCGK
+ACHPAEACAGCQQEETDVVSESPLSDTGSEDVGTGLKNANRLNRQESSLGNSPPVEKESE
+PESPMDVDNSKNSCQDSEADEETSPGFDEQEDSSSAQTANKPSRFQPREADTELRKRSSA
+KGGEIRLHFQFEGGESRAGMNDVNAKRPGSTSSLNVECRNSKQHGRKDSKITDHFMRVPK
+AEDKRKEQCEMKHQRTERKIPKYIPPHLSPDKKWLGTPIEEMRRMPRCGIRLPPLRPSAN
+HTVTIRVDLLRIGEVPKPFPTHFKDLWDNKHVKMPCSEQNLYPVEDENGERAAGSRWELI
+QTALLNRLTRPQNLKDAILKYNVAYSKKWDFTALIDFWDKPIPLLKQKMNHSITMSQEQI
+ASLLANAFFCTFPRRNAKMKSEYSSYPDINFNRLFEGRSSRKPEKLKTLFCYFRRVTEKK
+PTGLVTFTRQSLEDFPEWERCEKLLTRLHVTYEGTIEGNGQGMLQVDFANRFVGGGVTSA
+GLVQEEIRFLINPELIVSRLFTEVLDHNECLIITGTEQYSEYTGYAETYRWARSHEDRSE
+RDDWQRRSTEIVAIDALHFRRYLDQFVPEKIRRELNKAYCGFLRPGVSSENLSAVATGNW
+GCGAFGGDARLKALIQILAAAVAERDVVYFTFGDSELMRDIYSMHTFLTERKLTVGEVYK
+LLLRYYNEECRNCSTPGPDIKLYPFIYHAVESCTQTTNQPGQRTGA
+>tr|E1BME6|E1BME6_BOVIN Hexokinase 2 OS=Bos taurus OX=9913 GN=HK2 PE=3 SV=3
+MIASHLLAYFFTELNHDQVQKVDQYLYHMRLSDETLLEIAKRFRKEMEKGLGATTHPTAS
+VKMLPTFVRSTPDGTEHGEFLALDLGGTNFRVLWVRVTDNGLQKVEMESQIYAIPEDIMR
+GSGTQLFDHIAECLANFMDKLQIKDKKLPLGFTFSFPCLQTKLDESFLVSWTKGFKSSGV
+EGKDVVTLIRKAIQRRGDFDIDIVAVVNDTVGTMMTCGYDDQNCEIGLIVGTGSNACYME
+EMRHIDTVEGDEGRMCINMEWGAFGDDGALDDIRTEFDQEIDMGSLNPGKQLFEKMISGM
+YMGELVRLILVKMAKEELLFGGKLSPELLATGHFETKDVSDIEGEKDGIQKAREILVRLG
+LDPTHEDCVATHRVCQIVSTRSASLCAATLAAVLWRIKENKGVDRLRSTVGVDGSVYKKH
+PHFAKRLHKTVRRLVPDCDVRFLRSEDGSGKGAAMVTAVAYRLADQHRARQKTLESLKLS
+REQLLEVKRRMKIEMERGLSKETHAIAPVKMLPTYVCATPDGTEKGDFLALDLGGTNFRV
+LLVRVRNGKRRGVEMHNKIYSIPQEVMHGTGDELFDHIVQCIADFLEYMGMKGVSLPLGF
+TFSFPCQQNSLDESILLKWTKGFKASGCEGEDVVMLLKEAIHRREEFDLDVVAVVNDTVG
+TMMTCGYEDPHCEVGLIVGTGSNACYMEEMRNVELVEGEEGRMCVNMEWGAFGDNGCLDD
+LRTEFDAAVDELSLNPGRQRFEKMISGMYLGEIVRNILIDFTKRGLLFRGRISERLKTRG
+IFETKFLSQIESDCLALLQVRAILHHLGLESTCDDSIIVKEVCTVVARRAAQLCGAGMAA
+VVDKIRENRGLDTLKVTVGVDGTLYKLHPHFAKVMHETVKDLAPKCDVSFLESEDGSGKG
+AALITAVACRIREAGQR
+>tr|A0A3Q1LX56|A0A3Q1LX56_BOVIN Treacle ribosome biogenesis factor 1 OS=Bos taurus OX=9913 GN=TCOF1 PE=1 SV=1
+MAEARKRRELLPLIYQHLLQAGYVRAAREVKEQSGQKIFLSQPVTLLDIYTHWQQTSEIG
+RKRKAEEDAALQAKRSRVSDPISSSESSEEEEEEEEAEAKTTKPTPRLAATNSSVTGTVS
+SSNVKEKAKAKTTKASKTVNSTTHPAPGKAVAHLLTGKSPQKTSGPSANAVLVSETEEEG
+SVSALRTATTPGMASADQADSSSEDTTSSSDETDLEAKAAVKPLQVRASATAVKESPRKS
+AAPTPGKAGVVTPQVRGGAVTPASRAKKPEEVSESSEESDESEEAAPAGTPSQAKPSGKI
+PQVKAASTPKIQVFFPKKGSPPTSPGKAGPAATQAQPRKQEEEESSSSSSKESDSEGEVP
+TAMQQTTSLAQAKPLEKNSQVRAASATGAGPSAKGTVPALPQKARSVAAQDGKQEDSESS
+SEEESDSEEEMQKAGTSAQAQSSGKALQIRPASGPTKRPPQKAGPATTQVKVERAEEDSE
+SSEEESDSEDEAPVAKTPAQAKSAVKTSQIKASPRKGTPITPSSARVPPVQVGTPAPRTA
+AAVSTPTCASSPAIVRHTQKPGEDSSSSEESESEEETAPAAAGGQMKSIGKTLPVKAASP
+ATKGPSGKGTTPGPPGKAGPAVAQVKAEVQEDSESSEESDNEEAAATPAQVKTSVKTPQT
+KANSSATRVASAKAAAPAPGKGGLAVAQAKVGSPAKVKPPARTPQSSAVSGRGQVSVPAV
+GKAAAAAAQAQPGPVKGSQEDSESSEEESDSEGEAPPQGKPSGKTPQVRTASAPTKASPK
+KGAAPVPPGKAGPPATQAKRQEEDSESSSEEESDSDGDVPAAAPPAQKEGSSKTATSKTL
+APASLEKKAEESSTSSDEDLPSSQVIKTPLIFVDPNRSPAGPAATPAQAQATGTLRKAQA
+LESTARSSSESEDEDVIPATQCPTPATRTSVVTVPTAPPKAALRASVVGAPSSEDTSQGS
+EGKKQEASATQVTKRNLPLTQAALKVLAQKASEAQSPAAKTPSSSGTDSAVGTLSTASPQ
+STPTQVRMANKLRKPEVPTAQQATATPSGHPTAKAPATSDDSNSSSSGSEDDAKGSQAAP
+SAHRPGPAPSRRETLVEETTTESSDDEVVAPSQSLLSGFVTPGLTPAGSKTSKAAPKPDA
+SPSVSSTPATRDAPEGKQEVEPQQAAGTMSPKTGRKEAGATPQKPGKGLGSPPASMLALQ
+SNITQRLLSEPWPLSEAQVQASVAKVLTELLEQERKKAMDTAKEGSRKGRLGHKRKLSED
+QTAPKAPKNKKKKQLAAADGGEHVVSSEKAPRTVKGKSKKDRASGDVKEKKEKESPGSQG
+AKEKPVGELGTPKGDGGDHGNLKMKKEKKKSDKKKKDKEKKEKKKKAKKASTKDPGSPSQ
+KKKKRKKKTAEQTV
+>tr|A0A3Q1MFR1|A0A3Q1MFR1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+LITDVQLAIFAKCWVCRSSCLSFSITTWPSTIPRSRNESGAFSAPRVPGHSLRQDGRV
+>tr|A0A3Q1MS15|A0A3Q1MS15_BOVIN Folliculin interacting protein 1 OS=Bos taurus OX=9913 GN=FNIP1 PE=4 SV=1
+MAPTLFQKLFSKRNGLGAPGRDARDPDCAFSWPLPEFDPSQIRLIVYQDCERRGRNVLFD
+SSVKRKNEDISVSKLCSDAQVKVFGKCCQLKPGGDSSSSLDSSMTSSSDVKDQCPKYQGS
+RCSSDANMLGEMMFGSVAMSYKGSTLKIHQIRSPPQLMLSKVFTARTGSSICGSLNTLQD
+SLEFINQDNSTLKADNNTVINGLLGNIGLSQFCSPRRAFSEQGPLRLIRSASFFAVHSNP
+MDMPGRELNEDRDSGIARSASLSSLLITPFPSPNSSLTRSCASSYQRRWRRSQTTSLENG
+VFPRWSIEESFNLSDESCGPNPGIVRKKKIAIGVIFSLSKDEDESNKFNEFFFSHFPLFE
+SHMNKLKSAIEQAMKMSRRSADASQRSLAYNRIVDALNEFRTTICNLYTMPRIGEPVWLT
+MMSGTPEKNQLCHRFMKEFTFLMENASKNQFLPALITAVLTNHLAWVPTVMPNGQPPIKI
+FLEKHSSQSVDMLAKTHPYNPLWAQLGDLYGAIGSPVRLARTVVVGKRQDMVQRLLYFLT
+YFIRCSELQETHLLENGEDEAIVMPGTVITTTLEKGEIEESEYVLITMHRNKSSLLFKES
+EETRTPNCNCKYCSRPLLGQNMENVSRQEREDIQNSSKELLGISNECQMISPDCQEENAP
+DVKQYRDKSRTCLDTKLETVVCTGSAPVDRCALSKSGLDPAEETWQNEELLDSGSHTGKV
+LRSAGMVVEKKPPDKLVTAAFSCEATQTKVTFLIGDSMSPDSDTELRSQAVVDQITRHHT
+KSPKEERGAVDQHQEAKQTTKSEESAIPNIVSGEPCELPCWNHSDLESMSLFDEYFNDDS
+IETRTIDDIPLKTSTDSKQHCCMLEFSKRLCTKNSKPNNEFCKCVATVHQDSCKTCFPQQ
+DQRDTLSILVPHGDKESSEKKIAVGAEWDIPRNESSDSALGDSESEDTGQDVARQGNSYY
+GGEQEDWAEEDEIPFPGSKLIEVSAVQPNIANFGRSLLGGYCSSYVPDFVLQGIGNDERL
+RQCLVSDLSHAVQHPVLDEPIAEAVCIIADMDKWTVQVASSQRRMTDNKLGKEVLVSSLV
+SNLLHSTLQLYKHNLSPNFCVMHLEDRLQELYFKSKMLSEYLRGQMRVHVKELGVVLGYV
+PDFFSGFAFFSLHCLYLGKEHSSKLPFSF
+>tr|F1MVI0|F1MVI0_BOVIN Contactin-1 OS=Bos taurus OX=9913 GN=CNTN1 PE=4 SV=1
+MKMWLLFSLLVIISFKTCLSEFTWHRRYGHGVSEEDKGFGPIFEEQPINTIYPEESPEGK
+VSLNCRARASPFPVYKWRMNNGDIDLTSDRYSMVGGNLVINNPDKQKDAGIYYCLASNNY
+GMVRSTEATLSFGYLDPFPPEERPEVRVKEGKGMVLLCDPPYHFPDDLSYRWLLNEFPVF
+ITMDKRRFVSQTNGNLYIANVEASDKGNYSCFVSSPSITKSVFSKFIPLIPLPERTTKPY
+PADIVVQFKDVYALMGQNVTLECFALGNPVPDIRWRKVLEPMPSTAEISTSGAVLKIFNI
+QLEDEGIYECEAENNRGKDKHQARIYVQAFPEWVEHINDTEVDIGSDLYWPCVATGKPIP
+TIRWLKNGYSYHRGELRLYDVTFENAGMYQCIAENTHGAIYANAELKILALAPTFEMNPM
+KKKILAAKGGRVIIECKPKAAPKPTFLWSKGTERLVNSSRILIWEDGSLEINNITRSDGG
+VYTCFVENNKGKANSTGTLVITDPTRIILAPINADITVGENATMQCAASFDPALDLTFVW
+SFNGYVIDFNKENIHYQRNFMLDSNGELLIRNAQLKHAGRYTCTAQTIVDNSSASADLVV
+RGPPGPPGGLRIEDIRATSVALTWSRGSDNHSPISKYTIQTKTILSDDWKDAKTDPPIIE
+GNMEAARAVDLIPWMEYEFRVVATNTLGIGEPSIPSNKIKTDGAAPNVAPSDVGGGGGSN
+RELTITWAPLSREYHYGNNFGYIVAFKPFDGEEWKKVTVTNPDTGRYVHKDETMRPSTAF
+QVKVKAFNNKGDGPYSLTAVIHSAQDAPSEAPTAVGVKVLSSSEISVHWEHVVEKIVESY
+QIRYWASHDKEAAAHRVQVASQEYSARLENLLPDTQYFVEVRACNSAGCGPPSDMIETFT
+KKAPPSQPPRIISSVRSGSRYIITWDHVVALSNESTVTGYKVLYRPDGQHDGKLYSTHKH
+SIEVPIPRDGEYVVEVRAHSDGGDGVVSQVKISGASILSPCLLGFLLPALGILVYLEF
+>tr|F1N4I3|F1N4I3_BOVIN D(2) dopamine receptor OS=Bos taurus OX=9913 GN=DRD2 PE=3 SV=2
+MDPLNLSWYDDDPESRNWSRPFNGSEGKADRPPYNYYAMLLTLLIFVIVFGNVLVCMAVS
+REKALQTTTNYLIVSLAVADLLVATLVMPWVVYLEVVGEWKFSRIHCDIFVTLDVMMCTA
+SILNLCAISIDRYTAVAMPMLYNTRYSSKRRVTVMIAIVWVLSFTISCPMLFGLNNTDQN
+ECIIANPAFVVYSSIVSFYVPFIVTLLVYIKIYIVLRRRRKRVNTKRSSRAFRANLKAPL
+KGNCTHPEDMKLCTVIMKSNGSFPVNRRRVEAARRAQELEMEMLSSTSPPERTRYSPMPP
+SHHQLTLPDPSHHGLHSTPDSPAKPEKNGHAKDHPKIAKIFEIQSMPNGKTRTSLKTMSR
+RKLSQQKEKKATQMLAIVLGVFIICWLPFFITHILNIHCDCNIPPVLYSAFTWLGYVNSA
+VNPIIYTTFNIEFRKAFLKILHC
+>tr|F1MQC7|F1MQC7_BOVIN Rhomboid domain containing 1 OS=Bos taurus OX=9913 GN=RHBDD1 PE=4 SV=1
+MHRRSRGVNIGLLLLLSQIFQVGINNVPPVTLATLALNIWFFLNPLKPLLSSCLSVEKCY
+YQKDWQRLLLSPLHHADDWHLYFNMVSMLWKGIHLERRLGSRWFAYIIVTFSLLTGVVYL
+FLEFALAEFLNEPDFKRNCAVGFSGVLFALKVLNNHCCPGGFVNVLGFPVSNRFACWVEL
+LAIHFISPGTSFAGHLAGILVGLMYTHGPLKKIMELCTGIFPSNIDYPGQQYYFNSSGYS
+GYQDYYPYGGRGAYEDVPRNYDTYTAGLSEEEQLERALRASLLDQGNRRSSPPAYGFRLS
+PEEEMRRQRLHRFDSQRGDPAL
+>tr|A0A3Q1MH23|A0A3Q1MH23_BOVIN Protein tyrosine phosphatase, receptor type D OS=Bos taurus OX=9913 GN=PTPRD PE=4 SV=1
+MVHIARPLLLLLAFFLHADAETPPRFTRTPVDQTGVSGGVASFICQATGDPRPKIVWNKK
+GKKVSNQRFEVIEFDDGSGSVLRIQPLRTPRDEAIYECVASNNVGEISVSTRLTVLREDQ
+IPRGFPTIDMGPQLKVVERTRTATMLCAASGNPDPEITWFKDFLPVDTSNNNGRIKQLRS
+GALQIEQSEESDQGKYECVATNSAGTRYSAPANLYVRVRRVPPRFSIPPTNHEIMPGGSV
+NITCVAVGSPMPYVKWMLGAEDLTPEDDMPIGRNVLELNDVRQSANYTCVAMSTLGVIEA
+IAQITVKALPKPPGTPVVTESTATSITLTWDSGNPEPVSYYIIQHKPKNSEEPYKEIDGV
+ATTRYSVAGLSPYSDYEFRVVAVNNIGRGPPSEPVLTQTSEQAPSSAPRDVQARMLSSTT
+ILVQWKEPEEPNGQIQGYRVYYTMDPTQHVNNWMKHNVADSQITTIGNLVPQKTYSVKVL
+AFTSIGDGPLSSDIQVITQTGVPGQPLNFKAEPESETSILLSWTPPRSDTIASYELVYKD
+GEHGEEQRITIEPGTSYRLQGLRPNSLYYFRLAARSPQGLGASTAEISARTMQSIPSGPP
+RKVEVEAVNSTSVKVSWRSPVPNKQHGQIRGYQVHYVRMENGEPRGQPMLKDVMLADAQW
+EFDDTTEHDMIISGLQPETSYSLTVTAYTTKGDGARSKPKLVSTTGAVPGRPRLVINHTQ
+MNTALIQWHPPVDTFGPLQGYRLKFGRKDVEPLTTLEFSEKEDHFTATDIHKGASYIFRL
+SARNKVGFGEEMVKEISVPEEVPTGFPQNLQSEGTTSTSTQLSWQPPVLAERNGIITKYT
+LLYRDINIPLLPVEQLIVPADTTLTLSGLKPDTTYDVKVRAHTSKGPGPYSPSVQFRTLP
+VNQAVFAKNFHVKAVMKTSVLLSWEIPENYNSAMPFKILYDDGKMVEEVDGRATQKLIVN
+LKPEKSYSFVLTNRGNSAGGLQHRVTAKTAPDVLRTKPAFIGKTNLDGMITVQLPEVPAN
+ENIKGYYIIIVPLKKSRGKFIKPWESPDEMELDELLKEISRKRRSLRHGREVELKPYIAA
+HFDVLPTEFTLGDDKHYGGFTNKQLQSGQEYVFFVLAVMEHAESKMYATSPYSDPVVSMD
+LDPQPITDEEEGLIWVVGPVLAVVFIICIVIAILLYKRKRAESDSRKSSIPNSKEVPSHH
+PTDPVELRRLNFQTPGMASHPPIPILELADHIERLKANDNLKFSQEYESIDPGQQFTWEH
+SNLEVNKPKNRYANVIAYDHSRVLLSAIEGIPGSDYVNANYIDGYRKQNAYIATQGSLPE
+TFGDFWRMIWEQRSATVVMMTKLEERSRVKCDQYWPSRGTETHGLVQVTLLDTVELATYC
+VRTFALYKNGSSEKREVRQFQFTAWPDHGVPEHPTPFLAFLRRVKTCNPPDAGPMVVHCS
+AGVGRTGCFIVIDAMLERIKHEKTVDIYGHVTLMRAQRNYMVQTEDQYIFIHDALLEAVT
+CGNTEVPARNLYAYIQKLTQIETGENVTGMELEFKRLASSKAHTSRFISANLPCNKFKNR
+LVNIMPYESTRVCLQPIRGVEGSDYINASFIDGYRQQKAYIATQGPLAETTEDFWRMLWE
+HNSTIVVMLTKLREMGREKCHQYWPAERSARYQYFVVDPMAEYNMPQYILREFKVTDARD
+GQSRTVRQFQFTDWPEQGVPKSGEGFIDFIGQVHKTKEQFGQDGPISVHCSAGVGRTGVF
+ITLSIVLERMRYEGVVDIFQTVKMLRTQRPAMVQTEDQYQFCYRAALEYLGSFDHYAT
+>tr|F1MFF9|F1MFF9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=CHD5 PE=4 SV=3
+MAEWGLDDVDYLFSEADYHTLTNYKAFSQFLRPLIAKKNPKIPMSKMMTVLGAKWREFSA
+NNPFKGSSAAAAAAAVAAAVETVTIAPPLAISPQQAPQPVPIRKAKTKEGKGPGVRKKIK
+GSKDVKKKGKGRKVTGLKFRFGGVGSKRKKGSSSEEDEREESDFDSASIHSSSMRSECSA
+ALGKKSKRRRKKKRIDDGDGYETDHQDYCEVCQQGGEIILCDTCPRAYHLVCLDPELEKA
+PEGKWSCPHCEKEGIQWEPKDDDDDEDEGGCEEEEDDHMEFCRVCKDGGELLCCDACPSS
+YHLHCLNPPLPEIPNGEWLCPRCTCPPLKGKVQRILHWRWTEPPAPFMVGLPGPDVDPGV
+PPPKPLEGIPEREFFVKWAGLSYWHCSWVKELQQLELYHTVMYRNYQRKNDMDEPPPFDY
+GSGDEDGKSEKRKNKDPLYAKMEERFYRYGIKPEWMMIHRILNHSFDKKGDVHYLIKWKD
+LPYDQCTWEIDEIDIPYYDSLKQAYWGHRELMLGEDARLPKRLVKKGKKLKDDKQEKPPD
+TPIVDPTVKFDKQPWYIDSTGGTLHPYQLEGLNWLRFSWAQGTDTILADEMGLGKTVQTI
+VFLYSLYKEGHSKGPYLVSAPLSTIINWEREFEMWAPDFYVVTYTGDKESRSVIRENEFS
+FEDNAIRSGKKVFRMKKEVQIKFHVLLTSYELITIDQAILGSIEWACLVVDEAHRLKNNQ
+SKFFRVLNSYKIDYKLLLTGTPLQNNLEELFHLLNFLTPERFNNLEGFLEEFADISKEDQ
+IKKLHDLLGPHMLRRLKADVFKNMPAKTELIVRVELSQMQKKYYKFILTRNFEALNSKGG
+GNQVSLLNIMMDLKKCCNHPYLFPVAAVEAPVLPNGSYDGSSLVKSSGKLMLLQKMLKKL
+RDEGHRVLIFSQMTKMLDLLEDFLEYEGYKYERIDGGITGGLRQEAIDRFNAPGAQQFCF
+LLSTRAGGLGINLATADTVIIYDSDWNPHNDIQAFSRAHRIGQNKKVMIYRFVTRASVEE
+RITQVAKRKMMLTHLVVRPGLGSKSGSMTKQELDDILKFGTEELFKDDVEGMMSQGQRPA
+TPIPDVQSSKGGALAASAKKKHGSTPPGDNKDVEDSSVIHYDDAAISKLLDRNQDATDDT
+ELQNMNEYLSSFKVAQYVVREEDGVEEVEREIIKQEENVDPDYWEKLLRHHYEQQQEDLA
+RNLGKGKRIRKQVNYNDASQEDQEWQDELSDNQSEYSIGSEDEDEDFEERPEGQSGRRQS
+RRQLKSDRDKPLPPLLARVGGNIEVLGFNARQRKAFLNAIMRWGMPPQDAFNSHWLVRDL
+RGKSEKEFRAYVSLFMRHLCEPGADGAETFADGVPREGLSRQHVLTRIGVMSLVRKKVQE
+FEHVNGKYSTPDLIPEGPEGKKPGEVISSDPNTPVPASPAHLLPGPLGLPDKMEAPLGYM
+DEKEVGVQKPKKPPEIQALPTALDRVEGEDKQESSDGKERAREERLEEMEKAQPSPEQLL
+KEEVLPEKEKVLDKLELSLIHSRGDSSDFRPDDTKAEEKEPVETQQNGDKEEDEEGKKED
+KIGKFKFMFNIADGGFTELHTLWQNEERAAVSSGKIYDIWHRRHDYWLLAGIVTHGYARW
+QDIQNDPRYMILNEPFKSEIHKGNYLEMKNKFLARRFKLLEQALVIEEQLRRAAYLNMTQ
+DPNHPAMALNARLAEVECLAESHQHLSKESLAGNKPANAVLHKVLNQLEELLSDMKADVT
+RLPSMLSRIPPVAARLQMSERSILSRLTNRAGDPTIQQGAFGSSQMYNNNFGPNFRGPGP
+GGIVNYNQMPLGPYVTDI
+>tr|F1MPP3|F1MPP3_BOVIN Leptin receptor OS=Bos taurus OX=9913 GN=LEPR PE=4 SV=3
+MINCFSLCLLNVLTEFIYVITAFNLAYPITPWKFKVSCMPSNTTYDFLFPPGISKNTSNL
+NGRYEAVVETKLNSSGTYLSNLSSRTTFHCCFWSEEDKNCSVYTDDIEGKAFVTTVNSLV
+FQQTGANWNIQCWMKEDLKLFICNIESLFKNPFKNYDLKVHLLYVLLDVLEESPLLPQKD
+SFQVVQCNCSVHECCECHVPVPTAKLNDTLLMYLKITSGGAVFHSPPMSAQPINVVKPDP
+PLGLRMEITDTGSLKISWSSPTLVPFQLQYQVQYSENSTKYIRKTDEIVSATSLLVDSVL
+PGSSYGAQVRCKRLDGLGIWSDWSALLTFTTQDVIYFPPKILTAVGSNISFHCIYKNEKK
+IVSSKKIVWWLNLAEKIPQSQYDVVDDHISKVTFPNLNATKPRGKFTYDAVYCCNEQECH
+HRYAELYVIDVNINISCETDGYLTKMTCRWSPNAIQSLAGSNLQLRYHRSSLYCSDVPSI
+HPVSEPKDCHLQRDGFYECIFQPIFLLSGYTMWIRINHTLGSLDSPPACVIPDSVVKPLP
+PSSVKAEITVKIGLLKISWEKPVFPENNLQFQIRYGLSGKQVQWKMFEVYDAKLKSASLP
+VPDLCAVYTVQVRCKRLDGLGYWSNWSTPAHTVVMDVKVPIRGPEFWRLISEDTTKKERN
+VTLLWKPLMKNDSLCSVRRYVVKHHTSHNGTWLEDVGNHTKLTFLWTEQAHSVMVLAINS
+IGASSANFNLTFSRAISKVNIVQSLSAYPLNSSCVILSWMLSPSDYNLMYFILEWKILNE
+DSEIKWLRIPSSVKKYYVHDYFIPIEKYQFSLYPIFTEGVGKPKIINSFAQDDEKHQHDA
+DLYVIVPIIISSSILLLGILSVSHQRMKKLFWEDVPNPKNSTESPFMKTDLMIFSISKIF
+IPLLSLPL
+>tr|F1MN89|F1MN89_BOVIN Adhesion G protein-coupled receptor G3 OS=Bos taurus OX=9913 GN=ADGRG3 PE=3 SV=3
+MVAPRALGILLLLHLASGEEDSTPKYEEPRNVCQGFTNMNSYEDFDLNRTAGCFSKCTRS
+QEKLCHLGNLQRYWLNFETYLVERNQMDTLNTSFLTAFVKSINTNVSEDLYFSLTPSQIP
+KQVTKDEHQHPDRVRLPKSLFGSLKSSGPMVRLGIIVLDIGPGNVFKGSLLSREDGSRVL
+NNRIVGLTLGHINVTELAEPLEITFSHQYQPPNMNLSCEFWDATKGDWSSKGCSTEVGVR
+RTVCRCDHLTFFALLLRPILDEATVRALIHISQAGCGTSMIFLAFTIVLYVVLRFSRQRF
+KSEDAPKIHVALSISLFLLNLAFFLNVGQRLKGSNAACWARGAVFHYFLLCAFTWMGLEA
+FHLYLLVIKVFNTYFSHYFLKLSLMGWVLPALIVIGTGIANSYGPYCIRDEKNVITLELC
+WFREKTALYVTVYGYCLIIFLFSAVILSLVSWKIFTLSSATAGKEKGQHWKGVLTLLGLS
+CLVGLPWGLALLTSLGQFTAYVFALFTSLQGVFIFCWFIVLYCPSQSTVTSSSGTARVDH
+AQTVSHE
+>tr|F1MU91|F1MU91_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=OR5V1 PE=3 SV=3
+MEGKNQTALSEFIILGFSDLNELQFLLFTIFFLTYVCTLGGNVFIILVSVLDPHLHTPMY
+HFLGNLAFLDICYTTTNVPQMMVHLLSEKKSISYGGCVAQLFAFIFFVGSECLLLAAMAY
+DRYIAICKPLRYSVIMNKVLYSRLAASCWTGGFLNSVVHTVLTFRLPFCGNNKINYFFCD
+IPPLLILSCGDTSVNELALLSIGIFIGWTPFLCIVLSYLYIISTILRIRSSEGRQKAFST
+CASHLVIVLLYYGSAIFTYVRPISTYSLEKDRLISVLYSVVTPMLNPIIYTLRNKDIKEA
+VKVVGRKWQPPILSFDI
+>tr|F1MRP6|F1MRP6_BOVIN Collagen alpha-2(XI) chain OS=Bos taurus OX=9913 GN=COL11A2 PE=4 SV=2
+MERCSRCHHLLLLVLLLLWLSAAPAWAGTAPVDVLRALRFPALPDGVRRARGICPADVAY
+RVSRPAQLSAPTRQLFPGGFPKDFSLLTAVRARPGLQAPLLTLYSAQGVRQLGLELGRPV
+RFLYEDQTGRPQPPAQPVFRGLSLADGKWHRVAVAVKGQSVTLIIDCKKRVTRPLPRSAR
+PVLDTRGVIIFGARILDEEVFEGDIQELSIIPGVQAAYESCDQKELECEGGWRERPQRQP
+SHRTQRSPKQQPPRLHRPQNQEPQAQSTESLYYDYEPPYYDVMTTGTTPDYQDPTPGEEE
+GILESSPLPPPEEEQTDLQVPPTADRFLTEEYGEGGTEPPAGPYDYTYAYGDDYHEETEL
+GPALSAETARSEAAARGPRGLKGEKGEPAVLEPGMLVEGPPGPEGPAGFPGPPGIQGNPG
+PVGDPGERGPPGRAGLPGSDGAPGPPGTSLMLPFRFGSGGGDKGPVVAAQEAQAQAILQQ
+ARMALRGPPGPMGYTGRPGPLGQPGSPGMKGESGDLGPQGPRGPQGLMGPPGKAGRRGRA
+GADGARGMPGEPGVKGDRGFDGLPGLPGEKGHRGDTGAQGLPGPPGEDGERGDDGEIGPR
+GLPGESGPRGLLGPKGPPGIPGPPGVRGMDGPHGPKGSLGPQGEPGPPGQQGTPGTQGLP
+GPQGAIGPHGEKGPRGKPGLPGMPGSDGPPGHPGKEGPPGTKGNQGPSGPQGPLGYPGPR
+GIKGVDGIRGLKGHKGEKGEDGFPGFKGDMGVKGDRGEVGVPGSRGEDGPEGPKGRTGPT
+GDPGPPGLMGEKGKLGVPGLPGYPGRQGPKGSLGFPGFPGASGEKGARGLSGKSGPRGER
+GPTGPRGQRGPRGATGKSGAKGTSGGDGPHGPPGERGLPGPQGPNGFPGPKGPPGPPGKD
+GLPGHPGQRGEVGFQGKTGPPGPPGVVGPQGAAGETGPMGERGHPGPPGPPGEQGLTGTA
+GKEGTKGDPGPPGAPGKDGPAGLRGFPGERGLPGTAGGPGLKGNEGPAGPPGPAGSPGER
+GSAGSGGPIGPPGRPGPQGPPGAAGEKGVPGEKGPIGPTGRDGVQGPVGLPGPAGPPGVA
+GEDGDKGEVGDPGQKGAKGNKGEHGPPGPPGPIGPVGQPGAAGADGEPGARGPQGHFGAK
+GDEGTRGFNGPPGPIGLQGLPGPSGEKGETGDVGPMGPPGPPGPRGPAGPNGADGPQGPP
+GGVGNLGPPGEKGEPGESGSPGVQGEPGVKGPRGERGEKGETGQAGEAGPPGPKGPTGDD
+GPKGNPGPVGFPGDPGPPGEVGPRGQDGAKGDRGEDGEPGQPGSPGPTGENGPPGPLGKR
+GPAGTPGPEGRQGEKGAKGDPGAVGAPGKTGPVGPAGPAGKPGPDGLRGLPGSVGQQGRP
+GATGQAGPPGPVGPPGLPGLRGDTGAKGEKGHPGLIGLIGPPGEQGEKGDRGLPGPQGST
+GQKGETGIPGASGPIGPGGPPGLPGPAGPKGAKGATGPAGPKGEKGVQGPPGHPGPPGEV
+IQPLPIQMPKKTRRSVDGSRLMQEDEAVPTGGAPGSPGGLEEIFGSLDSLREEIEQMRRP
+MGTQDSPARTCQDLKLCHPELPDGEYWVDPNQGCARDAFRVFCNFTAGGETCVTPRDDVT
+QFSYVDSEGAPVGVVQLTFLRLLSVSARQNISYPCSGEAQDSPLKLRGANEDELSPETSP
+YIKEIRDGCQTQQGRTVLEVRTPVLEQLPVLDASFSELGAPPRRGGVLLGPVCFMG
+>tr|F1N1N6|F1N1N6_BOVIN Perilipin OS=Bos taurus OX=9913 GN=PLIN2 PE=3 SV=1
+MASVAAEPQLSVVTRVANLPLVSSTYDLVSSAYISRKDQYPYLKSLCEMAEKGMKTITSV
+AVTSALPIIQKLEPQIAVANTYACKGLDRIEEKLPILNQPTNQVVANAKGAMTGAKDAVT
+TTVTGAKDSVASTITGVVDRTKGAVTGSVEKTKSVVSGSINTVLRSRVMQLMSSGVENAL
+TKSELLVDQYLPLTKDELEKEAKKVEGFDMVQKPSYYVRLGSLSTKLRSRAYQQALCRVE
+EAKRKGQETISQLHSAFNLSELARKNVHNANQKIQDAQDKLYLSWLEWKRSIGYDDTDES
+HCAEHIESRTLAIARNLTQQLQTMCHTLLSNIQGLPQNIQDRANHLGVMAGDIYSVFRNA
+ASFKEVSDGLLASSKGQLQKMKESLDDVMDYLVNNTPLNWLVGPFYPQVTESESAQAPGT
+TRRPGRWSRKHPKPVPVSNAEGSQPDDSSS
+>tr|E1BMZ5|E1BMZ5_BOVIN Exosome component 10 OS=Bos taurus OX=9913 GN=EXOSC10 PE=4 SV=3
+MAPPSARESKAQSATTAAKSDGEMVLPGFPDADSFVKFALGAVVAVTKASGGLPQFGDEY
+DFYRSFPGFQAFCETQGDRLLQCMSRVMQYHGCRSNIKDRSKVTELEDKFDLLVDTNDVI
+LERVGILLDEASGVNKNQQPVLPAGLQVPQTIVSSWNRKAGEYSKKTKSETFRLLHAKNI
+IRPQLKFREKIDNSNTPFLPKIFIKPNAQKPLPQALSKERRERPQDRPEDLDVPPALADL
+IHQQRTQQVEQDMFAHPYQYELDHFTPPDSVLQKPEPQLYRPVGETPCHFVSTLDELVEL
+NEKLLKCQEFAVDLEHHSYRSFLGLTCLMQISTRTEDFIIDTLELRSDMYILNESLTDPA
+IVKVFHGADSDIEWLQKDFGLYVVNMFDTHQAARLLNLGRHSLDHLLKLYCSVESNKQYQ
+LADWRIRPLPEEMLSYARDDTHYLLYIYDKMRLELWERGNEQPTQLQVVWQRSRDICLKK
+FIKPIFTDESYLELYRKQKKHLNTQQLTAFQLLFAWRDKTARREDESYGYVLPNHMMLKI
+AEELPKEPQGIIACCNPVPPLVRQQINEMHLLIQQAREMPLLKVRSSPTPQRLENVLFGP
+HDCSHTPSDGYPLVPTDGPVPMQKQEGLLSAQEDEETLPDIRCLVATAVVTLFNEPSAEG
+NEKSPLTVAQKKAQNIMESFENPFRMVSTGYIC
+>tr|E1BA58|E1BA58_BOVIN Mannosyltransferase OS=Bos taurus OX=9913 GN=ALG9 PE=3 SV=3
+MPRVTSYVEGITIASLNPDLPQVAQEHCSLVVSAHVEVFCPSADTVASPGNVSALVAFVG
+CGVLPFFPTLAMASRGARQRLKGSGGSGGDTASAADKLRELLCSREAGSAEPRPELSGNK
+AGQVWAPEGSTAFKCLLSARLCAALLSNISDCDETFNYWEPTHYLIYGKGFQTWEYSPAY
+AIRSYAYLLLHAWPAAFHARILQTNKILVFYFLRCLLAFVSCICELYFYKAVCKKFGLHV
+SRMMLAFLVLSTGMFCSSSAFLPSSFCMYTTLIAMTGWYMDKTSFAVLGVAAGAILGWPF
+SAALGLPIAFDLLIMKQRWKSFFHWSLVALVLFLVPVVGIDSYYYGKLVIAPLNIVLYNV
+FTPHGPDLYGTEPWYFYLINGFLNFNVVFALALLVLPLTSLMEYLLQRFHVQNLGHPYWL
+TLAPMYIWFIIFFIQPHKEERFLFPVYPLICLCGAVALSALQKCYHFVFQRYRLEHYTVT
+SNWLALGTLFLFGLLSFSRSVALFRGYHGPLDLYPEFYRIATDPTIHSVPEGRPVNVCVG
+KEWHRFPSSFLLPDNWQLQFIPSEFRGQLPKPFAEGPLATRTVPTDMNDQNLEEPSRYID
+ISKCHYLVDLDTMRETPREPKYSSNREEWINLAYRPFLDASRSSRLLRAFYVPFLSDQYT
+VYANYTILKPRKAKQTRKRSGDRRRAEPTSRKS
+>tr|F1MC27|F1MC27_BOVIN Lemur tyrosine kinase 3 OS=Bos taurus OX=9913 GN=LMTK3 PE=4 SV=3
+IRHHLHLPAILDKMPAPGALILLAAVSASGCLASPAHPDGFALGRAPLAPPYAVVLISCS
+GLLAFIFLLLTCLCCKRGDVGFKEFENPEGEDCSGEYTPPAEETSSSQSLPDVYILPLAE
+VSLPMPAPQPSHSDMTTPLGLSRQHLSYLQEIGSGWFGKVILGEIFSDYTPAQVVVKELR
+ASAGPLEQRKFISEAQPYRSLQHPNVLQCLGVCVETLPFLLIMEFCQLGDLKRYLRAQRP
+PEGLSPELPPRDLRTLQRMGLEIARGLAHLHSHNYVHSDLALRNCLLTSDLTVRIGDYGL
+AHSNYKEDYYLTPERLWIPLRWAAPELLGELHGTFMVVDQSRESNIWSLGVTLWELFEFG
+AQPYRHLSDEEVLAFVVRQQHVKLARPRLKLPYADYWYDILQSCWRPPAQRPSASDLQLQ
+LTYLLSERPPRPPPPPPPPRDGPFPWPWPPQHSAPRPGTLSSPFPLLDGFPGADPDDVLT
+VTESSGGLNLECLWEKARRGAGRGGGAPTWQPASAPPAPHANPSNPFYEALSTPSVLPVI
+SARSPSVSSEYYIRLEEHGSPPEPLFPNDWDPLDPGVPAPQAPQAPSEVPQLVSETWASP
+LFPAARPFPAQSSASGSFLLSGWDPEGRGAGETLAGDPAEVLGERGAAPWAEEEEEEEGS
+SPGEDSSSLGGGPSRRGPLPCPLCSREGACSCLPLERGDAVAGWGGHPALGCPHPPEDDS
+SLRAERGSLADLPLNPPASAPPEFLDPLMGAAAPQYPGRGPPPAPPPPVSPDPSSAVASP
+GSGLSSPGPKPGDSGYETETPFSPEGAFPGAGAAEEEGVPRPRAPPEPPDPGAPRPPPDP
+GPLPLPGAREKPTFVVQVSTEQLLMSLREDVTRNLLGEKGPRKTGRGPGNRERALGPSPD
+PAVPESGKQAPSPNEGLSLPVNGVTVLENGGPRALGADEKVAENGDPGSPEREEQVLANG
+ELPPPRREETVLENGGPGPPEREERVLVNGGLTSPKIEEGSENGGLRLPRNPERLPETGP
+WRAPGPWEKMPESGAPAPTNGEPAPETSLERAPAPGAVALALNGGETAPGPAGPAPRSGA
+LEPGTERRAPETGGAPRAPGAGRLDLGSGGQAPVGTGMAPGGGPGSGVDAKAGWADSTRP
+QPPLPLLEAQPRRPEPAPQRVRPEAASEGEPGAPDSRAGGDTAPSGDGDPPKPERKGPEM
+PRLFLDLGPPQGNSEQIKAKLSRLSLALPPLTLTPFPGPGPRRPPWEGADAGAAGGEAGG
+AGAPGPSEEDGEDEDEEEDEEAAAAGAAAGPRGPGRARAAPVPVVVSSADADAARPLRGL
+LKSPRGADEPEDSELERKRKMVSFHGDVTVYLFDQETPTNELSVQGPPEGDTDPSTPPAP
+PTPPHPATPGDGFPSNDSGFGGSFEWAEDFPLLPPPGPPLCFSRFSVSPALETPGPPARA
+PDARPAGTNGADGSGWGKVRESKADLVGLRVV
+>tr|E1BFD5|E1BFD5_BOVIN Cullin 4B OS=Bos taurus OX=9913 GN=CUL4B PE=3 SV=3
+MKSVCPVTSGFSSPNPSAAAAAQEVRSATDGNTSTTPPTSAKKRKLNSSSSSSGSNSSNE
+REDFDSTSSSSSTPPLQPRDSASPSTSSFSCLGVPVTAPSHVPIQKKLRFEENLEFVGFD
+AKMAEESSSSSSSSPTAATSQQQQLKNKSILISTVASVHHANGLAKSSTTVSSFANSKPG
+SAKKLVIKNFKDKPKLPENYTDETWQKLKEAVEAIQNSTSIKYNLEELYQAVENLCSYKI
+SANLYKQLRQICEDHIKAQIHQFREYSLDSVLFLKKIDRCWQNHCRQMIMIRSIFLFLDR
+TYVLQNSMLPSIWDMGLELFRAHIISDQKVQNKTIDGILLLIERERNGEAIDRSLLRSLL
+SMLSDLQIYQDSFEQRFLEETNRLYAAEGQKLMQEREVPEYLHHVNKRLEEEADRLITYL
+DQTTQKSLIATVEKQLLGEHLTAILQKGLNNLLDENRIQDLSLLYQLFSRVRGGVQVLLQ
+QWIEYIKAFGSTIVINPEKDKTMVQELLDFKDKVDHIIDICFLKNEKFINAMKEAFETFI
+NKRPNKPAELIAKYVDSKLRAGNKEATDEELEKMLDKIMIIFRFIYGKDVFEAFYKKDLA
+KRLLVGKSASVDAEKSMLSKLKHECGAAFTSKLEGMFKDMELSKDIMIQFKQYMQNQNVP
+GNIELTVNILTMGYWPTYVPMEVHLPPEMVKLQEIFKTFYLGKHSGRKLQWQSTLGHCVL
+KAEFKEGKKELQVSLFQTLVLLMFNEGEEFSLEEIKQATGIEDGELRRTLQSLACGKARV
+LAKNPKGKDIEDGDKFICNDDFKHKLFRIKINQIQMKETVEEQASTTERVFQDRQYQIDA
+AIVRIMKMRKTLSHNLLVSEVYNQLKFPVKPADLKKRIESLIDRDYMERDKENPNQYNYI
+A
+>tr|A0A3Q1MKF7|A0A3Q1MKF7_BOVIN PH domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MNFNTILEEILIKRSQQKKKTSPLNYKERLFVLTKSMLTYYEGRPEKKYRKGFIDVSKIK
+CVEIVKNDDGVIPCQNKYPFQVVHDANTLYIFAPSAQSRDRWVKKLKEEIKNNNNIMIKY
+HPKFWADGSYQCCRQTEKLAPGCEKYNLFESSKYSFYSITMLCSGCTYYS
+>tr|F1N393|F1N393_BOVIN Thioredoxin domain containing 15 OS=Bos taurus OX=9913 GN=TXNDC15 PE=4 SV=3
+MAPSAAASCQDGDAGPRPRSRPLLPQPSSAGERESRRCAPVALRPGCTGRCPPRTMRLIG
+WWQVLLWVLGSPARAEEIAEKRSHLWSEEQPAYPFQVGAVYMNEEVPSPDPVGQDPSAEE
+TEAVLGLDADGNHMVMLSVIPGGAEDKLSPESSSGTCGAGGEEGSRCNLRESLFSLDRAG
+ARFSEREEEYYTEPDVAESDPTPTEDANTTESLKSPKVNCEERNVTGLENFTLKILNMSQ
+DLMDFLNPNGSDCTLVLFYTPWCRFSASLAPHFNSLPRAFPALHFLALDASQHSSLSTRF
+GTVAVPNILLFQGAKPMARFNHTDRTLETLKIFIFNQTGIEAKKNVVVTQADQIGPLPST
+LIKSVDWLLVFSLFFLISFIMYATIRTESIRWLIPGQEQEHAE
+>tr|A0A3Q1LX49|A0A3Q1LX49_BOVIN Ras related GTP binding B OS=Bos taurus OX=9913 GN=RRAGB PE=4 SV=1
+HPSTVLLMGKSGSGKTSMRSIIFANYIARDTRRLGATIDVEHSHVRFLGNLVLNLWDCGG
+QDTFMENYFTSQRDNIFRNVEVLIYVFDVESRELEKDMHYYQSCLEAILQNSPDAKIFCL
+VHKMDLVQEDQRDLIFKEREEDLRRLSRPLECSCFRTSIWDETLYKAWSSIVYQLIPNVQ
+QLEMNLRNFAEIIEADEVLLFERATFLVISHYQCKEQRDAHRFEKISNIIKQFKLSCSKL
+AASFQSMEVRNSNFAAFIDIFTSNTYVMVVMSDPSIPSAATLINIRNARKHFEKLERVDG
+PKQCLLMR
+>tr|A0A3Q1MS36|A0A3Q1MS36_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=NOTUM PE=4 SV=1
+MLKHVSVLCSFSWLNNSPLCGWTIFYSLWTLGCFPLLATVSTASVNSDAGQPVESFPLDF
+TAVEGNMDSFMAQVKSLAQSLYPCSAQQLNEDLRLHLLLNTSVTCNDGSPAGYYLKESKG
+SRRWLLFLEGGWYCFNRENCDSRYDTMRRLMSSKDWPRTRTGTGILSSQPEENPHWWNAN
+MVFIPYCSSDVWSGASSKSEKNEYAFMGTLIIREVVRELLGKGLSGAKVLLLAGSSAGGT
+GVLLNVDRVAEQLEELGYPAIQVRGLADSGWFLDNKQYRRTDCIDTITCAPTEAIRRGIR
+YWNGVVPERCRRQFKEGEEWNCFFGYKVYPTLRCPVFVVQWLFDEAQLTVDNVHLTGQPV
+QEGQWLYIQNLGRELRNTLKDVPASFAPACLSHEIIIRSHWTDVQVKGTSLPRALHCWDR
+SLHDSHKANKAPLKGCPIHLVDSCPWPHCNPSCPTIRDQFTGQEMNVAQFLMHMGFDVQT
+VAQQQGLEPSKLLGMLSSGS
+>tr|E1BFW3|E1BFW3_BOVIN Meiotic double-stranded break formation protein 1 OS=Bos taurus OX=9913 GN=MEI1 PE=4 SV=3
+MAVREAAAGLSGPGRDEEAALLFENAHYRHDSRWLLPVSPRCCLACALELLPEPGVSLVR
+KKHVLSGFRDALMKHASLVVQLVAQDQRVCIHFVSMLFGLLCNVEDGSITDLCIEVLIQL
+TTQLKLEQTIHCLLDECHKELCSMPSMRGSLATLTLLGKLVDAVPALADKLVMEHGDLME
+HLLRGLVYPNEGVQASVCYLYGKLYSSPVAAEMLLGHFREKLCPLFLSTMDGAQTKELQI
+NCLGLLKQLLKYDLFVSMLMNKSALVESAESVEGPPGETPLPLVLKKLLLSRDETLQVAS
+AQCITAVLVHSPAKHALAFIHADIPEFLFEHLSSSSEVLVWSCYNCLILLAEEPLFFSKC
+HTVYGIESVVRSLQGNLRMNNTELHKQGLLLFAEILTRQPEEIKLFTSSAMCRDAGRALR
+EAVSSPVLEVAAEALKAISAFLRKDHQSALPVLYKELQGLVKAMLSRCADLSQTPLNWRP
+LGHASNRNSERAILRRGKFLLNTLEGFRNACRLAMEFQREPSAQENPFTAPSAEKEDTLE
+AFSEFLLSACDSLCIPMVMRYWEQATHPAVMEVFLSVLHSLFVIVPHMKEKFSKKLAASS
+FIRLALELKARFCSGLSHSALNQVCSSFLYYMCISLFSAPEKTGPPSQEELCAVSELLQH
+GLPQISSRGPESLALLSDRQYVEEAARQRQYCILLLFYLAYIHEDRFVSKTKLFMAVQSF
+LLSLQDQGERPPLVVFRASIYLLAICQDKDDALDEAVVGAIRKFLEDTPDLHRVYTHHPL
+LLRLFLLHPELMRRFGHRVLELWFSWEESSYEELDGVPSAGQPTLPASVAALFHIFRSSP
+SILLILLDLICSSPMDIARKVLIVLKTFLRRNEDVQVGGLIRGHFLMILQHLLVEHGSSP
+SGASGNLPLLLNLLSLVQLRNESEQELDSMAMKLLHQVSKLCGTCSPADSDILQPSFNFL
+YCSLHQTTLGSQRRAAAVLLSSTALIELLEKMLALTWAEEGSPRTGLLCSAWLLTASFSA
+QQHKGSLQVHQTLSVELDQVLKALSVPKKKAALLSAAILRFLRTALQQNFSSALVALRPS
+GAQSPPAPEDTVLAPLGTSQVLSLVIGLQNLLVQKDPLLSHACVGCLEALLDYVHARSPD
+VALHVACQPWNRFLMFTLLEAGENSFLKPEILRLMTLFVRFRSSSILSQEVGHVLQGAAS
+TDLSTLPGSTLQALHGFFLQVQSMGLLTDHSMAQTLQASLESLSPRASLAQPPLQDMLCL
+GEVSVSLSHIRD
+>tr|A0A3Q1MIU3|A0A3Q1MIU3_BOVIN Ribosomal protein S6 kinase OS=Bos taurus OX=9913 GN=RPS6KA3 PE=3 SV=1
+MPLAQLADPWQKMAVESPSDSAEEEGSIKEIAITHHVKEGHEKADPSQFELLKVLGQGSF
+GKVFLVKKISGSDARQLYAMKVLKKATLKVRDRVRTKMERDILVEVNHPFIVKLHYAFQT
+EGKLYLILDFLRGGDLFTRLSKEVMFTEEDVKFYLAELALALDHLHSLGIIYRDLKPENI
+LLDEEGHIKLTDFGLSKESIDHEKKAYSFCGTVEYMAPEVVNRRGHTQSADWWSFGVLMF
+EMLTGTLPFQGKDRKETMTMILKAKLGMPQFLSPEAQSLLRMLFKRNPANRLGAGPDGVE
+EIKRHSFFSTIDWNKLYRREIHPPFKPATGRPEDTFYFDPEFTAKTPKDSPGIPPSANAH
+QLFRGFSFVAITSDDESQAMQTVGVHSIVQQLHRNSIQFTDGYEVKEDIGVGSYSVCKRC
+IHKATNMEFAVKIIDKSKRDPTEEIEILLRYGQHPNIITLKDVYDDGKYVYVVTELMKGG
+ELLDKILRQKFFSEREASAVLFTITKTVEYLHAQGVVHRDLKPSNILYVDESGNPESIRI
+CDFGFAKQLRAENGLLMTPCYTANFVAPEVLKRQGYDAACDIWSLGVLLYTMLTGYTPFA
+NGPDDTPEEILARIGSGKFSLSGGYWNSVSDTAKDLVSKMLHVDPHQRLTAALVLRHPWI
+VHWDQLPQYQLNRQDAPHLVKVSSPLGHACHMPSRGDCEMPSLCHSTLPFHCLSTRIHFS
+GEILIQWVI
+>tr|A0A3Q1M4G3|A0A3Q1M4G3_BOVIN Olfactory receptor OS=Bos taurus OX=9913 PE=3 SV=1
+MEWENQTFNPDFILMGIFNYTPTHIFLFSLVLGIFTMALLANTLMVLVIYLDTRLHTPMY
+FLLSQLSLMDLMVICTTVPKMAHSYLSGRKSISVAGCEAQIFFYVSLFGAECFLLAVMAY
+DRYVAICSPLQYHSLMNWKLCGLLAASSWFLGAFDGIVELAATLSFSYCGSREIAQFFCD
+VPALLHLSCTDTSTFETLIFICCVVMLLLPLSLIIISYTRVIITVIRMSSGEGRHKAFTT
+CTSHLIVVGMYYGAAMFIYMRPNSNRSPTQDKMVSTFYTILTPTLNPLIYSLRNKDVAKA
+FSKKKKLFGDSLNEVSCGG
+>tr|F1MPX5|F1MPX5_BOVIN Dual specificity phosphatase 22 OS=Bos taurus OX=9913 GN=DUSP22 PE=3 SV=3
+MGNGMNKILPGLYIGNFKDARDAEQLSKNKVTHILSVHDSARPMLEGVKYLCIPAADSPS
+QNLTRHFKESIKFIHECRLQGEGCLVHCLAGVSRSVTLVVAYIMTVTDFGWEDALHTVRA
+GRSCANPNLGFQRQLQEFEELQVHQVTARHLHPQAGWLTGSLQPLAAVHLPQAPGELLPL
+CLGVKCCHLDEAGFHILRPKPGRSHCVARRPLAGVRADWVRAPGGQGEGAGV
+>tr|G3MYK9|G3MYK9_BOVIN Semaphorin 3G OS=Bos taurus OX=9913 GN=SEMA3G PE=3 SV=2
+MAPSAWAVCCLLGGLLLRGGSPNPGPSVPRLRLSFRDLLSANRSAIFLGPRGSLDLQALY
+LDEYRDRLFLGGRDAIYSLRLDQTWPDPREVLWPPQPGQREECVHKGRDPLVECANFVRV
+LHPHNRTHLLACGTGAFQPTCALLAVGHRGEHVLHLEPRSMESGRGRCPHEPSRPFASTF
+VGGELYTGLNADFLGHEAMIFRSGGPRPALRSDSDQNLLHDPRFVLAARIPDNSDPDDDK
+VYFFFSETVPSPDGGPGRVTISRVGRVCVNDAGGQRVLVNKWSTFLKARLLCSVPGPGSA
+ETHFDQLEDVFLLWPNSGKSLEVYALFSTVSAVFQGYAICVYHMADIWEVFKGPFAHQDG
+PQHQWGPYGGKVPFPRPGMCPSKMTAQPGRPFGSTKDYPDEVLQFARAHPLMFRPVRPRR
+GRPVLVKTHLAQQLRQIVVDRVEAEDGTYDVIFLGTDSGSVLKVIALQGAGSAEPEEVVL
+EELQVFKVPTPITEMEISVKRQMLYVGSRLGVARLQLHQCETYGSACAECCLARDPYCAW
+DGASCTRYRPGSNKRRFRRQDIRHGNPALQCLGQSQEEETAGLVATTTVYGTEHNSTFLE
+CLPKSPQAAAHWFLQRPGDERPEQQVKTDERVLQTEQGLLFRRLNRLDAGTYTCMTLEHG
+FSQVVVRLALEVIVPAQLDILFPRETRPEEPTARGGPTSPYSKAWYKDIMQLIGFANLPR
+VDEYCERVWCPSRSRTRGKQAKGKSWVGLELGKKVKSRVQAERNRTPREV
+>tr|A0A3Q1MIZ0|A0A3Q1MIZ0_BOVIN Non-specific serine/threonine protein kinase OS=Bos taurus OX=9913 GN=MARK3 PE=4 SV=1
+MFCFFNWRLLNLVNYCGFLIPCPLLSILLQHTSHGDGRQEVTSRSGRSGARCRNSIASCA
+DEQPHIGNYRLLKTIGKGNFAKVKLARHILTGRELFREVRIMKILNHPNIVKLFEVIETD
+KTLYLIMEYASGGEVFDYLVAHGRMKEKEARAKFRQIVSAVQYCHQKRIVHRDLKAENLL
+LDADMNIKIADFGFSNEFTVGSKLDTFCGSPPYAAPELFQGKKYDGPEVDVWSLGVILYT
+LVSGSLPFDGQNLKELRERVLRGKYRIPFYMSTDCENLLKRFLVLNPIKRGTLEQIMKDR
+WINAGHEDDELKPFVEPELDISDQKRIDIMVGMGYTQEEIQESLSKMKYDEITATYLLLG
+RKSSELDASDSSSSSNLSLAKVRPSSDLNNSTGQSPHHKVQRSVSSSQKQRRYSDHAGPA
+IPSVVAYPKRSQTSTADSDLKEDGVPSRKPGASAVGGKGIAPASPMLGNASNPNKADIPE
+RKKSSTVPSSNTASGGMTRRNTYVCSERTTADRHSVIQNGKENSTIPDQRAPVASTHSIS
+SSTTPDRTRFPRGTASRSTFHGQPRERRTATYNGPPASPSLSHEATPLSQTRSRGSTNLF
+SKLTSKLTRRNMSFRFIKSRNVSAEQKEENKDAKPRSLRFTWSMKTTSCMDPKDMMREIR
+KVLDANSCDYEQRERFLLFCVHGDGHAENLVQWEMEVCKLPRLSLNGVRFKRISGTSIAF
+KNIASKIANELKL
+>tr|A0A3Q1LZ81|A0A3Q1LZ81_BOVIN Phosphatidylinositol glycan anchor biosynthesis class A OS=Bos taurus OX=9913 GN=PIGA PE=4 SV=1
+MAYRGRGGRGQPSSASLSRVIPGSLHTFRTCAHNICMVSDFFYPNMGGVESHIYQLSQCL
+IERGHKVIIVTHAYGNRKGIRYLTNGLKVYYLPLKVMYNQSTATTLFHSLPLLRYIFVRE
+RVTIIHSHSSFSAMAHDALFHAKTMGLQTVFTDHSLFGFADVSSVLTNKLLTVSLCDTNH
+IICVSYTSKENTVLRAALNPEIVSVIPNAVDPTDFTPDPFRRHDSIITIVVVSRLVYRKG
+TDLLSGIIPELCQKYPDLKFIIGGEGPKRIILEEVRERYQLHDRVRLLGALEHKDVRNVL
+VQGHIFLNTSLTEAFCMAIVEAASCGLQVVSTRVGGIPEVLPENLIILCEPSVKSLCEGL
+EKAIFQLKSGALPPPENIHNIVKTFYTWRNVAERTEKVYDRVAGEAVLPMDKRLDRLISH
+CGPVTGYIFALLAVFNFLFLIFLRWNILCFNHGIASSIVPLFPDPNKPVFFTSLELLCCR
+NLENLI
+>tr|A0A3Q1M077|A0A3Q1M077_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MGFSKLPLFLVLSMLIIHQAGMLQAAPFRSVWKNGLVPATLTEEESYFLLATMVKYYVQK
+ASELEYETEDFGIIAQERTSNAATGMTHKMAGFLGKSGSKIKRNIMSTNVAPKPLAGTTG
+IFRTK
+>tr|A0A3Q1LJ09|A0A3Q1LJ09_BOVIN Peptidase A1 domain-containing protein OS=Bos taurus OX=9913 GN=PAG20 PE=3 SV=1
+MKWLVLLGLVAFSECIFKIPLRRVKTMRKTLSGKNMLNNFLKEHPYKLSQISFRGSNLTT
+LPLRNIWDIFYIGTITIGTPPQEFQVVFDTASSDLWVPSIICNSSTSTHVRFRHRQSSTF
+RLTNKTFGITYGSGRMKGVVVHDTVRIGDLVSTDQPFGLSVAEYGFEGRRFDGVLGLNYP
+NISFSKAIPIFDKLKNEGAISEPVFAFYLSNITMKGEVIGCSDGCTAMVDTGSSNIQGPG
+RVIDNIHKLIGATPRGSKHYVSCSAVNALPSVVFTINGINYPVPARAYVLKDFTGNCYTT
+FKEKRVRRSTEFWILGEAFLRLYFSVFDRGNDRIGLAPAV
+>tr|A0A3Q1M9A9|A0A3Q1M9A9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+IPSPRTQAAAWKVPLSHDMYMSFVLTLCCLLMSSSVENERKHTGKKDIKQERDQVVALIR
+CCNLLRVPERGKTLRNSSFPAKMKGTDRAWPDCVYSDWNF
+>tr|A0A3Q1MH75|A0A3Q1MH75_BOVIN Protein kinase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+KEKSKDISSAGGGLPISTVWEVALLQRLEAFEHPNVVRLMDVLTLVFEHVDQDLRTHLDK
+GPPPDLPVEITKDLMHQFPRGLDFLHANCIVHRDLKPENILVTNGGTVKLAAFGLARIHS
+YQMALTPMVVTVWYRAPEVLLQSTYATPVDMWSVGCIFAEMFRRKPLFCGNSEADQLGKI
+FDLIGLPPEDDWTREVSLPQGAFSPRGPRPVQSVVPELEESGAQLLLEMLTFNPHKRISA
+FQALQQSYLHKAEGDAEPPSFPIHGIFFRQE
+>tr|A0A3Q1MTG3|A0A3Q1MTG3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=ADH6 PE=3 SV=1
+MDSLGKTITCLAAIAWKTNSSLSLEEVQVEPPKAGEVRIKMISTGICGSDDHAIKGIIPL
+KYPFIPGHEGAGLVESIGEGVSSVKPGDKVLTLIVPQCRECSACLNPKGNFCEKQDILPS
+SGLMLDGTSRFTCKGEKIYHSFRTSTFSEYTVVPEISVVKIDAAAPMDKICVISCEVPTG
+YGAAVHSAKVTPGSTCVVFGLGGIGSAIVMGCKASGASRIIGVDINEEKFPRARALGVTD
+CLNPSNLKKPVQEAVKEMTGTGVDFAFEAIGLAETMVAAWDSCHVSHGVCIITGVSPPNS
+KFSLSAQTVSTGRTLKGVCLGGSAVFCCSEIPNNEKCKDILGEFIPFLFHLPDCSSAVKR
+AAKIWKCSVIVKMKQVHLEFNSNKIAS
+>tr|E1BM04|E1BM04_BOVIN TBK1 binding protein 1 OS=Bos taurus OX=9913 GN=TBKBP1 PE=4 SV=2
+MESMFEDDISILTQEALGPSEVWLDAPGDPSLGEDMCSASHFALITAYGDIKERLGGLER
+ENATLRRRLKVYEIKYPLISDFGEEHGFSLYEIKDGSLLEVEKVSLQQRLNQFQHELQKN
+KEQEEQLGEMIQAYEKLCVEKSDLETELGEMRALVETHLRQICGLEQQLRQQQGLRDVDF
+PSLSPPPAPAPPCADLDLHYLALRGGSSLSHAGWPGATPSMSELERRRLEEALEAAQGEA
+RGAQLREEQLQAECERLQGELKQLQETRAQDLASNQSERDMAWVKRVGDDQVNLALAYTE
+LTEELGRLRELSSLQGRILRTLLQEQARSGGQRHSPLSQRHSPAPQCPSHSPPARAAPPC
+PPCQSPVPQRRSPGPPCPSPQQRRSPASPSCPSPVPQRPPLPRAPTCPAPQPRPPPPPPP
+GERTLAERAYAKPPSHHVKAGFQGRRSYSEMAEGAGYAGASPPWLQAEAATLPKPRAYGG
+ELYGPGRPLSPRRAFEGIRLRFEKQPSEEEEWAVPASPPSPEAGAIRCASFCAGFPVPES
+PAATAYAHAEHAQSWPSINLLMETVGSDILSCPLCQLGFPVGYPDDALIKHIDSHLENSK
+I
+>tr|G3MYB6|G3MYB6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=2
+SKSQRNYTSHLFEGMSTDCLKLELLEEIHMKDLVQISILELRQKIVELEDKLNSNYEGSE
+WKARYEAQLELNDHLQKQIASLREKVEKIRGNPSDRLSSIRVYERMSVESLSTLLKQLEK
+EKRRLESQVRECALRLERESKAYRKVKDEHRMYLAKMSQLSDSPQSSKGQPVNLHRMKED
+PVKIGRSNPANQKMVNAKRGPEKKITRSNHLPKLNP
+>tr|F1MVV4|F1MVV4_BOVIN SSD domain-containing protein OS=Bos taurus OX=9913 GN=PTCH1 PE=4 SV=3
+MASAGNAAELQNRGGGGSCSGAPGRPAGGGRRRRTGGLRRNAVPDWDYLHRPSYCDAAFA
+LEQISKGKATGRKAPLWLRAKFQRLLFKLGCYIQKNCGKFLVVGLLIFGAFAVGLKAANL
+ETNVEELWVEVGGRVSRELNYTRQKIGEEAMFNPQLMIQTPKEEGANVLTTEALRQHLDS
+ALQASRVHVYMYNRQWKLEHLCYKSGELITETGYMDQIIEYLYPCLIITPLDCFWEGAKL
+QSGTAYLLGKPPLQWTNFDPLEFLEELKKINYQVDSWEEMLNKAEVGHGYMDRPCLNPAD
+PDCPATAPNKNATKPLDMALVLNGGCHGLSRKYMHWQEELIVGGTVKNSTGKLVSAHALQ
+TMFQLMTPKQMYEHFKGYEYVSHINWNEDKAAAILEAWQRTYVEVVHQSVAQNSTQKVLS
+FTTTTLDDILKSFSDVSVIRVASGYLLMVLPFLALGVGVDDVFLLAHAFSETGQNKRIPF
+EDRTGECLKRTGASVALTSISNVTAFFMAALIPIPALRAFSLQAAVVVVFNFAMVLLIFP
+AILSMDLYRREDRRLDIFCCFTSPCVSRVIQVEPQAYTETHDNTRYSPPPPYSSHGFAHE
+TQITMQSTVQLRTEYDPHTHVYYTTAEPRSEISVQPVTMAQDTLSCQSPESTSSTRDLLS
+QFSDSSLHCLEPPCTRWTLSSFAEKHYAPFLLKPKAKVVVIFLFLGLLGVSLYGTTRVRD
+GLDLTDIVPRETREYDFIAAQFKYFSFYNMYIVTQKADYPNIQHLLYDLHKSFSNVKYVM
+LEENKQLPKMWLHYFRDWLQGLQDAFDSDWETGKIMPNNYKNGSDDGVLAYKLLVQTGSR
+DKPIDISQLTKRRLVDADGIINPSAFYIYLTAWVSNDPVAYAASQANIRPHRPEWVHDKA
+DYMPETRLRIPAAEPIEYAQFPFYLNGLRDTSDFVEAIEKVRTICNNYTSLGLSSYPNGY
+PFLFWEQYIGLRHWLLLSISVVLACTFLVCAVFLLNPWTAGIIVTVLALMTVELFGMMGL
+IGIKLSAVPVVILIASVGIGVEFTVHVALAFLTAIGDKNRRAVLALEHMFAPVLDGAVST
+LLGVLMLAGSEFDFIVRYFFAVLAILTILGVLNGLVLLPVLLSFFGPYPEVSPANGLNRL
+PTPSPEPPPSVVRFAVPAGHTNNGSDSSDSEYSSQTTVSGISEELRHYEAQQGAGGPAHQ
+VIVEATENPVFARSTVVHPEPRHHPPSNPRQQSHLDSRTLPPGQPGQQPRRETPRECLRA
+PPYKPRRDAFEISTEGHSGPSNRDRTGPRGARSHNPRHHNPAFTAMGSSMPSYCQPITTV
+TASASVTVAVHPPPAPGPGPSRNPRSGLCPGYEDYPETDHGLFEDPHVPFNVRCERREPK
+VEVIELQDVECEERRHGSSSN
+>tr|F1MFC5|F1MFC5_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=OR13F1 PE=3 SV=3
+MDKTNATVISNFIFLGFTHYPKVEITIFMLCLLMYLITLLGNSILILVSVLDSHLHTPMY
+FFFSNLSCLDIWYTSSALTPMLANFISGRNTISFSGCAAQMYFSLAMGSTECVLLSVMAY
+DRYVAICNPLRYPIIMNKKICVRIAAGSWVTGSFTALVETVSVLQLSLCGSGVINHFTCE
+ILALLKLACVDTSKVQLIMLVISVLLLPMPMLLICISYAFILSSILRISSLDGRSKAFST
+CAAHLSVVVLFYGTALSMYLKPSNIDSQEIDKFIALVYAGITPMLNPIIYSLRNREVKVA
+VKKLLVKNSLCSFSL
+>tr|A0A3Q1LXP5|A0A3Q1LXP5_BOVIN Neuronal pentraxin receptor OS=Bos taurus OX=9913 GN=NPTXR PE=4 SV=1
+LKFLAVLLAAGMLAFLGAVICIIASVPLAASPARALPGGADNASAAAASPGPQRSLSALH
+GAGGSAGPPALPGAPAASAHPLPPVPLFSRFLCTPLAAACPSGAEPGDAAPGEREELLLL
+QSTAEQLRQTALQQEARIRADQDTIRELTGKLGRCESGLPRGLQEAGPRRDTMADGPWDS
+PALILELEDAVRALRDRIDRIEQELPARVNFSAAPAPAPVVPTALHSKMDELEGQLLAKV
+LALEKERMALGHSSQQQRQEVEKELDALQDRVAELEHGSSAYSPPDAFKISIPIRNNYMY
+ARVRKALPELYAFTVCMWLRSRSGGTGQGTPFSYSVPGQANEIVLLEAGHDPMELLINDK
+VAQLPLSLKDNGWHHICIAWTTRDGLWSAYQDGELRGSGENLAAWHPIKPHGILILGQEQ
+DTLGGRFDATQAFVGDIAQFNLWDHALTPAQVLGIANCTGPLLGNILPWEDKLVEAFGGA
+TKAAFDVCKGRAKA
+>tr|G3MZP5|G3MZP5_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC100299372 PE=3 SV=1
+MDRSNQTSPLVGFILLGLSAHPKLEKMFFVLILLMYLVILLGNGVLILVTILDSRLRTPM
+YFFLGNLSFLDICYTTSSVPLILDSFLTPRKTIPFSACAVQMFLSFAMGATECVLLGMMA
+FDRYVAICNPLRYPEVMSKAVYVPMAAGSWVAGSTSAMVQTSLAMRLPFCGDNVINHFTC
+EILAVLKLACADISINIISMAMTNVIFLGIPVLFIFFSYVFIIATILKIPSAEGRKKAFS
+TCSAHLTVVVIFYGTILFMYGKPKSKDPLGADKQDVEDKLTSLFYGVVTPMLNPIIYSLR
+NKDVKAAVRNLVTQKRFTW
+>tr|F1MZJ7|F1MZJ7_BOVIN Coiled-coil domain containing 85C OS=Bos taurus OX=9913 GN=CCDC85C PE=4 SV=3
+MAKPPAAAAAAAAASEELSQVPDEELLRWSKEELARRLRRAEGEKVGLMLEHGGLMRDVN
+RRLQQHLLEIRGLKDVNQRLQDDNQELRELCCFLDDDRQKGRKLAREWQRFGRHAAGAVW
+HEVARSQQKLRELEARQEALLRENLELKELVLLLDEERAALVGGAGGAGGGGGGGGGAGS
+RSSIDSQASLSGPLPGGAAGAGTRDVGDGSSTSSAGSGGSPDHHHHVPPPLLPPGPPKVP
+DGKAVATRRSLDDLSAPPPHHRSIPNGLHDPSSTYMRQLETKVKLLEGDKLLAQQAGPGE
+FRTLRKGFSPYHSESQLSSLPPSYQDSLQNGPACPAPELPSPSSSGYSSVGQKPEAVVHA
+MKVLEVHENLDRPLHDSCEEDLSEKEKAIVREMCNVVWRKLGDAASSKPSIRQHLSGNQF
+KGPL
+>tr|A0A3Q1LH95|A0A3Q1LH95_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=MAP3K20 PE=4 SV=1
+MSSLGTSFVQIKFDDLQFFENCGGGSFGSVYRAKWISQDKEVAVKKLLKIEKEAEILSVL
+SHRNIIQFYGVILEPPNYGIVTEYASLGSLYDYINSNRSEEMDMDHIMTWATDVAKGMHY
+LHMEAPVKVIHRDLKSRNVVIAADGVLKICDFGASRFHNHTTHMSLVGTFPWMAPEVIQS
+LPVSETCDTYSYGVVLWEMLTREVPFKGLEGLQVAWLVVEKNERLTIPSSCPRSFAELLH
+QCWEADAKKRPSFKQIISILESMSLDSKLSDQCNSFLHNKAEWRCEIEATLERLKKLERD
+LSFKEQELKERERRLKMWEQKLTEQSNTPLLPSFEIGAWTEDDVYFWVQQLVRKGDSSAE
+MSVYASLFKENNITGKRLLLLEEEDLKDMGIISKGHIIHLKSAIEKLTHDYLNLFHFPPL
+IKGSVGEPEENEEKIVNLELVFGFHLKPGTGLQDCKWKMYMEMDGDEIAITYIKDVTFNT
+NLPDAEILKMTKPPFVMEKWIVGIVENQTVECTVTYESDVRTPKSTKHIHSIQWSRMKPQ
+DEVKAVQLAIQTLFPNSEGTPGSRSDSNADCQWLDTLRMRQIASNTSLQRSQSNPILASQ
+FFPYFNDQDSYAAAVRRTQVPIKYQQITPINQSRSSSPTQYGLTKNFSSLHLNSRDSGFS
+SVNTDSSLERGQYSGRSRNKYDRASHSLNSSPRGRHSGKSQHSTPSRERYSGKFYRVSRS
+ALNAHQSPDFKRSPDASHQPRTIPGMPLCTDMDSRANEEESKVSEGGWTKVEYRKKPHRP
+SPAKSNRERPRGNFRGRRNF
+>tr|A0A3Q1LT54|A0A3Q1LT54_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MADSWHECIGYAVTLASQAGEVVHEALRNETNAMIKSSPVDLVTAAGFPVEKMLTSSIKE
+KYLCHLWQLGKKGVLTDNPTWIIDPTDGTTNFVHGFPFVALSIGFIVNKKVCCLN
+>tr|A0A3Q1LXE0|A0A3Q1LXE0_BOVIN WAS/WASL interacting protein family member 3 OS=Bos taurus OX=9913 GN=WIPF3 PE=4 SV=1
+LPLSSPVKAPLVSPPGPPSKGSPPVVPPPLLCPPPPPPPPPPPLPPPPALGPSDKAAKPQ
+LAPLHLPPVPPPLPLLPPCGHPGLNAEAASPAQDVREPPAPPPPPPPLPLYASCTPRSSL
+PAPTLPSANSSSEVPPPLPPKSPSFQAQPPKPSGQALPAPPAPPGSQPFLQKKRPGRGPG
+TGGGKLNPPPAPPARSPTTELSSRSQQALAWTPTQQPGGQLRNGSLNIIDDFESKFTFHS
+MEDFPPPDEYKPCQKIYPSKIPRTEAQRSSHITKRAHLSAEEKQWPKITDQMDDEL
+>tr|A0A3Q1MPK3|A0A3Q1MPK3_BOVIN Lymphocyte antigen 86 OS=Bos taurus OX=9913 GN=LY86 PE=4 SV=1
+MKGFAAALLIWTLLSPRRAGGEAWPTHTACRNGNLQVLYQSCDPLQDFGFSVDQCARQLK
+PNINIRFGMVLREDIEQLFLDVALFSKGLSILNFSYPVCEVDLPKFSFCGRRKGEQIYYA
+GPINNPGFEIPEFMKQPNSSDSDIAIHSQQLLLRASFRKTSKESQKCNRFVSFFGFQFHP
+FHLPKPEYDSRRGPPAP
+>tr|F1N150|F1N150_BOVIN Small nuclear ribonucleoprotein E OS=Bos taurus OX=9913 PE=3 SV=2
+MAYQGQGWNVQKGQVQPINLIFRCLQNRSRIQVWLYEQVNMWTEGCTIGFDEYMNLVLDD
+AEDIHSKTKSRKQLGRIMLKGDNITLLQSVSN
+>tr|F1MVS9|F1MVS9_BOVIN Mannan binding lectin serine peptidase 1 OS=Bos taurus OX=9913 GN=MASP1 PE=3 SV=1
+MRWLLLSHALCFSLLKASAHIVELNNMFGQIQSPGYPDAYPSDSEVTWNITVPEGFRIKL
+YFMHFNLESSYLCEYDYVKVETEDQVLATFCGRETTDTEQTPGQEVVLSPGSFMSITFRS
+DFSDEERFTGFDAHYVAVDVDECTEREDEELSCDHYCHNYIGGYYCSCRFGYILHTDNRT
+CRVECSDNLFTQRTGVITSPDFPSPYPKSSECLYTIELEEGFMISLQFEDIFDIEDHPEV
+SCPYDYIKIKAGPKVLGPFCGEKAPEPINTQSHSIQILFRSDNSGENRGWRLSYRATGNE
+CPKLQPPVHGKIEPLQTTYSFKDQVLISCDTGYKVLKDNVEMDTFQMECLKDGTWSSKIP
+TCKIADCGAPAELENGVVTFSTRNNLTTYKSEIRYSCQRPYYKMLHNTTGVYTCSAQGVW
+MNEVLGRSQPTCLPVCGQPSRSLPNLVKRIIGGRNAEPGLFPWQALIVVEDTSRVPNDKW
+FGSGALLSESWILTAAHVLRSQRRDNTVTPVSKEHVTVHLGLHDVRDKSGAVNSSAARVV
+LHPDFNIQSYNHDIALVQLREPVPLGPHILPVCLPPPEPAGPAPHVLGLVAGWGISNPNV
+TVDEIIGSGTRTLSDVLQYVKLPVVPHAECKTSYESRSGNYSVTENMFCAGYYEGGKDTC
+LGDSGGAFVILDDASQRWVAQGLVSWGGPEECGSKQVYGVYTKVSNYVDWVWEQMGSPQG
+LGELQVER
+>tr|A0A3Q1MST4|A0A3Q1MST4_BOVIN Membrane associated guanylate kinase, WW and PDZ domain containing 1 OS=Bos taurus OX=9913 GN=MAGI1 PE=4 SV=1
+SHGQRSLVGYSPWGHKESDTTERLHFHFHLAVYRSSCCFTVLLKPNIDFFHCGGKLNKDL
+RHFLNQRFQKGSPDHELQQTIRDNLYRHAVPCTTRSPREGEVPGVDYNFLTVKEFLDLEQ
+SGTLLEVGTYEGNYYGTPKPPSQPVSGKVITTDALQNLHSGSKQSTPKRTKSYNDMQNAG
+IVHAENEEEDDVPEMNSSFTADSGDQEEHSLQEAAHPPVNSSIIAAPITDPSQKFPQYLP
+LSAEDNLGPLPENWEMAYTENGEVYFIDHNTKTTSWLDPRCLNKQQKPLEECEDDEGIHT
+EELDSELELPAGWEKIEDPVYGIYYVDHINRKTQYENPVLEAKRKKQLEQQQQQQQQQQQ
+QPEEWTEDHSSLVPPAIPNHPPSNPEPAREAPLQGKPFFTRNPSELKGKFIHTKLRKSSR
+GFGFTVVGGDEPDEFLQIKSLVLDGPAALDGKMETGDVIVSVNDTCVLGHTHAQVVKIFQ
+SIPIGASVDLELCRGYPLPFDPDDPNTSLVTSVAILDKEPIIVNGQETYDSPASHSSKTG
+KVSSMKDARPSSPADVASNGSHGYPTDTVSLASSIATQPELITVHIVKGPMGFGFTIADS
+PGGGGQRVKQIVDSPRCRGLKEGDLIVEVNKKNVQALTHNQVVDMLIECPKGSEVTLLVQ
+RGGLPVPKKSPKSQPLERKDSQNSSQHSVASHRSLHTASPSHSTQVLPELPPAEAPAPDQ
+TDNSGQKKPDPFKIWAQSRSMYENRPMSPSPASGLSKGEREREINSTNFGECQIPDYQEQ
+DIFLWRKETGFGFRILGGNEPGEPIYIGHIVPLGAADTDGRLRSGDELICVDGTPVIGKS
+HQLVVQLMQQAAKQGHVNLTVRRKVVFAAPKTENEVPSPASSHHSSNQPASLTEEKRTPQ
+GSQNSLNTVSSGSGSTSGIGSGGGGGSGVVSTVVQPYDVEIRRGENEGFGFVIVSSVSRP
+EAGTTFAGNACVAMPHKIGRIIEGSPADRCGKLKVGDRILAVNGCSITNKSHSDIVNLIK
+EAGNTVTLRIIPGDESSNATLLTNAEKIATITTTHTPSQQGAQETRNTTKPKPESQFEFK
+APQATQEQDFYTVELERGAKGFGFSLRGGREYNMDLYVLRLAEDGPAERCGKMKVGDEIL
+EINGETTKNMKHSRAIELIKNGGRRVRLFLKRGDGSVPEYAMIPPNIAACMRNEKLGEAC
+FYLMGHNQTTTPAATGTAPPPVHKVFRK
+>tr|A0A3Q1MWX9|A0A3Q1MWX9_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC788572 PE=3 SV=1
+MEQRNNVTEFVLLGLTQSIQGQKILFVMFLFIYIVTMVGNLLIVLTVVVSSILDTPMYFF
+LGCLSFMDAIYSTTVTPNMIIDLVYGRKTISFQACMTQLFIWHFFGGADIFLLVVMAYDR
+YVAICKPLHYLTIMNKRVCVLLLLLTWIGGFLHGIFHPLFVYNLPFCGPNIIDHFMCDMY
+PLLKLACTDTQVTVITVLTNDGAICVIIFSLLLISYGVILCSLKNRGQVGRRKALSTCGS
+HITVVVLFFVPCIFMYMRPPSTLSVDKSLTLFYSIITPMLNPLIYTLRNGEMKNAMKKLW
+TTKRK
+>tr|A0A3Q1LIU1|A0A3Q1LIU1_BOVIN CUB and Sushi multiple domains 2 OS=Bos taurus OX=9913 GN=CSMD2 PE=4 SV=1
+MPRSRGRELRRCGCPAGRARAEAEISALVPGAGSRWGRQPPPPLTPPPLLLLLGWGLLSA
+SAAAGQNCTFQLQGPNGTVESPGFPYGYPNYANCTWTITAEDQHRIQLVFQSFALEEDFD
+VLSVFDGPPQPENLRTRLTGFQLPATIVSAATTLSLRLISDYAVSAQGFHASYEVLPSHT
+CGNPGRLPNGVQQGSTFNLGDKVRYSCNPGFFLEGHAVLTCHAGSENSATWDFPLPSCRA
+DDACGGTLRGQSGIISSPHFPSEYHNNADCTWTILAELGDTIALVFIDFQLEDGYDFLEV
+TGTEGSSLWFTGASLPAPVISSKNWLRLHFTSDGNHRQRGFSAQYQVKKQIELKSRGVKL
+MPSKDNSQKTSVLTQVGVSQGHNMCPDPGIPERGKRLGSDFRLGSSVQFTCNEGYDLQGS
+KRITCMKVSDMFAAWSDHRPVCRARMCDAHLRGPSGIITSPNFPIQYDNNAHCVWIITAL
+NPAKVIKLAFEEFDLERGYDTLTVGDGGQDGDQKTVLYILTGTSVPDLIVSTNHQMWLLF
+QTDGSGSSLGFKASYEEIEQGSCGDPGVPAYGRREGSRFRHGDTLQFECQPAFELVGQKA
+ITCQKNNQWSAKKPGCVFSCFFNFTSPSGIVLSPNYPEDYGNHLHCVWLILARPESRIHL
+AFNDIDVEPQFDFLVIKDGATAEAPVLGTFSGNQLPSSITSSGHVARLEFQTDHSTGKRG
+FNITFTTFRHNECPDPGVPVNGKRFGDSLQLGSSISFLCDEGFLGTQGSETITCVLKEGS
+VVWNSAVLRCEAPCGGHLTSPSGTILSPGWPGFYKDALSCAWVIEAQPGYPIKITFDRFK
+TEVNYDTLEVRDGRTYSAPLIGVYHGTQVPQFLISTSNHLYLLFSTDKSHSDIGFQLRYE
+TITLQSDHCLDPGIPVNGQRHGNDFYVGALVTFSCDSGYTLSDGEPLECEPNFQWSRALP
+SCEALCGGFIQGSSGTILSPGFPDFYPNNLNCTWIIETSHGKGVFFTFHTFHLESGHDYL
+LITENGSFTQPLRQLTGSRLPAPISAGLYGNFTAQVRFISDFSMSYEGFNITFSEYDLEP
+CEEPEVPAYSIRKGLQFGVGDTLTFSCFPGYRLEGTARITCLGGRRRLWSSPLPRCVAEC
+GNSVTGTQGTLLSPNFPVNYNNNHECIYSIQTQPGKGIQLKAKAFELSEGDVLKVYDGNN
+NSARLLGVFSRTELLGVTLNSTSSSLWLDFITDAENTSKGFELHFSSFELIKCEDPGTPQ
+FGYKVHDGGHFAGSSVSFSCDPGYSLRGSEELLCLSGERRAWDRPLPTCVAECGGTVRGE
+VSGQVLSPGYPAPYEHNLNCVWTIEADAGCTIGLHFLVFDTEEVHDVLRIWDGPVESGVL
+LKELSGPALPKDLHSTFNSVVLQFSTDFFTSKQGFAIQFSVSTATSCNDPGVPQNGSRSG
+DSWEAGDSTVFQCDPGYALQGSAEISCVKIENRFFWQPSPPTCIAPCGGDLTGPSGVILS
+PNYPEPYPPGKECDWKVTVSPDYVIALVFNIFNLEPGYDFLHIYDGRDSLSPLIGSFYGS
+QLPGRIESSSNSLFLAFRSDASVSNTGFVIDYTENPRESCFDPGSIKNGTRVGSDLKLGS
+SITYYCHGGYEVEGSSTLSCILGPDGKPVWNHPRPVCTAPCGGQYVGSDGVVLSPNYPQN
+YTSGQICLYFVTVPKDYVVFGQFAFFHTALNDVVEVHDGHNQHSRLLSSLSGSHTGESLP
+LATSNQVLVKFSAKGQVPARGFHFVYQAVPRTSATQCSSVPEPRYGRRLGSDFSVGAIVR
+FECNSGYALQGSPEIECLPVPGALAQWNVSAPTCVVPCGGNLTERRGTILSPGFPEPYLN
+SLNCVWKIMVPEGAGIQIQVVSFVTEQNWDSLEVFDGADNTVTMLGSFSGTTVPALLNST
+SNQLYLHFYSDISVSAAGFHLEYKTVGLSSCPEPAVPSNGVKTGERYLVNDVVSFQCEPG
+YALQGHAHISCMPGTVRRWNYPPPLCIAQCGGTVEDMEGVILSPGFPGNYPSNMDCSWKI
+ALPVGFGAHIQFLNFSTEPNHDFIEIRNGPYETSRMMGRFSGSELPSALLSTSHETTVYF
+HSDHSQNRPGFKLEYQVPCGSNITSFNGTVYSPGFPSPYSSSQDCIWLITVPIGHGVRLN
+LSLLQTEPSGDFITVWDGPQKTAPQLGAFSRSFAKKTMYSSSNQVLLQFHHESAMGGIFA
+IAFSAYPLTKCPPPTILPNAEVVTENEEFNIGDIVRYRCLPGFTLVGNEILTCKLGTYLQ
+FEGPPPICEVHCPTNELLTDSTGVILSQSYPGSYPQFQICSWLVRVEPEYNISITVEYFL
+SEKQYDEFEIFDGPSGQSPLLKALSGNYSAPLVVTSSSNSVYLRWSSDHAYNRKGFKIRY
+SAPYCSLPRAPLHGFLLGQTSTQPGGSIHFGCNAGYRLVGHSMAICTRHPQGYHLWSEAI
+PLCQALSCGLPEAPKNGMVFGKEYTVGTKAVYSCSEGYHLQAGAEATTECLETGLWSNRN
+VPPQCVPVTCPDISSVSVEHGRWRLIFETQYQFQAQLMLICDPGYYYTGQRVIHCQANGK
+WSLDNSMPTCQIISCGELPVPPNGHRIGTLSVYGATAIFSCNSGYTLVGSRVRECMANGL
+WSGSEVRCLAGHCGTPEPIVNGHINGENFSYRGSVVYQCNAGFRLIGMSVRICQQDHHWS
+GKTPFCVPITCGHPGNPINGLTQGSQFNLNDVVKFVCNPGYVAEGAARSQCLASGQWSDV
+LPTCRIINCTDPGHQENSVRQIHASGPHRFSYGTTVSYQCTHGFYLLGTPVLSCQGDGTW
+DRPRPQCLLVSCGHPGSPPHSQMSGDSYTVGAVVRYSCTGKRTLVGNATRMCGLGGHWTG
+SLPHCSGTSIGVCGDPGIPAHGIRLGDSFAPGSLMRFSCEAGHVLRGSSERTCQANGLWS
+GVQPECGVISCGNPGTPSNARVLFSDGLVFSSSIVYECREGYYATGLLSRHCSVNGTWTG
+SDPECTVINCGDPGVPANGLRLGSDFRYNRTVTYQCVPGYTMESHRVSVLSCTKDRTWNG
+TKPVCKAIMCKPPQLIPNGKVVGSDFMWGSSVTYACLEGYQLSLPAVLTCEGNGSWTGEL
+PQCFPVFCGDPGVPARGRREDRGFSYRSSVSFSCQPPLVLVGSPRRFCQSDGTWSGTQPS
+CIDPTLTTCVDPGVPQFGIQNNSQGYQVGSTVLFRCQKGYLLQGSTSRTCLPNLTWSGTP
+PDCVPHHCKQPETPSHANVGALDLPSMGYTLIYSCQEGFSLKGGSEHRTCKADGSWTGKP
+PICLAEVRPSGRPINTAREPPLTQASVPGDVFAKNSLWKGAYEYQGKKQPAMLRVTGFQV
+INSKVNATMVDHSGVELHLSGIYKKEDFHLLLQVYQITGPVEIFVNKFKDDHWALDGHVS
+SESSGGTFVYQGSVKGQGFGQFGFQRLDLRLLESDPESIGRHFASNSSSVAAAILVPFIA
+LIIAGFVLYLYKHRRRPKVPFNGYAGHENTNVRATFENPMYDRNIQPTDIMATEAEFTVS
+TVCTAV
+>tr|G3MYF5|G3MYF5_BOVIN Family with sequence similarity 181 member A OS=Bos taurus OX=9913 GN=FAM181A PE=4 SV=2
+MWERGRRLLGMLCIWRGAPDWPEGPPAPGTRRPPFSPLEGGTTASSRTTELAGRAKPGPG
+LQHGGNLCRATLAGARAPALSVGSVLFPGAASCLENPASSWKARCSGALVMASDSDVKML
+LNFVNLASSDIKAALDKSAPCRRSVDHRKYLQKQLKRFSQKYSRLPRGLPGRGAEPHLKR
+GPEDRPGRPLPLESGHGSSPGGGGGCKEKALGNLDREESLSKERTLHGPDPGAARPGQVP
+MRKRQLPASFWEEPRPTHSYPVGLEGGLGPREGPPYEGKKHCKGLEPLGPETTPVPTSPR
+APAEKEPLKMPGVSLVGRVSAWSCCPFQYHGQPVYPSPPGALPQSPMPSLGLWRKSAASP
+GELAHFCKDAEGPGQKVYRPVVLKPIPTKPAVPPPIFNVFGYL
+>tr|A0A3Q1MV95|A0A3Q1MV95_BOVIN Isthmin 1 OS=Bos taurus OX=9913 GN=ISM1 PE=4 SV=1
+MQNNLNMESASTSETSFPLSKEAPGEHSDHQAGHQPIPRQQFQQEVGHPSLQRDGPRSFL
+LDLPNFPDLSKADINGQNPNIQVTIEVVDGPDSEAEKDQHPENKPSWSVPSPDWRAWWQR
+SLALPRAQGGDQDYKYDGTSDDSNFLSPPGGWDRPAPGHRMFETKEQPEYDSTDGEGDWS
+LWSVCSVTCGNGNQKRTRSCGYACTATESRTCDRPSCPGIEDTFRTAATEVSLLAGSEEF
+NATKLFEVDTDSCERWMSCKSEFLKKYMHKVINDLPSCPCSYPTEVAYSTADIFDRIKRK
+DFRWKDASGPKEKLEIYKPTARYCIRSMLSLESTTLAAQHCCYGDNMQLITRGKGAGTPN
+LISTEFSAELHYKVDILPWIICKGDWSRYNEARPPNNGQKCTESPSDEDYIKQFQEAREY
+>tr|G3MZK7|G3MZK7_BOVIN Troponin C2, fast skeletal type OS=Bos taurus OX=9913 GN=TNNC2 PE=4 SV=2
+MGTTDQQAEARSYLSEEMIAEFKAAFDMFDADGGGDISVKELGTVMRMLGQTPTKEELDA
+IIEEVDEDGSGTIDFEEFLVMMVRQMKEDAKGKTEEELAECFRIFDRNADGYIDAEELAE
+IFRASGEHVTDEELESLMKDGDKNNDGRIDFDEFLKMMEGVQ
+>tr|F1MLN4|F1MLN4_BOVIN Interleukin 17F OS=Bos taurus OX=9913 GN=IL17F PE=4 SV=2
+MTMTVLCDTAVVKFLLLLTLWLTLLGEAAAQKPPRSGDSGLCPTLEDHIVRVDIRIRRRN
+QGVFFSRNLQNRSISPWDYNITRDANRFPSEIAEAQCRHMGCINAEGQEDRTLNSVPIQQ
+EFLVLRRKQNGCPGLFQLEKVLVTVGCTCVTPMVRHLHDPMADQVADQLS
+>tr|G3N089|G3N089_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MSTLIYVDKENGEPGIRVAPKDGLKLGSVPSVKALDGRSQVSTPHVGKMFDAPPALPKTA
+RKALGTVNRAIEKSVKTNGLLKQKQTTFSTKKITEKTVKAKSSVPASDDTYPEIEKFFPF
+NPLDFESFDLPEEHQIAHLPLSGVPLMILDEERELEQLLHVGPPSPLKMPPLLWESNLLQ
+SPSSILSTLDVELPPVCCDLDI
+>tr|A0A3Q1MI46|A0A3Q1MI46_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=CFHR5 PE=4 SV=1
+MLITVNEAAPGGAASLQGQFQLHAAGVTGMLLLTHVLLILWISSVGGQVGDWIKEIYEKQ
+LLRRHCDFPKINHGILYNEKKYKASFPVSLGKIFYYSCEYNYMPPSKYFWTPITCTEGGW
+SPTPKCLRVCFFPFVENGQSASSGQIYLEGATVQITCNKGYSLPDNQGSITCAEGGWSSP
+PECISTKKCLKTDIVVANGFLSESEYAYSVNKETQYKCKPGYTTADGKTSGTVQCLQGGW
+SSQPTCIKTECQLPFLEANVDAYPKQEKYKVGDVLKFSCRQRLKRVGPDSVQCYEFGWSP
+NFPTCKEPGKACGFMPQLENGYSQPSVPPYRHGVSVVLSCRNAYTMIGNTTITCIDGIWT
+ELPKCVATNQLKRCEKPRFYTRSQLSSHMYEFNHNARVSYKCAGKSTYIQTVCIDGKWDP
+EPDCLGKKKPLCPPPPQIPNAQNMLTTVNYQEGEKVAVLCKENHAFLEAKELVCRSGRWQ
+SLPHCVESGQYCGPPPPIGNGDITSFPLSAYPPGSNVQYRCQSFYELRGNLTVTCRNGRW
+SEPPACIDACIISEDRMNKNNIQLKWRNPPKRYAKTGDFIEFECKHSHKERTPIQSFRVL
+CQEGKFEYPACE
+>tr|A0A3Q1MGJ3|A0A3Q1MGJ3_BOVIN ADAM metallopeptidase domain 33 OS=Bos taurus OX=9913 GN=ADAM33 PE=4 SV=1
+MFAAHTHPHPPGKGRSSALCLCAFPVNSLVRYLCIPPAPWQAEGLDAHPLSPILLLAQVP
+KLQVRLVALEAEGQELLLELEKNHRLLAPGYTETHYSPDGRPVVLFPNHKDHCHYHGHVR
+GFLDSWVILSICSGMRGLITLSSNASYYVHPSPAGDSKDFLTHRIFRVEQLFRGKGTCGH
+RDPKDKRDTISLSCATQIRERRESLRSPRYLELYIVGDHTLFLTQHRNLNHAKQRLLEIA
+NYVDQILRTLDIKVVLTGLEVWTEQDQSRVTPDANATLWAFLQWRRGLWARQPHDSAQLL
+TGQAFRGATVGLAPVEGMCLAESSGGVTTDHSEFSIGAAATMAHEIGHSLGLSHDPHGCC
+AEAAVEQGGCVMAAATGHPFPRVFSACSRRQLRAFFRKGRGACLSNAPDSGLLVPQARCG
+NGFVEKGEECDCGAGQECPDSCCLAHNCSLRAGAQCTHGDCCAHCLLKPAGTPCRPAAGD
+CDLPEFCTGASPYCPPDIYLLDGSPCARGRGYCRDGACPTLEQQCQQLWGPGSRPAPEAC
+FQVVNSAGDAQGNCGQQGDGNFVPCARRDAQCGKLQCQGGEQSALVPHVVPVDSTVHLGS
+REVTCRGASMLPGAQLDLPDLGLVEAGTQCGPRMVCNSNRNCHCAPGWAPPSCDKPGLGG
+SVDSGPVRPQNPDAFTLAMILSSVLPLLPGAGLAWCCYRRPGLCLQQCFWGSRRALMCSG
+SKDGPCRGHPLGSIHPVELRLTAPQESQPLGE
+>tr|A0A3Q1N1N6|A0A3Q1N1N6_BOVIN CD109 molecule OS=Bos taurus OX=9913 GN=CD109 PE=4 SV=1
+MRGLRLLTAARLFCVWSAALAAVPGPRFLVTVPGIIRPGGNVTIGVELLEHSPSQVTVKA
+ELRKMAANRTVSVLEAEGVFEKGKIHSRAPSLPLNSADEMYELRVTGRAQDEILFSNSTR
+LSFETKRMTVFIQTDKPLYKPKQEVKFRVVTLFSDFKPYKTFLNILIKDPKSNLIQQWLS
+EKSDLGVVSKTFQLSSHPILGDWSIQVQVNRKMDGLKYSCFSFPVLPKFEVALQTPLYCS
+LNAKSLNGTVTAKYTYGKPVKGDLTLTFLPLSFWGVKKNITKTLKINGSANFSFNDEEMK
+KVMDFSDGPSEYMYLSSPGPVEIIATVTESLTGISRNASSNVFFKQHDYIIEFFDYATVL
+KPSLNFTATVKVTRSDGHQLTPEERRNNVVLMVTQRNYTEYWSRWDTQNQEVGAVQIINH
+TVPANGIFKIEFPILDDSSELQVKASFLDSVSNMAVHGMFKSPSKTYIQLKVKDENIKVG
+SPFELVVIGNKQLKELSYMVVSRGQLVAVGKQNSTTFSLIPENSWAPKACIIVYYIEDDG
+EIINDVLKIPVQLVFKNKIQLFWSKANAEPSEKVSLRISVTQPDSTVGIVAVDKSVNLMN
+VSNDITMENVVHELELYNTGYYLGMFMNSFAVFQECGLWVLTDANLVKDYIDGVYDSVEL
+AERFVDENEGYLVDIHDFSLGSSPRVRKHFPETWIWLDTNMGSRIYQEFEVTVPDSITSW
+VATAFVISEDLGLGLTTAPVELQAFQPFFIFLNLPYSVIRGEEFALEVTIFNYLKDATEV
+KVIIEKSDAFDILMASNEINATGHQQTILVPSEDGATVLFPIRPTRLGEVPITVTAVSPA
+ASDAVTQRILVKAEGIEKSYSQSILLDLTDSTLQTTLKTLSFSFPPHTVSGSERVQVTAI
+GDILGSSINGLASLIRMPYGCGEQNMINFAPNIYILDYLTKKKQLTENLKEKALSFMRQG
+YQRELLYQREDGSFSAFGNDDPSGSTWLSAFVLRCFLEADPYIDIDQNVLHRTYTWLKGH
+QKSNGEFWEPGRVIHSELQGGNKSPVTLTAYIVTSLLGYKKYQPNIDVQESINFLESEFD
+RGISDNYTLALVTYALSSGRSPKAKEALDMLTWRAEQEGGLQFWVSLVSRLSESWQPSSL
+DIEVAAYALLSHFLQHRVPEGIPIMRWLSRQRNSLGGFASTQDTIVALKALSEFAALMNV
+EETNIQVTVEGSGSLSPVKFVIDTQNRFLLQTAELAVVQPTTVNISANGFGYAICQLNVI
+YNVKNSRSSKSRRSIQDQESFDLDVAVKDNKDDVNHLDLNVCTRFLGPARSGMALMEVNL
+LSGFTVSSDAIPLSDTLKKVEHDHGKLNLYLDSVNETQFCVDIPAVRSFRVSNTQDASVS
+IVDYYEPRRRAVRSYNSRAQLTSCDLCGDALACGPCDLAAAAHLPLLFLFYIMCIAHLFY
+LNLFNGEEWDV
+>tr|A0A3Q1M397|A0A3Q1M397_BOVIN Villin like OS=Bos taurus OX=9913 GN=VILL PE=4 SV=1
+MEHNKDAVWRANGCLQSVCIACLMDTRRGLPDIESHRDLHIWIIENLQMVPVPEPAYGNF
+FEKHCYVVLHVPQSLKATPGVPKDLHYWVGKMAAPGAQGAPGSFLQHLKEALGGATVQHR
+EVQGHESACFRSYFRSGIIYRKGGLASALKHVETNVYNIQRLLRIRGGKHVSATEVELSW
+HSFNNSDVFLLDLGRMMIQWNGPKASAARKARGLFLTHSLRDRERGGRAQVSVVDDEAEA
+TDLMEIMEAVLGRRVGSLHAAMPSKRMNQLQKANVHLYQVCQKSKDLVVQELSTCPLTQD
+LLQEENCYILDQGGFKIYVWQGRRASLQERGAAFRRALNFIQAKGYPSYTSVEVMDDGAE
+SAGFKQLFRSWSGQQRKNKNLSGMGKLLQVKLDVGKLHSQPELAAQLRMVDDASGSVQIW
+CIQDSHRQPVDPKRHGQLCADSCYLVLYTYRRMGFVQHVLYLWQGLQATAHEISALRGNA
+EELDLWYRGALVQEHVTMGSEPPHFLAIFQGQLVIFQGHPRHSRKGQPAPAVSLFHIQGT
+DSYNTRTMEVPARASALNSSDVFLLVTANLCYLWFGKGCSGDQREMARTVVTIICREDME
+IVLEGQEPPNFWEALGGRAPYRSNKRPPEDVCDFQPRLFECSCQAGPLVLTEVVFFSQED
+LDKYDVMLLDAWQEIFLWLGAAASEWKQEAVAWGQEYLKTHPAGRSLATPIVLVKQGHEP
+PTFIGWFCTWDPYKWSNTQSYEEVVAGDPGAVSTISEITAEIVNFRLSRWPGNDRAGPLA
+LRALKSSEDISESELELGPRAGTGSRSTVSSASSSSYQSSPQSLGSGGLPREQLRHQAAE
+DLPEGVDPAHKEAYLSDSDFQDIFGKSKEEFYSMAKWRQQQEKQQLGFF
+>tr|A0A3Q1M0S4|A0A3Q1M0S4_BOVIN ETS transcription factor ERG OS=Bos taurus OX=9913 GN=ERG PE=3 SV=1
+MEKFVSLSVCPLVPHFPHTLLLSTLSVVSEDQSLFECAYGTPHLPKTDMTASSSGDYGQT
+SKMSPRVPQQDWLSQPPARVTIKMECNPNQVNGSRNSPDECSMAKGGKMVGSPDTVGMNY
+SSYMEEKHMPPPNMTTNERRVIVPADPTLWSTDHVRQWLEWAVKEYGLPDVDILLFQNID
+GKELCKMTKDDFQRLTPSYNADILLSHLHYLRETPLPHLTSDDVDKALQNSPRLMHARNT
+DLPYEPPRRSAWTSHGHPAPQSKAAQPSPSTVPKTEDQRPQLDPYQILGPTSSRLANPGS
+GQIQLWQFLLELLSDSSNSNCITWEGTNGEFKMTDPDEVARRWGERKSKPNMNYDKLSRA
+LRYYYDKNIMTKVHGKRYAYKFDFHGIAQALQPHPPESSLYKYPSDLPYMGSYHAHPQKM
+NFVAPHPPALPVTSSSFFAAPNPYWNSPTGGIYPSARLPASHMPSHLGTYY
+>tr|A0A3Q1LXB7|A0A3Q1LXB7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+RGGCQFLLKKLLFLFASLSTSSHPPLSFSSELYYLIARFLQSGPCNKSAQVLVQELEEHQ
+LIPRRLDWEGREHRRSFEDLVSKVFISTQPNSFFTPFPPPCT
+>tr|E1BHJ3|E1BHJ3_BOVIN FERM domain containing 4A OS=Bos taurus OX=9913 GN=FRMD4A PE=4 SV=2
+MAAGLLGSENLLNAWDRPVWGLTCRALRTCQGRNVRVLQLMLKPWCISRAVYQMTEGRRC
+QVHLLDDRKLELLVQPKLLAKELLDLVASHFNLKEKEYFGIAFTDETGHLNWLQLDRRVL
+EHDFPKKSGPVVLYFCVRFYIESISYLKDNATIELFFLNAKSCIYKELIDVDSEVVFELA
+SYILQEAKGDFSSNEVVRTDLKKLPALPTQALKEHPSLAYCEDRVIEHYKKLNGQTRGQA
+IVNYMSIVESLPTYGVHYYAVKDKQGIPWWLGLSYKGIFQYDYHDKVKPRKIFQWRQLEN
+LYFREKKFSVEVHDPRRASVTRRTFGHSGIAVHTWYACPALIKSIWAMAISQHQFYLDRK
+QSKSKIHAARSLSEIAIDLTETGTLKTSKLANMGSKGKIISGSSGSLLSSGSQESDSSQS
+AKKDMLAALKSRQEALEETLRQRLEELKKLCLREAELTGKLPVEYPLDPGEEPPIVRRRI
+GTAFKLDEQKILPKEEEAELERLEREFAIQSQITEAARRLASDPNVSKKLKKQRKTSYLN
+ALKKLQEIESAINENRIKSGKKPTQRASLIIDDGNIASEDSSLSDALVLEDEDSQVTSTL
+SPLQSPHKGLPPRPPSHNRPPPPQSLEGLRQMHYHRNDYDKSPIKPKMWSESSLDEPYEK
+VKKRSSHSHSSSHKRFPSTGSCAEAGGGSSSLQTSPIRSLPHWNSQCSMPSTPDLRVRSP
+HYVHSTRSVDLSPTRLHSLALHFRHRSSSLESQGKLLGSENDTGSPDFYTPRTRSSNGSD
+PMDDCSSCTSHSSSEHYYPAQMNANYSTLAEDSPSKARARQRQRQRAAGALGAANSGSMP
+NLAARGGGHGVYLHSQSQPSSQYRIKEYPLYVEGGATPVVVRSLESDQEGHYSVKAQFKT
+SNSYTAGGLFRESWRGVDEGDAGRLTPSRSQILRTPSLGREGVPDKGAGRAAVSDELRQW
+YQRSSASHKEHSRLSHTSSTSSDSGSQYSTSSQSTFVAHSRVTRMPQMCKATSAALPQSQ
+RSSTPSSEIGATPPSSPHHILTWQTGSYGDSCFLDSSLYPELADVQWYGQEKAKPGTLV
+>tr|A0A3Q1MJ89|A0A3Q1MJ89_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC781977 PE=4 SV=1
+MLTSASTLLAPRIFWVLLLLAAFRSAQSGTWDNPNCTRGVVSVLRGKPAMMSCSISNAFS
+HINISLKANHTAPWKLIFSVKPPGDFCQDGWQLRIWEGEAHLVIEEAQDIQAGQYKWSLQ
+GRQRNVESTTLNVSGPCITLRSLQTDPEDKSQNHVVFPILALVVLSILLICALAWQRRRG
+YPLSRLQQVRRL
+>tr|F1N5D2|F1N5D2_BOVIN G protein-coupled receptor 180 OS=Bos taurus OX=9913 GN=GPR180 PE=4 SV=2
+MRGLRLLAVALTCCWWPPGSQGKTLRGSFSSAAARDAQGQSIGHFEFHGDHALLCVRINN
+IAVAVGKEAKLYLFQAQEWLKLQEGGPEYSCSEKLSKAQLTMAVNQTEHNLTVSQIPYPQ
+KWHVFYADKYTCREGGEESQMEDVPFEMMLLNPDAEGNPFDHFSAGESGLHEFFFLLVLV
+YFVIACIYAQSLWQAIKKGGPMHMVLKVLTTALLLQAGSAFANYIHFSSYSKDGIGVPFM
+GSLAEFFDIASQIQMLYLLLSLCMGWTIVRMKKSQSRPLQWDSTPASTGIAVFMVITQSI
+LLLWEQFEETSSHSHHSHHSLAGILLIVLRICLALSLGCGLYQIITVERSTLKREFYITF
+AKGCILWFLCHPGLACISVIFDDYQRDKVITIGVILCQSVAMVILYRLFLSHSLYWEVSS
+LSSVTLPLTISSGHKTRPHF
+>tr|A0A3Q1MK57|A0A3Q1MK57_BOVIN Proteasome activator complex subunit 1 OS=Bos taurus OX=9913 GN=PSME1 PE=4 SV=1
+LRDIKVRLFSQVDVFREDLCTKTENLLGSYFPKKISELDAFLKEPDLNEANLSNLKAPLD
+IPVPDPVKEKEKEERRKQQEKEDKDEKKKGEDEDKGPPCGPVSCNEKIVVLLQRVKPEIK
+DVIEKLNLVTTWLQLQIPRIEDGNNFGVAVQEKVFELMTALHTKLEGFHTQISKYFSERG
+DAVTKAAKQPHVGDYRQLVHELDEAEYRDIRLMVMEIRNAYAVLYDIILKNFEKLKKPRG
+ETKPSLW
+>tr|F1MHG2|F1MHG2_BOVIN Zinc finger protein 404 OS=Bos taurus OX=9913 GN=ZNF404 PE=4 SV=1
+MDLSFQMPLTFSDVSIDFSQEEWECLNSDQKNLYRDVMLETYTNLVSLDFDFTTENNKLS
+SEKSSFEVNSYHWEIMKSKTFNLMGFIFRNDSQSRNQFEGQQASQVEHFSQMIFQKHISL
+PLHQRIHTKEKSCECKECRKGFRKYSHLTENLRDHSGVRPYECRECGKAFPVLQHFIRHK
+KSHTDLKSYECNGCEKAFRLYSQLIQHQIIHTGVKPYKCKQCGKAFRRHSHLTKHQNIHV
+GLKPYKCKECGETFRFYRHMCLHQKIHHGVKPYICKECGKAFGHHSSLYQHKKIHSGEKP
+YKCKQCGKAFVYSYLLTEHQRSHTGEKPHECKECGKAFSRASSLLRHKRIRSGEKHYDCK
+ECGKAFCRVYQFTQHQRIHTGEKPHECKECGKTFKLHSYLIKHQIIHSDLKPYECKHCGK
+AFNRVGNLKMHESIHTGEKPYECKECGKTFRLNSKLNYHQAVHTGLKPYICKECKKAFRS
+ISGLSQHKRIHTGEKTL
+>tr|F1MMH0|F1MMH0_BOVIN Lutropin-choriogonadotropic hormone receptor OS=Bos taurus OX=9913 GN=LHCGR PE=3 SV=3
+MGRPSLALRLLLALLLLPPPAPLLWALRPAPCPEPCSCPPDGALRCPGPQAGLSRLSLTY
+LPIKVIPSQAFRGLNEVIKIEISQSDSLEKIEANAFDNLLNLSEILIQNTKNLVHIEAGA
+FTNLPRLKYLSICNTGIHKLPDVTKIFSSEFNFILKLYGNGFEEIQSHAFNGTTLISLEL
+KENARLEKMHNDAFRGATGPSILDISSTQLQALPTYGLESIQTLIATSSYSLKKLPSREK
+FTNLLDATLTYPSHCCAFRNLPTNEQNFSFSIFKNFSKQCESTARRPNNETLYSAIFAES
+ELSGWDYDYGFCLPKTLQCAPEPDAFNPCEDIMGYNFLRVLIWLINILAITGNVTVLFVL
+LTSRYKLTVPRFLMCNLSFADFCMGLYLLLIASVDAQTKGQYYNHAIDWQTGSGCSAAGF
+FTVFASELSVYTLTVITLERWHTITYAIQLDQKLRLKHAIPVMLGGWLFSTLIAVLPLVG
+VSNYMKVSICLPMDVESTLSQVYILTILILNVMAFIIICACYIKIYFAVQNPELMATNKD
+TKIAKKMAVLIFTDFTCMAPISFFAISAAFKVPLITVTNSKVLLVLFYPVNSCANPFLYA
+IFTKAFQRDFFLLLSKFGCCKYRAELYRRKDFSAYISNCKNGFTGSNKPSRSTFKLTTLQ
+CQYSAVLDKTCYKEC
+>tr|F1MST8|F1MST8_BOVIN Calcium-activated potassium channel subunit alpha-1 OS=Bos taurus OX=9913 GN=KCNMA1 PE=4 SV=3
+MANGGGGGGGGGGGSSLRMSSNIHANHLSLDASSSSSSSSSSSSSSSSVHEPKMDALIIP
+VTMEVPCDSRGQRMWWAFLASSMVTFFGGLFIILLWRTLKYLWTVCCHCGGKTKEAQKIN
+NGSSQADGTLKPVDEKEETVAAEVGWMTSVKDWAGVMISAQTLTGRVLVVLVFALSIGAL
+VIYFIDSSNPIESCQNFYKDFTLQIDMAFNVFFLLYFGLRFIAANDKLWFWLEVNSVVDF
+FTVPPVFVSVYLNRSWLGLRFLRALRLIQFSEILQFLNILKTSNSIKLVNLLSIFISTWL
+TAAGFIHLVENSGDPWENFQNNQALTYWECVYLLMVTMSTVGYGDVYAKTTLGRLFMVFF
+ILGGLAMFASYVPEIIELIGNRKKYGGSYSAVSGRKHIVVCGHITLESVSNFLKDFLHKD
+RDDVNVEIVFLHNISPNLELEALFKRHFTQVEFYQGSVLNPHDLARVKIESADACLILAN
+KYCADPDAEDASNIMRVISIKNYHPKIRIITQMLQYHNKAHLLNIPSWNWKEGDDAICLA
+ELKLGFIAQSCLAQGLSTMLANLFSMRSFIKIEEDTWQKYYLEGVSNEMYTEYLSSAFVG
+LSFPTVCELCFVKLKLLMIAIEYKSANRESRILINPGNHLKIQEGTLGFFIASDAKEVKR
+AFFYCKACHDDITDPKRIKKCGCKRLEDEQPSTLSPKKKQRNGGMRNSPSSSPKLMRHDP
+LLIPGNDQIDNMDSNVKKYDSTGMFHWCAPKEIEKVILTRSEAAMTVLSGHVVVCIFGDV
+SSALIGLRNLVMPLRASNFHYHELKHIVFVGSIEYLKREWETLHNFPKVSILPGTPLSRA
+DLRAVNINLCDMCVILSANQNNIDDTSLQDKECILASLNIKSMQFDDSIGVLQANSQGFT
+PPGMDRSSPDNSPVHGMLRQPSITTGVNIPIITELVNDTNVQFLDQDDDDDPDTELYLTQ
+PFACGTAFAVSVLDSLMSATYFNDNILTLIRTLVTGGATPELEALIAEENALRGGYSTPQ
+TLANRDRCRVAQLALLDGPFADLGDGGCYGDLFCKALKTYNMLCFGIYRLRDAHLSTPSQ
+CTKRYVITNPPYEFELVPTDLIFCLMQFDHNAGQSRASLSHSSHSSQSSSKKSSSVHSIP
+STANRQNRPKSRESRDKQKKEMVYR
+>tr|G3X6L0|G3X6L0_BOVIN Acyl carrier protein OS=Bos taurus OX=9913 GN=NDUFAB1 PE=3 SV=1
+MAARVLCACVRRLPTAFAPLPRLPTLAAARPLSTTLFAAETRTRPGAPLPALVLAQVPGR
+VTQLCRQYSDAPPLTLEGIKDRVLYVLKLYDKIDPEKLSVNSHFMKDLGLDSLDQVEIIM
+AMEDEFGFEIPDIDAEKLMCPQEIVDYIADKKDVYE
+>tr|G3N093|G3N093_BOVIN CUB domain containing protein 1 OS=Bos taurus OX=9913 GN=CDCP1 PE=4 SV=1
+MASLNCGVAVALLGILLLGAARLPRGVAYEIDLPRGSGITVLLKPATQALPVKLCYIMSK
+RWETTLSIKAGEKKVFSFSCQDPHNYFVIEIQKNIDCVSGQCPFGEVYLQPSTSVLPTLN
+RTFIWDIKASKNVSLELQFSLSRLRQIGLTENCPDGVTHRISGRVDASTMVRIGTFCGNG
+TVSRIKMQEGVNLALDLPWFHSRNVSGFSIANRSSIKRLCIIESVFEGEGSATLMSANYP
+AGFPEDELMTWQFVIPAPLRASVSFLQFNVSNCVKKEERVEYYIPGSTTNPEVFKLEDQQ
+PGNMAGNFNLSLQGCDQDAQNPGILRLQFQVSVQHPQNDSNKTYVVDLSKERTMSLSIEP
+RPNKLKREFVPSCFVCLNSRTCSTNLTLTAGSKHTISFLCDDLTRLWINAEKTISCLDHR
+YCHRMRYSLQLPPSVFQLPVQLHDFTWKLLVPKDRLSLALVPAQKLQQHTHERVCNTSFS
+YLVASAVPGQNLYFGSFCSGGSIEQIQVKENISVTLRTFAPNFQHESAKQDLTVSFIPHF
+KEESVFTVTPDTKNKVYLRTPNWDRGLPSLASVSWNISVPRNQVACLTFLKERTGVVCQT
+GRAFMIIQEQRAHAEEIFSLEEEVLPKPSFRYHSFWVNISNCSPMSGKQLDLLFWVSLTP
+RTTDLTVIILTVVGGGALLLFALGFIICFVKKNKKKKITKGPAVGIYNGNVNTQMPMQRK
+KFQKGRKDNDSHVYAVIDDTMVYGHLLQDASGPFIQAEVDTYRPFQGPTGDFPPSPPPTN
+SKAPTVKLATDEPPPGSPSESESEPYTFSHPKDGKVSNRSTDTPLLDAHEPTEPGE
+>tr|A0A3Q1MER5|A0A3Q1MER5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=TMEM236 PE=4 SV=1
+MASRKLIKLLVFELLEFTAFCVPTLVIMEQFAVAYSTTRHPPDKTRYWLIVSCSIAYVAS
+VSLLIWVPVKVLLYKKRHLYQKIKGWRPVLMMCIVLTTLPSFSFSIAVTEVQKNINGSTD
+VLPDMLPDLPVSLVLTCLIVVDIIEKLRIYPLRGRQKSNEDRHIHTTNLQQIRTVTCEAK
+QNEENPTRPQPANRTHLSRPLGGATGNSSPELEGPQDPTFEWGILRTLSQQDVRAEIFLG
+SFPLWADTIEMVRVAGHPRVYKSGWVYVVYIFSYISLLRMIFTPRNPLLNSFAILLQDLP
+FIFVRLSLIIGLGTITPVLGLFKNVLVTLSYVYFNFLTRFRMFSTFERSF
+>tr|A0A3Q1N170|A0A3Q1N170_BOVIN Serine/threonine-protein phosphatase OS=Bos taurus OX=9913 GN=PPP1CC PE=3 SV=1
+MNVDSLNKGSKPGKNVQLQENEIRGLCLKSREIFLSQPILLELEAPLKICGDIHGQYYDL
+LRLFEYGGFPPESNYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINR
+IYGFYDECKRRYNIKLWKTFTDCFNCLPIAAIVDEKIFCCHGGLSPDLQSMEQIRRIMRP
+TDVPDQGLLCDLLWSDPDKDVLGWGENDRGVSFTFGAEVVAKFLHKHDLDLICRAHQVVE
+DGYEFFAKRQLVTLFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPAEKKKPNATRPVTP
+PRGMITKQAKK
+>tr|F1MP61|F1MP61_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=APOBEC3H PE=4 SV=1
+MQPAYRGYSQMPWTRDSSEHMARLDPETFYFQFCNLLYANRRNCSYICYKVERRKYHSRA
+SFDWGVFHNQVYGGTRCHTELRFLSWFHAEKLRPNERYHITWFMSWSPCMKCAKEVADFL
+GRHQNVTLSIFTARLYNFQEEGSRQGLLRLSDQGAHVDIMSYQEFKYCWKKFVNSQRRPF
+RPWKKLYRNYQRLVEELEDILGNTMNLLREVLFKQQFGNQPRVPAPYYRRKTYLCYQLKQ
+RNDLTLDRGCFRNKKQRHAEIRFIDKINSLDLNPSQSYKIICYITWSPCPNCANELVNFI
+TRNNHLKLEIFASRLYFHWIKSFKMGLQDLQNAGISVAVMTHTEFEDCWEQFVDNQSRPF
+QPWDKLEQYSASIRRRLQRILTAPI
+>tr|E1BCP9|E1BCP9_BOVIN Galactosidase beta 1 like OS=Bos taurus OX=9913 GN=GLB1L PE=3 SV=1
+MAPKKPLCLPFLLLPLLTLLLPQADARSFVVDRDHNRFLLDGAPFRYVSGSLHYFRVPRV
+LWADRLLKMRMSGLNVVQFYVPWNYHEPEPGVYNFNGSRDLFAFLKEATLANLLVILRPG
+PYICAEWEMGGLPAWLLRKPKIHLRTSDPDFLAAVDSWFKVLLPRIYPWLYHNGGNIISI
+QVENEYGSYRACDVSYMRHLAGLFRALLGDRILLFTTDGPEGLKCGSLQGLYTTVDFGPA
+DNMTKIFGLLRKYEPRGPLVNSEYYTGWLDYWGQNHSTRSIPAVTKGLEKMLKLGASVNM
+YMFHGGTNFGYWNGADEKGRFLPITTSYDYDAPISEAGDPTPKLFAIRNVISKFQEVPLG
+PLPPPSPKMTLGPLNLHLDGNLLDFLDFLCPQGPIHSVLPMTFEAVNQVHGYMLYRTYLP
+HTVSEPTQLWVPNNGVHDRAYVMVDGVFQGVLERNMKHNLFLMGKIGATLDVLLENMGRL
+SFGSNSSDFKGLLQPPILGQTILTQWLMFPLKVDNLVKGWFPIQPLKHSHPQTPSGPTFY
+STTFPILNSGGDTFLFLPGWTKGQVWINGFNLGRYWTKRGPQQTLYVPRPLLFPRGAHNR
+ITLLELENVPPQPQIQFLDRPILNSTKHRTYVYSLSSASEPMELSGH
+>tr|F1MPI1|F1MPI1_BOVIN NPHS1, nephrin OS=Bos taurus OX=9913 GN=NPHS1 PE=4 SV=3
+MALSTHFKAALLLLGLLMTGLTQVPILASAPRGFWALPENLTVVEGAVAELRCGVRAPGS
+AVQWAKDGLLLGPDPRIPSFPRYRLEGDPAKGEFHLHIEACDLSDDAEYECQVGRSETGP
+ELVSPRVILSILVPPKVLQLTPEAGSTVTWVAGQEYTVSCVSGDAKPAPDITFLLSGQTI
+SGISANVNEGSQQKLFTTEATARVTPQSSDNGQLLVCEGSSPALDTPIKASFTMNVLFPP
+GPPVIEWPGLDEGQVRAGQSLELLCTARGGNPLATLQWLKNGQPVSTAWGTEHAQAVARS
+LLVMIVRPEDHGARLSCEAYNSVSSGIQERGVTLQVTFPPSAITILGSASQSENKNVTLS
+CITKSSRPRVLLRWWLGWRQLQPSEETVMDGLHGGHISMSNLTFLARREDNGLTLTCEAF
+SEAFTKETFKKSLTLNVKYPAQKLWIEGPPEGQRLRAGNRVRLVCLAIGGNPDPSLTWYK
+DSRTVTEPRPPQEPRRVQLGSVEKSGSIFSRELVLITGPSDNQAKFTCKAGQLSASTQLV
+VQFPPTNVTILANASTLRPGDALNLTCVSVSSNPPVNLSWDKEGERLEDVAAQPRRAPFK
+GSAAARSVLLRLSSRDHGHRVTCSAHSTELRETVSAFYRLNVLYPPEFLGEQVLVVTAVE
+QGEALLPVSVSANPAPEAFNWTFRGYRLSPAGGPRHRILSGGALQLWNVTRADDGLYQLH
+CQNSEGTAEALVRLDVQYAPTIRALQDPTEVNVGDSVDIVCTVDANPILPEMFNWERLGE
+EGEDQNLDDMEKMSKGSTGRLRIHHAKLTQAGAYQCIVDNGVAPPARGLVRLVVRFAPHV
+EHPAPLTKVAAAGDSTSSATLHCRARGVPNIVFTWTKNGVPLDLQDPRYTEHTYHQGGVH
+SSLLTIANVSAAQDYALFTCIATNPLGSDHTNIQLVSISRPDPPSGLKAVSMTPYSVGLE
+WKPGFDGGLPQRFQIRYEALETPGFLYMDVLPPQATTFTLTGLQPSTRYRVWLLASNALG
+DSGLADKVSQISITTPGLDQPSREPDYQLPTEQPAGPSEMPLLPVLLAVGGLLLLSNASC
+VGGFLWQRRLKRLAEGISEKTETGSEEDRVRNEYEESQWTGDRDTRSSTVSTTDVEPYYH
+SMRDFSPQLPPTMEEVSYPLGLRALEEENMAFPGHLYDEVERVYAPSRAWGPLYDEVQMD
+SCDFRWPGDRYEDARGIYDQVAGDLDPVEPDAIPFELRGHLV
+>tr|A0A3Q1LYC7|A0A3Q1LYC7_BOVIN DNA annealing helicase and endonuclease ZRANB3 OS=Bos taurus OX=9913 GN=ZRANB3 PE=4 SV=1
+MSRGHNIKKSLTPQISCSTSESYKQLDFLPDKLRAKLLPFQKDGITFALRRDGRCMVADE
+MGLGKTVQAIGIAYFYKEEWPLLIVVPSSLRYPWTEEIEKWIPELSPEEINVIQNKTDVG
+RISTSKVTVLGYGLLTTDAETLIDALNNQNFKVVIVDESHYMKSRSATRSRILLPIVQKA
+KRAILLTGTPALGRPEELFMQIEALFPQKFGTWTEYAKRYCNAHVRYFGRRSQWDCRGAS
+NLNELHQLLSDIMIRRLKTEVLTQLPPKIRQRIPFDLPSAAAKELNSSFEEWEKLMRDPY
+SGATETVMGLITRMFKQTAIAKAGAVKDYIKMMLQNDSLKFLVFAHHLSMLQACTEAVIE
+NKTRYIRIDGSVPSSERIHLVNQFQKDPETRVAILSIQAAGQGLTFTAATHVVFAELYWD
+PGHIKQAEDRAHRIGQCSSVNIHYLIANGTLDTLMWGMLNRKAQVTGSTLNGRKEKLQAE
+EGDKEKWDFLQFAEAWTPNERSEELRDEMLFTHFEKEKQRDIRSFFLPNAKKRQLETSCD
+ESRVSQEKNTIVPADPVKTATRGDESDLEPEAKKLKSVAIDDPCRPPEEQPCRPGQAEAL
+LTFGICKAKAQATTPAFCGEGWQCAFCTYINNSVLPYCEMCENPRGGAGDLNQTQNKNKN
+EKDDSQDTSKKIQTSSDGEKQVLAHSTPEPLAKSKEEISTTEKQLKNWPVYDTLMFCASK
+NTDRIHVYTKDGNQMNCNFIPLDIKLDLWEDLPASFQLKQNRSLILRFVREWSSLTAMKQ
+KIIKKSGQLFRSPVLALEEIAKQQTKQNSTKRYITKEDVAAASMDKVKNDGGHKDFLTFH
+FPSSPDASESASTSKGYLQAVDNEGNPLCLRCQQPTCQTKQERKADAWDSRFCSLKCQEE
+FWIRSNNSYLRAKVFEIEHGVCQLCNLNAQELFLRLRDAPKSQRKSLLDATWTSKLPLEQ
+LNEMIRSPGEGHFWQVDHIKPVSGGGGQCSLDNLQTLCTVCHRERTAQQAKERSQVRRQS
+LASNHGSDITRFLVKK
+>tr|A0A3Q1MI26|A0A3Q1MI26_BOVIN Acyl-coenzyme A oxidase OS=Bos taurus OX=9913 GN=ACOX1 PE=1 SV=1
+MNPDLQKERAGASFNPELLTNVLDGSPENTRRRREIENLILNDPDFQHENLNFLSRSQRY
+EVAVKKSAIMVQKMRKFGIADPAEIMWFKNFVNRGRPAPLDLHLGMFLPTLLHQATEEQQ
+ERFFMPAWNLEIIGTYAQTEMGHGTHLRGLETTATYDPETQEFILNSPTVTSIKWWPGGL
+GKTSNHAIVLAQLFTQGKCYGLHAFIVPIRELGTHKPLPGITVGDIGPKFGYDEMDNGYL
+KMDNYRIPRENMLMKHAQVKPDGTYVKPLNNKLTYGTMVFIRSFLVGESARSLSKACTIA
+VRYSAVRHQSEINPGEPEPQILDYQTQQYKLFPLLATAYAFQFVGAYMKETYLRINEDIG
+HGDLSELPELHALTAGLKAFTSWTTNTAIEACRMACGGHGYSHCSGLPNIYVTFTPTCTF
+EGENTVMMLQTARFLMKSYDQVHSGKLVCGMVSYLNDLPSQRIQPQQVAVWPTMVDINSP
+DSLTEAYKLRAARLVEIAAKNLQTEVIHRKSKEVAWNLTSIDLVRASEAHCHYVVVKLFT
+EKVLQIQEKSIQAVLRRLCLLYSLYGISQNAGDFLQGSIMTESQITQVNGRIKELLTAIR
+PDAVALVDAFDFQDVTLGSVLGRYDGNVYENLFEWAKKSPLNKTEVHESYKHLKSLQSKL
+>tr|A0A3Q1M9Y9|A0A3Q1M9Y9_BOVIN RAR related orphan receptor A OS=Bos taurus OX=9913 GN=RORA PE=3 SV=1
+MMYFVIAAMKAQIEIIPCKICGDKSSGIHYGVITCEGCKGFFRRSQQSNATYSCPRQKNC
+LIDRTSRNRCQHCRLQKCLAVGMSRDAVKFGRMSKKQRDSLYAEVQKHRMQQQQRDHQQQ
+PGEAEPLTPTYNISANGLTELHDDLSNYIDGHTPEGSKADSAVSSFYLDIQPSPDQSGLD
+INGIKPEPICDYTPASGFFPYCSFTNGETSPTVSMAELEHLAQNISKSHLETCQYLREEL
+QQITWQTFLQEEIENYQNKQREVMWQLCAIKITEAIQYVVEFAKRIDGFMELCQNDQIVL
+LKAGSLEVVFIRMCRAFDSQNNTVYFDGKYASPDVFKSLGCEDFISFVFEFGKSLCSMHL
+TEDEIALFSAFVLMSADRSWLQEKVKIEKLQQKIQLALQHVLQKNHREDGILTKLICKVS
+TLRALCGRHTEKLMAFKAIYPDIVRLHFPPLYKELFTSEFEPAMQIDGYGPFFCLDFLIV
+DLCLQQKAGTKVGRCPFLVAHCPQTFYRITHLSVTTEGPALIGDWCA
+>tr|F1ML03|F1ML03_BOVIN DNA-directed RNA polymerase III subunit RPC8 OS=Bos taurus OX=9913 GN=POLR3H PE=4 SV=1
+MFVLVEMVDTVRIPPWQFERKLNDSIAEELNKKLANKVVYNVGLCICLFDITKLEDAYVF
+PGDGASHTKVHFRYVVFHPFLDEILIGKIKGCSPEGVHVSLGFFDDILIPPESLQQPAKF
+DEAEQVWVWEYETEEGAHDLYMDIGEEVRFRVVDESFVDTSPTGPSSAEAASSSEELPKK
+EAPYTLMGSISEPGLGLLSWWTSS
+>tr|A0A3Q1MXN7|A0A3Q1MXN7_BOVIN Bradykinin receptor B2 OS=Bos taurus OX=9913 GN=BDKRB2 PE=4 SV=1
+MRTPCLPLPPSVQPMTSSSERGLCNLRPPHFHGASQNRKHLQRRLSPSESPAEVVIPFIL
+PESPWMASWALLELQTLNQSQPLPPNTTSCDEAWEAWALLHRVLPTCVLSICLCGLLGNL
+FVLSVFLVARRRLNTAEIYLANLAASDLVFVLGLPFWAENIRNEFNWPFGALLCRLVNGI
+IKANLFISVFLVVAISQDRYCVLVHPMASRRQRRRRRAQGTCLLIWAVGALLSLPTFLLR
+SVKAVPELNVSACVLRYPHDAWPFVRMVELTLLSFLLPLAAIVFFNCHILAALRGRRQIS
+RTRCGGPREGRTTALILTLVSAFLLCWAPYHFFAFLEFLFQVRAVRGCFWENFIDLGLQC
+ANFFAFVNSCLNPVIYVFWGQLFRTKVWELYKQCIPGSLTPVASSHRTEILQLFWRN
+>tr|A0A3Q1M2G6|A0A3Q1M2G6_BOVIN Lipocln_cytosolic_FA-bd_dom domain-containing protein OS=Bos taurus OX=9913 GN=OBP PE=3 SV=1
+MKVLFLTLLLGVVCAAQEEEAEQNLSELSGSWRTVYIGSTNPEKIQENGPFRTYFRELVF
+DDEKGTVDFYFSVKRDGKWKNVHVKATKQDDGTYVADYEGQNVFKIVSLSRTHLVAHNIN
+VDKHGQKTELTGLFVKLNVEDEDLEKFWKLTEDKGIDKKNVVNFLENEDHPHPE
+>tr|A0A452DJJ9|A0A452DJJ9_BOVIN Microtubule-associated protein OS=Bos taurus OX=9913 GN=MAPT PE=4 SV=1
+MAEPRQEFDVMEDHAQGDYTLQDQEGDMDPGLKESPLQTPADDGSEEPGSETSDAKSTPT
+AEDATAPLVDEGAPGEQAAAQAPAEIPEGTAAEEAGIGDTSNLEDQAAGHVTQASADTRV
+PEPDGPSEGPPGEGRGGSPEFTFHVEITANLQKDQGPPSEVDLEGTALPGAPGEEQEPRS
+PSEGEDTKETDLPEPSGKQPAAGLPGKPVSRVPQLKARMVSKGKDGTGPDDKKTKPSTPS
+SAKTLKNRPCLSPKRPTPGSSDPLIKPSSPAVCPEPSSSPKHVSSVTPRTGNSGAKEMKV
+KGADGKPGTKIATPRGAAPPGQKGQANATRIPAKTTPTPKTSPGESGKSGDRSGYSSPGS
+PGTPGSRSRTPSLPTPPTREPKKVAVVRTPPKSPSAAKSRLQAAPGPMPDLKNVKSKIGS
+TENLKHQPGGGKVQIINKKLDLSNVQSKCGSKDNIKHVPGGGSVQIVYKPVDLSKVTSKC
+GSLGNIHHKPGGGQVEVKSEKLDFKDRVQSKIGSLDNITHVPGGGNKKIETHKLTFRENA
+KAKTDHGAEIVYKSPVVSGDTSPRHLSNVSSTGSIDMVDSPQLATLADEVSASLAKQGL
+>tr|A0A3Q1MDH9|A0A3Q1MDH9_BOVIN KRAB domain-containing protein OS=Bos taurus OX=9913 GN=ZNF655 PE=4 SV=1
+MEEVSAQEAAESPVVQFQSLETHSEGLSPEPQFVQDTDMEQGLTGAPPVPQVSSLPHEGS
+PGDQAAALLTARYQEFVTFEDVAVHLTREEWGCLDPVQRALYREVMLENYGNLVSLGFPI
+FKPDGISQLEQDLQVFDLETKNREVLRDDCSVAAPPLCRILKTCREDFGLGAAPGFGPSP
+WRNRPSRCPWIPRVHPPSRSLERPRMRKLTPRKKK
+>tr|A0A3Q1LTH6|A0A3Q1LTH6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MDETLAEFFRRTILKIPMTEMMTILKTWNFLSENQLQTVNFRQRKESIVQDLVLLCEENR
+ASLNDAAHLDIIYTQFHRHQKIWDVFQMSKAPGDDIDLFDMEQFKSSFKKILQRALKNVT
+VSFRDAEENSVWIRIAWGTQYKKPNQYKPAYVVYYSQTPYAFTSSSRLKSNLPLLGQALT
+VASKHHQIVKMDLRSRYLDSLKAIVFKQYNQSFETHNCTTSLQEGSLGLDINMDSRIIHE
+NKVEKERVQRVTQEIFGDYPQPRLEFAQYKLETKFKSDLNGGILAEREEPLRCLVKFSSP
+HLLEALKSLAPAGIADAPLSPLLTCIPNKGKNYFKIRDK
+>tr|A0A140T886|A0A140T886_BOVIN Coatomer subunit gamma OS=Bos taurus OX=9913 GN=COPG1 PE=3 SV=1
+MLKKFDKKDEESGGGSNPFQHLEKSAVLQEARVFNETPINPRKCAHILTKILYLINQGEH
+LGTTEATEAFFAMTKLFQSNDPTLRRMCYLTIKEMSCIAEDVIIVTSSLTKDMTGKEDSY
+RGPAVRALCQITDSTMLQAIERYMKQAIVDKVPSVSSSALVSSLHLLKCSFDVVKRWVNE
+AQEAASSDNIMVQYHALGLLYHVRKNDRLAVSKMISKFTRHGLKSPFAYCMMIRVASRQL
+EDEDGSRDSPLFDFIESCLRNKHEMVVYEAASAIVNLPGCSAKELAPAVSVLQLFCSSPK
+AALRYAAVRTLNKVAMKHPSAVTACNLDLENLVTDANRSIATLAITTLLKTGSEGSIDRL
+MKQISSFMSEISDEFKVVVVQAISALCQKYPRKHAVLMNFLFSMLREEGGFEYKRAIVDC
+IISIIEENAESKETGLSHLCEFIEDCEFTVLATRILHLLGQEGPRTSNPSKYIRFIYNRV
+VLEHAEVRAGAVSALAKFGAQNEEMLPSILVLLKRCVMDDDNEVRDRATFYLNVLEQKQK
+ALNAGYILNGLAVSIPGLERALQQYTLEPSEKPFDLKSVPLATAPLAEQRTESTPVTAAK
+QPEKVAATRQEIFQEQLAAVPEFQGLGPLFKSSPEPVALTESETEYVIRCTKHTFTDHMV
+FQFDCTNTLNDQTLENVTVQMEPTEAYEVLCYVPARSLPYNQPGTCYTLVALPKEDPTAV
+ACTFSCVMKFTVKDCDPTTGEADDEGYEDEYVLEDLEVTIADHIQKVMKLNFEAAWDEVG
+DEFQKEETFTLSTIKTLEEAVGNIVKFLGMHPCERSDKVPDNKNTHTLLLAGVFRGGHDI
+LVRSRLLLLDTVTMQVTARSSEELPVDIVLASVG
+>tr|A0A3Q1N062|A0A3Q1N062_BOVIN Platelet derived growth factor subunit B OS=Bos taurus OX=9913 GN=PDGFB PE=3 SV=1
+MGDPIPEELYKMLSDHSIRSFDDLQRLLHGDSVDEDGAELDLNLTRSHSGGELESLSRGR
+RSLGSPTVAAEPAVIAECKTRTEVFEISRRLIDRTNANFLVWPPCVEVQRCSGCCNNRNV
+QCRPTQVQDRKVQVKKIEIVRKKKIFKKATVTLVDHLACRCETVVARAVTRTPGSSQEQR
+ARTPQTRVTIRTVRVRRPPKGKHRKFKHTHDKTALKETLGA
+>tr|F1MV95|F1MV95_BOVIN Toll-like receptor OS=Bos taurus OX=9913 GN=TLR6 PE=3 SV=2
+MIKDKESPIRSCHFVYIVALVFGTIIQFSDESEFVVDMSKTSLIHVPKDLPPKTKVLDLS
+QNNISELHLSDISFLSGLRVLRLSHNRIQGLDISIFKFNHDLEYLDLSHNQLQKISCHPI
+TTTLKHLDLSFNDFDALPICKEFGNLTQLNFLGLSATKLQQLDLLPIAHLHLSCILLDLE
+DYMKENKKESLQILNTKKLHLVFHPNSFFSVQVDISANSLGCLQLTNIKLNDYNCQVLLK
+FLSGLTGGPTLLNFTLNHMETTWKCLVKVFQFLWPKPIEYLNIYNLTIVESIDEEVFTYY
+KTTLKALKIEHITNKVFIFSQTALYTVFSEMNILMLTISDTRFIHMLCPQEPSTFKFLNF
+TQNSFTDSVFQNCDTLARLETLILQKNELKDLFKTSLMTKDMLSLETLDVSWNSLEYDRS
+NGNCSWVGSIVVLNLSSNALTDSVFRCLPPRIKVLDLHNNRIRSIPKDVTGLETLQELNL
+ASNSLAHLPGCGIFSSLSILIIDYNSISNPSADFFQSCQKIRSLKVGNNPFQCSCELRDF
+IQSVGQVSSDVVEGWPESYKCDYPESYKGTPLKDFQVSELSCNTALLIVTIVVPGLVLAV
+AVTVLCIYLDLPWYLRMVCQWTQTRRRARNVPLEELQRTLQFHAFISYSEHDSAWVKNEL
+IPNLEKEDIRICLHERNFVAGKSIVENIINCIEKSYKSIFVLSPNFVQSECEWCHYELYF
+AHHNLFHEGSDNLILILLDPIPQYSIPSSYHKLRALMAQRTYLEWPKEKSKHGLFWANLR
+ASINIKLMEKAAEIH
+>tr|A0A3Q1LGI2|A0A3Q1LGI2_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MVVLEKTLESPLDHLTCLLRNLYAGQEAIIRTGHGTTDWFQIGKGVHQACILSPCLFNLY
+AEYIMRNAGLEEAQVGIKIAGRNINNLRYADNTTLMAESEEELKSLLMKVQVESENVGLK
+LNIQKTKIMASGPITSWEIDGETVETVSDFIFLGSKIIANGDCSHEIKRCLLLGSKVMTN
+LDSIFKSRDITLPTKVHLVKAMVFPVVMYGCESWTVKKAERRRMDAFELWCWRRLLRVPW
+TARRSNQSIMKEIIPGCSLEGMMLKLKLQYFGHLMRRLMLGGIEGRSRRGRQRMRWLDGI
+TDSMDVSLNELWELVMDREAWRAAIHGVAKSRTQLSD
+>tr|A0A3Q1LGC0|A0A3Q1LGC0_BOVIN Cannabinoid receptor 1 OS=Bos taurus OX=9913 GN=CNR1 PE=3 SV=1
+MKSILDGLADTTFRTITTDLLYMGANDIQYEDIKSDMASKLGYFPQKFPLTSFRGSPFQE
+KMTAGDSPQLVPADQVNLTEFYNKSLSSYKENDENIQCGENFMDMECFMILNPSQQLAIA
+VLSLTLGTFTVLENLLVLCVILHSRSLRCRPSYHFIGSLAVADLLGSVIFVYSFVDFHVF
+HRKDSPNVFLFKLGGVTASFTASVGSLFLTAIDRYISIHRPLAYKRIVTRPKAVVAFCLM
+WTIAIVIAVLPLLGWNCKKLQSVCSDIFPLIDETYLMFWIGVTSVLLLFIVYAYMYILWK
+AHSHAVRMIQRGTQKSIIIHTSEDGKVQVTRPDQARMDIRLAKTLVLILVVLIICWGPLL
+AIMVYDVFGKMNKLIKTVFAFCSMLCLLNSTVNPIIYALRSKDLRHAFRSMFPSCEGTAQ
+PLDNSMGDSDCLHKHANNAASVHRAAESCIKSTVKIAKVTMSVSTDTSAEAL
+>tr|E1B761|E1B761_BOVIN AA_permease_C domain-containing protein OS=Bos taurus OX=9913 GN=LOC525820 PE=4 SV=3
+LHGLTQPPRLTQCSRLCSKDPVSLGSPSPVGDWAGSAWMRCQDLRQCGQKLVRRRPLKPR
+EGSEGRMSRCLNTLDLVALGVGITLGAGVYILPGEVTRNKAGPAIVISFLVAALSSVLSG
+LCYAEFGARAPGSGSAYLYSYVTVGELCAFVTGWNLLLSYAITIASVARAWSSTFDSLIG
+NHMSQALRGTFPLHVPSFLAKYPDFLALGVVLVMMGILVLGARESALVNKWFTGINILVL
+SFIVLSGFIKGDLHNWQLTEQDYTLAAAGSNDSSSLGPPGSGGFAPFGFDGIFQGAATCF
+FGFVGFDAIATTGGEAQDPQRSIPFSIVVSLLICFLAYFGVSAALTLMVPYYKIHPESPL
+PQAFLHIGWGPARYVVVVGTFCALSSSLLGTMFSMSRVTYAMADDRLLFQGLAQIHPRTR
+TPIMAILASGTLAALFLEFSNLVDLMAIGTLLAYSFVVISVLVLRYQPDQNLSKKEKTEN
+EIEISEREASPLESVPEAGISRILKSLCDPISTNPTRKSGQIVYGCALLLVLLLMILTII
+LAQGSRYVFSGDPVYTTVAVLLLLLIIGITVIIWRQPQNPSPLTFRVPILPVLPLVSIFV
+NVYLMMQMTSGIWVQLGIWNVIGFAIYFGYGIQHSLEENNGQQPPASTFQTVDENIPGTE
+SS
+>tr|A0A3Q1MHP3|A0A3Q1MHP3_BOVIN Endo/exonuclease/phosphatase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MDEGWGGVELKVLRSLSLTLDVGYLFMARVNLDILGISELKWTGVGEFNSDDHYIYYCGQ
+ESLRRNGVAIMVNKRVRNAVLGCSLKNDRMISVHFQGKPFNITVMQAYAPTSNAEEAEVE
+RFYEDLQNLLELTPKKDVLFIIGDWNAKVGSQETPGVTGKFGLGIQNEAGQRLIEFCQEN
+ALVITNTLFQQHKRRLYTWTSPDGQHLNQTDYILCSQRWRSSIQSTKTRPEADCGSDHEL
+LIAKFRLKLKKVGKTTRPFRYDLNQIPYDYTVEVRNRFKGLDLTERVLDELWNEVHDIVQ
+ETGIKTIPMEKKCKKAKWLSGEALQTAVKRSEAKSKGENERYKHLNAEFQRIARRDKKAF
+LSDQCKEIEENNKMGKTRDFFKKIRDTRGTFHAKMGSIKDRNGRDLTEAEDIKKRWQEYT
+EELYKKDLHDPDNHDGVITDLEPDILECEVKWP
+>tr|A0A3Q1MSI3|A0A3Q1MSI3_BOVIN Guanine nucleotide-binding protein G(o) subunit alpha OS=Bos taurus OX=9913 GN=GNAO1 PE=4 SV=1
+MGCTLSAEERAALERSKAIEKNLKEDGISAAKDVKLLLLGAGESGKSTIVKQMKIIHEDG
+FSGEDVKQYKPVVYSNTIQSLAAIVRAMDTLGIEYGDKERKADAKMVCDVVSRMEDTEPF
+SPELLSAMMRLWGDSGIQECFNRSREYQLNDSAKYYLDSLDRIGAADYQPTEQDILRTRV
+KTTGIVETHFTFKNLHFRLFDVGGQRSERKKWIHCFEDVTAIIFCVALSGYDQVLHEDET
+TNRMHESLKLFDSICNNKWFTDTSIILFLNKKDIFEEKIKKSPLTICFPEYTGPNSFAEA
+VAYIQAQYESKNKSAHKEIYTHVTCATDTNNIQFVFDAVTDVIIAKNLRGCGLY
+>tr|A0A3Q1NMK6|A0A3Q1NMK6_BOVIN Protein HIRA OS=Bos taurus OX=9913 GN=HIRA PE=3 SV=1
+MWYLPALAFLMGLVEGMALERLAGRGTAVCRHALAPASPLVHGAKSQSQPSNGCLTRASS
+FLPVRCFERLGQLTPERCLAAVLTQDPEVGGPRGPLAVQPGSAPWQGCCMSPSQPRGPAT
+PGGKPIFSVDIHPDGTKFATGGQGQDSGKVVIWNMSPVLQEDDEKDENVPKMLCQMDNHL
+ACVNCVRWSNGGMYLASGGDDKLIMVWKRATYIGPSTVFGSGGKLANVEQWRCVSILRSH
+SGDVMDVAWSPHDAWLASCSVDNTVVIWNAVKFPEILATLRGHSGLVKGLTWDPVGKYIA
+SQADDRSLKVWRTLDWQLETSITKPFDECGGTTHVLRLSWSPDGHYLVSAHAMNNSGPTA
+QIIEREGWKTNMDFVGHRKAVTVVKFNPKIFKKKQKNGSSAKPSCPYCCCAVGSKDRSLS
+VWLTCLKRPLVVIHELFDKSIMDISWTLNGLGILVCSMDGSVAFLDFSQDELGDPLSEEE
+KSRIHQSTYGKSLAIMTEAQLSTAVIENPEMLQYQRRQQQLDRQGPTARDAGPAARDTGS
+ASSVAGVVNGESLEDIRKNLLKKQVETRTADGRRRITPLCIAQLDTGDFSTAFFNSIPLS
+GSLAGPMLSSHSGPQLLPLDPGTPIPFSSSKPSTEPAAGTRPVGDAASKDGVNTATAPTT
+PSPSVLTTPSKIEPMKAFDSRFTERSKATPGTPALASTTPTAAERLREQSLVKELRPRDV
+LESSSDSDEKAPAAKPSSLPKRKLELEGEAVEKKKKGRPRKDARLLPMSLSMQSPAALTA
+EKDTVCVSVPAPALKLPMPGPQRAFTLQVSSDPSMYIEVENEVTAGGGLKLSRLKCSREG
+KEWETVLPGRILTAAGSGDVVCVACEKRMLSVFSACGRRLLPPILLPSPISTLHCTGPYV
+MALTAAATLSVWDVHRQEVVVKEESLHSILAGSNMTVSQVLLTQHGIPVMNLSDGKAYCF
+SPSLSAWNLVSDKQDSLAQCADFRSSLPPQDAMLCSGPLAIVQGRASTSGRQAARLFSVP
+HVVQQETTLAYLESQVAAALTLRSSHEYRHWLLLYARYLVNEGFEYRLREICKDLLGPVH
+TSTGSQWESTVVGLRKRDLLKELLPVIGQNLRFQRLFTECQEQLDLLRDK
+>tr|A0A3Q1MJQ1|A0A3Q1MJQ1_BOVIN Histone H4 OS=Bos taurus OX=9913 GN=LOC112445732 PE=3 SV=1
+MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLK
+VFLENVIRDAVTYTEHAKHKTVTAMDVVYALKRQGHTLYGSTHLQFRQEPQGSCDFRLRS
+QGPCRLGTGESGLVWVEAWNSLASEVFTG
+>tr|E1BC70|E1BC70_BOVIN VPS37C, ESCRT-I subunit OS=Bos taurus OX=9913 GN=VPS37C PE=4 SV=1
+METLKDKTLEELEEMQNDPEAIDRLAQDSPEVQDLQLEREMALATNRSLAERNLEFQGPL
+EISRSNLSDKYQELRKLVERYQEQKAKLEKFSSALQLGTLLDLLQIESMKIEEESEAMAE
+KFLEGEVPLDTFLENFSSMRTLSHLRRVRVEKLQDVMKKPRASLEPAGDTPPPRPPPPLH
+PGPQTTPPPAEDAQPQPPQPSVVPPYPLPYSPSPGMPVGPTAHGALPPAPFPVVSQPSFS
+YSGPLGPPYAAAQPGTRAPSGYSWSPQRSMPPRPGYPVAPTGASGPGYPVVGGRAPSPGY
+PQQPPYLSTGGKPPYPTQPQPSGPLQPPYPPGPAPPYGFPPPQGPTWPGY
+>tr|A0A3Q1LZF4|A0A3Q1LZF4_BOVIN Epoxide hydrolase 4 OS=Bos taurus OX=9913 GN=EPHX4 PE=4 SV=1
+MAKLRDCLPRLMVTIRSLLFWCLIYFYCGLCSSIYLLKLLWSICKGPSQTFRRVAREHPP
+ACLNDPSLGTHCYVRIKDSGLRFHYVAAGERGKPLMLLLHGFPEFWYSWRHQLREFKSEY
+RVVALDLRGYGESDAPVHRENYKLDCLITDIKDILESLGYSKCVLIGHDWGGMIAWLIAI
+CYPEMVMKLIVINFPHPNVFTGDFKTSVSSQST
+>tr|A0A3Q1LQ51|A0A3Q1LQ51_BOVIN Microtubule associated protein 7 OS=Bos taurus OX=9913 GN=MAP7 PE=4 SV=1
+MRHTKLQCSAIFYYKKKQLCAVQTPVLSSGLLPKAPDGNKVQDKKTTASHRPSTISGQNS
+NHSGNKPDPPPVLRVDDRQRLARERREEREKQLAAREIVWLEREERARQHYEKHLEERKK
+KLEEQRLKEERRRAAVEEKRRQRLEEDKERHEAVVRRTMERSQKPKQKQNRWSWGGALHG
+SPSIHNTDPDRRSVSTMNLSKHVDPVISKRLSSSSATLLNSPDRARRLQLSPWESSVVNR
+LLTPTHAFLARSKSTAALSGDSASCSPINITPYRAAHSRNPLERPKFFVTPPEGSARRRT
+VHGTAGYKREKERENVPFHLTCGSQRSLSPSHPKARSPASSRVWLPSKSFPHLPGTPRPA
+PSTPPGPVKAAPAQLRPPSPGNIRPVKREVRVESERKDPDKEPQKVTSEPSLKGRTPLVK
+VEESTVEEGTPDETESAPAAPAAASAPAPAPGSTPAPTPAPSSTLTASASPKTSAGTTDP
+EEATRLLAEKRRLAREQREKEEREKREKEELERQKREELAQKVAEERSRREEEARQLEAE
+LAREQEEQLRRQEEERARREREEMERIRKQKEEEARVREEAERVRQEREKHFQREEQERL
+ERKKRLEEIMKRTRRTEASDKKTVDQRNGDITKGTPTGGIAVSTLPNMTNSPGSEEPEAS
+THVVTTHQSKVTVDSTPSLEKQPSENGISVQNENFEEIINLPIGSKPSRLDVTNSENPDI
+PLNPILAFDDEGTLGPLPQVDGVQTQQTAEVI
+>tr|E1BFI4|E1BFI4_BOVIN Insulin like growth factor 2 mRNA binding protein 2 OS=Bos taurus OX=9913 GN=IGF2BP2 PE=4 SV=2
+MMNKLYIGNLSPAVTADDLRQLFGDRKLPLAGQVLLKSGYAFVDYPDQNWAIRAIETLSG
+KVELHGKIMEVDYSVSKKLRSRKIQIRNIPPHLQWEVLDGLLAQCGTVENVEQVNTDTET
+AVVNVTYATREEAKTAIEKLSGHQFENYSFKISYIPDEEVSSPSPPQRAQRGDHSSREQG
+HAPGGSSQARQIDFPLRILVPTQFVGAIIGKEGLTIKNITKQTQSRVDIHRKENSGAAEK
+PVTIHATPEGTSEACRMILEIMQKEADETKLAEEIPLKILAHNGLVGRLIGKEGRNLKKI
+EHETGTKITISSLQDLSIYNPERTITVKGTVEACANAEIEIMKKLREAFENDMLAVNQQA
+NLIPGLNLSALGIFSTGLSVLPPPAGPRGAPPAPPYHPFATHSGYFSSLYPPHQFGPFPH
+HHSYPEQEIVNLFIPTQAVGAIIGKKGAHIKQLARFAGASIKIAPAEGPDVSERMVIITG
+PPEAQFKAQGRIFGKLKEENFFNPKEEVKLEAHIRVPSSTAGRVIGKGGKTVNELQNLTS
+AEVIVPRDQTPDENEEVIVRIIGHFFASQTAQRKIREIVQQVKQQEQKYPQGVASQRSK
+>tr|F1MCA8|F1MCA8_BOVIN Thymopoietin OS=Bos taurus OX=9913 GN=TMPO PE=1 SV=1
+MPEFLEDPSVLTKEKLKSELVANNVTLPAGEQRKDVYVQLYLQHLTARNRPPLATSANSK
+GPPDFSSDEEREPTPVLGSGAAVAGRSRAAVGRKATKKTDKPRPEDKDDLVIPLIEIKER
+HTLAQILRYSVIGGSSVAGTTRKLYEKKLLKLREQGTESRSSTPLPTISSSVENTRQNGS
+NDSDRYSDNEEDSKIELKLEKREPLKGRAKTPVTLKQRRVEHNQSYSQAGVTETEWTSGS
+SKGGLLQALTRESTRGSRRTPRKRVETSEHFRIDGAIISESTPIAETIMASSNETLVVNR
+VTGNFKHAAPILPITEFSDIPRRTPKKPLTRAEVGEKTEERRVERDILKEMFPFEAATPT
+GISASCRRPIKGAAGRPLELSDFRMEESYSSKYIPKYVPLADVKSEKTKKGRSIPMWIKI
+LLFVVVAGFLFLVYQAMETNQGNPFYKFLSNDSKKVN
+>tr|G8JKX8|G8JKX8_BOVIN Coatomer subunit beta' OS=Bos taurus OX=9913 GN=COPB2 PE=1 SV=2
+IKLTARSDRVKSVDLHPTEPWMLASLYNGSVCVWNHETQTLVKTFEVCDLPVRAAKFVAR
+KNWVVTGADDMQIRVFNYNTLERVHMFEAHSDYIRCIAVHPTQPFILTSSDDMLIKLWDW
+DKKWSCSQVFEGHTHYVMQIVINPKDNNQFASASLDRTIKVWQLGSSSPNFTLEGHEKGV
+NCIDYYSGGDKPYLISGADDRLVKIWDYQNKTCVQTLEGHAQNVSCASFHPELPIIITGS
+EDGTVRIWHSSTYRLESTLNYGMERVWCVASLRGSNNVALGYDEGSIIVKLGREEPAMSM
+DANGKIIWAKHSEVQQANLKAMGDAEIKDGERLPLAVKDMGSCEIYPQTIQHNPNGRFVV
+VCGDGEYIIYTAMALRNKSFGSAQEFAWAHDSSEYAIRESNSVVKIFKNFKEKKSFKPDF
+GAESIYGGFLLGVRSVNGLAFYDWENTELIRRIEIQPKHIFWSDSGELVCIATEESFFIL
+KYLSEKVLAAQETHEGVTEDGIEDGFEVLGEIQEIVKTGLWVGDCFIYTSSVNRLNYYVG
+GEIVTIAHLDRTMYLLGYIPKDNRLYLGDKELNIVSYSLLVSVLEYQTAVMRRDFSMADK
+VLPTIPKEQRTRVAHFLEKQGFKQQALTVSTDPEHRFELALQLGELKIAYQLAVEAESEQ
+KWKQLAELAISKCQFGLAQECLHHAQDYGGLLLLATASGNASMVNKLAEGAERDGKNNVA
+FMSYFLQGKLDACLELLIRTGRLPEAAFLARTYLPSQVSRVVKLWRENLSKVNQKAAESL
+ADPTEYENLFPGLKEAFVVEEWVKETHADLWPAKQYPLVTPNEERNVMEEAKGFQPSRSA
+AQQELDGKPASPTPVIVTSQTANKEEKSLLELEVDLDNLEIEDIDTTDINLDEDILDD
+>tr|A0A3Q1LS14|A0A3Q1LS14_BOVIN Monocyte to macrophage differentiation associated 2 OS=Bos taurus OX=9913 GN=MMD2 PE=4 SV=1
+RQAPLSMGFSRQEYWSRFMNHRVPAHKRYQPTEYEHAANCATHALWIIPSILGSSNLYFL
+SDDDWEAISAWIYGLGLCGLFVVSTVFHTISWKKSHLRTVEHCLHMSDRMVIYFFIAASY
+APWLNLRELGPWASHMRWLVWIMASVGTVYVFFFHERYKLVELLCYVIMGFFPALVVLSM
+VQVQERGLGSRACLGTSPKMLPGNIKSRLMLRVQLQYFGHLM
+>tr|A0A3Q1MH94|A0A3Q1MH94_BOVIN Tetratricopeptide repeat domain 7B OS=Bos taurus OX=9913 GN=TTC7B PE=4 SV=1
+MATRKAGSRLETEIERCRSESQWERIPELARQLSAKLIAHDDMAELLLGEAKLEQYLKEH
+PLRQGASPRGPRPQLTEVRKHLTAALDRGNLKSEFLQESSLVLAKLHYVEGDCEGALRLY
+ARAGLEDWPLTGAPPYRLRMAAEAYATQGLCLEKLPISSSTSSLRVDREQDIITCYEKAG
+DIALLYLQEIERVILSNIQNRSPKPGPAPHDQELGFFLETGLQRAHVLYFKNGSLTRGVG
+RFRELLRAVETRTTQNLRMTIARQLAEILLRGMCEQSYWNPLEDPPCQSPLDDPLRKGAN
+TKTYTLTRKARVYSGENIFCPQENTEEALLLLLISESMANRDAVLSRIPEHKSDRLISLQ
+SASVVYDLLTIALGRRGQYEMLSECLERAMKFAFEEFHLWYQFALSLMAAGKSARAVKVL
+KECIRLKPDDATIPLLAAKLCVGSLHWLEEAEKFAKTVVDAGEKTSEFKAKGYLALGLTY
+SLQATDASLRGMQEVLQRKALLAFQRAHSLSPTDHQAAFYLALQLAISRQIPEALGYVRQ
+ALQLQGDDANSLHLLALLLSAQKHYHDALNIIDMALSEYPENFIDSGRGSSLLDRTIADR
+RQLNTITLPDFSDPETGSIHATSVAASRVEQALSEVASSLQSSAPKQGPLHPWMTLAQIW
+LHAAEVYIGIGKPAEATACTQEAANLFPMSHNVLYMRGQVAELRGNVDEARRWYEEALSI
+SPTHVKSMQRLALILHQLGRYSLAEKILRDAVQVNSTAHEVWNGLGEVLQAQGNDAAATE
+CFLTALELEASSPAVPFTVIPRAL
+>tr|E1BGB2|E1BGB2_BOVIN Proline rich coiled-coil 2B OS=Bos taurus OX=9913 GN=PRRC2B PE=4 SV=2
+MSDRLGQITKGKDGKSKYSTLSLFDKYKGKSVDSVRSSVIPRHGLQSLGKVATARRMPPP
+ANLPSLKSENKGNDPNIVIVPKDGTGWANKQDQQDPKSSSVTASQPPELLPQPGLQKSVS
+NLQKPTQSISQENTNSAPGGPKSWAQLNGKPAGHEGGLRASSRLLSFSPEEFPTLKAAGG
+QDKAGKEKGVLDPSYGPGPSLRPQNVTSWREGGGRNIISATSLSASPTELGSRNSSAGDG
+APSSACASESKDPSLRPPQPVRRGASQFLGSVYHPPTYHDMLPAFMCSPQSSENQGTVER
+GSFPLPQLRLEPRVPFRQFQMNDQDGKENRLGVSRPIRPLRPLVERVPRPTIVNAENLKG
+LDDLDTDADDGWAGLHEEVDYSEKLKFSDDEEEEEVLKDGRPKWNSWDPRRQRQLSLSSA
+DSADAKRTQEEGKDWSETGGVTRVVRKVPEPQPPSRKLHSWASGPDYQQKSSMGTVFRQQ
+SAEDKEDKPPPRQKFVQSEMSEAVERARKRREEEERRAREERLAACAAKLKQLDQKCKQA
+QRASEAQKQAEKEAPRSPGAEKVTPQENGPAVHKGSPEFSAQETASTFSEEAPTAPPAVA
+QSGGSEEGPREAGSPAQEFNKYQKSLPPRFQRQQQQQQQEQLYKMQHWQPVYPPPSHPQR
+TFYPHHPQMLGFDPRWMMMPSYMDPRIPPTRTPVDFYPSALHPSGLMKPIMPPDSLSGTG
+CRSEDQSCVPPLPERKVTAIDPAPVWSPEGYMALQSKGYSLPHPKSSDNMAMDMHVRSES
+SYSASPGRSGGVSAQRELLEERGQEYLSASDKKAPADCDSRVSSQRVGQELLFPPQENGQ
+EAGTPGSHTPNLRCSPLEPDFAPTEKKLEYGDWDVNHQSKVANPDAAVEKEVPREEPPFS
+VSSWEKEGSPSKPPALEPEWTPEARGTGSQHPEQTSRTRRSGPIKKPVLKALKVEDKEKE
+LEKVKPELAEGSTRLAREPGPVHEVAEDEDQENDPALANAAPATSEDQGLARASLGREAS
+RPEEDNKPDGAPEARPSREASHTPPTKRNNWIFIDEEQAFGGRGPARGRGRGFREFTFRG
+RPTGSGLCGGGVLGARGLYSGSQRSSRGRGPREFGPPDDFPRAKPRRRIASETHSEGSEY
+EELPKRRRQRGTEPGDEGALPEREEATGRKGDFRESWRSGRMHPEDHGGPEAKNRGPRAF
+GRALPPRLSNCAYGRRTFAAKEVAPWPSRGPGASWQESGASDACGARRPLDRDYIPDAYR
+RPDALGSRGFEDGRLEDRRAFFQDDHAAESENAENRPFRRRRPPRQDKPPRFRRLRQERE
+ALGLWGPEDEPPLAAGQWPGRPRPCPGDRSSASGRRSPELAYQNSSDHANEEWETASESS
+DFSERRERRAGSELDPQADGSPSGVSAGEKKELAKRSFSGQRPLVDRQSRKLEPGGFGEK
+SVRPGGGDTSPRYESHQNGTPLKAKRSPDEALPGGLGCGSGSGHYTLERAAHAASDVTEA
+SCEKVEKEAKLAAQRSGEQGETMKPFDLNYGHAIIENCGSSPGEESEVGSLVGEGFIEVL
+TKKQRRLLEEERRKKEQAVQVPVKGRSLSSRIPPRFAKKQNNLCLEQGDGPVPGSSLGTE
+IWESGSQALPLQASTSDSWTKAATAFTSAEPGSAEQGFKSSQGDSGVDLSAESRESSATS
+SQRSSPYGTLKPEEASGPGLEPKADGHKEQTQKQSEPKDSEQGSGQSKEHRPGPIGNERS
+LKNRKGSEGAERLQGAVVPPVNGVEIHVDSVLPVPPIEFGVNPKDSDFSLQPGSASGPAG
+NPVVKLQDALASNAGLAPSIPILRRDHHIQRAIGLSHMSFPTADLTLKMESARKAWENSP
+SLPEQSSPGGAGSGLQPPSSGGTSSGVSYSSFGGVSMPPVPVASVAPSASLPGSHLPPLY
+LDGHVFASQPRLVPQTIPQQQSFQQAAAAQQIPISLHTSLQAQAQLGLRGGLPVSQSQEI
+FSSLQPFRSQVYMHPSLSPPSTMILSGGTALKAPYSAFPGMQPLEMVKPQSGSPYQPMSG
+SQALVYEGPLSQAAGLGASQMLDSQLPQLTMPLPGSQLPLPRYGSGQQPLLLPQSIQLPQ
+AQSLSVGAPRRILAPGSQPSVLNTSRESSQMEMKGFHFADSKQNVPSGGSVPSPQAYRPS
+SASPSGKPSGSAVNMGSVQGHYVQQAKQRVDEKPGLGAMKLQEPPSAASPLKRTGAIKPR
+AVKVEESKA
+>tr|E1BCE5|E1BCE5_BOVIN Potassium calcium-activated channel subfamily M regulatory beta subunit 4 OS=Bos taurus OX=9913 GN=KCNMB4 PE=4 SV=1
+MAKLRVAYEYTEAEDKSIRLGLFLIISGVVSLFIFGFCWLSPALQDLQATAANCTVLSVQ
+QIGEVFECTFTCGADCRGTSQYPCVQVYVNNSESNSRALLHSDEHQLLTNPKCSYIPPCK
+RENQKNLESVMNWQQYWKDEIGSQPFTCYFNQFQRPDDVLLHRTHDEIVLLHCFLWPLVT
+FVVGVLIVVLTICAKSLAVKAEAMKKRKFS
+>tr|A0A3Q1M161|A0A3Q1M161_BOVIN CD44 antigen OS=Bos taurus OX=9913 GN=CD44 PE=4 SV=1
+MDTFWWRAAWGLCLVQLSLAQIDLNITCRYAGVFHVEKNGRYSISKTEAADLCKAFNSTL
+PTMAQMEAARNIGFETCRYGFIEGHVVIPRIHPNSICAANNTGVYILTSNTSQYDTYCFN
+ASAPPGEDCTSVTDLPNAFEGPITITIVNRDGTRYTKKGEYRTNPEDINPSVVSPSSPPD
+DEMSSGSPSERSTSGGYSIFHTHLPTVHPSPDQDGPWVSSEPENTSDTSTDSSIISAGWE
+PTEENEDERDRHPSYSGSGIGDDEDFISSTISTTPRVFTHLQENQNWIQRNPDHSNPEAS
+LQTTTRMTDVDRSSTSAYGESWTQEPHSSRSHRGYHDEEETPHSTSTKQSDTHSFSPSHG
+GLEEDQGHSTTAAPTSINRNDGRRGGNLPEDATASMEGYTHSPDTNEYTTLTPVTPTKTG
+SPGVTEVTIVGDSTSKADLTLPGDYGSSHDPSGRSYTTHASESAGHSSGSEEHGANTTSG
+PMRKPQIPEWLIILASLLALALILAVCIAVNSRRRCGQKKKLVINNGNGTMEERKPSGLN
+GEASKSQEMVHLVNKGSSETQDQFMTADETRNLQNVDMKIGV
+>tr|A0A3Q1NG39|A0A3Q1NG39_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC112441473 PE=3 SV=1
+MSSSTRVALVTGANKGLGFAIVRALAGGFQGDVVLTAPDEAQGRAAVQQLQAQGLSPLFH
+QLDIDDRQSIRALRDFLRKEYGGLDVLVNNAGIAFKTADTTPFHIQAEVTMKTNFFGTRD
+VCTELLPLIKPQGRVVNVSSSVSVNSLKKCSPELQQKFQSETITEEELVGLMNKFVEDTK
+NGVHRKEGWRDTAYGVTKIGVTVLFRIHARKLSEQRGGDKILLNACCPGWVRTNKGRGRH
+KALRAPKEGAETPWLFCPRMPRGSSQVVFERKVVCALTDSCTHGLIMFLSQVYQSDTYTV
+ANIPTQTKERKKNQSIPSGSHWEVAANSVKHFCHLVGDSTGGFSVHGIPQASTLDG
+>tr|E1BL07|E1BL07_BOVIN Gastrin releasing peptide receptor OS=Bos taurus OX=9913 GN=GRPR PE=3 SV=1
+MALNDCLLLNLEVDHFVHCNISNHSVELPMNDDWFHPGILYVIPAIYGVIILIGLVGNIT
+LIKIFCTVKSMRNVPNLFISSLALGDLLLLVTCAPVDASRYLADKWLFGRIGCKLIPFIQ
+LTSVGVSVFTLTALSADRYKAIVRPMDIQASHALLKICLKAALIWIVSMLLAIPEAVFSD
+LHPFHEESTNRTFISCAPYPHSNELHPKIHSMASFLVFYIIPLSIISVYYYFIARNLIQS
+AYNLPVEGNIHVKKQIESRKRLAKTVLVFVGLFAFCWLPNHIIYLYRSYHYSEVDTSMLH
+FITSICARLLAFTNSCVNPFALYLLSKSFRKQFNTQLLCCRPGLMSRSHSAGRSTSCMTS
+FKSTNPSAATFSLINGNICHEGYV
+>tr|A0A3Q1NGD2|A0A3Q1NGD2_BOVIN Kinesin-like protein OS=Bos taurus OX=9913 GN=KIF23 PE=3 SV=1
+MTLRTKTPRKPPVKKGSQTSLKDPVGVYCRVRPLSLPEQECCIEVINNTTVQLHTPEGNR
+LNRNGDYKETQYSFKQVFGIHTTQKELFDVVANPLVDDLIHGKNGLLFTYGVTGSGKTHT
+MTGSPGEGGLLPRCLDMLFNSIGSFQAKRYVFKSNDRNSMDIQCEVDALLERQKREATPN
+PKTPSSKRQVDPEFADMINVQEFCKAEEVDEDSVYGVFVSYIEIYNNYIYDLLEEVPFDP
+IKPKWNSCSTPMRNTDFVPPQSKLLREDKNHNMYVAGCTEVEVKSTEEAFEVFWRGQKKR
+RIANTHLNHESSRSHSVFNIKLVQAPLDADGDNVLQEKEQITISQLSLVDLAGSERTNRT
+KAEGNRLREAGNINQSLMTLRTCMEVLRENQTYGTNKMVPYRDSKLTHLFKNYFDGEGKV
+RLIVCVNPKAEDYEESLQVMRFAEVTQEVEVARPTDKAICGLTPGRRYRNQARAGPVGDE
+PLVSEVVLQSFPPLPSCELLDVNDEQTLPRLIEALERRHHLRQMMIEEFNRQSITFKALL
+QEFDNAVLNKENYIQGKLNEKEKVISGQKLEIERLEKKNKTLEYKVEILEKTTTIYEEDK
+RNLQQELETQNEKLQRQFSDKRRLEARLQGMVTETTMKWEKECERRVAAKQLEMQNKLWV
+KDEKLKQLKAIVTEPKTEKPERPSRERDREKVTQRSVSPSPIPLSSNYIAQISNGQQLMS
+QQLHRRSNSCSSISVASCISEWEQKIPPYNTPLNVTSIARRRQQEPGQSKTCIVSDRRRG
+MYWNEGREVVPSLRNEIEVEEDHCSRGDVYKTRGGGQSVQFTEIETLKQESPTSRKRRSS
+TVAPAQPDGTESEWTDVETRCSVAVEMRAGSQLGPGYQHHAQPKRKKP
+>tr|A0A3Q1MDZ9|A0A3Q1MDZ9_BOVIN Charged multivesicular body protein 1A OS=Bos taurus OX=9913 GN=CHMP1A PE=4 SV=1
+FADTLFQLKFTAKQLEKLAKKAEKDSKAEQAKVKKALQQKNVECARVYAENAIRKKNEGV
+NWLRMASRVDAVASKVQTAVTMKGVTKNMAQVTKALDRALSTMDLQKVSAVMDRFEQQVQ
+NLDVHTSVMEDSMSSATTLTTPQEQVDSLILQIAEENGLEVLDQLSQLPEGASAVGESSV
+RSQEDQLSRRWAGRRNPAPCLQWGWGRQAAYPRRGGRQLGWPGRGGGRCCGASEGPVVCR
+LAALRN
+>tr|E1BLZ5|E1BLZ5_BOVIN Lysine demethylase 2B OS=Bos taurus OX=9913 GN=KDM2B PE=4 SV=2
+MPRQPVCGAPLAGYITRLPVEWAKLNTSRGWGAGSKGESSRNPFHGLQRCRRGVPCIGRR
+LTLGGAGPSPYPDFQHLWLLRKVAPVPKVGCTGARGSCTCSSWAQGERRPPVPPIMSRAL
+RFGSPQRPIDRQRYDENEDLSDVEEIVSARGFSLEEKLRSQLYQGDFVHAMEGKDFNYEY
+VQREALRVPLVFREKDGLGIKMPDPDFTVRDVKLLVGSRRLVDVMDVNTQKGTEMSMSQF
+VRYYETPEAQRDKLYNVISLEFSHTKLEHLVKRPTVVDLVDWVDNMWPQHLKEKQTEATN
+AIAEMKYPKVKKYCLMSVKGCFTDFHIDFGGTSVWYHVFRGGKIFWLIPPTLHNLALYEE
+WVLSGKQSDIFLGDRVERCQRIELKQGYTFFIPSGWIHAVYTPVDSLVFGGNILHSFNVP
+MQLRIYEIEDRTRVQPKFRYPFYYEMCWYVLERYVYCMTQRSYLTQEYQRESMLIDAPRK
+PSIDGFSSDSWLEMEEESCEQQPQEEKEEEEEEEEEEEGADKTPRASTDGPASPTSTPSE
+DQEAPGKKPKAPAMRFLKRTLSNESEDSVKSATMPTDYPKTPTGSPATEVSAKWTHLTEF
+ELKGLKALVEKLESLPENKKCVPEGIEDPQALLEGVKNVLKEHAEDDPSLAITGVPVVTW
+PKKTPKNRAVGRPKGKLGPASAVKLAANRTTAGARRRRTRCRKCEACLRTECGECHFCKD
+MKKFGGPGRMKQSCIMRQCIAPVLPHTAVCLVCGEAGKEDTVEEEEGKFNLMLMECSICN
+EIIHPGCLKIKESEGVVNDELPNCWECPKCNHAGKTGKQKRGPGFKYASNLPGSLLKEQK
+MNRDNKEGQEPAKRRSECEEAPRRRSDEHPKKVPPDGILRRKSDDVHLRRKRKYEKPQEL
+SGRKRASTLQTSPGSSSHLSPRPPLGSSLSPWWRSSLTYFQQQLKPGKEDKLFRKKRRSW
+KNAEDRITLANKPLRRFKQEPEDDLPEAPPKTRESDHSRSSSPTAGPSTEGAEGPEEKKK
+VKMRRKRRLPNKELSKELSKELNQEIQKTESSLAQENHQPIKSEPESENEEPKRPLGLCE
+RPHRFSKGLNGTPRELRHQLGPGLRSPPRVISRPPPSVSPPKCIQMERHVIRPPPISPPP
+DSLPLDDGAAHVMHREVWMAVFSYLSHQDLCVCMRVCKTWNRWCCDKRLWTRIDLNHCKS
+ITPLMLSGIIRRQPVSLDLSWTNISKKQLSWLINRLPGLRDLVLSGCSWIAVSALCSSSC
+PLLRTLDVQWVEGLKDAQMRDLLSPPTDNRPGQMDNRSKLRNIVELRLAGLDITDASLRL
+IIRHMPLLSKLHLSYCNHVTDQSINLLTAVGTTTRDSLTEINLSDCNKVTDQCLSFFKRC
+GNICHIDLRYCKQVTKEGCEQFIAEMSVSVQFGQVEEKLLQKLS
+>tr|A0A3Q1M583|A0A3Q1M583_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MALEVLTKRKFVTDGIFKGELNESFTEELAEVRSMLHQPGQKSLSWPPGHRMFLVRRAGG
+FRELTAVVQKKFGFPDGRVELYAEKGATGGLQGVLGVKGKSMLPWDPAGKTGPKKPLPED
+VSIVEAKDETRPPPLSLNRGSFPSPEDRPNPRDPTQVS
+>tr|A0A3Q1MDY8|A0A3Q1MDY8_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MTQCEVKWALESITTNKASGGDGIPGELFQILKDDAVKVLHSLCQQIWETQQWPQDWKRS
+VFIPIPKKGNAKECSNYGTMALISHASKVMLKILQARLQQYVNRELPDVQAGFRKGRGTR
+DQIANIRWIIEKAREFQKNIYFCFIDYAKAFDSVDHNKVWKILKEMGIPDHLTCLLRNLY
+AGQEATVRTGHGTTDWFQIGKGVHQGCILSPCLFNLYAEYIMRNAGLEETQAGIKIAGRN
+INNLRYADDTTLMAESEEELKSLLMKVKAESEKVGLKLNIQKTKIMASGPTTSWEIDGET
+VSDFIFLGSKITTDGDCSHEIKRRLLLGRKVMTNLDSIFKSRDITLPTKVRLVKAMVFPV
+VMYGCESWTVKKAKRRRIDAFELWCWRRLLRVPWTARRANQSILKEISPGISLEGMMLKL
+KLQFFGHLMQRVDSLEKTLMLGGIGDRRRRGRQRMRWLDGITDSMDVSLSELRELVMDRE
+AWRAAIHGVAKSQTRLSD
+>tr|G3MYD2|G3MYD2_BOVIN Steroid 21-hydroxylase OS=Bos taurus OX=9913 GN=CYP21 PE=3 SV=1
+MVLAGLLLLLTLLAGAHLLWGRWKLRNLHLPPLVPGFLHLLQPNLPIHLLSLTQKLGPVY
+RLRLGLQEVVVLNSKRTIEEAMIRKWVDFAGRPQIPSCKGLLEGRSRALGSRGGSGLWIP
+WSSRPSHPQPLHTHTHTHTHTHTHIYIETHMVEVGSWGLDWGSISQIQRRLQEELDRELG
+PGASCSRVTYKDRARLPLLNATIAEVLRLRPVVPLALPHRTTRPSSIFGYDIPEGMVVIP
+NLQGAHLDETVWEQPHEFRPDRFLEPGANPSALAFGCGARVCLGESLARLELFVVLLRLL
+QAFTLLPPPVGALPSLQPDPYCGVNLKVQPFQVRLQPRGVEAGAWESASAQ
+>tr|F1MJH3|F1MJH3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=ZNF677 PE=4 SV=2
+VSFQGLFTFKDVAVEFTQEEWECLDPIQRALYRDVMLETYRNLLSLGSYSATFAVKELSS
+PKEDISKGELCHLMTLEKNETYGIKDFDLKEICENMQESESEWGYDARNDKEVLLTHNKN
+LSQKEDQDNKSLINFPQSVSVRSNTYEYFTHDKPFIRNLLTMKDNIIIAGHNIKCLDSRT
+GARLQAQVAELQRFTTEEKMYGGTQLEKSVSNGSSVSSLHQIPPSVETTVLSAQHRKTHT
+REKSYNCNDCGKAFSKKSNLTNHKRIHSGQKPYRCSDCGKAFNHQSHLIAHQRVHAEEKA
+YKCGVCGKVFSRNSHLANHQRMHTGEKPYKCNECGKAFTQFSHLSRHQKMHAGEKPHKCN
+ECGKHFTQRSNLMAHQRIHTGEKPFKCNKCDKAFIERSQLWGHERTHTGEKLYKCDECGK
+ALTRHSYLTQHKTIHTGEKPYKCNECGKAYTQFASLTRHLKIHTENKHMPHTYGNAFIQS
+SKLKQFRQNFCMYSSLTQLMGLYQGGKTHTDAQQTHEKMLSIINHQGNAKHKVNEIPHYS
+>tr|A0A3Q1M5D7|A0A3Q1M5D7_BOVIN NifU_N domain-containing protein OS=Bos taurus OX=9913 GN=LOC533308 PE=3 SV=1
+MAAAGAGRLRRAASALLLRAPRLPARELSAPARLCHKKVVDHYENPRNVGSLDKTSKNVG
+TGLVGAPACGDVMKLQIQVDEKGKIVDARFKTFGCGSANASSSLATEWVKGKTVEEALTI
+KNTDIAKELCLPPVKLHCSMLAEDAIKAALADYKLKQEPKTGEA
+>tr|F1N3B0|F1N3B0_BOVIN Nicotinate phosphoribosyltransferase OS=Bos taurus OX=9913 GN=NAPRT PE=3 SV=1
+MAAEQDPEGRAAARPLLTDLYQATMALGYWRAGRAQDQAEFELFFRQCPFGGAFALAAGL
+RDCVRFLRAFRLRDADVQFLASALPPDTDPAFFEHLRALDCSGVTVRALPEGSLAFPGVP
+LLQVSGPLLVVQLLETPLLCLVSYASLIATNAARLRLIAGPDKRLLEMGLRRAQGPDGGL
+TASTYSYLGGFDASSNVLAGQLRGVPVAGTLAHSFVTSFSGSEVPPDPMLAPAAGQGSQV
+DLAASVEMWLERVCGHLGLGVQEPHRGERAAFVAYALAFPRAFQGLLDTYSVRRSGLPNF
+LAVALALQELGYQAVGVRLDSGDLLQQAREIRGVFWTAAAQFGVPWLQSVPIAVSNNIDE
+EELARLAQKGSEVNVIGIGTSVVTCPRQPSLGCVYKLVSVGGQPRMKLTEDPEKQTLPGS
+KAAFRLLGSDGSLLLDVLQLAEEPPPQADQELRVWPRGARESRTVRPAHVEPLLRLWVQQ
+GQLCEPLPSLAESRAFAQQSLHRLSPAHRRLEQPALYQVALSEKLQALVDRLSARGAL
+>tr|F1MQA9|F1MQA9_BOVIN Tyrosine-protein kinase OS=Bos taurus OX=9913 GN=TXK PE=3 SV=2
+MILSTYNTIQSIFCCCCCCSVQKRQVRTQISLSKDEELSEKYTQRRRPWFSDLPSKKQSN
+RGHGQPSKRKPLPPLPPSEVSEEKIHVKALYDFLPREPYDLALKRAEEYLILEKYDPHWW
+KARDRLGNEGLIPSNYVTENKPTNLEIYEWYHRNITRNQAERLLRQESKEGAFIVRDSRH
+LGSYTISVFIRDKRDMEATIKHYQIKRNDSGQWYVAERHLFQSIPELIWYHQHNAAGLMS
+RLRYPVGLMGSCLPATAGFSYEKWEIDPSELAFVKQIGSGQFGVVYLGQWRAHVQVAIKA
+INEGFMSEEDFIEEAKVMMKLSHSKLVQLYGVCIQQKPLYIVTEFMEHGCLLNYLRERKG
+KLSKEILLSVCQDICEGMEYLERNCFIHRDLAARNCLVSSTCIVKISDFGMTRYVLDDEY
+VSSSGAKFPVKWSPPEVFHFNRYSSKSDVWSFGVLMWEVFSEGKMPFENRSNLQVVEAIS
+KGFRLYRPYLAPMCIYEVMYSCWHEKPKGRPTFAELLQVLTEIAETW
+>tr|E1BB53|E1BB53_BOVIN Telomerase associated protein 1 OS=Bos taurus OX=9913 GN=TEP1 PE=4 SV=3
+MLEKPPGHVSTHSDILSLENRCLATLPDLKTLEKPPGHMSAHSDILSSENRCLATLPDLK
+TLEKPPGHVSAHSDILSLENRCLATLPDLKTLEKPPGHVSAHSDILSLENRCLATLTILK
+STVSISPLLQNLQISHLAQADLCSLNSSNHLLSEHPASRVQYLSEGRGLLTCPRVSKTIS
+APERVQEAVLGRWSSSGEKKPEEKEWAEAQMPFYRLSLGEEEEVEELALKLTPGDSESHP
+EPTEQVLQEVKMVLMSFLCSSLVANVNRNDAAYSTQAFLLKVCSEVARFEPEFVLKASLY
+TRQQLNVRDVANKVLAIAAFLPLCRPYLRRYFCAIVQLPSDWIQVARFYELLCREQEDCL
+APLPACLRAAMRDKFSQFDEYQLAKYNPRKHRAKRRPRRPPRPPEHTFSETQTYLPRFIW
+HIRGEQRMFETTYGSVSEKKNEQRFTLKKLVRRLHIHEPAQLVQALLGCRYPSNLQAFSR
+SRLPGPWDSSRAGKRMKLARPETWERELSLRGNKATVWEELIDSGKLPFMAMLRNLRNLL
+RVGISARHHELVLQRLQHAKTVIHSRQFPFRFLNAHDSINDLEAQLEKKELPFPSNTQLI
+KQIIIKNTKYGKKHAYSWQFRQPSRRELRTAMMIPVIYEQLKRKKMKIHKARQWKCDREM
+LARYRQALETAVNLSVKHSLPPLPGRTLLVYLTDASADRILPKSNPQGPPLNYVLLLIAM
+MMVRTEQVELLLCGGGIVKTAVIKAEEGILKTATELQAQVQKSDESCEQSLTTLGKHLLS
+LATQRVPVDRVIVFGQTTNEKLINAAKQLFWQHVNPKCLFVGVLLRASYLCTDLNPNDVT
+LSGCTDGILKFIAERGASRLLEHVGQMDKIFKIPPPPGKTGVQSLRPLEENTPSPLAPVS
+QLGWRSIRLFISSTFRDMHGERDLLLRSLLPALQARVAPYRISLHAIDLRWGITEEETRQ
+NRQLEVCLGEVENSQLFVGILGSRYGYVPPNYSLPDHPHFCWAQQYPAGRSVTEMEVMQF
+LNRGLRLQPSAQPLLYFRDSSFLSSVPDAWKSDFISESEEAAHRISELKSYLSRQEGITC
+RRYRCEWGGVAAGRPYVGGLEEFGQLVLQDVWSMIQKLYLQPGAQPEQPVPIPDDDLVQA
+TFQQLKKPRSPARPRLLQDTVRQLMQHQGRLSLVTGQSGQGKTAFLASLVSALQAPDGAT
+VAPLVFFHFSGARPDHSLALTLLRRLCAYLHSQLEEPSALPSTYRGLVWELQQRLLPKSA
+QSLRTGQPLVLIVDGADRLVDQRGQLISDWIPKILPRWVHLVLSVSSDSGLGETLEQSQG
+AHVVALGPLEPSARAQLVREELALYGKRLEESPFNNQMRLLLVKRGSVLPLYLRLVTDHL
+RLFTLYEQVSERLQTLPATVPLLLQHVLGTLEQEHGPDVLPQALATLEATRSGLTVDQLH
+GVLSAWRILPRGSKSWEEAVAAGNSGDPYPMGQFAYLVQSLRSLLGEGPLERPGARLCLQ
+DGPLRTAARCRYGKRPELEKTAHLLTAAQLWKTCDPDASGTFRRCPPEALADLPYHLLQS
+GNRGLLAKFLTSLHVVAAHLELGLTSQLLEAHALYASSVPGEEQKLPEADVAAFHTFLRQ
+QAPILSRYPLLLHQQVANQPLDSPLCRQAPRLSQRQHLQCMLHWLNKPQTLKHQQSSSLS
+LAVSSSPTAVAFSPNGQRAAVGTANGTVYLLDLRTWQDERSMVSGGDGVSSCSFLSDNAL
+FLTAFDGLLELWDLQHGCRVLQIQAHQYQITGCCLSPDRRLLATVCLGGGLKLWDVVRGQ
+LASQHTCPKPLNCVAFHPEGQVIAVGGWAGSFSFFHVDGLRVTKELGAPGASVRTLAFGV
+PGRVVAVGRLDRMVELWAWQEGARLAAFPAHQGFVATALFLRSGCQLLTAGEDGKVQVWS
+GSLGRPRGCLGSPHLSPALSVALSPDGDQVAVGYRADGIRICRISSASQGAQGQALDVAV
+SALTWLSPKVLVSGAEDGALQGWLLQGGTLQSLWLLSRHRKPVLGLATSQELLAIASEDF
+TVRLWPRQLLMLPQKTEDFPRSTELRGHEGPVSCCSFSTDGCRLATGGRDRSLLCWDVRT
+PKAPVLICSFSACHRDWVTGCAWTKDSLLVSCSSDGSVALWDPESRQQLGHFLGHQHAVS
+AVVAVEEHVVSVGRDGTLKVWDHQGVEMTSIPAHSGPISHCVAALEPRAAGQPGSELLVV
+TVGLDGATRLWHPLLVFQTHTLLGHSGPVSAAAVSETSGLLLTTSEDGSLRLWQVPKEAD
+DTYRPRSPAAITAVAWAPDGSAAVSGNQAGELTLWQEAKAVVTVQAPGCISALLWYSAHT
+LIVVSANEKVSEWQVELQKGSTPRNVSLRLNRVLQEDLGFLTSVGLAPDAHSLILAKADL
+QLLHMKPGDEPSVIWQSYSEDPMMLSTHQDYGVFVLQSMKLQVLPFSGQKELGEFDEFLE
+FDLNLENPSNTLISVTQAKPGSESSFLCASSDGMLWNLARCTDEGEWITDNIWQKKAEMP
+STQSSETESCICTDTYSWSTVTELKTQQRRKIHSGPVTALHVLPELLVTASKDRDVKLWE
+RPSMRLLGLFQCEGAVSCLEPWLGPDSTLQLAVGDTQGNVYFLSWE
+>tr|A0A3Q1MJB2|A0A3Q1MJB2_BOVIN Fibulin-1 OS=Bos taurus OX=9913 GN=FBLN1 PE=1 SV=1
+MERAAPSRRVPLLLLLLGGLSLLAAPAGADVSMEACCADGHRMATEHRACSLPYTSESKE
+CRMVQEQCCQNQLEELHCATGINLANEQDSCATPRGDNTSLEAMFVKRCCHCCLLGRAAQ
+AQGQSCEYSLMVGYQCGLVFRACCVKGQETADFAPGDGGDLQETAKVSEIEEEQEDPYLN
+DRCRGGGPCKQQCRDTGEEVVCSCFVGYQLLPDGVSCEDVNECITGGQSCRLGETCVNTA
+GSFRCQRDSSCGTGYELTEDNDCKDIDECESGIHNCLPDFICQNTLGSFRCRPKLQCKSG
+FIQDALGNCIDINECLSISAPCPVGQTCINTEGSYTCQKNVPNCGRGYHLNEEGTRCVDV
+DECSPPSEPCGPGHLCVNSPGSFRCECKAGYYFDGISRTCVDINECRRYPGRLCGHKCEN
+TPGSYYCSCTLGFRLSSDGRSCEDVNECNSNPCSQECANVYGSYQCYCRRGYQLSDVDGV
+TCEDIDECALPTGGHICSYRCINIPGSFQCSCPPTGYRLAPNGRNCQDIDECVTGIHNCS
+INETCFNIQGSFRCLAFECPENYRRSTDALRQEKTDTVRCIKSCRPNDVACVLDPVHTIS
+HTVVSLPTFREFTRPEEIIFLRAITPAYPANHADIIFDITDGNLRDSFDIIKRYMDGMTV
+GVVRQVRPIVGPFHAVLKLEMNYVVGGVVSHRNVVSVHIFVSEYWF
+>tr|A0A3Q1NNA5|A0A3Q1NNA5_BOVIN Inhibitor of nuclear factor kappa-B kinase subunit beta OS=Bos taurus OX=9913 GN=IKBKB PE=4 SV=1
+MSWSPSLPTQTCGAWEMKERLGTGGFGNVIRWHNQETGEQIAIKQCRQELSPRNRERWCL
+EIQIMRRLNHPNVVAARDVPEGMQSLAPNDLPLLAMEYCQGGDLRKYLNQFENCCGLREG
+AILTLLSDIASALRYLHENRIIHRDLKPENIVLQQGEQRLIHKIIDLGYAKELDQGSLCT
+SFVGTLQYLAPELLEQQKYTVTVDYWSFGTLAFECITGFRPFLPNWQPVQWHSKVRQKSE
+MDIVVSEDLNGAVKFSSSLPHPNNLNSVLAQRLEKWLQLMLMWHPRQRGTDPVYGPNGCF
+KALDDILNLKLLHVLNMVTGTLHTYPVTEDESLQSLKARIRQDTGILEEDQELLQEAGLA
+LIPDKPAAQCLSDGKLNEGRTLDMDLVFLFDNSRVTYESQVSPQPQPESVSCILQEPKRN
+LPFFQLRKVWGQVWHSIQALKEDCSRLQQGQRAAMMNLLRNNSCLSKMKNSMASMSQQLK
+AKLDFFKTSIQIDLEKYREQTEFGISECFLFTFLLLLAWREMEQAVELCGRENEVKHLVE
+RMMALQTDIVDLQRSPMGRKQGGTLDDLEEQARELYRRLREKPRDQRTDGDSQEMVRLLL
+QAIQGFEKKVRVIYTQLSKTVVCKQKALELLPKVEEVVSLMSEDEKMVVRLQEKRQKELW
+NLLKIACSKVRGPVSGSPDSMNASRLSHPCQLMSQPCTAPDSLPEAAEKSEDLVAEAHTL
+CTQLENALQDTMKEQDQSLRSLDWSWLQSEEEEQQSLERAS
+>tr|E1B7G9|E1B7G9_BOVIN APOBEC1 complementation factor OS=Bos taurus OX=9913 GN=A1CF PE=4 SV=3
+MESNHKSGDGLSGTQKEAALRALVQRTGYSLVQENGQRKYGGPPPGWDAAPPERGCEIFI
+GKLPRDLFEDELIPLCEKIGKIYEMRMMMDFNGNNRGYAFVTFSNKQEAKNAIKQLNNYE
+IRNGRLLGVCASVDNCRLFVGGIPKTKKREEILSEMKKVTEGVVDVIVYPSAADKTKNRG
+FAFVEYENHRAAAMARRKLLPGRIQLWGHPIAVDWAEPEVEVDEDTMSSVKILYVRNLML
+STSEEMIEKEFNNIKPGAVERVKKIRDYAFVHFTNREDAVEAMKALNGKVLDGSPIEVTL
+AKPVDKDSYVRYTRGTGGRGAMLQGEYTYSLGHVYDPTTTYLGAPVFYAPQAYTAIPSLH
+FPTTKGHLGNRAIIRAPSVRGAAGVRGLGGRGYLAYTGLGRGYQVKGDKREDKLYDLLPG
+MELTPMNPVTLKPQGIKLAPQILEEICQKNNWGQPVYQLHSAIGQDQRQLFLYKITIPAL
+ASQNPAIHPFTPPKLSAYVDEAKTYAAEYTLQALGIPTDGADAQTAAAAAASATAFPGYA
+VPSPTASVSAAQLKQAVTLGQDLAAYAAYEVYPTFAVTARGDGYGTF
+>tr|A0A3Q1MJN1|A0A3Q1MJN1_BOVIN Cell division cycle 14B OS=Bos taurus OX=9913 GN=CDC14B PE=4 SV=1
+MKRKSERRPNWAAAPPCSRRCSLSSPGVKKSRSSTSQELHHLDQQDDLYLDITDRLCFAI
+LFSRPKSSSNVHYFSIDNELEYENFYADFGPLNLAMVYRYCCKINKKLKSITMMRKKIIH
+FTGPDQRKQANAAFLVGCYMVIYLGKTPEDAYRILMFGDATYIPFRDAAYGSCNFFITLL
+DCFYAVKKAMQYGFFNFNSFNLDEYEYYEKAENGDLNWIIPDRFLAFCGPHSRTRLESGY
+HQHSPEAYIPYFKNHNVTTVIRLNKRMYDAKRFTNAGFDHYDLFFADGSTPTDAIVKEFL
+DICENAEGAIAVHCKAGLGRTGTLIACYIMKHYRMTATETIAWVRICRPGSVIGPQQQFL
+MMKQASLWIEGDYFRQKLRGQENGKHRAAVSKLLLAVDDISINGVENQDKQEPELYSDDD
+EISGVTQGDRLRALKSRRQSKTNALPLTPSISRTKTVLR
+>tr|F1MXP1|F1MXP1_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=OR10T2 PE=3 SV=3
+SQKEVIQMQGFNRTAVVTQFILVGFSSLEELQVLLFVIFLLLYLTILMANAIIMTVICFS
+RTLHTPMYGFLFILSFSESCYTFVIIPQLLVHLLSATKTISFIACATQLFFFLGFACTNC
+FLIAVMGYDRYVAICHPLRYTLIMSKRLGLGLVSLSTVTGFFIALVATNLICGMHFCGPN
+RVNHYFCDMAPVIKLACTDTHVKELALFSLSILVIMMPFLLILVSYGFIVNTILKIPSAE
+GKRKAFATCASHLTVVFVHYGCASIIYLQPKSKSASDKDQLVAVTYTVVTPLLNPLVYSL
+RNKEVKTALKRVLGMPVATK
+>tr|G3MXE3|G3MXE3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC785756 PE=4 SV=2
+MMKGALLVLTLLVTRELTFKTTDACPVFYGGVAALLLGSKTVLNSTLDLVDSTDEEKAAF
+GKVQDCFNEAGFDAKLKVVEIMGSIVFDKDCTGYQVSTVLSSVFGIVLSAVSLVK
+>tr|E1BJH1|E1BJH1_BOVIN Enhancer of mRNA decapping 4 OS=Bos taurus OX=9913 GN=EDC4 PE=4 SV=2
+MASSCASIDIEDATQHLRDILKLDRPAGGPSAENQRPSNAYNGDLNGLLVPDPLCSGDSA
+STNKPGFRAMPPINLQEKQVICLLGDDSSTGIGILAKEVEIVASSDSSISSKARGSNKVK
+IQPVAKYDWEQKYYYGNLIAVSNSFLAYAIRAANNGSAMVRVISVSTSERTLLKGFTGSV
+ADLAFAHLNSPQLACLDEAGNLFVWRLALINGKIQEEILVHIRQPEGTPLNHFRRIIWCP
+FIPEESEDCCEEGSPTVALLHEDRAEVWDLDMLRTNHSTWPVDVSQIKQGFIVVKGHSTC
+LSEGALSPDGTVLATASHDGFVKFWQIYIEGQDEPRCLHEWKPHDGRPLSCLLFCDNHKK
+QDPEVPFWRFLITGADQNRELKMWCTVSWTCLQTIRFSPDIFSPVSVPPSLKVCLDLSAE
+YLILSDVQRKVLYVMELLQNQEEGRACFSSISEFLLTHPVLSFGIQVVSRCRLRHTEVLP
+AEEENDSLGADGTHGAGAMESAAGVLIKLFCVHTKALQDVQIRFQPQLNPDVVAPLPSHS
+THEDFPFGESRPELGSEGLGSATHGSQPDLRRIVELPAPADFLSLSSETKPKLMTPDAFM
+TPSASLQQIAASPSSSSSSSSSSSSSSSSLTAVSAMSSTSAVDPSLPRPPEELTLSPKLQ
+LDGGLTMSSSSLQASPRGLLPSLLPGPADKLTPKGSGQVPTAASALALELQEVEPLGLPQ
+ASPSRTRSPDVISSASTALSQDIPEIASEALSRGFGASAPEGLEPDSMASAASALHLLSP
+RPRPGPELGPQLSLDGVPGDGDRHSTPSLLEAALTQEATAPDSQVWPTAPDITRETCSSL
+AESPRNGLQEKHKSLAFHRPPYHLLQQHDSQDASAEQSDHDDEVASLASAAGNFGTKVPT
+PRLPAKDWKTKGSPRASPKLKRKGKKDDGDSSLGSRLTEHQVAETPEDWPALIWQQQREL
+AQLRHSQEELLQRLCAQLEGLQSTVTGHVERALESRHEQEQRRLERALAEGQQRGGQLQE
+QLTQQLSQALSSAVAGRLERSVRDEIKKTVPPCVSRSLEPVAGQLSNSVATKLTAVESSM
+KENISKLLKSKNLTDAIARAAADTLQGPMQAAYREAFQSVVLPAFEKSCQAMFQQINDSF
+RLGTQEYLQQLESHMKSRKAREQEAREPVLAQLRGLVGTLQGATEQMAATVSGSVRAEVQ
+HQLHVAVGSLQEAILAQVQRIVKGEVSVALKEQQAAVTSSIMQAMRSAAGTPVPAAHLDC
+QAQQAHILQLLQQGHLNQAFQQALTAADLNLVLYVCETVDPGQVFGQPPCPLSQPVLLSL
+IQQLASDLGTRTDLKLSYLEEAVMHLDHSDPITRDHMGSVMAQVRQKLFQFLQAEPHNSL
+GKAARRLSLMLHGLMTPSLP
+>tr|E1BKR1|E1BKR1_BOVIN Solute carrier family 22 member 14 OS=Bos taurus OX=9913 GN=SLC22A14 PE=4 SV=3
+MAKENSLKIEFKSHPHPRNLHQHDGAESPRSCSLDMLLQRLRAIDAQDDKLTNIMDVVGE
+FGTFQRRLVALNFIPNFLASFFMFADIFLFTPQKPYCNTSWILAVDSNLTEAEQMNLTLP
+RAPNGSFLTCLMYVPVEWDLHSIIQFGLNHTDSCQNGWIYPEMKRRSLINEDLVLSAEWG
+HPQRSTVSAQAPFHSCRLGRYPTILLSLLELMIFGFGTAFVSSFNQYIFFRFCVSQAVVG
+YAISSSALRGGAGVHLLGTHRTVRVMAQPGSQWRLRDRDGPCRILPESPRWLIMKGKLEE
+AKQVLCYAAGVNKKTIPLSLLDKLQLPGKKVASASILDFYSNKDLRKFAVGCNYYMLGLK
+IKELGVDIYLTQVIPGMMEVPARLCCIFLIEQLKRRRTLIVTLFQGAIMCFLSLTLPSEL
+KSLVVLITLLGEFNLAASITMFYIYTSELFPTVLRATGLGLVSLVWAAGGISSLTLVSQN
+IAILAIILCCLSALVALFYCSSMPETQDQPLSDFFSNSFSRSISEELSNEDMLSDDVTEE
+AAKNTILNARLTSIDHDGLSSPSLQSKEETVDKEED
+>tr|A0A3Q1M6L4|A0A3Q1M6L4_BOVIN Peptidyl-prolyl cis-trans isomerase E OS=Bos taurus OX=9913 GN=PPIE PE=3 SV=1
+MATTKRVLYVGGLAEEVDDKVLHAAFIPFGDITDIQIPLDYETEKHRGFAFVEFELAENE
+SELFGRTIRVNLAKPMRIKEGSSRPVWSDDDWLKKFSGKTLEENKEEEGSEPPKVETQEG
+EPTVKKARSNPQVYMDIKIGNKPAGRIQMLLRSDVVPMTAENFRCLCTHEKGFGFKGSSF
+HRIIPQFMCQGGDFTNHNGTGGKSIYGKKFDDENFILKHTGPGLLSMANSGPNTNGSQFF
+LTCDKTDWLDGKHVVFGEITDGLDVLRQIEAQGSKDGKPKQKVIISDCGEYV
+>tr|A0A3Q1M3F8|A0A3Q1M3F8_BOVIN ADAM metallopeptidase domain 11 OS=Bos taurus OX=9913 GN=ADAM11 PE=4 SV=1
+MPTPAPPPQERNRVLEEDELGGKHLPSKSVVPTAAGAGPSPPQGCSLYPGFPGPSVPTSR
+PPQVNSPSAFPPFSQPVHLAQVSFVIPGAGDHCYYQGKLRGNPHSFAALSTCQGLHGVFS
+DGNFTYIVEPREMAGPWENAQVSPSPLVVPVAVISVRRGHPTVHSETKYVELIVINDHQL
+MRQSVVLTSNFAKSVVNLADVMYKEQLNTRIVLVAMETWADGDKIQVQDDLLETLARLMV
+YRREGLPEASDATHLFSGRTFQSTSSGAAYVGGICSLSRGGGVNEYDNMGAMAVTLAQTL
+GQNLGMMWNKHRSSAGDCKCPDNWLGCIMEDTGFYLPRKFSRCSIDEYNQFLQEGGGSCL
+FNKPLKLLDPPECGNGFVEAGEECDCGSVQECSRAGGNCCKKCTLTHDAMCSDGLCCRRC
+KYEPRGVSCREAVNECDIAETCTGDSSQCPPNLHKLDGYYCDHEQGRCYGGRCKTRDRQC
+QALWGHAAADRFCYEKLNVEGTERGNCGRKGSGWVQCNKQDVLCGFLLCVNISGAPRLGD
+LGGDISSVTFYHQGKELDCRGGHVQLADGSDLSYVEDGTACGPNMLCLDHRCLPASAFNF
+STCPGSGERRICSHHGVCSNEGKCICQPDWTGKDCNSTSLLWLKPDSPHHPKESRKLDQT
+ALWSQGCCAFISGLQRIDSCLTVPGAGRG
+>tr|E1BEJ3|E1BEJ3_BOVIN Elongation factor G, mitochondrial OS=Bos taurus OX=9913 GN=GFM1 PE=3 SV=2
+MRLLGAAAAAAVGRGPLPRVPAALGWQGKQANWKICRWCSSGVIPNEKIRNIGISAHIDS
+GKTTLTERVLYYTGRIAKMHEVKGKDGVGAVMDSMELERQRGITIQSAATYTMWKDVNIN
+IIDTPGHVDFTIEVERALRVLDGAVLVLCAVGGVQCQTMTVNRQMRRYNVPFLTFINKLD
+RVGSNPARALQQMRSKLSHNAAFVQLPIGLESDFKGIIDLIEERAIYFDGDFGQIVRYGE
+IPAEFRAAAADHRQELIECVANSDEQLGEMFLEEKTPSISDLKLAIRRATLNRSFTPVFL
+GSALKNKGVQPLLDAVLEYLPNPSEVQNYAILNQDDSQEKTKILMNPKRDSSHPFVGLAF
+KLEAGRFGQLTYVRNYQGELKKGDNIYNTRTRKKVRVQRLVRMHADMMEDVEEVFAGDIC
+ALFGIDCASGDTFTNKDNSGLSMESIHVPDPVISIAMKPSNKNDLEKFSKGIGRFTREDP
+TFKVHFDTDSKETIVSGMGELHLEIYAQRMEREYGCPCITGKPKVAFRETITAPVPFDFT
+HKKQSGGAGQFGKVIGVLEPLDPENYTKLEFSDETFGSNVPKQFVPAVEKGFLDACEKGP
+LSGHKLSGLRFVLQDGAHHMVDSNEISFIRAGEGALKQALANTALCILEPIMSVEVIAPN
+EFQGPVIAGINRRHGVITGQDGIEDYFTLYADVPLNNMFGYSTELRSCTEGKGEYTMEYC
+RYQPCSPATQEELINKYLEATGQLPVKKGKAKN
+>tr|G5E6R1|G5E6R1_BOVIN Phosphodiesterase 10A OS=Bos taurus OX=9913 GN=PDE10A PE=4 SV=2
+MEDGPSNNASCFRRLTECFLSPNLTDEKVKAYLSLHPQVLDEFVSESVSAETVEKWLKRK
+NKKSEDESAPKEVSRYQDTNMQGVVYELNSYIEQRLDTGGDNQLLLYELSSIIKIEPLCV
+YPAWNQGRKTQAHPRWSHRPGHHHLCLCGQVQENIAGGRHPRREWLFTLCDMPRSSPG
+>tr|A0A3Q1LIF4|A0A3Q1LIF4_BOVIN Runt-related transcription factor OS=Bos taurus OX=9913 GN=RUNX1 PE=4 SV=1
+MASDSMFESFPSYPQCFMRDASTSRRFTPPSTALSPGKMSEALPLGAPDAGAALAGKLRS
+GDRSMVDVLADHPGELVRTDSPNFLCSVLPTHWRCNKTLPIAFKVVALGDVPDGTLVTVM
+AGNDENYSAELRNATAAMKNQVARFNDLRFVGRSGRGKSFTLTITVFTNPPQVATYHRAI
+KITVDGPREPRRHRQKLDDQTKPGSLSFSERLSELEQLRRTAMRVSPHHPAPTPNPRASL
+NHSTAFNPQPQSQMQDTRQIQPSPPWSYDQSYQYLGSIASPSVHPATPISPGRASGMTTL
+SAELSSRLSTASDLTAFGDPRQFPALPSISDPRMHYPGAFTYSPTPVTSGIGIGMSAMSS
+ATRYHTYLPPPYPGSSQAQGGPFQASSPSYHLYYGASAGSYQFSMVSGERSPPRILPPCT
+NASTGSALLNPSLPNQSDVVEAEGSHSNSPTNMAPAARLEEAVWRPY
+>tr|E1B7S2|E1B7S2_BOVIN Chromosome 11 C9orf50 homolog OS=Bos taurus OX=9913 GN=C11H9orf50 PE=4 SV=3
+MPRRRPSFWAQQVAPEGLPGGGDRRRRDPLLPRLLRPELRAASDAGVFGVSRASESGAER
+WQAPQCESLHSDPGLGFRRSRSRLPVLPTVAQRPARSRTVLRSLLLPPLLLAGEPPESAP
+ACPELGQRKDPRRGSAKETSDSLGSLLGEVLPGRFRQFLRQFRADSAERLLPPTPSASQH
+QRHSASEFNGSPPRCSSSHFLPELGGQSSYLKNSLKKILLHQIPALGPLSRDYPQFTTVK
+ANQPQATQAPKLKAVLTHNSSGEGSGHRRRCCPFRVRFADETLRDTALRYWERSCAARQG
+IFENRTAKPRSTASDRVFGSVGRWLESLPKALYPRAKEETAASPFSWDFPGLSTLEPNGH
+LPEDTSMSSSLPFIPRATAQRQRGDLKALLEQVGKSSCSWSQKLESFLPSLVLHTILKRG
+RPKGYQLLLPSASHRTQR
+>tr|F1MZ30|F1MZ30_BOVIN Collagen alpha-1(X) chain OS=Bos taurus OX=9913 GN=COL10A1 PE=4 SV=3
+MLPQTALLLLMSLNLVHGVFYTERYQTPTGIKGPPSNTKTQFFIPYAIKGKGVSLRGEQG
+IPGPPGPAGPRGHPGPSGPPGKPGTGSPGPQGQPGLPGPPGPSATGKPGLPGLPGKQGER
+GLNGPKGDIGPAGLPGPRGPPGPPGIPGPAGISVPGKPGPQGPTGEPGPRGFPGEKGTSG
+VPGLNGQKGEMGHCTPCRPGERGLPGPQGPTGPPGPPGVGKRGENGLPGQPGLKGDQGVP
+GERGAAGPPGPQGPPGEQGPEGIGKPGAPGIPGQPGIPGMKGQPGAPGTAGLPGAPGFGK
+PGLPGLKGQRGPVGLPGSPGAKGEQGPAGHPGEAGLPGPSGNMGPQGPKGIPGNPGLPGP
+KGEMGPVGPAGNPGAKGERGSSGLDGKPGYPGEPGLNGPKGNPGLPGPKGDPGIAGSPGL
+PGPVGPAGAKGVPGHNGEAGPRGVPGIPGTRGPIGPPGIPGFPGSKGDVGTPGPPGPAGI
+AVKGLNGPTGPPGPPGPRGNAGEPGLPGPPGPPGPPGQAALPEDFVKAGQRPFVSANQGV
+TGMPVSAFTVILSKAYPAIGTPIPFDKILYNKQQHYDPRTGIFTCKIPGIYYFSYHIHVK
+GTHAWVGLYKNGTPVMYTYDEYIKGYLDQASGSAVIDLTENDQVWLQLPNAGSNGLYSSE
+YVHSSFSGFLVAPM
+>tr|F1MW32|F1MW32_BOVIN Phospholipid-transporting ATPase OS=Bos taurus OX=9913 GN=ATP11B PE=3 SV=3
+ASLWLRHDTRTIYIANRFPQYGHYTPQKFIDNRIISSKYTVWNFVPKNLFEQFRRVANFY
+FLIIFLVQLMIDTPTSPVTSGLPLFFVITVTAIKQGYEDWLRHISDNEVNGAPVYVVRSG
+GLVTTRSKNIRVGDIVRVAKNEIFPADLVLLSSDRLDGSCHVTTASLDGETNLKTHVAVP
+ETAVLQTVANLDTLIAVVECQQPEADLYRFMGRMTITQQMEEIVRPLGPESLLLRGARLK
+NTKEIFGVAVYTGMETKMALNYKSKSQKRSAVEKSMNTFLIIYLIILISEAIISTILKYT
+WQAEEKWDEPWYNQKTEHQRNSSKILKFISDFLAFLVLYNFIIPISLYVTVELQKFLGSF
+FIGWDLDLYHEESDEKAQVNTSDLNEELGQVEYVFTDKTGTLTENEMQFRECSINGTKYQ
+EINGRLVSEGPTPDSSEGNLSYLTSLSHVNNLSHLAAGSSFRTSPENGTELIKEHDLFFK
+AVSLCHTVQISSVQTDSIGDGPWQSSFAPAQLEYYASSPDEKALVEAAARFGIVFVGNSG
+EIMEVKTLGKLERYKLLHILEFDPDRRRMSVIVQAPSGEKLLFVKGAESSILPECIGGEI
+EKTKIHVDEFALKGLRTLCMAYRQFTSKEYEEINRRLFEARTALQQREEKLAGVFQFIEK
+DLILLGATAVEDKLQDKVRETIEALRMAGIKVWVLTGDKHETAVSVSLSCGHFHRTMNIL
+ELLNQKSDSECAEKLGQLARRIREDHVIQHGLVVDGTSLSLALREHEKLFMDVCRHCSAV
+MCCRMAPLQKAKVIRLIKISPEKPITLAIGDGANDVSMIQEAHVGIGIMGKEGRQAARNS
+DYAIARFKFLSKLLFVHGHFYYIRIATLVQYFFYKNVCFITPQFLYQFYCLFSQQTLYDS
+VYLTLYNICFTSLPILIYSLLEQHIDPHVLQNKPTLYRDISKNRQLSMKTFLYWTTLGFS
+HAFIFFFGSYFLIGKDTSLLGNGQMFGNWTFGTLVFTVMVITVTAKMALETHFWTWINHL
+VTWGSILFYFVFSLFYGGILWPFLSSQNMYFVFIQLLSSGSAWFAIILMVFTCLFLDIVK
+KVFDRQFHPTNIEKAQLTETNSSIKCLDSMCCFSEGETACASVGRMLERVIGRCSPSHVS
+RSWSASDPFYTNDRSILTLSTMDSSTC
+>tr|A0A3Q1M7V5|A0A3Q1M7V5_BOVIN NLR family CARD domain-containing protein 4 OS=Bos taurus OX=9913 GN=NLRC4 PE=4 SV=1
+MNFIKENSQVLIQRMGMTVIKQILDELFVWNVMNYEEVNVICGEKFEQDAARGVIHMILK
+KGSEACNLFLKSLEKWNYPLFQELHGLSLFHQMSEEDLDDLAQELKYFYQSPSFLNFYPL
+GEDIDIMFNLKSTFTEPVLWKKDQHHHRLEQLTLSGLLDTLQSPCIIEGESGKGKSTLLQ
+RIAMLWASGECQALTKFKLVFFLRLSRAQGGLFETLSDQLLDIPDVISKQTFMARLLKLR
+QRVLFLLDGYNEFKAQNCPEIEALIKENHRFKNMVIVTTTTESLRHIRQFGALIAEVGDM
+TESSAQALIQEVLRKEFAEGLLLQIQKSRCLRNLMKTPLFVVITCAIQMGKSEFHSHTQT
+TLFCTFYDLLINKNRHKRKGLAPSEVTQSLDHCGDLALEGVFSRRFDFEPDDLSNVNEDV
+LLTTGLLCKYTAQRFKPKYKFFHQSFQEYTAGRRLSSLLTSGEPAEVTKGNGHLQKMVSI
+SDITSKYSNLLLYTCGSSAEATRTVLKHLSSVYQHGSLLGLSVTKRPLWRQESMQNMKST
+TVQEILKAININSFTECGINLFHESISTSSLSKEFEDFFRGKSLYINSENIPDYLFDFFE
+DLPNCASALDFVKLDFYGGAVRDISGNQDQEFSGTYIPSRAVSLFFNWKQEFKTLDVTLR
+DFCKLSKKDIKYLEKIFSSATSLRLHIKRCVGMAGSLSSVLSTCKNIHSLIVEASPLTLE
+DEQHITSVTNLQTLGVHDLQIQRLPAQNLHNLARLSILDLSENHLEKDGKEALQQLIDRL
+HILEQLTVLMLPWCGDVRVSLARLLEQLERVPQLVKLGLKNWRLTDAEIRILGVFFEKNP
+LENFQQLDLAGNCVSSDGWLAFMSGFENLKELVFFDFSTKGLLPDASLVRKLSHVLSKLT
+FLQEVQLVGWQLDDDDVSVLKGAFKLVIA
+>tr|F1MJU1|F1MJU1_BOVIN Histone H2B OS=Bos taurus OX=9913 PE=3 SV=3
+MPEPAKSAPAPKKGSKKAVTKAQKDGKKRKRSRKESYSVYVYKVLKQVHPDTGILSKAMG
+IMNSVNDIFERIAGEASRLEHYNKRSTITSREIQTALRLLLPGELTKHAVSEGTKAATKY
+TSSRFYTQNFICRKLTN
+>tr|F1MWG2|F1MWG2_BOVIN Neurotensin receptor 1 OS=Bos taurus OX=9913 GN=NTSR1 PE=3 SV=2
+MRLNSSAPGPWVGRTGDPFTQPPSVLERALLAPGLGNGSGNLSESVPAAPSSDLDVNTDI
+YSKVLVTIVYLALFVVGTVGNSVTAFTLARKKSLQNLQSTVHYHLGSLALSDLLILLLAM
+PVELYNFIWVHHPWAFGDAVCRGYYFLRDACTYATALNVASLSVERYLAICHPFKAKTLM
+SRSRTKKFISAVWLASGLLAVPMLFTMGQQNRSADGQHPGGLVCTPIVGTATVKVVIQIN
+TFMSFVFPMVVISFLNTVIANKLTVMVRQAAEPGQVCTVGDQPSSFSMSIEPGRVQALRH
+GVRVLRAVVIAFVVCWLPYHVRRLMFCYISDKQWTPFLYDFYHYFYMLTNALFYVSSTIN
+PILYNLVSANFRQIFLSTLACLCPLGGRRRRGPAFSRKANSVSSNHTFSSNVTRETLY
+>tr|E1BCV4|E1BCV4_BOVIN Nucleoporin 98 OS=Bos taurus OX=9913 GN=NUP98 PE=4 SV=2
+MFNKSFGTPFGGGTGGFGTTSTFGQNTGFGTTSGGAFGTSAFGSSNNTGGLFGNSQTKPG
+GLFGTNSFSQPATSTSTGFGFGTSTGTSNSLFGTASTGTSLFSSQNNAFAQNKPTGFGNF
+GTSTSSGGLFGTTNTTSNPFGSTSGSLFGPSSFTAAPTGTTIKFNPPTGTDTMVKAGVST
+NISTKHQCITAMKEYESKSLEELRLEDYQANRKGPQNQVGAGTTTGLFGSSPATSSATGL
+FSSSTTNSGFAYGQNKTAFGTSTTGFGTNPGGLFGQQNQTTSLFSKPFAQPTTTQTTGFS
+FGNTSTLGQPSTNTMGLFGVTQASQPGGLFGTATNTSTGTAFGTGASLFGQTSTGFGAVG
+STLFGNNKLTTFGTSTTSAPSFGTTSGGLFGNKPTLTLGTNTNTSNFGFGTNTSGNSIFG
+SKPGPGTLGTGLGAGFGTALGAGQASLFGNNQPKLGGPLGTGAFGAPGFNTTTATLGFGA
+PQAPVALTDPNASAAQQAVLQQHINSLTYSPFGDSPLFRNPMSDPKKKEERLKPTNPAAQ
+KALTTPTHYKLTPRPATRVRPKALQTTGTAKSHLFDGLDDDEPSLANGAFMPKKSIKKLV
+LKNLNNSSLFSPVNRDSEDLASPSDYPENGERFSFLSKPVDENHQQDGEDDSLVSRFYTN
+PIAKPIPQTPESAGNKHNSSNSVDDTIVALNMRAALRNGLEGSSEETSFHEESLQDDREE
+IENNTYHIHPAGIILTKVGYYTIPSMDDLAKITNEKGECIVSDFTIGRKGYGSIYFEGDV
+NLTNLNLDDIVHIRRKEVIVYLDDNQKPPVGEGLNRKAEVTLDGVWPTDKTSRCLIKSPD
+RLADINYEGRLEAVSRKQGAQFKEYRPETGSWVFKVSHFSKYGLQDSDEEEEERPSKTST
+KKLKTAPLPPAGQATPFQMALNGKPAPPPQSQSPEVEQLGRVVELDSDMVDITQEPVLDS
+MLEESVPEDQEPVSASTHIASSLGINPHVLQIMKASLLADEEDVDTVLDQRISHLPSKAD
+TSQEICSPRLPISATHSSKPRSLVSGLLQSKFTSGTFLSPSASLQECRTPRTSSLMNIPP
+TSPWSVPPPLTSVFTVPSPAPEFQLKTVGTRRQPGLVPLKKSVTYGKGKLLMDMALFMGR
+SFRVGWGPNWTLANSGEQLSGSLELENHQIADCMEYGFLPNPVAVKSLTESPFKVHLEKL
+SLRQKKLDKDLQLYQTSLELKLKHSTVHVDELCPLIVPNPGVAVIHDYADWIKEASRDLL
+EPQIVKHWSLTWTLCEALWGHLKELDSQLDEPSEYIQILERRRAFSRWLSSTASPQIEEE
+VSLTRKDNPMEAVFSYLTGKRISEACSLAQQSGDHRLALLLSQLVGSQSVRELLTMQLVD
+WHQLQADCFIQEERLRIFALLAGKPVWQLSEQKQINVCSQLDWKRSLAVHLWYLLPPTAS
+ISKALSMYEEAFQNTSDSDRYACCPLPSYLEGSGYVVEEEQDSQRPLQDVCFHLLKLYSD
+RHYDLNQLLEPRSVTADPLDYRLSWHLWEVLRALNYTHLSEQCEGVLQASYAGQLESEGL
+WEWAIFVLLHIDNSSTREKAVRELLTRHCQLLETPESWAKETFLTEKLCVPAEWIHEAKA
+VRAHMESDKHLEALYLFKAGHWNRCHKLVIRHLASDAIINENYDYLKGFLEDLAPLERSG
+LIQDWETSGLVYLDYIRVIEMLRHIQQVDCSGYELEQLHTKVTSLCNRIEQIQCYNAKDR
+LAQSDMAKRVANLLRVVLSLQHASDTTSDSTPDPQRVPLRLLAPHIGRLPMPEDYALEEL
+RSLTQSYLRELTVGSQ
+>tr|E1BPL4|E1BPL4_BOVIN Kirre like nephrin family adhesion molecule 2 OS=Bos taurus OX=9913 GN=KIRREL2 PE=4 SV=3
+MLVPVLLVLSFCLRGRAGLAPHFLQQPEDQVVLLGDEARLPCALGDYRGLVQWTKDGLAL
+GGERDLPGWSRYWISGNAASGQHDLHIRPVELEDQASYECQATQAGLRSRPAQLHVLVPP
+DPPQVLGGPSVSLVAGVPANLTCRSRGDAHPTPELLWFRDGIRLDGAIFRQTPLKEGTIG
+SVESILSLTPSSHDDGATLVCRARSQALPAGKDTAVTLSLQYPPVVTLSAEPQTVQEGEK
+VTFLCQATAQPPVTGYRWAKGGSPVLGARGPMLEIVADASFLTAPVSCEVSNAVGSANRS
+TALDVQFGPILQAKPKALSVDVGEDASFSCVWRGNPLPRVTWTRRGDAQVLASGPTLRLP
+AVGPEDAGDYVCRAEPGLSGRGGGSAEARLTVNAPPVVTALHSAPAFLRGPARLQCLVFA
+SPTPEAVVWSWDEGFLTAGSRGRFLVETFPAPEGLKGQGPGLISVLHISGTQESDFHRGF
+NCTARNRLGEGGTQVSLGRRDLLPTVRIVAGVAAMAMTLLMIITGVALCCWRHGRASFSK
+QKNLVRIPGSSDGSSSRGPEEETGISKDQGPIVHTDHSDLVLDEEGALETKDPTNGYYKV
+RGVSVSLSLGEAPGGGLFLPPSSPLGPPGTPTFYDFNPHLGMVPPCRLYRSRAGYLTTPH
+PRAFTSYIKPTSFGPPDLAPSTPPFPYAAFPTPSHPRLQTHV
+>tr|E1BKP1|E1BKP1_BOVIN Transmembrane protein 161B OS=Bos taurus OX=9913 GN=TMEM161B PE=4 SV=1
+MGVIGIQLVVTMVMASAMQKILPHYSLARWLLCNGSLRWYQHPTEEELRILAGKQQKGKS
+RKDRKYNGHIESKPLTIPKDIDLHLETKSVTEVDTLALHYFPEYQWLVDFTVAATVVYLV
+TEVYYSFVKPTQEMNISLVWCLLVLSFAIKVLFSLTTHYFKVEDGGERSVCVTFGFFFFV
+KAMAVLIVTENYLEFGLETGFTNFSDSAMQFLEKQGLESQGPVSKLTFKFFLAIFCSFIG
+AFLTFPGLRLAQMHLDALNLATEKITQTLLHINFLAPLFMVLLWVKPITKDYIMNPPLGK
+ESVPLMTEATFDTLRLWLIIVLCALRLAMMRSHLQAYLNLAQKCVDQMKKEAGRISTVEL
+QKMVARVFYYLCVIALQYVAPLVMLLHTTLLLKTLGNHSWGIYPESVSALPVDNSLPSNS
+VYSELPSADGKVKVTVTQITVALSSLKNIFTPLLFRGLLSFLTWWIAACLFSTSLFGLFY
+HQYLTVA
+>tr|A0A3Q1M134|A0A3Q1M134_BOVIN Alpha/beta hydrolase domain-containing protein 17C OS=Bos taurus OX=9913 GN=ABHD17C PE=4 SV=1
+MFGRRGHKHPGRTPVDWSWFQLCFYQQQSLWPRRSTLGLCSYPQLQGQERDALKIQQIRK
+ALCRLWVTIHECLLDISAVVMYGVSPENIILYGQSIGTVPTVDLASRYECAAVILHSPLM
+SGLRVAFPDTRKTYCFDAFPSIDKISKVTSPVLVIHGTEDEVIDFSHGLAMYERCPRAVE
+PLWVEGAGHNDIELYAQYLERLKQFISHELPNS
+>tr|A0A3Q1N4G2|A0A3Q1N4G2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MASVVPLKKLLEVELGELPSWIRMRDFTPSGIAGAFQRRYTKCVNQKRGSIAGLSLVLAA
+YAVFSYCRSYKELKHERLRRYY
+>tr|A0A3Q1LL13|A0A3Q1LL13_BOVIN FERM domain containing 5 OS=Bos taurus OX=9913 GN=FRMD5 PE=4 SV=1
+MCFRVKFYPADPAALKEEITRYLVFLQIKRDLYHGRLLCKTSDAALLAAYILQAEIGDYD
+PGKHPEGYSSKFQFFPKHSEKLERKIAEIHKTELSGQTPATSELNFLRKAQTLETYGVDP
+HPCKDVSGNAAFLAFTPFGFVVLQGNKRVHFIKWNEVTKLKFEGKTFYLYVSQKEEKKII
+LTYFAPTPEACKHLWKCGIENQAFYKLEKSSQVRTVSSSNLFFKGSRFRYSGRVAKEVME
+SSAKIKREPPEIHRAGMVPSRSCPSITHGPRLSSVPRTRRRAVHISIMEGLESLRDSAHS
+TPVRASSHGDTFLPHARSSRADSNERVAVIADEAYSPADSVLPTPVAEHSLELMLLSRQI
+NGATCSIEEEKESEASTPTAAKLEALGGELRALCQGHGRPEEEQAMVCLQNPLSGEPAH
+>tr|F1N156|F1N156_BOVIN Solute carrier family 25 member 47 OS=Bos taurus OX=9913 GN=SLC25A47 PE=3 SV=2
+MDFVAGAIGGVCGVAVGYPLDTVKVRIQTEAKYTGIWDCVRDTYHRERVRGFYRGLSLPV
+CTVSLVSSVSFGTYRHCLAHICRVRYGSADAKPAKTDITLSGFASGLVRVFLTSPTEVAK
+VRLQTQTQAQQRRRPSASGPLVPLAAPPPCPVPPAGPLPGPRYRGPLHCLATVAREEGLR
+GLYKGSSALLFRDGHSFATYFLSYATLCEWLTPAGQSRPDVSGVLVAGGCAGALAWAVAT
+PMDVIKARLQADGRGRQRYRGLLHCVLTSVREEGLRVLFKGLLLNCCRAFPVNMVVFVAY
+EAVLRLTRGLLT
+>tr|F1MGW3|F1MGW3_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC783671 PE=3 SV=2
+MSASSASNINSSIFIFTGFPGLEQYYPWFSVPFSLIYAVVFLGNCLVLHVVHTEPSLHKP
+VFYFLAMLALTDLFMGLSTVYTVLGTMWGLSQEIGLDACVSQTYFVHGLSFMESGVLLAM
+AFDRFIAICNPLRYTSILTNSRIIYFMVTILTRSSLSILPVIIRLKFFPYCRPHILSHSF
+CLHQDLLRLACSDIRFNSFYALALVVCTLLLDAVLILISYVFILHTVLAIASRKERLKAL
+ETCVSHLCAVLVFYIPIIGLTMVHRFGKHLSPLVHVLMGNIYILFPPMMNPIIYSVKTQQ
+IRSRMKKWFSLKM
+>tr|F1N7U5|F1N7U5_BOVIN Coiled-coil domain containing 57 OS=Bos taurus OX=9913 GN=CCDC57 PE=4 SV=3
+MASRRDKRLLGASVPVADMMPPPSEQDLNALLARKEEEWRALQAQRTQMQEVALQDTQRR
+LEEAQGKLRHLREDFIYNLQVLEERDRELERYDAAFAQARGLEEARQAEVSELKIEVAKL
+KQALASEAQQLEDLQQQYQQRLQEHRLELERVHSDRNNELDQQREQYGTLKWKLERRLKE
+LDGELGLQRQELLQEFESEMQKREHEFRLRADSMSSVVLAHELKIKLLNKELAALREAGA
+KAAESLQGAEVANAELEDRLQRRDWELRDLVAVKDARIKDLEGKLHSVQLTRKREEETFR
+RKHEELDHLARERDAVLMAAKSAHVEQLQAAEARALELQARCENLEVQLRRAEWKQVDAA
+KEKDAAIDKLREDASALKSSWDAQIAQLSKEMISKDLQVQSLQEEEMKLKAQLTRCQQDI
+GRYKQQLSLAVERERSLERDKVQLELDWQRRCEGVEREQYQQAEDLIQGLTTARDQVVAK
+LQETERRLCDREVVLKAVTRERDQALQALRTRGLLPEEEAQRPLSYQGEEINKSFPSSEI
+QQLKEQNTSLRNVIAQMRKEMEALSDQILPSARLGGETSQTNQPDPKAAADSAVPGYVLA
+LEAEMHNLKHKFKTLEEQLDVLDPSKTSLSCACAQPSVLASTDTTGGAVPVDRVSMGLAL
+RRLGDRTHLLSFLVARLRQKVLQEVLDVDTIQRELPHELDQVHLEALQLQKQVAELEKHL
+GSARKESGGTASRQQPKASDTAAVGGEVPADGGPAGTEDHGTQPLQVLSVPRLQRKLKEA
+ARKILRLRLEKEQLLELGNRLRAELGHLTGKPPHHPFHTPEAQGSGEAPNVCLGQWQPCL
+TTQDPRKAKKECFSECSGKSQARLAQTVCRKDARPGCTAGPGLRQHRSSTVTCRSAPQKE
+NRSPKPRLAQEFQEETGHHTRRSSSLASSSLQDTWKLLDLGSSPSGLTSQDDSPPEHPAP
+TACSLQHPEGSPTVTWAAFGVEGMKMEAQTRGKPTRHPRAHPPKHKGCQRPPKIRNYNSK
+D
+>tr|A0A3Q1MSB2|A0A3Q1MSB2_BOVIN A-kinase anchoring protein 12 OS=Bos taurus OX=9913 GN=AKAP12 PE=1 SV=1
+MGAGSSTEQRSPEQPEAGSATPAEPEPSGGGSAAEAAPGTPGDPAIAPADPATKLLQKNG
+QLSTVNSLAEQGELGLQEEALIGQEEEVTVTDVGQRESEDVSERDSDKEMAANSAVVQDI
+TKEGKEEMPEMMEPIPASESSVDELMQPPEPQANDVGFKKVFKFVGFKFTVKKDKTEKSD
+SVQLLTVKKDESEGAGESDGAGDHPEPSRETGDATPKDGELKQSTEKPEEAPQREPSCAE
+ISLQTESGQAAEEGKDEGEEKQEKESARPADSPTSPVASETASPFRKFFTQGWAGWRKKT
+SFRKPREEELEASEKKKEQEPEKAEENEKMEEPCEQPPAQEAPESTQDARLSAEYEKVEL
+PPEDQVQAPPEEKPAPLATEVFDEKVEIVAEVHVSTVEKDQEEPKAEAEETVELSPPEKS
+TETSADLQEAESAEMLKTEEDAGALSGDHSQATELSGDAKSPSTPPEGTVREAEMLSSQE
+RIKVQGSPLKKLFTSTGLKKLSGKKQKGKRGGDEESGEQPSASMDSPDSPDELKGVESSA
+SSPEEPEEITCLEKGVAEAPGQDGEAEEGTTSDGEKKREGGVTPWASFKKMVTPKKRVRR
+LSESDKEDEADKVKSATLSSTESAASEVQEEAKGNGEEPKPEEPKRKVDTSVSWEALICV
+GSSKKRARKASSSDDEAGPKPLGGDSQKAEEAGRDKEPGPEAAAAGSQDHEQPPGSSSPE
+PAGSPSEGEGVSTWETFKRLVTSRKKSKSKLEERSEDSVAGSGAEHAASEAEPGKEESWV
+SIRKFIPGRRKKRSDGKQEPAAVEEAGPAEANEDDADIPAVVPLSEYDAVEREKTEAQPA
+PKSQEGPEQKQVDVDVSEELSKSLVHTVSVAVVDGTRAITNIEERAPSWISASVTEPLEQ
+AEGEATPPSEEVLEREVVAEETPIVTKALPESQEVGDDTMASEVELTSEAVTAAETTEAS
+GAEETTDMVSAVSQLTDSPDTTEEPTPVQEVEGGVPDAEDQARRTREVLQAVAEKVREES
+QLPDDAIQTTQKGQAKILEKVEEAEEGSQALDLKEVMDGVSKVLVQDTETETLTQEKVVA
+EATAESLGEVPPGTDSAEARELASTCLIETGTGVEAETAPEQAVAPDSTETLTDSETNGS
+TPVADLDASNLSQPDTIMDVHEDGEVPAGTQSQVPEGEVPPAVKELPAASSDFQLQEERS
+SKMAEGLEHTDEEEGTVETVAILSKTEMIQETGQCFDEEAKEEPSMEGLALSADTEITEK
+KITEVVLEEDVTKKVEFQENNIELQSPAKSLPTPAEREMVVEGKRETVEVEATEGNEEKL
+EHEPAVAVCEELSKQLVQTVNVTIIDGEKEVVSFEGSSPLLASEEEACTGISVQSSEAAL
+ALIAAAVEEKVLGEAIKIVETTEALKSAEAHLVLEEESSEKDEEFPAQPGEEDVASTGTE
+SQAESIPTIVSITPGKGIDADLEGDETTSQKQELGGDGEQVGGQEGRESNTGEEDGKAES
+EISKLEKESCRLVESVIQTAVDRLGSTEETATDVQTQAQLAEADSQEAGQKMEKEESKPQ
+ACLVDETQAVEAKEAKEAKEESPLSTGEHAHLSVSKDANEASEKVAATSIEGSRVDDQRL
+EEVALPSQKKREILETESVLQDDGSAGFGERKKSPSESREDEKGDAAGDPGNQTSIPEDA
+EASGGSTKESLDTNGPKLKEKGDSQEEKVQSESEKEMKTQTQEETQNQERDLAKPEPTES
+>tr|E1BK26|E1BK26_BOVIN Harmonin OS=Bos taurus OX=9913 GN=USH1C PE=4 SV=3
+MQQEAFLQGGVAESFSHKTSVDFLIENDAEKDYLYDVLRMYHQTMDVAVLVGDLKLVINE
+PSRLPLFDAIRPLIPLKHQVEYDQLTPRRSRKLKEVRLDRLHPEGLGLSVRGGLEFGCGL
+FISHLIKDGQADSVGLQVGDEIVRINGYSISSCTHEEVINLIRTKKTVSIKVRHIGLIPV
+KSSPDEPLKWQYVDQFVSESGGGRSSLGSPGSQENKEKKVFISLVGSRGLGCSISSGPIQ
+KPGIFISHVKPGSLSAEVGLETGDQIVEVNGIDFSNLDHKEAVNVLKSSRSLTISIVAGA
+GRELFMTDRERLAEVRQRELQRQELLMQKRLAMESNKILQEQQEMERQRKKEIAQKAAEE
+NERYRKEMEQIVEEEEKFRKQWEEDWGSKEQLRSPKTITAEVHPIPLRKPKYDLGVDPEF
+DPADDLDGGTDKRGEQDFRKYEEGFDPYSMVRDDAPEGALDLALEGGVDSPIGKVVVSAV
+YEGGAAERHGGIVKGDEIMAINGKIVTDYTLAEAEAALQKAWNQGDWIDLVVAVCPPKEY
+DDELTFF
+>tr|A0A3Q1MK14|A0A3Q1MK14_BOVIN Voltage-dependent L-type calcium channel subunit beta-2 OS=Bos taurus OX=9913 GN=CACNB2 PE=4 SV=1
+MLVKLLLATSALHVAMLKTPKGKNVSWGSADSYTSRPSDSDVSLEEDREAVRREAERQAQ
+AQLEKAKTKPVAFAVRTNVSYSAAHEDDVPVPGMAISFEAKDFLHVKEKFNNDWWIGRLV
+KEGCEIGFIPSPVKLENMRLQHEQRAKQGKFYSSKSGGNSSSSLGDIVPSSRKSTPPSSA
+IDIDATGLDAEDNDIPANHRSPKPSANSVTSPHSKEKRMPFFKKTEHTPPYDVVPSMRPV
+VLVGPSLKGYEVTDMMQKALFDFLKHRFEGRISITRVTADISLAKRSVLNNPSKHAIIER
+SNTRSSLAEVQSEIERIFELARTLQLVVLDADTINHPAQLSKTSLAPIIVYVKISSPKVL
+QRLIKSRGKSQAKHLNVQMVAADKLAQCPPELFDVILDENQLEDACEHLADYLEAYWKAT
+HPPSSSLPNPLLSRTLATSTLPVSPTLASNSQGSQGDQRTDRGAPGRSASQAEEEHCPEP
+VKKAQHRSSTQHHNHRSGTSRGLSRQETLDSETQESRDSAYAEPKEEYSHEHADHYAPHR
+DHNHREEPHGGGEHRHREPRHRSRDPDREQDHNESNKQRSRHKSKDRYCDKDGEGLSRRR
+NEAADWNRDVYIRQ
+>tr|E1BM43|E1BM43_BOVIN Zinc finger and BTB domain containing 46 OS=Bos taurus OX=9913 GN=ZBTB46 PE=4 SV=1
+MNNRKEDMEIASHYRHLLRELNEQRQHGVLCDVCVVVEGKVFKAHKNVLLGSSRYFKTLY
+CQVQKTSEQATVTHLDIVTAQGFKAIIDFMYSAHLALTSRNVIEVMSAASFLQMTDIVQA
+CHDFIKAALDISIKPDASDELEAFEVGAPPGGGADALISAVMAGRSISPWLARRTSPANS
+SGDSAIASCHEGGSSYGKEDQEPKTEGPDDLASQPLWPGDVGCGPLHVKEEPLSPAHYGG
+SEPPSAMDGTIQNSFSEQTAGDGWQPTGRRKNRKNKDTVRHITQQVEEDSRAGSPLPSFL
+PTSGWPFSSRDSNADLTIAEASSSDSRGERPEFLAHVDEGLLGGEGSYLGAPLTPEKDDA
+LHQATAVANLRAALMSKNSLLALKADVLGEDSSLLLEYLPKGAHSLSLNEFTVIRKKFRC
+PYCSFSAMHQCILKRHMRSHTGERPYPCEICGKKFTRREHMKRHTLVHSKDKKYVCKLCS
+RVFMSAASVGIKHGSRRHGVCADCAGGGGAGSLDGGGAEGSPELFAGDGPYLEDPEDPRG
+EGEEELGEDEDDVGLAPEDTLLGDKEDDDSPRGPHSPTGGADKDFAWIS
+>tr|F1MX09|F1MX09_BOVIN Tetratricopeptide repeat protein 27 OS=Bos taurus OX=9913 GN=TTC27 PE=4 SV=1
+MSGVMWTPELAVLRGFPTQAERQQWKQEGVASLESGSFLQLLLEGNYKAILLNSLTQSIL
+NSATITEEKIDSYLEKQIVTFLDDSAELDKIRRQQKILLLGVSSLQLFVQSNWTGPLVDL
+HPQDFLPSVLFQQFSEIKALDAFLLSLLVLDGESIYSLTTKPILLLLARIILVNIRHKLT
+AIQSLPWWTLRCVNIHQQLLEERSPQLFALAEDCIDQVMKLEDLFVDDSGQCLAVQFHLE
+CAYIFLYYYEYKKAKDQFSIAKDICRLQIGLTGALGKRTRFQENYVAQLILDVRREGTVF
+SNCEFTPAPTPQEYLTKNLELNDDTVLNEIKLADCEQFQMPDLCAEELAVILGICTDFQK
+NNPVHKLTEEELLAFTSCLLSQPKFWAIQTSALILRTKLERGSTRRVERAMRQTQALADQ
+FEDKTASVLERLKIFYCCQVPPHWAIQRQLAGLLFELGCTNSALQIFEKLEMWEDVVICY
+ERAGQHGKAEEILRQELEKKETPSLYCLLGDVLRDHSYYDQAWELSRHRSARAQRSKGLL
+HLRSREFKECVECFERSLKINPMQLGVWFSLGCAYLALEDYGGSARAFQRCVTLEPDNAE
+AWNNLSTSYIRLKQKVKAFRTLQEALKCNYEHWQIWENYILTSTDVGEFSEAIKAYHRLL
+DLRDKYKDTQVLKILVRAVMDGMADRSGDVATGLRGKLQELFGRVTSRVTNDGEIWRLYA
+QVYGNGQSDKPDENEKAFQYLSKAYKCDTQSSCWEKNITSFKEVVQRALGLAHVAIKCSK
+NKSSPQEAIQVLSSVRLNLRGLLSKAKQLFTDAASGEIARELADEIAAMDTLVTELQDLS
+NQFRNQY
+>tr|E1BGC3|E1BGC3_BOVIN WAPL cohesin release factor OS=Bos taurus OX=9913 GN=WAPL PE=4 SV=1
+MTSRFGKTYSRKGGNGSSKFDEVFSNKRTTLSTKWGETTFMAKLGQKRPNFKPDIQEVPK
+KPKIEEENTGDPFGFDSDDESLPVSSKNLAKGSSYTESSEAAQLEEVTSVLEANSKISHV
+MGEDSVVSDKCLRLEDTLLGKEKSTNRVLEDDASRSSCAKLMTSDKVENFSEEHEKNSHH
+IYKNAEDSTKKHNAETTVASGYKADEIKENDTWNSQLGKRSESPSETSSIKGSVRTGLYE
+WDNDFEDSRSEDCILNLDSDPLLEMKDEDFKNRLENLNEAIEEDMMQSVLRPSNCRTYCR
+ANKAKSSQGASSFDKLMDGTSQALAKANSESSKDGLNQAKKGSVSCGTSFRGTVGRTRDY
+TVLHPSCLSVCNVTIQDTMERSMDEFTASTPADLGEAGRLRKKADIATSKTTTRFRPSNT
+KSKKDVKLEFFGFEDHDETGGDEGGSGSSNYKIKYFGFDDLSESEDDEDDDCQVERKTSK
+KRTKTAPSPSLQPPPESNDNSQDSQSSSNNAENLDFTEDLPGVPESVKKPPNKQGDKSKE
+NTRKIFSGPKRSPTKAVYNARHWNHPDSEELPAPPVVKPQSVTVRLSSKEQNQKDDGVFK
+APAPPPKVIKTVTIPTQPYQDIVTALKCRKEDKELYTVVQHVKHFNDVVEFGENQEFTDD
+IEYLLSGLKSTQPLNTRCLSVISLATKCAMPSFRMHLRAHGMVAMVFKTLDDSQHHQNLS
+LCTAALMYILSRDRLNMDLDRASLDLMIRLLELEQDASSAKLLNEKDMNKIKEKIRRLCE
+TVHNKHLDLENITTGHLAMETLLSLTSKRAGDWFKEELRLLGGLDHIVDKVKECVDHLSK
+DEDEEKLVASLWGAERCLRVLESVTVHNPENQSYLIAYKDSQLIVSSAKALQHCEELIKQ
+YNRAENSICLPDSKPLPQQNVTNHVGKAVEDCMRAIIGVLLNLTNDNEWGSTKTGEQDGL
+IGTAMNCVLQVPKYLPQEQRFDIRVLGLGLLINLVEYSARNRHCLVNMETSCSFDSSFCS
+GEGDDSLRIAGQVHAVQALVQLFLERERAAQLAESKTDELIKDAPTTQHDKSGEWQETSG
+EIQWVSTEKADGAEEKNKKEEDDEELDLNKALQHAGKHMEDCIVASYTALLLGCLCQESP
+INVTTVREYLPEGDFSIMTEMLKKFLSFMNLTCAVGTTGQKSISRVIEYLEHC
+>tr|F1MRM8|F1MRM8_BOVIN G-protein-coupled receptor family C group 5 member C OS=Bos taurus OX=9913 GN=GPRC5C PE=4 SV=1
+MAIHRTVLMCLGLPLFLLPGARAQEQAPPGCSPDLNPLYYNLCDRSEAWGIILEAVAGAG
+VVTTFVLTIILVASLPFVQDTKKRSLLGTQVFFLLGTLGLFCLVFACVVKPSFSTCASRR
+FLFGVLFAICFSCLVAHVLALHFLVRKNHGPRGWVIFLVALLLSLVEVIINTEWLIITLV
+RGAGTEGDALGNGSAGWVAVSPCAIANADFVMALIYVMLLLLCAFSGAWSALCGRFKRWR
+KHGVFILLTTTASIAIWVVWIVMYTYGNRQHNSPTWDDPTLAIALATNAWAFVLFYVIPE
+VSQVTRSSPEQSYQGDLYPTRGVGYETILKEQKGQSMFVENKAFSMDEPASAKRPVSPYS
+GYNGQLLTSMYQPTEMTLMHKAPSDGAYDVILPRATANSQVTGSANSTLRAEDIYAAQGR
+QEATLPKEGKNSQVFRNPYVWD
+>tr|A0A3Q1M0D3|A0A3Q1M0D3_BOVIN Hepatoma-derived growth factor OS=Bos taurus OX=9913 GN=HDGF PE=1 SV=1
+MSRSNRQKEYKCGDLVFAKMKGYPHWPARIDEMPEAAVKSTANKYQVFFFGTHETAFLGP
+KDLFPYEESKEKFGKPNKRKGFSEGLWEIENNPTVKASGYQSSQKKSCVEEPEPEPEATE
+GDGDKKGNAEGSSDEEGKLVIDEPTKEKNEKGALKRRAGDLLEDSPKRPKEAEDLEGEEK
+EGATLEGERPLPVEAEKNSTPSEPGSGRGPPQEEEEEEEEEEAAKEDAEAPGLRDHERAD
+>tr|A0A3Q1NNB6|A0A3Q1NNB6_BOVIN Basic leucine zipper nuclear factor 1 OS=Bos taurus OX=9913 GN=BLZF1 PE=4 SV=1
+FQIACFVFHPTAILTPSPIRGAGDGMETEEPPKSIEVTPGIQPITHHILQSPRKKSVPSE
+SPGVLQLGKILAEKAVEVEAVRILVPKAAITHNIPYKNAKVKSLGHHKGELLGQPEGVRE
+PRKELSEVKNTLEKLKNSERRLLQDKEGLSNQLRVQSEVNRELKKLLVASVGDDLQYHFE
+RVAREKNQLILENEALGRNIAQLSEQLERMSIQCDVWRSKFLASRVMADELTNSRAVLQR
+QNRDAQSAIQDLLSEREQFRQEMIATQKLLEELLVSLQWGREQTYYPSGQPHSTAELALT
+NHKLAKAVNSHLLGNVGTNGQKKVPSTVEFCSTPAEKMAETVLRILDPVTCTESSPDNPF
+SESSPTTLLATKKNIGRFHPYTRYENITFNCCNHCQGELIAL
+>tr|F1N7W0|F1N7W0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=MGC152281 PE=4 SV=1
+MSLWSVLSSPVWGPGSALLILVLLLRLSVQIWHKFYLWDLQHCSTDLTGKTAVVTGANSG
+IGKAVSQELAHRGARVILACRSRERGQQALAEIQATSKSNRLLLGEVDLSSMASIRSFAQ
+RLLQECPEIHLLVNNAAVCGFPTTLTPEGLDLTFATNYTGPFLLTNLLQGALQRAGSARV
+VNVSSFRQSHGYIDEDHLIGAGRPLTFNQNYDCSKLLLASFTGKLAQRLQGTGVTVNSVD
+PGVVYTKIMKHFSWSYRFLFWLLSFFFKDSKQGAVPVLYLSLAKELDGISGKHFSSSCVI
+TLPPEAAQDPHVAQSLWNTSVRLTNLDKMD
+>tr|F1MIZ8|F1MIZ8_BOVIN Rho GTPase-activating protein 7 OS=Bos taurus OX=9913 GN=DLC1 PE=4 SV=3
+MGDSEAHVMARPLRAPLRRSFSDHIRDSTARALDVIWKNTRDRRLAEIEAKEACDWLRAA
+GFPQYAQLYEDLLFPIDISSVKREHDFLDRDAIEALCRRLNTLNKCAVMKLEISPHRKRS
+EDSDEDEPCAISGKWTFQRDSKRWSRLEEFDVFSPKQDPIPGSPDAVHLKSAPSHENMQT
+DLSDRQEVASVHSTGSLTTHAPQRGEAAPARTNSVLSVCSSGTFVGNDDSFCSLPSPKEL
+SSFSFSMKGHEKAAKSKTHSLLKRMESLKLKGSHHSKHKAPSKLGLIISGPILQEGVDEE
+KLKQLNCVEISALNGNHINVPMVRKRSISSSTQTSSSSSQSETSSNVSTPSPVTRTRSLS
+ACNKRGGMYLEGFDPFNQSTFNNVMEQNCKNRESYPEDTVFYIPEDHKPGTFPKALSNGS
+FSPSGNNSSVNWRTGSFHGPGHISLRRENSSPKELKRRNSSSSVSSRLSIYDNVPGSILY
+SSSGDLADLENEDIFPELDDILYHVKGMQRIVNQWSEKFSDEGDSDSALDSVSPCPSSPK
+QIHLDVDNDRATPSDLDSTGNSLNEPEEPSDIPERRDSGVGASLTRSNRHRLRWHSFQSS
+HRPSLNSVSLQINCQSVAQMNLLQKYSLLKLTALLEKYTPSNKHGFSWAVPKFMKRIKVP
+DYKDRNVFGVPLTVNVQRTGQPLPQSIQQAMRYLRNHCLDQVGLFRKSGVKSRIQALRQM
+NESTIDCVNYEGQSAYDVADMLKQYFRDLPEPLMTNKLSETFLQIYQYVPKDQRLQAIKA
+AIMLLPDENREVLQTLLYFLSDVTAAVKENQMTPTNLAVCLAPSLFHLNTLKRENSSPRV
+MQRKQSLGKPDQKDLNENLAATQGLAHMIAECKKLFQVPEEMSRCRNSYTEQELKPLTLE
+ALGRLCNDDSADYQHFLQDCVDSLFKEVKEKFKGWVSYSTSEQAELSYKKVSEGPPLRLW
+RATIEVPATPEEILKRLLKEQHLWDVDLLDSKVIEILDSQTEIYQYVQNSMAPHPARDYV
+VLRTWRTNLPKGACALLLTSVDHDRAPVVGVRVNVLLARYLIEPCGSGKSKLTYMCRADL
+RGHMPEWYTKSFGHLCAAEVVKIRDSFSHQNTETKDTKSR
+>tr|E1BAL1|E1BAL1_BOVIN Tubulin polyglutamylase complex subunit 1 OS=Bos taurus OX=9913 GN=TPGS1 PE=4 SV=3
+MAALPVPLPSAKMAAVEKRRQAVAQATSFTDSGRPGVPRTAATAESEEDFLRQVGVTEML
+RAALLKVLEARPEEPIAFLAHYFENMGLRSPANGGAGEPPGQLLLQQQRLGRALWHLRLA
+HHSQRTAFNNNVGVAYECLSASGRKKKPGLDGRTYSELLKRICRDGEAPEEVVAPLLRKI
+QCRDHEAVPLAVFRAGMLTCFVLLEFVARAGALYRLLEDPGLAMADRRLGQAVLDTLEGA
+LQASDDTAPARYLEAGSRLGPDSLALAMDRALVARRPSAPMTREEFLEKAAALFIAKVKP
+VG
+>tr|F1MSX5|F1MSX5_BOVIN Tumor necrosis factor receptor superfamily member 1A OS=Bos taurus OX=9913 GN=TNFRSF1A PE=4 SV=1
+MGLPTVPGLLLPLVLPALLADVYPAGVQGLVPHPGDLEKRESPCPQGKYNHPQNSTICCT
+KCHKGTYLYNDCPGPGRDTDCRVCAPGTYTALENHLRRCLSCSRCRDEMFQVEISPCVVD
+RDTVCGCRKNQYREYWGETGFRCLNCSLCPNGTVNIPCQERQDTICHCHMGFFLKGAKCI
+SCHDCKNKECEKLCPTRPSTGKDSQDPGTTVLLPLVIVFGLCLASFASVVLACRYQRWKP
+KLYSIICGQSTLVKEGEPELLVPAPGFNPTTTICFSSTPSSSPVSIPPYISCDRSNFGAV
+ASPSSETAPPHLKAGPILPGPPASTHLCTPGPPASTHLCTPGPPASTHLCTPVQKWEASA
+PSAPDQLADADPATLYAVVDGVPPSRWKELVRRLGLSEHEIERLELENGRHLREAQYSML
+AAWRRRTPRREATLELLGRVLRDMDLLGCLENIEEALGGAARLASEPRLLR
+>tr|E1B8J6|E1B8J6_BOVIN Family with sequence similarity 83 member H OS=Bos taurus OX=9913 GN=FAM83H PE=4 SV=2
+MSILVPGPNMARRSQSSSQGDNPLAPGYLPPHYKEYYRLAVDALAEGGPEAYSRFLASEG
+APAFLCPEELEHVSRHLRPPQHVAPEPPDGSPPNLDFDGSSGTYWPVNSDQAVPELDLGW
+PLTFGFQGTEVTTLVQPPPPDSPSIKDEARRMIRSAQQVVAVVMDMFTDVDLLSEVLEAA
+ARRVPVYILLDEMNAQHFLDMADKCRVNLHHVDFLRVRTVAGPTYYCRTGKSFKGHVKEK
+FLLVDCAVVMSGSYSFMWSFEKIHRSLAHVFQGELVSSFDEEFRILFAQSEPLVPSAGAL
+ARMDTYALAPYAGAGPLMGSQMPGAPTPFSFPKRAHLLFPPPREEGLGFPSFLDPDRHFL
+SAFRREESSRMPGGALEPHTGLRPLSRRLDAEAGPGGELSGPRGFFQARHLEMDAFKRYS
+YAAADGAGAVENLAAARQVSRQTFLSHGDDLRFQTSHFHRDQLYQQHYQWDPQLAPTRPQ
+GLFEKLRAGRPGFPDHDEFMLGTGPRFPELGLDGHQRLDYVPSSASREVRHGSDPAFGPG
+PRGLEPGGAPRPNLGQRFSCQAMTRLGPEMAPEPEPEHRGGPEGRAGLRHWRLTSYLSGC
+HSEDAGDEGLPTPMETEAYDDDVLVSGGRATAGDLLPSAFRVPAPFPGKGPAPGSGSGGG
+DGPEREGLEEVGLAKQDSFRSRLNPLIQRSSRLRSSLIFSASQGEGTGGPTGASTEKVQL
+LHKEQTVSEMLGPGNEAVRSAASTKVAELLEKYKGPSRDPGGVGTAVTAASHSKAVVSQA
+WREEVSAPGGGSGSERRSLESCLLDLRESFAQQLHQEAERQPGAATLASTQLLDTLGRGS
+SGTDRLPSRFLSTQGHSTSPQGRDSPPPEGPGGQQSEPKGSPTLAYPERKGSPTPGFPTR
+RGSPTAGFTEQKGSPTSAYPERRGSPVPPVPERRGSPVPPVPERRGSLTLPFSGESPKAG
+PTEEAVGGPMEVLRKGSARLRQLMSPKGERRADDEGSFPTPQENGQPESPQWPSLVRVDS
+TEAAAEERGPRARVASATANALYSSNLRDDTKAILEQISAHGQKHRGVPAPGPTPAHSSP
+ELGHSPVAGGLAPDMSDKDKCSAIFRSDSLGTQGRLSRTLPAGAEERDRLLRRMESMRKE
+KRVYSRFEVFCKKEEPGALGAAEGPAEEDARDSKVGKFMPKILGTFKSKK
+>tr|F1N7H2|F1N7H2_BOVIN Methyltransf_11 domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=3
+MALTIFILRLAVCILAFPMYLLDFLGLWNWICKQWFPYFLARFTVMYNKQMESKKQELFS
+NLREFTGPSGKLSLLELGCGTGANFKFYPSGCQVTCVDPNPNFEKFLIKSIAQNRHLQFE
+RFIVAAGENMHQVASGSMDVVVCTLVLCSVKNQEQILQEVCRVLRPVSPSHPLSSPSPPA
+PNPSQHQCNRCWQFNL
+>tr|E1B742|E1B742_BOVIN P2X purinoceptor OS=Bos taurus OX=9913 GN=P2RX6 PE=3 SV=3
+MGSPGTGVGWGLLDYKTEKYVMTRNWRVGALQRLLQLGVVVYVVGWALLTKKGYQEQDLD
+PQVSVITKLKGVSVTRIEELGNRLWDVADFVKPPQGENVFFLVTNFLATPGQVQGRCPEH
+PSIPLANCWADQDCPEGETGTHSHGIKTGQCVVFNGTHRTCEIRGWCPVESGAVPVKPLL
+LQAENFTLFIKNTVTFSKFNFSRSNALDTQDPTYFKLCHYDPHSSPACPVFRIGDLVAAA
+GGVFEDLALLGGAVGVHVRWDCDLDSRGADCRPQYSFQLQERSYNFRTATHWWESSGVEA
+RSLLKLYGIRFDILVTGRAGKFGLIPTLISLGTGAAWLGVITFLCDLLLLYVDGEAHFYW
+RTKYEEVRCAWGLGAACLGLWPEPVCCHHPQVKAPKRTARPEQTELAPAPPRPAVQGP
+>tr|A0A3Q1M7J4|A0A3Q1M7J4_BOVIN EGF-like domain-containing protein OS=Bos taurus OX=9913 GN=TGFA PE=4 SV=1
+MVTSAGQLALFALGIFLAVCQALENSTSALSADPPVAAAVVSHFNDCPDSHSQFCFHGTC
+RFLVQEEKPACVCHSGYVGARCEHADLLAVVAASQKKQAITALVVVSIVALAVLIITCVL
+IHCCEVRKHCEWCRALICRHEKPSALLKGRTACCHSETGKEAASREDFSLRRHCWVEKRT
+ACFKSGQKFLEGEAERREKKKRTFILPSQIQFIPMCLFLRRWLCYPYL
+>tr|A0A3Q1LUN4|A0A3Q1LUN4_BOVIN Parkin coregulated like OS=Bos taurus OX=9913 GN=PACRGL PE=4 SV=1
+MQKSEFHRGVQMRSRLTDNCDQRTSSSAQVKHGTTVQQSKSSSSTSSPESARKLHPRPSD
+KLNPKTINPFGEQSRAPSAFAAIYSKGGIPCRLVHGSVKHRLQWECPPEKLPFDPLLITL
+AEGLRETKHPYTFVSKEGFRELLLVTGAPEKAVPLLPRLIPVLKAALVHVDDEVFERGLN
+ALVQLSVVVGPALNDHLKHLLTSLSKRLRDKKFKEPITTALQKLEQHGGSFEVCP
+>tr|F1MW68|F1MW68_BOVIN Cathepsin Z OS=Bos taurus OX=9913 GN=CTSZ PE=1 SV=1
+MASSGPLVPPLLLLLVLLAGAARAGLHFRPGRGCYRPLRGDRLTQLGRRTYPRPHEYLSP
+SDLPKSWDWRNVNGVNYASVTRNQHIPQYCGSCWAHGSTSAMADRINIKRKGAWPSTLLS
+VQHVLDCGDAGSCEGGNDLPVWEYAHRHGIPDETCNNYQAKDQECDKFNQCGTCTEFKEC
+HVIKNYTLWKVGDYGSLSGREKMMAEIYTNGPISCGIMATEKMSNYTGGIYSEYNDQAFI
+NHIVSVAGWGVSDGMEYWIVRNSWGEPWGEHGWMRIVTSTYKGGEGARYNLAIEESCTFG
+DPIV
+>tr|F6PU87|F6PU87_BOVIN Interleukin 27 OS=Bos taurus OX=9913 GN=IL27 PE=4 SV=2
+MGQTAGNLGWRLSLLLLFLLLARAGVWGFPRPPGRPPLSLQELQREFKVSLHLARKLLSE
+VRVQAHHFAESHLPGVNLDLLPLAEQLPNVSTTFQAWRGLSALSRIDLVMEWMETGVVLG
+MGPREFWTSSERMQLQATRLDLRDLQQHLRFQVLAAGFNLPEEHENEEEKGLLPGALGAP
+LQISAQVSWSRFLYTYRLLHSLELLLSRTVRDLLLLSRAGNSVQALGFPTPSSQP
+>tr|A0A3Q1NHQ6|A0A3Q1NHQ6_BOVIN Protrudin OS=Bos taurus OX=9913 GN=ZFYVE27 PE=4 SV=1
+MQTSEREGCGPEVSPSTVPEATLESLPVPTKLPAFDLFNLVLSYKRLEVYLEPLKDAGDG
+VRYLLRWQTPLCSLLTCLGLNVLFLTLNEGAWYSVGALMISVPALLGYLQEGCQARLSES
+ELMRRKYHSVRQEDLQRVRLSRPEAVAEVKSFLIQLEALLSRLCGTCEAAYRVLHWENPA
+VSSQFYGALLGTVCMLYLLPLCWVLALLNSTLFLGNVEFFRVVSEYRASLQRRMNPKQEE
+SAFESPPPSDAGGKGALVDCTPAPTPTEDLTPGSVEEAEEAEPDEEFKDAIEETHLVVLE
+DDEGAPCPAEDELVLQDNGFLSKNEVLRSKVSRLTERLRKRYPTNNYGSCTGCSATFSVL
+KKRRNCSNCGNIFCSRCCSFKVPRSSMGATGEWCRLGVGVRLPLLRGVLHVGRSQGQ
+>tr|F1MWN8|F1MWN8_BOVIN Cilia and flagella associated protein 58 OS=Bos taurus OX=9913 GN=CFAP58 PE=4 SV=2
+MEKTEKLVLEETAYEEIERDFQEVLNELSGDRSLEKFRVEYEKLHAVMKKSYDNEKRLMA
+KCRELNAEIVVNSAKVATALKLSQDDQTTIASLKKEIEKAWKMVDSAYDKEQKAKETILA
+LKEEIVNLTKLVEQGSGLSMDQDSNIRDLLKFKEEVTKERDQLLSEVVKLRECLAQTIEQ
+QQEMERSREEAEQAIIQFQQEIQQRQNEASRETRKKEKLEKELRQIQMDMDSRQTEIRAL
+QQYVQKSKEELQRLEQQLKEQKILNERAAKELEQFQMRNAKLQQENEQHSLVCEQLSQEN
+QQKALELKAKEEEVHQMRLDIGKLNKIREQIHKKLHQIEDQKGEVEQHKETLKNQILGLE
+REVEASKKQAELDKKAMDELLRERDILNKNMLKAVSATQKQIDLVKLHEQAKRNLEEEIQ
+NYKEEAQKQRKIIFQLEKERDRYINEASDLTQKVLMNMEDIKVREMQIFDYRKKIAESET
+KLKQQQNLYEAVRSDRNLYSKNLVEAQDEITEMKRKLKIMTHQVDQLKEEISAKESALVK
+LHLEQQRIEKEKETLKAELQKLRQQALETKHFIEKQEAEERKLLRIIAEADGERLRQKKE
+LDQVISERDILGSQLVRRNDELALLYEKIKIQQSVLNKGESQYNQRLEDMRILKLEIKKL
+RREKGILARSVANVDELRQELFHTQREFLKERTRCRALEEELENPMNVHRWRKLEASDPS
+TFELIQKIHTLQKRLISKTEEVVEKELLLQEKEKLYVELKHILARQPGPEAAEQLQIYRH
+TLREKTKQLKVLSSELNMYESQSQEYKYEIEKLSNELLNLKKKYLAQKRKEYIQKISMED
+TFSMAKPSGPRFTGGGFPLSKSSKAKS
+>tr|E1B8P6|E1B8P6_BOVIN Tetratricopeptide repeat domain 17 OS=Bos taurus OX=9913 GN=TTC17 PE=4 SV=3
+MANRRGSLPLPVRVAGPGGGKMAVAVGVRGRCELPPCSSPGWFLSLSALLSVAARGAFAT
+THWVVTEDGKIQQQVDSPMNLKHPHDLVILMRQETTVNYLKELEKQLVAQKIHIEENEDR
+DTGLEQRHNKEDPDCIKAKVPLGDLDLYDGTYITLESKDISPEDYIDTESPVPPDPEQPD
+CTKILELPYSIHAFQHLRGVQERVNLSAPLLPKEDPIFTYLSKRLGRSIDDIGHLIHEGL
+QKNSSSWVLYNMASFYWRIKNEPYQVVECAMRALHFSSRHNKDIALVNLANVLHRAHFSA
+DAAVVVHAALDDSDFFTSYYTLGNIYAMLGEYNHSVLCYDHALQAKPGFEQAIKRKHAVL
+CQQKLEQKLEAQHRSLQRTLNELKEYQKQHDHYLRQQEILEKHKLIQEEQILRNIIHETQ
+MAKEAQLGNHQICRLVNQQHSLHCQWDQPVRYHRGDIFENVDYVQFGEDSSTSSMMSVNF
+DVQANQSDISDLVKSSPVAHSVLWIWGRDSDAYRDKQHILWPKRADCAESYPRVPIGGEL
+PTYFLPPENKGLRIHELNSDDYSSEEEAQTPDCSITDIRKSQTLSYLVKELEVRMDLKAK
+MPDDHARKILLSRINNYTIPEEEIGSFLFHAINKPNAPVWLILNEAGLYWRAVGNSTFAI
+ACLQRALNLAPLQYQDVPLVNLANLLIHYGLHLDATKLLLQALAINSSEPLTFLSLGNAY
+LALKNISGALEAFRQALKLTTKCPECENSLKLIRCMQFYPFLYNITSSVCSGTVVEESNG
+SDEMENSDETKMSEEILALVDEFQQAWPLEGFGGALEMKGRRLDLQGIRVLKKGPQDGIA
+RSSCYGDCRSEDDEATEWITFQVKRVKKPKGDHKKTSGKKVESSQTEDVHRNRASLEITG
+PKVASPGPQGKKRDYQSLGWPSPDECLKLRWVELTAIVSTWLAVSSKNIDITEHIDFATP
+IQQPAMEPVCNGNLPTSMHTLDHLQGVSNRASLHYTGESQLTEVLQNLGKDQYPQQSLEQ
+IGTRIAKVLEKNQTSWVLSSMAALYWRVKGQGKKAIDCLRQALHYAPHQMKDVPLISLAN
+ILHNAKLWNDAVIVATMAVEIAPHFAVNHFTLGNVYVAMEEFEKALVWYESTLKLQPEFV
+PAKNRIQTIQCHLMLKKGRRSP
+>tr|F1MH65|F1MH65_BOVIN Filensin OS=Bos taurus OX=9913 GN=BFSP1 PE=3 SV=2
+MYRRSYVFQTRKEQYERAEEAPRAAEPDRLAEARAAAPNLAALQGLGERVAAHVQRARAL
+EQRHAVLRRQLDAFQRLDELAGPEDALARHVEGNRQRARDLAAERTRLERQGAEAQRALD
+EFRSKYENECECQLLLKEMLERLNKEADEALLRNLRLQIEAQFLQDDISAAKDRYKKNLL
+EIQTYVTILQQIIQTTPQAAAITSGMREEKLLTEREAAALQCQLEDGREMICLLQAQRTE
+LQAQTAALEQAIRDAHECYDDEIQLYNEQIDTLRKEIEEAERSLERSSYDCRQLVVVQQT
+LRNELDRYHRIIENEGNRLSSAFIETPITLYTASHGASLSPRHGGKDLTRAVQDITAAKP
+RLKGLPKNLPRKKEMVAKDRADEILEETLLRGPEDMKPGRVVIKEEGESKLEPGDEEASP
+PTQEGAPEDVPDGGKISKAFEKLGKMIKEKVKGPKEPEPPADLYTKGRYVMVSGDGSFVD
+PGFCVFSVPAKGGVVVSKGDDSVPPDSGVEPSPQQPEPPLEEGQGPPQEKEDGLKEEGGP
+PEGKGEPPEGKGDSVKEEGGPPEGKGDGVKEEGGPPEGKGDGVKEEGGPPEGKGDGVKKE
+GEPPEGKGEGLKEEEGPLQKKEDGRPPTPHPADKGDEKNAKELKGLQGKQDDQKEEGARG
+PCPMVAPGPEGPSTPRSQGPQVILGGSEGHGARSGSRLARSPPRKLAYEKVEVMESIEKF
+STESIQTYEETAVIVETMIEKTKANKKKLGEKGSSSA
+>tr|E1BNT4|E1BNT4_BOVIN Ring finger protein 43 OS=Bos taurus OX=9913 GN=RNF43 PE=4 SV=1
+MSGGHQLQLAALWPWLLMATLQAGFGRTGLVLAAAVESERSAEQKAIIRVIPLKMDPTGK
+LNLTLEGVFAGVAEITPAEGKLMQSHPLYLCNASDDDNLEPGFISIVKLESPQRAPRPCL
+SLASKARMAGERGASAVLFDITEDRAAAEQLQQPLGLTWPVVLIWGHDAEKLMEFVYKNR
+KAHVRIELKEPPTWPDYDVWILLTVVGIIFVVILALVLRIRCRPRHSRPDPLQQRTAWAI
+SQLATRSYRAGCRGARKEWPDSGSSCSSAPVCAICLEEFSEGQELRVISCLHEFHRTCVD
+PWLHQHRTCPLCMFNIVEGDSLSQSLGPSRAYQEPGRRLHLIRQHPGHAHYHLPAAYLLG
+PSRSAVARPTRPGPFLPSQEPGVGARHHRQPRTTHPRAPGEPQRLAVAPRPYAPGWGLSH
+LRCTSQHPATCPAPPRRTRPHDSSGSGESYRTERSGYLADGPASDSSSGPCHGSSSDSVV
+NCTDVSLQGIHGSSSTFRSSLSSDFDPLVYCSPEGEPPGKAAQPSMASRPRSLDSVVPVG
+ETQVSSHIHYHRHRHHHYRRRFRWHGRKADPETAVPPSRPAVPRTQLQPEPPSPDLHATR
+CSPAALSGQPPAPQRPRALTEPAPGPPDASSSSPAASSLFHLQKSSLSVRHPQRRRRGGP
+LEPTPASRSQDLTTHPTCQIFPHYGPSLAHPWSPEAHPLFFGHPGLERRLLPEAPGPTYP
+SSQPVWLCLTPRQALGPHPSGEGPSAWSSGNPEGRPCPYPHCQVLPAQPGTEEELEELCE
+QAV
+>tr|A0A452DHU5|A0A452DHU5_BOVIN 28S ribosomal protein S29, mitochondrial OS=Bos taurus OX=9913 GN=DAP3 PE=4 SV=1
+MAAGAAAAERRRRQLLGREGAKGASCPPTSHSSLLAFSPFVLPPHPTRAAERARPPARAR
+VYLGLSLAAPTNHRLRPPCAPASQYALPLGRLVAQSSPSPRPLSSQLWRGPSRVPSAARA
+QASSSTSSPLLPPFPAPTATNRRHGRRGLLLLRPPRHTLHPSRVRLPQSPPLDCSIPVGC
+RSGGEDDRRSGQSLPLGHELPRATERNLWLFTSLAAAPWRRSFLFWPRPGRGERVGGGLA
+PLRWRVVGGCGGCALSSFLPYDPSLLLRCGTRGVETALDSVCRLPGRGSWFVTRTGIMLK
+GMTRLVSRVHKLDPGRFSHLGTQAPQCPVAHLDNQVPTERTRAISRTLENDPAKHGEQHV
+GQHYNISIQELKTVFPHGLPPRFVMQVKTFNEACLMVRKPALELLHYLKNTNFAHPAVRY
+VLYGEKGTGKTLSLCHIIHFCAKQDWLILHIPDAHLWVKNCRDLLQSTYNKQRFDQPLEA
+SIWLKNFKTANERFLSQIKVQDKYVWNKRESTEKGSPLAEVVEQGIMRVRNATDAVGIVL
+KELKRQSSLGVFRLLVAVDGVNALWGRTTLKREDKSPITPEELALIYNLRKMVKNDWQGG
+AIVLTVSQTGSLFKPRKAYLPQELLGKEGFDTLDPFIPILVSNYNPKEFEGCIQYYLENN
+WLQHEKAHTEEGKKELLFLSNRNPGLLERLCAYL
+>tr|A0A3Q1LKB0|A0A3Q1LKB0_BOVIN Lipopolysaccharide-binding protein OS=Bos taurus OX=9913 GN=LBP PE=4 SV=1
+MVTSTGTLPSLLLGTLLTFTSGALGANPGLVVRITDQGLEYVAQEELLALQSKLHKVTLP
+DFNGDVRIKHFGSVDYRFHSLNIQSCKLLGSALKLLPNQGLHFSISDSFIQVTGDWKVRK
+RILRLDGSFDVKVKGITISVNLLLDSEPSGRPKVAVSSCSSHIRDVEVHISGDLGWLLNL
+FHNQIESRFRRVLESKICEIIEDSVTSELQPYLQTLPVTTEIDHLAGLDYSLMGAPQATA
+QMLDVMFKGEIFSRDDRFPVAFLAPVMNLPEEHSRMVYFAISDYAFNTASLVYHKAGFLN
+FTITDDVIPPDSSIRLNTKSFRAFVPRIARLYPNTNLELQGAVISAPCLNFSPGNLSTAA
+QMEIEAFVLLPNSVKEPVFRLSVVSLEHNAHISESSLICYRIQVELKESKVGRFNVELLE
+ALLNYYLLNNFYPKVNDKLAEGFPLPLLRKIQLYDPILQIHKDFLFLGTNVRYLRV
+>tr|F1N7N8|F1N7N8_BOVIN Ras GTPase-activating protein 1 OS=Bos taurus OX=9913 GN=RASA1 PE=4 SV=3
+MMAAEAGGEEGGPVTAGAAGGGAAAASGAYPAVCRVKIPAALPVAAAAPFPGLAEAGVAA
+TLGGGAALGSGFLGPGSVAGTPGGVGLSAGGAAAGVAGVAAAAAGAGGEMAFAKGTTSLP
+TETFGAGGGFPPLPPPPPQLPTLGAGLGTVDEGDSLDGPEYEEEEVAIPLTAPPTNQWYH
+GKLDRTIAEERLRQAGKSGSYLIRESDRRPGSFVLSFLSQTNVVNHFRIIAMCGDYYIGG
+RRFSSLSDLIGYYSHVSCLLKGEKLLYPVAPPEPVEDRRRVRAILPYTKVPDTDEISFLK
+GDMFIVHNELEDGWMWVTNLRTDEQGLIVEDLVEEVGREEDPHEGKIWFHGKISKQEAYN
+LLMTVGQVCSFLVRPSDNTPGDYSLYFRTSENIQRFKICPTPNNQFMMGGRYYNSIGDII
+DHYRKEQIVEGYYLKEPVPMQDQEQVLNDAVDGKEIYNTIRRKTKDAFYKNIVKKGYLLK
+KGKGKRWKNLYFILEGSDAQLIYFESEKRATKPKGLIDLSVCSVYVVHDSLFGRPNCFQI
+VVQHFSEEHYIFYFAGETPEQAEDWMKGLQAFCNLRKSSPGTSNKRLRQVSSLILHIEEA
+HKLPVKHFTNPYCNIYLNSVQVAKTHAREGQNPVWSEEFVFDDLPPDINRFEITLSNKTK
+KSKDPDILFMRCQLSRLQKGHATDEWFLLSSHIPLKGIEPGSLRVRARYSMEKIMPEEEY
+SEFKELILQKELHVVYALSHVCGQDRTLLASILLKIFLHEKLESLLLCTLNDREISMEDE
+ATTLFRATTLASTLMEQYMKATATQFVHHALKDSILRIMESKQSCELSPSKLEKNEDVNT
+NLAHLLNILSELVEKIFMASEILPPTLRYIYGCLQKSVQHKWPTNTTMRTRVVSGFVFLR
+LICPAILNPRMFNIISDSPSPIAARTLTLVAKSVQNLANLVEFGAKEPYMEGVNPFIKSN
+KHRMIMFLDELGNVPELPDTTEHSRTDLCRDLAALHEICVAHSDELRTLSNERGAQQHVL
+KKLLAITELLQQKQNQYTKTNDVR
+>tr|F1N5W5|F1N5W5_BOVIN Serine/threonine kinase 26 OS=Bos taurus OX=9913 GN=STK26 PE=4 SV=2
+MAHSPVAVQVPGMQNNIADPEELFTKLERIGKGSFGEVFKGIDNRSQQVVAIKIIDLEEA
+EDEIEDIQQEITVLSQCDSSYVTKYYGSYLKGSKLWIIMEYLGGGSALDLLRAGPFDEFQ
+IATMLKEILKGLDYLHSEKKIHRDIKAANVLLSEQGDVKLADFGVAGQLTDTQIKRNTFV
+GTPFWMAPEVIQQSAYDSKADIWSLGITAIELAKGEPPNSDMHPMRVLFLIPKNNPPTLV
+GDFTKSFKEFIDACLNKDPSFRPTAKELLKHKFIVKNSKKTSYLTELIDRFKRWKAEGHS
+DDESDSDGSDSESTSRENNTHPEWSFTTVRKKPDPKKLQNGAEQDLVQTLSCLSMIITPA
+FAELKQQDENNASRNQAIEELEKSIAVAEAACPGITDKMVKKLIEKFQKCSTDESP
+>tr|A0A3Q1MUX7|A0A3Q1MUX7_BOVIN PDS5 cohesin associated factor B OS=Bos taurus OX=9913 GN=PDS5B PE=4 SV=1
+MAHSKTRTNDGKITYPPGVKEISDKISKEEMVRRLKMVVKTFMDMDQDSEEEKELYLNLA
+LHLASDFFLKHPDKDVRLLVACCLADIFRIYAPEAPYTSPDKLKDIFMFITRQLKGLEDT
+KSPQFNRYFYLLENIAWVKSYNICFELEDSNEIFTQLYRTLFSVINNGHNQKVHMHMVDL
+MSSIICEGDTVSQELLDTVLVNLVPAHKNLNKQAYDLAKALLKRTAQAIEPYITNFFNQV
+LMLGKTSISDLSEHVFDLILELYNIDSHLLLSVLPQLEFKLKSNDNEERLQVVKLLAKMF
+GAKDSELASQNKPLWQCYLGRFNDIHVPIRLECVKFASHCLMNHPDLAKDLTEYLKVRSH
+DPEEAIRHDVIVSIVTAAKKDILLVNDHLLNFVRERTLDKRWRVRKEAMMGLAQIYKKYA
+LQSAAGKDAAKQISWIKDKLLHIYYQNSIDDRLLVERIFAQYMVPHNLETTERMKCLYYL
+YATLDLNAVKALNEMWKCQNLLRHQVKDLLDLIKQPKTDASVKAIFSKVMVITRNLPDPG
+KAQDFMKKFTQVLEDDEKIRKQLEVLVSPTCSCKQAEGCVREITKKLGNPKQPTNPFLEM
+IKFLLERIAPVHIDTESISALIKQVNKSIDGTADDEDEGVPTDQAIRAGLELLKVLSFTH
+PISFHSAETFESLLACLKMDDEKVAEAALQIFKNTGGKIEEDFPHIRSALLPVLHHKSKK
+GPPRQAKYAIHCIHAIFSSKETQFAQIFEPLHKSLDPSNLEHLITPLVTIGHIALLAPDQ
+FAAPLKSLVATFIVKDLLMNDRLPGKKTTKLWVPDEEVSPETMVKIQAIKMMVRWLLGMK
+NNHSKSGTSTLRLLTTILHSDGDLTEQGKISKPDMSRLRLAAGSAIVKLAQEPCYHEIIT
+LEQYQLCALAINDECYQVRQVFAQKLHKGLSRLRLPLEYMAICALCAKDPVKERRAHARQ
+CLVKNINVRREYLKQHAAVSEKLLSLLPEYVVPYTIHLLAHDPDYVKVQDIEQLKDVKEC
+LWFVLEILMAKNENNSHAFIRKMVENIKQTKDAQGPDDAKMNEKLYTVCDVAMNIIMSKS
+TTYSLESPKDPVLPARFFTQPDKNFSNTKNYLPPEMKSFFTPGKPKTTNVLGAVNKPLSS
+AGKQSQTKSSRMETVSNASSSSNPSSPGRIKGRLDSSEMDHSENEDYTMSSPLPGKKSDK
+RDDSDLVRSELDKPRSRKKTPVTDSEEKLGMDDLSKLVQEQKPKGSQRGRKRGHTASESD
+EQQWPEEKRLKEDILENEDEQNSPPKKGKRGRPPKPLGGGTPKEEPTMKTSKKGSKKKSG
+PSAAEEEEEEERQSGNTEQKSKSKQHRTSRRAQQSRVGRSKQAATKENDSSEEIDVFQGS
+SPVNDENPQEETEEEEVSTVNVRRRGSKRERR
+>tr|E1B884|E1B884_BOVIN Monofunctional C1-tetrahydrofolate synthase, mitochondrial OS=Bos taurus OX=9913 GN=MTHFD1L PE=3 SV=1
+MSARLPFVLRRLARPQHPGSPRRLPSLCRASSGRGSGCGGGEGLLGQQRLRDTQAGSSRG
+PGSPAPPARDSIVREVIQNSKEVLSLLQEKTPTFKPVLAIIQAGDDNLMQEVNQNLAEEA
+GLNITHICLPAESGEDEIIDEILKINEDSRVHGLALQIAETSFSNKILNALKPEKDVDGL
+TDVNLGKLVRGDAHECFISPVARAVIELLEKSGVSLDGKKILVIGAHGSLEATLQCLFQR
+KGSMTMSSQWKTPQLQGKLQEADIVVLGSPKPEEIPLSWIQPGTTVFNCSHDFLSGKAAC
+ISSGVHGISPIAEDVSLLAAALRIQNMVSSGRRWLREQQHRRWRLHCLKLQPLSPVPSDI
+EISRAQTPKAVEILAKEIGLLADEIEIYGKSKAKVRLSLLERLKDQADGKYVLVAGITPT
+PLGEGKSTVTIGLVQALTAHLNVNSFACLRQPSQGPTFGVKGGAAGGGYAQVIPMEEFNL
+HLTGDIHAITAANNLLAAAIDARILHENTQTDKALYNRLVPSVNGVREFSKIQLARLKRL
+GINKTDPSALTEEEMRKFARLDIDPSTITWQRVVDTNDRFLRKITIGQANTEKGCSRQAQ
+FDIAVASEIMAVLALTDSLSDMKERLGRMVVASDRNGQPVTADDLGVTGALTVLMKDAIK
+PNLMQTLEGTPVFVHAGPFANIAHGNSSVLADKIALKLVGEGGFVVTEAGFGADIGMEKF
+FNIKCRASGLVPSVVVLVATVRALKMHGGGPSVTAGVPLRKEYTEENLQLVADGCCNLEK
+QIQIAQLFGVPVVVALNVFKTDTRAEIDLVCELAKRAGAFNAVPCYHWSIGGKGSVDLAW
+AVREAASKESRFQFLYDVQLPIVEKIRTIAQSVYGAKDIELSPEAQSKIDRYTEQGFGNL
+PICMAKTHLSLSHQPDKKGVPKGFILPISDVRASIGAGFIYPLVGTVSVMPGFP
+>tr|G5E5Q8|G5E5Q8_BOVIN SET binding factor 1 OS=Bos taurus OX=9913 GN=SBF1 PE=4 SV=2
+MARLADYFVLVAFGPHPRGSGEGQGQILQRFPEKDWEDNPFPQGIELFCQPSGWQLCPER
+NPPTFFVAVLTDINSERHYCACLTFWEPVEPTQEELCARDAAERDEEANEGGPGALPPPT
+PSPPGQLFAPKTLVLVSRLDHVEVFRNSLGLIYTIHVEGLNVGLENVVGNLLTCVIPLAG
+GSQRTISLGAGDRQVIQTPLTDSLPISRCSVALLFRQLGITNVLSLFCAALTEHKVLFLS
+RSYQRLSDACRGLLALLFPLRYSFTYVPILPAQLLEVLSTPTPFIIGVNAAFQAEAQELL
+DVIVADLDGGTVTVPECVHIPPLPEPLQSQTHSILSMVLDPELELADLAFPPPTTSISSL
+KMQDKELRAVFLRLFAQLLQGYRWCLHMVRIHPEPVIRFHKAAFLGQRGLVEDDFLMKVL
+EGMAFAGFVSERGVPYRPTDLFDELVAHEVARMRADENHPQRVLRHVKELAEQLYKNENP
+YPAVAMHKVQRPGEASHLRRAPRPFPRLDEGMVQWIVDQATAKMQGAPPAVKAEKRTTVP
+SGPPMTAIVERSGGLHGNSARRLEVVRNCISYVFEGKMLEAKKLLPAVLRALKGRAARRC
+LAQELHLHVQQNRAVLDHQQFDFVVRMMNCCLQDCTSLDEHGIAAALLPLVTAFCRKLSP
+GVTQFAYSCVQEHVVWSTPQFWEAMFYGDVQTHIRALYLEPAEDRDSFSVGEAPVQEDER
+SALDVASEQRRLWPTLSREKQQELVQKEESTVFSQAIHYANRMSYLLLPLDSSKSRLLRE
+RAGLGELESASNSLVTNSMAGSVAESYDTESGFEDAETCDVAGAVVRFINRFVDKVCTES
+GVTSDHLKGLHVMVPDIVQMHIETLEAVHRESKRLPPIQKPKLLRPRLLPGEECVLDGLR
+VYLLPDGREEGAGGPGGGPALLPAEGAVFLTTYRVIFTGMPTDPLVGEQVVVRSFPVAAL
+TKEKRVSVQTPVDQLLQDGLQLRSCTFQLLKMAFDEEVGSDSAELFRKQLHKLRYPPDIR
+GTFALSLGSAHTPGRPPRANKDKGPSFRTLSRNLVKNAKKTIGRQYVTRKKYNPPSWEHR
+GQPPPEDQEDEISVSEELEPSTLTPSSALKPSDRMTMSSLVERACCRDYQRLGLGTLSSS
+LSRAKSEPFRISPVNRMYAICRSYPGLLIVPQSVQDNALQRVSRCYRQNRFPVVCWRSGR
+SKAVLLRSGGLHGKGVVGLFKAQNAPSPGQSQADSSSLEQEKYLQAVVSSMPRYADASGR
+NTLSGFSSAHMGSHGKWGSVRASGRSGGLSTDVGSRLAGVGPPQANGAPPEPGFLRPQRA
+ALYIIGDKAQLKGVRPDPLQQWELVPIEVFEARQVKASFKKLLKACVPGCPATEPSPASF
+LRSLEDSEWLIQIHKLLQVSVLVVELLDSGSSVLVSLEDGWDITTQVVSLVQLLSDPFYR
+TLEGFRLLVEKEWLSFGHRFSHRGAHTLAGQSSGFTPVFLQFLDCVHQIHLQFPMEFEFS
+PFYLKFLGYHHVSRRFRTFLLDSDYERIELGLLYEEKGDRRAPQACRSVWEYVERLSKRT
+PVFYNYMYAPEDAEVLRPYSNVSNLKVWDFYTEETLAEGPPYDWELAQGPPEPPEEERPD
+GGAPQSRRRVVWPCYDSRPRAQPDAISRLLEEMQRLETELGRPPERWKDAWDRVKAAQRL
+EGRPDGRGTPSSLLVSSVPHHRRSLGVYLQEGPVGSTLSLSLDSDQSSGSTASSSRQAAR
+RSTSTLYSQFQTAESENRSYEGTLYKKGAFMKPWKARWFVLDKTKHQLRYYDHRADTECK
+GVIDLAEVEAVAPGTPAMGAPKTVDEKAFFDVKTTRRVYNFCAQDVPSAQQWVDQIQSCL
+SDA
+>tr|G1K1K0|G1K1K0_BOVIN Ferritin OS=Bos taurus OX=9913 GN=FTH1 PE=3 SV=2
+MTTASPSQVRQNYHQDSEAAINRQINLELYASYVYLSMSYYFDRDDVALKNFAKYFLHQS
+HEEREHAERLMKLQNQRGGRIFLQDIKKPDRDDWENGLTAMECALCLERSVNQSLLELHK
+LATENDPHLCDFIETHYLNEQVEAIKELGDHITNLRKMGAPGSGMAEYLFDKHTLGHSES
+>tr|A0A3Q1M415|A0A3Q1M415_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=OR2AP1 PE=3 SV=1
+MKNKTVLTEFILLGLTDVPELQVMVFIFLFLTYLLSIIGNLTILILTLLDSHLQTSMYFF
+LRNFSFLEISFTSIFIPRVLISITIGNKSISFAGCFAECFFAIFLGATEFYLLAAMSYDR
+YVAVCKPLHYTTTMSSKVCTQLVLCSWLAGLMVIISPITLMSQQDFCESNRLNHYFCDYE
+PLRELSCSDTNLIQKVAFLVASVTLVVTLVLVILSYTSIIRTILKLPSVHQRTNAFSTCS
+SHLVVISLSYGSCFFIYVKPTAKEVDTFNKGVALLSTSAAPLLNPFIYTLRNQQVKQAFK
+DTVQKLVNLKEFHN
+>tr|A0A3Q1LWY3|A0A3Q1LWY3_BOVIN Spastin OS=Bos taurus OX=9913 GN=SPAST PE=3 SV=1
+MNSPGGRGKKKGSGGPSSPVPPRPPPPCQARSRPAPKPAPPPQSPHKRNLYYFSYPLFLG
+FALLRLVAFHLGLLFVWLCQRFSRALMAAKRSSGAAPASASPPAPVPGGEAERVRAFHKQ
+AFEYISVALRIDEDEKVGQKDQAVEWYKKGIEELEKGIAVVVTGQGEQCERARRLQAKMM
+TNLVMAKDRLQLLESGAVPKRKDPLTHASNSLPRSKTVMKTGPTGLSGHHRAPSCSGLSM
+VSGVRQGPGSAAATHKSTPKTNRTNKPSTPTTAARKKKDLKNFRNVDSNLANLIMNEIVD
+NGTAVKFDDIAGQELAKQALQEIVILPSLRPELFTGLRAPARGLLLFGPPGNGKTMLAKA
+VAAESNATFFNISAASLTSKYVGEGEKLVRALFAVARELQPSIIFIDEVDSLLCERREGE
+HDASRRLKTEFLIEFDGVQSAGDDRVLVMGATNRPQELDEAVLRRFTKRVYVSLPNEETR
+LLLLKNLLCKQGSPLTQKELAQLARMTNGYSGSDLTALAKDAALGPIRELKPEQVKNMSA
+SEMRNIRLSDFTESLKKIKRSVSPQTLEAYIRWNKDFGDTTV
+>tr|A0A3Q1M6A9|A0A3Q1M6A9_BOVIN Chromosome 19 C17orf98 homolog OS=Bos taurus OX=9913 GN=C19H17orf98 PE=4 SV=1
+MAHLCACPLRREKGFILDGVAVSTMARSYEHLRPKLWSAIPPYNAQQDCHSRRYFRSRVV
+PPILRETDQDHGGTGRDGWIVDYFHIFGQGQRYLNRRNWAGAGKCHLPFESHHDLTWEEW
+CAFHSERNWVLKRPGRERDPATDCILQFSSHA
+>tr|A0A3Q1M3Y2|A0A3Q1M3Y2_BOVIN Chromodomain helicase DNA binding protein 3 OS=Bos taurus OX=9913 GN=CHD3 PE=4 SV=1
+MASPLRDEEEEEEEMVVSEEEDEEEEEGDEEEEEVEAADEDYEEDDDEGVLGRGPGHDRG
+RDRHSPPGCHLFPPPPPPPPPLPPPPPPPPPDKDDIRLLPSALGVKKRKRGPKKQKENKP
+GKPRKRKKLDSEEEFGSERDEYREKSESGGSEYGTGPGRKRRRKHREKKEKKTKRRKKGE
+GEGGQKQVEQKSSATLLLTWGLEDVEHVFSEEDYHTLTNYKAFSQFMRPLIAKKNPKIPM
+SKMMTILGAKWREFSANNPFKGSAAAVAAAAAAAAAAVAEQVSAAVSSATPIAPSGPPTL
+PPPPAADIQPPPIRRAKTKEGKGPGHKRRSKSPRVPDGRKKLRGKKMAPLKIKLGLLGGK
+RKKGGSSDEGPELEAEESDLDSGSVHSASGRPDGPIRTKKLKRGRPGRKKKKVLGCPAVA
+GEEEIDGYETDHQDYCEVCQQGGEIILCDTCPRAYHLVCLDPELDRAPEGKWSCPHCEKE
+GVQWEAKEEEEDYEEEGEEEGEKEEEDDHMEYCRVCKDGGELLCCDACISSYHIHCLNPP
+LPDIPNGEWLCPRCTCPVLKGRVQKILHWRWGEPPVAMPAPQQADGNPDAPPPRPLQGRS
+EREFFVKWVGLSYWHCSWAKELQLEIFHLVMYRNYQRKNDMDEPPPLDYGSGEDDGKSDK
+RKVKDPHYAEMEEKYYRFGIKPEWMTVHRIINHSVDKKGNYHYLVKWRDLPYDQSTWEED
+EMNIPEYEDHKQSYWRHRELIMGEDPAQPRKYKKKKKELQGDGPPSSPTNDPTVKYETQP
+RFITATGGTLHMYQLEGLNWLRFSWAQGTDTILADEMGLGKTIQTIVFLYSLYKEGHTKG
+PFLVSAPLSTIINWEREFQMWAPKFYVVTYTGDKDSRAIIRENEFSFEDNAIKGGKKAFK
+MKREAQVKFHVLLTSYELITIDQAALGSIRWACLVVDEAHRLKNNQSKFFRVLNGYKIDH
+KLLLTGTPLQNNLEELFHLLNFLTPERFNNLEGFLEEFADISKEDQIKKLHDLLGPHMLR
+RLKADVFKNMPAKTELIVRVELSPMQKKYYKYILTRNFEALNSRGGGNQVSLLNIMMDLK
+KCCNHPYLFPVAAMESPKLPSGAYEGGALIKASGKLMLLQKMLRKLKEQGHRVLIFSQMT
+KMLDLLEDFLDYEGYKYERIDGGITGALRQEAIDRFNAHGAQQFCFLLSTRAGGLGINLA
+TADTVIIFDSDWNPHNDIQAFSRAHRIGQANKVMIYRFVTRASVEERITQVAKRKMMLTH
+LVVRPGLGSKAGSMSKQELDDILKFGTEELFKDENEGENKEEDSSVIHYDNEAIARLLDR
+NQDATEDTDVQNMNEYLSSFKVAQYVVREEDKIEEIEREIIKQEENVDPDYWEKLLRHHY
+EQQQEDLARNLGKGKRVRKQVNYNDAAQEDQDNQSEYSVGSEEEDEDFDERPEGRRQSKR
+QLRNEKDKPLPPLLARVGGNIEVLGFNTRQRKAFLNAVMRWGMPPQDAFTTQWLVRDLRG
+KTEKEFKAYVSLFMRHLCEPGADGSETFADGVPREGLSRQQVLTRIGVMSLVKKKVQEFE
+HINGRWSMPELMPDPSADSKRSSRASSPTKTSPTTPEASAANSPCTSKPATPAPSEKGDG
+IRTPLEKDEAENQEEKPEKNSRIGEKMETEADTPSPAPSLGERLEPRKMPLEDEVPGVPG
+EMEPELGYRGDREKSATESTPGERGEEKPMDGQEHRERPEGETGDLGKRAEDVKGDRELR
+SGPPRDEPRSNGRREEKAEKPRFMFNIADGGFTELHTLWQNEERAAISSGKLNEIWHRRH
+DYWLLAGIVLHGYARWQDIQNDAQFAIINEPFKTEANKGNFLEMKNKFLARRFKLLEQAL
+VIEEQLRRAAYLNLSQEPAHPAMALHARFAEAECLAESHQHLSKESLAGNKPANAVLHKV
+LNQLEELLSDMKADVTRLPATLSRIPPIAARLQMSERSILSRLASKGTETHPTPAFPPGP
+YATPPGYGAAFSAAPVGALAAAGANYSQMPAGSFITGQLLTSRLLLVALFLSQASPLLSC
+PLLVQPISLPWMCPGFHGMECF
+>tr|E1BHK6|E1BHK6_BOVIN Sorting nexin OS=Bos taurus OX=9913 GN=SNX33 PE=3 SV=1
+MALKGRALYDFRSENKEEISIWQDEDLVIFSETSLDGWLQGQNSRGETGLFPASYVEILR
+SDTGSNHANYSSSPAGSLGTQVSLYDSSNTSRSGGGSGFLSNQGSFEEDDDDDWDDWDDG
+CTVVEEPRAGGLGTNGHPPLNLSYPGAYPSQHMAFRPKPPLERQDSLASAKRGSVVGRNL
+NRFSCFVRSGVEAFILGDVPMMAKIAETYSIEMGPHGPQWKANPHPFSCSVEDPTKQTKF
+KGIKSYISYKLTPTHASSPVYRRYKHFDWLYNRLLHKFTVISVPHLPEKQATGRFEEDFI
+EKRKRRLILWMDHMTSHPVLSQYEGFQHFLSCLDDKQWKMGKRRAEKDEMVGASFLLTFQ
+IPTEHQDLQDVEDRVDTFKAFSKKMDDSVLQLSTVASELVRKHVGGFRKEFQKLGNAFQA
+ISHAFQMDPPFSSEALNSAISHTGRTYEMVGEMFAEQPKNDLFRMLDTLSLYQGLLSNFP
+DIIHLQKGAFAKVKESQRMSDEGRMAQEEADGIRRRCRVVGFALQAEMNHFHQRRELDFK
+HMMQNYLRQQILFYQRVGQQLEKTLRMYDSL
+>tr|E1BHF4|E1BHF4_BOVIN Zinc finger matrin-type 1 OS=Bos taurus OX=9913 GN=ZMAT1 PE=4 SV=3
+MRRKSNCRRLAAMAAAPNAVTQLAAESSPQEATVSVASSSSSSSSTATACAAAAAAAAAA
+AIVPASSATSTSACPPAGDCGGGGGGGFGGSTMAAAGRGGSSFKVDTRPCLREDITWNEQ
+EKTELFADNFCHVCGVVLQFESQRISHYESEKHAQNVRFYFQMHGEQNEVPGKKMKMDVR
+NFQEHRSEVVDRNKFCGLCHMVFSSSVVAQSHYVGKVHSKKLKQLMEERGQVSPSRFQPA
+TESTFLKPLAVKPPPGGIKDKIMPSSSSSALDLNNPNKYCKLCPASFNSPLMAQQHYVGK
+KHKRNEARKKFIDKIREKPLPAKSDANAFSMRTYDCRICNITFTSLEMFRSHMQGSEHQL
+KESIVINLVKKSRKPPESCQDEYTDYIKVQKARGSEPKTCFRKMEEGSLEARGYREAVDS
+RSRHRMFEQRSPGETFWTYPGPYNISQTVENQLPHCLPAQSKKTYDSFQDELEDYIKAQK
+ARGLDPKTCFRKERESSVETHGYREIDSGPRPRMCEQRYSFETSQTYQRPYTTSPVESQL
+YHWLPAQSKRTYNSFQDELEDYIKVQKARGLEPKTSFRKISDSSVETHKHREMVDSRLRP
+RMFEQKLPFETFQTYPGSYSISQAEENLLPHPLPTHDKKQRLDPVTYCQPTRDYFPEKPV
+PLSLSQQDNNSGTYSVESEVYKHLSSGNDTSEHQAGRKRKHQKRRRHMEKGEERPEKEQS
+KHKRKKGYGDTGLDKDKGTGEGKRGREKLSVSSGKLKHRKKKKSHGVPSQKEERKHKKEK
+KKPVEEKTEEEMLWDESILGF
+>tr|A0A3Q1NAS1|A0A3Q1NAS1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC788334 PE=4 SV=1
+MSSENFGHCSDIEIFFEEVIECLWDTLSREELLLLLNEFLERIKAEASLSREDTDELHNY
+LNELKRVLVEEDQERLSKEQLYRRRFLNKFPRVKRQLEEFIDKFHELADKVEKVHKGCTI
+SNVMAHSTGAVSSILTIVGLALTPMTMGASLALLATGIGLGAAAGVTSVSTSIIERVKRS
+SAETEASRMMSTVVIKWEVLLEVLNNNPHIDATKKKEAIQCIEMHTHAMETGNANPGSEA
+NASICMSPGRISGPAIQHIETGFKATALTITKGARIAGLAAAGVFLLVDVGFLVKESIHL
+HDGAKTEAAESLRRRAWELERKLEELTQIYESQQEDLS
+>tr|A0A3Q1LIB5|A0A3Q1LIB5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MLQSLLQEARSIQASGSRPTSDLSSLLAPPPLLRDLVCQELQQLLQGLRLKAICEGRDQT
+HAWVQYSPSVLCFALEEPRRDLPEQEMFQMRAGEPRPGVKQHGRVRLTSSASSCHWDLSV
+IKDQLNVSHTDQAAEECRALEREIPILQTGCCVSGRTSPPELKQQKAAMQQELQAPLRPS
+YVSPSHRPQPLESSSQGTGLLPCLHGCFLPAPRLERCPKLRSLATTCHWGRKIQCLPGKR
+PASTLMSSVAPQAPT
+>tr|F6QH94|F6QH94_BOVIN Protein disulfide isomerase family A member 6 OS=Bos taurus OX=9913 GN=PDIA6 PE=1 SV=1
+MARLVLGLMSCTLFITVNGLYSSSDDVIELTPSNFNREVIQSDSLWLVEFYAPWCGHCQR
+LTPEWKKAATALKDVVKVGAVDADKHQSLGGQYGVQGFPTIKIFGSNKNKPEDYQGGRTG
+EAIVDAALSALRQLVKDRLGGRGSGYSSGKQGRGDSSSKKDVIELTDDNFDKNVLDSEDV
+WMVEFYAPWCGHCKNLEPEWAAAATEVKEQTKGKVKLAAVDATVNQVLASRYGIRGFPTI
+KIFQKGESPVDYDGGRTRSDIVSRALDLFSDNAPPPELLEIINEDVAKKTCEEHQLCVVA
+VLPHILDTGAAGRNSYLEVLLKLADKYKKKMWGWLWTEAGAQSELENALGIGGFGYPAMA
+AINARKMKFALLKGSFSEQGINEFLRELSFGRGSTAPVGGGAFPTISTREPWDGKDGELP
+VEDDIDLSDVELDDLEKDEL
+>tr|E1BGS8|E1BGS8_BOVIN Autophagy related 16 like 1 OS=Bos taurus OX=9913 GN=ATG16L1 PE=4 SV=2
+MSSGLRAAVFPRWKRHISEELRRRDRLQRQAFEEIILQYNKLLEKSDLHSVLAHKLQAEK
+HDVPNRHEISPGHDGSWNDSQLQEMAQLRIKHQEELTELHKKRGELAQLVIDLNNQMQQK
+DKEMQMNEAKIAEYLQTISDLETECQELRTKLQDLERANQTLKDEYDALQITFTALEEKL
+RKTSEENQELVTRWMAEKAQEANRLNAENEKDSRRRQARLQKELAEAAKEPLPVEQDDDI
+EVLVDETSEHPEETSPVRAISRAATKRLSQPAGGLLDSITNIFGLSESPLLGHHSSDAAR
+RRSVSSFPVPQDNVDPHPGAGKEVRVPTTAMSVFDAHDGEVNAVQFSPGSRLLATGGMDR
+RVKLWEVFGDKCEFKGSLSGSNAGITSIEFDSAGSYLLAASNDFASRIWTVDDYRLRHTL
+TGHSGKVLSAKFLLDNARIVSGSHDRTLKLWDLRSKVCIKTVFAGSSCNDIVCTEQCVMS
+GHFDKKIRFWDIRSESIVREMELLGKITALDLNPERTELLSCSRDDLLKIIDLRINAVRQ
+TFSAPGFKCGSDWTRAVFSPDGSYVAAGAAEGSLYIWNVLSGKVEKVLSKHHSSSINAVA
+WSPAGSHVVTVDKGSKAVLWSEY
+>tr|G3N1U8|G3N1U8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC615223 PE=4 SV=2
+MSPGSVLSGCQSCGEPNTSSAWGSRVGLCSCRTVSGPEPSSPPGSLSSGPALSLPSALCL
+PSSEEMEDFFHGSVLCHIISLLLFTQLPTGGFAQEFTVIAPPDPIVAVLGGEVLLPCRVS
+PAMSAEDMELRWFRSKFSEAVFIYQDRLEQKEEQMAPYAGRTSLVRDLLIQGEAAVRIQN
+VSVSDNGLYTCFFRKGGFYEEASLELKVAGVGSAPQVRITGPEEDGVRVVCTASGWFPKP
+QVQWRDLSGEKFLAFSEAHTQDTEGLFSVEAALVVRDSSVGNVTCSILNPVLGQEKAMAI
+FIPEPFFPQASPWKVAFSVSLTVLVILLLGAGCYTKRQHSMKMQVMGEKDTLCQTSEQDR
+QTKEELLKDAAKLQEELERRKSAYLAAWRKAQLYADWRKEEFQEWPVTLDPGSAHSDLVI
+SDEKTSVTLKTSCVNAADKCSVLGFEGITSGRCYWEVEIRDGDQSEWFLGVCGEGVNRKG
+WYVESPGKEFWAVGRFERGYCVCTVPQILVSLMQAPHPRLRVGVFLDHQEGDISFYNMTD
+GSHIFSFPQASFSGTLFPYFMISSGNVSLTVCSKVGGSAGLPVALSNPSLEEPVSLPGAG
+FSSSSGADGLPGAESPLLPCNPEAVSP
+>tr|G5E5X1|G5E5X1_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=2
+MFSATHSVLVIFLILGGTNGDSVNQTEGPVTLSEGALLTLNCTYQTTYSDSYHFWYVHHL
+NKAPQLLLKGSMTNQRPEHEGFQATLVNSDSSFHLQKWAVQASDSAVYYCALNDTVREGC
+GGAEHKPKGSRRLK
+>tr|F1MV84|F1MV84_BOVIN Synaptonemal complex protein 2 OS=Bos taurus OX=9913 GN=SYCP2 PE=4 SV=3
+MPIRPDLQQLEKCIDDALRKNDFKPLKTLLQIDICEDVKIKCSKQFFHKLDDLMCRELNK
+RDIQTVSTILVSFGRCGKNITILGQAGLLTMIKQGLVQKIMTNVYISFFYMVAWFEKSKE
+IILSKRQIVESFVPRICALVIDSRVNICIQQETLKKMNVMLDRMPQDARKILCNQQMLIL
+MSSMGERILDAGDYDLQAGIVEALCRMTTEKQRRELACQWFSMDFIANAFKGIKDSEFET
+DCRIFLNLVNGMLGDKRRVFTFPCLSAFLDKYELQIPSDEKLEEFWVDFNLGSQTLSFYI
+AGDNDDHQWEAVTVPEEKVQIYSIEVRESKKLLTVILKNIVKISKREGKELLLYFDASLE
+ITNVAQKIFGGSKYKEFTRKQGISVAKTSVHILFDASGSQILVPESQLSPVEEEHISLKE
+TANLQEEFTKPAKYIKNSNQGYRKNSQPEIITPSKRKMSEASMIVPGADRYTVRSPILLV
+NTSSPRKRRIKPPLQMMSSTEKPNLIPELPDVLDSTCGDKMHSKWACWTPVTNIKLCNNQ
+RASTSSRDTFNQDIVINQKLPKQKSSSSISDDNSEETEKVQYRKEIMQHNRTDKAEADDC
+HRSRQQPGHSTHSEEKSIENAKQSDWCIESETTFKSVLLNKTVEESVIYKKKYVLSKDVN
+TDTCDQSPSPQKNAKSRRKSGRRLTAEFNSWDLKQKNTREKSKGKGFTDAAESLINQINK
+RYQPKDSIQSARKSKESLIDSDFSNKSDLQLGKEKVQKKSYRQLKTTFVNVTSECPLDDV
+YNFNLSGADEPVIKLGVSWKSEVILYLFSIYFIFTIQLLEYKNPFSDSDTEYRCDDSKTD
+ISWLREPKSKPLMMDYSRNKNVKKHKNGKKSRIFLLKTSNKIITKKVDETVAYGRTRLPR
+RAAKTKKNYKDLSNSESEGEQEFSCSFKEKVLVQEKIHSRSKTMKLPKKQQNSFTAKTQK
+DVSKEWKISSLLKNAGRDNSLDPSPVSLSGSPSSIEVMRCVEKITERDFTQDCDCVAESP
+DPKTSSPESLNSGVGGPIKSSKNSEKNLLCTRESSSIAQSLFLVRKEKYSLPSEPPGKPN
+CNSYSDKSHSASPLSTSSEEREKTWYGMPSDSTHMSGCIQHLSRKRMYTEDSLNNSGEVE
+EERANLLPKKLCKSKDADHHTYKVSESISPLSANDFSIPLENWETEISDVGMMMCEQLNT
+EFQRKVNIRHKMMDYFTKQTWKTIQQHIKAINQKIQEYRIKKLDKFQFIIIEELENFEKD
+SQYLKDLEKEFVDFREKVFQQFSAYQKREQQRSMCLMKENMKMLQDRLLKEMQEEELHTV
+RRGLRSLFMAHERNNL
+>tr|A0A3Q1NF54|A0A3Q1NF54_BOVIN Phosphatidylserine decarboxylase proenzyme, mitochondrial OS=Bos taurus OX=9913 GN=PRR14L PE=3 SV=1
+MAASVGQGCLRWLPGVAWRNRRPHCEDAALSHFLQSLRKPPLRTFSTNARKVHTAPARTS
+SLLRPLPILVATAGGYAGYRQYEKYRERELEKLGLDVPPKLAGHWEVALYKSVPTRLLSR
+AWGRLNQVELPHWLRRPVYSLYIWTFGVNMKEAAVEDLHHYRNLSEFFRRKLKPQARPVC
+GLHSVISPSDGKILNFGQVKNCEVEQVKGVTYSLESFLGPRTPSEDLPFPPATPHSSFRS
+QLVTREGNELYHCVIYLAPGDYHCFHSPTDWTVSHRRHFPGSLMSVNPGMARWIKELFCH
+NERVVLTGDWKHGFFSLTAVGATNVGSIRIYFDRDLHTNSPRYSKGSYNDFSFVTHANKE
+GIPMRKGEHLGEFNLGSTIVLIFEAPKDFNFRLQAGQKIRFGEALGSL
+>tr|G3MZL9|G3MZL9_BOVIN Thrombospondin type 1 domain containing 7A OS=Bos taurus OX=9913 GN=THSD7A PE=4 SV=2
+MGLPAGRSASRSRGTAGRHPAVLPLLLLLLLCPGAYGAAAPGEAEAPILYLWKTGPWGRC
+MGDGCGPGGIQTRAVWCAHVEGWTTLHTNCKPAERPSNQQNCFKVCDWHKELYDWRLGPW
+NQCQPVISKSLEKPLECVKGEEGIQVREITCIEKEKDVPAEDIICEYFEPKPLLEQACLI
+PCRQDCIVSEFSAWSECSKTCGSGLQHRTRHVVAPPQFGGSGCPNLTEFQVCQSGPCEAE
+ERMYSLQVGPWSTCSMPHSRQVRQARRRGKSKERDKDRGKGVKDPEARELIKKKRNRNRQ
+NRQENKYWDIQIGYQTREVMCTNKTGKAADLSFCQQEKLPMTFQACVMTKECQVSEWSEW
+SPCSKTCHDPASPKGTRMRARLIRQFPIGSEKKCPELEEEEPCVSQGDGVAPCATYSWRT
+TEWTECRVDPLLSQQDKRRGNQTALCGGGVQTREAYCVQANENLLSLNTHKDKEAPKPVD
+LKSCTGPVPNTTQLCHIPCPIECEVSPWTAWGPCTYENCNDQQGKKGFKLRKRRITNEPT
+GGSGGTGNCPHLLEAIPCEEPSCYDWKAVRLGDCEPDNGKECGPGTQVQEVVCINSDGEE
+VDRQLCRDAIFPIPVACDVPCPRDCVLSMWSAWSSCSHTCSGKTTEGKQTRARSILAYAG
+EEGGVQCPNTSALQEVRSCNEHPCTVYHWQTGPWGQCIEDTSVSSFNTTTAWNGEASCSV
+GMQTRKVICVRVNVGQVGPKKCPESLRPETVRPCLLPCRKDCIVTPYSDWTSCPSSCKEG
+DSGIKKQTRHRVIIQPPANGGRDCADPLYEEKACEAPQVCQSYRWKTHKWRRCQLVPWSV
+QQDSPGAQEGCGPGRQARAITCRKQDGGQAGIHECLRYAGPVPALTQACQMPCQDDCQLT
+SWSRFSSCNGDCGAVRTRKRAIVGKSKKKEKCKNSHLYPLIETQYCPCDKYNAQPVGNWS
+DCILPEGKAEVLLGMKVQGDIKECGQGYRYQAMACYDQNGRLVETSRCNSHGYIEEACII
+PCPSDCKLSEWSNWSRCSKSCGSGVKVRSKWLREKPYNGGRPCPKLDHVNQFQVYEVVPC
+HSDCSQYIWVTEPWSICKVTFVNTRDNCGEGVQTRKVRCMQNTADGPSDHVEDYLCDPEE
+MPLGSRECKLPCPEDCVVSEWGPWTRCSLPCNQSTFRQRAADPIRQPAEEGRACPDTVEK
+EPCNLNKNCFHYDYNVTDWSTCQLSEKAVCGNGIKTRMLDCVRSDGKSVNLKYCEELGLE
+KTWQMNMSCMVECPVNCQLSDWSPWSECSQTCGLTGKMIRRRTVTQPFQGDGRPCPALME
+QSKPCPVKPCYQWRYGPWSACQVQDAQCGEGTRTRNISCVVSDGSADDFSKVVDEEFCTD
+IEPAIDGNKKAVLEETCTQPCPGDCYLKDWSSWSLCQLTCVNGEDLGFGGIQVRSRAVII
+QELENQHLCPEQKLETKPCDDGQCYEYKWMASAWKGSSRTVWCQRSDGVNVTDGRLKTWV
+YGVAAGAFVLLIFIVSMIYLACKKPKKPQRRQNNRLKPLTLAYDGDADILRVLKEEQSVN
+TSVRNQVRQPSSLGLVAM
+>tr|F1MP34|F1MP34_BOVIN Dual specificity protein phosphatase OS=Bos taurus OX=9913 GN=DUSP2 PE=3 SV=2
+MGLEAARELDCAALGALLREPREAERTLVLDCRPFLAFCRRHVRAARPVPWNALLRRRAR
+GPPAAALACLLPDRALRARLARGELARAVVLDEGSASVADLPPDGPAHALLAALLHETRA
+GPTAVCFLPGGFDRFQACCPDLCSESPVPAMTPDNNDNSRSDSRAPSYDQGGPVEILPYL
+YLGSCSHSSDLQGLRACGITAVLNVSASCPNHFEGLLRYKSIPVEDNQMVEISAWFPEAI
+GFIDSVKNSGGRVLVHCQAGISRSATICLAYLIQSRRVRLDEAFDFVKQRRGVISPNFSF
+MGQLLQFETQVLCH
+>tr|E1BJ68|E1BJ68_BOVIN Insulin like 5 OS=Bos taurus OX=9913 GN=INSL5 PE=3 SV=3
+MRGFIFMLFTFSVMLAISEARREGSRKLCGSEYVRTVIYICASSRWRRHAGALLQGQQAE
+RGNHFQLPNEQEISEERAAHNLPKMDFAGEESLQGEQLPTEGLWRSKKHLVMSRQDLQTL
+CCTEGCSMSDLSTLC
+>tr|A0A3S5ZP50|A0A3S5ZP50_BOVIN Interferon regulatory factor 8 OS=Bos taurus OX=9913 GN=IRF8 PE=4 SV=1
+MCDRNGGRRLRQWLIEQIDSTMYPGLIWENDEKTMFRIPWKHAGKQDYNQEVDASIFKAW
+AVFKGKFKEGDKAEPATWKTRLRCALNKSPDFEEVTDRSQLDISEPYKVYRIVPEEEQKC
+KLGVAAPGCVSEAVEMECGRSEIDELIKEPPGDDYMGMVKRSPSPPEACRSQLLPDWWVQ
+QPSAAGLPLVPGYSAYDAHHSAFSQMVISFYYGGKLVGQTTTTCPEGCRLSLGQPGLLGG
+KLYGPEGLELVRFPPADTIPSERQRQVTRKLFGHLERGILLHSSRQGVLVKRLCQGRVFY
+SGNAAPARDRPNKLERDEVVRVFDTSQFFRELQQFYNSQSRLPDSRVVLCFGEEFPDTTP
+LRSKLILVQVEQLYVRQLVEEAGKGCGPGSLMQAPEEPPPDQVFRMFPEICASHQRPFFR
+ENQQITV
+>tr|A0A3Q1M140|A0A3Q1M140_BOVIN Rho guanine nucleotide exchange factor 9 OS=Bos taurus OX=9913 GN=ARHGEF9 PE=4 SV=1
+MQWIRGGSGMLITGDSIVSAEAVWDHVTMANRELAFKAGDVIKVLDASNKDWWWGQIDDE
+EGWFPASFVRLWVNQEDGVEEGPSDVQNGHLDPNSDCLCLGRPLQNRDQMRANVINEIMS
+TERHYIKHLKDICEGYLKQCRKRRDMFSDEQLKVIFGNIEDIYRFQMGFVRDLEKQYNND
+DPHLSEIGPCFLEHQDGFWIYSEYCNNHLDACMELSKLMKDSRYQHFFEACRLLQQMIDI
+AIDGFLLTPVQKICKYPLQLAELLKYTAQDHSDYRYVAAALAVMRNVTQQINERKRRLEN
+IDKIAQWQASVLDWEGEDILDRSSELIYTGEMAWIYQPYGRNQQRVFFLFDHQMVLCKKD
+LIRRDILYYKGRIDMDKYEVVDIEDGRDDDFNVSMKNAFKLHNKETEEIHLFFAKKLEEK
+IRWLRAFREERKMVQEDEKIGFEISENQKRQAAMTVRKVSKQKGVNSARSVPPSYPPPQD
+PLNQGQYLVPDGIAQSQVFEFTEPKRSQSPFWQNFSRKDPLSPLPRLIICECFCSPAVTP
+NSLFPASSVLVIPVWTCSVVPCDITAQYFYCFHCVLGVVEGLKLNRSKRTSE
+>tr|A0A3Q1LY22|A0A3Q1LY22_BOVIN HEAT repeat containing 5B OS=Bos taurus OX=9913 GN=HEATR5B PE=4 SV=1
+MELAHSLLLNEEALAQITEAKRPVFIFEWLRFLDKVLVATDVKEKQKKLVEQLTGLISSS
+PGPPTRKLLAKNLAALYSIGDTFTVFQTLDKCNDIIRNKDDTAAYLPTKLAAVACVGAFY
+EKMGRMLGSAFPETVNNLLKSLKSAESQGRSEILMSLQKVLNGLGGAAASCHRDIYKNAR
+SLLTDRSMAVRCAVAKCLLELQNEAVFMWTAELENIATLCFKALENSNYGVRVAVSKLLG
+TVMATALMPKQATVMRQNVKRATFDEVLELMATGFLRGGSGFLKSGGEMLKVGGSVNREV
+RVGVTQAYVVFVTTLGGQWLERSFATFLSHVLDLVSHPRATQTHVEAVYSRRCVSFILRA
+TVGSLLGEKAQIAAAKEICQAIGKQMKAVEAVVNDTSGENKSGAADIAASQHVMVCALQE
+LGSLVQSLNATASPLIQEASIGLLETVTSVLLHPSMAARLAAAWCLRCVAVALPFQLTPF
+LDRCAERLNSLKTSPEAVSGYSFAMAALLGGVHQCPLGIPHAKGKMVVSIAEDLLRTAAQ
+NSRLSLQRTQAGWLLLGALMTLGPSVVRYHLPKMLLLWRNVFPRSLKELEAEKARGDSFT
+WQVTLEGRAGALCAMRSFVAHCPELLTEDVIRKLMTPIECAMTMIIPSVIKAHGAHLKAS
+AAMVRLRLYDILALLPPKTYEGSFNALLRELVAEFTLTDNSANTTTSLLRSLCHYDDSVL
+LGSWLQETDHKSIEDQLQPNSASGSGALEHDPSSIYLRIPAGEAVPGPLPLGVSVIDASV
+ALFGVVFPHVSFKHRLGLAENKSTLGPEEVRKSALTLVMGALDNPNPILRCAAGEALGRM
+AQVVGEATFIARMAQYSFDKLKSARDVVSRTGHSLALGCLHRYVGGIGSGQHLKTSVSIL
+LALAQDGTSSEVQTWSLHSLALIVDSSGPMYRGYVEPTLSLVLTLLLTVPPSHTEVHQCL
+GRCLGAIITTVGPELQGNGATISTIRSSCLVGCAITQDHSDSLVQAAAISCLQQLHMFAP
+RHVNLSSLVPSLCVHLCSSHLLLRRAAVACLRQLAQREAAEVCEYAMSLAKSSGDKESVN
+ITETGLEGLLFGMLDRETDRKLCSDIHDTLGHMLSSLAVEKLSHWLMLCKDVLAASSDMS
+TATPLSSGKDEEFEKKDEMDDDTMFTTLGEEDKSKPFVAPRWATRVFAADCLCRIINLCE
+NADQAHFDLAMARSAKLRNPTNDLLVLHLSDLIRMAFMAATDHSNQLRMAGLQALEDIIK
+KFASVPEPEFPGHVILEQYQANVGAALRPAFSQDTPSDIIAKACQVCSTWIGSGVVSDLN
+DLRRVHNLLVSSLDKVQAGKGSSSQLYRESATTMEKLAVLKAWAEVTAAYLKSESEVTQF
+TIDELPPDSLITLVQPELPILSRLWLAALKDYALLTLPAEFASQLPPDGGAFYTPETIDT
+ARLHYRNSWAPILHAVALWLNSTGFTCSESAEAAAISALQKRSIPVNLSQASGAIPSAKS
+LAEINKDRMHLILGVSIQFLCSPRPEEPVEHVTACLQALHTLLDSPYARIHIAEDQLIGV
+ELLSVLHRLLLTWNPPSVQLLVTGVVQQIVRAAQDYLQEKRNTLNEDDIEKESCPVLGEG
+GDSGGLIPGKSLVFATLELLMFILVRHMPHLSTKMSDSPSHIATKTRLSEESARLVAATV
+TILSDLPSLCSPAGCMTILPTILFLIARILKDTAIKSADNQVPPPVSAALQGIKSIVTLS
+MAKTEDTQKQWTALIRSTLAYSVPVPDEVSMLTAIALFLWSAGSEIIGVQSLQNGCIYRF
+KNALNSCDPWVQAKCYQLLLSVFQHSNRALSTPYIHSLAPIVVEKLKAVERNRPGSSTEL
+LAVQEGIKVLETLVALGEEQNRVQLLALLVPTLISYLLDENSFASASTASKDLHEFALQN
+LMHIGPLYPHAFKTVMGAAPELKVRLETAVRASQASKAKVATRQPAPTVHSAPTIKLKTS
+FF
+>tr|E1BDB3|E1BDB3_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC788587 PE=3 SV=3
+MELGNGTRVKEFIFLGLTQNQELSLVLFLFLLLVYVTTLLGNLLIMVTVTRDSRLHTPMY
+FLLRNLSVADICFSSITAPKVLADLLVQRKAISFNGCFTQMFFFHLIGGVDVASLSLMAF
+DRYVAITKPLHYVTIMSRGRCTALIMASWAGGFVHSIVQISLLLPLPFCGPNVLDTFYCD
+VPQVLTLACTDIFILELLMISNSGLLTTLWFVFLLVSYMVILLLIRSQAGEGRGKAISTC
+TAHITVVTLHFVPCIYVYARPFSTLPTDKAVSVTFTVISPLLNPLIYTLRNQEMKAAMRR
+LRGRLGPSDKE
+>tr|E1BLD1|E1BLD1_BOVIN HECT domain E3 ubiquitin protein ligase 1 OS=Bos taurus OX=9913 GN=HECTD1 PE=4 SV=2
+MADVDPDTLLEWLQMGQGDERDMQLIALEQLCMLLLMSDNVDRCFETCPPRTFLPALCKI
+FLDESAPDNVLEVTARAITYYLDVSAECTRRIVGVDGAIKALCNRLVVVELNNRTSRDLA
+EQCVKVLELICTRESGAVFEAGGLNCVLTFIRDSGHLVHKDTLHSAMAVVSRLCGKMEPQ
+DSSLEICVESLSSLLKHEDHQVSDGALRCFASLADRFTRRGVDPAPLAKHGLTEELLSRM
+AAAGGTISGPSSACKPSRSTTGAPSTAADSKLSNQVSTIVSLLSTLCRGSPVVTHDLLRS
+ELPDSIESALQGDERCVLDTMRLVDLLLVLLFEGRKALPKSSAGSTGRIPGLRRLDSSGE
+RSHRQLIDCIRSKDTDALIDAIDTGAFEVNFMDDVGQTLLNWASAFGTQEMVEFLCERGA
+DVNRGQRSSSLHYAACFGRPQVAKTLLRHGANPDLRDEDGKTPLDKARERGHSEVVAILQ
+SPGDWMCPVNKGDDKKKKDTNKDEEECNEPKGDPEMAPIYLKRLLPVFAQTFQQTMLPSI
+RKASLALIRKMIHFCSEALLKEVCDSDVGHNLPTVLVEITATVLDQEDDDDGHLLALQII
+RDLVDKGGDIFLDQLARLGVISKVSTLAGPSSDDENEEESKPEKEDEPQEDAKELQQGKP
+YHWRDWSIIRGRDCLYIWSDAAALELSNGSNGWFRFILDGKLATMYSSGSPEGGSDSSES
+RSEFLEKLQRARGQVKPSTSSQPILSAPGPTKLTVGNWSLTCLKEGEIAIHNSDGQQATV
+LKEDLPGFVFESNRGTRHSFTAETSLGSEFVTGWTGKRGRKLKSKLEKTKQKVRTMARDL
+YDDHFKAVESMPRGVVVTLRNIATQLESSWELHTNRQCIESENTWRDLMKTALENLIVLL
+KDENTISPYEMCSSGLVQALLTVLNNSMDLDMKQDCSQLVERINVFKTAFSENEDDESRP
+AVALIRKLIAVLESIERLPLHLYDTPGSTYNLQILTRRLRFRLERAPGETALIDRTGRML
+KMEPLATVESLEQYLLKMVAKQWYDFDRSSFVFVRKLREGQNFIFRHQHDFDENGIIYWI
+GTNAKTAYEWVNPAAYGLVVVTSSEGRNLPYGRLEDILSRDNSALNCHSNDDKNAWFAID
+LGLWVIPSAYTLRHARGYGRSALRNWVFQVSKDGQNWTSLYTHVDDCSLNEPGSTATWPL
+DPPKDEKQGWRHVRIKQMGKNASGQTHYLSLSGFELYGTVNGVCEDQLGKAAKEAEANLR
+RQRRLVRSQVLKYMVPGARVIRGLDWKWRDQDGSPQGEGTVTGELHNGWIDVTWDAGGSN
+SYRMGAEGKFDLKLAPGYDPDTVASPKPVSSTVSGTTQSWSSLVKNNCPDKTSAAAGSSS
+RKGSSSSVCSVASSSDISLGSTKTERRSEIVMEHSIVSGADVHEPIVVLSSAENVPQTEV
+GSSSSASTSTLTAETGSENAERKLGPDSSARTPGESSAISMGIVSVSSPDVSSVSELTNK
+EAASQRPLSSSASNRLSVSSLLAAGAPMSSSASVPNLSSRETSSLESFVRRVANIARTNA
+TNNMNLSRSSSDNNTNTLGRNVMSTATSPLMGAQSFPNLTTPGTTSTVTMSTSSVTGSSN
+VATATTVLSVGQSLSNTLTTSLTSTSSESDTGQEAEYSLYDFLDSCRASTLLAELDDDED
+LPEPDEEDDENEDDNQEDQEYEEVMILRRPSLQRRAGSRSDVTHHAVTSQLPQVPAGAGS
+RPIGEQEEEEYETKGGRRRTWDDDYVLKRQFSALVPAFDPRPGRTNVQQTTDLEIPPPGT
+PHSELLEEVECTPSPRLALTLKVTGLGTTREVELPLTNFRSTIFYYVQKLLQLSCNGNVK
+SDKLRRIWEPTYTIMYREMKDSDKEKENGKMGCWSIEHVEQYLGTDELPKNDLITYLQKN
+ADAAFLRHWKLTGTNKSIRKNRNCSQLIAAYKDFCEHGTKSGLNQGAISTLQSSDILNLT
+KEQPQAKAGNGQNSCGVEDVLQLLRILYIVASDPYSRISQEEGDEQPQFTFPPDEFTSKK
+ITTKILQQIEEPLALASGALPDWCEQLTSKCPFLIPFETRQLYFTCTAFGASRAIVWLQN
+RREATVERTRTTSSVRRDDPGEFRVGRLKHERVKVPRGESLMEWAENVMQIHADRKSVLE
+VEFLGEEGTGLGPTLEFYALVAAEFQRTDLGAWLCDDNFPDDESRHVDLGGGLKPPGYYV
+QRSCGLFTAPFPQDSDELERITKLFHFLGIFLAKCIQDNRLVDLPISKPFFKLMCMGDIK
+SNMSKLIYESRGDRDLHCTESQSEASTEEGHDSLSVGSFEEDSKSEFILDPPKPKPPAWF
+NGILTWEDFELVNPHRARFLKEIKDLAIKRRQILSNKGLSEDEKNTKLQELVLKNPSGSG
+PPLSIEDLGLNFQFCPSSRIYGFTAVDLKPSGEDEMITMDNAEEYVDLMFDFCMHTGIQK
+QMEAFRDGFNKVFPMEKLSSFSHEEVQMILCGNQSPSWAAEDIINYTEPKLGYTRDSPGF
+LRFVRVLCGMSSDERKAFLQFTTGCSTLPPGGLANLHPRLTVVRKVDATDASYPSVNTCV
+HYLKLPEYSSEEIMRERLLAATMEKGFHLN
+>tr|A0A3Q1MMR1|A0A3Q1MMR1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+VAVRAVAWLLLWEAAGFTCSPATTFNNTHFVSGKGATCSEIILRQEVLKDGFHRDLLTKV
+KFGESIEDLQTCRLLIKQYIPTGLFVDPYELASLRERNITEVQLLEGFFEREIIVGIL
+>tr|G3MWT6|G3MWT6_BOVIN Protocadherin alpha 2 OS=Bos taurus OX=9913 GN=PCDHA2 PE=4 SV=2
+LVRDLLPLLLLLAVGEAGSGQVRYSVPEEAKHGTFVGRIAQDLGLELEELVPRLFRVASK
+GHGDLLEVNLQNGILFVNSRIDREELCGRRAECSIHLEVIVDRPLQVFHVEVEVKDINDN
+PPVFPVAVKTIRFHESRLLDSRFPLEGAFDADIGVNALLTYKLSSSEFFFLDIQTNDELS
+QSLSLVLRKSLDREETAEINLLLVATDGGKPELTGTVQLLVKVLDVNDNEPTFDQSVYKI
+QLLENVANGTLVIKLNSSDADEGSNSEIVYSFSSDVSRDIKTKFNIDPSSGEIRTKGPLD
+YEEVKFYDIHVIAYDNGTPSMSGHCKISVKLVDINDNSPEVSITSLSLPIREDAPLGSVI
+ALITVADRDSGANGQVTCTLIPQDLFKLVSTFKNYYSLVLDSVLDRESVANYEVVVTARD
+GGSPPLSATASVSVEVADVNDNAPAFAQPEYTVFVKENNPPGRHIFTVSARDADAQENAL
+VSYSLVERWVGERALSSYVSVHAESGKVYALQPLDHEELELLQFQVSARDAGVPPLGSNV
+TLQVFVLDENDNAPALLPPGPGGGPSAVSQVVARSVGAGRVVAKVRAVDADSGYNAWLSY
+ELQPAAGGASTLFRVGLYTGEISTTRALDEADAPRQHLLVLVKDHGEPALTATATVLLSL
+EDSGQAPKASSRTLSGASEAEAVLVDVNVYLIIAICAVSSLLVLTLLLYTALRCSAPPSE
+GACGPVKPRLVCSSAVGSWSYSRERQQRVCSGEGPPKTDLMAFSPSLPQGPGSADERQQL
+SESEQFGKVSLNFFPIISKIVLFPLFSIILLVILIYSCFYLYRYPIVQYVI
+>tr|A0A3Q1MHQ7|A0A3Q1MHQ7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+TVAQQTSLSITNSRNLLTVMFIQSVMPPSRLILCHPLLLLASIFPSIRSVGVKTTLSLFS
+LFVCYRIFAILLIFLDMALIIKDVIFLSGTTQISLESRSVSFVIAFFFFMDVSLRVKTES
+FKFIVRLRIVLRPVRLVILIRVFHLAYQKRHLEMLTRRMVSGNKRRYKKDGFDLDLTYVT
+ERIIAMSFPSSGKQSFYRNPIKVKSLKSITYSHLAHCYGNYSERAYDPKYFHHRVHRYLI
+DDHNVPSLSEMLAFSMEVKEWMAQDKDNIIVVHCKGGKGRTGTMVCAYLLASEIFATAED
+SLYYFGERRTDKSTSSKFQGIETPSQNRFVGYFAMVKNIYNLTLPPIKKLTIKKFVIYSI
+HGVGKGNGHDLKVQIIMQRKTVFFCSASKNCRIFHDAETDRVIILLSKCPPLYDEVKVRF
+FSSSALPKYYENCAFFFWFHMSFIRNNRLYLSRSELDNPHKIKAWKAYSPQFAVEVYFDD
+I
+>tr|E1BN63|E1BN63_BOVIN WNK lysine deficient protein kinase 1 OS=Bos taurus OX=9913 GN=WNK1 PE=4 SV=3
+MSGGAADQQSSAQGSLFLSPPAPAPKNGSSSDSSVGEKLGAAAADAAAGRTEEYRRRRHT
+MDKDSRGAAATTTTEHRFFRRSVICDSNATALELPGLPLPLPQPGAAAVVPQRSPPEPPR
+EETLIPAAAAQVAQQPPAAAAAAAAAAPEEPVAASPATSSAPSSAGRDRQAVQPGPAGSR
+EEPPTSRSGSGGGSAKEPQEERSQQQDDIEELETKAVGMSNDGRFLKFDIEIGRGSFKTV
+YKGLDTETTVEVAWCELQDRKLTKSERQRFKEEAEMLKGLQHPNIVRFYDSWESTVKGKK
+CIVLVTELMTSGTLKTYLKRFKVMKIKVLRSWCRQILKGLQFLHTRTPPIIHRDLKCDNI
+FITGPTGSVKIGDLGLATLKRASFAKSVIGTPEFMAPEMYEEKYDESVDVYAFGMCMLEM
+ATSEYPYSECQNAAQIYRRVTSGVKPASFDKVAIPEVKEIIEGCIRQNKDERYSIKDLLN
+HAFFQEETGVRVELAEEDDGEKIAIKLWLRIEDIKKLKGKYKDNEAIEFSFDLEKDVPED
+VAQEMVDSGYVCEGDHKTMAKAIKDRVSLIKRKREQRQLVREEQEKRKQEESSFKQQVEQ
+QPSTAQAGVQQPPATSTGGPAASAASASVSTQVEPEEPEADQHQQLQYQQPGVSVISDGT
+VDSGQGSSVFTESRVSSQQTVSYGSQHEQAPSTGALPGHTASVVQTQAQPHAVYPPSSLA
+QGQSQGQPSSSSLTGVPSSQPMQHSQQQQGVQPTAPSQQAVQYPLPQTSAPSEATIAQPV
+SQPPPPQALPHVSAGKQLPVSQPVPTLQGEPQIPVATQPSVVPVHAGAHFLPVGQPLPPS
+LLPQYPVSQLPPISQLPSAPPHVSVAQPGFPPLPVTMAAGVSQPLLTLASSAAAAAVPGA
+PTVVPSQLPALLQPVTQLPSQAHPQLLQPAVQSMGIPASLGQTAEVPLPPGDILYQGFPP
+RLPSQYPGDSNIAPSSSAASVCLPSAVLSPPMPTEALAAPGYFPAMVQPYVESSLLVPVG
+SVGGQVQVSQPAVSLAQAPTTSSQQAALESTQVVSQVAPPEPAPGAQPQPAQPAAVVSSI
+DSAHSDVASGLSDGNENVPSSSGRHEGRTTKRLHRKSIRSRSRHEKPSRPKLRILNVSNK
+GDRVVECQLETHNRKMVTFKFDLDGDNPEEIATIMVNNDFILALERDAFVEQVREIIEKA
+DEMLSEDVSVEPEGDQSLESLHGKDDYGFSGTQKLEGEFKQPIPASSLPQQIGIPASSLT
+QVVHSAGRRFIVSPVPESRLRESKLFTEISDTVAASTSAGPGMNLSHSASSLSLQQAFSE
+LRHARMAEGPSTAPPHFGQTGPVFPAVPPTLSSAPGAPAAAAAASVSAPAPSHPLSDVTT
+SVIQTEVTVAPGTGVMPPSGLPVPPVSESLAPSSVAPSVTIPAVLSALPAPQAAQAPTPG
+SGASSAGTFPSAPASLTPASAVGSAVASAAQLPPVPSQPAVGSSTGVATLTAVPAAALGP
+SLTALPSLALSSSTSAPALAETVLVSAHALDKTAHSSTTGLALSLPATSSSPAPGAGVSS
+AVSQPTAAAHPLVLPSVVTSTPVLSQAGPMSAPLLPQVPGIPPLVQPVVSVPAVQQTLVH
+SQPQPAPLPNQPHTHCPEIDADTQPKAPGIDDIKTLEEKLRSLFSEHSSSGAQHAPVSLE
+TSLTVETAVTAGLPTTAVAPSKPLTSTASTCLPPTSLPLGAAGLSVIPMVTPGQVSTPVS
+SAPGVKLETAPAKPPLTKPPVLPMGTELPAGTPPSEQLPPFPGPSLTQPPQPLGDLDAQL
+RRTLSPESGMLTSAVGPVSVVAPTAVVETEAQLPKDVLSVSQVTEAPVLAPTSTAGVLKM
+GRFQVSVAMDDPQKEGKSKSEDAKSVHFASSTSESSVLSSSSPESTVVKAEPNGLAVRGA
+SADMPDSAHQPPASEAGQPSKVGRFQVTTTASRVGRFSVSRTEDKMAEVKGEEPVVSPFM
+DSEHDIPPTVTPKKEKPELSEPSHLNGPSSDLEAAFLSRDVDAGSGSPHSPPQLSSKSLP
+AQSLSQSLSNSFNSSYMSSDNESDIEDEDLKLELRRLREKHLKEIQDLQSRQKHEIESLY
+TKLGKVPPAVIIPPAAPLAGRRRRPTKSKGSKSSRSSSLGNKSPGPGTLSGQSAASVLHP
+QQTLPAPGSVPETGPNQLLQPVKPSPSSDNLYSAFTSDGAISVPSLSAPGQGTSSTNAVG
+GAVSSQAAPAPPPAVTSSRKGMFTDDLHKLVDNWARDAMSLSGRRGSKGHVSYEGPGMAR
+KFSAPGQLCVSMTSNLGGSAPGSAASATSLGHFPKSMCPPQQYGFPTPPFGTQWSGTGGP
+APQPLSQFQPVGTASLQNFNISNLQKSISNPPGSNLRTT
+>tr|A0A3Q1LYJ7|A0A3Q1LYJ7_BOVIN E3 ubiquitin-protein ligase MARCH2 OS=Bos taurus OX=9913 GN=MARCH2 PE=4 SV=1
+MAQSSRPEPGPLKDGQARTVGLSLPSKETHKPCWLVLGWKRSLPRVPADRLLGPWALGPE
+DTGRPVAMTTGDCCHLPGSLCDCSDSPAFSKVVEATGLGPPQYVAQVTSRDGRLLSTVIR
+ALETPSDGPFCRICHEGANGESLLSPCGCSGTLGAVHKSCLERWLSSSNTSYCELCHTEF
+AVEKRSRSLTEWLKDPGPRTEKRTLCCDVVCFLFITPLAAISGWLCLRGAQDHLRLHSHL
+EALGLIALTIALFTIYVLWTLVSGCQPDSRHLGADRSGAWAVTWMELGWGTGRHSACLGL
+WLDRGVEATPSISWSSRFRAGLRSQEPSVRLLPEECKITGSLQLSAGPTSHESC
+>tr|A0A0A0MP85|A0A0A0MP85_BOVIN G protein-coupled receptor 161 OS=Bos taurus OX=9913 GN=GPR161 PE=3 SV=1
+MSLNSSLGHRKELSNLTEGASDQGGSGVTEFVAIVIITVFVCLGNLVIVITLYRKSYLLT
+LSNKFVFSLTLSNFLLSVLVLPFVVTSSIRREWIFGVVWCNFSALLYLLISSASMLTLGI
+IAVDRYYAVLYPMAYPMKIGNRAVMVLYIWLHSLIGCLPPLFGWSSVEFDEFKWMCVAAW
+HREPGYTAFWQIWCALLPFLVMLVCYGFIFRVARVKARKVHCGAVVTVEVGVQRTGRKNS
+STSTSSSGSRKSAFQGVVYSANQCKALVTILVVIGAFMVTWGPYMVVITSEALWGKNCVS
+PTLETWATWLSFTSAICHPLIYGLWNKTVRKELLGMCFGDRYYREPFVQRQRTSRLFSIS
+NRITDLGLSPHLTALMAGEQPLGNSSSTGDTGFSCSQDSGTDVMLLEDYTSDDNPLHGTC
+PPKRRSSVTFEDEVEQIKEAAKNPILHVKADVHKSLDSYATSLAKAIEAEAKINLFGEEA
+LPGVLLTARTVPGIGFGSRRGSRTLAGQRLQLQSIEEGDVLATEQR
+>tr|A0A3Q1M540|A0A3Q1M540_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+EGRKEMCNAQTCKEMHGSLFWQNQYNIVNSYIICIQVMSLLFNILSRCLFIKLVQIKINF
+APLIVNTAIRDLGVSIMFNSLHADCSVHGILQFSFYSLQLYSFLDIMWGMSSLGLTTVIG
+LQNYILICHGLAGRGHKENTIVTLVLAKRTRGVLWKLLPSVKWKQYGPLPTGATCTSGPT
+LNSFPFLLVTSLKVSFNFMVAVTI
+>tr|A0A3Q1MWD4|A0A3Q1MWD4_BOVIN ADP/ATP translocase 2 OS=Bos taurus OX=9913 GN=SLC25A5 PE=3 SV=1
+MTDAAVSFAKDFLAGGVAAAISKTAVAPIERVKLLLQVQHASKQITADKQYKGIIDCVVR
+IPKEQGVLSFWRGNLANVIRYFPTQALNFAFKDKYKQIFLGGVDKRTQFWRYFAGNLASG
+GAAGATSLCFVYPLDFARTRLAADVGKAGAEREFRGLGDCLVKIYKSDGIRGLYQGFNVS
+VQGIIIYRAAYFGIYDTAKGNLLTDPKNTHIFISWMIAQSVTAVAGLTSYPFDTVRRRMM
+MQSGRKGTDIMYTGTLDCWRKIARDEGAKAFFKGAWSNVLRGMGGAFVLVLYDEIKKFT
+>tr|A0A3Q1M5T2|A0A3Q1M5T2_BOVIN GRB2 associated binding protein 3 OS=Bos taurus OX=9913 GN=GAB3 PE=4 SV=1
+MTGQSAESSLTCDLEAWRRRWFVLRRGRLSGDRDVLEYYRSQRASKPIRTIDLSECAVWK
+HAGPGFVRKEFQNHFVFIVKTTSRTFYLVAKTEEEMQVWVHSISQVCNLGHLEDRGDSME
+SGCCAPSSAQPSPSSSLLTNSAVCSLPRDDLSTSTVATEETRSESELFLLPDYLILSNCE
+TGRLHHASLPTRCDSWSNSERSLEQASFDDVFVDCPQPPPSGSLACPSHPGNGSQEAPSM
+RPQATLIWMKDVNGPSRDHFALPLGETSLNSWFDQNQASLPYGVKELDVMSNIPPPRPPK
+PSHLSEWWHKEQFLCRGPSSLKKPEGTVVPRRISLSGLDDMRTWKADVEGQSLRHRDKRL
+SLNLPCRFFPMSPPTSTSTEDGYMPMSPQTAAFALGPHDSSDDYIPMNSGSISSPLPELP
+VDLEPPPVNRDLKPQRKTRPPTLDLKNLSTIREHTCLTRTHTVPCNRTSFLSPERNGINS
+ARFFANSVSREEEKSYIQMEERRATNSLSSGAVTWTKKFSLDYLALDFNSASPAPVQQKI
+LLSEEQRVDYVQVDEQKTQALQSTKQEWTDERQSKV
+>tr|E1BBC2|E1BBC2_BOVIN Transmembrane protein 209 OS=Bos taurus OX=9913 GN=TMEM209 PE=4 SV=2
+MWPDHPLPVVEGRKEAIGVAKMQGEPNPSASLIDRTIKMRRETEARKVVLAWGLLNVSMA
+GMIYTEMTGKLISSYYNVTYWPLWYIELALASLFSLNALFDFWRYFKCTVAATSLVVSPE
+QQTLLGLKTAVVQTTPPRDLAAAQIPPSPPSPSIQGQSVLSYSPSRSPSTSPKFTTSCIT
+GYSPQLQGLSSGGSGSYSPGVTYSPISGYNKLASYSPSPPPPYPTTVGPVESSGLRSRYR
+SSPTVYNSPTDKEDYMTDLRTLDTFLRSEEEKQQRVKLGSPDSTSPPTSPTFWNYSRSVG
+DYAQTLKKFQYQLACRSQAPCANKDEADLSSKQAAEEVWARVTMNRQLLDHMDSWTAKFR
+NWINETILVPLVQEIESVSTQMRRMGCPELQIGEASITSLKQAALVKAPLIPTLNTIVQY
+LDLTPNQEYLFERIKELSQGGCMSSFRWNRGGDFKGRKWDTDLPTDSAIIMHVFCTYLDS
+RLPPHPKYPDGKTFTSQHFVQTPNKPDVTNENVFCIYQSAINPPHYELIYQRHVYNLPKG
+RNNMFHTLLMFLYIIKTKESGMLGRVNLGLSGVNILWIFGE
+>tr|F1MP12|F1MP12_BOVIN Zinc finger MIZ-type containing 1 OS=Bos taurus OX=9913 GN=ZMIZ1 PE=4 SV=3
+MNSMDRHIQQTNDRLQCIKQHLQNPANFHNAATELLDWCGDPRAFQRPFEQSLMGCLTVV
+SRVAAQQGFDLDLGYRLLAVCAANRDKFTPKSAALLSSWCEELGRLLLLRHQKSRQSDPP
+GKLPMQPPLNSMSSMKPTLSHSDGSFPYDSVPWQQNTNQPPGSLSVVTTVWGVTNTSQSQ
+VLGNPMANANNPMNPGGNPMASGMTTSNPGLNSPQFAGQQQQFSAKAGPTQPYIQQSMYG
+RPNYPGSGGFGASYPGGPNAPAGMGVPPHTRPPADFTQPAAAAAAAAVAAAAATATATAT
+ATVAALQETQNKDINQYGPVCSSFQMGPTQAYNSQFMNQPGPRGPASMGGSMNPASMAAG
+MTPSGMSGPPMGMNQPRPPGISPFGTHGQRMPQQTYPGPRPQSLPIQSIKRPYPGEPNYG
+NQQYGPNSQFPTQPGQYPTPNPPRPLTSPNYPGQRMPSQPSTGQYPPPTVNMGQYYKPEQ
+FNGQNNTFSGSSYSNYSQGNVNRPPRPVPVANYPHSPVPGNPTPPMTPGSSIPPYLSPSQ
+DVKPPFPPDIKPNMSALPPPPANHNDELRLTFPVRDGVVLEPFRLEHNLAVSNHVFHLRP
+TVHQTLMWRSDLELQFKCYHHEDRQMNTNWPASVQVSVNATPLTIERGDNKTSHKPLHLK
+HVCQPGRNTIQITVTACCCSHLFVLQLVHRPSVRSVLQGLLKKRLLPAEHCITKIKRNFS
+SVAASSGNTTLNGEDGVEQTAIKVSLKCPITFRRIQLPARGHDCKHVQCFDLESYLQLNC
+ERGTWRCPVCNKTALLEGLEVDQYMWGILNAIQHSEFEEVTIDPTCSWRPVPIKSDLHIK
+DDPDGIPSKRFKTMSPSQMIMPNVMEMIAALGPGPSPYPLPPPPGSTNSNDYSSQGNNYQ
+GHGNFDFPHGNPGGTSMNDFMHGPPQLSHPPDMPNNMATLEKPLSHPMQETMPHAGSSDQ
+PHPSIQQGLHVPHPSSQSGPPLHHSGAPPPPSQPPRQPPQAAPGNHPHSDLTFNPSSALE
+GQAGAQGASDMPEPSLDLLPELTNPDELLSYLDPPDLPSNSNDDLLSLFENN
+>tr|F1MWX3|F1MWX3_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 GN=BOLA PE=3 SV=3
+MGPRTLLLLLSEVLVLTETWAGSHSLSYFCTCVSRPGLGEPRFFAVGYVDDTQFARFDSD
+APNPRMEPRAPWMEQEGPEYWEAMTRDAKKAQQRLRTGLNTLRGFYNQSEAGSHTLQWVF
+GCDVGPEGRLLRGIWQNAYDGADYLALNEDLRSWTAANTVAQITKRKWETSGEAEFQRNY
+LEVKCVQWLLRHLETGKDTLLRADPPKTHVAHHPISDREVTLRCWALGFYPEEISLTWQQ
+DGEDQTQDMELVETRPSGDGTFQKWAALVVPSGEEQRYTCHVQHEGLQEPLSLRWKPPQP
+SIPIMGIIVGLVLLMVTGAVVTGAGSDVSLMVPKGETLGRLDWERG
+>tr|F1MDV1|F1MDV1_BOVIN HP domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=2
+LQSVEVLVFDFGSEVYVWHGKEVTLAQRKIAFQLAKHLWNGTFDYENCDINPLDPGECNP
+LIPRKGQGRPDWAIFGRLTEHNETILFKEKFLDWTELKRPNEKNASELAQHKDDARAEVK
+PYDVTRMVPVPQTTAGTVLDGVNVGRGYGLVEGDDRRQFEIASISVDVWHILEFDYSRLP
+KQSIGQFHEGDAYVVKWKFIVSTAVGSRQKGEHSVRVAGKEKCVYFFWQGRQSTVSEKGT
+SALMTVELDEERGAQVQVLQGKEPPCFLQCFQGGMVVHSGRREEEEENTQSEWRLYCVRG
+EVPVEGNLLEVACHCSSLRSRTSMVVLNVHKALIYLWHGCKAQAHTKEVGRTAANKIKDQ
+CPLEAGLHSSSKVTIHECDEGSEPLGFWDALGRRDRKAYDCMLQDPGNFNFTPRLFILSS
+SSGDFSATEFMYPARDPSVVNSMPFLQEDLYSAPQPALFLVDNHHEVYLWQGWWPIENKI
+TGSARIRWASDRKSAMETVLQYCRGKNLKKPPPKSYLIHAGLEPLTFTNMFPSWEHREDI
+AEITEMDTEVSNQITLVEDVLAKLCKTIYPLADLLARPLPEGVDPLKLEIYLTDEDFEFA
+LDMTRDEYNALPAWKQVNLKKAKGLF
+>tr|A0A3Q1MAH5|A0A3Q1MAH5_BOVIN Kinesin family member 1A OS=Bos taurus OX=9913 GN=KIF1A PE=3 SV=1
+MAGASVKVAVRVRPFNSREMSRESKCIIQMSGSTTTIVNPKQPKETPKSFSFDYSYWSHT
+SPEDINYASQKQVYRDIGEEMLQHAFEGYNVCIFAYGQTGAGKSYTMMGKQEKDQQGIIP
+QLCEDLFSRINDTTNDNMSYSVEVSYMEIYCERVRDLLNPKNKGNLRVREHPLLGPYVED
+LSKLAVTSYNDIQDLMDSGNKARTVAATNMNETSSRSHAVFNIIFTQKRHDAETNITTEK
+VSKVSLVDLAGSERADSTGAKGTRLKEGANINKSLTTLGKVISALAEMDSGPNKNKKKKK
+TDFIPYRDSVLTWLLRENLGGNSRTAMVAALSPADINYDETLSTLRYADRAKQIRCNAVI
+NEDPNNKLIRELKDEVTRLRDLLYAQGLGDITDTGTVPGGPRLTSALVGMSPSSSLSALS
+SRAASVSSLHERILFAPGSEEAIERLKETEKIIAELNETWEEKLRRTEAIRMEREALLAE
+MGVAMREDGGTLGVFSPKKTPHLVNLNEDPLMSECLLYYIKDGITRVGREDAEKRQDIVL
+SGHFIKEEHCVFRSDSCGGSEAVVTLEPCEGADTYVNGKKVTEPSVLRSGNRIIMGKSHV
+FRFNHPEQARQERERTPCAETPAEPVDWAFAQRELLEKQGIDMKQEMEQRLQELEDQYRR
+EREEATYLLEQQRLDYESKLEALQKQMDSRYYPEVNEEEEEPEDEVQWTERECELALWAF
+RKWKWYQFTSLRDLLWGNAIFLKEANAISVELKKKVQFQFVLLTDTLYSPLPPDLLPPEA
+AKDRETRPFPRTIVAVEVQDQKNGATHYWTLEKLRQRLDLMREMYDRAAEVPSSIIEDCD
+NVVTGGDPFYDRFPWFRLVGSSVVSGCNSYPLLNTCMSERMAALTPSPTFSSPDSDATEP
+AEEQSVGEEEEEEEEEEEEDLQDDVFPEHVLCDGRDPFYDRPPLFSLVGRAFVYLSNLLY
+PVPLVHRVAVVSEKGEVKGFLRVAVQAISADEEAPDYGSGVRQSGTAKISFDDQHFEKFQ
+AESCPGVGMSRSGTSQEELRIVEGQGQAADSGPSADEVNNNTCSAVTPEGLLDSPEKAAL
+DGPLDAALDHLGLGSTFTFRVTVLQASSISAEYADIFCQFNFIHRHDEAFSTEPLKNTGR
+GPPLGFYHVQNIAVEVTRSFIEYIKSQPLVFEVFGHYQQHPFPPLCKDVLSPLRPSRRHF
+PRVMPLSKPVPATKLSTLTRPCPGPCHCKYDLLVYFEICELEANGDYIPAVVDHRGGMPC
+MGTFLLHQGIQRRITVTLLHETGSHIRWKEVRELVVGRIRNTPETDESLIDPNILSLSIL
+SSGYICPAQDDRQFLDSDMPRTFYQFEAAWDSSMHNSLLLNRVTPYREKIYMTLSAYIEM
+ENCTQPAVVTKDFCMVFYSRDAKLPASRSIRNLFGSGSLRASESNRVTGVYELSLCHVAD
+AGSPGMQRRRRRVLDTSVAYVRGEENLAGWRPRSDSLILDHQWELEKLSLLQEVEKTRHY
+LLLREKLEAAQRPGPETLSPAASDGSEAHGSSSASSPLTAEARPASLEAPSERQRELAVK
+CLRLLTHSFNREYTHSHVCVSASESKLSEMSVTLLRDPSMSPLGAATLTPSSTCPSLVEG
+RYGATDLRTPQPCSRPASPEPEPLPEVDAKKLPSPARAAEADKEPQRLLVPDIQEIRVSP
+IVSKKGYLHFLEPHTAGWAKRFVVVRRPYAYMYNHDKDAVERFVLNLSTAQVEYSEDQQA
+MLKTPNTFAVCTEHRGILLQASSDKDMHDWLYAFNPLLAGTIRSKLSRRRSAQMRV
+>tr|A0A3Q1M6F0|A0A3Q1M6F0_BOVIN Tetratricopeptide repeat domain 37 OS=Bos taurus OX=9913 GN=TTC37 PE=4 SV=1
+MSSKEVKTALKSARDAIRNKEYKEALKHCKTVLKQEKNNYNAWVFIGVAAAELEQPDQAQ
+GAYKKAAELEPDQLLACVDKQKWCDVCKKLVDLYYQEKKHVEVARTWHKLIKTRQEEGAD
+NQELHQLWRKLTQLLAECTEDQNNETQQLLLTAFENALGLSDKIPSEDHQVLYKHFIQCL
+SKFPHETARLKKACEGMINIYPTVQYPLEVLCLHLIESGSLTDEGEQYCCRLVEMDPQSG
+TGLIGLGIKALQDKKYEDAVRNLTEGIKESPLCTAGWYHLAEAQVRMHKPKEAVLSCNQA
+LKNIDNLGVSGGSLHQKNLCLRLKAEALIKLSDYESSEEAIRTLDQVSDANNIPGLLVLK
+GLAYLNKGSLDEASKIMEDLLSSYPDLTEVHALEALIHFTKKDYLQAEKCFQQALEKDPE
+VAEYHYQLGLTYWSMGEEARKDKTKALTHFLKAAKLDTYMGKVFCYLGHYYKDVVGDKNR
+ARGCYRKAFELDDTDAESGAAAVDLSVELEEMETALAILTTVTQKASAGTAKWAWLRRGL
+YYLKAGQHSQAVADLQAALRADPKDFNCWESLGEAYLSRGGYTTALKSFTKASELNPEST
+YSVFKVAAIQQTLGKYKEAVAQYQLIIKKKEDYVPALKGLGECHLLLAKAALVDYLDGKA
+VDYIEKALEYFTRALQHRADVSCLWKLVGDACTSLSAVSPSKVNVSVLGVLLGQKEGKQV
+LKKNELLHLGGRCYGRALKLMSTSNTWCDLGINYYRQAQHLADTGSNSDDLQELLEKSMH
+CLKKAVRLDSNNHLYWNALGVVSCYSGIGNYALAQHCFIKSIQSEQINAVAWTNLGVLYL
+ANEKIEQAHEAFKMAQSLDPSYLMCWIGQALIAETVGSYDTMDLFRHTTELSMHTEGAIG
+YAYWVCTTLQDKSNRDTELYRYNILQMNAIPAAQVVLSKYVERIQNYAPAFTMLGYLNEH
+LQLKKEAANAYHSYVLLLSSIGEYDKAIQAFKSTPLEELEDIIGFALALFMKGLYKESSK
+AYERALTIVESEQDKAHILTALAIAEYKQGKTDVAKTLLFKCSILKEPTTESLQALCALG
+LAMQDATLSKAALNELLKHSKPNSDYQRSLLTSAICALQGRSVAVQRQASKAVHSSTQPK
+RMDLYLALLSAVSASSKFEKSLERWSLSQAVTGLIDTGRISKAEALCTKNLKSNPDQPAI
+ILLLRQVQCKPLLESRKPLPDTVLEELQKTVMSNSTSVPAWQWLAHIYQSQGMMGAAEMC
+YRKSLQVASQQGSWSGKLSSLLRLALLALEVCMANVSNAHWPSLVQEATAEALKLCFCPL
+AVLLQALLQFKRKMGARETRRLLERVVYQPGYPKSIVSTARWYLLRHLHAKNDYELIDVL
+VNNAKTHGDTRALELNQKLSSE
+>tr|G3N1S6|G3N1S6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=2
+MKGLLILQDNLESSMFPMQKGSLNLLRQKWESSDYQKSEYSPRGSRCRLFQPQENKLLEP
+EGEVASTPGPPDPPSLLRSVREEILSVEPEEKCPEDKSDYSRDYGQLEVLKEDSLSGRHR
+IERFSIALDELRSVFEAPRSGNRQAGPAEYSRKVRSH
+>tr|A0A3Q1MCI0|A0A3Q1MCI0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+METEQPEETFPNTETNGEFGKRPAEDMEEEQAFKRSRNTDEMVELRILLQSKNAGAVIGK
+GGKNIKALRTDYNLLQTEYLCQTQIHMLKV
+>tr|E1BKP4|E1BKP4_BOVIN TANK binding kinase 1 OS=Bos taurus OX=9913 GN=TBK1 PE=4 SV=2
+MQSTSNHLWLLSDILGQGATANVFRGRHKKTGDLFAIKVFNNISFLRPVDVQMREFEVLK
+KLNHKNIVKLFAIEEETTTRHKVLIMEFCPCGSLYTVLEEPSNAYGLPESEFLIVLRDVV
+GGMNHLRENGIVHRDIKPGNIMRVIGEDGQSVYKLTDFGAARELEDDEQFVSLYGTEEYL
+HPDMYERAVLRKDHQKKYGATVDLWSIGVTFYHAATGSLPFRPFEGPRRNKEVMYKIITG
+KPSGAISGVQKAENGPIDWSGDMPVSCSLSRGLQVLLTPVLANILEADQEKCWGFDQFFA
+ETSDILHRMIVHVFSLQQMTAHKVYIHGYNTATVFHELVYKQTKIISSNQELIYEGRRLV
+LEPGRLAQHFPKTSEENPIFVVSREPLSTIGLIYEKISLPKVHPRYDLDGDASMAKAMTG
+VVCYACRIASTLLLYQELVRKGIRWLIELVKDDYNETVHKKTEVVITLDFCIRNIEKTVK
+VYEKLMKINLEAAELGEISDIHTKLLRLSSSQGTIETSLQDIESKLSPGGLLADTWTHQE
+GTHPKDRHVEKLQVLLNCITEIYYQFKKDKAERRLAYNEEQIHKFDKQKLYYHATKAMTH
+FTDECVKKYEAFLDKSEEWMRKMLHLRKQLLSLTNQCFDIEEEVSKYQEYTNELQETLPQ
+KMFAASSGIKHTMTPIYPCSNTLVEMTLGMKKLKEEMEGVVKELAENNHILERFGSLTMD
+GGLRNVDCL
+>tr|A0A3Q1LT01|A0A3Q1LT01_BOVIN Progestin and adipoQ receptor family member 5 OS=Bos taurus OX=9913 GN=PAQR5 PE=4 SV=1
+MSQVAGNPCFGGWPSPTRASFLPVFFEIQKPGICKMLRVLAFAFPYTWDSLPVLFRVFLL
+PWESAENEATVYHQKHVAMTLLASFFYSAHLPERLAPGRFDYIGHSHQLFHVCVILATHM
+QMEAILLDKTLRKEWLLTHCRPLVFAQIAGAILLCLIFSLSNIVYFSAALYRIPEPELHK
+KET
+>tr|F6PV58|F6PV58_BOVIN Zinc finger and SCAN domain containing 12 OS=Bos taurus OX=9913 GN=ZSCAN12 PE=4 SV=1
+MMAYTWSIQAMKDREELLEVKIEEEELEEEHKYATTQDQNLQKNTTHSREVFRRYFRQFC
+YQETSGPREALSRLRELCHQWLKPETHTKEQILELLVLEQFLTILPEELQAWVQEQHPES
+GEEVVTVLEDLERELDEPGYQVSVRSEEQELQEIVPLRTEQEPRICLQSVQAQPKCESAE
+LEAQQKQDIETGNEYRNLKQEVSPEMKPYGNIASKFENEMSQPARYEKTYEPEEKTGESS
+EYSREDKEPTCDENGVSLTENLDLSEHQRICPGEKPYVCDVCGRAFSQHSRFVEHQRIHT
+GDRPYKCKECGKTFRGRTVLIRHKIIHTGEKLYKCNECGKAFAQWSALNQHQRLHTGEKH
+YHCNECGKAFSQKAGLFHHLKIHTRDKPYHCTQCNKSFSRRSILTQHQGVHTGAKPYECS
+ECGKAFVYNSSLVSHQEIHHKEKCYQCKECGKSFSQSGLTQHQRIHNGEKPYKCDVCGKA
+FIQRTSLIEHQRIHTGERPYQCDKCGKAFTQRSVLKEHQKVHTGERPYKCNECGNAFRGI
+TSLIQHQRIHTGEKPYQCDECGKAFRQRSDLSKHQRIHNRGDPYTCKVRGESFTQNSALI
+QHQTTHKAEKSGSV
+>tr|A0A3Q1M1J0|A0A3Q1M1J0_BOVIN GFO_IDH_MocA domain-containing protein OS=Bos taurus OX=9913 GN=GFOD1 PE=4 SV=1
+MLPGVGVFGTSLTARVIIPLLKDEGFAVKALWGRTQEEAEELAKEMSVPFYTSRIDEVLL
+HQDVDLVCINLPPPLTRQIAVKTLGNVETLDLEMTGLGLIRGFIFTGKSLDLTLLSIVSA
+HARWVGHLGLLCCHSWRLNACNTGSDKPQTVMGPAWPLRVCRY
+>tr|E1BD49|E1BD49_BOVIN FAD dependent oxidoreductase domain containing 2 OS=Bos taurus OX=9913 GN=FOXRED2 PE=4 SV=3
+MGLSTVAPLWGAPGLLLTIALHLALYLRPAQAWAPVHRDYCVLGAGPAGLQMAYFLQRAG
+RDYMVFERAPVPGSFFTRYPRHRKLISINKRYTGKTNAEFNLRHDWNSLLSHDPRLLFRH
+YSDAYYPDANDMVRYLSDFAERLGLHVLYNTTIAHVTVNKDRGAWNGHYFILTDHRGQAY
+QCSVLLVATGLSVPNQVDFPGSEYVDGYESVSVDPKDFEGQNVLILGRGNSAFETAENIF
+SVTNFIHMLSRSRVRLSWATHYVGDLRAINNGLLDTYQLKSLDGLLESDLTDLAIVKDHK
+GKFHITLKLFMEEFFNQSSDAIPLPQDNNDNFAMRVAYDRVIRCLGWKFDFSIFSKSLRL
+SSGSELSEKYPLVRASYESKGSRGLFILGTASHSVDYRKSAGGFIHGFRYTVRAVHRLLE
+QRHHGVAWPSTEHPITHLASAIIRRINEASGLYQMFSVLADVVLLKENATTFEYLEEFPM
+QMLAQLETITGKRARHGLFVINMEYGRNYSGPDKDVFFSDRSVGHMEDAWLSNFLHPVIY
+YYRHLPTEQEVRFRPAGWPLPRPSALHHVVEDFLTDWTAPVGHILPLRRFLENCLDTDLR
+SFYAESCFLFALTHQKLPPFCQQGYLRTQGLVGTESLRQHGVESGLLWDSATMGQNQGAS
+DQRPGDHGPGGHPLAPGPPVSPFNSNKEEL
+>tr|G3MY19|G3MY19_BOVIN PDZ and LIM domain 5 OS=Bos taurus OX=9913 GN=PDLIM5 PE=4 SV=2
+MSNYSVSLVGPAPWGFRLQGGKDFNMPLTISSLKDGGKASQANVRIGDVVLSIDGISAHG
+MTHLEAQNKIKGCTGSLNMTLQRASATPKLEPVPVQKPTVTSVCAETAQELAEGQRRGSQ
+GDSKQQNGKIPPKRPPRKHIMDRNTEFYHIPTHSDASKKRLIEDTEDWRPRTGTTQSRSF
+RILAQITGTEHLKESEADNTKKAKFDSSLEDLPQTGPHPPTTAQVLHIGGQVATVSKVTT
+YSSSTRNVATSSLEASRNLSTLSSPARYSAAVLSSAAATVSAVLAARTRLSSPEKYHSLL
+DALCISPVSKPLAFSYLQPLRKSTGSVHVKKTSNAQESSLQSAPSAGAAWSSPERPGSPG
+LARPGVAGLTTAAAFKPLGSSGIKSPSWQRPSQAAPSTGRVVSSGPSTGAVAPANSARGQ
+PQPSDEDTLVQRAEHIPAGKRTPMCAHCNQVIRGPFLVALGKSWHPEEFNCAHCKNTMAY
+IGFVEEQGALYCELCYEKFFAPECGRCQRKILGEVINALKQTWHVSCFVCVACGKPIRNN
+VFHLEDGEPYCETDYYALFGTICRGCEFPIEAGDMFLEALGYTWHDTCFVCSVCCESLEG
+QTFFSKKDKPLCKKHAHSVNF
+>tr|A0A3Q1N874|A0A3Q1N874_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC107131241 PE=4 SV=1
+MSPVKVPACLYPHVEVLQGTKRSVKESSNKSKKSSPQTGSLHPESARQHFRSFCYHDTPG
+PYEAVSHLQELCSQWLRPEIHSKEQILELLVLEQFLDILPSHIQNWVQKYHPQNVKEAVA
+LVDRFHRECGGINNEVTTHQLGNDTALLGGTAVAPGFKWKPAEPQPVGVFQEACSNIYQV
+LQDPGWNTHKESQPVDEGGEEFHHHYILLGSPLTFEDVALYFSEEEWKIMTPDEKTLYLD
+VMQELYEDVASVGLKLKNDTGNDPPVSLPTLEIQPSGCEVLRKATMKDAETTMGDVNHGG
+TCRVWKRPRAFPGRKRKKLKTCKQELPKPVDVHGKGCEGEKPFKCQECGKSFRVSSDLIK
+HQRVHTEERPYKCEQCDKQFRWSSDLNKHLMAHQGIKPYRCSWCGKSFSHNTNLHTHLRI
+HTGEKPFKCYECGKRFIQNSHLIKHQRTHTGEQPYTCSICNRNFSRRSSLLRHQKLHKRR
+ESCPVSPV
+>tr|A0A3Q1NNE3|A0A3Q1NNE3_BOVIN Ubiquitin interaction motif containing 1 OS=Bos taurus OX=9913 GN=UIMC1 PE=4 SV=1
+MPRRKKKVKEASEAQNLEKKDVETTGSVNVKKKRRLEDAYIVISDSDGEEPKEENGLQKT
+KAKQSNRAKCLAKRKIAQMTEEEQFALALKMSEQEAREVNSQEAEEEELLRKAIAESLNS
+SQGLFVEETSEEGTSVPASQSIAALTSKRSSAFMPKSSVEEITVCPETQLSSPETFDLEK
+EGFPDGRETLDEVRIMADKEVLQVDNKKDAEKEISTSIFSSSAQVSCPLCDQRFPPTKIE
+RHAMYCNGLMGQDTVLTRSQREALNRRDSVTTAQTSLDIDKDEKCYLCKSLVPLREYQCH
+VESCLQLARGDQGDEPEESERVCTAVGRKRPQWLRNLKDKGQNEGRLLRLLEQSEYKTTD
+AEIKTKFSETGAFRVSSPGLEEAGCSREMQSSLTHLDSNESPIKSFVSVSEATDCLVDFK
+KQLTVRPHSQTQTKAGRGRRRKS
+>tr|A0A3Q1LLP4|A0A3Q1LLP4_BOVIN Interleukin 1 receptor associated kinase 3 OS=Bos taurus OX=9913 GN=IRAK3 PE=4 SV=1
+HGHHHMLLYLTSLISLSGATLNPIEQSHREKEFPNMLQKVECVCKDNLSSPYFPSKGILL
+KSSISFHSIVEGTKNFHKDFLIGEGEIFEVYRVEIQNRTYAIKLFKQGGKMQCKKQWKRF
+LSELEVLLLFRHPNILELAAYFTESEKFCLVYPYMRNGSLFDRLQCVGDTAPLSWHIRIG
+VLIGTSKAIQYLHNTELCSVICGSISSANILLDDQFQPKLTDFAMAHFRPHLEPQSSAIS
+MTSSSSKHVWYMPEEYIRQGKLSIKTDIYSFGIVIMEVLTGCKVVLDEPKHIQLRDLLIE
+LMEKRGLDSCISFLDKKVPPCPQNFSAKLFSLAGRCAATRAKLRPSMDEVLNILESTQAS
+LYFAEDPPTSLKSFRSPSPLFFDNVPSIPVEDDENQNNPSPPHDQSLRKDRMTQKTPFEC
+SQSEVTFLGFDKKTGSRGNEDSSSRSGSPCEESWSPKCATPSLDLRAPSVHTDTSAEAPG
+HSYRSRPVETSCSSIFSWNECKLYKKASVSDEDKEESKNC
+>tr|A0A3Q1LIR3|A0A3Q1LIR3_BOVIN Castor1_N domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MDHNKLWKILQEMEIPDHLTCLLRNLIPLFTYSLIKLAFLSSETRCKFFSLTKTPEDYTI
+IVDEEGFLELPSSEHLGVPDATWLALNVVSRAAASPVSSPPA
+>tr|A0A3Q1MUS1|A0A3Q1MUS1_BOVIN Pappalysin 1 OS=Bos taurus OX=9913 GN=PAPPA PE=4 SV=1
+MRLWSWVLRLGLLSAALGCGLAERPRRARRDPRAGRPPRPAAGPATCATRAARGRRASPP
+PPPPPPPGGAWEAVRVPRRRQQREARGAAEEPSPPSRALYFSGRGEQLRLRADLELPRDA
+FTLQVWLRAEGGQSSPAVITGLYDKCSYASRDRGWVVGIHTVSDQGNRDPRYFFSLKTDR
+ARQVTTINAHRSYLPGQWVYLAATYDGRLMKLYMNGAQVATSGEQVGGIFSPLTQKCKVL
+MLGGSTLNHNYRGSVERFSLWKVARTQREILLDMGTRGPQGPLPQLLLQENWDNVKRAWS
+PMKDGSSPRVEVSGAHGFRLDTSLEPPLCGQTLCDSPEVIANYNQLPRLRQPKVVRYRVV
+NLHDDGRENPTVSRQQIDFQHQQLAEAFKHYNISWELEVLEVSNSSLRRRLILANCDISK
+IGDENCDPECNHTLTGHDGGDCRHLRHPAFAKKQQNGVCDMDCNYERFNFDGGECCDPNI
+TDVTKTCFEPDNLHRAYLDVNEMKNILRLDGSTHLNIFFANSSEEELAGVATWPWDKEAL
+MHLGGIVLNPSFYGIPGHTHTMIHEIGHSLGLYHIFRGISEIQSCSDPCMETEPSYETGD
+LCSDTNPAPKHKFCGDPGPGNDTCGFNSFFNTPYNNFMSYADDDCTDSFTPNQVARMHCY
+LDLVYQGWQPSRKPVPIALAPQVVSHTPDSVMLEWFPPIDGHFFERELGSACDLCLEGRF
+LVQYAFNASSPMPCGPSGHWSPREAEGKPDVEQPCKSSVRTWSPNSAVNPHTVPPACPEP
+QGCHLDLRFRYPLVPESLTVWVTFVSTDWDSSGAVNDIKLLGVSGNNISLGPQNVFCDVP
+LTIKLQDVGEEVYGVQIYTLDEHLEIDAAMLTSVADSPLCLECKPLQYKVVRDPPLQVDV
+ASTLHFSRRFTDTDVHLGSRYQYWVIAISGNEESEPSPAATYIHGSGYCGDGIIQRSQGE
+ECDDMNKINGDGCSLFCRQEVSFNCIDEPSRCYFHDGDGVCEEFEQKTSIKDCGVYTPQG
+FLDQWASNATVSHQDQQCPGWVIIGQPAASQVCRTKVIDLSEGISQHAWYPCTISYPYSQ
+LAYFSQPMVATAVIVHLVTDGTYYGDQKQETISVQLLDTNEQSHDLGFHVLSCRNNPLII
+PVVHDLSQPFYHSQAVRVSFSSPLVAISGVALRSFDNFDPVTLSSCQRGETYSSAEQSCV
+HFACEAADCPKLAVENASLNCSSSDGYHGAQCAVRCRTGYVLQIQRDDELIKSQTGPSVT
+VTCKEGKWNKQVACEPVDCGVPDQHHVYAASFSCLEGTTFGSKCSFQCRHPAQLKGNNSL
+LTCMEDGLWSFPEALCELMCLAPPPVPNADLQTARCRENKHKVGSFCKYKCRPGYHVPGS
+SRKSKKRAFKTQCTQDGSWQEGACVPVTCDPPPPKFHGLYQCTNGFQFNSECRINCEDTS
+RPPPCLLLGRGNNVIHCRKDGTWSGSFHICQEMQGECSAPDQLNSNLKLQCPEGYAIGSE
+CTTSCLDHNSESIVLPVNVSVRDIPHWLNPTRVQVIVCTAGLKWYPHPALIYCVKGCEPF
+MGDNYCDAINNRAFCNYDGGDCCASTVKTKKVFYYFWCDSNRDCFLNFSF
+>tr|A0A3Q1MIP7|A0A3Q1MIP7_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MAYNYFSLITECSIYRTVALISHASEVMLKILQARLQQYMNCELPNIQAGFRKGRGTRDQ
+IANIRWIIEKAREFQKNVYFCFIDYTKAFDCVDHNKLWKILKEMGKPDHLTCLLRNLYAG
+QDATVRTEHETTDWFQIGKGVCQGSILSPCLFNFYAEYIMRNAGLEEAQVGIKIAGRNIN
+NLRYADDITLMAESEEELKSLLMKVKEESEKVGLKLNIQKTKIMASGPITSWEIDGEMVE
+TVSDFIFLGSKITADGDCIHEIKRRLLLGRKVMTNLDSIFKSRDITLPTKVHLVKAMVFP
+VVMYGCESWTVKKAGC
+>tr|A0A3Q1M558|A0A3Q1M558_BOVIN Actin, alpha cardiac muscle 1 OS=Bos taurus OX=9913 GN=ACTC1 PE=3 SV=1
+MCDDEETTALVCDNGSGLVKAGFAGDDAPRAVFPSIVGRPRHQGVMVGMGQKDSYVGDEA
+QSKRGILTLKYPIEHGIITNWDDMEKIWHHTFYNELRVAPEEHPTLLTEAPLNPKANREK
+MTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVTHNVPIYEGYALPHAIMRL
+DLAGRDLTDYLMKILTERGYSFVTTAEREIVRDIKEKLCYVALDFENEMATAASSSSLEK
+SYELPDGQVITIGNERFRCPETLFQPSFIGMESAGIHETTYNSIMKCDIDIRKDLYANNV
+LSGGTTMYPGIADRMQKEITALAPSTMKIKVKNFLLCLDWGLHPGLPVHLPADVDQCLLS
+YLLNHPPSSLINHCTVCLHTCNLFVLLIFIAL
+>tr|G3MX15|G3MX15_BOVIN One cut domain family member OS=Bos taurus OX=9913 GN=ONECUT3 PE=3 SV=2
+MGSPLSPLPNALPPALHGAPQPPPPPPPPPLAAYGAPGHLAGDKLLPPAAFEPHAALLGR
+AEDALARGLSGGGGSGGGAGGGSTAGLLAPLGGLAAAGAHGSHAGGGGPAAGGGGPGAGA
+AAEEINTKEVAQRITAELKRYSIPQAIFAQRILCRSQGTLSDLLRNPKPWSKLKSGRETF
+RRMWKWLQEPEFQRMSALRLAACKRKEQEQQKERALQPKKQRLVFTDLQRRTLIAIFKEN
+KRPSKEMQVTISQQLGLELNTVSNFFMNARRRCMNRWAEEPGAAPGGPAGAAATFSKA
+>tr|A0A452DJ37|A0A452DJ37_BOVIN tRNA methyltransferase 10 homolog C OS=Bos taurus OX=9913 GN=TRMT10C PE=4 SV=1
+MSVSITFLRPFARVLVPFTLHRKRRVLYSTIMQRYMSSKIPAASYPNKESTPPSEELELD
+RWKITMKSSVQEEDVSTATSSEDEDPLAATRELVEMWRLLGKEVPEHFSEEELKTLMECV
+SKSSKRKYLKYLYIKEKMKKARQIKKEMKKAEKEEPKKDQLPETIKEDKQQNFLFLRLWD
+RNMDIAMGWKGAQAMQFGQPLVFDMAYDDHMKPKELQNAVSQLLESEGCNRRNVDPFHIY
+FCNLKTGGAYYKELVKRYGEKWNKLLLTATEKSHVDLFPKDSIIYLTADSPNVMTTFKHD
+KIYIVGSFVDKNMQPGTSLAKAKRLKLATECLPLDKYLQWDTGTKNLTLDQMMRILLCLK
+NTGSWEEALKFVPSRKHAGYLEISQHSQEFLNRMKKSKTFNSFPRGSINRHRKSSLKENI
+>tr|A0A3Q1MFT8|A0A3Q1MFT8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+LQQGGRFTNTINNDTITLNCAGMHELLFGKRAKRADDLPLSSSASIPSLLFSHTLDLVHS
+TDVIFLGEVLGCKRKQEIYAKFHLDMYCGFCSFVGNISNFSPSSILISLAGSLCFQLCMI
+LFASCTKQAFSKV
+>tr|E1BFA9|E1BFA9_BOVIN Repulsive guidance molecule BMP co-receptor a OS=Bos taurus OX=9913 GN=RGMA PE=4 SV=3
+MQPPRERLVVTGRAGWMGMGRGAGRPALGFWPTLAFLLCSFPAASSSCKILKCNSEFWSA
+TAGSHAPAADDAPEFCAALRTYALCTRRTARTCRGDLAYHSAVHGIEDLMSQHSCSKDGP
+TSQPHLRTLPPPGDSQERSDSPEICHYEKSFHNRAAAPNYRHCGLFGDPHLRTFTDRFQT
+CKVQGAWPLIDNNYLNVQVTNTPVLPGSAATATSKLTIIFKNFQECVDQKVYQAEMDELP
+AAFADGSKNGGEKHGANSLKITEKVSGQHVEIQAKYIGTTIVVRQVGRYLTFAVRMPEEV
+VNAVEDRDSQGLYLCLRGCPLNQQIDFQAFRAGAAEGPGAPQPPAASPAPPAPDTFPYET
+AVAKCKEKLPVEDLYYQACVFDLLTTGDVNFTLAAYYALEDVKMLHSNKDKLHLYARTRE
+PPGRAGAAPGPPPAPWPLLGTLLLLALLPALLGAA
+>tr|F6QWI1|F6QWI1_BOVIN STE20-related kinase adapter protein alpha OS=Bos taurus OX=9913 GN=STRADA PE=4 SV=1
+MSFLVSKPERIRTNEASSESIASFSKPEIMSSFLPEGGCYELLTVIGKGFEDLMTVNLAR
+YKPTGEYVTVRRINLEACSNEMVTFLQGELHVSKLFSHPNILPYGATFIADNELWVVTSF
+MAYGSAKDLICTHFMDGMSELAIAYILQGALKALDYIHHMGYVHRSVKASHVLISADGKV
+YLSGLRSNLSMISHGQRQRVVHDFPKYSIKVLPWLSPEVLQQNLQGYDAKSDIYSVGITA
+CELANGHVPFKDMPATQMLLEKLNGTVPCLLDTSTIPAEELTMSTSRSAANSGLSESLAP
+STPRTSNGDSPSHPYHRTFSPHFHHFVEQCLQRNPDMRPSASTLLNHSFFKQIKRRASEA
+LPELLRPVTPITTFEGRQSQDHSGIFGLVTNLEELEVDDWEF
+>tr|F1N3Q5|F1N3Q5_BOVIN Transmembrane protein 176A OS=Bos taurus OX=9913 GN=TMEM176A PE=4 SV=2
+MPIPTQTVHTLGEFLIRKPLPWWGQETEWPLRVSQGWSALQSPRPPTWPLRSPGCQSPAF
+PSSWKTLEGPCAPAGKLPHPSLLALHQVPPASACSGLPSPAHHSPLPVPEESRWVSRGME
+TVDCGEAAPRAPQPASIQVHFHHESGLAKLLLGGCSLLQPLLLPRPRATSRALGRHRLLA
+TSWVMQIVLGLLSGVLGGFLYIFSSTTLRNSGAPIWTGAVAVLAGAVAFIYEKRGGIYWA
+LLRTLLALAAFSTATAATIIGAGRFYEYHFIFYKGICNVSPSWRPTGAPTLSPDLERLQQ
+CTAYVNMLKALFISINAMLLGVWVLLLLASLLPLCLCCWRRYRRKEKRDLPLEETVRSE
+>tr|A0A3Q1LXC4|A0A3Q1LXC4_BOVIN KAT8 regulatory NSL complex subunit 3 OS=Bos taurus OX=9913 GN=KANSL3 PE=4 SV=1
+MAHRGGERDFQTSARRMGTSLLFQLSVHERELDLVFLDHSYAKPWSAHPDASSARPTRML
+FVTPRRQHESTIESDVPIDVETVISTPVPLYDNQKARSVMNECERHVIFARTDADAPPPP
+EDWEEHVNRTGWTLAQNKLFNKILKALQSDRLARLANEGACNEPVLRRVAVDKCARRVRQ
+ALASVSWDTKLIQWLHTTLVETLSLPMLAAYLDALQTLKGKIPTLIDRMLVSSNTKTGAA
+GAEALSLLLKRPWDPAVGVLSHNKPSKLPGSPLILIASSGPSSSVFPTSRRHRFWQSQLS
+CLGKVIPVATHLLSNGSGVGVLQCLEHMIGALRSKVLEIHSHFPHKPIILIGWNTGALVA
+CHVSVMEYVTAVVCLGFPLLTVDGPRGDVDDPLLDMKTPVLFVVGQNSLQCHPEAMEDFR
+EKIRAENSLVVVGGADDNLRISKAKKKSEGLTQSMVDRCIQDEIVDFLTGVLTRTEGHVG
+SEPRDQDAEKKKKPRDVARRDLAFEVSERGSRPASPAAKLPASPSGSEDLSSVSSSPTSS
+PKTKVTTVASSQKSSQIGSTQLLKRHVQRTEAVLTHRQAQVPISSEQTEEAEKEELRVQL
+KRHHPSSPLAGSKTCKRPKIKVSLISQGDAAGGPCPPSSGGAPEAAGGKPLTMTLGQAST
+GATELTGLLATAKSGASEGCVSASQTSSVVSSSTSPSALHTLQSRLVAPSPGSSLPGAAS
+ASSLLQGLSFSLQDISSKASGLPASPSPGPAPQAASVKLPAPVQSLGAVTTGAGSIVRAV
+PVATALSSLGAAPGGKPTAIHQLLTNGGLAKLASSLPGLAQISNQASGLKVPTTITLTLR
+GQPSRITTLSPVGTGAAPSEEPASQALPSSSQAGWRCVQTWVNSRRTARTKEGCLTSAWG
+TLLSVSW
+>tr|F1N6Y2|F1N6Y2_BOVIN PHD finger protein 19 OS=Bos taurus OX=9913 GN=PHF19 PE=4 SV=2
+MENRALDPGTRDSYGATSHLPNKGALAKAKNNFKDLMSKLTEGQYVLCRWTDGLYYLGKI
+KRVSSSKQSCLVTFEDNSKYWVLWKDIQHAGVPGEEPKCNICLGKTSGPLNEILICGKCG
+LGYHQQCHIPIAGSADRPLLTPWFCRRCIFALAVRKGGALKKGAIARTLQAVKMVLSYQP
+EELEWDSPHRTNQQQCYCYCGGPGEWYLRMLQCYRCRQWFHEACTQCLNEPMMFGDRFYL
+FFCSVCNQGPEYIERLPLRWVDVVHLALYNLGVQSKKKYFDFEEILAFVNHHWELLQLGK
+LTSTPVTDRGPHLLNALNSYKSRFLCGKEIKKKKCIFRLRIRVPPNPPGKLLPDKGLAPP
+EHGAASELRRRGKSKPSLLPHEFQQQKRRVYRRKRSKFLLEDAIPSSDFTSAWSTNHHLA
+SIFDFTLDEIQSLKSASSGQTFFSDVDSTDAASTSGSASTSLSYDSRRTVGSRKRKLAAK
+AYVPLRAKRRAAEPGGRCASDSSAEGASGPERPDEGVDSHTFESISEDDSSLSHLKSSIT
+NYFGAAGRLACGEKYQVLARRVTPEGKVQYLVEWEGTTPY
+>tr|A0A3Q1MAK1|A0A3Q1MAK1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MAGSEQQRPRRLDDGDAAAAPLQDAELALAGINMLLNNGFRESDQLFKQYRNHSPLMSFG
+ASFVSFLNAMMTFEEEKMQLACDDLKTTEKLCESEEAGVIETIKNKIKKNVSTMSLGYEL
+CASYLEHSSFTWFLVVRI
+>tr|A0A3Q1ME59|A0A3Q1ME59_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MATNLPHMPRETWELFMVLQESRISLISLCCRYSYLTFLCPRTCCYFCYSPIPTSLLFLG
+LLSPYLSPGLSFILTLPWAPQAPGTSHLGLGIGDIAATSDRFTGGITQALVS
+>tr|A0A3Q1N5P9|A0A3Q1N5P9_BOVIN FTO, alpha-ketoglutarate dependent dioxygenase OS=Bos taurus OX=9913 GN=FTO PE=4 SV=1
+MIQVIYKQNRLTVIGKKLTVSPIIIIFKQKLRLLEELEDTWLPYLTPKDDEFYQQWQLKY
+PKLILREAASVPELLHKEVQQAFLTLHKHGCLFRDLVRIQGKDLLTPVSRILIGNPGCTY
+KYLNTRLFTVPWPVKGSDAKYNEAEIAAACQTFLKLNSYLQVETIQALEELAAKEKANID
+AVPVCIGPDFPRVGMGSSFDGHDEIDMKNRAAYNVTLLNFMDPQKMPYLKEEPYFGMGKM
+AVSWHHDENLVDRSAVAVYSYSCEGPEEESEDDPQLEGRDPDIWHVGFKISWDIETPGLA
+IPLHQGDCYFMLDDLNATHQHCVLAGLPPRFSSTHRVAECSTGTLEYILQRCQVALQNVR
+EEADNGEISLKSLESVVLKQGEEIHNEVEFEWLRQFWFQGSRYKKCTDWWCQPMSQLEEM
+WRKMEWLTSAVLREVRREGVPMEQKNEMLTSILASITTRQNLRREWHARCQSRIARTLPA
+DQKPECRPYWEKGDPSMPLPFDLTEIVSELRGLLLETRP
+>tr|E1BD02|E1BD02_BOVIN Enhancer of zeste 2 polycomb repressive complex 2 subunit OS=Bos taurus OX=9913 GN=EZH2 PE=4 SV=2
+MGQTGKKSEKGPVCWRKRVKSEYMRLRQLKRFRRADEVKSMFSSNRQKILERTEILNQEW
+KQRRIQPVHILTSVSSLRGTRECSVTSDLDFPTQVIPLKTLNAVASVPIMYSWSPLQQNF
+MVEDETVLHNIPYMGDEVLDQDGTFIEELIKNYDGKVHGDRECGFINDEIFVELVNALGQ
+YNDDDDDDDGDDPDEREEKQKDLEESREDKESRPPRKFPSDKIFEAISSMFPDKGTAEEL
+KEKYKELTEQQLPGALPPECTPNIDGPNAKSVQREQSLHSFHTLFCRRCFKYDCFLHRKC
+SYSFHATPNTYKRKNTETALDNKPCGPHCYQHLEGAKEFAAALTAERIKTPPKRPGGRRR
+GRLPNNSSRPSTPTINVLESKDTDSDREAGAETGGESNDKDEEEKKDETSSSSEANSRCQ
+TPIKMKPNTEPPENVEWSGAEASMFRVLIGTYYDNFCAIARLIGTKTCRQVYEFRVKESS
+VIAPAPAEDVDTPPRKKKRKHRLWAAHCRKIQLKKDGSSNHVYNYQPCDHPRQPCDSSCP
+CVIAQNFCEKFCQCSSECQNRFPGCRCKAQCNTKQCPCYLAVRECDPDLCLTCGAADHWD
+SKNVSCKNCSIQRGSKKHLLLAPSDVAGWGIFIKDPVQKNEFISEYCGEIISQDEADRRG
+KVYDKYMCSFLFNLNNDFVVDATRKGNKIRFANHSVNPNCYAKVMMVNGDHRIGIFAKRA
+IQTGEELFFDYRYSQADALKYVGIEREMEIP
+>tr|A0A3Q1MYL9|A0A3Q1MYL9_BOVIN Microtubule-associated protein OS=Bos taurus OX=9913 GN=MAP4 PE=4 SV=1
+MADLSLADALTEPSPEIEEEIKRDFIATLEAEAFDDVVGETVGKTDYIPLLDVDEKTGSS
+ESKKKPCSDTSQVEGTPSSQAAVLANGDHGIERNDVTGFPSEFLEEKMAYQGYQNSQNWP
+ENTNFCFEPEHMVNPIQTDPFKMHHDDGLEDSLFFPSGTTNTSAFVEQNDPLKDTYGMLP
+CDTFAPAAVVPQGWSAEAPNPAHLESFTSPEAVPQPLQPTAEPAEKVEMASGEERAEALE
+MMMKLQAADMAPSREAEMVLAKDVVPATETGVALAKDMESPTKPDEALVKDVESSIESDM
+ALVKDVVLPVQTEETAVKDAILPTETDVSLDEDLALSTETEVSAAQDILLFKETESIPPV
+TMDLASAEGTVPPTDQEMTPVKVAASLSEIEAPLDEDIVSSTEIRSAKEIGLSSETEVAL
+SREMGLPPETEAILDKDMAAPPETEVIVPVKDMAPSPGIETTLAKDVAPRQEIEVTLGKD
+TVSLPATEMALGRNVALPPETEVTLAKDVAQPPETEVNLANNAALAKFSEAEVVPVPVKD
+METAQTQEATSEDSQLKSLQDEGQSAVPLMTSPEAVVAMGQKHSLPTDEDSVLEELEQKK
+PSSQTSELPSETSGTPPTQAKPAYRPSDRRSARPTRPRPSRVPPELLGGSSPWKTLDPGL
+GPCPLSELGWVSGSSSCGEPGNQRKTIHGDFLEPQRDLGREAWDIESSPMMMKKKKKKPK
+QKRYSQPRAGGPWDDDNGDETKGYSFATDSQKSAVPPSQPTTVGTEHGLVSRDDLKGVCE
+TDSREAKLVAESFVSDSLSIPSRPLEEAPKTTVNSQPKQRVEAQVKGNKSAPQGQEKKLL
+QDECILQAAPHLQTPADESQTVRSLSLKGPLTEVSSHKVEIPLEVRPKESCTPIMNREAV
+GGVSKPSAAKELPASISTVTAGDPLGNSLKEGNDESKMTELQNDKQKEFSEGAEEVKELK
+KESVPKQRHENSILASEQLQDTVLAQAPGLGNEPFKRMAGDGKSRKGKTSSGKVRASSGK
+VRAKSEPPFLADSQDSRAVFVPSGRMATGAKSEELGLDSSKQPGTVAALTEAIVMGEPKE
+MMDPRVASTLQALIPLGNGSGMIQASSARAERGAVATDMGVSNQSKEEKCPWMGHEAAPW
+IFEKPKKRGSEGKTKKYKNNYSTQPARMERKEEILNPPFVRKDGVTDSTPHQNKESEPTV
+PLCSHTSDTPTVEADDQKGRNVEANSFEFGALGGNKTNTGRDSPITEMATKMTDVSCQDQ
+IPGAGFVPSVLAEENKTDVAKGHTAVADKPSKRSNDGKSTKTKNSFPEKHTLENKIDATK
+IHVPMETIGDHRIEGMGYVDENSNITFTCPRTPPGLMKKSVPLEAQEPSACEKPPTSTSQ
+VVKESDSFPGTLTESKQETAPAQIPKLLEVDSYSKEGVPKEQRPEGPSAVMPSMSTGGVA
+STLTTAIETVNNHSNCLQDKGELAGAMKNEAGRDGEHVIGDSESTPCGASKHSVEETTEP
+AGGHLLSGVLANEPSLAGEVRRLEACADRSNFPTCPVNKESEQGSAPVSIPHLLGDKAQK
+PSFCEDQSTEGRDSKGPDNLNKEVDMTLSLPESEKDKKDKLEEISLDSDIREMAYVSLAP
+PELQSDVLDGKIEVTSSTMIDELVVTASEAPQLPESKGKILEAPKKMTEKSESKALGEGK
+KEDKSRVAEPMKGYMRPTKSRGLTPLLPKSTIQERERSKQLKSSGVAKPEEGPPTGSVSG
+NDITAPPNKELPPSPEKKTKPLATTQPAKTSTSKAKTQPTSLPKQTAPTTLGGSNKKPMS
+LASGSVPAAPPKRPAAATSRPSTLPSKDTKPKPVAEAKIPEKRVSPSKPASAPAVKPGSK
+STQAVPKAPATATLASPGSTSRNLSTPLPKRPTAIKTEGKPAEIKKMATKSAPADLSRPK
+STTTSSVKKSTTVPGTAPPAGAPSRARPTATPPRPSGTPPVDKKPTAAKPTSSAPRLGRV
+AANASAPDLKNVRSKVGSTENIKHQPGGGRAKVEKKTEAAAPARKPEPNAVTKAAGPIGN
+AQKPPTGKVQIVSKKVSYSHIQSKCGSKDNIKHVPGGGNVQIQNKKVDISKVSSKCGSKA
+NIKHKPGGGDVKIESQKLNFKEKAQAKVGSLDNVGHLPAGGAVKTEGGGSEAPPCPGPPA
+GEELAIPEAAPEAGAPASASGLSGHTTLAGGGDQREAQTLDSQIQETN
+>tr|A0A3Q1MUE9|A0A3Q1MUE9_BOVIN Sodium/bile acid cotransporter OS=Bos taurus OX=9913 GN=SLC10A7 PE=3 SV=1
+MRLLERMRKEWFMIGIVLAIAGAKLEPSIGMNGGPLKPEITVSYIAVATIFFNSGLSLNT
+EELTSALVHIKLHLFIQIFTLAFFPAAVWLFLQLLSITPINEWLLKGLQTVGCMPPPVSS
+AVILTKAVGGNEAAAIFNSAFGSFLGIVVTPLLLLLFLGSSSSVPFTSIFSQLFMTVVVP
+LIIGQIVRRYIKDWLERKQPPFGAVSSSVLLMIIYTTFCDTFSNPSIDLDKFSLLLVLFI
+ICSIQLSFMLLTFIYSTRNNSGFTPADTVAVMFCSTHKSLTLGIPMLKIVFAGHEYLSLI
+SVPLLIYHPVQILLGSVLVPTIKSWMVSRQKGVKLMRPTV
+>tr|A0A3Q1N4L4|A0A3Q1N4L4_BOVIN FERM domain containing 3 OS=Bos taurus OX=9913 GN=FRMD3 PE=4 SV=1
+MFASCYCVPRGRRTMKMIHFRSSSIKSLSQEMKCTIRLLDDSEISCHIQRETKGQFLIDH
+ICNYYSLLEKDYFGIRYVDPEKQRHWLEPNKSISKQMKSHPPYTMCFRVKFYPHEPLKIK
+EELTRYLLYLQIKRDIFHGRLLCSFSDAAYLGACIVQAELGDYDPDEHPENYISEFEIFP
+KQSQKLERKIVEIHKNELRGQSPPVAEFNLLLKAHTLETYGVDPHPCKDSTGTTTFLGFT
+AAGFVVFQGNKRIHLLKWPDVCKLKFEGKTFYVIGTQKEKKAVLAFHTSTPAACKHLWKC
+GVENQAFYKYAKSSQIKTVSSSKIFFKGSRFRYSGKVAKEVVEASSKIQREPPEVHRTNI
+SQSRSSHSLNKQLIINMEPLQPLLPSPSEQEEELPPGEGVPLPKEDISAPLISSSPVKES
+HEYEEPSSEEEDKIKEEPLTISELAYNPSASLLPTPVDDDEIDMLFDCPSRLELEREDTD
+SFEELEADENAFLMAEEEEMKEARQALSWSYDILSGTIRVNPLVKSFSRLLVVGLGLLLF
+VFPLLLLLLESGIDLSFLCEIRQTPEFEQFHYDYYCPLKEWVAGKVNLVLYMLGCS
+>tr|F1MMI9|F1MMI9_BOVIN tRNA 2'-phosphotransferase 1 OS=Bos taurus OX=9913 GN=TRPT1 PE=4 SV=3
+MPAPFFLGFSLAGPGAQGPAGSAARHPESVLAMNSSGGRRRETAGPKGRRAHRPPQDQDR
+DVQLSKALSYALRHGALKLGLPMGADGFVPLDALLQLPQFRSFSAEDVQRVVDTNVKQRF
+ALQPGDPSTGPLIRANQGHSLQVPELELEPLETPQALPLMLVHGTFRQHWPSILLKGLSC
+RGRTHIHLAPGLPGDPGVISGMRPNCEVAVFINGPLALADGIPFFRSTNGVILTPGNADG
+VLPPKYFKEALQLRPTRKPLSLAGNEEKEHQRDSKHSSRGRGMTQQ
+>tr|G3MX25|G3MX25_BOVIN Chromosome 10 C15orf61 homolog OS=Bos taurus OX=9913 GN=C10H15orf61 PE=4 SV=2
+MEALRRAHEAVLRLLLCRPWAAGAASRPKPRASEVLTRHLLQRRLPHWTSFCVPYSAVRN
+DQFGLSHFNWPVQGANYHVLRTGCFPFIKYHCSKAPWQDLAGQDRFFTALKVVNLGIPTL
+LYGLGSWLFARVTETVHTSYGPITVYFLNKEDEGAMY
+>tr|A0A3Q1LMZ1|A0A3Q1LMZ1_BOVIN Spermatogenesis associated 21 OS=Bos taurus OX=9913 GN=SPATA21 PE=4 SV=1
+EEVSLMPTATLEEKTVHTAPLRVPWGAWPRAARGTVRLLEASRIACPSRPQEAVDTGARG
+LDPTQKQPQEPAAATGARDPGSARPGFMRRLLEVEEEEAALRRAAKARALPGRRCPRALG
+PVPAPAPSLPPPLPAAQAPASASARAWARPPVPAPVPAPAGTPGPAPGPAAVLPVPASDL
+AWRRTELLSHSRERSLKARQELEERCLLNVCQTWEERAEEHLTMKQEEAFRSYFEIFNGH
+GEVDAQSLENILLLVGISLTTAQVEGALRSADIDGDGHVNFKDFLTVMTDTRRFFCSVEQ
+NALMDMAPPNPDTLFFEILSLLVEMLALPEGALEEITSYYQRKLKEGACKARETESATGR
+LRPRKKLPYSPPQADTLEIPERRVLRVLSRLKQQNYAANLQSPYAQVPCIPLCPRLDKKT
+VRRKQGGHYELEQCPSPGLGPDIHSILLHTGSQGGRWVPRGHGSSVPARTH
+>tr|E1BKF9|E1BKF9_BOVIN Cilia and flagella associated protein 52 OS=Bos taurus OX=9913 GN=CFAP52 PE=4 SV=1
+METQASPKDEVAEAVELELEAVIGFNGHVPTGLKCHPDQEHLVYPLGCTILIQAINTQEQ
+NFLHGHGNNVSCVAISKSGLYIASGQVTFMGFKADIILWDYKKRELMARLSLHKGKIEAL
+AFSPNDMYLVSLGGPDDGSVVVWSIAKREAICGSPAAGLNVGNATTVIFSKCRDEMFVTA
+GNGTIRVWELDLPNRKIWPTECQTGQMKRIVMSISMANDDSFFYLGTTTGDILKMNPRTK
+LLADTGPAKDKFSLGVSAICCLKMGGLLVGSGDGLLVFCKSPSYKPIKKIQLQGGITSIT
+LRGEGHQFFVGTEESHIYRVNFTNFKETLITTCHFESVEDIVFPFGTAELFATCAKKDIR
+VWHTLTNRELLRITVPNMTCHGIDFMRDGKSIISAWDDGRIRAFAPETGRLMYVINNAHR
+IGVTAIATTSDCKRVISGGGEGEVRVWHIGHQTQKLEEALKEHKSSVSCIRVKKSNEECV
+TASTDGTCIIWDLVRLRRNQMILANTLFQCVCYHPEEFQIITSGTDRKIAYWEVFDGSVI
+RELDGSLSGAVNGMDITVEGVHFVTGGNDHLVKVWDYNEGEVTHVGVGHSGNITRIRISP
+GNQYIVSVSADGAILRWKYPFPS
+>tr|G3MZB6|G3MZB6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=2
+QDTFRQFLNRDDIGIILINQYIAEMVRHALDAHQRSIPAVLEIPSKEHPYDAAKDSILRR
+ARGNI
+>tr|E1BIT3|E1BIT3_BOVIN Leucine rich repeats and immunoglobulin like domains 2 OS=Bos taurus OX=9913 GN=LRIG2 PE=4 SV=3
+MAPAPQGVREEPLLECGSRLLSRLLFLAQAVVLLLPAARAGLCPVPCSCRIPLLDCSRRK
+LPAPSWRALSTSLPPDAVSLDLSHNRLSNWNISLESQTLQEVKMNYNELTEIPYFGEPTS
+NITLLSLVHNIIPEINAEVFQFYPALETLDLSSNQISEIKTSSFPRMQLKYLNLSNNRIT
+VLEAGCFDNLSSSLLVVKLNRNRISMIPPKIFKLPHLQFLELKRNRIKVVEGLTFQGLDS
+LRSLKMQRNGISKLKDGAFFGLDNMEELELEHNNLTEVNKGWLYGLRMLQQLYVSQNAVE
+RISPDAWEFCQRLSELDLSYNQLTRLDESAFVGLSLLERLNLGDNRVTHIADGVFRFLSN
+LQTLNLRNNEISWAIEDASEAFAGLTSLTKLILQGNQIKSVTKKAFIGLESLEHLDLNNN
+AIMSIQENAFSQTRLKELILNTNSLLCDCHLKWLLQWLVDNNFQHSVNVSCAHPEWLAGQ
+SILNVDLKDFVCDDLLKPQIRTHPETTVALRGVNVTLRCTAVSSSDSPMSALWRKDSEVL
+YDADIENFVRYQQQAGEALEYTSVLHLFNVNFTDEGKYQCIITNHFGSNYSHKAKLTVNE
+MPSFLKTPMDLTIRTGAMARLECAAEGHPAPQISWQKDGGTDFPAARERRMHVMPEDDVF
+FIANVKIEDMGIYSCMAQNIAGGLSANASLIVLETPSFIRPLEDKTVTRGETAVLQCIAG
+GSPAPRLNWTKDDGPLLVTERHFFAAANQLLIIVDAGLDDAGKYTCIMSNTLGTERGHIY
+LNVISSPNCDSSQSSIGHEDDGWTTVGIVIIVVVCCVVGTSLIWVIVIYHMRRKNEDYSI
+TNTEELNLPADIPSYLSSQGTLSEPQEGYSNSEAGSHQQLMPPANGYLHKGTDGGAGTRV
+ICSDCYDNANIYSRTREYCPYTYITEEDVLDQTLSSLMVQMPKETYLAHPPQDATTLESL
+VSSAERERCAFPTNHERMNEKKPSSTRMSNGSSSAL
+>tr|E1B7Y5|E1B7Y5_BOVIN G_PROTEIN_RECEP_F1_2 domain-containing protein OS=Bos taurus OX=9913 GN=LOC618139 PE=3 SV=2
+MNGDARNRTAAPEFMLEGFPAVQHLGKVLFSVHLLMYLASVAGNTLIITITWADHHLQTP
+MYFFLSSFSFLECCFTTTVIPKLLAIFLLGRQKISFAACITQAFVFLFLGATVFFLMAVL
+SLDRYMAICKPLYYPTIMNPRMCSLLVSACLVLGFSLMVVPVTKLSQLFFCGSHVIPHFF
+CDLGPLINLSCSDIRSIEMLAFGLALFILSTSFIITIISYSNIVVTILHLPSAKERQKAF
+STCSSHFIVLSLMYGSCVFIYMKPKGTNQLDSNKGAALVNTVVTPLLNPVIYTLRNKQVH
+QALRNALTRILIGNDRFGDKTVRKTLELEFT
+>tr|A0A3Q1NNA6|A0A3Q1NNA6_BOVIN FERM, ARH/RhoGEF and pleckstrin domain protein 2 OS=Bos taurus OX=9913 GN=FARP2 PE=4 SV=1
+MGELEGTYRVLQTPGARLGTATPVGVSTLEPGQGPPARAARPAARTHIRVQLLDDSVEVF
+DIEPKCDGQALLTQVWERLNLIECDYFGLESQNAQSCWIWLEPMKPIIRQVRRPKNAVLR
+LAVKFFPPDPGQLQEEYTRYLFALQLKRDLLEERLTCTDTTAALLASHLLQAEVGDYDEA
+LDREHLRAHEYVPRQERALHRILAFHRELAGQTPAESDFQVLEIARKLDMYGIRFHSASD
+REGAKIKLAVSHTGVLVFQSSTRINTFNWSRLRKLSFKRKRFLIKLHPEVHGPYQDTLEF
+VLGSRDECKNFWKICVEHHTFFRLLDQPKPKAKAVLFSRGSSFRYSGRTQKQLADYVKDG
+GMKRVPYERRHSRTQMSLRALKVDLPRQSISFSEGVRTPVTPSPTTASFCSAPASPPVPP
+GSRDFQVGSGSSETGPPAPGAWRPAAERSSMATAQPLRLPALQPHQGLSVESPQPSPSTQ
+RSPPGLSPQGPAVQGPNPLLGPVLSDAAGAGMDDREEPKRKCVPTDEAYFIAKEILATER
+TYLKDLEVITVWFRSAVVKADAMPADLMTLLFSNIDPIYEFHRGFLREVEQRLALWEESS
+STQATGSHQRIGDILLRNMLQLKALTGHFQRLHEVLTELEAASRRLRRLEALRRDFELQK
+VCYLPLNAFLLKPLQRLRHYRLLLRRLCAPVPGHPDPHGQDHADLRDALQAITEVTSMLQ
+HSLVQLENLQKLTELQRDLVGIESLVAPGRELIREGCLHKLSRKGLQQRMFFLFSDMLLY
+TSRDAGGSSHFRIRGLLPLHGMLLIVLDPPVEDQESEWSVPHCFTIYAAQKTIVVAASTR
+LEKAKWLHDLNAAIDAAKTGSALVPPARVLCPLPREPAFWISAEKVQEQQWLAEALGGLH
+QFLLVLLQNSSGRPPPGQPPAAGLQCEPAQGHGRHP
+>tr|E1BDA2|E1BDA2_BOVIN MFS domain-containing protein OS=Bos taurus OX=9913 GN=FLVCR2 PE=4 SV=3
+MVNEDPNQQDHDGTPSQTSALQEHPNFSVHPSVSMHPSVSAHPSVSMHPSVSAHPSVSIH
+PSVSAHPSVSIHPSVSVYPSISAHPSTLAQPSGLIQPSSSGPEDLSVIKVSKRRWAVVLV
+FSCYSMCNAFQWIQYGSINNIFMNFYGVSAFAIDWLSMCYMLTYIPLLLPVAWLLEKFGL
+RTIALTGSALNCLGAWVKLGSLKPHLFPVTVLGQVICSVAQVFILGMPSRIASVWFGANE
+VSTACSIAVFGNQLGIAIGFLVPPVLVPNVKDQDKLAYHISIMFYIIAGVATLLFILVII
+VFKEKPKHPPSRAQSLSYALASTDASYLSSIIRLFKNLNFVLLVITYGLNAGAFYALSTL
+LTRMVIFHYPGEEVNAGRIGLTIVLAGMLGAVISGIWLDRTKTYKETTLVVYIMTLVGMV
+VYTNTLNLGHLWVVFITAGAMGFFMTGYLPLGFEFAVELTYPESEGMSSGLLNVSAQVFG
+IIFTISQGQIIDNYGTMPGNIFLCVFLTLGAVLTGESGPQDKVML
+>tr|F1MRP0|F1MRP0_BOVIN GH3 domain containing OS=Bos taurus OX=9913 GN=GHDC PE=4 SV=1
+MLLLLLTLLLLLPLAMLLWRPQSRDARRSWLVRLQHCVTWGALRWAAAWQQKRLAQSTLH
+AGQSQQQALRWCLRGVQGPRCPLRGSTDITTFRNHLPLTKASQAQEEESGGQPLPPTSNR
+YHGEASLQATLLGLAALNKAYPEVLAPGGTARVTASSPWPYPLPWPWHALGQVSPTGAKD
+PRVLLLEALRSPGLRALEAGTAAELLDVFLDLEAHGEELAEAIAAGNPGAPLPRRAAELR
+EALEQGTRGLALRLWPKLQVVVTLDAGGQAEAVAALGALWCQGLTFFSPAYAASGGVLGL
+SLWPEQPHGLYLLPPGAPFIELLPLKEGTWEEATPTVLLAEAQKGKEYELVLTNHASLTR
+CRLGDVVQVAGDYNQCPVVKFICRLGQTLSVRGEDTGEDVFSEALGRAVGQWPGAKLLDH
+GWVESRILDSSEGSAPHYEVFVALKGLRNLSEENRDKLDHCLQETSARYKDLRFRGSMGP
+AQVHLVGQGAFRELRAALAACPSAPFPPEMPRVLRHRSLAQCLQRRVVS
+>tr|E1B715|E1B715_BOVIN Kinesin-like protein OS=Bos taurus OX=9913 GN=KIF9 PE=3 SV=1
+MGSRKKVHAFVRVRPTDDFAHEMIKYRDDNKTIDIHLKKDSRRGVVNNQQTDWSFKLDRV
+LHDASQDLVYETVAKDVVSQALNGFNGTIMCYGQTGAGKTYTMTGATESYKHRGILPRAL
+QQVFKMIEERPTHAITVRVSYLEIYNESLFDLLSTLPYVGPSVTPMTIVENPQGVFIKGL
+SVHLTSQEEDAFSLLFEGETNRIIASHTMNKNSSRSHCIFTIYVEARSRTLSDEKYVTSK
+INLVDLAGSERLGKSRSEGRVLKEATYINKSLSFLEQAIIALGDQKRDHIPFRQCKLTHA
+LKDSLGGNCNMVLVTNIYGEAAQLEETLSSLRFASRMKLVTTEPTVNEKYDAERMVRNLE
+KELALLKQELAIHDSLANRTLVNYDPMDEIQIAEINSQVRRYLEGTLEEIDIINLRQIQE
+VFNQFRVVLSQQEQEVESTLRRKYTLIDKNDYAAISAVQKAGLVDADGHLVGEPDGQGFG
+LGVAPFSTKPGKKSKSKKMFKEQLSSSARKEGASSPLSGKDLDVSTSKTQLTPSSKDGDV
+KDMVVRDRETSNTEPLASDSPKEELRPPRPSTPPAKPVAFEDFKNERGSEINRIFKENKS
+ILNERRKRASETTQRINAIKREIDMTKEALNLQKSLREKQGEYENKGLMIIDEEEFLLIL
+KLKDLKKQYRTEYQDLRDLRAEIQYCQHLVDQCRHRLLTEFDIWYNESFFVPEDLQMAVK
+PGGSIRPGMMPLSKIVSLGEDDQDRFSQLQQTTLPEGPDSVSFYNAKVKMEQKHNYLKTM
+MGLQQAHRK
+>tr|E1BPX1|E1BPX1_BOVIN Vacuolar protein sorting 13 homolog C OS=Bos taurus OX=9913 GN=VPS13C PE=4 SV=3
+MVLESVVADLLNRFLGDYVENLNKSQLKLGIWGGKREGAASELDVPFKVKAGQIDKLTLK
+IPWKNLYGEAVVATLEGLYLLVVPGASIKYDAEKEEKSLQDIKQRELSRIEEALQKAAEK
+GAHSGEFIYGLENFVYKDIKPGRKRKKHKKHFKKPFKGLDRSKDKAKEAKKDTFLEKLAT
+QVIKNVQVKITDIHIKYEDDVSDPTRPLSFGVTLGELSLLTANKHWTPCILNEAEKIIYK
+LIRLDSLSAYWNVNCSMSYQGSREQILDQLKSEILTSNNIPPNHQYIFQPISASAKLYMN
+PYAETELKTPKLDWNIEVQNIAIELTKPQYLSMIDLLESVDYMVRNAPYRKYKPYLPLHT
+NGRQWWKYAIDSVLEVHIRRYTRMWSWSNIKKHRQLLKNYKSAYKNKLTQTKVPEEIQKQ
+IQDLEKILDVFNIILARQQAQVEVIRSGQKLKKKSTDTAEKRGGWFSGFWSKKESKKKDE
+ESLIPETIDDLMTPEEKDKLFTAIGYSESTHNLTLPKQYVAYIVALKLVSTSITIRENRN
+IPEILKIQIIGLGTQVSQRPGAQALKVEAKLEHWYITGLRQQDIIPSLVASIGDTASSLL
+KIEFETNPESSTADQTLVVQSQPVEVIYDAKTINAVVEFFQSNRGLDLEQITSATLMKLE
+EIKERTATGLTHIIETRKVLDLRINLKPSYLIVPQTGFHHEKSDLLILDFGTFRLNSKDQ
+GLQKTSNSSLEEIMDKAYDKFDVEIKSVQLLFARADENWKECRFQHPSTMHILQPMDIHV
+ELAKAMVEKDIRMARFKVSGGLPLMHVRISDQKMKDVLCLINSIPLPQKSSAQSPEKQVS
+SIPIISTRTKGLLGTPLLLDGIESESDDEYFDAEDGDIQPSKSTKASDVKKAPEAPNEEL
+INLLLKFEVKEVILEFTKQQKEEDTILVFNVTQLGTEATMRTFDLTAVSYLKKISLDYHE
+IQGSKKKPLHLISSSDKPGLDLLKVEYIKADKNGPSFQTTFEKTEQTFKVAFSSLNLLLH
+TQALLSSINYLTTIIPSDGQNMSDTKDIQVSTEKQQKNSALQKVVVSSKDSDMIHFRLFA
+KLNAFCVVVCDEKNNIAEIKIQGLDSSLSLQSRKQSLFARLENIIVTDVDPKTVHKKAVS
+IMGNEVFHFNLDLYPDATEGDSYTDMSKVDGVVSLNVGCIQIVYLHKFLMSLLNFLNNFQ
+TAKEALSAATAQAAEKAATSVKDFAQRSFRVSINIDLKAPVIVIPQSSISTNAVVVDLGL
+IRVQNQFSLVSGENYSDPPVIDRMDVQLTELKLSRTVIQPGISHPDIQLLHPINLEFFVN
+RNLAASWYHKVPVVEIKGHLESMNVNLNQEDLNLLFRILAENLGEAPEDLNKVKPRIQET
+GEIKEPLEISTLKQDVHVSQDTSTAGVEEIKSVDIINMLLNFEIKEVVITLMEKAEKKGR
+PLHELNVLQLGLEARVKAYDLTAEAYLKKISMRCFEFTDFKGGPLHIINSSNVTDEPLLK
+MLLTKADTDGPEFKTTHDNTKKTLEVSFSSLDLVLHLEALLSFMDFLSSAVPSSEPSKKD
+SELKPLMGESRSIIAKTVSSNSSQDDVFDLKVTAKLNAFNVFVCDQKCNIADIRIHGMDA
+SVSVRPKQTDMFARLKDIIVTNVDLTSIHKKAVSILGDEVFRFEMTLYPDATEGKAYSDM
+SKVDGKLSLKVGCIQIVYVHKFFMSLLNFLNNFQTAKEALSSATAQAAERAASSMKDLAE
+KSFRLLMDINLKAPVIIIPQSSVSPNAIIADLGLITVENRFNVVSRGHHSLPPIIDKMDI
+QLTQLKLSRTILQADLPQHDIEILKPVNMLLYIQRNLAASWYVQIPGVEVKGRLKPMQVA
+LSRDDLTVLMKILLENLGEASSQPSPTQSTQEAVRVRQDVSSRPEHFIEQEDVTDPKLAL
+DQSVTLQFDFHFESLSIVLYNNDTSQESKLAFHNDSFRLGELRLHLMASSGKMFKDGSMN
+VSIKLKTCTLDDLREGIERATSRMIDKKNGQENNSSMIDISYQQGKNESHIDAVLDKLYV
+CASVEFLMTVADFFIKAVPQSPENMTKESQIPLKQTALAKIKMEKDDSLRPNMILNAKIT
+DPEVVFVANLTKADAPALTASFQCDFFLSTSKLEQIMTASLGDLKVLACPFLREKRGKNI
+TTVLQPCSLFMKKYTQTSGKENIDIKVEEFIIKISPIILNTVMTIMAAMSPKTKEDESKD
+TSKEMENLWGIKSISDCNSWFLGVDMGTELTESFRDVENQSIEENCAVVVESVQVTLECG
+LGHRTVPLLLAESKFSGNIKNWTSLMAASADMTLEVHYYNETHAVWEPLIERVEEKRPWN
+LSLAVKKNPVQDKTLMPGDDFIAVPEPQTEIDISSGDTMNITISKSLCLILEGTASTFDY
+SLKDRAPFTVTNSVGVPIKVQPNHNLRVMGCPEKSDVCDVDAGQILELEYATMEPSRQGK
+LSILSRQESSLFSLTFAPHGYTEVTKVPIARPGRRLHNVWNPNTSHFDSLVVQIDATEGN
+KVITVRSPLQIKNHFSIAFIIYKYVKNVKLLERIGIARPEEEFHVPLDSYRCQLFIQPAG
+ILENQYKESTTYISWKEELHRSKEVRCMLQCPSTEMNFLPLIVNTVAVPDELSYISAQGK
+DWDPAYIIHLYPTVTLRNFLPYSLRYLLEGTAETHELTEGSTANVLHSRISGEIMELVLV
+KYQGKNWNGHFRICSTLPEFFLVCFSSDSEETLTVDLSIHVKRIGSQMELSIFSPYWLIN
+KTSRVLQYRAEDTHVKHPADFRDIVLFSFKKKNIFSKNKVQLKISTSSWSNGFSLDTVGS
+YGCVKCPANNMEYLVGVSIKMSTFNLTRIVTLTPFYTIENKSSLELEVGEIASDGSLPTS
+KWNYIASSENLPFWPEKFSGKLCVRVVSCEGSSRPFFYNQQDNGTLLSLEDLNGGILVDV
+DTAPHSTVISFSDYHEGCAPALIINHTPWNILTYKQSGSKEEMVLLPRQVRLFAWEDPTG
+TRKLKWSYAENVGEHDLLKDECGQFAYDANIQIHWVSFLDGRQRVLLFTDDVALVSKALQ
+AEEMEQANHEITFSLHSLGLSLVNNETKQEVSYIGITSSGVVWEMKRKQKWKPFSRKQII
+LLEKSYKKYLESRDLGWIKLDDNFEVNFGKDPMEMRLPSRCFIRRHFLSGIQVEYKQSPH
+QRSLRARLYWLQVDSQLPGTVFPVVFHPVAPPKSIALDSEPKPFIDVSVITRFNEYSKVL
+QFKYFMVLIQEMALKVDQGFLGAITALFTPTADPEAERKWLIREDLDAINTELVGTSVTD
+TSMLSFFEDFHISPIKLHLSLSLGSGGEESNQEKRELIPIHSVNLLLKSIGATLTDVDDL
+IFKLAYYEIRYQFYNRDQLMWSVVRHYSEQFLKQMYVLVFGLDVLGNPFGLIRGLSEGFE
+ALFYEPFQGAVQGPEEFAEGLVIGVKSLFGHTVGGAAGVVSRITGSVGKGLAAITMDKEY
+QQKRREEMGRQPKDFGDSLARGGKGLLLGVVGGVTGIITKPVEGAKKEGAAGFFKGIGKG
+LVGAVARPTGGIIDMASSTFQGIQRVAESTEDVSRLRSPRLIHEDGIIRPYDRQESEGYD
+IFENHVKKLEGETYRYHCAIPGNKKASFMVTNRRVLCVKEVEILGHMSTDWQYLFEDFVQ
+PPTVDGNLLKISVVRQGLFQKKDSANQGYIQKIYLRDTTTAERACRAIEEGQSVRQQQKL
+MKQPSLRILRPQVLS
+>tr|F1N3V8|F1N3V8_BOVIN Protein phosphatase 1 regulatory inhibitor subunit 1A OS=Bos taurus OX=9913 GN=PPP1R1A PE=4 SV=2
+MEQDHSPRKIQFTVPLLEPHLDPEAAEQIRRRRPTPATLVLTSDQSSPEVDEDRIPNPLL
+KPTLSMSPRQRKKATRTTPTMKELQMMVEHHLGQQEPGEEPEGAAESTGTQESCPPGITD
+AAVESRPGTSGKTHKPAESIPNTQERGGEKPSTEGPSIQ
+>tr|A0A3Q1LS85|A0A3Q1LS85_BOVIN Synaptotagmin 7 OS=Bos taurus OX=9913 GN=SYT7 PE=4 SV=1
+MYRDPEAASPGAPTRDVLLVSAIITVSLSVTVVLCGLCHWCQRKLGKRYKNSLETVGTPD
+SGRGRSEKKAINDLDRDFWNNNESTVQQKWSSYPPKEFILNISPYAPYGDPRLSLNFEDS
+TLSTATTLESIPSSTGEPKCQRPRTLTRQQSLQQPLSQHQRGRQPSQPTTSQSLGQLQAH
+TASVPGPNPRAHGRGQARQGSSAGSKYRPAGGRSRSNPGSWDHVVGQIRNRGLDMKSFLE
+GRMVVLSLVLGLSEQDDFANIPDLQNPGTQQNQNAQGDKRLPAGGKAVNTAPVPGQTPHD
+ESDRRTEPRSSVSDLVNSLTSEMLMLSPGSEEDEAHEGCSRENLGRIQFSVGYNFQESTL
+TVKIMKAQELPAKDFSGTSDPFVKIYLLPDKKHKLETKVKRKNLNPHWNETFLFEGFPYE
+KVVQRVLYLQVLDYDRFSRNDPIGEVSIPLNKVDLTQMQTFWKDLKPCSDGSGSRGELLL
+SLCYNPSANSIIVNIIKARNLKAMDIGGTSDPYVKVWLMYKDKRVEKKKTVTMKRNLNPI
+FNESFAFDIPTEKLRETTIIITVMDKDKLSRNDVIGKIYLSWKSGPGEVKHWKDMIARPR
+QPVAQWHQLKA
+>tr|A0A3Q1LYY1|A0A3Q1LYY1_BOVIN Single stranded DNA binding protein 2 OS=Bos taurus OX=9913 GN=SSBP2 PE=4 SV=1
+MYGKGKSNSSAVPSDSQAREKLALYVYEYLLHVGAQKSAQTFLSEIRWEKNITLGEPPGF
+LHSWWCVFWDLYCAAPERRETCEHSSEAKAFHDYSAAAAPSPVLGNIPPGDGMPVGPVPP
+GFFQPFMSPRYPGGPRPPLRIPNQALGGVPGSQPLLPSGMDPTRQQGHPNMGGPMQRMTP
+PRGMVPLGPQNYGGAMRPPLNALGGPGMPGMNMGPGGGRPWPNPTNANSGPPGGGGPPGT
+PIMPSPADSTNSGDNMYTLMNAVPPGPNRPNFPMGPGSDGPMGGLGGMESHHMNGSLGSG
+DMDSISKNSPNNMSLSNQPGTPRDDGEMGGNFLNPFQSESYSPSMTMSV
+>tr|E1BNG8|E1BNG8_BOVIN Bridging integrator 1 OS=Bos taurus OX=9913 GN=BIN1 PE=4 SV=3
+MAEMGSKGVTAGKIASNVQKKLTRAQEKVLQKLGKADETKDEQFEQCVQNFNKQLTEGTR
+LQKDLRTYLASVKAMHEASKKLNECLQEVYEPDWPGRDEASKIAENNDLLWMDYHQKLVD
+QALLTMDTYLGQFPDIKSRIAKRGRKLVDYDSARHHYESLQTAKKKDEAKIAKPVSLLEK
+AAPQWCQGKLQAHLVAQTNLLRNQAEEELIKAQKVFEEMNVDLQEELPSLWNSRVGFYVN
+TFQSIAGLEENFHKEMSKLNQNLNDVLISLEKQHGSNTFTVKAQPSDNVPAKGNKSPSPP
+PDGSPAATPEIRVNHEPEPAGAATPGATLPKSPSQLRKGPPVPPPPKHTPSKEVKQEQIL
+SLFDDTFVPEISVTTPSQFEAPGPFSEQASLLDLDFDPLPPVASPVKAPTPSGQSIPWDL
+WEPTESPAGSLPSGEPSAAEGTFAVAWPSQTAEPGPAQPAEASEAAGAQEPGETTTSEAA
+SSSLPAVVVETFSATVNGTVESGSGAGRLDLPPGFMFKVQAQHDYTATDTDELQLKAGDV
+VLVIPFQNPEEQDEGWLMGVKESDWNQHKELEKCRGVFPENFTERVQ
+>tr|G3N2I5|G3N2I5_BOVIN Killer cell lectin like receptor F2 OS=Bos taurus OX=9913 GN=KLRF2 PE=4 SV=2
+MKTFKEMENEDGYMTLKIKNRFEPRKKSKDFALYLQCYCLMLVLGCIGILIFMMAVIGLN
+FWNREMDFLQKANITSLAGNNYVCPSDWLLNQGKCYRFSTFSKTWNESQRDCLKLQAHLP
+VIQNSKELKFIQKSLKSGQPSWLGLCITSPGKQWVWINEHLFVEQEIFSVIGPIDNMSCA
+VITRNQVYSEDCDSKFNGICQRDAV
+>tr|E1BAT5|E1BAT5_BOVIN Ankyrin repeat domain 11 OS=Bos taurus OX=9913 GN=ANKRD11 PE=4 SV=3
+MDSDKDKVSLTKTPKLDRSDGGKEVRERAPKRKLPFTVGANGEQKDSDTEKQGPERKRIR
+KEPVTRKAGLLFGMGLSGIRAGYPLSERQQVALLMQMTAEESANSPVDMTPKHPSQSTVC
+QKGTPNSASKTKDKVNKRNERGETRLHRAAIRGDARRIKELISEGADVNVKDFAGWTALH
+EACNRGYYDVAKQLLAAGAEVNTKGLDDDTPLHDAANNGHYKVVKLLLRYGGNPQQSNRK
+GETPLKVASSPTMVNLLLGKGTYTSSEESSAESSEEEEEDAPSFAPSSSVDGNNTDSEFE
+KGLKHKAKNPEPQKTVTPVKDEYEFDEDDEQDRTPPVDDKHLLKKDYRREAKSNSFISIP
+KMEVKSYTKNSTIAPKKASHRILSDTSDEEDVGVAVGAGEKLRLSAHTMLPGNKTREPSN
+CKQQKERNKVKKKRKKEIKGKEVRFGKRGDTFCSSESESESSESGEDGGDSAGSPGCLKE
+SPLVLKDPALFSSLSASSTSSHGSSTAQKHNTGHADPHAKHWRTDNWKTISSPAWSEVSS
+LSDSTRTRLSSESDGSSEGSSVESLKPVRKRQEHKKRAGLQGTLPDRKNSFHPSGDGAIP
+KLDKEGKVVKKHKTKHKHKSKEKGLCSVSQELKLKSFTYEYEDSKQRADKAILLDDVPAE
+NKLKGLKHERDHCKKEEKLSKMKSEEKDWLFKDEMIKVSREEKSLKRIRDLNKDGGRAFR
+EEKDRSNKAEKERLLKEKSPKEEKLRLYKEERKKKSKDRPSKLEKKNDFKEEKIPKEKEK
+IFKEDKEKLRKEKGYREDSAFDEYCNKSQFLENEDTKFSLSDDQQDRWFSDLSDSSFDFK
+GEDSWDSPVTDYRDMKSDSVARLILETVKEDSKEKRRESKAREKRGDRDIFSRKKDRDSL
+ERRREHVADRHRVVPSFLCEKDKRRRESTEGSRDRKEAPEAAKERRDGRVKPEEAHREDL
+KEYGCDFGKSLEPWERHHPGREKKEKLKLEKHKEKSSDKDKSEKLILEKCQRDREFDKCF
+KEKKDAKEKHKDVHSKDKERKASLDQGRDKREKAFPGVLSEDFSEKKDEKKGKEKSWYIA
+DIFTDESEDEKDDYTASGFKIGEASEGRGDGPPEREDGRELHPPDRHRKHSADRQAHAEK
+QKDKEMREKKKEKGAVDGGKDRREKTFEKHKEKKDRKDRTSVDSVQDKRSKQKPPEKGEK
+RPPAEDKAKTRHRERPDREQGRERKASKGAEVEKSLLERLEEEALQDFREDSNDKASEAS
+SDGFPDRGQDPSLSALLDVSFPEPPEERVRERERHRHASSSSKKSHDRERGKKDKLEKKD
+KSDDYKDAGSRKDASQYEKELLDSDAYGASYGSKADAEDELDKALELFSTEKKEKNDPER
+EPPKKVEKELRPYGSSALSLLKEKRRREKHRERWREDKERHRHHREEPKPPARDTAPGAF
+RDKAKDEGGKLGEARLKEKIKENPEKEKGDPTKLSNGNDKLLPTRDPGKKDVRPREKLLG
+DGDLMMTSFERMLSQKDLEIEERHKRHKERMRQMEKLRHRSGDPKLKEKARPAEDARKKS
+LDGPPKKPPGPDLTPKDRKPKESAPPAPATENKPHPGLAVDPRDWLAGPHMKEVLPASPR
+PDHGRPTGVPTPASVVSCPSYEEAMHTPRTPSCSADDYPDLMFDCTDPQPMSSTSASACS
+PSFFDRFSVATSGISETPGQTPTRPLCTNLYRSVSVDVRRTPEEEFGLGDKLFRQQSVPA
+APSYGSPGQHPEDKAPGPPAPAEKFTCLSPGYYSPDYGMPSPKVDALHCPPAATVNLTPS
+PEGAFAGLQAKSPPSHRDELLAPSMEGALPPDLGIPLDATEDQQATAAIIPPEPSFLEPL
+DEGPFSTVITEEPVEWAHPAAAEQGLPPGLIGGAPGDTAGWPVGSDLLLKSPQRFPESPK
+HFCPAESLHPEPPYPGSPVSYPLPVPEPGLEAKDKAEGAVPAAVSASEESAPFAPPSRLE
+SFFSNCKSLPDAPPDAPPEPACVTAVAQVEALGPLENNFLEGGHNLSALGQVEPVPWPGA
+FPAAEDDLDLGPFSLPELPLQTKDVPDVEAEPVEESPLVPPENTPLGAPVLPGGGEAAGT
+AADQQLVSPSDQAAARLPTEAAPEPLEEPKPAALPEATAETGSGPDGRAPEDSHPGSGLT
+PALSEQRPPGSGDEEAEGQDLSASPHSTPDAPVDGSAQTHVADGAGPQDSAGLEGPLDSV
+QPESTEPEPKVTAEAPKAPKVEEIPQRMTRTRAQMLANQHKQSSPPTEKEPTPTPTPRAK
+GRGSEDDDPQAQHPRKRRFQRSSQQLAQQMHTSTRQTREVIQQTLAAIVDAIKLDAIEPY
+HSDRSNPYFEYLQIRKKIEEKRKILCYISPQAPQCYAEYVTYTGSYLLDGKPLSKLHIPV
+IAPPPSLAEPLKELFKQQEAVRGKLRLQHSIEREKLIVSCEQEILRVHCRAARTIANQAV
+PFSACTMLLDSEVYNMPLESQGDENKSVRDRFNARQFISWLQDVDDKYDRMKTCLLMRQQ
+HEAAALNAVQRMEWQLKVQELDPAGHKSLCVNEVPSFYVPMVDVNDDFVLLPA
+>tr|A0A3Q1M0G8|A0A3Q1M0G8_BOVIN Non-specific serine/threonine protein kinase OS=Bos taurus OX=9913 GN=PAK2 PE=1 SV=1
+MSDNGELEDKPPAPPVRMSSTIFSTGGKDPLSANHSLKPLPSVPEEKKPRNKIISIFSST
+EKGSKKKEKERPEISPPSDFEHTIHVGFDAVTGEFTGMPEQWARLLQTSNITKLEQKKNP
+QAVLDVLKFYDSNTVKQKYLSFTPPEKDGFPSGTPALNTKGSETSAVVTEEDDDDEEALP
+PVIAPRPDHTKSIYTRSVIDPIPAPVGDSNVDGGAKTSDKQKKKAKMTDEEIMEKLRTIV
+SIGDPKKKYTRYEKIGQGASGTVFTATDVALGQEVAIKQINLQKQPKKELIINEILVMKE
+LKNPNIVNFLDSYLVGDELFVVMEYLAGGSLTDVVTETCMDEAQIAAVCRECLQALEFLH
+ANQVIHRDIKSDNVLLGMEGSVKLTDFGFCAQITPEQSKRSTMVGTPYWMAPEVVTRKAY
+GPKVDIWSLGIMAIEMVEGEPPYLNENPLRALYLIATNGTPELQNPEKLSPIFRDFLNRC
+LEMDVEKRGSARELLQHPFLKLAKPLSSLTPLIMAAKEAMKSNR
+>tr|F1MBE6|F1MBE6_BOVIN E2F transcription factor 4 OS=Bos taurus OX=9913 GN=E2F4 PE=3 SV=2
+MAEAGPQAPPPPGTPSRHEKSLGLLTTKFVSLLQEAKDGVLDLKLAADTLAVRQKRRIYD
+ITNVLEGIGLIEKKSKNSIQWKGVGPGCNTREIADKLIELKAEIEELQQREQELDQHKVW
+VQQSIRNVTEDVHNSCLAYVTHEDICRCFAGDTLLAIRAPSGTSLEVPIPEGLNGQKKYQ
+IHLKSVSGPIEVLLVNKEAWSSPPVAVPVPPPEDLLQNPPAVSTPPLLPKPSLAQPQDAS
+RPSSPQATTPNPVPSSTEAQGVAGPAAEIPVSGGHGTESKDSGELSSLPLGLAALDTRPL
+QSSALLDSSSSSSNSSSSGPNPSTSFEPIKADPTGVLELPKELSEIFDPTRECMSSELLE
+ELMSSEVFAPLLRLSPPPGDHDYIYNLDESEGVCDLFDVPVLNL
+>tr|E1BGK6|E1BGK6_BOVIN Anoctamin OS=Bos taurus OX=9913 GN=ANO1 PE=3 SV=3
+MEETEVDLLLAKAAGAVDGEGAPPGGPGAQAAAMRVNEKYSTLPAEDRSVHIINICAIED
+IGYLPSEGTLLNSLSVDPDAECKYGLYFRDGKRKVDYVLVYHHKRASGGRTLARRAQHGD
+AGPAARSARQDQPLPGKAGPLGAGEPEPPLDYHEDDKRFRREEYEGNLVEAGLELERDED
+TKIHGVGFVKIHAPWNVLCREAEFLKLKMPTKKLYHISETRGLLKKINSVLQKITDPIQP
+KVAEHRPQTTKRLSYPFSREKQHLFDLSDKDSFFDSKTRSTIVYEILKRTTCTKAKYSMG
+ITSLLANGVYSAAYPLHDGDYEGDNVEFNDRKLLYEEWASYGVFYKYQPIDLVRKYFGEK
+IGLYFAWLGVYTQMLIPASVVGIIVFLYGWATVDDNIPSMEMCDQRHNITMCPLCDKTCS
+YWKMSSACATARASHLFDNPATVFFSIFMALWAATFMEHWKRKQMRLNYRWDLTGFEEEE
+EAVKDHPRAEYEARVLEKSLRKESKNKEKRRHLPEEPTNKWRQRVKTAMAGVKLTDKVKL
+TWRDRFPAYFTNLVSIIFMIAVTFAIVLGVIIYRISTAAALAMNSSPSVRSNIRVTVTAT
+AVIINLVVIILLDEVYGCIARWLTKIEVPKTEKSFEERLIFKAFLLKFVNSYTPIFYVAF
+FKGRFVGRPGDYVYIFRSFRMEECAPGGCLMELCIQLSIIMLGKQLIQNNLFEIGIPKMK
+KFIRYLRLKHQSPSDHDEHVKKKQRYEVDYTLEPFAGLTPEYMEMIIQFGFVTLFVASFP
+LAPLFALLNNIIEIRLDAKKFVTELRRPVAVRAKDIGIWYNILRGVGKLAVIINAFVISF
+TSDFIPRLVYLYMYSENGTMHGFVNHTLSSFNVSDFQNGTAPNDPLDLGYEVQICRYKDY
+REPPWSEHKYDISKDFWAVLAARLAFVIVFQNLVMFMSDFVDWVIPDIPKDISQQIHKEK
+VLMVELFMREEQGKQQLLDTWMEKDRKKAEPCNNHSPAPAAPPLPPPPEGPDFPGGSL
+>tr|A0A3Q1MCZ7|A0A3Q1MCZ7_BOVIN Armadillo repeat-containing protein 8 OS=Bos taurus OX=9913 GN=ARMC8 PE=4 SV=1
+MACLLETPIRMSVLSEVTASSRHYVDRLFDPDPQKVLQGVIDMKNAVIGNNKQKANLIVL
+GAVPRLLYLLQQETSSTELKTECAVVLGSLAMGTENNVKSLLDCHIIPALLQGLLSPDLK
+FIEACLRCLRTIFTSPVTPEELLYTGPDHQTILFNHGAVQNIAHLLTSVSYKVRMQALKC
+FSVLAFENPQVSMTLVNVLVDGELLPQIFVKMLQRDKPIEMQLTSAKCLTYMCRAGAIRT
+DDNCIVLKTLPCLVRMCSKERLLEERVEGAETLAYLIEPDVELQRIASITDHLIAMLADY
+FKYPSSVSAITDIKRLDHDLKHAHELRQAAFKLYASLGANDEDIRKKIIDTENMMDRIVT
+GLSESSVKVRLAAVRCLHSLSRSVQQLRTSFQDHAVWKPLMKVLQNAPDEILVVASSMLC
+NLLLEFSPSKEPILESGAVELLCGLTQSENPALRVNGIWALMNMAFQAEQKIKADILRSL
+STEQLFRLLSDSDLNVLMKTLGLLRNLLSTRPHIDKIMSTHGKQIMQAVTLILEGEHNIE
+VKEQTLCILANIADGTTAKELIMTNDDILQKIKYYMGHSHVKLQLAAMFCISNLVWNEEE
+GSQERQDKLRDMGIVDILHKLSQSPDSNLCDKAKTALQQYLA
+>tr|F1N057|F1N057_BOVIN EF-hand calcium-binding domain-containing protein 3 OS=Bos taurus OX=9913 GN=EFCAB3 PE=4 SV=1
+MAVSEIKAKFKLNPLTKVPNFHSRRDKDLPGLLPCQLQHKERKLSPSQMRAFQDAYNFFN
+KDKTGCIDLHGMMCTLAKLGMNLTKHDVHNELRCADIDQDGKVNFSDFLKVLTDKNRFLK
+AVVPEKGTCLDLAGNPGILLFEILSKLIETSALPKKAISEIVSYFRRKFQETTSGMVWSP
+DTTGYGKRRFKPDICTPPSSSTAAFANAARIAIMKEKDLFKFLDELKKCNPPSDSPYSKI
+PIFPLFPNVDGVVMGKPFKDIQKLEMLKRKEPLNFFENYFFHKKDWKTQAANIKPVDPTS
+GYSTDILTIDQMLKRKQNWTVADAAAIKPHVKRATETYNLGIALEHRKQMLNLWRKIRGD
+LIGIESKNESFYDTFSTYTWSWNVCQELLSPKDLKLYDAHVNRNALHNSVFSSSSDISEC
+DTDTGRKRKRKGFKGFRQ
+>tr|A0A3Q1M5Y6|A0A3Q1M5Y6_BOVIN Ubiquitin-conjugating enzyme E2 Q2 OS=Bos taurus OX=9913 GN=UBE2Q2 PE=4 SV=1
+MSVSGLKAELKFLASIFDKNHERFRIVSWKLDELHCQFLVPPPAPPGSPHSPPPPLTLHC
+NITESYPSSSPIWFVDSDDPNLTSVLERLEDTKNNNSLRQQLKWLICELCRLYNLPKHLD
+VEMLDQPLPTGQNGTTEEVTSEEEEEEEMAEDIEDLDHYEMKEEEPISGKKSEDEGIEKE
+NLAILEKIRKTQRQDHLNGAVSGSVQASDRLMKELRDIYRSQSYKTGIYSVELINDSLYD
+WHVKLQKVDPDSPLHSDLQILKEKEGIEYILLNFSFKDNFPFDPPFVRVVLPVLSGGYVL
+GGGALCMELLTKQGWSSAYSIESVIMQINATLVKGKARVQFGANKNQYNLARAQQSYNSI
+VQIHEKNGWYTPPKEDG
+>tr|A0A452DJF4|A0A452DJF4_BOVIN Synaptonemal complex central element protein 1 OS=Bos taurus OX=9913 GN=SYCE1 PE=4 SV=1
+STGQAESSQKIEDLMEMVKKLQKVGSLEPRVEVLINRINEVQQAKKKASEELGDARTVWE
+TLQKELDSLSGEKVRLKEILSKKQETLRVLRLHCQDKENEAQRKQTMLQECKERISALNS
+QIEQEKNKQRQLRLDFEEQLEDLMGQYKDLWEFHKPERLALEISTLDSGKEQLLKEEKLV
+EAKLEDVKHRLCSQFGAKGHTINEGLFLRSPEAAAVVHLFEEENRKAQELLEAAAQRQEQ
+LQQKCQQLQQKRQRLKEELEKLGVQVLAQAQSKQEEEAGLGEAANPKPLGVSEEKDQEPS
+TK
+>tr|E1BF09|E1BF09_BOVIN Transmembrane protein 150A OS=Bos taurus OX=9913 GN=TMEM150A PE=4 SV=1
+MTAWILLPVSLSAFSITGLWTVYAMAVMNHHVCPVENWSYNESCSPDPTEQGGPKTCCTL
+DDIPLISKCGSYPPESCLFSLIGNLGAFMVALICLLRYGQLLEQSRHSWVNTTTLITGCT
+NAAGLVVVGNFQVDHAKSLHYVGTGVAFTAGLLFVCLHCALSYHGATAPQDLAVAYLRIV
+LATIAFVTLILSGVFFIHESSQLQHGAALCEWVFVIDILIFYGTFSYEFGAVSSDTLVAA
+LQPTPGRACKSSGSSSTSTHLNCSPESVAMI
+>tr|A0A3Q1LG54|A0A3Q1LG54_BOVIN Protein phosphatase 1 regulatory subunit 21 OS=Bos taurus OX=9913 GN=PPP1R21 PE=4 SV=1
+MDWFDLFIVQGTVKSLLQHHSLKLRAQNQVLKKGVVDEQANSAALKEQLKMKDQSLRKLQ
+QEMDSLTFRNLQLAKRVELLQDELALSEPRGKKNKKSGESSSQLSQEQKSVFDEDLQKKI
+EENERLHIQFFEADEQHKHVEAELRSRLSTLEMEAAQHQAVVDGLTRKYMETIEKLQNDK
+AKLEVKSQTLEKEAKECRLRTEECQLQLKNLHEDLSSRLEESLSIISEKVPFNDTKYSQY
+NALNVPVHNRRHQLKMRDIAGQALAFVQDLVKALLNFHTYTEQRIQIFPIDSAIDTISPL
+NQKFSQYLHENASYVRPLEEGMLHLFESITEDTVTVLETTVKLKTFSEHLTSYICFLRKI
+LPYQLKSLEEECEASLCTSALRARNLELSQDMKKMTAVFEKLQTYIALLALPSTEPDGLL
+RTNYSCVLTNVGAALHGFHDVMKDISKHYSQKATIELELPTTTQKLITTNDCILSSVVAL
+TNGAGKIASFFSNNVDYFIASLSYGPKTGSGFISPLSAECMLQYKKKAAAYMKALRKPLL
+ESVPYEEALANRRVLLSSTESREGLAQQVQQSLEKISKLEQEKEHWMLEAQLAKIKLEKE
+NQRIADKLKSTSSGQVVGVAQEKAAVATATGQEEASAKAVPEPVHSTSEIGILTRTSDSE
+APDVESREDLIRNHYMTRIVELTSQLQLADSKSVHFYAECRALSKRLALAEKSKEALTEE
+MKVASQSISRLQDELTTTKRSYEDQLSMMSDHLCSMNETLSKQREEIDTLKMSSKGNSKK
+NKSR
+>tr|A0A3Q1MUQ6|A0A3Q1MUQ6_BOVIN Trimeric intracellular cation channel type B OS=Bos taurus OX=9913 GN=TMEM38B PE=4 SV=1
+MESPWNELTLAFSRTSMFPFFDIAHYLVSVMALKHQPGAAALAWKNPLSSWFTAMLHCFG
+GGILSCVLLAEPPLRFLANNTNILLASSIWYIAFFCPCDLISQAYSFLPVQLLAAGMKEV
+TRTWKIVGGVTHANSYYKNGWIVMIAVGWARGAGGSIITNFEQLVKGFCIDCTETPAKVT
+LLGSVIFTFQQTKYLAISKHNLMFLFTVFLVATKITMMITKTALVPFACFEDTLSRMLFG
+WQQQFSPCEKKSETKSSFNGTGSSTSKPVANASDKVKKKHSKKTE
+>tr|A0A3Q1LXB8|A0A3Q1LXB8_BOVIN Zinc and ring finger 3 OS=Bos taurus OX=9913 GN=ZNRF3 PE=4 SV=1
+MHPLGLCNNNDEEDLYEYGWVGVVKLEQPELDPKPCLTVLGKAKRAVQRGATAVIFDVSE
+NPEAIDQLNQGSEDPLKRPVVYVKGADAIKLMNIVNKQKVARARIQHRPPRQPTEYFDMG
+IFLAFFVVVSLVCLILLVKIKLKQRRSQNSMNRLAVQALEKMETRKFNSKSKGRREGSCG
+ALDTLSSSSTSDCAICLEKYIDGEELRVIPCTHRFHRKCVDPWLLQHHTCPHCRHNIIEQ
+KGNPSGVCVETSSLARGRQQRVILPVHYPGRVHRAGAVPTYPTRTSMDAHGNPVTLLTVD
+RRGEQGLFSPQTPAYIRGYPPLHLDHGLAAHRCGLEHRPYSPAPPFRRPKFGGRSFSKAA
+CFSQYETMYQHYYFRGLSYPEPEGQPPPSLAPGSPARAFPPGGGGGGGSLLFAPAAPASP
+LESGSASSFSCYHGHRSVCSGYLADGPGSDSSSSSSSSGSSGQCRCSSSDSVVDCTEVSN
+QGVYGSCSTFRSSLSSDYDPFVYRSRSPCRAGDVGGSGRGPMVRLDGSPAPEEPPAAARG
+PSAARGEPWPGPASVSASASGDQLSTCSLEMNYSSSSSLEPRGPHSSTSQGGLEASPSAA
+PDLRRTWKGAREGPSCACCCEPRAPAPEPSAGAAGGGALYLGPPPCEGCGPPGGESQPAS
+SQGLYGLHPDHLPRTDGVKYEGLPCCFYEEKQVARGRGGSGCYTEDCSVRVQYTLAQEPP
+VGCHPGARDLSQRVPIIPEDVDSDLGLPSDCQGTRGLGPWGGTLPGPDALWPHRGLGAAQ
+EERVLCCPARAPRPPGCPPEDVGAPGASSPRALRDTRESSATSPKAAGLISRPVDSGSPG
+A
+>tr|A0A3Q1MIB1|A0A3Q1MIB1_BOVIN Protein-tyrosine sulfotransferase OS=Bos taurus OX=9913 GN=TPST2 PE=3 SV=1
+MLGSVRRPREWAQLVDRPGEQPRAVEPRDEHVQGRCERRRAPARLPLKRAPRPGPSMRLS
+MRRALLAAGLALALVLAVHLGQRVLECQAVLGGPRGPRRTMRPEQEDLMMVGADHVEYRY
+GKAMPLIFVGGVPRSGTTLMRAMLDAHPEVRCGEETRIIPRVLAMRQAWSKSGREKLRLD
+EAGVTDEVLDAAMQAFILEVIAKHGEPARVLCNKDPFTLKSSVYLSRLFPNSKFLLMVRD
+GRASVHSMITRKVTIAGFDLSSYRDCLTKWNKAIEVMYAQCMEVGRDKCLPVYYEQLVLH
+PRRSLKVILDFLGIAWSDAVLHHEDLIGKPGGVSLSKIERSTDQVIKPVNLEALSKWTGH
+IPGDVLRDMAQIAPMLARLGYDPYANPPNYGNPDPIVINNTHRVSALPTGRCGRGWGLLL
+PEKTLSPLIPKQLACVCLCMTACIPIFAWATRKLKTSGYSASHTP
+>tr|E1BM41|E1BM41_BOVIN VPS39, HOPS complex subunit OS=Bos taurus OX=9913 GN=VPS39 PE=4 SV=1
+MHDAFEPVPILEKLPLQIDCLAAWEEWLLVGTKQGHLLLYRIRKDVGCNRFEVTLEKSNK
+NFSKKIQQIHVVSQFKILVSLLENNIYVHDLLTFQQITTVSKAKGASLFTCDLQHAETGD
+EVLRMCVAVKKKLQLYFWKDREFHELQGDFSVPDVPKSMAWCENSICVGFKRDYYLIRVD
+GKGANKELFPTGKQLEPLVAPLADGKVAVGQDDLTVVLNEEGICTQKCALNWTDIPVAME
+HQPPYIIAVLPRYVEIRTFEPRLLVQSIELQRPRFITSGGSNIIYVASNHFVWRLIPVPM
+ATQIQQLLQDKQFELALQLAEMKDDSDSEKQQQIHHIKNLYAFNLFCQKRFDESMQVFAK
+LGTDPTHVMGLYPDLLPTDYRKQLQYPNPLPVLSGAELEKAHLALIDYLTQKRSQLVKKL
+NDSDHQSSTSPLMEGTPTIKSKKKLLQIIDTTLLKCYLHTNVALVAPLLRLENNHCHIEE
+SEHVLKKAHKYSELIILYEKKGLHEKALQVLVDQSKKANSPLKGHERTVQYLQHLGTENL
+HLIFSYSVWVLRDFPEDGLKIFTEDLPEVESLPRDRVLGFLVENFKGLAIPYLEHVIHVW
+EETGSRFHNCLIQLYCEKVQGLMKEYLLSFPAGKAPVPAGEEEGELGEYRRKLLMFLEIS
+SYYDPGRLICDFPFDGLLEERALLLGRMGKHEQALFIYVHVLKDTRMAEEYCHKHYDQNK
+DGNKDVYLSLLRMYLSPPSVHCLGPIKLELLEPQANLQAALQVLELHHSKLDTTKAINLL
+PANTQINDIRIFLEKVLEENAQKKRFNQVLKNLLHAEFLRVQEERILHQQVKCIITEEKV
+CMVCKKKIGNSAFARYPNGVVVHYFCSKEVNPADT
+>tr|A0A3Q1N5Q7|A0A3Q1N5Q7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=FAM177B PE=4 SV=1
+MEKDNFQQLELEESGPSKRTTPRRIIHFADGDIMEEYSTEEEEEEENEQTTNSTLDPSKL
+AWGPYLWFCAGQIARTSFSTCEFLGERFAVFFGLHQPKYQYMLNEYCRTQSKQCDKGSEE
+NGSKAQPASVPNEKCHLEARGREYGTRLQDVAEGISQWSASSREGPMADSSS
+>tr|G3MYE4|G3MYE4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=TRIP10 PE=4 SV=2
+MDWGTELWDQFEVLERHTQWGLDLLDRYVKFVKERTEVEQAYAKQLRSLVKKYMPKRPAK
+DDPESKFSQQQSFVQILQEVNDFAGQRELVAENLSVRVCLELAKYSQEMKQERKMHFQEG
+RRAQQQLESGFKQLENSKRKFERDCREAEKAAQTAERLDQDINATKADVEKAKQQAHLRS
+HMAEESKNEYAAQLQRFNRDQSHFYFSQMPQIFDKLQDMDERRATHLGAGYGLLSETELE
+VVPIIAKCLEGMKVAADAVNAKNDSQVLIELHKSGFARPGDVEFEDFSQPMNRVPSDSSL
+GTPSDGRPELRGTSRSRAKRWPFGKKNKTVVTEDFSHLPPEQQRKRLQQQLEERNRELQK
+EMDQREALKKMKDVYEKTPQMGDPASLEPRITETLNNIERLKLEVQKYEAWLAEAESRVL
+SNRGDTLGRHTRPPDPPASAPPDSSSNSNSGSQENKESSEEPPSEEGQDAPIYTEFDEEF
+EEEPASPIGHCVAIYHFEGSSEGTISMAEGEDLSLMEEDKGDGWTRVRRKQGGEGYVPTS
+YLRVMLN
+>tr|G3N1U4|G3N1U4_BOVIN Serpin A3-3 OS=Bos taurus OX=9913 GN=SERPINA3-3 PE=1 SV=1
+MRAERLSPLLALGLLVAGIRSVHCLPENVVVKDRHRRVDGHTLASSNTDFAFSLYKQLAL
+KNPNKNVMFSPLSVSMALAFLSLGARGPTLTEILEGLKFNLTEIQETQIHQGFQHLLQAL
+NRPSNQLQLSVGNAMFVQEELKLLDKFIEDARVLYSSEAFPTNFGDPEAAKSLINDYVKK
+KTQGKIEELFKDLSPRTVLVLVNYIYFKAQWKTRFDPKHTEQAEFHVSDNKTVEVPMMTL
+DLETPYFRDEELGCTLVELTYTSNDSALFILPDKGKMQDLEAKLTPEMLTRWRNSLQPRR
+IHELYLPKFSIKSNYELNDTLSQMGIKKIFTDADLSGITGTADLVVSQVVHGAALDVDEE
+GTEGAAATGIGIERTFLRIIVRVNRPFLIAVVLKDTQSIIFLGKVTNPSEA
+>tr|G1K1V2|G1K1V2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=3
+VHALDDVTAVVEHTADVLGVHSAGEVRVAVVAPVSTSISTHQKFISNEVLGPGHAWVLSG
+LGSRSSKFWKVVLNLRFSSKDFLSQQILLVEEQNHRDGAQPSKKEGNALEEVQSLLQAVG
+LVVLPNDHVVAAAGNHEDDGSHIFTLDPFAAFIALAAHGTVYLLEVDFVHLELGLKDSRS
+QDTAAETCAYLVAGHVVILLDDINLVQETVYLLLGAVNQLVLVGALIAGTHPFILPESLG
+LAYGREIKVRHVHHAQNVIHSELVLWVGQLHRGHQVAHGGHDGLKMMEQRSGGERCLKGR
+LKERTEKDQARTSMASFRLFLMSCTLVAFSLQWD
+>tr|A0A3Q1N4C1|A0A3Q1N4C1_BOVIN Ectonucleoside triphosphate diphosphohydrolase 3 OS=Bos taurus OX=9913 GN=ENTPD3 PE=3 SV=1
+MFSHLQLEGEMFTVLTRQPCEQAGLKVLSRTPAIIALVVLFLSIVVLMAITLIQTHQKEV
+LFPGPKYGIVLDAGSSRTTIYVYQWPAEKANNTGVVSQSFKCNVKGSGISNYAENPQDAP
+KAFEDCMQKVKGHIPVSLHGSTCVYLGATAGMRLLRLQNETAANEVLESIENYFKSQPFD
+FRDAQIISGQEEGIYGWITANYLMGNFLEKSLWHMWVHPSGKETTGALDLGGASTQISFA
+AEEKVGLNTSDIMKVTLYGYEYTLYTHSFQCYGRNEAEKRFLATLLQDYTTETTLTNPCY
+PQNYITTFTEAQVFGSLCTEDLRPERYNPNNTITFEGTGDPSLCREKVASLFNFSACHDH
+EACSFDGVYQPRVKGSFVAFAGFYYTANAFNLSGSFSLHAFNLSTWNFCSKNWNQLPLLL
+PQFDEQYARSYCFSAHYIYHLLVSGYKFTEESWPQIHFKKEVSQSTNCFPLLPPKNRCLV
+VRV
+>tr|E1BGS0|E1BGS0_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC782046 PE=3 SV=3
+MMFTKNINHPMMLTSRNSSSHPVSFILLGIPGLENSQFGVAFPFCAMYVVALVGNITLLH
+VIRTDPTLHEPMYLFLAMLAITDLVLSISTQPKMLAIFWFHDHEIEYHACLIQLFFIHAF
+SSVESGLLMAMALDRYVAVCSPLHHSSILTPSVVGKLGGGVMMRGLLWVSPFCFLVSRMP
+FCPSRIIPQSYCEHMAVLKLVCADTRVNRAYGLFVAFSVVGFDIIVISVSYVIILRTVLG
+LPSGKARLKAFGTCASHICVILALYIPALFTFLTHRFGHHVPRVVHVMFAILYLLVPPMF
+NPIIYGVRTKQIRDRVIQGCCRKDSQLKA
+>tr|A0A3Q1M7C2|A0A3Q1M7C2_BOVIN Alpha-mannosidase OS=Bos taurus OX=9913 GN=MAN2A1 PE=3 SV=1
+MKLSRQFTVFGSAIFCVVIFSLYLMLDRGHLDYPKSPRREGSFPQGQLSMLQEKIDHLER
+LLAENNEIISNIRDSVINLSESVEDGTKNSKGNFSHGAGSPLLPSKRLSLTVDPADCLFA
+SQSGSQSSEVQMLDVYSLIPFDNPDGGVWKQGFDITYKPDEWDTKPLQVFVVPHSHNDPG
+WLKTFDDYFREKTQYIFNNMVVKLKEDPRRKFIWSEISYLSKWWEAIDVQKKDAVKSLLE
+NGQFEIVTGGWVMPDEAGAHYFAIIDQLIEGHQWLEKNLGVKPRSGWAIDPFGHSPTMAY
+LLKRAGFSHMLIQRVHYAVKKHFALHKTLEFFWRQNWDLGSVTDIFCHMMPFYSYDIPHT
+CGPDPKICCQFDFKRLPGGRYGCPWGVPPETIYPGNLQNRAEMLLDQYRKKSELFRTTVV
+LAPLGDDFRYTERTEWDHQFKNYQLLFDYMNSHSQYNVKIQFGTLSDYFDALEKEASASS
+RNSQSIFPVVSGDFFTYADRDDHYWSGYFTSRPFYKRMDRILESHLRAAEILYYFAMKQA
+KQYKISKFLSSSHYVMLTEARRNLGLFQHHDAITGTAKDWVVVDYGTRLFHSLMNLKKII
+GHSALLLILKDKHSYDSYSSDTFLEMDLKQRTQSSLPQKNVISLSAEPRYLVVYNPSEQA
+RSSVVSVCVSSSAAQVSSASGKPVEIQMSAVWDTATTVSQTAYEEMLNRGDGKSLEVTVQ
+FSWYGTTSKRDKSGAYLFLPDGEAKPYVYTTPPFVRVQRGRFYSDVTCFFEHVTHRVRLY
+NIHGVEGQSVEISNIVDIRKEHNYEIAMRISSSINSQNRFYTDLNGYQIQPRVTMSKLPL
+QANVYPMTTMAYIQDAERRLTLLSAQSLGVSSLKSGQIEVIMDRRLMQDDNRGLEQGVHD
+NKITANLFRILLEKRSVVNMEEDQKAVSYPSLLSHITSSFLNHPVFPMTEKVPVPTLQLL
+GEFSPLMAPLPCDIHLVNLRTVQSKVDGKHSNEAALILHRKGFDCRFSSRDTGLLCSTTQ
+GKILVQKLFSKFTVVSITPSSLSLMHPPPDARNISEINLSPMEISTFRIHLRVSFHRNHL
+SLKLSLGRHKAESEE
+>tr|A0A3Q1LT69|A0A3Q1LT69_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=ZKSCAN7 PE=4 SV=1
+MATQGRGTSGLFPRGAVLQRQEGCLTVKQEPGSPTWGHGCSLQKNHPPVCEIFRLHFRQL
+CYHEMSGPQEALSRLRELCRWWLMPEVHTKEQILELLVLEQFLSILPGELRTWVQMHHPE
+SGEEAVAVVEDFQRYVSGPGEVSTPGQEQEIHSEEKTALGATHESPSTSPHSEGSAPGAH
+LEPPRDPGAHHHLSSEHSADENRMVHSQLPPKQDISEELKSSDRILGVFCGVIPAGQEAG
+TASKEASENLEVQPSDEEGTRLDSDFLEITQEDKKKSTEDQYDDYKELGGHLDLSSSLSE
+HQGVLKGQKLYHCDECDKAFNRSSHLIGHQRIHTGEKPYECSECGKTFRQTSQLVVHLRI
+HTGEKPYECSDCGKTYRHSSHLIQHQRLHYGEKPYKCNECAKAFTQSSQLIDHQRTHSGE
+KPYECNECGEAFIRNKSLIRHQVLHTGKKPYKCDECGKAFCSNRNLIDHQRIHTGEKPFE
+CNECGKAFSRSKCLIRHQSLHTGEKPYKCSECGKAFNQNSQLADHERIHTGEKPFECNEC
+GKAFGLSKCLIRHQRLHTGEKPYKCKECGKSFNQNSHLIIHQRIHTGEKPYECNECGKVF
+SYSSSLMVHQRTHTGEKPYKCKDCEKAFSDSSQLIVHQRVHTGEKPYECIECGKAFSQRS
+TFNHHQRIHTGEKHSGLARSVS
+>tr|A0A3Q1M9F9|A0A3Q1M9F9_BOVIN Corin, serine peptidase OS=Bos taurus OX=9913 GN=CORIN PE=4 SV=1
+MYYSNNYAISFFIASLPPVQLYRVLLRALVLGADDDNMGGGCSRKLTTVNILRFLLLVLI
+PCICALIVLLVILLSFVGTLKKAYFKSNGSEPLVTDSKVHVSDVILNTTYNDESTVTSAA
+HPTQHIPAWTMDASLSADQNHRNTSACMNITHSQCQILPYYTTLTSPLSIVKSMEMEKFL
+KFFTYLHRLGCYQHIMLFGCSLAFPKCIRDGADSHGLLPCRSFCESAKEGCESVLGMVNA
+SWPDFLKCSQFRNQSENSNVSRICFSPQQENEKQSLCRGGESFLCTSGICVPRKLQCNGY
+NDCDDWSDEAHCTCHSQGLVECRNGQCIPSTFQCDGDEDCKDGSDEESCSDGQTLCQEGD
+QRCLYSSCLDSCGGNSLCDPSNSVNNCSQCEPITLELCLNLPYNHTNFPNYLGHRTQKEA
+SISWESSLFPALVQTNCYKYLMFFACTILVPKCDEDTQQRIPPCRALCEHSKERCESVLG
+IVGLQWPEDTDCNQFPEENADNQTCLMPDDEVEECSPSHFKCRSGRCVLASRRCDGQADC
+DDDSDEENCGCKERDLWECPSSKQCLKHTVICDGFPDCPDNMDEKNCSFCQADELECANH
+ECVSRDRWCDGEADCIDSSDEWDCVTLSANVNSSFLMARRSAMEHHVCADGWQETLSQLA
+CKQMGLGEPSMTKLIEEQEQDQQWLTLHSNWEITSVCPLSCSLADCGRRPAARMNKRILG
+GRTSRPGRWPWQCSLQSEPSGHICGCVLIAKKWVLTVAHCFEGRENAAVWKVVFGINNLD
+HPSTFMQMRLVKTIILHPRYSRAVVDYDISIVELSQDINETSYVRPVCLPSPDQSLEPDT
+YCYITGWGHMGNKMPFKLQEGEVRVISLEQCQSYFDMKTITNRMICAGYESGTVDSCMGD
+SGGPLVCEKPGGQWTLFGLTSWGSVCFSKVLGPGVYSNVTYFVKWIERQIYIRTFLLN
+>tr|F1MCZ2|F1MCZ2_BOVIN Transmembrane 9 superfamily member OS=Bos taurus OX=9913 GN=TM9SF1 PE=3 SV=1
+MTVLGHPRSWSCRWWPLLLLLLLTGREPGVEGVTHYKAGDPVILYVNKVGPYHNPQETYH
+YYQLPVCCPEKIRHKSLSLGEVLDGDRMAESLYEIRFRENVEKRVLCHMQLSSAQVEQLR
+QAIEELYYFEFVVDDLPIRGFVGYMEESGFLPHSHKIGLWTHLDFHLEFHGDRIIFANVS
+VRDVKPHSLDGLRPDEFLGLTHTYSVRWSETSVERRSDRRRGDDGGFFPRTLEIHWLSII
+NSMVLVFLLVGFVAVILMRVLRNDLARYNLDEETTSAGSGDDFDQSDNGWKIIHTDVFRF
+PPYRGLLCAVLGVGAQFLALGTGIIVMALLGMFNVHRHGAINSAAILLYALTCCISGYVS
+SHFYRQIGGERWVWNIILTTSLFSVPFFLTWSVVNSVHWANGSTQALPATTILLLLTVWL
+LVGFPLTVIGGIFGKNNASPFDAPCRTKNIAREIPPQPWYKSTLVHMTVGGFLPFSAISV
+ELYYIFATVWGREQYTLYGILFFVFAILLSVGACISIALTYFQLSGEDYRWWWRSVLSVG
+STGLFIFLYSVFYYARRSNMSGTVQTVEFFGYSLLTGYVFFLMLGTISFFSSLKFIRYIY
+VNLKMD
+>tr|F1MR87|F1MR87_BOVIN Zinc finger protein 395 OS=Bos taurus OX=9913 GN=ZNF395 PE=4 SV=2
+MEKKTLKQRKMERKGNSMASVLSRRLGKRSLLGARVLGPPGAAPPSEPQAELLEGAAPQP
+FVASKDASCQEQPKEVLKAPGTSGLQLVAFHPGQKVCVWYGGQESTGLVEQHSWAEDKVT
+VWLLDQKSQICCKAEEVWLAEPPGRIPQVPPPEQGTQAPAYRPVSRNIDVPKRKSDAVEM
+DEMMAAMVLTSLSCSPVVQSPPGAEANFSASRTACDPWKESGDVSDSGSSTTSGHWSGSS
+GVSTPSPPHPQASPKYLGDAFGSPQTDNGFETDPDAFLLDEPAPRKRKNSVKVMYKCLWP
+SCGKVLRSIVGIKRHVKALHLGDTVDSDQFKREEDFYYTEVQMKGEAAAAGVPTADSAPT
+PSMTSPPLTILPPPPPPKAQSSGPDHPGLESYLPSGALSKSAPGSFWHIQADHAYQALPP
+FQIPVSPHIYTSISWAAAPSSTSSLSPVRSRSLSFSEPQQPPPAMKSHLIVTSPPRAQST
+TRKARGEAKKCRKVYGIEHRDQWCTACRWKKACQRFLD
+>tr|A0A3Q1MMA2|A0A3Q1MMA2_BOVIN DAB1, reelin adaptor protein OS=Bos taurus OX=9913 GN=DAB1 PE=4 SV=1
+MSTETELQVAVKTSAKKDSRKKGQDRSEATLIKRFKGEGVRYKAKLIGIDEVSAARGDKL
+CQDSMMKLKGVVAGARSKGEHKQKIFLTISFGGIKIFDEKTGALQHHHAVHEISYIAKDI
+TDHRAFGYVCGKEGNHRFVAIKTAQAAEPVILDLRDLFQLIYELKQREELEKKAQKDKQC
+EQAVYQVPTSQKKEGVYDVPKSQPVSNGRAFEDFDERFAAATPNRNLPMDFDEIFEATKA
+VTQLELFGDMSTPPDITSPPTPATPGDAFIPSSSQTLPASADVCGSLPFGTAAVPSGYVA
+MGAVLPSFWGQQPLVQQQIAMGAQPPVAQVMPGAQPIAWGQPGLFPAAQQPWPAVAGQFP
+PAAFMPTQTVMPLPAAMFQGPLTPLATIPATGDSARSSPQTDKPRQKMGKEMFKDFQMAQ
+PPPVPSRKPDQPSLTCTSEAFSSYFNKVGVAQDTDDCDDFDISQLNLTPVTSTTPSTNSP
+PTPAPRQSSPSKSSASHASDPTADDIFEEGFESPSKSEEQEAEPEPLYAQINRCKK
+>tr|A0A3Q1N8B5|A0A3Q1N8B5_BOVIN SH3 domain containing ring finger 2 OS=Bos taurus OX=9913 GN=SH3RF2 PE=4 SV=1
+MDDVTLLDLLECPVCLEKLDVTAKVLPCQHTFCKPCLQRIFKAHKELRCPECRTLVFCSI
+EALPANLLLVRLLDGVRAGQSSGRGGSFRRPGVLTPQDGRRSRTHPRGPQCSPFRLVPNV
+RIHMDGVPRAKALCNYRGQNPGDLRFNKGDVILLRRQLDENWYQGEINGVSGVFPASSVE
+VIKQLPQPPPLCRALYNFDLRDKDRSENQDCLTFLKDDIITVISRVDENWAEGKLGDKVG
+IFPILFVEPNLTARHLLEKNKGRPSSRTKNLSLVSSPSRGKATSTPTLRRGPGSRRKGPG
+QFSITTALNTLNRMVHSPSGRHMVEISTPVLISSSNPSVITQHVEKADASPSSVGQVSTY
+HPAPASPGHSTAIVSLPGSQQHLSANIKTSSVPDSRSPGLYTAWTLSTSSVSSQGSISES
+DPRQSQPFKSVFVPTAIVNPVRSPASLGTLGQGSLRKGRGSMRKNGSLQRPVQSGIPTLV
+VGSFRRSPTMVVQPQQFQFYQPQGAPSSASTVVAELGPKPTSTGEPAITCVSRGSDTRIH
+TAASSLIMEGKEIPIKSEPLPKPPASAPPSILVKPENSRNGSEKQVKTVRFQNYSPPPAK
+HHTSGKPEQPATPKGSQPEAAPLGPEMTILFAHRSGCHSGQQTDLRRKSAFSKTVTPAST
+ASATQTAFPSK
+>tr|A0A3Q1MAJ9|A0A3Q1MAJ9_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MNNTLLNNQQITEEIKKEIKICIETNENENTTTQNLWDTVKAVLRGKFIAIQAYLKKQEK
+SQINNLTLHLKQLEKEEMKNPRVSRRKEILKIRAEINAKETKETIAKINKAKSWFFERTN
+KIDKPLARLIKKQREKNQINKIRNENGEITTDNTEIQRIIRDYYQQLYANKMDNVEEMDK
+FLEKYNFPKLDQEEIENLNRPITSTEIETVIKNLPANKSPGPDGFTAEFYQKFREELTPI
+LLKLFQKIAEEGKLPNSFYEATITLIPKPDKDPTKKENYRPISLMNIDAKILNKILAIRI
+QQHIKKIIHHDQVGFIPGMQGFFNIRKSINVIHHINKLKNKNHMIISIDAEKAFDKIQHP
+FMIKTLQKAGIEGTYLNIIKAIYDKPTTNIILNGEKLKAFPLKSGTRQGCPLSPLLFNIV
+LEVLATAIRAEKEIKGIQIGKEEVKLSLFADDMILYIENPKDSTRKLLELINDYSKVAGY
+KINTQKSLAFLYTNNEKTEREIKETIPFTIATERIKYLGIYLPKETKDLYIENYKTLVKE
+IKEDTNRWRNIPCSWIGRINIVKMSILPKAIYRFNAIPIKLPTVFFTELEQIISQFVWKY
+KKPRIAKAILRKKNGTGGINLPDFRLYYKATVIKTVWYGHKDRNTDQWNKIESPEINPRT
+YGHLIFDKGGKNIQWIKDNLFNKWCWEIWSTTCKRMKLEHFLTPYTKINSKWIKDLNVRP
+ETIKLLEENIGKTLSDIHHSRILYDPPPRILEIKAKINKWDLIKLKSFCTSKETIRMGEN
+NSK
+>tr|F1N607|F1N607_BOVIN SYF2 pre-mRNA splicing factor OS=Bos taurus OX=9913 GN=SYF2 PE=4 SV=3
+MAAATLTEKVPVGGAEEQQPPAGAEELASQKREQRLRKFRELHLKRNEARKLNHQEVVEE
+DKRLKLPANWEAKKARLEWELQEEEKKKECAARGEDYEKVKLLEISAEDAERWERKKRRK
+NPDLGFSDYAAAQLRQYHRLTKQIKPDMETYERLREKHGEEFFPTSNSLLHGTHVPSTEE
+IDRMVLDLEKQIEKRDKYSRRRPYNDDADIDYINERNAKFNKKAERFYGKYTAEIKQNLE
+RGTAV
+>tr|A0A3Q1M806|A0A3Q1M806_BOVIN Gastrokine 1 OS=Bos taurus OX=9913 GN=GKN1 PE=4 SV=1
+MKIGTQNCIVFAGLLGIFLTPTLADYDISVNDNNNSGGSGQQSVSVNNEHGVANVDNNNG
+WDSWNSLWDYGSGFAVIRPFKKKSCIVHKMNKEVMPSIQALDMLAKKNKLQGRGPEGPPP
+KSLIYSVKPDKVNNLDQFGKFIVTMCKGIPTYMAEEIQGANLILYPEKCFNVDILWILNI
+SLCEEAMEN
+>tr|F1N428|F1N428_BOVIN Sodium/nucleoside cotransporter OS=Bos taurus OX=9913 GN=SLC28A2 PE=3 SV=1
+MEKTNGKEAFALTTVETGIQNPGLELTEEGINPEGSKRAEEQGHSLKGGLEPPTRLRRTL
+QPFTRARSFCKTHAGLFKKILLSLLCLAYAAYFLAACILDFHRALALFVLTCLVLLVLVH
+RFLKRLFGEKLTRCLKPLENSRLKFWMKWVLAGVSLIGLILWLALDTAQRPEQLISFAGI
+CMFIIILFACSKHHSAVSWRAVLWGLGLQFVFGILVIRTDPGFNAFQWLGEQVQIFLSYT
+VAGSSFVFGDVLVKDVFAFQALPIILFFGCVMSILYYLGLVQWVVQKIAWFLQITMGTTA
+TETLAVAGNIFVGMTEAPLLIRPYLADMTLSEIHAVMTGGFATISGTVLGAFISFGIDAS
+SLISASVMAAPSALALSKLVYPEVEESKFKNKEGVKLPRGKEKNVLEAASNGATDAVGLA
+SNVAANLIAFLAVLAFINAALSWLGEMVDIQGLTFQVICSYVLRPMVFMMGVEWADCPMV
+AEMVGMKFFTNEFVAYQQLSQYKNKRLSGVEEWIEGKKQWISVRAEIITTFSLCGFANLS
+SIGITLGGLTSMVPHRKSDLSKVVVSALFTGACVSLISACVAGILYVPRGAETDCVSFLN
+TSFTNRTYETYVCCRELFQSTSLNGTYNPPSFSGPWEDKEFSAIALYNCCDLYTSAVCV
+>tr|F1MGE7|F1MGE7_BOVIN Calcium-transporting ATPase OS=Bos taurus OX=9913 GN=ATP2A1 PE=3 SV=2
+MEAAHSKTTEECLAYFGVSETTGLTPDQVKRHLEKYGHNELPAEEGKSLWELVLEQFEDL
+LVRILLLAACISFVLAWFEEGEETVTAFVEPFVILLILIANAIVGVWQERNAENAIEALK
+EYEPEMGKVYRADRKSVQRIKARDIVPGDIVEVAVGDKVPADIRILTIKSTTLRVDQSIL
+TGESVSVIKHTEPVPDPRAVNQDKKNMLFSGTNIAAGKAIGIVATTGVGTEIGKIRDQMA
+ATEQDKTPLQQKLDEFGEQLSKVISLICVAVWLINIGHFNDPVHGGSWIRGAIYYFKIAV
+ALAVAAIPEGLPAVITTCLALGTRRMAKKNAIVRSLPSVETLGCTSVICSDKTGTLTTNQ
+MSVCKESVYDFIGGQYCXLNEFSVTGSTYAPEGEVLKNDKPVRSGQYDGLVELATICALC
+NDSSLDFNETKGIYEKVGEATETALTTLVEKMNVFNTEVRNLSKVERANACNSVIRQLMK
+KEFTLEFSRDRKSMSVYCSPAKSRAAVGNKMFVKGAPEGVIDRCNYVRVGTTRVPMTGPV
+KEKILSVIKEWGTGRDTLRCLALATRDTPPKREEMVLDDSTKFMEYETDLTFVGVVGMLD
+PPRKEVMGSIQLCRDAGIRVIMITGDNKGTAIAICRRIGIFGENEDVADRAYTGREFDDL
+PLAEQREACRRACCFARVEPTHKSKIVEYLQSFDEITAMTGDGVNDAPALKKAEIGIAMG
+SGTAVAKTASEMVLADDNFSTIVAAVEEGRAIYNNMKQFIRYLISSNVGEVVCIFLTAAL
+GLPEALIPVQLLWVNLVTDGLPATALGFNPPDLDIMDRPPRTPKEPLISGWLFFRYMAIG
+GYVGAATVGAAAWWFLYAEDGPHVTYSQLTHFMKCSEHSPDFEGVDCEVFEAPQPMTMAL
+SVLVTIEMCNALNSLSENQSLVRMPPWVNIWLVGSIGLSMSLHFLILYVDPLPMIFKLQA
+LDLYHWLMVLKISLPVIGLDEILKFVARNYLEG
+>tr|A0A3Q1MB97|A0A3Q1MB97_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MDSDMDYERPNVETIKCVVVGDNAVGKTRLICARACNATLTQYQLLATHVPTVWAIDQYR
+VCQELLERSRDVVDDVSVSLRLWDTFGDHHKDRRFAYGR
+>tr|A0A3Q1N8L9|A0A3Q1N8L9_BOVIN MACPF domain-containing protein OS=Bos taurus OX=9913 GN=ASTN2 PE=4 SV=1
+MAAAGARRSPGPSSGLRGRLRLGFHPPPLLLLLLLLAGATAAASREPDSPCRLKTVTVST
+LPALRESDIGWSGTRAGAGTGAAAAAAAASPGSAGSAGTAAESRLLLFVRNELPGRVAVQ
+DDLDNTELPFFTLEMSGTAADISLVRWQQQWLENGTLYFHVSTSSPGQLAQATAPTLQEP
+SEIVEEQMHILHISVMGGLIALLLLLLVFTVALYAQRRWQKRRRIPQKSASTEATHEIHY
+IPSVLLGPPARESFRASRLQAHNSVIGVPIRETPILDDYDYEEEDDLPRRANHVSREDEF
+GSQVTHSLDSLGRPGEEKGDFEKKGGISFGRTKGTSGSEADDETQLTFYTEQYRSRRRSK
+GLLKSPVNKTALTLIAVSSCILAMVCGSQMSCPLTVKVTLHVPEHFIADGSSFVVSEGSY
+LDISDWLNPAKLSLYYQINATSPWVRDLCGQRTTDACEQLCDPETGECSCHEGYAPDPAH
+RHLCVRSDWGQSEGPWPYTTLERGYDLVTGEQAPEKILRSTFSLGQGLWLPVSKSFVVPP
+VELSINPLASCKTDVLVTEDPADVREDAMLSTYFETINDLLSSFGPVRDCSRNNGGCTRN
+FKCVSDRQVDSSGCVCPEELRPMKDGSGCYDHSKGIDCSDGFNGGCEQLCLQQTVPLPYD
+ATSSTIFMFCGCVEEYKLAPDGKSCLMLSDVCEGPKCLKPDSKFNDTLFGEMLHGYNNRT
+QHVNQGQVFQMTFRENNFIKDFPQLADGLLVIPLPVEEQCRGVLSEPLPDLQLLTGDIRY
+DEAMGYPMVQQWRVRSNLYRVKLSTITLSAGFTNVLKILTKESSREELLSFIQHYGSHYI
+AEALYGSELTCIIHFPSKKVQQQLWLQYQKETTELGSKKELKSMPFITYLSGLLTAQMLS
+DDQLISGVEIRCEEKGRCPSTCHLCRRPGKEQLSPTPVLLEINRVVPLYTLIQDNGTKEA
+FRSALMSSYWCSGKGDVIDDWCRCDLSAFDASGLPNCSPLPQPVLRLSPTVEPSSTVVSL
+EWVDVQPAIGTKVSDYILQHKKVDEYTDTDLYTGEFLSFADDLLSGLGTSCVAAGRSHGE
+VPEVSIYSVIFKCLEPDGLYKFTLYAVDTRGRHSELSTVTLRTACPLVDDNKAEEIADKI
+YNLYNGYTSGKEQQAAYNTLMEVSASMLFRVQHHYNSHYEKFGDFVWRSEDELGPRKAHL
+ILRRLERVSSHCSSLLRSAYIQSRVDTVPYLFCRSEEVRPAGMVWYSILKDTKVTCEEKM
+VSMARNTYGESKGR
+>tr|A0A3Q1LYS1|A0A3Q1LYS1_BOVIN G_PROTEIN_RECEP_F1_2 domain-containing protein OS=Bos taurus OX=9913 PE=3 SV=1
+MGNPNNNPELQKILSAVFLIMSVSTILGNLLIVVTVVTSQSLRSPVHFFLMSFSLTDVTY
+SSIIAPKLIVDSLSESTAISLKGCYMTQLFAEHFFAGVEVIVLSAMAYDHYVAICKHLHY
+SSIMNHRLCAFLMVAWTGGFVHSMIHIIFTFQLPFCGPNVIYHFMCDLYPLLELACTDTH
+IFGLLIVTNSGFICILIFSLLLVSYGVILLSLRTHSSKGQQKALSTGGSHIAVVVLFFIP
+SIFYTILTPLFNPLIYTLRNKEVKSAMRKVWNRIMMVSNEK
+>tr|F6PVZ0|F6PVZ0_BOVIN KRAB domain-containing protein OS=Bos taurus OX=9913 GN=ZNF8 PE=4 SV=1
+MDPEEEAAALAMAMGPPVERLQEPVTFRDVAVDFTQEEWGQLGPAQRTLYRDVMLETFGH
+LLSVGPELPKPDVISQLEQGAELWVAERGLPQGCRPALPLFPAACGVSVPWDNF
+>tr|A0A3Q1MA33|A0A3Q1MA33_BOVIN Neural cell adhesion molecule 2 OS=Bos taurus OX=9913 GN=NCAM2 PE=4 SV=1
+MKLLIKKALLQVTISLSKVELSVGESKFFTCTAIGEPESIDWYNPQGEKIISTQRVSVQK
+EGIRSRLTIYNANIEDAGIYRCQATDAKGQTQEATVVLEIYQKLTFREVVSPQEFKQGEN
+AEVVCRVSSSPAPVVSWLYHNEEVTAISDSRFAMLANNNLQILNINKSDEGIYRCEGRVE
+ARGEIDFRDIIVIVNVPPLITMPQKSFNATAERGEEMTFSCRASGSPEPTISWYRNGKFI
+EENEKYSLRGSNTELTVRNIINSDGGPYVCKATNKAGEDEKQVLLQVFVQPHIIQLKNET
+TYENGQVTLICEAEGEPIPAITWKRAVDGITFSEGDKSPDGRIEVKGQHGSSSLHIKDVD
+LSDSGRYDCEAASRIGGHQKSMYLDIEYAPKFISNQTIYYSWEGNPINISCDVKSNPPAS
+VHWRREKLVLPAKNTTNLKTYSTGRKIILEIAPTSDNDFGRYNCTATNRIGTRFQEYILA
+LADVPSSPYGVKIIELSQTTAKVSFSKPDSHGGVPINHYQVDVKEVASETWKIVRSHGVQ
+TMVVLSNLEPNTTYEIRVAAVNGKGQGDYSKIEIFQTLPVREPSPPSIHGQPSSGKSFKL
+SITKQDDGGAPILEYIVKYRSKDKEDQWLEKKVQGNKDHIILEHLQWTMGYEVQITAANR
+LGYSEPTVYEFSMPPKPNIIKDTLFNGLGLGAVIGLGVAALLLILVVTDVSCFFIRQCGL
+LMCITRRMCGKKSGSSGKSKELEEGKAAYLKDGSKEPIVEMRTEDERITNHEDGSPVNEP
+NETTPLTEPEKLPLKEENGKEVLNPETIEIKVSNDIIQSKEDDSKA
+>tr|A0A3Q1LQ05|A0A3Q1LQ05_BOVIN Leucine rich repeats and IQ motif containing 1 OS=Bos taurus OX=9913 GN=LRRIQ1 PE=4 SV=1
+MEDFNLQDSDELPESVLHCINIIKNKSKTAEELILQDLEDTDVLSCGYGGVSNNHMHLRI
+ELPTECKENPEQLIKMLSEIEKEEFLRSRTHCGSPDLVLESDLCDLPVDEHVLPDDADIN
+FGYREVEERCRQSFEAWQDKQKELEDQEKETLKVERDKEEKQFQEEEEKRHCWMKQFEVE
+KKKLENIQKQEQDKMNDELHKEEKIWKERFKQHADFIRNLHLQMEEERTKFKDLQEKEKM
+RLSKLQHNAAVKIQAKYKAFVAYQKYGPIIKEQIESKKKKAQEWKEKEAKIRQIEEKRKR
+LEEKQRIEEEIEKQMQEGRKRREKEYMGKKNILRQEREQLLKMEKLILREATRKQLIISR
+ALKKGEYNAKHLPIEDTSKNKDVGAKRLGDGKLKMWEDASPWLAEESDKRKNVDRELVLK
+ESVQVQLKESMSSQAILAVFKVEEKKKNLAVQCSEEFVKQKRNYENRDQKNQLENLHLKE
+DVREQFQSQELQSQVQKEEVLKPSINETMRQETQIILGNNQEIDEVKDNESQKIVDDNQQ
+NKMQKVEKEISGQLGTLYEENNIKEISVISMKQKQVPLKLEKSEHIGENTLLQEEEIDLK
+SKEAEENPNGSALNSDLVFNTNDAVINVEGKINKQNYIVDAPCEDLGGYNAKNCLVFKEV
+NSLKSQIQEIPEECHENTAECENIVACSIEPTLLSSIEEKRLAWITSFKPWFEIFKQNQQ
+KKIVKRRRIIKCPVNTMPPLNPLEILRCGPWDTLQQVATITFQDLPGCSLSTLAECPNLQ
+FLSLRRCGLTSLHSLSNCKRLKYIDAQENHIETINCENLENLCIVLLNKNQLTSLHGLDG
+CTNIQSLELSHNKITRIGGLESLKNLQQLIVDHNQLISTTGLCDTPTLMYLDCSHNHLTE
+VEGIEQCGLLQILKLQGNYLSELPFLGNHVLLRELHLDDNSISTVETFSSYWLPLLQILT
+ISQNSLTKIAPLFHFVSLEKLDVSNNCLSDLTSAIKWFDACFSLHELSLTGNPLLQEINW
+RHSLLKILPALRILNGEMLTSCTERHTEGHHQLELEHFLEHCQSQIREFNLLSEKYITGD
+RNIFTLDVAQNLCYYFKKLMTLSNECRCVHEHGDVSMTRRGESEAQQNHLPPTHSDSKQQ
+NRVLYSSANEYKLNSPDISEKWMDSGSSHSSSTNPSLCEDTKERNQEEIVDQKREDSKTS
+SFPSKRIPFIETVRTRFLLENCQNTEHHEKIMAAMVIQAYWRGYIVRRQIHFSAKLHPAT
+IGPLTSKPNSCIENQTILKKEKVKNTVNIQEQKEKAAILIQAVWKGFLLRKKLTTALEAI
+KNEESEEEYEEIDLEDFTFDEAALEKEWLALDSARFPSQTLLLPNQLHWPKFSGILKYDD
+TSLNLPSHPAQAWLCNEKENVLSSEHTQFNSRSENRTLSRLPEAKTSRKSLLKSEKEEKI
+SEEWGFKDISTAQQMLKRAQKMKSKKLRKKLDPTVRLALFRNNENKVSVIKPPEKAQPQR
+DGFFEDKEEEFMYKDTTANEKLERSKEYTYQWLHTQVGVLETTGSRNMKCKHFLPELDPD
+VRNGGRVQLVARLVSREDTDLDLFSMTSGSALSVKTEKKTLAHRHSAGSSSCSIKGILAP
+MITNTRSSKKERISFRDNPVQLSGGWGSGKKKMKTSN
+>tr|A0A3Q1M1S1|A0A3Q1M1S1_BOVIN Nuclear receptor coactivator 5 OS=Bos taurus OX=9913 GN=NCOA5 PE=4 SV=1
+MNTAPSRPSPTRRDPYGFGDSRDTRRDRSPIRGSPRREPRDGRNGRDARDGRDMRDPRDL
+RDHRDSRDIRDHRDSRSMRDARDMRDLRDFRDLRETRNFRDHRDPMYDRYREMRDSRDPI
+LRREGSYDRYLRMDDYCRRKDDAYFDRYRDSFDGRGPPGPESQSRVKERLKREERRREEL
+YRQYFEEIQRRFDAERPVDCSVIVVNKQTKDYAESVGRKVRDLGMVVDLIFLNTEVSLSQ
+ALEDVSRGGSPFAIVITQQHQIHRSCTVNIMFGTPQEHRNMPQADAMVLVARNYERYKNE
+CREKEREEIARQAAKMADEAILQERERGGPEEGMRGGHPPAIQSLLNLLADNRYLTAEET
+DKIINYLRERKERLMRSSTDSLPGELRGRAEARFPVNHSGRPRVPH
+>tr|A0A3Q1M637|A0A3Q1M637_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MDTLALGRWRRRRPEDLQVPGDAKRLCRRLDAAAPERDCPQLRVRIPVSGGSEEPECLDA
+QRRGRLLSPWPDSGQGRPGVGGPLDGGRIRAQPCPRCIAGESVQWPVTKHTDVDLDSEMD
+IDFMCLRE
+>tr|E1BPR6|E1BPR6_BOVIN Polypeptide N-acetylgalactosaminyltransferase OS=Bos taurus OX=9913 GN=GALNT9 PE=3 SV=1
+MAVARKIKTLLTVNILVFVGIILFSVYCRLQDRSEGLVQIVRSADRRVRSRHAKVGALAE
+REAILQRLDHLEEVVYNQLNGLAKPIGLVEGPGGLGQGGMAATLRDDSQETEGKFEEYGY
+NAQLSDRISLDRTIPDYRPKKCRQMTYSDDLPQISVVFIFVNEALSVILRSVHSVVNHTP
+SQLLKEVILVDDNSDNVELKLNLDQYVSKRYPGLVKIVRNSRREGLIRARLQGWKVATAP
+VVGFFDAHVEFSTGWAEPALTRIREDRRRIVLPAIDNIKYDTFEVQQYASAAHGYNWGLW
+CMYIVPPQDWLDRGDEAAPIRTPAMIGCSFVVDREYFGDIGLLDPGMEVYGGENIELGMR
+VWQCGGSMEVLPCSRVAHIERTKKPYNNDIDYYAKRNALRAAEVWMDGFKSHVYMAWNIP
+MTNPGVDFGDVSERLALRQRLKCRSFKWYLDNVYPEMRTYNDTLTYGEVRNSKASGYCLD
+QGAEDDDRAILYPCHGMSSQLVRYSAEGLLQLGPLGSTAFLPDSKCLVDDGRGRTPALKK
+CEDVARPAQRLWDFTQGGPIVSRDTGRCLEVEMSKDANFGLRLVVQRCSGQKWTIRNWIK
+PGRH
+>tr|F1N277|F1N277_BOVIN O-acyltransferase OS=Bos taurus OX=9913 GN=SOAT2 PE=3 SV=1
+MEPRAAQVQRRERLGRQQEDRPSREGEPHSGGAECPGNAEVHRGPDLVQWTQHMQAVKTQ
+LLEQAQGQLMELLDQAMWEAVQAYPLQDRPVPSVPPDSLRKTREPSLGKRKVFIIRKSLL
+DELMEVPHFRTIYHMFVAGLCVFIISTLAIDLIDEGRLMMEFDLLTFSFGQLPLALVTWV
+PMFLSTLLVPYQALRLWERPQSGGAWTLRVGLGCLLLAAHTVVLGILPVHVAVEYQLPPA
+SRCVLVFEQVRLLMKSYSFLRETGPGTLWARGGEGIRAPSFSSYLYFLFCPTLIYRETYP
+RTPNVRWNYVAKNFAQALGCVLYACFILGRLCVPVFANMSQEPFSTRALVLSIMHATLPG
+IFMLLLIFFAFLHCWLNAFAEMLRFGDRMFYRDWWNSTSFSNYYRTWNVVVHDWLYSYVY
+QDGLWLLGGRARGAAMLGVFLVSAVVHEYIFCFVLGFFYPVMLLLFLVFGGPLNFTMHDR
+RTGPAWNVLMWTLLFLGQGIQVSLYCQEWYARRHCPLPQTTFWGLVTPRSWSCHT
+>tr|E1BLI8|E1BLI8_BOVIN Suppressor of glucose, autophagy associated 1 OS=Bos taurus OX=9913 GN=SOGA1 PE=4 SV=2
+MLEMRDVYMEEDVYQLQELRQQLDQASKTCRILQYRLRKAERRSLRAAQTGQVDGELIRG
+LEQDVKVSKDISVRLHKELEAVEKKRARLEEENEELRQRLIETELAKQVLQTELERPREH
+SLKKRGTRSLGKTDKKSSVQEDSADLKCQLHFAKEESALMCKKLTKLAKENDGMKEELLK
+YRSLYGDLDGALSAEELADAPHSRETELKVHLKLVEEEANLLSRRIVELEVENRGLRAEM
+DDMKDHGGGGGCGGPEARLAFSALGGGECGESLVELRRHLQFVEEEAELLRRSSAELEDQ
+NKLLLSELAKYRSEHELDVTLSEDSCSVLSEPSQEELAAAKLQIGELSGKVKKLQYENRV
+LLSNLQRCDLASCQSTRPMLETDAEAGDSAQCVPASLAEAPGPAAARLCRAREAEALPGL
+REQAVLVSKAIDVLVADANGFSAGLRPYLDNECADFRLHEAPDNNSEGPRDTKLMHALLV
+RLSLLQQELNAFTRKADSVLGGPVKEPPEPFSTLPALSSQGPSKEILLAKDLGSDFQVQP
+PDLRDLPEWEPRIREAFHTSDLDSKSDPSRSFRPYRAEDNDSYASEIKELQLVLAEAHDS
+LRGLQEQLSQERQLRKEEAESFNQKVVQLKEDQQRALLRREFELQSLSLQRRLEQKFWSQ
+EKNMLVQESQQFKHNFLLLFMKLRWFLKRWRQGKVLPSEGDDFLEVNSMKELYLLMEEEE
+LNAQHSDNKTCAGDSWTQNTPNEYIKTLADMKVTLKELCWLLRDERRGLTELQQQFAKAK
+ATWETERVELKSHTALMELKAGKGAGDRTGPDWKAALQREREEQQHLLAESYSAVMELTR
+QLQISEHNWAQEKLQLVERLQGEKQQVEQQLKELQNRLSQLQKASDPWVLKHSDLEKQDN
+SWKETRSEKIHDKEAVSEAELGGTGLKRTKSVSSMSEFESLLDCSPYLAGEASRGKKLPN
+SPAFAFVGAQPVDPEKGAPEQPGLSPRDCNRLGALGCPEPAGRQMQRSYTAPDKTGIRVY
+YSPPVARRLGVPVVHDREGKIIIEPGFLFTTAKPKESAEADGLAESSYSRWLCNFSRQRL
+DGGSGGGPSAAGPGFPAALHDFEMSGNMSDDMKEITNCVRQAMRSGSLERKVKSTSSQTV
+GVASVGTQTIRTVSVGLQTDPPRGSLHGKSWSPRGSSLVSVRSKQISSSLDKVHARIERP
+CCSPKYGSPKLQRRSVSKLDGAKDRSLWNLHQGKQNGSAWARSTTTRDSPVLRNINDGLS
+SLFSVVEHSGSTESVWKVGMSEARAKPEPPKYGIVQEFFRNVCGRAPSPTASTAGEEGSK
+KPEPLSPASYHQPEGMARILNKKVGKSGGSEEARLSVLPQVGKDGITRDGDGATVLPNED
+AVCDCSTQSLASCFARPSRSAIRHSPSKCRLHPSESGWGGEERAAPPSE
+>tr|E1BDE2|E1BDE2_BOVIN Exonuclease 3'-5' domain containing 1 OS=Bos taurus OX=9913 GN=EXD1 PE=4 SV=2
+MDTIKDEDLNVRKPASPAPEVPITSLLNDFKYSPSEEEEVTYTVIDQFQQKFGAAMLHIK
+KQSVLSVAAEGANVCRHGKLCWLQVATNSRVYLFDIFLLGSRAFNNGLQMVLEDKRILKV
+IHDCRWLSDCLSHQYGILLNNVFDTQVADVLQFSVETGGFLPNCISTLQESLIRHLKIAP
+KHLSFLEVRQKWIRENPELWFTRPLSPSLLKILALEATYLLPLRLVLLDEMMSDLTTLVD
+GYLNTYREGSADRLGGMEPTCMELPEELLQLQDFQKQRRERAAKEYRVNAQGLLIRTVLH
+PKKSVTETAGKEGKVRGFLLCKNSTQDKAPNVTSREDVDLLKEESKSRQTTVEPQYLPLT
+KEDASEDSSYNLNYPESEGVKDQRITQKKHLKIPKQEFQTSLSLKEEIEQLLMVENKEDL
+KGTKQDVSVSPSLPQETRVSPSDIFHPFRKAVLPTLPPCPALEKTDSWLNPDSPNLP
+>tr|F1MV04|F1MV04_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=ZFP91 PE=4 SV=3
+MPGETEEPRPPERQDQGGGPAAAEPQPQPPEAAAAPPAGPPSSRVLRGGRDRGRAAAAAA
+AAAAAVSRRRKAEYPRRRRSSPSARPADAPGQPAQAAKPPSPAQGKRSPRLLCIEKVSTE
+KDPKEEKEEEESALAQEAPSATARPSRGWRGSSRTSVSRHRDAENTRSSRSKTGSLQLIC
+KSEPNTDQLDYDAAEEHQSPGGISSDEEEEEEEEMLISEEEIPFKDDPRDETYKPHLERE
+TPKPRRKSGKVKEEKEKKEIKVEVEVEVKEEENEIREDEEPPRKRGRRRKDDKSPRLPKR
+RKKPPIQYVRCEMEGCGTVLAHPRYLQHHIKYQHLLKKKYVCPHPSCGRLFRLQKQLLRH
+AKHHTDQRDYICEYCARAFKSSHNLAVHRMIHTGEKPLQCEICGFTCRQKASLNWHMKKH
+DADSFYQFSCNICGKKFEKKDSVVAHKAKSHPEVLIAEALAANAGALITSTDILGTSPEA
+LSPPAGGQGLPLLPEPLGNPAPGECLLLEAEGVSKSFCGGAERVSLVTDGKLFVGGGGGT
+GADGLVMNSDILGATAEVLIEDSDSAGP
+>tr|A0A3Q1MQS4|A0A3Q1MQS4_BOVIN PDZ and LIM domain protein 3 OS=Bos taurus OX=9913 GN=PDLIM3 PE=1 SV=1
+MPQNVVLPGPAPWGFRLSGGIDFNQPLVITRITPGSKAAAANLCPGDVILAIDGFGTESM
+THADAQDRIKAAGHQLCLKIDRAEARLWSPQVTEDGKAHPFKINLESEPQDVNYFEHKHN
+VRPKPFIIPGRSSGCSTPSGVDGGSGRSTPSSVSTLSTICPGDLKVAAKMAPNIPLEMEL
+PGVKIVHAQFNTPMQLYSDDNIMETLQGQVSTALGETPLMSEPTASVPPESDVYRMLHDN
+RNEPTQPRQSGSFRVLQELVNDGADDRPAGTRSVRAPVTKVHGGAGGTQKMPFCDKCGSG
+IVGAVVKARDKYRHPECFVCADCNLNLKQKGYFFVEGELYCETHARARMRPPEGYDTVTL
+YPKA
+>tr|A0A3Q1MLX8|A0A3Q1MLX8_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MRKTRDFFKKIRDTKGTFHAKMGSIKDRNGMDLTEAEDIKKRWQEYTKLYKKDLQDQDND
+NGVITHLEPDILECEVKWALESITTNKASGGDGIPVELFQILKDDAMKVLHSICQQIWKT
+QQWPQDWKRSVFIPIPKKGNAKECSNYLTIALISHTSKVMLKVLQARLQQYVNRELPDVQ
+AGFRKGRRTRDQIANIHWIMEKAREFQRSIYLCFIDYAKAFDCVDPNKLWKILKEMGIPD
+HLTCLLRNLYAGQEATVRTGHGTTDWFQIGKGVHQGCILSPCLFNLYAEYIMRNAGLEEA
+QAGIKVAGRNINNLRYTDDTTLTAESEEELKSLLMKVKEESKRVGLKLNIQKTKIMASGP
+ITSWETDGETVETVSDFIFLGSKITADGDCSQEIKRRLLLRRKVMTNLDNIFKSREKHYF
+ANKDRSSQGYGFFSGHVWM
+>tr|A0A3Q1LK98|A0A3Q1LK98_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MSARILNGISHASKVMLKILQARLQQYVNCELPDVQAGFRKGRGTRDQIANICWIMEKAR
+QFQKNIYFCFIDYAKAFDCVGHNQLWKILKEMGIADHLTCLLRSLYAGQEATVRTGHGTT
+DWFQIGKGVRQGYILSPCLFNFYAEYIMRNAGLEEAQAGTKIAGRNINNLRYANDTTLMA
+ESEEKIKSLLMKVKEESEKAGLKLNIQKTKITASGPITSWEIDGGTVETVSDFIFLGFKI
+TADGDCSHEIKRHLLLGRKVMTNLDSIFKSRDITLPTKVRLVKAMVFPLVMYGRESWTVK
+KAECRRIDAFELWCWRRFLRVPWTARRSNQSILKEISPGCSLEGLMLKLKLQYFGHVT
+>tr|A0A3Q1M3K5|A0A3Q1M3K5_BOVIN TLE family member 1, transcriptional corepressor OS=Bos taurus OX=9913 GN=TLE1 PE=4 SV=1
+AAAAARAMFPQSRHPTPHQAAGQPFKFTIPESLDRIKEEFQFLQAQYHSLKLECEKLASE
+KTEMQRHYVMYYEMSYGLNIEMHKQTEIAKRLNTICAQVIPFLSQEHQQQVAQAVERAKQ
+VTMAELNAIIGQQQLQAQHLSHGHGPPVPLTPHPSGLQPPGIPPLGGSAGLLALSSALSG
+QSHLAIKDDKKHHDAEHHRGESNSLLVPDSLRGTDKRRNGPEFSNDIKKRKVDDKDSMCK
+GKDPSVVSEIMRPLLVLLEDPSSPRASPAHSPRENGIDKTRLLKKDASSSPASTASSGSS
+TSLKSKEMSLHEKASTPVLKSSTPTPRSDMPTPGTSATPGLRPGLGKPPAMDPLVNQAAG
+LRTPLAVPGPYPAPFGMVPHAGMNGELTSPSAAYASLHNMSPQMSAAAAAAAVVAYGRSP
+MVGFDPPPHMRVPTIPPNLAGIPGGKPAYSFHVTADGQMQPVPFPPDALIGPGIPRHARQ
+INTLSHGEVVCAVTISNPTRHVYTGGKGCVKVWDISHPGNKSPVSQLDCLNRDNYIRSCK
+LLPDGCTLIVGGEASTLSIWDLAAPTPRIKAELTSSAPACYALAISPDSKVCFSCCSDGN
+IAVWDLHNQTLVRQFQGHTDGASCIDISNDGTKLWTGGLDNTVRSWDLREGRQLQQHDFT
+SQIFSLGYCPTGEWLAVGMESSNVEVLHVNKPDKYQLHLHESCVLSLKFAYCGKWFVSTG
+KDNLLNAWRTPYGASIFQSKESSSVLSCDISVDDKYIVTGSGDKKATVYEVIY
+>tr|A0A3Q1M698|A0A3Q1M698_BOVIN F-actin-capping protein subunit alpha OS=Bos taurus OX=9913 GN=CAPZA2 PE=3 SV=1
+ITFISSFLFQVRIAAKFIIHAPPGEFNEVFNDVRLLLNNDNLLREGAAHAFAQYNLDQFT
+PVKIEGYEDQVLITEHGDLGNGKFLDPKNRISFKFDHLRKEATDPRPYEAENAIESWRTS
+VETALRAYVKEHYPNGVCTVYGKKIDGQQTIIACIESHQFQAKNFWNGRWRSEWKFTITP
+STTQVVGILKIQVHYYEDGNVQLVSHKDIQDSLTVSNEVQTAKEFIKIVEAAENEYQTAI
+SENYQTMSDTTFKALRRQLPVTRTKIDWNKILSYKIGKEMQNA
+>tr|M0QVY3|M0QVY3_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 GN=LOC507767 PE=4 SV=2
+MLLLPLLLLAVIVPGGDNEDDKTFQGPTSFHVIQISTFANSTWAQNQGSGWLDNLQIHGL
+DSDSGTAIFLKPWSKGNLSDEEVTELEELFRDHVSEFQLEYPFVIQGIAGCEMHTGKAIG
+SFLKRAFRGLDFVSVKNDSCAPAPEGGSKAQRFCALIIQYQAICDTIAKLLLETCPQYLL
+SVLDAGKAELQRQVKPEAWLSSGPTPGPGRLLLVCHVSGFYPKPVRVMWMRGEQEQPGTQ
+QGDLMPNADWTWTPHLHWPDICGNNSALPHPFDLSCIMVLEALVISDYHVSPSPSPFPFG
+ISTQEPRNSSGQPSSQFHHISSNNHLIKSEVL
+>tr|E1BEI5|E1BEI5_BOVIN G_PROTEIN_RECEP_F1_2 domain-containing protein OS=Bos taurus OX=9913 GN=OR4D5 PE=3 SV=3
+MTTANHSQVTGFVLLGFSQVWELRLFFFIVFSVVYLMTVTGNLLIVAVVTSDPRLHTTMY
+FLLGNLSFLDFCYSSIMAPRMLADLLSRKPVISFGGCLTQLFFFHFIGGIKIFLLTVMVY
+DRYVAISQPLRYMLIMNRTVCGLLVAASWVGGFIHSIAQVGLTAQLPFCGPDKLDNFYCD
+VPQLIKLACTDTFVLELLMVSNNGLVTLMCFLVLLGSYTALLVMLRSHSREGRSKALSTC
+ASHIAVVTLIFVPCLYIYARPFRTFPMDKVVSVLYTMVTPMLNPAIYTLRNKEVIIAMKK
+LWRRQKDLLDPLEH
+>tr|A0A452DI60|A0A452DI60_BOVIN Rhombotin-2 OS=Bos taurus OX=9913 GN=LMO2 PE=4 SV=1
+RVLLALYRRGQFNRRGPIFKDAEGGNSAASRWRGDSDQLGPSQTPSLGISCPWYCSGVPS
+PCGPCSHSSRRLFGARTQSQVLSQQSRAVLGASPRSLTADVGPPTPDARESHLERVHLWS
+AVTVLERGGASSPPERRSKKRRRSGGGGGGARAPEGVRAPAAGQPRATKGAPPPPGTPPP
+SPMSSAIERKSLDPSEEPVDEVLQMPPSLLTCGGCQQNIGDRYFLKAIDQYWHEDCLSCD
+LCGCRLGEVGRRLYYKLGRKLCRRDYLRLFGQDGLCASCDKRIRAYEMTMRVKDKVYHLE
+CFKCAACQKHFCVGDRYLLINSDIVCEQDIYEWTKINGMI
+>tr|A0A3Q1N7J0|A0A3Q1N7J0_BOVIN Mitogen-activated protein kinase binding protein 1 OS=Bos taurus OX=9913 GN=MAPKBP1 PE=4 SV=1
+MMAVEGSTITSRIKNLLRSPSIKLRRSKAGNRREDLSSKVTLEKVLGITVSGGRGLACDP
+RSGLVAYPAGCVVVLFNPRKHKQHHILNSSRKTITALAFSPDGKYLVTGESGHMPAVRVW
+DVAEHSQVAELQEHKYGVACVAFSPSAKYIVSVGYQHDMIVNVWAWKKNIVVASNKVSSR
+VTAVSFSEDCSYFVTAGNRHIKFWYLDDSKTSKVNATVPLLGRSGLLGELRNNLFTDVAC
+GRGKKADSTFCITSSGLLCEFSDRRLLDKWVELRTTVAHCISVSQDYIFCGCADGTVRLF
+NPSNLHFLSTLPRPHALGTDIASVTEASRLFSGAANARYPDTIALTFDPTNQWLSCVYND
+HSIYVWDVRDPKKVGKVYSALYHSSCVWSVESSGVHGSTLHRNILSNVSLGPSRPSACVP
+LPVLPTSPDLLHQDLIKIIYVDGNTQALLDTELPGGDKADGSLMDPRVGIRSVCISPNGQ
+HLASGDRVGTLRVHELQSLNEMLKVEAHDSEILCLEYSKPDTGLKLLASASRDRLIHVLD
+AGREYSLQQTLDEHSSSITAVKFAASDGQVRMISCGADKSIYFRTAQKSGDGVQFTRTHH
+VVRKTTLYDMDVEPSWKYTAIGCQDRNIRIFNISSGKQKKLFKGSQGEDGTLIKVQTDPS
+GIYIATSCSDKNLSIFDFSSGECVATMFGHSEIVTGMKFSNDCKHLISVSGDSCIFVWRL
+SSEMTISMRQRLAELRQRQRGVSSPRHLACLGCRHEAPSMLSPGPALSSDSDKEGEDEGT
+EEEELPALPVLSRGAKKEPGLCEPAVGQTGTGRAGPGCTLRPRRRGRWAQPGVELSVRSM
+LDLRQLETLAPGPRGPHQDSPAMTPSGPGKHSQQAPETSSASQPCSCPHIIRLLSQEEGL
+FAQDLETAPIEDGIAPSRGTLGRVYADGRGSEKHSPDSACSVDFSSSRLSSPEHPNEDSE
+STEPLSVDGISSDLEEPAEGDEEEEEDEGGTGPYEVQEGSPHTPDQEQFLKQHFETLANG
+AAPGGPVRVPERTESRSISSRFLLQVQSPPLRYSPHTPGTSSSPPDPCVCVSPSADEAPP
+PGLLLSQEMEAQGCLCPLPKADRRLSRPHSYQSPTTSSMAKIARSISVGENLGLAAEHQA
+PAPVRISPLNKLALPSRAHLVLDIPKPLPDRPTLATFSPATKGRAPGEAEQPGCPVGLGK
+THSTAERRACSGEGATPKPRTECQAQPGPNSPCAQQLPASLALSGPWVGRLERTTLLGLA
+ELCLSLPEPAVSLEQCEQLVAELQGSVRQAVQLYHLVCTPSVEQSRITQLLRSTFSSVRQ
+ELEALAGAVLASPGGSPGAVGAEQTQALLEQYSELLLRAVERRMERRL
+>tr|F6QT33|F6QT33_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=RBM34 PE=4 SV=1
+MALEGKNKREKKQSAQEGQNPDDGACGNLEGDYEVGQVANSLFRGKQPSRGSTGRLASLF
+GSVNPQLQPVYVPVPEEAIKKRKRDEEEESSPQIQRPLLQEPAKKMKVKKKLSDAEKKLA
+NREDALASADLEEEIHQKQGQKRKNSQPGVTVADKELLDDVEETVVNQRKKIQINQEEER
+LKNERTVFVGNLPVTCNKKKLKSFFKEYGQIESVRFRSLIPAEGTLSKKLAAIKRKIHPD
+QKNINAYVVFKDESAATKALERNGAQFAEGFRVRVDLATETSSRDKRSVFVGNLPYKVEE
+SAVEKHFLACGNVVAVRIVRDQVTGVGRGFGYVLFENTDAVHLALKLNNSELMGRKLRVM
+RSVHKEKLKQNSNLSLKNVSKPKQGLNFASKSAQNSKGLFIGEKAVLMKKKKKGQKKSRR
+TKTQKKQK
+>tr|A0A3Q1MSX0|A0A3Q1MSX0_BOVIN Cytosolic purine 5'-nucleotidase OS=Bos taurus OX=9913 GN=NT5C2 PE=4 SV=1
+MTTSWSDRLQNAADMPANMDKHALKKYRREAYHRVFVNRSLAMEKIKCFGFDMDYTLAVY
+KSPEYESLGFELTVERLVSIGYPQELLSFAYDSTFPTRGLVFDTLYGNLLKVDAYGNLLV
+CAHGFNFIRGPETREQYPNKFIQRDDTERFYILNTLFNLPETYLLACLVDFFTNCPRYTS
+CETGFKDGDLFMSYRSMFQDVRDAVDWVHYKGSLKEKTVENLEKYVVKDGKLPLLLSRMK
+EVGKVFLATNSDYKYTDVMTFRLLLFLPYQPGSSHRPWQSYFDLILVDARKPLFFGEGTV
+LRQVDTKTGKLKIGTYTGPLQHGIVYSGGSSDTVCDLLGAKGKDILYIGDHIFGDILKSK
+KRQGWRTFLVIPELAQELHVWTDKSYFHWIFLVSRHLDSSSNERPDISSIQRRIKKVTHD
+MDMCYGMMGSLFRSGSRQTLFASQVMRYADLYAASFINLLYYPFSYLFRAAHVLMPHEST
+VEHTHVDINEMESPLATRNRTSVDFKDTDYKRHQLTRSISEIKPPNLFPLAPQEITHCHD
+EDDDEEEEEEE
+>tr|G3N0F3|G3N0F3_BOVIN Histone domain-containing protein OS=Bos taurus OX=9913 PE=3 SV=2
+MPEPAKSAPAPKKGSKKAVTKAQKKDGKKRKHSPKESCSVYVYKVLKRVHPDTGISSKAM
+GIMNSFVNDIFERFAREASRLAHYNKRWTITSQEIQTAVRLLLPGELAKQAVSEGTKAVT
+KYTSSK
+>tr|F1MQI6|F1MQI6_BOVIN Transient receptor potential cation channel subfamily M member 3 OS=Bos taurus OX=9913 GN=TRPM3 PE=4 SV=3
+MLDALVLDRVDFVKLLIENGVSMHRFLTISRLEELYNTRHGPSNTLYHLVRDVKKVRTLW
+TGNLPPDYRISLIDIGLVIEYLMGGAYRCNYTRKRFRTLYHNLFGPKRPKALKLLGMEDD
+VPLRRGRKTTKKREEEVDIDLDDPEINHFPFPFHELMVWAVLMKRQKMALFFWQHGEEAM
+AKALVACKLCKAMAHEASENDMVDDISQELNHNSRDFGQLAVELLDQSYKQDEQLAMKLL
+TYELKNWSNATCLQLAVAAKHRDFIAHTCSQMLLTDMWMGRLRMRKNSGLKVILGILLPP
+SILSLEFKNKDDMPYMTQAQEIHLQEKEQEEPEKPTKEKDEEDMELTAMLGRNNGESSRK
+KDEEEVQSRHRFIPLGRKIYEFYNAPIVKFWFYTLAYIGYLMLFNYIVLVKMERWPSTQE
+WIVISYIFTLGIEKMREILMSEPGKLLQKVKVWLQEYWNVTDLIAILLFSVGMILRLQDQ
+PFRSDGRVIYCVNIIYWYIRLLDIFGVNKYLGPYVMMIGKMMIDMMYFVIIMLVVLMSFG
+VARQAILFPNEEPSWKLAKNIFYMPYWMIYGEVFADQIDPPCGQNETREDGKIIQLPPCK
+TGAWIVPAIMACYLLVANILLVNLLIAVFNNTFFEVKSISNQVWKFQRYQLIMTFHERPV
+LPPPLIIFSHMTMIFQHLCCRWRKHESDPDERDYGLKLFITDDELKKVHDFEEQCIEEYF
+REKDDRFNSSNDERIRVTSERVENMSMRLEEVNEREHCMKASLQTVDIRLAQLEDLIGRM
+ATALERLTGLERAESNKIRSRTSSDCTDAAYIVRQSSFNSQEGNTFKLQESIDPAGEETF
+HSFYSVNMKDKGGIEKLESLFKERSLSLHRATSSHSVAKESKAPAAPANTLAIVPDSRRP
+SSCIDIYVSAMDELHCDIDPLDNSMNILGLGEPSFSAPVPSAAPSSSAYATLAPTDRLPS
+RSTDFEDITSMDTRSFSSDYTHIPECQNPWDTDPPMYHTIERSKSSRYLATTPFLLEEAS
+IVKSHSFMFSPSRSCYANFGVPVKTAEYTSITDCIDTRCVNAPQVIADRTTFLGGLGGKV
+EESLCCHPEREAELSHPSSDGEENEAKGRRATITMPPQEGDNSDRTLSNNITVPKIERAN
+SYSAEEPSTPYAHTRKSFSISDKLDRQRNTASLRNPFQRSKSSKPEGRGDSLSMRRLSRM
+SAFHSFESKHN
+>tr|F1MF11|F1MF11_BOVIN Phosphoinositide phospholipase C OS=Bos taurus OX=9913 GN=PLCH1 PE=4 SV=2
+SALSWIYLSLSPLCMSVMQSGTQMIKLKRGTKGLVRLFYLDEHRTRLRWRPSRKSEKAKI
+LIDSIYKVTEGRQSEIFHRQAEGNFDPSCCFTIYHGNHMESLDLITSNPEEARTWITGLK
+YLMAGISDEDSLWVKQTFEEADKNGDGLLNIEEIHQLMHKLNVNLPRRKVRQMFQEADTD
+ENQGTLTFEEFCVFYKMMSLRRDLYLLLLSYSDKKDHLTVEELAQFLKVEQKMTNVTTDY
+CIDIIRKFEVSEENKVKNVLGIEGFTNFMRSPACDIFNPLHHEVYQDMDQPLCNYYIASS
+HNTYLTGDQLLSQSKVDMYARVLQEGCRCVEVDCWDGPDGEPVVHHGYTLTSKILFRDVV
+ETINKHAFVKNEFPVILSIENHCSIQQQRKIAQYLKGIFQDKLDLSSIDTGETKQLPSPQ
+SLKGKILVKGKKLPYHLGDDAEEGEVSDEDSADEIEDECKFKLHYNNGTTEHQVESFIRK
+KLESLLKESQIRDKEDPDSFTVRALLKATHEGLNAHLKQHPDAKESGKKAHGRSLMTNFG
+KHKKTTKSRSKSYSTDDEEDAQQNPGKETGQLYRLGRRRKTMKLCRELSDLVVYTNSVAA
+QDIVDDGTTGNVLSFSETRAHQVVQQKSEQFMIYNQKQLTRIYPSAYRIDSSNFNPLPYW
+NAGCQLVALNYQSEGRMMQLNRAKFKTNGNCGYVLKPQQMCKGTFNPFSGDPLPANPKKQ
+LILKVISGQQLPKPPDSMFGDRGEIIDPFVEVEIIGLPVDCSKDQTRVVDDNGFNPVWEE
+TLTFTVHMPEIALVRFLVWDHDPIGRDFVGQRTVTFSSLVPGYRHVYLEGLTEASIFVHI
+TINEIYGKVRMITGLKNRQLQGLKGLFNKNPRHNSSENTCHYIRKRSIGDKILRRTASAP
+AKGRKKSKMGFQEMVEMKDSVSEAARDQDGVLRRTTRSLQARPVSMPVDRSLLGALSLPV
+SETTKDTEGKENSQAEDKDARRDGKASVKDQHLPNFNKKLSSSSSALIHKDISQGNSAVS
+TANLSITEQLVPGPKGGRTKSNMLSDCWEHQCLSRSLSPRQHLAHDPAVSPSKDLCGVKT
+KENGNAGGFVVGKSTLSGSILSQSNLEIKKLEGNWDKGRAATSFSLSDVSTLCSDAPDLH
+STAILQESEISHLIDNVTLTNENEPGSSISALIGQFDETGNQANPTVVSHLQSPSVMSGH
+PPVPTMDLKMPFKPGFSNGKPKSSFLCSSPEQIALSGHETCECSTHTAVGETMCTPVSKM
+KPDNDLSGKAMTGAIENNLPQSSNTSHCWLPESPTHGKDWEILKNPSPATSTDLTLEDVI
+AAPTLSLNSGESSLVEMDGDSENLSLTTYEYRREGTSHLTSPLKVKYSPAAVEHFQRGLR
+NGYCKETLHPSVSEIFNNTQDVKNQNISHLAYQGAGFMHNHFSNSDAKTNQTSGPLPCAH
+DLHAPAPEQSTHSALKLSSPCKSKSLGDLTSEDIACNFESKYQCISKSFVTTGIRDKKGM
+TVKTKSLEPMDALTEQLRKLVSFDQEDGCQVLYSKQDANQFPRALVRKLSSRSQSRVRNI
+ASRAKEKQEANRQKSVNPSTVGGVVLRSKPCAPAPTGNRHSTGSYIAGYLRSAKGGSLEG
+RGIPEGACAALRSGHVDRFCSHHSVLQTEPSSDDKPEIYFLLRL
+>tr|A0A3Q1MU89|A0A3Q1MU89_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=CYP4F2 PE=3 SV=1
+MGLGSLAWGGKGHCSRQGGSREQAGLGDEWMMLELSLSRLGLGPLAASPWLLPLLAGVSW
+ILARVLAWTYTFYNNSRRLRCFLQPPKPNWFLGHMNLVPSTEQGLIYFTQMAANYPRGYL
+IWFGPIIPMVIFCHPDMLRSITNASAAIAPKDMQFYGTLKPWLGDGLLLSAGDKWSSHRR
+MLTPAFHFNILKPYIKIFTKSAEIMHAKWEHLITEGHTHLDMFEHISLLTLDNLQKCVFS
+FDSNCQEKPSEYIAAILELSALVSKRNQQLFLYMDFLYYLTSDGQRFRNACRLVHDFTDA
+VIQERRRTLPKENIDDFLKAKAKTKTLDFIDVLLLTKDEDGKGLSDEDIRAEADTFMFEG
+HDTTASGLSWILYNLAKHPEYQERCRQEVQDLLRDRESKEIEWDDLAQLPFLTMCIKESL
+RLHPPVTSISRRCTQDIVLPDGRVIPKGVVCLIDIFGTHHNPSVWQDPEVYDPFRFDPEN
+IKGRSPLAFIPFSAGPRNCIGQTFAMTEMNVVLALTLLRFRFRPDKEEPRRKPELILRAE
+GGLWLQVELLSAGPQ
+>tr|A0A3Q1MJI0|A0A3Q1MJI0_BOVIN Meis homeobox 3 OS=Bos taurus OX=9913 GN=MEIS3 PE=4 SV=1
+MARRYDELPHYPGIVDSTAALAGFSEAVSSAPRAPGPYGPHRPPQPPGLDSDGLRREKDE
+IYGHPLFPLLALVFEKCELATCSPRDGAGTGLGTPPGGDVCSSDSFNEDIAAFAKQVRSE
+RPLFSSNPELDNLMIQAIQVLRFHLLELEKVHDLCDNFCHRYITCLKGKMPIDLVIEDRD
+SSCREDLDDYPASCPSLPDQNTAWIRDHEDSGSVHLGTPGPSSGGLASQSGDNSSDQGDG
+LDTSVASPSSGGEDEELDQERRRNKKRGIFPKVATNIMRAWLFQHLSHPYPSEEQKKQLA
+QDTGLTILQVNNWFINARRRIVQPMIDQSNRTGQGAAFSPEGQPMAGYTETQSHVTVRPP
+GSMGMSLNLEGEWHYL
+>tr|E1B8U2|E1B8U2_BOVIN THUMP domain containing 2 OS=Bos taurus OX=9913 GN=THUMPD2 PE=4 SV=3
+MAVVPGGPSSRPAVGAQFFCTAGRGLEPFLMREVRERLAATQVEYISGKVFFTTCSDLNM
+LKQLKSAERLFLLIKKQLPFPVSSVSKGKILNELQRLINDDPESWLNAISIWKNLLELDA
+KKEKLSHKNANPLKRKVGEDDITAKKLKTEQIQELQETKECQLEKQIEEKILEQGNFITE
+GEKFQKLQDDVTEAVDTRNQTNLTFRVSCRCSGAVAKTLTAQEVGRVIGIALMKQFGWKA
+DLRNPNLEIFIHLSDVYSVLGIPVFRVPLACRAYIKTAGLRSTIAWAMASLAEIKAGAVV
+LDPMCGLGTILLEAAKEWPHVYYVGADVSDSQLSGAYDNLRAAGLRDKIELLQVSVIELP
+LPSESVDIIISDIPFGKKFKLGKDIKRMLQEMERVLRVGGTIVLLLSEDHHRHLKGGEAS
+SGPLNSQGGHTEEPGGEERLTPAEKAAVSEPVSSPFAASNQGRLDRMPPLGSLVPVDCYR
+VSLGKTDALISKYKKSHSPGR
+>tr|A0A3Q1LL52|A0A3Q1LL52_BOVIN Calcium voltage-gated channel subunit alpha1 H OS=Bos taurus OX=9913 GN=CACNA1H PE=4 SV=1
+MLVIMLNCVTLGMFRPCEDVECRSERCGILEAFDDFIFAFFAVEMVIKMIALGLFGQKCY
+LGDTWNRLDFFIVMAGMMEYSLDGHNVSLSAIRTVRVLRPLRAINRVPSMRILVTLLLDT
+LPMLGNVLLLCFFVFFIFGIVGVQLWAGLLRNRCFLDSTFARNSNLSFLRPYYQPEEGEE
+NPFICSSRRDNGMQKCSHIPSRRELRVECTLGWEAYGQPQAEGAGGTGHHTCINWNQYYN
+VCRSGDSNPHNGAISFDNIGYAWIAIFQVITLEGWVDIMYYVMDAHSFYNFIYFILLIIV
+GSFFMINLCLVVIATQFSETKQRENQLMREQRARYLSNDSTLASFSEPGSCYEELLRYVG
+HVCRKLKRRGLRLYARWQSRWRKKVEPGGATHGQGSGRRPRRAGGRAASIHHLVYHHHHH
+HHHHYHFSHGSPRRPGPEPGAGDTRLVRAGAPASPGRGPPDAESVHSVYHADCHVEGPQE
+RARVAHAAATAAAGLKLATGLGAMNYPTILPSAAGSGKSGLGPKGKRPGGPPGAGGHSPL
+RLSSPDPCEKIQHLVGEHGLGQAPSRLSGLSVPCPLPSPQAGTLTCELSSCPYCTSALEG
+PELEFSDSDSGDSDSNGVYEFTQDVRHGDHRDPMQSPPVAEAPGVGGTRRRGPQRAVAGE
+QGGLGHVWASFSGKLRRIVDSKYFNRGIMVAILTNTLSMGVEYHEQPDELTNALEISNIV
+FTSMFALEMLLKLLACGPLGYIRNPYNIFDGIIVVISVWEIIGQADGGLSVLRTFRLLRV
+LKLVRFMPALRRQLVVLMKTMDNVATFCMLLMLFIFIFSILGMHLFGCKFSLKTDTGDTI
+PDRKNFDSLLWAIVTVFQILTQEDWNVVLYNGMASTSSWAALYFVALMTFGNYVLFNLLV
+AILVEGFQAEGDATRSDTDEDKTSTHLEEDLDKFRDLRATEMKMYSLAVTPNGHLEGRGS
+LPPPLIMRTAATPMPTPKSSPHLDEAPGPLDSRRGSSSSMDPQLGDQKSLSSLRSSPCAP
+WGPNSAWSSRRSSWNSLGRAPSLKRRSQCGERESLLSGEGRGSGSTDEEAEDGRPGAGAS
+PGTRATPLRRTESLDHRSTLDLRPPRPATLLPTKVHDCNGQVLALPSEFFLRIDSHKEDP
+AEFDDDVEDSCCSRLQKVLEPHKPECCRSREPWALYLFSPQNRFRISCQKIIAHKMFDHV
+VLVFIFLNCITIALERPDIDPGSTERVFLSVSNYIFTAIFVAEMMVKVVALGLISGEHAY
+LQSSWNILDGVLVLVSLVDIIVAMASAGGAKILGILRVLRLLRTLRPLRVISRAPGLKLV
+VETLISSLRPIGNIVLICCAFFIIFGILGVQALMSLFVLSSKDGWVNIMYDGLDAVGVDQ
+QPVPNHNPWMLLYFISFLLIVSFFVLNMFVGVVVENFHKCRQHQEAEEARRREEKRQRRL
+ERKRRKAQRRPYYADYSPTRRSIHTLCTSHYLDLFITFIIGVNVITMSMEHYNQPKALDE
+ALKYCNYVFTIVFVVEAVLKLVAFGFRRFFKDRWNQLDLAIVLLSIMGITLEEIEMNAAL
+PINPTIIRIMRVLRIARVLKLLKMATGMRALLDTVVQALPQVGNLGLLFMLLFFIYAALG
+VELFGRLECSEDNPCEGLSRHATFSNFGMAFLTLFRVSTGDNWNGIMKDTLRECAREDKH
+CLTYLPAISPIYFVTFVLVAQFVLVNVVVAVLMKHLEESNKEAREDAELDAELEMEMAQG
+SPARPRPAAPQSPGASPDSPNVLVVRKVSVSRMLSLPNDSYMFRPVAPAAAAHPHPLQEV
+EMETYAGAAAGQVTAAHSPPVESCASLQVPSAVSSPSRGGDTLRALPPRGAARSPNVGRL
+LCRQEAVHTESLEGHVDGTEDSSPFWGEPGGKTPVRQASLGPSLRSPSRSPRPSSIRTRK
+HTSGQHCISSRPPAPGGEESEAPDPADEEVSHITSSARSPLASPTACGIVGGEPDVHRLY
+SVDARGFLDQPGRVDEQRRPLGEPGVGDSRPEAGEAKPRVLEAELALGARRKKKMSPPCI
+SIEPPAEDEGAARAPAAEGGSTTLRRRTPSCEAVPHRDPLEPADSTGLDAAAKGERRGQG
+PCRTEHLTVPNFTFEPLDVGSPSGDLFTDAGHGATPEPRPSSSGTAAPPEPREMESTVPS
+GDPLEEGRGVHLTVPESPPKRASPPAVPVPGDDVDEPV
+>tr|G5E5Z2|G5E5Z2_BOVIN Tap-RNA_bind domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=2
+DLLLSILEDSDKPNPLQRRARSWSFYRRRYNYSSERVNSQQQQDSGDVPMDFQTRYAPYA
+VPSRRQRSSFQKQEQMHINMETKQKPSERRMERDRQNETLGSWFKIIIPFGIKYDEKWLL
+DLIQKECSVPFIPVEFHYEKMQAQFFVENANIAGALKNVNGKIFNEDNEKISIFVEPCDA
+PKSVQKALKSEKVEQMKLTINKPYDVHQQSLDIQRLLFGPGMAVAVTVGQVRVKSAGEMD
+KGQQLEPEGMWVDRNATCTTSPDKSTNIRSLSALPLHPYVSLISPLLPPLHVCLHPPPSL
+LSPTSLTSLSQLSSLFPLRYYLIHDYGDRQGLLCAYHEEACFSLTIPFHFKDPGPSSMCA
+YFKNSRNMKKLKDPGECVVGRLGRKGQ
+>tr|E1BCF5|E1BCF5_BOVIN KOW domain-containing protein OS=Bos taurus OX=9913 GN=RPL26L1 PE=4 SV=3
+MKFNPFVTSDRSKNRKRHFNAPSHVRRKIMSSPLSKELRQKYNVRSMPIRKDDEVQVVRG
+HYKGQQIGKVVQVYRKKYVIHIERVQREKADGTTVHVGLHPSRWSSPG
+>tr|A0A3Q1NML3|A0A3Q1NML3_BOVIN Castor zinc finger 1 OS=Bos taurus OX=9913 GN=CASZ1 PE=4 SV=1
+MDLGTAEGTRCTDPPAGKPAMAAKRKGGLKLNAICAKLSRQVEVEKGGEAGAHTEGSPLQ
+PRDKERSGPESGVARAPRSEEDKRRAVIEKWVNGEYSEEPAPAPVLGRIAREGLELPPEG
+VYMVQPQGCSDEEDHGEEPPKDSSVLEEKNSDGAASKDDGGPSAKQASGEASSLRDYAAS
+TMTEFLGMFGYDDQNTRDELARKISFEKLHAGSTPEAATSSALPASEDALSKRARFSKYE
+EYIRKLKAGEQLSWPAHGTTAEGRAGKEALGPLPGLRLPSSTTHLETKATILPLPSHSSV
+PMQGLVARASKYDFFIQKLKTGENLRPQNGSAYKKPSKYDLENVKYLHLFKPGEGSPDMG
+GAIAFKTGKVGRPSKYDVRAIQKPGPAKVPPTPSLAPAPLASVPTAPSAPGPGPEPSASL
+PFNTPEYLKSTFSKTDSITTGTVSTVKNGLPTDKPAVTEDVNIYQKYIARFSGSQHCGHI
+HCAYQYREHYHCLDPECNYQRFTSKQDVIRHYNMHKKRDNSLQHGFMRFSPLDDCSVYYH
+GCHLNGKSTHYHCMQVGCNKVYTSTSDVMTHENFHKKNTQLINDGFQRFRATEDCGTADC
+QFYGQKTTHFHCRRPGCTFTFKNKCDIEKHKSYHIKDDAYAKDGFKKFYKYEECKYEGCV
+YSKATNHFHCIRAGCGFTFTSTSQMTSHKRKHERRHIRASSSGVLGLPPSLLGTKDTEHE
+ESSNDDLVDFSALSSKNSSLSASPTSQQSSASLATATAASEAVPSATKPPNSKISGLLAQ
+GLPSSIPLALALSNSGLASAAPYFPILPGRGSASLPVGAPGLMGAMSSGTAGSATPDTPA
+LAASGAGDSAAAGAASVPVPPASIMERISASKGLISPMMARLAAAALKPSAPFDPGNGQQ
+ATPARFPPAPVKQEPGESAGAPGPHEASQDRSLDLTLKEPSNESNGHAVPANSSLLSSLM
+NKMSQGSPNLGSLLNVKTDTEGGPAGESPPFLGKAVKAMVQEKLSEPWKVYLRRFGTKDF
+CNAQCDFLHKAHFHCVVEECGALFSTLDGAIKHANFHFRTEGGAVKGNPEAAFPASAAET
+KPSLAPASPPAPPVTTATASSLEGPTPSLAAVPSTPTLLAWKQLASTIPQMPQIPASVPH
+LPTSPLATTSLENAKPQVKPGFLQFQENDPCLATDCKYANKFHFHCLFGNCKYVCKTSGK
+AESHCLDHINPNNNLVNVRDQFAYYSLQCLCPNQHCEFRMRGHYHCLRTGCYFVTNITTK
+LPWHIKKHEKAERRAANGFKYFTKREECGRLGCKYNQVNSHFHCIREGCQFSFLLKHQMT
+SHARKHMRRMLGKNFDRAPSSQGPPSLMDTETDEYMDYTGCSPGAMSSESSTMDRSCSST
+PVGNESTAAGEQAARTGPRGSGRRPAWDGPSSCQVWLRTGASWPSGLKGGQASVWPGRLV
+CGTWVPTFCRPAHLPRTLPSASISRRGPGFELRAIARGAGGPHPALAPLLASLPWSQAQF
+LGPRVGSSPWALPSPP
+>tr|G8JKW9|G8JKW9_BOVIN Eukaryotic translation initiation factor 2D OS=Bos taurus OX=9913 GN=EIF2D PE=4 SV=2
+MNQMSRSRRVTASGTRAWRSRRRRTRAEALLQRDARLPLAQAQNQAARMKGGGAPLCAGI
+TVPPTGLQFPALPLEAAAKLSGPGFQPGRFPSRASAWLPPGPRAWQPTCVRFPDSSRRLW
+SPSLPQATPADMFAKAFRVKSNTAIKGSDRRKLRADVAAVFPTLGTDQVSELVPGKEELN
+IVKLYAHRGDAVTVYVSGGNPILFELEKNLYPTVYTLWSYPDLLPTFTTWPLVLEKLVGG
+ADLMLPGLVVPPAGLPQVQKGDLCAVALVGNRAPVAVGVAAMSTAEMLASGLKGRGFCVL
+HSYQDHLWRSGDKSSPPSIAPLALNPPDLSEGKGCVKADTALQGAMRQLTLEEEVQQRCE
+EKSPSEATEDPGPGGLHVDPMDSKTLQEQMDELLQTCFLHALKCSVRKADLPLLTSTLLG
+SHMFSCCPEGRQLDIKKSSYKKLSKFLQHMQQEQIIQVQELSKGVESIVAVDWKHPRITS
+FVIPEPSPTSQTIQEGSREQPYHPPDIKPLYCVPASMTLLFQESGHKKGSVLEGSEVRTF
+VINYAKKNDLVDADNKNLVKLDPILCDCILEKDEQHTVTKLPWDSLLGRCLEKLQPAYQV
+TFPGQEPIVKKGRICPIDITLAQKASNKKVTVVRNLEAYGLDPRSVAATLQQRCQASTTV
+TSAPGLKDSVQVQIQGNQIHHLGRLLLEEYRLPRKHIQGLEKAPKPGKKK
+>tr|E1BPY5|E1BPY5_BOVIN Major facilitator superfamily domain containing 8 OS=Bos taurus OX=9913 GN=MFSD8 PE=4 SV=1
+MANLGVDSEQEPLLGDRTPGSREWDIIETEEHYKSRWRSIRILYLTMFLSSVGFSIVIMS
+IWPYLQKIDQTADASFLGWVIASYSLGQMVASPIFGLWSNYRPRKEPLIVSIFISVAANC
+LYAYVHVPASHNKYYMLAARGLVGFGAGNVAVIRSYIAGATSLQERTSSMANTSACQALG
+FILGPVFQTCFALIGEKGVTWDVIKLQINMYTAPVLLGAFLGILNIILILTILREHRVDD
+SGRQCKNINFEEASTDEVQVPQENIDQVAVVATNILFFVILFIFALFETIVTPLTMDMYA
+WTREQAVLYDGIILAALGVEAVIIFMGIKLLSKKIGERALLLGGLIIIWVGFFVLLPWGN
+QFPKIQWEDLHNNSIPNTTFGEIIITLWKSPREDHSEEPTGCPVEQAWCLYTPMIHLAQF
+LISAVLIGIGYPSCNVMSYTLYSKILGPNPQGVYMGWLTASGSAARILGPVFISQVYTAW
+GPRWAFSLVCGMVVLTVTLLGVVYRRLIAFSVRHGRIQE
+>tr|E1B975|E1B975_BOVIN Histone domain-containing protein OS=Bos taurus OX=9913 PE=3 SV=3
+MPELAKSAPAPRKGSKKAVTKAQNKDGKKRKCNCKESYSVYVYKVLKQVHPDTSISSKAM
+GIMNSFVNDIFKRIAGEAWHLAHYNKRSTITSREIHTAVHLLLPGELAKHAVSEGTKAVT
+KYTSSNTEGITFLWKLVKK
+>tr|F1MRT4|F1MRT4_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC617592 PE=3 SV=3
+MSINFVSLQMERSLELGNMTRVQEFILLGLSTSPETREVLFAIFLTLYLLTLLENALIVF
+LVCSHTELHKPMYFFLGNLSCLEMCYVSVTMPSLLAGLWMGPYHVPFTACMTQLFFFIVL
+ICTECTLLASMACDRYVAICRPLHYPLLMRPQVCLGLAGTSWLGGLLVSVAKTACIASLS
+YCGPNVLNHFFCDVSPLLNLSCTHVALTELVDFLSAIVIFCGTLLVSLASYSAIGVTVLR
+MPSAAARHKAFSTCASHLVVVGIFYLAALFIYCRPSRIRSMDLNKLLSVVYTVATPMCNP
+VIYCLRNREVHAALLRTLRWT
+>tr|F1MQQ1|F1MQQ1_BOVIN EvC ciliary complex subunit 1 OS=Bos taurus OX=9913 GN=EVC PE=4 SV=3
+MARGETTCGSEARLRLGRDAVRPAPALLVPAVLLGTALGLGLGLWLGCRSVRPRLRHQKD
+DTQSLLRNLESNIQTPSAAGSPSRRRKREALTSKDEEPLDEYEPSFNSNITAFALKAKVV
+YPINQKFRPLADGSSNPSLHETLKQAVLPNQPLEASPSSSLGSLSQAEKDDCSSSSSVHS
+AASDDRFLGRSFLGASSFPEVLTCESVDLDLCVYNLHLKDLLQLDAALRQEKHMMFIQIF
+KMCLLDLLPKKKSDDELYQKILSKQENDLEELEKRLQVKLSNTEMLGGGDSEYITLADVE
+KKEREYSEQLIANMEAFWKQMENIQHFLVDQFKCSSSKARQLMMTLTERMIAAEGLLRDS
+QDLQALDTLERTLGRVHVAKTMEFLKLQVQEETKCRLAGISHSLELLTVEGKLSGREKED
+LLTQQHKAFWEEAEGFSREFVQRGKDLVKASLVRQAEEMARLALAQQEERRSFLAAGQLT
+AHPEEFLQAFHDVLEMQRLTRGDLEEEEDVRAAQAVAALCQELYCSTMETFQKFADILFL
+QTLPRVTDLSQAECEYLRQEAQENSTRQLEKSDRFRSQQWKLFQDLLEQEKQVWMEEDAL
+STLLQAHLRDDHENIIHRVLGQLGSLSEESTQCILQGHGLLLHSALRRLALRGSAITTLA
+QMRLSGKKSLLQELREQHALEQGSSQCLDEHQWQLLRALEARVLEETGRLEEEAQQTRLQ
+LQQQLLAEAQEVGQLLQQHTEHAIGQALLGHARNTASRSRAKDTDDFKRRLMEAAVESVY
+VTSPGIGRLVQAYYQQVGRVMQDHEERRLQHLKTLQGERIEDYKLRKKQELADPLSGAEM
+AGGAQEASRAVHQRMLAQQKKFLAQFTTHQRTRRDARKRKARVMDHLEAQLETQLQEAEQ
+NFISELAALARVPLAESKLFSSKRGLSAEKPLRTKRKKPAPRERGDTGGPQDDDPASGGP
+TSGSLSSKRLSQQESEVGDGENSKKMLKRRSHL
+>tr|A0A3Q1MKW0|A0A3Q1MKW0_BOVIN Vir like m6A methyltransferase associated OS=Bos taurus OX=9913 GN=VIRMA PE=4 SV=1
+MAVDSAMELLFLDTFKHPSAEQSSHIDVVRFPCVVYINEVRVIPPGVRAHSNLPDNRAYG
+ETSPHTFQLDLFFNNVSKPSAPVFDRLGSLEYDENTSIIFRPNSKVNTDGLVLRGWYNCL
+TLAIYGSVDRVISHDRDSPPPPPPPPPPPQPQPSVKRNPKHADGEKEDQFNGSPPRPQPR
+GPRTPPGPPPPDDDEDDPMPLPVSGDKEEDAPHREDYFEPISPDRNSVPQESQYSDEGEV
+EEEQQEEGEDDEDDVDVEEEEDEDEDDRHTVDSIPEEEEEDEEEEGEEDEEGEGDDGYEQ
+ISSDEDGIADLERETFKYPNFDVEYTAEDLASVPPMTYDPYDRELVPLLHFSCPYKTTFE
+IEISRMKDQGPDKENSGAVEASVKLTELLDLYQEDRGAKWVTALEEIPSLIIKGLSYLQL
+KNTKQDALGQLIDWTMQALNLQVALRQPIALNVRQLKAGTKLVSSLAECGTQGVLGLLQA
+GVISGLFELLFADHVSSSLKLNAFKALDSVISMTEGMEAFLRSRQSEKSGYQKLLELILL
+DQTVRVVTAGSAILQKCHFYEILSEIKRLGDHLAEKTSSVPNHSEPDHDTDAGVERTNPE
+YENEVEASMDMDLLESSNISEGEIEKLINLLEEVFHLMETAPHTMIQPPVKSFPTMARIT
+GPPERDDPYPVLFRYLHSHHFLELVTLLLSIPVTSAHPGVLQATKDVLKFLAQSQKGLLF
+FMSEYEATNLLIRALCHLYDQDEEDGLQSDGVIDDAFALWLQDSTQTLQCITELFSHFQH
+CTASEETDHSDLLGTLHNLYLITFNPVGRSAVGHVFSLEKNLQSLITLMEYYSKEALGDS
+KSKKSVAYNYACILILVVVQSSSDVQMLEQHAASLLKLCKADENNAKLQELGKWLEPLKN
+LRFEINCIPNLIEYVKQNTDNLMTPEGVGLTTALRVLCNVACPPPPVEGQQKDLKWNLAV
+IQLFSAEGMDTFIRVLQKLNSILTQPWRLHVNMGTTLHRVTTISMARCTLTLLKTMLTEL
+LRGGSFEFKDMRVPSALVTLHMLLCSIPLSGRLDSDEQKIQNDIIDILLTFTQGVNEKLT
+ISEETLANNTWSLMLKEVLSSILKVPEGFFSGLILLSELLPLPLPMQTTQVIEPHDISVA
+LNTRKLWSMHLHVQAKLLQEIVRSFSGTTCQPIQHMLRRICVQLCDLASPTALLIMRTVL
+DLIVEDLQSTSEDKEKQYTSQTTRLLALLDALASHKACKLAILHLISGTIKGDERYAEIF
+QDLLVLVRSPGDSVIRQQCVEYITSILQSLCDQDIALILPNSSEGSVSELEQLSNSLPNK
+ELMASICDCLLAVVANSESSYSCLLTCVRTMMFLAEHDYGLFHLKSSLRKNSNALHSLLK
+RVVSTFSKDTGELASSFLEFMRQILNSDTMGCCGDDSGLMEVEGAHSARTMSINAAELKQ
+LLQSKEESPENLFLELEKLVLEHSKDDDSLDSLLDSVVGLKQMLESSGDPLPLGDQDVEP
+VLSAPESLQNLFNNRTAYVLADVMDDQLKSMWFTPFQAEEIDTDLDLVKVDLIELSEKCC
+SDFDLHSELERSFLSEPSSPGRTKTTKGFKLGKHKHETFITSSGKSEYIEPAKRAHVVPP
+PRGRGRGGFGQGIRPHDIFRQRKQNTSRPPSMHVDDFVAAESKEVVPQDGIPPPKRPLKV
+SQKISSRGGFSGNRGGRGAFHSQNRFFTPPASKGNYSRREGTRGSSWSAQNTPRGTYNES
+RGGQSNFNRGPLPPLRPLSSTGYRPSPRDRASRGRGGLGPSWASANSGSGGSRGKFVSGG
+SGRGRHVRSFTR
+>tr|A0A3Q1MEI4|A0A3Q1MEI4_BOVIN Zinc finger protein 821 OS=Bos taurus OX=9913 GN=ZNF821 PE=4 SV=1
+MSRRKQTNPNKVHCDSEGDEEETTQDEVSSHTSEEDGGVVKVEKELENAEQPVGGKKVVE
+HEVTENLHSDPLLGLCQCPLCQLDCGSREQLIAHVYQHTAAVVSAKSYMCPVCGRALSSP
+GSLGRHLLIHSEDQRSNCAVCGARFTSHATFNSEKLPEVLNVESLPPAHSEGPSSAEGKD
+IAFTPPVYPAGILLVCNNCAAYRKLLEAQTPSVRKWALRRQNEPLEVRLQRLERERTAKK
+SRRDNETPEEREVRRMRDREAKRLQRMQETDEQRARRLQRDREAMRLKRANETPEKRQAR
+LIREREAKRLKRRLEKMDMMLRAQFGQDPSAMAALAAEMNFFQLPVSGVELDSQLLGKMA
+FEEQNSSSLH
+>tr|A0A3Q1ML88|A0A3Q1ML88_BOVIN STAG domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MITSELPVLQDSTNETTAHSDAGSELEETEVKGKRKRGRPGRPPSTNKKPRKSPGEKSRI
+EAGVRGTGRGRANGHPQQNGEGEPVTLFEVVKLGKSAMQSVVDDWIESYKQDRDIALLDL
+INFFIQCSGCRGTVRIEMFRNMQNAEIIRKMTEEFDEDSGDYPLTMPGPQWKKFRSNFCE
+FIGVLIRQCQYSIIYDEYMMDTVISLLTGLSDSQVRAFRHTSTLAGRVLGTR
+>tr|F1N4J3|F1N4J3_BOVIN Forkhead box P2 OS=Bos taurus OX=9913 GN=FOXP2 PE=4 SV=2
+MMQESATETISNSSMNQNGMSTLSSQLDAGSRDGRSSGDTSSEVSTVELLHLQQQQALQA
+ARQLLLQQQTSGLKSPKSSDKQRPLQELLPETKLCVCGHSSGDGHPHNTFAVPVSVAMMT
+PQVITPQQMQQILQQQVLSPQQLQALLQQQQAVMLQQQQLQEFYKKQQEQLHLQLLQQQQ
+QQQQQQQQQQQQQQQQQQQQQQQQQQQQQPQQQHPGKQAKEVGSGQLIHAEEREQQQQQL
+AAQQLVFQQQLLQMQQLQQQQHLLSLQRQGLISIPPGQAALPVQSLPQAGLSPAEIQQLW
+KEVTGVHSMEDNGIKHGGLDLTTNNSSSTTSSTTSKASPPITHHTIVNGQSSVLNARRDS
+SSHEETGASHTLYGHGVCKWPGCESICEDFGQFLKHLNNEHALDDRSTAQCRVQMQVVQQ
+LEIQLSKERERLQAMMTHLHMRPSEPKPSPKPLNLVSSVTMSKNMLETSPQSLPQTPTTP
+TAPVTPITQGPSVITPASVPNVGAIRRRHSDKYNIPMSSEIAPNYEFYKNADVRPPFTYA
+TLIRQAIMESSDRQLTLNEIYSWFTRTFAYFRRNAATWKNAVRHNLSLHKCFVRVENVKG
+AVWTVDEVEYQKRRSQKITGSPTLVKNIPTSLGYGAALNASLQAALAESSLPLLSNPGLI
+NNASSGLLQAVHEDLNGSLDHIDSNGNSSPGCSPQPHIHSIHVKEEPVIAEDEDCPMSLV
+TTANHSPELEDDREIEEEPLSEDLE
+>tr|F1MMH1|F1MMH1_BOVIN Regulator of G protein signaling 11 OS=Bos taurus OX=9913 GN=RGS11 PE=4 SV=2
+MAASPAPLGGHPRVQRPHLSKMERMVVTMQDPDQGVRMRSQRLLVTVIPHAVNGSDIVEW
+LMQKYSIAEDEALHLGSLLVQHGYLYPLRDPRRLELRPDETPYRFQTPYFWTSTLWPAAE
+LDYAIYLAKKNIRKQGALVDHEKEHYQQLHRKINHAWDLVMMQAREQLRAAKQRRKGDRL
+VIACQEQTYWLVNRPPPGAPSVLEQGPGRGPCTAGRVPMTLDFYRREVECLRRALGRARV
+KSSTCLEAYLKFSSQHGPHDPIMSGCLPSNPWVTDDDAYWAMNAPSAAVPTRLRVEQWAF
+SFRELLEDPVGRAHFMDFLGKEFSAENLSFWEACEELRHGGQAQVPALVDAVYQQFLAPG
+AARWVNIDSRTMEQTLAGLTQPHRHVLDDAQRHIYLLMKKVGVPTPLGAGVRLQPRPVQT
+HRPSCPLPSLT
+>tr|A0A3Q1LSU0|A0A3Q1LSU0_BOVIN Calmegin OS=Bos taurus OX=9913 GN=CLGN PE=3 SV=1
+MRFQGFWLCLGLLFISVNAEFMDDSVEMEDFDENSEETDELSSEIKYKTPQPVGEVYFTE
+TFDSGRLAGWVLSKAKKDDIDAEISIYDGRWEIEELKENRIPGDRGLVLKSRAKHHAISA
+VLAKPFIFADKPLVVQYEVNFQDGIDCGGAYIKLLADTDGLNLENFYDKTSYTIMFGPDK
+CGEDYKLHFIFRHKHPKTGVFEEKHAKPPDVDLKRFFTDRKTHLYTLVMNPDDTFEVLID
+QIVVNKGSLLEDVVPPINPPKEIEDPTDEKPDDWDERAKIPDASAVKPEDWDESEPPQIV
+DSSAVKPDGWLDNEPEFIPDPNAEKPFDWNEDMDGEWEAPHISNPACRIGCGEWSPPMID
+NPKYKGIWRPPMIDNPNYQGIWSPRKIPNPDYFEDNHPFLLTSFRALGLELWSMTSDIYF
+DNFIICSEKEVADRWAADGWGMKILIENANEVHSILAYDNNRVYALLLVKIACVKLPQKK
+YEDVAFEKLDICKPQTKGALEQEVKEEKAALEKPVDLEEEKKQSDGEIVEKEEEGEPEEK
+SEEEIEIIEGQEEGNKSNKSGSEDEMKEADESTGSGDGPIKSVRKRRVRKE
+>tr|A0A452DJL6|A0A452DJL6_BOVIN Gamma-glutamylaminecyclotransferase OS=Bos taurus OX=9913 GN=GGACT PE=4 SV=1
+MQLCTQTSRLFTQGPQSPSTAANVASPPQHTVLCRLSDQTFLPSLLLAEATVLSPRPASL
+TSGALKKEGTLRGAVNVMPGPECKWSTTETGAPCGTDDSSGRLAPVFVYGTLKTGQPNHR
+VLLDGAHGRAAFRGRAHTLEPYPLVIAGEHNIPRLLNLPGRGHRVFGEVYEVDERMLRFL
+DEFESCPDMYQRTRLHVALEGVRGPLECFVYTTATYPPEWVHLPYLDDYDSQGKHGLRYN
+PRENR
+>tr|A0A3Q1NMH8|A0A3Q1NMH8_BOVIN Mpv17-like protein 2 OS=Bos taurus OX=9913 GN=MPV17L2 PE=3 SV=1
+MGGAARCAGSCVRRTMPPGGWRWLRGLWAAGQPLFQGRALLVTNTLGCGVLMAAGDGARQ
+TWEIRARPGQKFDPRRSDLPLSTICCTWGSQRHSIWLPASLGRTLVPHFPISCASVSSFG
+KRGDGVSMFAVGCSMGPFLHYWYLWLDRLFPASGFPGLPNVLKKVLIDQLVASPMLGVWY
+FLGLGCLEGQTLDKSCQELRDKFWEFYKADWCVWPAAQLVNFLFVPPQFRVTYINGLTLG
+WDTYLSYLKYRIPGPLTPPGCVALGTIQTEPPDARQQGKTD
+>tr|E1BMC8|E1BMC8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=ISY1 PE=4 SV=1
+MARNAEKAMTALARFRQAQLEEGKVKERRPFLASECTELPKAEKWRRQIIGEISKKVAQI
+QNAGLGEFRIRDLNDEINKLLREKGHWEVRIKELGGPDYGKVGPKMLDHEGKEVPGNRGY
+KYFGAAKDLPGVRELFEKEPLPPPRKTRAELMKAIDFEYYGYLDEDDGVIVPLEQEYEKR
+HRAELVEKWKAEREARLARGEEEEGEEEEEVNIYAVAEEESDEEGGPDGGGEDGRQKFIA
+HVPVPSQQEIEEALVRRKKMELLQKYTSETLQAQSEEARRLLGC
+>tr|A0A3Q1M1E8|A0A3Q1M1E8_BOVIN Phosphodiesterase OS=Bos taurus OX=9913 GN=PDE3A PE=3 SV=1
+MEEPGGLQSMGRKWQPTPVFLPGESQGRGSLVGCPLCGRTEYHLIYCHYYIVLQLMGHSE
+WDHKRGPRGSQSSGTSITVDIAVMGEAHGLITDLLADPSLPPNVCTSLRAVSNLLSTQLT
+IQAIHKPRVNPIVSFSENYTCSDSEESSEKDKLAIPKRLRRSLPPGLLRRVSSTWTTTTS
+ATGLPTLEPAPVRRDRSASIKLHEAPSSSAINPDSWKNPVMMTLTKSRSFTSSYAVSASN
+HVKAKKQNRPGSLVKISPLSSPCSSPLHGTPASSPVSKVSAVQFPESSDTTAKSGLSSHR
+ALTYTQSAPDLSPQILNPPVICSSCGRPYSQGTSADGPLERSGAAIRTPTRTDDITQVTS
+DYETNNNSDSSDIVQNEDETEGPREALRKTSSCSTYAPETMMFLEKPILAPEPLVMDNLD
+SIMEQLNTWNFPIFDLVEKIGRKCGRILSQVSYRLFEDMGLFEAFKIPVREFMNYFHALE
+IGYREIPYHNRIHATDVLHAVWYLTTQPIPGLSTVINDHGSASDSDSDSGFTHGHMGYVF
+SKMYNVPDDKYGCLSGNIPALELMALYVAAAMHDYDHPGRTNAFLVAVLYNDRSVLENHH
+AAAAWNLFMSRPEYNFLVNLDHVEFKHFRFLVIEAILATDLKKHFDFVAKFNAKVNDEVG
+IDWTNENDRLLVCQMCIKLADINGPAKSKELHLQWTEGIVNEFYEQGDEEASLGLPISPF
+MDRSAPQLANLQESFISHIVGPLCNSYDSAGLMPGKWVEDSDESGDTDDPEEEEEEAPNE
+EETCENNESPRKKIFKRRKVYCQITQHLLQNHKMWKKVIEEEQRLAGIEDQSPQQHPSEQ
+IQAIREEDEEKGKQKGEETPAPKPNQ
+>tr|A0A3Q1N0B1|A0A3Q1N0B1_BOVIN Cytoplasmic polyadenylation element binding protein 4 OS=Bos taurus OX=9913 GN=CPEB4 PE=4 SV=1
+MGDYGFGVLVQSNTGNKSAFPVRFHPHLQPPHHHQNATPSPAAFINNNTAANGSSAGSAW
+LFPAPATHNIQDEILGSEKAKSQQQEPQDPLEKQQLSPSPGQEAGILPETEKAKSEENQG
+DNSSENGNGKEKIRIESPVLTGFDYQEATGLGTSTQPLTSSASSLTGFSNWSAAIAPSSS
+TIINEDASFFHQGGVPAASANNGALLFQNFPHHVSPGFGGSFSPQIGPLSQHHPHHPHFQ
+HHHSQHQQQRRSPASPHPPPFTHRNAAFNQLPHLANNLNKPPSPWSSYQSPSPTPSSSWS
+PGGGGYGGWGGSQGRDHRRGLNGGITPLNSISPLKKNFASNHIQLQKYARPSSAFAPKSW
+MEDSLNRADNIFPFPDRPRTFDMHSLESSLIDIMRAENDSIKARTYGRRRGQSSLFPMED
+GFLDDGRGDQPLHSGLGSPHCFTHQNGERVERYSRKVFVGGLPPDIDEDEITASFRRFGP
+LIVDWPHKAESKSYFPPKGYAFLLFQDESSVQALIDACIEEDGKLYLCVSSPTIKDKPVQ
+IRPWNLSDSDFVMDGSQPLDPRKTIFVGGVPRPLRAVELAMIMDRLYGGVCYAGIDTDPE
+LKYPKGAGRVAFSNQQSYIAAISARFVQLQHGEIDKRVEVKPYVLDDQLCDECQGARCGG
+KFAPFFCANVTCLQYYCEYCWAAIHSRAGREFHKPLVKEGGDRPRHISFRWN
+>tr|A0A3Q1MDX7|A0A3Q1MDX7_BOVIN Unc-5 netrin receptor A OS=Bos taurus OX=9913 GN=UNC5A PE=4 SV=1
+MAVLSGLWPALLGIVLAAWLRGSGAQQSATVANPVPNPVPGSNPDLLPHFLVEPEDVYIV
+KNKPVLLVCKATPATQIFFKCNGEWVRQVDHVIERSTDGSSGLPSMEVRINVSRQQVEKV
+FGLEEFWCQCVAWSSSGTTKSQKAYIRIAYLRKNFEQEPLAKEVSLEQGIVLPCRPPEGI
+PPAEVEWLRNEDLVDPSMDPNVYITREHSLVVRQARLADTANYTCVAKNIVARRRSASAA
+VIVYVDGSWSPWSKWSACGLDCTHWRSRECSDPAPRNGGEECQGTDLDTRNCTSDLCVHT
+ASGPEDVALYVGLIAVAVCLLLLLLVLILVYCRKKEGLDSDVADSSILTSGFQPVSIKPS
+KADSPHLLTIQPDLSTTTTTYQGSLCPRQDGPSPKFQLTNGHLLSPLGSGRHTLHHSSPT
+SEAEDFVSRLSTQNYFRSLPRGTSNMAYGTFNFLGGRLMIPNTGISLLIPPDAIPRGKIY
+EIYLTLHKPEDVRLPLAGCQTLLSPIVSCGPPGVLLTRPVILTMDHCGEPSPESWSLRLK
+KQSCEGSWEDVLHLGEEAPCHLYYCQLEAGACYVFTEQLGRFALVGEALSVAAAKRLKLL
+LFAPVACTSLEYNIRVYCLHDTHDALKEVVQLEKQLGGQLIQEPRVLHFKDSYHNLRLSI
+HDVPSSLWKSKLLVSYQEIPFYHIWNGTQQYLHCTFTLERVSPSTSDLACKVWVWQVEGD
+GQSFNVNFNITKDTRFSELLVLESEGGVPALVGPSAFKIPFLIRQKIITSLDPPCSRGAD
+WRTLAQKLHLDSHLSFFASKPSPTAMILNLWEARHFPNGNLSQLAAAVAGLGQPDAGLFT
+VSEAEC
+>tr|E1BMQ7|E1BMQ7_BOVIN Short transient receptor potential channel 2 homolog OS=Bos taurus OX=9913 GN=TRPC2 PE=3 SV=3
+MAPVRISHVVSFSSQDPKYPVENLLNPDSQRGPWLSCPQDKSGQLKVELQLERAVPIGYI
+DVGNCGCAFLQIDVGRSSWSLDRPFVTLLPATMLMSLADSKQGKNRSGVRMFKDADFLAP
+ASSESWDRLRLSCSQPFTRHQPFGLAFLRVCSSLDSLDDPVEGPSVPVSSGLSQGSSDAQ
+ESGPSPWLANPSIRRTFFPDPQTTTKEISELRNFLKQLQPGTLGRSACMVLSAAHRAPPA
+RVANPKTNHAEPGPAHQDSTEPRTEEQNTEKDVGRAKRRKVGARRPVSNSNSRPNQRGLA
+KAGQREHLRPLARSSRVQESGWCPICAGSFSVDLLPQHAATCGGASPPPLASPASSPSSS
+PHVLRFRCVALTPVPLVSRPQPNWTEIVNRKLKFPAPLLGAIQEGHTGLVQQLLEVGVEA
+PGGGPGGPPRNVEEAEDRSWREALNLAIRLGHEAITDVLLANVKFDFRQIHEALLVAVDT
+NQPAVVRHLLARLEREKGRKVDTRSSSLAFFDSSIDGSRFAPGVTPLTLACQKDLYEIAQ
+LLMGQGHTIARPHPVSCACLECSNARRYDLLKFSLSRINTYRGIASRAHLSLASEDAMLA
+AFQLSRELRHLARKEPEFKPEYIALESLSQDYGFELLGMCRNQSEVTAVLNDLGEDSETE
+PEAEGLGQAFEEGIPNLARLRLAVNYNQKRFVAHPICQQVLSSIWCGNLAGWRGSTTIWK
+LFIAFLIFLTMPFLCLGYWLAPKSRLGRLLKIPVLKFLLHSASYLWFLIFLLGESLVMET
+QLSTFRGRSQSVWETSLHMVWVAGFLWFECKEVWIEGLRSYLLDWWNFLDMVILSLYLAA
+FTLRLLLAGLAHKHCRDAPDGAACHYFTSAERSEWRTEDPQFLAEVLFAVTSMLSFTRLA
+SILPAHESLGTLQISMGRMIDDMIRFMFILMIILTAFLCGLNNIYVPYQETERLGNFNET
+FQFLFWTMFGMEEHSVVDMPQFLVPEFVGRALYGIFTIVMVIVLLNMLIAMITNSFQKIE
+DAADVEWKFARSKLYLSYFREGLTLPVPFNILPSPKAIFYLLRRVFRFICCCHLCCKTKK
+PDYPPIPTFANPGAGAGPGEGERGSYRLRVIKALVQRYIETAQREFEETRRKDLGNRLTE
+LTKTVSRLQSEVAGVQRAVVEAGPRRPPGGASVLSRYITRVRNSFQNLGPPIPETPELTV
+PATVGTQESSETGLPDAGGAQAPASGESGPSSPAHVLVHREQESEGAGDLPQEADLGAKE
+GT
+>tr|A0A3Q1LWI8|A0A3Q1LWI8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=FANCM PE=4 SV=1
+MSGRQRTLFQTWGSKVSRSTGAPTCNSGTERPQSTGISRARFPAVAEAAGAAEVEPESDD
+DVLLVAVYEAERQLNLENGGFCTSAGALWIYPTNCPVRDYQLHIARTALFCNTLVCLPTG
+LGKTFIAAVVMYNFYRWFPSGKVVFMAPTKPLVTQQIEACYRVMGIPQSHMAEMTGSTQA
+FTRKEIWSSKRVLFLTPQVMVNDLSRGACPAAEIKCLVIDEAHKALGNYAYCQVVRELVK
+YTNHFRVLALSATPGSDIKAVQQVITNLLIGQIELRSEDSPDILPYSHERRVEKLVVPLG
+EELEAIQKAYIQILEAFASSLIQRNVLMRKDIPNLTKYQIILARDQFRKNPSPNIVGIQQ
+GIIEGEFAICISLYHGYELLQQMGMRSLYFFLCGIMDGTKGMTRAKNELSRNADFMKLYD
+HLDSMFSHTRSTSTSGVSAIQKARKTSDKKCDETRVMIFSSFRDSVQEIAEMLLPHQPII
+RVMTFVGHASGKSMKGFTQKEQLEVVKQFRSGGYNTLVSTCVGEEGLDIGEVDLIICFDA
+QKSPIRLIQRMGRTGRKRQGRIVVILAEGREERTYNQSQCNKRSIYKAISGNRQVLHFYQ
+GSPRMVPDGVNPQLHKMFITHGVYEPEKPSRNLPRKSSIFSYRNGIKQSNSKDDWFLSEE
+EFKLWNRRYRLRDTDEIQQITLPQVQFLSLHNEENRPTEEPTVGIRQLSLSEWRLWQDHP
+LPTYQVDHSDRCHHFINIMQMIEGMRHEEGECSYESEIKSYLRMEDVSSASSALRNEYNS
+FADGTFTNNRKSSFANINHRKSVSMTESDEECTEIFKQIPIKSTKIPSLKKKASENLKKD
+QPKKENKDVVMEPLDTDDSPTTEHILQVDPRNGERVSDTGEVTATCAVSENGGNPSCGLL
+TDCQFTIKSTGSFTGSFFDSGYNSFSDEKSFSASLFLSFEGELDIAKTDDQFFHCHSLTK
+EVLANVERFLSHSPPPLSGLSDLENEISEGSALENLVFLPYSECLQNDKSTNLMACSAVN
+SQQNLKFITHLSEKTCIYGTTNDKISDEPSFCDSDKVHKDSKNEHLVSSNQIQINISPSK
+DFAEEKNHDVDNSDLPILHTAQDESLLLFEDVNTEFNDVSPPPLNSKCESLGISDRTAVS
+EMAPVSQFFISDELLLENDSEPQDQIVCDTNSWKSHEGLRGRHEGKLNNDENGFDCSKDL
+FSVTFDLGFCSTGSEDEVVDHASDTNNEILDDLPGRRLDIKQINSTNCVSNQAVMSGAHV
+DNSTSVTTTHPSSEDKQTPAFSCFSMNATKSKEFMSPSYSQFLLPVGDKVMSTPLSESNI
+LNSFSEKRMEMAKKSGSNMGKVNLHSFKETLNSTFGDSGLSTGKYKSKKQINLHQACHST
+EDEQLSTHESEDDEIFRRKSKKTKGNVLESPENQKNSEVDSPLQVVKKHRVPPNRLDLSS
+SDESDNFHKRDPQSEDFTDHKRNAKRSIKVQKRQNHLKLVARKFLDEEAELSQEDAEYVS
+SDENDESEGEQDSSLLDFLNDETQLSQAINDSEMRAVYMKSVRSPLMSNRYKMAHKKHNI
+NIFSQIPEQDETYLEDSFCVDEEESCESQSSEEVCVDFNLITEDCDTNESKKYKTRRAVK
+LKQMKMRQNCARSKNKLSRIILLDDSSEEEKDVKDKQESRTVVNPSTVPPGSSLQSRDHS
+HPVDNQLLHQRQQTPPNLKVPDSDVSDFKPQSLTNTESASSLFTAVGAQEDCRKFPVQLK
+GASALQDSGTCVPCCSNSRPRLADTHASLRLPQEGHRTCILVDSREIVSGSEVVSSLRAI
+HGLQVEVCPLNGCDYIVSNRMVVERRSQSEMLNSINKNKLIDQIQYLQSMFERICVIVEK
+DREKTGDTSRMFRRTKSYDSLLATLIGAGIRILFSSCQEETADLLKELSLVEQRKNVGIH
+VPTVVNSNICETLQFYLSIPNISYITALNMCHQFSSVKKMTNSTPQEISMYAQVTHQKAE
+EIYRYIHYIFDMQMLPADLNQGSIKSDT
+>tr|E1BF88|E1BF88_BOVIN Interferon regulatory factor 4 OS=Bos taurus OX=9913 GN=IRF4 PE=4 SV=2
+MNLEGGSRGGEFGMSSVSCGNGKLRQWLIDQIDSGKYPGLVWENEEKSIFRIPWKHAGKQ
+DYNREEDAALFKAWALFKGKFREGIDKPDPPTWKTRLRCALNKSNDFEELVERSQLDISD
+PYKVYRIVPEGAKKGAKQLTLEDPQMPMSHPYSMPTPYPSLPAQQVHNYMIPPHDRGWRE
+FVPDQPHAEIPYQCPVTFGPRGHHWQGPACENGCQVTGTFYACAPPESQAPGIPIEPSIR
+SAEALALSDCRLHICLYYREVLVKELTTSSPEGCRISHGHTYDASSLDQVLFPYPEDSSQ
+RKNIEKLLSHLERGVVLWMAPDGLYAKRLCQSRIYWDGPLAICSDRPNKLERDQTCKLFD
+TQQFLSELQAFAHHGRPLPRFQVTLCFGEEFPDPQRQRKLITAHVEPLLARQLYYFAQQN
+SGHFLRGYDLPEHVGGPEDFHRPPRHSSIQE
+>tr|E1BL69|E1BL69_BOVIN REC8 meiotic recombination protein OS=Bos taurus OX=9913 GN=REC8 PE=4 SV=2
+MFYYPNVLQRHTGCFATIWLAATRGCRLVKREYLNVNVVKTCEEILNYVLVRVQPPLPGA
+PRPRFSLYLSAQLQIGVIRVYSQQCQYLVEDIQHILERLHRAQLQIRIDMVETELPSLLL
+PDHLARMETLEDAPDPFFGMMSVAPMLPDPFDIPQVRHLLEAVTPEKVPEEIPPEVPVEP
+RKPERIRVTPEAITIQEAEPIRMLRIEGELDLPEVSRRELDLLIAEEDEAILLEERRLGR
+TRLAVDELKEEPWAPEREITVPPPSPLALVGVEEAAEPLPREVLAPEELKPEVPLPEVTP
+PLELRPPPIPVSPEWRRPPVPPPPRGPPARRRRRQLLFWDEETQISRKEFQEQLQTRAHC
+RECPMVQPPERMIRTPVELFRNPTYSGWLPPELLAFWTHCAQPPPRALRRRLPEELEEAE
+REAAAEEERRKAETPSDIEVLREAQEPSGPLMVSSELSLEVAEEEKTRISLVPPEERWAW
+VEAEQPEAPVLPVVPELPEVPVELPVELPPEPELLSLEAVHRAVARELQADREPDFSSLV
+PPLSPRRMAARVFYLLLVLATQQILRVKQEEPYGRLLIQPGPRFHDS
+>tr|E1BCW1|E1BCW1_BOVIN E3 ubiquitin-protein ligase OS=Bos taurus OX=9913 GN=UBR2 PE=3 SV=2
+MASELEPEVQALDRSLLECSAEETAGKWLQATDLTREVYQHLAHYVPKIYCRGPNPFPQK
+EDMLAHQVLLGPMEWYLCGEDPELGFSKLEQTNKPSHLCGRVFKVGEPTYSCRDCAVDPT
+CVLCMECFLGSIHRDHRYRMTTSGGGGFCDCGDTEAWKEGPHCQKHELNTYETEEEEDPL
+VHLSEDVIARTYNIFAIMFQYAVEILTWEKESELPPDLEMIEKSDTYYCMLFNDEVHTYE
+QVIYTLQKAVNCTQKEAIGFATTVDRDGRRSVRYGEFQYCEQAKSIIVRNTSRQTKPLKV
+QVMHSSIVAHQNFGLKVLSWLGSIIGYSDGLRRILCQVGLQEGPDGENSSLVDRLMLSDS
+KLWKGARSVYHQLFMSSLLMDLKYKKLFAVRFAKNYQQLQRDFMEDDHERAVSVTALSVQ
+FFTAPTLARMLITEENLMTIIIKTFMDHLRHRDVQGRFQFERYTALQAFKFRRVQSLILD
+LKYVLISKPTEWSDGLRQKFLEGFDAFLELLKCMQGMDPITRQVGQHIEMEPEWEAAFTL
+QMKLTHVISMIQDWCALDEKVLIEAYKKCLAVLTQCHGGFTDGEQPVTLSICGHSVETIR
+YCVSKEKVSIHLPVSRLLAGLHVLLSKSEVAYKFPELLPLSELSPPMLIEHPLRCLVLCA
+QVHAGMWRRNGFSLVNQIYYYHNVKCRREMFDKDIVMLQTGVSMMDPNHFLMIMLSRFEL
+YQIFSTPDYGKKISSETPHKDLVQQNNTLIEEMLYLIIMLVGERFSPGVGQVNATDEIKR
+EIIHQLSIKPMAHSELVKSLPEDENKETGMESVIEAVAHFKKPGLTGRGMYELKPECAKE
+FNLYFYHFSRAEQSKAEEAQRKLKKQNKEDTALPPPVLPPFCPLFASLVNILQSDVMLLI
+MRTVLQWTVEHSGHIWSESMLQRVLHLIGMALQEEKQHLENVMEEHVITFTFTQKISKPG
+EAPNNSPSILAMLETLQNAPYLEVHKDMIKWILKTFNAIKKLRESSSTSPVAETEGTIME
+ESSRDKDKAERKRKAEIARLRREKIMAQMSEMQRHFIDENKELFQQTLELDSSVSAVLDN
+GPVVSDMTLTALGPAQTRVPEQRQCVTCILCQEEQEVNAESKAMVLAAFVQRSTVLSKDR
+SKFIQDPENYDPLFMHPDLSCGIHTGSCGHIMHAHCWQRYFDSVQAKEQRRQQRLRLHTS
+YDVENGEFLCPLCECLSNTVIPLLLPPRNVFHGRLNFSDQPNLTQWIRTISQQIKALQVL
+RKEESTPITASSKNLENMDELHLPEGFRPDFHPKNPYSESIKEMLATFGTATYKVGLKVH
+PNEEDPRVPIMCWSSCAYTIQSIERILSDEDKPLFGPLPCRLDDCLRSLTRFAGAHWTVV
+SLSVVQGHFCKLFASLVPGDNHRDLPCILDIDMFHLLVGLVLAFPSLQCQDFSGISLGTG
+DLHIFHLVTMAHIVQILLTSCTEKSGMDQENAAGKEEELAVLALCKMIQQYTGSALKEMP
+SGWHLWRNVKAGIMPFLRCSALFFHYLNGVPSPPEIQASGTSHFEHLCNYLSLPNNLLCL
+FQENKEIMKLLIESWCHNIEVKRYLEGERDAISYPRESNKLIDLPEDYSSLINQASNFSC
+PKSGGDKSRAPTMCLVCGTLLCSQSYCCQTELEGEDVGACTAHTYSCGSGVGIFLRVREC
+QVLFLAGKTKGCFYSPPYLDDYGETDQGLRRGNPLHLCRERFKKIQKLWHQHSITEEIGH
+AQEANQTLVGIDWQHL
+>tr|E1B7M2|E1B7M2_BOVIN Tripartite motif containing 31 OS=Bos taurus OX=9913 GN=TRIM31 PE=4 SV=2
+MAHQQFTGKLQEEMICPICLDILQDPATIDCGHNFCLSCITQSGEAADSVLKCPLCNKIV
+KRDTITPNWLLVNLVEKIQAMDPSDMQPETEELRCLRHGEKCHYFCEVDGKFLCVVCRES
+KDHKTHNTTLIEEAAQNYQGRIQSQIEVLQQKEREIIQVMAQGEHCIKVSMYQVELEKEM
+VIEAFRQLRKVLKEEKSFLLSRINWLGQEISKGEKLYVTSTKSQLNYLRKLKDSLKSRQC
+LPPGQLLQDIKIALCRSEAFRFLNPTPVSMDLEKKLSDIKSRHDSLTKSLKKFKDILQAD
+SKKDKSKFLSGLSEEDRKSWYLAEKNDPKTNKTSEPELSPPVDRTTKPVLQNPKLSISLS
+PLFRKRNRDSFVSYSSNRDSSENLGSPGATNIEELKTMIDPLTLDAASAHPDLIISQDLK
+TVTLKPVPQRVCAGDTDPERFYPFRCVLGLPGLCSGCQTWEAELQGPEGGGCVVGVASEL
+VPRKGMLQIEPLSGFWALRIAGSECQALTETGTREDLSVCLRKVGVHVNHECGKVVFYDA
+TTSNHLYTFHASFPGQIFPFFRLLVPGTQITLSP
+>tr|A0A3Q1MCJ9|A0A3Q1MCJ9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=MRLN PE=4 SV=1
+MTCKNWILISTTTPTSLEDEIVGRLLKILFVIFVDFLSIIYVVITS
+>tr|F1MST0|F1MST0_BOVIN Reticulophagy regulator 1 OS=Bos taurus OX=9913 GN=RETREG1 PE=4 SV=3
+MASPAPPEPAEQGSPAPAAAPQAPPPPTRAPPEEPEGAAPPEEGAAAGAGRQVEEAAGGV
+AAVVTWLLGEPALWLGGRADELLSWKRPLHSLLAFVGANLVFWFLALTPWRVYHLISVMI
+LTRVIMQIIKDMILSRTRGAQLWRSLSESWEVINSKPDERPGFSHCLAESWMNFSIFLQE
+MSVFKQQSPGKFCLLVCSVCTFFTILGSYIPGVILSYLLLLCAFLCPLFKCNDIGQKIYS
+KIKSCLLKLDFGIREYINQKKRERSEADKEKSHKDDSELDFSALCPKISLTTAAKELSVS
+DTDVSEVSWTDNGTFNLSEGYTPQTDTSDDLDRPSEEVFSRDLSDFPSLENGTGTNDEDE
+LSLGLPTELKRKKEQLDGGPRRSTEKKSAAGLSLPLSSDQTLHLMSDLAGDVITAAVTAA
+VKDQLAGVRQALSQAAPSLGEDTDTEEGDDFELLDQSELDQIESELGLSQDQEAEAQQNK
+KSSGFLSNLLGGH
+>tr|G3MX91|G3MX91_BOVIN TAR DNA binding protein OS=Bos taurus OX=9913 GN=TARDBP PE=4 SV=1
+MSEYIRVTEDENDEPIEIPSEDDGTVLLSTVTAQFPGACGLRYRNPVSQCMRGVRLVEGI
+LHAPDAGWGNLVYVVNYPKDNKRKMDETDASSAVKVKRAVQKTSDLIVLGLPWKTTEQDL
+KDYFSTFGEVLMVQVKKDIKTGHSKGFGFVRFTEYETQVKVMSQRHMIDGRWCDCKLPNS
+KQSPDEPLRSRKVFVGRCTEDMTADELRQFFCQYGEVVDVFIPKPFRAFAFVTFADDQVA
+QSLCGEDLIIKGISVHISNAEPKHNSNRQLERSGRFGGNPGGFGNQGGFGNSRGGGAGLG
+NNQGSNMGGGMNFGAFSINPAMMAAAQAALQSSWGMMGMLASQQNQSGPSGNNQSQGNMQ
+REPNQAFGSGNNSYSGSNSGAAIGWGSASNAGSGSGFNGGFGSSMDSKSSGWGM
+>tr|E1BMY4|E1BMY4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=CFAP74 PE=4 SV=3
+ACRRRMELLVKQQESVAAEIAAERAANNMAAMGRLEAASRRLCSELKNEQDLQAQMMAGW
+GRIEVQEGQLEDARKSAQEEAAAARRGLQERAAKQLHREMGASGKAERNRLLRARRCVHL
+QKELGLRQQKLVEEAQKNHRKALRFLKASLGRIREQEQKEELETREHMRRRMDAVLALKN
+SISANRETLRKFQAWGQAKTELAEQKAQAEKKAILAQGGDAFKHLCHQRRRQELEAQNRA
+FEEEQKRRKQEIVSRLLKEEAVEDRRRPRPEPPRPAGQRSLRDQTWSYVATFFDGMSRVF
+LPQLQETRSRFYQSSPLLTAISSESVQGDGSLGGEDTLAWPEIPGLWKEDYKPFQEDVDR
+KPVGGTKMDKDILARTMQRLRSGVIHKQVASGREFRGCPFNSKPKFIHFKDFDVGKVYKK
+KITLINATYTINYCKLVGVDESLQDFIHVDFDPPGPLSAGMSCEVLVTFKPMINKDLEGN
+VSFLAQTGGFSVPLKCSTKKCSLSLDKELIDFGSYTVGETAIRTITLTNTGGLGTKFRFL
+LASETCEMDTSQSVLKLSSTFTYEDKSLYDKFTTSFSEHQMEGNESSPTDVPSQKESGKL
+DGVTLTTIMTIPLNEEQMEFSLGETTEGDIGPFSSIKVPVIFTPIVPGTVQNSFKVVFKN
+QQCPTLYFKVVGVAIDVPVWVPKPNVDLKICMYDRLYQDSILVHTRSKAALRLKFEVCKE
+LRGHVELLPETGYIQALSSYSVQLKFLPRHSLPEDAGKYFDKETRVLEAPMTIRVADQIK
+PVGFTVHAVVTTSDLELSPAGLDFGYCTIYEAIRTQISLCNHSLLPQEFGFVGLPKFVDI
+QPNDGFGTILPLETLQLDVIFQPTKAKEYNFELICKSEINRCFKLACRAVGVYPPLELSH
+NQIKFAATSLYDTSVATLYVINSHLSMNSLTHSVPRIGSEDAFPVGPTSFEFLLPPDSPI
+TISPLVGTVWPGKRCLVRVAFRPVLPSELIHQEAFQALSRKAEVKSSQKETVTQRKDQRR
+PSFSMLRLQNQDRLLRASASQPPELQKEDLASSSQEYQSAQASLTRSFQGKFDKFVVPCV
+VASGDTKDRKGTEPLSFSPHNTLYLELWCPAVAPSIVVTSDKGKTIINFGDIAAGHRGIK
+KVSIQNISPEDLDLEYSVLNPDGPFVLLNPTIRLPSGETQVLDLSFSPRESVVAQEILDI
+ITKKGTLSLALMGTGVASTITCSIEGDVLNMGYVIARESVSLGFKLQNNSPLPIKFSMQL
+DSLSSRSRDQHRLPQFLASPDQRTEVVGTQNYSGQSVFSVVPVEGVMEPGKAQDFTVTFS
+PDHESLYFSDRLQVVLFEKKVSHHILLKGAAREHMMFVEGGDPLDVPVESLTVIPAFDLE
+HREEAEELKPILVTLDYAQLDPDTQAPPATRELQVGCIRTTQLSAKKPDHPLLASALLQL
+RGDVKETYKVLFVAQVVTGP
+>tr|E1BJP4|E1BJP4_BOVIN Serum response factor OS=Bos taurus OX=9913 GN=SRF PE=4 SV=1
+MLPSQAGAAAALGRGSALGGSLNRTPTGRPGGGGGGTRGANGGRVPGNGAGLGPGRLERE
+AAAAATTTPAPTAGALYSGSEGDSESGEEEELGAERRGLKRSLSEMELGVVVGGPEAAAA
+ATGGYGPVSGAVSGAKPGKKTRGRVKIKMEFIDNKLRRYTTFSKRKTGIMKKAYELSTLT
+GTQVLLLVASETGHVYTFATRKLQPMITSETGKALIQTCLNSPDSPPRSDPTTDQRMSAT
+GFEETDLTYQVSESDSSGETKDTLKPAFTVTNLPGTTSTIQTAPSTSTTMQVSSGPSFPI
+TNYLAPVSASVSPSAVSSANGTVLKSTGSGPVSSGGLMQLPTSFTLMPGGAVAQQVPVQA
+IQVHQAPQQASPSRDSSTDLTQTSSSGTVTLPATIMTSSVPTTVGGHMMYPSPHAVMYAP
+TSGLADGSLTVLNAFSQAPSTMQVSHSQVQEQGGVPQVFLTAPSGTVQIPVSAVQLHQMA
+VIGQQAGSSSNLTELQVVNLDAAHSTKSD
+>tr|A0A3Q1MV48|A0A3Q1MV48_BOVIN Cell division cycle 14A OS=Bos taurus OX=9913 GN=CDC14A PE=4 SV=1
+MAAESGELIGACEFMKDRLYFATLRNRPKSTVNTHYFSIDEELVYENFYADFGPLNLAMV
+YRYCCKLNKKLKSYSLSRKRIVHYTCFDQRKRANAAFLIGAYAVIYLKKTPEEAYRALLS
+GSNPPYLPFRDASFGNCTYNLTILDCLQGIRKGLQHGFFDFETFDVDEYEHYERVENGDF
+NWIVPGKFLAFSGPHPKSKIENGYPLHAPEAYFPYFKKHNVTAVVRLNKKIYEAKRFTDA
+GFEHYDLFFIDGSTPSDNIVRRFLNICENTEGAIAVHCKAGLGRTGTLIACYVMKHYRFT
+HAEIIAWIRICRPGSIIGPQQHFLEEKQASLWVQGDIFRSKLKNRPSSEGSINKILSSLD
+DMSIGGNLSKIHNMERFGENNLEEDEDVEMKNNITQGDKLRALKSQRQPRTSPSCAFRLE
+DMKGHPRAVSQPSRLGSSPQGSASPLKTSKVALSPSVTAKRINRGSVSSGASVRSFSINS
+RLASSLGNLNAAADDPENKKTTSPSKMGFIANPFTNLLNGSSQPPARNYPELNNNQYSRS
+SNSSGSAPSSQPGPHGAKTTEEHSTALRPSYTGLSSSSARFLSRSIPEGIRMGRAARNRR
+>tr|E1BN32|E1BN32_BOVIN RP1 like 1 OS=Bos taurus OX=9913 GN=RP1L1 PE=4 SV=3
+MCPAPDRHRLNTPAQLGPLVTGEDIEKNVSVNEDGSLSVEMKVRFHLLGEDPLLWSRRLG
+RASTLTATSGEGPDAGEVDLLGCVWKGHSGDPSEPGARGLGPCEVGGVGAFDQGQRQQGS
+RYEIWMNPLYISQGEWSASRWRSALTQNCHSRAPRSQRVASRKRGSKDRASPASSDTPPG
+GSELNSSCCSGSLEDAVASCGPHLASGAGEGKGEGAALGCRDHDGCLKPRTRGLTDALPD
+SSASARSHEECSKRDKLSQGRPSETPQGATQQGVPGSPTVSPLSIANKDPQAEEFGQGAG
+HPQARNRSGVRPPSTRGPAASGDDAGGYTPPSACASALGRSRKQESRASTLYSASSSVPS
+QGAQRACPRQHHSPKDIHCPVHSSVSRPMPGLSSRDRAHPHGPPPSLSESPQGTWNQASW
+DPRPPFSGSLYSQDTRRLSSIPITPGSNSDCVSNFYLPDSPSPETEGGPEFRPCSPALTP
+SNTSGPFSAPADGLGEKTGGDRFQPSWPLVLPAGWPEGGRLGAQWGSCCSHLGTSLARGA
+SCGTIQTIPAPQLQGSQGPSSKACWVCSRYCPTPPRTRPLGKRHPSGSRSEGDRSADGKP
+SGEQVGEEKLVAWHPRPPGSQGAALGRAVRAVRRGRPRSGPQLRRMVQGKLSGRGGGLEE
+PEEGGSELLGALPRASPEAVVRAWLSNIPEEPIKHELEDKGEDVAGHGLEGPQEDPVDKH
+SPDSLERSVWARQLPLEQAASEEAEPDKAFPVASSASPKSGEGMPCRGVSETPTEAGTGC
+GRWEGRVLPSRVSTSLQIMKALMGSRPGRPSSLPEVSHSAGRRLSRSAQALITCLARLHF
+FDDDDLGSPASKVRFTDSPRYQELLSTFQALWPGRGLRNTELELDLRELGWRQALPALGP
+HIATEDFRPTSSSGVDVGSGSGGSGEGSGPCTVDCSLVSEKMELPLSISCQGPDSRTLGN
+PEVPGNQQLSSYEAEGAASDNGAEKNGREQTLGGDPDQGDKNTMQEEGVQLEEIKEEKER
+AELQVEGVRGFPEEEGVMELGLSGAGSQDGAGVWENKSLNEEEPGDPTAVATQSSSERRG
+SPPEPPRSLSERYLDASGSQSGPKAEPPLEKLLGAAETGCGQTLDKITQGAGERGTCKGR
+RGSLILDPVWVSKLLKKMEKAFMDHLATATAELCTRWGLQGDHLLDQMVAELQRDVGQRL
+QDSVEKELLKVQSWAGGAGTGLPREALHWEASLQTEQRRRRLQALRNLSPFSEQMRGRGP
+PSFSLEDLPIFRGALGTQLAVEAKGEEFCPCEACVRKKMAPASPVDAAGSASAPIRKAFD
+LQHILQKKKGGCADGETVEVAPAVEREVEPLHRDPSRTSTVQGADGDPELGSGQGPRAEE
+GDQTLGRDEDPWGTEEEAGAQEEERKTEPCVGSDPWEGLGRGEQGMGGEEGDLEADCGAE
+DTGEAHGLGGGGPGPCGQDAGAETTEALEAAREERSETGGGHGGDEEGGLQVGLGCGQSR
+EASGDRSPTPGADPLQQRSGPRSGLSSFSTSSLGSCSLLSQKGSEDEPWDRCMRSTEDQA
+MDIPDPERKVTGMYPESSASEQEGAPSGTRTPEQGTEKGLSPEQSKEEGSAPEQETEEGP
+APEQETEEGPAPEQETEEGPAPEQETEEGPAPEQETEEGPAPEQETEEGPAPEQEGEEGP
+APEQEGEEGPAPEQEGEEGPAPEQETEEGPAPEQETEEGPAPEQETEEGPAPEQETEEGP
+APEQEGEEGPAPEQEGEEGPAPEQETEEGPAPEQETEEGPAPEQETEEGPAPEQEGEEGP
+APEQEGEEGPAPEQETEEGPAPEQEGEEGPAPEQEGEEGPAPEQEGEEGPAPEQEGEEGP
+APEQEGEEGPAPEQEGEEGPAPEQETEEGPAPEQETEEGPAPEQEGEEGPAPEQEGEEGP
+APEQETEEGPAPEQEGEEGPAPEQEGEEGLAPEQEGEEGPAPEQETEEGPAPEQEGEEGL
+ASEQEGEEGLAPEHEGEEGSAPEQETEEGSNLEAKKVVKCLASTETQFRNLPMDRTDGFD
+QDDLDF
+>tr|G3MYH8|G3MYH8_BOVIN Innate immunity activator OS=Bos taurus OX=9913 GN=INAVA PE=4 SV=2
+MKFYFGPGSQWPSCGLGAGRWVRAGPVGLDASDKRAARSFTSVIFCCSFKSWGNSRPPLC
+PGLGWEGCRPLLLLAPSSQKPTMDSKDEVSDTDSGIILQSGPDSPVSPAKELTHAVRKQQ
+RALEERLEACLEELRRLCLREAELTGILPAEFPLKPGEKVPKVRRRIGAAYKLDEWALHR
+EDPLSGLERQLALQLQIAEAARRLCREGNLGRQVRRQRQHAVRLEEEKLRQLQRCLGERQ
+GRPPPSPAPGPELSASDDSSLSDGLPREEEALQVPKPPLEAPDPPARPLPPQSLEGLQPA
+GPAMGGLERAPIQNSPWKETSLDHPYEKPRKSSEAGSESSSPASTPQDGPSTSSLWLLEP
+ASYCVVPIRSVPGQRQGRTSAPATPDMQGRRGHSQPLRSDPFRAGPDGRGRSALPRRRPT
+YYTVTAPEPCCARPAPAPRACRSCSEDSGSEVSSLSHPTPPGSSSPDISFLRPLSPPAPS
+RPPRGPAAPRPRPPPACLRATRYVVLAEGPPPPAQWAEWGPGRGEDAAPARWQRPPPAHG
+RVARTPSLRDHPAGRGLSKAAVSEELKSWHERARLRSARPHSLDRQGAFRVRSLPPGADS
+FVRAPAPRGQVPTVCVLRRSPEGAPVQVFVPENGEIMSQV
+>tr|A0A3Q1M1F5|A0A3Q1M1F5_BOVIN Transient receptor potential cation channel subfamily C member 3 OS=Bos taurus OX=9913 GN=TRPC3 PE=3 SV=1
+MSSKVRKCKEQARVTFPAPAAEEEDEDEGAEPQRRRRGWRGVNGGLEPRSAPPQRGPRAY
+CPPPFSPGPDMSMEESPSLRRKTVMREKGRRQAVRGPAFMFNDRGTSLTAEEERFLDAAE
+YGNIPVVRKMLEESKTLNVNCVDYMGQNALQLAVGNEHLEVTELLLKKENLARIGDALLL
+AISKGYVRIVEAILNHPGFAASKRLTLSPCEQELQDDDFYAYDEDGTRFSPDITPIILAA
+HCQKYEVVHMLLMKGARIERPHDYFCKCGDCTEKQRHDSFSHSRSRINAYKGLASPAYLS
+LSSEDPVLTALELSNELAKLANIEKEFKNDYRKLSMQCKDFVVGVLDLCRDSEEVEAILN
+GDLESADPLEMHRHKASLSRVKLAIKYEVKKFVAHPNCQQQLLTIWYENLSGLREQTVAI
+KCLVVLVVALGLPFLAIGYWIAPCSRLGKVLRSPFMKFVAHAASFIIFLGLLVFNASDRF
+EGITTLPNITVIDYPKQIFRVKTTQFTWTEMLIMVWVLGMMWSECKELWLEGPREYILQL
+WNVLDFGMLSIFIAAFTARFLAFLQATKAQQYVDSYVQESDLSEVTLPPEIQYFTYARDK
+WLPSDPQIISEGLYAIAVVLSFSRIAYILPANESFGPLQISLGRTVKDIFKFMVLFIMVF
+LAFMIGMFILYSYYLGAKVNAAFTTVEESFKTLFWSIFGLSEVTSVVLKYDHKFIENIGY
+VLYGIYNVTMVVVLLNMLIAMINSSYQEIEDDSDVEWKFARSKLWLSYFDDGKTLPPPFS
+LVPSPKSFVYFIMRIINFSKCRRRRLQKDIEMGIGNSKSRQIMKRLIKRYVLKAQVDKEN
+DEVNEGELKEIKQDISSLRYELLEDKSQATEELAILIHKLSEKLSPSVLRCE
+>tr|A0A3Q1LH98|A0A3Q1LH98_BOVIN Gamma-tubulin complex component OS=Bos taurus OX=9913 GN=TUBGCP3 PE=3 SV=1
+MATPDQKSPNVLLQSLCCRILGRSEADVAQQFQYAVRVIGSNFAPTVERDEFLVAEKIKK
+ECLQLCHAVRSGVTTRCPLHALLLRPASDPAPELPGAQRPVGPELGQPGEQRYQQHRRAH
+LNGPTPPPPSLLPGQSHLGPGTGDCLRQQLGARLAWTLPASQPSLQTTTSKGVPSAASRS
+MTRPRRDGDAGGAVEVTEAALVRDILYVFQGIDGRNIKMSSADNCYKVEGKANLNKSLRD
+TAMRLAELGWLHNKIRKYTDQRSLDRSFGLVGQSFCAALHQELKEYYRLLSVLHSQLQLE
+DDQGVNLGFESSLTLRRLLVWTYDPKIRLKTLAALVDHCQGRKGGELASAVHAYTKTGDP
+SMRSLVQHILSLVSHPVLSFLYRWIYDGELEDTHHEFFVASDPTVKTDRLWHDKYTLRTS
+MIPSFMTMDQSRKVLLIGKSINFLHQVCHDQTPPTKMIAVPRSAEPLQDAADLFTDLENA
+FQGKIDAAYFETSKYLLDVLNRKYSLLDHMQAVRRYLLLGQGDFIRHLMDLLKPELARPA
+TTLYQHNLTGILETAVRATNAQFDSPEILKRLDVRLLEVSPGDTGWDVFSLDYHVDGPIA
+TVFTRECMSHYLRVFNFLWRAKRMEYILTDIRKGHMCNAKLLRSLPEFSGVLHHCHILAS
+EMVHFIHQMQYYITFEVLECSWDELWNQVQQAQDLDHIIAAHEAFLGTVISRCLLDSDSR
+ALLNQLRAVFDQIIELQSTQDAICRAALEELQRRLQFEDKKKQREAEGQWGVTAAEEEQE
+NQRIREFRESIPKMCSQLRILTHFYQGVVQQFLVLLTTSSDESLRFLSFRLDFNEHYRAR
+EPRLRVSLGARGRRSSHT
+>tr|G3MWM3|G3MWM3_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=2
+MKRKWGALLGFLWVQICWVRGVKVEQSPSVLSLQEGANSTLRCNFSETVSSVQWFQQNPG
+GSLISLFFIASGMKQNERMSSTVNSKERYSTLHITASQLEDAATYLCVVEAQCSQAACSL
+YPNCSCLSPSPPQYYTVQVPLLPLWVSRS
+>tr|A0A452DI37|A0A452DI37_BOVIN X-box-binding protein 1 OS=Bos taurus OX=9913 GN=XBP1 PE=4 SV=1
+MVVVAPAQSPAAGAPKVLLLSGQPAATGGAPAGRALPVMVPGQQGASPEGASGVPPQARK
+RQRLTHLSPEEKALRRKLKNRVAAQTARDRKKARMSELEQQVVDLEEENQKLLLENQLLR
+EKTHGLVVENQELRQRLGMDALVTEEEAETKGNGAGLVAGSAESAAGAGPVVTPPEHLPM
+DSDGIDSSDSESDILLGILFNLDPVMFLRCPSPESASLEQLPEVDPEGPSSLPASPSPSL
+GTSSAKLEAINELIRFDHVYTKPLVLEMPSETESEANVVVKIEEAPFSPSEKDHPEFTVS
+VKEELVEDDFIPELGISDLLSTSNCLKPSSCLLDAYSDCSYEGSPSPLSDMSSLLDADHS
+WEDPFASELFPQLISV
+>tr|F1MX60|F1MX60_BOVIN Cytoplasmic FMR1-interacting protein OS=Bos taurus OX=9913 GN=CYFIP2 PE=3 SV=3
+MTTHVTLEDALSNVDLLEELPLPDQQPCIEPPPSSIMYQANFDTNFEDRNAFVTGIARYI
+EQATVHSSMNEMLEEGHEYAVMLYTWRSCSRAIPQVKCNEQPNRVEIYEKTVEVLEPEVT
+KLMKFMYFQRKAIERFCSEVKRLCHAERRKDFVSEAYLLTLGKFINMFAVLDELKNMKCS
+VKNDHSAYKRAAQFLRKMADPQSIQESQNLSMFLANHNRITQCLHQQLEVIPGYEELLAD
+IVNICVDYYENKMYLTPSEKHMLLKVMGFGLYLMDGNVSNIYKLDAKKRINLSKIDKFFK
+QLQVVPLFGDMQIELARYIKTSAHYEENKSKWTCTQSSISPQYNICEQMVQIRDDHIRFI
+SELARYSNSEVVTGSGLDSQKSDEEYRELFDLALRGLQLLSKWSAHVMEVYSWKLVHPTD
+KFCNKDCPGTAEEYERATRYNYTSEEKFAFVEVIAMIKGLQVLMGRMESVFNQAIRNTIY
+AALQDFAQVTLREPLRQAVRKKKNVLISVLQAIRKTICDWEGGREPPNDPCLKGEKDPKG
+GFDIKVPRRAVGPSSTQLYMVRTMLESLIADKSGSKKTLRSSLDGPIVLAIEDFHKQSFF
+FTHLLNISEALQQCCDLSQLWFREFFLELTMGRRIQFPIEMSMPWILTDHILETKEPSMM
+EYVLYPLDLYNDSAYYALTKFKKQFLYDEIEAEVNLCFDQFVYKLADQIFAYYKAMAGSV
+LLDKRFRAECKNYGVIIPYPPSNRYETLLKQRHVQLLGRSIDLNRLITQRISAAMYKSLD
+QAISRFESEDLTSIVELEWLLEINRLTHRLLCKHMTLDSFDAMFREANHNVSAPYGRITL
+HVFWELNFDFLPNYCYNGSTNRFVRTAIPFTQEPQRDKPANVQPYYLYGSKPLNIAYSHI
+YSSYRNFVGPPHFKTICRLLGYQGIAVVMEELLKIVKSLLQGTILQYVKTLIEVMPKICR
+LPRHEYGSPGILEFFHHQLKDIIEYAELKTDVFQSLREVGNAILFCLLIEQALSQEEVCD
+LLHAAPFQNILPRVYIKEGERLEVRMKRLEAKYAPLHLVPLIERLGTPQQIAIAREGDLL
+TKERLCCGLSMFEVILTRIRSYLQDPVWRGPPPTNGVMHVDECVEFHRLWSAMQFVYCIP
+VGTNEFTAEQCFGDGLNWAGCSIIVLLGQQRRFDLFDFCYHLLKVQRQDGKDEIIKNVPL
+KKMADRIRKYQILNNEVFAILNKYMKSVETDSSTVEHVRCFQPPIHQSLATTC
+>tr|A0A3Q1MS24|A0A3Q1MS24_BOVIN V-type proton ATPase subunit a OS=Bos taurus OX=9913 GN=ATP6V0A1 PE=3 SV=1
+MGELFRSEEMTLAQLFLQSEAAYCCVSELGELGKVQFRDLNPDVNVFQRKFVNEVRRCEE
+MDRKLRFVEKEIRKANIPIMDTGENPEVPFPRDMIDLEANFEKIENELKEINTNQEALKR
+NFLELTELKFILRKTQQFFDEMADPDLLEESSSLLEPSEMGRGTPLRLGFVAGVINRERI
+PTFERMLWRVCRGNVFLRQAEIENPLEDPVTGDYVHKSVFIIFFQGDQLKNRVKKICEGF
+RASLYPCPETPQERKEMASGVNTRIDDLQMVLNQTEDHRQRVLQAAAKNIRVWFIKVRKM
+KAIYHTLNLCNIDVTQKCLIAEVWCPVTDLDSIQFALRRGTEHSGSTVPSILNRMQTNQT
+PPTYNKTNKFTYGFQNIVDAYGIGTYREINPAPYTIITFPFLFAVMFGDLGHGILMTLFA
+VWMVLRESRILSQKNENEMFSTIFSGRYIILLMGVFSIYTGLIYNDCFSKSLNIFGSSWS
+VRPMFDIYNWTEETLRGNPVLQLNPAVTGVFGGPYPFGIDPIWNIATNKLTFLNSFKMKM
+SVILGIIHMLFGVSLSLFNHTYFKKPLNIYFGFIPEIIFMTSLFGYLVILIFYKWTAYNA
+KTSEKAPSLLIHFINMFLFSYGDSGNSMLYSGQKGIQCFLVVVALLCVPWMLLFKPLVLR
+RQYLRRKHLEGQPVEAPVSPTPSQQGLEAAAAATGTLNFGGIRVGNGPTEEDAEIIQHDQ
+LSTHSEDAEEPTEDEVFDFGDTMVHQAIHTIEYCLGCISNTASYLRLWALSLAHAQLSEV
+LWTMVIHIGLKVKSLAGGLALFFIFAAFATLTVAILLIMEGLSAFLHALRLHWVEFQNKF
+YSGTGFKFLPFSFEHIREGKFDD
+>tr|E1B871|E1B871_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=3 SV=3
+MVRYSLDPENPTKSCKSRGLNLRVHFKNTRETAQAIKGMHIRKATKYPKDATLKKQCVPF
+CRYNGGVGGCAQAKQRGWTQGRWLKKSAEFLLRMLKNAERNAELKGLDVDSLVIEHIQVN
+KAPRMRRRTYRAHGRINPYRSSPCHIEIILPEKEQIVPKPEEEVAQKKKTSQKKLKKQTL
+TAGNKCRKK
+>tr|F1N273|F1N273_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC782698 PE=3 SV=3
+MIILTPLLTSSSFLIAIGHSHTSQMTPMKNSTVVTEFILAGITDDPQLQIPLFLVFTLIY
+LLTLVGNLGVITLILLDSRLHTPMYFFLSNLALVDFAYSTAVTPKVMAGFLTGDKVISYN
+ACAAQLFFFAVFLIMESFLLASMAYDRHAAVCQPLHYTNIMTPRVCSWMVTGSYVLSFLE
+ASVHTWNIFSLSFCRSNVIDHFFCDATPLLALSCSESNRSEMVLFLLVGFTVLSSNLVIL
+VSYLFIFVTILRMRSSEGHQKAFSTCASHLTAVSIFYGTASFMYFEPGSRHSMSTDKMAS
+VFYAIVIPMLNPLIYSLRNKEVKRALKKAVGKAKSSLRFKI
+>tr|A0A3Q1MQ96|A0A3Q1MQ96_BOVIN TEA domain transcription factor 4 OS=Bos taurus OX=9913 GN=TEAD4 PE=4 SV=1
+MAPAGAGCRGHSRGSPRPPPARPARGPSPRPSLLPEGPGLGRHSLQLPPSTARISFQPSF
+RAFQASSFQTPGRGRGEPAAPGSAALPRPPGPTGAPPSGALEGKAGTITSNEWGSPNSPE
+GSNVSGGSQALDKPIDNDAEGVWSPDIEQSFQEALAIYPPCGRRKIILSDEGKMYGRNEL
+IARYIKLRTGKTRTRKQVSSHIQVLARRKAREIQAKLKFWQGALPGQAGTSHDVKPFSQQ
+TYTVQPSLPLPGFESPAGPAPSPSAPPAPPWQGRSVASSKLWMLEFSAFLERQQDPDTYN
+KHLFVHIGQSSPSYSDPYLEAVDIHQIYDKFPEKKGGLKELFERGPSNAFFLVKFWADLN
+TNMEDEGSSFYGVSSQYESPENMIITCSTKVCSFGKQVVEKVETEYARYENGHYSYRIHR
+SPLCEYMINFIHKLKHLPEKYMMNSVLENFTILQVVTNRDTQETLLCVAYVFEVSASEHG
+AQHHIYRLVKE
+>tr|F1N306|F1N306_BOVIN Advanced glycosylation end product-specific receptor OS=Bos taurus OX=9913 GN=AGER PE=4 SV=1
+MAAGAVVGAWMLVLSLWGTVMGDQNITARIGKPVVLNCKGAPKKPPQQLEWKLNTGRTEA
+WKVLSPQGDPWDSVARVLPNGSLLLPAVGIQDEGTFRCRATSRSGKETKSNYRVRVYQIP
+GKPEIVDPASELMAGVPNKVGTCVSEGGYPAGTLNWLLDGKTLIPDGKGVSVKEETKRHP
+KTGLFTLHSELMVTPARGGALHPTFSCSFTPGLPRRRALHTAPIQLRVWSERRGGEGPNV
+DAVPLKEVQLVVEPEGGAVAPGGTVTLTCEAPAQPPPQIHWIKDGRPLPLPPGPMLLLPE
+VGPEDQGTYSCVATHPSHGPQESRAVSVSIIETGEEGTTAGSVEGPGLETLALTLGILGG
+LGTVALLIGVIVWHRRRQRKGQERKVPENQEEEEEERAELNQPEEPEAAESSTGGP
+>tr|F1MBD7|F1MBD7_BOVIN Inhibitor of growth family member 5 OS=Bos taurus OX=9913 GN=ING5 PE=4 SV=3
+MATAMYLEHYLDSIENLPCELQRNFQLMRELDQRTEDKKAEIDILAAEYISTVKTLSSDQ
+RVEHLQKIQSAYNKCKEYSDDKVQLAMQTYEMVDKHIRRLDADLARFEADLKDKLEGSDF
+ESAGGRGLKKGRGQKEKRGSRGRGRRTSEDDTPKKKKHKGGSEFTDTILSVHPSDVLDMP
+VDPNEPTYCLCHQVSYGEMIGCDNPDCPIEWFHFACVDLTTKPKGKWSVWRQPCLWAGRG
+GLPAAPVLVPGAELQRHSCLPYPGLWLSSPLCDSDLESHGFVPLPRGCFSVVNTTVPRAP
+WWAEPADAEPGCEEPWVGTAAGALTPALCKVGLPPTAAALALEGGRGDCRSRQGRKDSPW
+GSSDGS
+>tr|G3N3C7|G3N3C7_BOVIN Family with sequence similarity 13 member B OS=Bos taurus OX=9913 GN=FAM13B PE=4 SV=2
+MRKSSSPSLSNCNSVLANKIFGIPLDELQQGGHPDNEVPFIVRHVVDYIEEHGGLEQQGL
+FQVNGNAETVEWLRQRYDSGEEVDLVKEADVPSAISLLRFFLQELPEPVIPGSLHIHLMQ
+LSQDYNNEDEFGRKLRFLLQQLPPVNYSLLKFLCRFLANVASHHEEIWSANSLAAVFGPD
+VFHIYTDVEDLKEQEIVSRIMAGLLENYYEFFENEEEDFSSNDLSSITEQVNELSEEEEE
+DEKLEHIEELPEEGAGKSDDMPEVVQLRMTENILEPNSVTASTSAHTSPISMLPASADIL
+ERTIRAAVEQHLFDLQSSIDHDLKNLQQQSLVCNNEAGSVNCDGEGSNNQVDIADGIINA
+SEHNRDCSEPVASTNLDNEVMQQDFVFEDEENNQCVGILLEPCSDHGDGEDDCLERKEYL
+SFDSDKLSHLILDSSSKICDLNANTESEVPGSQSVGVQGEAACVQIPHLDLKNVSDGDKW
+EASCPITFPLIDFKTMHLQRDGEEPFPAFKSWQEDSESGEAQLSPQAGRMNHHPLEEDCP
+PVLSHRSLDFGQSQRFLHDPEMLDSSSKALSFARIRRSSFSSKDEKREDRTPYQLVKKLQ
+KKIRQFEEQFERERNGKPSYSDIAANPKVLKWMTELTKLRKQIKDAKHKTSDGEFVPQTR
+PRSNTLPKSFGSSLDHEDEENEDDSKVIHKEKKPSKEATLELILKRLKEKRVERCLPEDI
+KKMTKDHLVEEKTSLQKSLLYYESQHGRPVTREERHIVKPLYDRYRLVKQMLTRASITPV
+LGSPSTKRRGQMLQPIIEGETAHFFEEIKEEEEDGVCLSSELNDILKTAVQAQSSLENSE
+SDVEENQEKLALDLRLSSTRAASMPELLEQLWKARAEKKKLRKTLRDFEEAFYQQNGRNA
+QKEDRVPVLEEYREYKKIKAKLRLLEVLISKQDSSKSI
+>tr|A0A3Q1N573|A0A3Q1N573_BOVIN Isoprenoid synthase domain containing OS=Bos taurus OX=9913 GN=CRPPA PE=4 SV=1
+MELGPPGGSGPAEPGPRLRGLRGADAAASASSLSRFGAEAGCRASAVAAVLPAGGSGERM
+GVPTPKQFCPILERPLISYTLQALERVSWIKDIIVAVTRENMETMKGIIQRYQHKRISLV
+EAGVTRHRSIFNGLKALAEDQPNCRLSKPEVVIIHDAVRPFVEEDVLLKVVTAAQEHGAS
+GAIRPLVSTVISPSADSCLDHSLERARYRASEMPQAFLFDVIYDAYQQCSDYDLEFGTEC
+LHLALKYSHIKAKLVEGSPDLWKVTYKRDLYAAESIIKERISQKVCVVMDTKEDEEHVGH
+CLEEMLKTELNHVKVTSGQDLQQIILEQCYNFVCVNVMTSDFEETQRLLNMVEESNLSIL
+YPVVVISVHFLDYESVPLGQKMEKVMQIREFAKEAKKRNILLSGLLIYFPQARSPRITCH
+TAWSSVSTLRGWSR
+>tr|A0A3Q1MDB2|A0A3Q1MDB2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MKGLSGSRNPPLCSGHTCGLTPPEDCELGHLHHGPEARPPYLLSPADSCPLEHHRCSPRT
+SIHSDCVMLPVVLGDHVSSSTFPRMHYSSHYDSRDDCAVAHAGAKINRIPANLLDQFEKQ
+LPLHRDGFHTLQYQRASAAAEQRSESPGRIRHLVHSVQKLFTKSHSLEGSSKGHVNGTRA
+DGRADEHAHGHHAKHGRRSKSRERKVEGRPRGGPGSWWGSDDNLDSDSTCRASSALGRPH
+AEPLARCYPDALPGPFGDLSLRTSKSNNDVKCSACEGLALTPDTKYMKRSSWSTLTVSQA
+KEAYRKSSLHLDKPPGPPELKPPLRPCHYLQVPQDEWAGRPTGGRDDEIPCRRMRSGSYI
+KAMGDEDSGDSDSSPKTSPKLALRPEPLLTSIGQRPLGDLQTPLSSLFLSCSQSYLQAAS
+DGPASHGLDPSANYNSPKFRSRNQSYMRAVSTLSQMSEAEVNGQFESVCESVFSEVESQA
+MDALDLPGCFRTRSHSYLRAIQAGYSQDDECVPLTIPADAASTIRPTGKGRPLTHPPNGQ
+VWGTSILTDWGEFFPNIWKFSSLHW
+>tr|A0A3Q1MB45|A0A3Q1MB45_BOVIN SCAN box domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MAAAPGAIPALAGQGSEEQGEIIKIKRKKGDHTRMGQEPGLSGDNPHTREIFRRRFRQFC
+YQETPGPREALRRLQELCHQWLRPEMHTKEQILELLVLEQFLTILPEELQAWVREHRPVS
+GEEAVIVLEDLERELDEPREQHSLALPFFGIGMKTDLFQSYGHY
+>tr|F1MWC0|F1MWC0_BOVIN Transmembrane protein 94 OS=Bos taurus OX=9913 GN=TMEM94 PE=4 SV=3
+TDTYERAGEPPLALGLSTRKALSILKEQLEAVLDGHLKERKKCLTWKEMWRSSFLHHGNR
+CSCFHWPGASLMLLAVFLLLACCGGQPAGSRGVELVNASALFLLLLLNLVLIGRQDRLKR
+QEVERRLRGVIDQIQDALRDGKEVKWPDAMYPDLHMPFAPSWSLHWAYRDGHLVNLPVSL
+LVEGDIIALRPGQESFASLRGIKDDEHIVLEPGDLFPPFSPPPSPRGEVKKGPQNPQQHR
+LFRVLETPVIDNIRWCLDMALSRPVTALDNERFTVQSVMLRYAVPVVLASFLITNALRFM
+LNAPGVTTWQYTLLQLQVNGVLPILPLLFPVLWVLATACGEARVLAQMSKLAKFSEDTLS
+SYTEAVSSQEMLRCIWGHFLRVIQGTSPTLSHSSSLLHSLGSVTVLCCVDKQGILSWPNP
+SPETVLFFSGKVEPPHSSHEDLTDDLSTRSFCHPEVEEEPHERDALLAGSLNAPLHLSNE
+QERGGHWPSDGPKASEPHPHHRAHGRSKHLSGSSVSFSRDTEGGEDDDPSKTQHGPEGEP
+YEAEDFVCDYHLEMLSLSQDQQNPSCIQFDDSNWQLHLTSLKPLGLNVLLNLCDASVTER
+LCRFSDHLCNVALQESRSAVLPVRVPWGLCELARLIGFTPGAKELFKQGNHLALYRLPSA
+ETMKETSLGRLSCVTKRRPPLSHMISLFIKDTTTSTEQMLSHGTADVVLEACTDFWDGAD
+IYPLSGSDRKKVLDFYQRACLSGYCSAFAYKPMSCALSSQLNGKCIELVQAPGQNSIFTT
+CELPSTIPIKLSARRGSWSSDEGIGEVLEKEDCMQALSGQIFMGMVSSQYQARLDIVRLI
+DGLVNACIRFVYFSLEDELKSKVFAEKMGLETGWNCHISLTPNGDMPGSEIPPSSPSHAG
+SLHDDLNQASRDDAEGLLLMEEEGHSDLISFQPTDSDLPSFLEDCNRAKLPRGIHQVRPH
+LQNIDNVPLLVPLFTDCTPETMCEMIKIMQEYGEVTCCLGSSANLRNSCLFLQSDVSIAL
+DPLYPSRCSWETFGYATSTSMAQASDGLSPLQLSGQLNSLPCSLTFRQEETISIIRLIEQ
+ARHATYGIRKCFLFLLQCQLTLVVIQFLSCLVQLPPILSTTDILWLSCFCYPLLSISLLG
+KPPHSSIMSMATGKNLQSIPKKTQHYFLLCFLLKFSLTISSCLICFAFTLQSFCDRSRAR
+NLTNCSSIMLPSHVDKAPAWFDNFANGLLSAQKLAAALIVLHTVFISITHVHRTKPLWRK
+SPLTNLWWAVTVPVVLLGQVAQTAVDLQLWTHRDSHIHFGLEDVPLLTWLLGCLSLVLVV
+VTNEVVKLHEIRVRVRYQKRQKLQFETKLGMNSPF
+>tr|A0A3Q1MBV0|A0A3Q1MBV0_BOVIN AP2-associated protein kinase 1 OS=Bos taurus OX=9913 GN=AAK1 PE=4 SV=1
+MMKKFFDSRREQGGSGLGSGSSGGGGSTSGLGSGYIGRVFGIGRQQVTVDEVLAEGGFAI
+VFLVRTSNGMKCALKRMFVNNEHDLQVCKREIQIMRDLSGHKNIVGYIDSSINSVSSGDV
+WEVLILMDFCRGGQVVNLMNQRLQTGFTENEVLQIFCDTCEAVARLHQCKTPIIHRDLKV
+ENILLHDRGHYVLCDFGSATNKFQNPQTEGVNAVEDEIKKYTTLSYRAPEMVNLYSGKVI
+TTKADIWALGCLLYKLCYFTLPFGESQVAICDGNFTIPDNSRYSQDMHCLIRYMLEPDPD
+KRPDIYQVSYFSFKLLKKECPIPNVQNSPIPTKLPEPVKASEAAAKKTQPKARLTDPIPT
+TETSIAPRQRPKAGQTQPNPGILPIQPALTPRKRATVQPPPQAAGSSNQPGLLASVPQPK
+TQPPPSQPLPQSQPKQPQAPPTSQQPPSAPAQALPTQAQATPQHQQQLFLKQQQQQQTAP
+PAQQPAGTFYQQPQQQAQAPQFQAVHPAAQQPVIAQFPVVSQGSSQQQLIQNFYQQQQQQ
+QQLATALHQQQLLTQQAALQQKTTAAAAPQPQAQPAAAASPAPAQEPAIQAPVRQQPKVQ
+TTPPPTIQGQKLGSLTPPSSPKAQRAGHRRILSDVTHSAVFGVPASKSTQLLQAAAAEAS
+LNKSKSATTTPSGSPRASQQNVYNPSEGSTWNPFDDDNFSKLTAEELLNKDFAKLGEAEK
+RKGGQTMDSSLPLLSVSDPFIPLQVPDAPEKLIEGLKSPDTSLLLPDLLPMTDPFGSTSD
+AVIEKADVAVESLIPGLEPPVPQRLPSQTESVTSNRTDSLTGEDSLIDCSLLSNPTTDLL
+EEFAPIAISAPAHKAAEDSNLISGFDVPEGSDKVAEDEFDPIPVLITKNPQGLQREPISS
+PVITVEHFKESTGVKGLPLYPDPSRVPGTKTQNSLESDYLARDGPSSNSSFHSSEEEGTD
+LEGDMLDCSGSRPLLLESEEEDESCKPPQGKLGGATPFTQPEVTPAQAKASQGGRKNQFG
+ALIQPIADGLGEPDVFATAPFRSSRIPADDIFAKAPFVSKGNVAPSQPEETDVFLRAPFT
+KKKGMEESTVAQSPAQEQSGLLSQTDDIPLLPGLDRAVYPSARAQYSMAGFAQPSNLPSH
+PVQAADHLDSISSRGSSLESGAHPNDRNKGPQPQKEAVSGPVAGKPFRPQSLSKYSRHYS
+PEDEPNPEAQPIAAYKIVSQTNKQSIAGSVSITSLASRTTELPAADPFALAPFPSKSGKQ
+KP
+>tr|A0A3Q1M1Q0|A0A3Q1M1Q0_BOVIN R3H domain containing 1 OS=Bos taurus OX=9913 GN=R3HDM1 PE=4 SV=1
+MRMSDTVTIKNDTETMKDLEAEVKDTTGVENLTKSENYGKILAEKNERCIDNNIDLQEKI
+QIQLTQSFEKEEKPPKDEAEKEKASDKLPRKMLSRDSSQEYTDSTGIDLHEFLVNTLKNN
+PRSPRKKFPPMTSYHRMLLHRVAAYFGLDHNVDQSGKAVIVNKTSNTRIPDQKFTEHIKD
+DKGEDFQKRYILKRDNSSFDKDDNQMRIRLKDDRRSKSIEEREEEYQRARDRIFSQDSLC
+SQENYIIDKRVNKDASGRSTNSHQSSTENDLKYSEPRPWSSTDSDSSLRNFKPAVTKASS
+FSGISVLTRGDSSGSSKSIGRLSKTGSESSGSVGSSTGSLSHIQQPLPGTALSQSSHGAP
+VVYPAVSTHSSLSFDGGLNGQVASPSTSFFLLPLEAAGIPPGSILINPQTGQPFINPDGS
+PVVYNPPMTQQPVRTQVPGPPQPPLPPPPPQQQAANHIFSQQDNLGSQFSHMSLARQPSA
+DGSDPHATMFQSTVVLQSPQQSGYIMTAAPPPPPPPPPPPPPLPPGQPVPAPGYSASGHP
+VSQPVLQQQGYIQQPSPQMPACYCAPGHYHSNQPQYRPVPSVHYNSHLNQPLPQPAQQTG
+YQVMPNQQQNYQGIVGVQQPQSQSLVGGQPNGIGNQIQGVVIPYPSVPSYQVSLPQGSQG
+IAHHTYQQPVMFPNQSNQGSMPAAGMPVYYSVIPPGQQNNLSSSVGYLQHPGSEQVQFPR
+TTSPCNSQQLQGHQCAVAPPPPGGGMVMMQLSVPNNPQSRAHSPPQWKQNKYYCDHQRGQ
+KCVEFSSVDNIVQHSPQLSSPIISPAQSPAPAQLSTLKTVRPSGPPLAIIPQFSRPFVSA
+QGDARYPLLGQTLQYNPPAVLHGHIPAQQGQSGNRHGNRGRKQAKKAASTDLGAGEAVVG
+KVLEITELPDGITRMEAEKLFGELFKIGAKIRWLRDPQSQPQMRRHPLCCGSGDSTVNPE
+RSKPSDLASTYTVLATFPSISAAQNALKKQINSVNKFKLRTSKKHYDFHILERASSQ
+>tr|A0A3Q1MMV9|A0A3Q1MMV9_BOVIN AT-hook containing transcription factor 1 OS=Bos taurus OX=9913 GN=AHCTF1 PE=4 SV=1
+MKCLMVQIYNNFTLKIICSGSMRDLTAQVTSGLLQFPEVTIQALGEDEITLESVLRGKFA
+AGKNGLACLACGPQLEVVNSLTGERLSAYRFSGVNEQPPIVLAVKEFSWQKRTGLLIGLE
+EVEGSVLCLYDLGLSRVVKAVVLPGRVTAIEPIINHGGASASTQHLHPSLRWLFGVAAVV
+TDVGQILLIDLCLDDLSCSQNEVEASDLEVITGIPAEVPRIRENVMREGRHLCFQLVSPS
+GTAVSTLSYINRTNQLAVGFSDGYLALWNMKSMKKEYYTQLEGGRVPVYAVTFQEPENDP
+RNCCYLWAVQSTQDSEGDVLSLHLLQLAFGDRKCLASGQILYEGLEYCEERYTLDLTGGM
+FPLRGQTGNTKLLGCQSIEKFRSHGDREESMNEALSPDTSVSVFTWQVNIYGQGKPSIYL
+GLFDINRWYHAQMPDSLRSGEYLHNCSYFALWSLDAVVSRTSPHYILDILVHERSLSRGV
+PPSYPPPEQFFNPSTYNFDATCLLNSGVVHITCTGFQKETLTFLKKSGPSLNEVIPDGYN
+RCLVAGLLSPRLIDIQPSSLSQEEQLEAILSAAIQTSSLGLLTGCIKRWITEEQPNSAAN
+LRFVLEWSWNKVVFTKEEFDRLCTPLFDGSCRFIDPQTIQSLQQCHLLLSNLSTVLSCFA
+AEAHDITERGLTDLSNKYMVTQLICQYAQVVLWFSHSGLLPEGLDDAVQLSRLCYNYPVI
+QNYYTSRRQKCERSSRGKWNPDCLMIDGMVSQLGDRVEKLWKRDEGGTGKYPPTSLHALL
+DIYLLDNITEASKHSVTIYLLLDIMYSFPNKTDTSIESFPTAFAISWGQVKLIQGFWLID
+HNDYESGLDLLFHPATAKPVSWQHSKIIEAFMSQGEHRQALRYIQTMKPTVSSGRDVILH
+LTVLLFNRCMVEAWTLLRQHSNRLNIEELLKHTYEVCQEMGLMEDLLKLPFTDTEQECLV
+RFLQSSASVQNHEFLLVHHLQRANYISALKLNQTLKINLMNDRDPRLRERSVTRNSIIDQ
+YGKILPRVQRKLAIERAKPYHLSASSVFREVSRPKPLSAVPKQAVTGTVLTRSTFINNVL
+SKIGEVWASNEPENSISVYNSPKVEEPSPVAYSLPDPELPEAFVGTPITKASQRISRLLD
+LVVHPVPQPSQCLELIQQSPTRSPWSLASSSLPVSSQLKGSRQNASRASELHLLETPLVV
+KKAKTLAMSVTSSGFAEFTPQSILRSGLRTTPLASPSLSPGRSLSPPLRLKETRISFMEE
+GVTTKWTAATVDDSKAKTFITASLHKCGAPAETEWLKNKDKTTSFPLDSPEEEHQEMDVR
+SQDTTSQSLEKLDVSVENSNTSTRSDQTTLEYQDAPLPEDFEDILIASKSVSSSTELVAN
+LTEQTEKNSDKDTFEPGVTPPEPEKQMGTLEDAEAKDGLVVEGVLSELNHLSPIQGVEAS
+LCVPSVREEELLSLKSTVPVLDEGLVSVEAYTSAVTAGNNKSTPEVPGDSGRSGPVTSES
+PVVSEHRLDQEVELNLKEDHEIEVDVLKASVDLPEEKTPISDDPPDTQEIHVIEHEKLEG
+QDSGQEARNLSFNELYPSGTLKLQYNFDTIEQQFCDLPDNKDSAECDIAEVDGEHFVAQS
+NFTLILEGEEGEVETDDSAASDVLAKAANAATEEKHVCSEESENHRHVADLPSVIMSDQK
+SQRVETLPYVPEPIKVAIAENLLDVIKDTRSKEITSDTMEQSIQENIPLISQKVKCSTKL
+ANFTTKTVQETSTETINVSEVNDMISSRTRKRGRVQNLNVKSAQQEEPAAVATPEMLGLS
+VTKKTRKTKEIAGALESASSDDKGVSHNQQIPQNAITPRRGRRKKDVNQDTLENANSVEQ
+ELQVTPGRELRRLKSSQLLEAATEESSFRKEVKLSSATKRTPRRTKSVENRESVEVINDL
+KVSKVAGPSRSTRKLRSTHLEASESVGYKQEGESAEQQLPIQRGKRVKKKEVSALDVTED
+SKLDSSQLTIQADFGTPATPRKRGRPRKINPSEDVESKAVTEAGSLKKREGLSIQRRSTR
+NTPAKSENTDVGKPTLEESVFMPNEEVAAVMSSKKQLTKRTENQSQKRSLRSIPEKCIDE
+ETAHTEPDAQEERLLATVALTKSSRSTRTRSTKAILLPDLSEPKNESLLFSPPVSKIPRK
+EKAKKIEAPAQLQELVSDLPSQFVSSPPALRTRRKNPLNTSKHTDGLEDDGQTTETVGKQ
+QVKRIRTAKTKQASKNTEKESWSPPPVEIKLISPLASPVDGVKSKPRKTAEVTGKTVGRS
+RKKLSSFPKQVLRRKML
+>tr|A0A3Q1M4X5|A0A3Q1M4X5_BOVIN Rap guanine nucleotide exchange factor 1 OS=Bos taurus OX=9913 GN=RAPGEF1 PE=4 SV=1
+MSGGLGLRRSPEMSGKIEKADSQRSHLSSFTMKLKDKFHSPKIKRTPSKKGKPAEVSVKI
+PEKPVNKEATDRFLPEGYPIPLDLEQQAVEFMSTSAVASRSQRQKNLSWLEEKEKEVVSA
+LRYFKTIVDKMAIDKKVLEMLPGSASKVLEAILPLVQSDPRIQHSSALSSCYSRVYQSLA
+NLIRWSDQVMLEGVNSEDKEMVTTVKGVIKAVLDGVKELVRLTIEKQGHPSPTSPVKPSS
+PACKPDSQSELPLTDREMEILNKTTSLSQSTEGLQDSMDEEVAPPKPPLPGIRVVDNSPP
+PALPPKKRQSAPSPTRVAVVAPMSRATSGSSLPVGINRQDFDVDCYAQRRLSGGSHSYSG
+ESPRLSPCSSIGKLSKSDEQLSSLDRDSGQCSRNTSCETLDHYDPDYEFLQQDLSNADQI
+PQQVACNLSPLPESLGESGSPFLGHPLQLPLGSCPQPDGSSAPGQQTDVPPALPEKKRRS
+AASQTLDSSGCRASYERHPSQYDNISEDDLQNPASVQSVPFTPFAAILPFQQGGSSASVD
+FMGDFTVSESTGDPEKPPPLPEKKNKHMLAYMQLLEDYSEPQPSMFYQTPQKEHVYQQKN
+KLLMEVYGFNDSFSTGDAAHDLAPPPALPPKQRQLYKSVFRSYSQDFVPHSQASVQPFLP
+STSSSSPHFPPVHQYQSSDLAVPPVASLPPSTLDGPLSSSQESSFHGNTVCLPSETSFTD
+SPQTPSSEHACEGDYVSLYCSGQSSEELARPRGESPAAKDGHSRDATSASCVPGKEGRDS
+GDRARKSPDAPEAQSEEEVDELSLIDHNEIMARLTLKQEGDDGPDVRGGSGDILLVHATE
+TDRKDLVLYCEAFLTTYRTFITPEELIQKLQYRYEKFSPFADTFKKRVSKNTFFVLVRVV
+DELCLVELTEEILKLLMELVFRLVRSGELSLARVLRKNILDKVDQKKLLRCANSDQPLAA
+RGVAARPGTLHDFHSHEIAEQLTLLDAELFYKIEIPEVLLWAKEQNEEKSPNLTQFTEHF
+NNMSYWVRSIIMLQEKAQDRERLLLKFIKIMKHLRKLNNFNSYLAILSALDSAPIRRLEW
+QKQTSEGLAEYCTLIDSSSSFRAYRAALSEVEPPCIPYLGLILQDLTFVHLGNPDYIDGK
+VNFSKRWQQFNILDSMRCFQQAHYDIRRNDDIINFFNDFSDHLAEEALWELSLKIKPRNI
+TRRKTDREEKT
+>tr|A0A3Q1LMS8|A0A3Q1LMS8_BOVIN DNA polymerase OS=Bos taurus OX=9913 GN=POLA1 PE=3 SV=1
+MAPLHGEDCEPAANAVSDSRSLVASRSRREKKSKKGRQQALERLKRAKAGEKYKYEVEDF
+TSVYEEVDEEQYSKLVQARQDDDWIVDDDGIGYVEDGREIFDDDLEDDALDSHEKGKDNK
+ACNKDKRTVKRAAVTKPNNIKSMFIASAGRKTVDKAVDLSKDDLLGDILQDLNTETPQIT
+PPPVIIPKKKRSSGASLNPFSVHTPKAAPSGKTTPPASRREPSLTPAPLTCAEFAGEPGP
+PLCTDDKEESGAMEFEDGDFDEPMEAEEVDVEPVAAKTLCQEREPAEEAKHKADSGKGTT
+SSSASFLPDVSCWDMDQEDDSSFSALEVQVDSSHLPLVKGADEEQVFQFYWLDAYEDPYS
+QPGVVFLFGKVWIESAETHVSCCVMVKNIERSLCFLPREMKVDLNTGKESGTPVTMKDVY
+DEFDEKIAAKYKIMKFKSKVVEKNYAFEIPDVPEKSEYLEVRYSAEMPQLPQDLKGETFS
+HVFGTNTSSLELFLMNRKIKGPCWLEVKNPQLLNQPISWCKVEAMVLKPDLVNVIKDVGP
+PPVVVMSLSMKTMQNAKTHENEIIAVSALVHHSFALDKAPPQPPFQSHFCVVSKPKDCIF
+PYDFKESIKEKNVKVEVAATERTLLGFFLAKVHKIDPDIIVGHNIYGFELEVLLQRINVC
+KVPFWSKIGRLKRSNMPKLGGRSGFGEKNATCGRMICDVEISAKELIRCKSYHLSELVQQ
+ILKTERIVIPIETIRNMYSDSSHLLYLLEHTWKDARFILQIMCELNVLPLALQITNIAGN
+IMSRTLMGGRSERNEFLLLHAFYENNYIVPDKQTFRKPQQKLGDEDEDIDGDTNKYKKGR
+KKAAYSGGLVLDPKVGFYDKFILLLDFNSLYPSIIQEFNICFTTVQRVASEAQKVVEDGE
+QEQIPELPDPSLEMGILPREIRKLVERRRQVKQLMKQQDLNPDLHLQYDIRQKALKLTAN
+SMYGCLGFSYSRFYAKPLAALVTYKGREILMHTKEMVQKMNLEVIYGDTDSIMINTNSTN
+LEEVFKLGNKVKSEVNKLYKLLEIDIDGIFKSLLLLKKKKYAALIVEPTSDGNYVTKQEV
+KGLDIVRRDWCDLAKDTGNFVIGQILSDQNRDTIVENIQKRLIEIGENVLNGSVPVSQFE
+INKALTKDPQDYPDKKSLPHVHVALWINSQGGRKVKAGDTVSYVICQDGSNLTAGQRAYA
+PEQLQKQDNLSIDTQYYLAQQIHPVVARICEPIDGIDAILIASWLGLDPTQFRVHHYHKD
+EENDALLGGPAHLTDEEKYKDCERFKCPCPTCGTENIYDSVLDGSGTDMEPSLYRCSNIN
+CKASPLTFMVQLSNKLIMDIRRCIKKYYEGWLICEEPTCRNRTRHLPLQFSRNGPLCQVC
+MKATLRLEEDVLLFLTLLVEKLLRSELAQSQKGEEQGSEPTASE
+>tr|A0A3Q1LRI2|A0A3Q1LRI2_BOVIN Coiled-coil domain containing 13 OS=Bos taurus OX=9913 GN=CCDC13 PE=4 SV=1
+MGSSGANTGKMAEDEGSQDTLRLQFRAMQEMQHKRLQKQMEKRKEKELSLQSRGDDQKEP
+LEISDGLSVLQAGEQNSKTSFQQRVLEDEIEQLRDQLRETVDENGRLYKLLKERDFEIKH
+LKKKIEEDRFAFTGTAGVAGDVIATKIVELSKKNRVLMAESEGAKTRVRQLSNRIQELEQ
+ELQIAQARLPAKGSTDAGAKPPRTQMGDRVLPENPEVKVLQDRLAATNLKMSDLRNQIQA
+VKQELRMAQKVLTSEVGEDVNIQQLLSSPGTWRGRAQQILVLQSKKLSAERDALQRELEE
+LKKKFEGMRSRNKVLSSEVKTLRSQMGTLVEKGRHDDELIDALMDQLKQLQEILGSLSLQ
+EEKTRVSQHRLDQQLNSEAQRSSSLVAQLRAMVAEREAKVQQLELEIGQLSVQYLRNKGV
+DEGSSGPEVSRASTKLPEDPGLTKPPASAGDHAGRLGSSRSVTSLGHTLVESSLTWPSLP
+SPHGASPRFLDSPEQKGWQTQVTEFKALWQAAEVERDRLTEFVTVLQKRVEERNSKLLES
+ERRLQEERHRAVVLEQHLEKMRLEPGRMSASQRAAPRSKTGLPASNTRHHPSESERKDPS
+SAQLSSVPMESQMEELTTRLAIQVEENEMLKAALGNALQGKEEDFRMYHETLDQVKGVFL
+QALRQQKADKH
+>tr|A0A3Q1M587|A0A3Q1M587_BOVIN Pre-mRNA processing factor 40 homolog B OS=Bos taurus OX=9913 GN=PRPF40B PE=4 SV=1
+MSVPDSGPRPPAAPAPFPPGPPMMPPPFMPPPGIPPPFPPMGLPPMSQRPPAIPPMPPGI
+MPPMLPPMGAPPPLTQIPGMVPPMMPGMLMPAVPVTAATAPGADTASSAVAGTGPPRALW
+SEHVAPDGRIYYYNADDKQSVWEKPSVLKSKAELLLSQCPWKEYKSDTGKPYYYNNQSKE
+SRWTRPKDLDDLEALVKQEAAGKQQQPQALQPQPPQPQPDPPPVPPGPTLLPTGLLEPEP
+GGSEDCAVSEAAQPLEQGFLQQPEEGPSSSAGQHQPPQQEEEESKPEPERSGLSWSNREK
+AKQAFKELLRDKAVPSNASWEQAMKMVVTDPRYSALPKLSEKKQAFNAYKAQREKEEKEE
+ARLRAKEAKQTLQHFLEQHERMTSTTRYRRAEQTFGELEVWAVVPERDRKEVYDDVLFFL
+AKKEKEQAKQLRRRNIQALKSILDGMSSVNFQTTWSQAQQYLMDNPSFAQDHQLQNMDKE
+DALICFEEHIRALEREEEEERERARLRERRQQRKNREAFQTFLDELHETGQLHSMSTWME
+LYPAVSTDIRFANMLGQPGSTPLDLFKFYVEELKARFHDEKKIIKDILKDRGFCVEVNTA
+FEDFAHVISFDKRAAALDAGNIKLTFNSLLEKAEAREREREKEEARRLRRREAAFRSMLR
+QAVPALELGTAWEEVRERFVCDSAFEQITLESERIRLFREFLQVLETECQHLHSKGRKHG
+RKGKKHHRKRSHSPSGSESGDEELPPPSLRPPKRRRRNPSESGSEPSSSLDSVESGGAAL
+GGRGSPSSRLLLGSDHGLRKAKKPKKKTKKRRHKSNSPESETDPEEKAAKESDEKEPEQD
+KDRDLRRAELPNRSPAFGVKKEKTGWDTSESELSEGELERRRRTLLQQLDDHQ
+>tr|A0A3Q1M3G8|A0A3Q1M3G8_BOVIN Rho guanine nucleotide exchange factor 7 OS=Bos taurus OX=9913 GN=ARHGEF7 PE=4 SV=1
+MNSAEQTVTWLITLGVLESPKKTISDPEGFLQASLKDGVVLCRLLERLLPGTIEKVYPEP
+RSEGECLSNIREFLRGCGASLRLETFDANDLYQGQNFNKVLSSLVTLNKVTADIGLGSDS
+VCARPSSHRIKSFDSLGPQPAHGRTSQLFQGQYRSLDMTDNSNNQLVVRAKFNFQQTNED
+ELSFAKGDVIHVTRVEEGGWWEGTHNGRTGWFPSNYVREVKPSEKPVSPKSGTLKSPPKG
+FDTTAINKSYYNVVLQNILETENEYSKELQTVLSTYLRPLQTSEKLSSANTSHLMGNLEE
+ICSFQQMLVQSLEECTKMPEAQQRVGGCFLSLMPQMKTLYLTYCANHPSAVSVLTEHSEE
+LGEFMELKGASSPGILVLTTGLSKPFMRLDKYPALLKELERHMEDYHPDRQDIQKSMTAF
+KNLSAQCQEVRKRKELELQILTEAIRSWEGEDIKTLGSVLYMSQVLIQCAGSEEKNERYL
+LLFPNILLMLSASSRMSGFIYQGKLPTTGMTITKLEDSENHRNAFEISGSMIERILVSCS
+NQQDLHEWVDRLQKQTKAASAGNPSSKPHSVPSHTLPSHSITPSSKHADSKPAPLTPAYH
+TLPHPSHHGTPHTTINWGPLEPPKTPKPWSLSCLRPAPPLRPSAALCYKEDLSKSPKTMK
+KLLPKRKPERKPSDEEFALRKSSRKESAPQVLLPEEEKIIVEETKSNGQTVIEEKSLVDT
+VYALKDEVQELRQDNKKMKKSLEEEQRARKDLEKLVRKVLKNMNDPAWDETNL
+>tr|A0A3Q1N747|A0A3Q1N747_BOVIN Phospholipid-transporting ATPase OS=Bos taurus OX=9913 GN=LOC112441535 PE=3 SV=1
+FSQRPQLCTSCLIHSRENENALHILGKLYTLWNFLPKNLFEQFRRIANFYFLIIFLYSPI
+TSGLPLFFVITVTAIKQGYEDWLRHRADNEVNKSTVYIIENAKRVKKESEKIKVGDIVEV
+QADETFPCDLILLSSCTVDGTCYVTTASLDGESNCKTHYAVRDTIELRTVESIDNLRAAI
+ECEQPQPDLYNCVHFLFRSLGPENLLLKGATLKNTKKIYGVAVYTGMETKMALNYQGKSQ
+KRSAVEKSINAFLIVYLFILLTKAAICTTLKYVWQSTPHNDEPWYNQKTQKERETWKVLK
+MFTDFLSFMVLFNFIIPVSMYVTVEMQKFLGSFFISWDNDFYDEEINEGALVNTSDLNEE
+LGQVDYVFTDKTGTLTENTMEFIECCIDGHKYKGVAQETDGLSQTDGPLPYLDRADKNRE
+ELFLRALCLCHTVEVKPNDTVDGVTESSELTYMSSSPDEIALVKGAKKYELLHTLNFDAV
+RRRMSVIEIYFSFVKGADSAVFPRVQNHEIDLIKVHVEHNAMEGYRTLCIAFKEIAPDDY
+ERVNRQLIEAKMALQDREEKMEKVFDEIETDMHLIGATAVEDKLQDQAAETIEALHAAGL
+KVWVLTGDKMETAKSTCYACRLFQTNTELLELTTKTIERKAWTEHQEYGLIIDGSTLSLI
+LNSSQDSGSNNYKSIFLQICMKCTAVLCCRMAPLQKAQIVRMVKNLKGSPITLSIGDGAN
+DVSMILESHVGIGIKGKEGRQASRNSDYAVPKFKHLKKLLLAHGHLYYVRIAHLVQYFFY
+KNLCFILPQFLYQFFCGFSQQPLYDAAYLTMYNICFTSLPILAYSLLEQHINIDTLTSDP
+RLYMKISGNAMLQLGPFLYWTFLAAFEGTVFFFGTYFLFQTTSLDENGKVKKNYVFCCYK
+HGLIFFHRHWVYHTHTIAVIILQIIS
+>tr|F1MBS6|F1MBS6_BOVIN SUMO specific peptidase 5 OS=Bos taurus OX=9913 GN=SENP5 PE=4 SV=2
+MKKQRKILWRKGIHLAFSEKWNTGFGGFKKFYFHQHLCILKAKLGRPVTWSRHLRQFQCR
+KKGLQIQKTWIQDEPLFAKTKGNVAIQNLCTSASKVKRKDTKHFISSSRTFLKLQAEKLL
+SSAKNSDHEYSGEKSLLKAAADLPVNSVLGQANGHRPRTEPQASDFPMKFNGESQNPGES
+DRIVVTLSNHKRKRFCYGCYPGLEHHRNGGPLIPKKFQLNQHRRIKVSPHMMYEKLPMIR
+FRYRILRSQHFRTKSKVCKLKKARQSWVQVTGDHQETLGENGEGGSCSPFPSPEPKDPSC
+QHPPHFPDMDSDAVVKGKNSHVPEGHTKGSPLLDKELSLDGAFPDQQNGSATYTWDQSPC
+SSPKWEYTELIHDIPLPEHHSSNMFILETEREVTALGQENRTSTVSDDQVKLSVSGADQS
+VRSVDGPVSEETVQNESSCQMDEDGSFKQNILSSKLLDHPYCKSPLEAPLMCSGLKLENQ
+VGGGKNSQKTSPVDDEQLSVCLSGFLDEVMKKYGSLVPLSEKDVLGRLKDVFNEDFSNRK
+PFINREITNYRARHQKCNFRIFYNKHMLDMDDLATLDGQNWLNDQVINMYGELIMDAVPD
+KVHFFNSFFHRQLVTKGYNGVKRWTKKVDLFKKSLLLIPIHLEVHWSLITVTLSNRIISF
+YDSQGIHFKFCVENIRKYLLTEAREKNRPEFLQGWQTAVTKCIPQQKNDSDCGVFVLQYC
+KCLALEQPFQFSQEDMPRVRKRIYKELCECRLMD
+>tr|A0A3Q1LYH7|A0A3Q1LYH7_BOVIN Protein 4.1 OS=Bos taurus OX=9913 GN=EPB41 PE=4 SV=1
+MVRNPATGTLWLMYFGVLCECLFFFLQTWLDSAKEIKKQVRGVPWNFTFNVKFYPPDPAQ
+LTEDITRYYLCLQLRQDIVSGRLPCSFATLALLGSYTIQSELGDYDPELHGADYVSDFKL
+APNQTKELEEKVMELHKSYRSMTPAQADLEFLENAKKLSMYGVDLHKAKDLEGVDIILGV
+CSSGLLVYKEKLRINRFPWPKVLKISYKRSSFFIKIRPGEQEQYESTIGFKLPSYRAAKK
+LWKVCVEHHTFFRLTSTDTIPKSKFLALGSKFRYSGRTQAQTRQASALIDRPAPHFERTA
+SKRASRSLDGAAAVEPADRTPRPTSAPAIAPSPAAEGGVPGAPVKKAQKETVQVEVKQEE
+APPEDAEPEPSEAWKVEKTHIEVTVPTPNGDQTQKLAEKPEDLIRMRKKKRERLDGENIY
+IRHSNLMLEDLDKSQEEIKKHHASISELKKNFMESVPEPRPSEWDKRLSTHSPFRTLNIN
+GQIPTGEGVKKTSVLPSERKPPLVKTQTVTISDTANAVKSEIPTKDVPIVHTETKTITYE
+AAQTDDSNGDLDPGVLLTAQTITSETTSSTTTTQITKTVKGGISETRIEKRIVITGDADI
+DHDQVLVQAIKEAKEQHPDMSVTKVVVHQETEISEE
+>tr|A0A3Q1N9K5|A0A3Q1N9K5_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MGIPDHLTCLLRNLYAGQEATVRTGHGTTDWFQTGKGVCQGCILSPCLFNLYAEYIMRNA
+GLEKAQAGIKIAGRNTDNTRYADDTTLMAESEEELKSLLMKVKEESEKVGLKLNIQKTKI
+MATGPITSWEIDGEIVERVADFIFLGSKITADGDCSHEIKRHLLLGRKVMTNLDSIFKSR
+DITLPTKVRLVKAMVFPVVMYGCESWIVKKAERRRIDAFELWCWRRLLRVPWTARRSNQS
+ILKEISPGCSLEGMTLKLKFQYFGHLTRRVDSMEKPLMLGGIGGRRRRGRQRMRWLDGIT
+NLTDVSLSELRVMVMDREAWHAAIHGVAKSRTRLSD
+>tr|A0A3Q1MEK2|A0A3Q1MEK2_BOVIN Lipocln_cytosolic_FA-bd_dom domain-containing protein OS=Bos taurus OX=9913 GN=BDA20 PE=3 SV=1
+VFLTLLFGLVCAAQETPPEIDPSKIPGEWRIIYAAADNKDKIVEGGPLRNYYRRIECIND
+CESLSITFYLKDQGTCLLLTEVAKRQEGYVYVLEFYGTNTLEVIHVSENMLVTYVENYDG
+ERITKMTEGLAKGTSFTPEELEKYQQLNSERGVPNENIENLIKTDNCPP
+>tr|F1MWP9|F1MWP9_BOVIN Carnosine dipeptidase 1 OS=Bos taurus OX=9913 GN=CNDP1 PE=4 SV=3
+MAPAVAHSQAESLLAFRLLLVGGMFSASTPPPGPLEKVFQYIDLHQDEFVQTLKEWVAVE
+SDSVQPVPRLRRELLRMAGLAADRLRGLGARVASVDAGFQQLSDGQTLPIPPILLAELGS
+DPKKPTVCFYGHLDVQPARQEDGWLTDPYTLTEVDGKLYGRGTTDNKGPVLAWINAVSAF
+KALDEDLPVNIKFLIEGMEESGSLALEELVRKEKSGFFSSVDCIVISDNLWISRRKPALI
+YGTRGNSYFTVEVKCRDQDFHSGTFGGILNEPMADLVALLGSLVDASGRILVPGIYGHVA
+PVTEEEKRVYEAIDLDVEEYRNSSQVKKFLFDTKEELLMHLWRYPSLSIHGIEGAFHEPG
+AKTVIPGRVIGKFSIRLVPHMDMSVVETQVKQHLEYIFSKRNSSNQMTVSMALGLHPWIA
+NISDHQYLAAKRAIKTVFGTEPDMIRDGSTIPIAKILQDTTQKSVIMLPLGAVDDGEHSQ
+NEKINRWNYIEGSKLFAAFFLEMAKLHSSW
+>tr|A0A3Q1M5V7|A0A3Q1M5V7_BOVIN F-box protein 5 OS=Bos taurus OX=9913 GN=FBXO5 PE=4 SV=1
+MSRRPCSCSLRPLSGSCRCSYGTLTAAGRPCPSDGCKEESSTLSVKMKCDFNYNHVHSGI
+KPVKPDDSRRKGSYTTAYLEGSYKDCIKDYDRVSDVGSPVVSPRIVELEPESKPLHNKEN
+QHIQQTLDSSNNIQELETSGCYEDSGYSSFSQRSGLSEHEDSSLALVESFNDSPQCCLLQ
+TQSPDQYPNKNLLPALHFEKVVCSTLKKNCKRNPKIDWEKLKEFISSGNFRLQNIIGRKM
+GLECVDILSELFRRGLKHLLANILTQLSEMDLINVSKVSTTWKKILEDDKVALQLYNKAI
+QRITEKNIKFSPHASTREYVLFRTPLASVQKSATQTLPKKDARTKLPDPGDQKHSTYSRH
+SEFSEVAKTLKKNESLKACIRCNSPAKYDCYLQRATCKRESCGFDYCTKCLCTYHTTEDC
+SNGKPLKASYKMGPVPGTKKSKKNLRRL
+>tr|A0A3Q1MID4|A0A3Q1MID4_BOVIN 40S ribosomal protein S5 OS=Bos taurus OX=9913 GN=RPS5 PE=3 SV=1
+MTEWETAAPAVAETPDIKLFGKWSTDDVQINDISLQDYIAVKEKYAKYLPHSAGRYAAKR
+FRKAQCPIVERLTNSMMMHGRNNGKKLMTVRIVKHAFEIIHLLTGENPLQVLVNAIINSG
+PREDSTRIGRAGTVRRQAVDVSPLRRVNQGSSNSYAIKKKDELERVAKSNR
+>tr|A0A3Q1MI29|A0A3Q1MI29_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+VTLICSKMNPLWTLLFVLSAPRGVLSQVQLRESGPSLVKPSQTLSLTCTVSGFSLSSNGV
+VWVRQAPGKALEWLGGICSGGSTSFNPALKSRLSITKDNSKSQVSLSVSSVTPEDTATYY
+CARDTVRGNQCEPRQKPPCMGARDHQGARSTHSELSPGAGAEEALGGGGPGGDSPQSFSF
+LLLPRSCASDPLLCPGNSLCFMPLSENCVYIYFNFSLKQG
+>tr|A0A3Q1MPD4|A0A3Q1MPD4_BOVIN Leucine-rich repeat-containing protein 7 OS=Bos taurus OX=9913 GN=LRRC7 PE=4 SV=1
+MMENLIRGRNPPQYQRSPCKEVRAALRKRPEEELQCLEMTTKRKIIGRLVPCRCFRGEEE
+IISVLDYSHCSLQQVPKEVFNFERTLEELYLDANQIEELPKQLFNCQALKKLSIPDNDLS
+NLPTTIASLVNLKELDISKNGVQEFPENIKCCKCLTIIEASVNPISKLPDGFTQLLNLTQ
+LYLNDAFLEFLPANFGRLAKLRILELRENHLKTLPKSMHKLAQLERLDLGNNEFSELPEV
+LDQIQNLRELWMDNNALQVLPGSIGKLKMLVYLDMSKNRIETVDMDISGCEALEDLLLSS
+NMLQQLPDSIGLLKKLTTLKVDDNQLTILPNTIGNLSLLEEFDCSCNELESLPSTIGYLH
+SLRTLAVDENFLPELPREIGSCKNVTVMSLRSNKLEFLPEEIGQMQKLRVLNLSDNRLKN
+LPFSFTKLKELAALWLSDNQSKALIPLQTEAHPETKQRVLTNYMFPQQPRGDEDFQSDSD
+SFNPTLWEEQRQQRMTVAFEFEEKKEDDENAGKVKDHSCQAPWERGQRGITLQPARLSGD
+CCTPWARCDQQIQEMPVPQNDPQLAWGCISGLQQERSMCTPLPVAAQSTTLPSLSGRQVE
+INLKRYPTPYPEDLKNMVKSVQNLVGKPSHGVRVENSNPTANTEQTVKEKYEHKWPVAPK
+EITVEDSFVHPANEMRIGELHPSLAETPLYPPKLVLLGKDKKESTDESEVDKTHCLNNSV
+SSGTYSDYSPSQASSGSSNTRVKVGSLQTTAKDAVHNSLWGNRIAQSFPQPLDAKPLLSQ
+REAVPTGNLPQRPDRLPISDAFTDNWTDGSHYDNTGFVAEETTGENANNNPLLSSKARST
+SSHGRRPLIRQDRIVGVPLELEQSTHRHTPETEVPPSNPWQNWTRTPSPFEDRTAFPSKL
+ETTPTTSPLPERKEHIKESTEIPSPFSPGVPWEYHDSNPNRSLSNVFSQIHCRPDSSKGV
+ISISKSTERLSPLMKDIKSNKFKKSQSIDEIDIGTYKVYNIPLENYATGSDHLGSHERPD
+KMLGPEHGMSSMSRSQSVPMLDDEMLTYGSSKGPQQQKASMTKKVYQFDQSFNPQGAVEV
+KAEKRIPPPFQHNSEYVQQPGKNIAKDLVSPRAYRGYPPVEQMFSFSQPSVNEDAVVNAQ
+FASQGARAGFLRRADSLASSTEMAMFRRVSEPHELTPSDRYGRPPYRGGLDRQSSVSVTE
+SQFLKRNGRYEDEHPSYQEVKAQAGSFPVKNLTQRRPLSARSYSTESYGASQTRPVSARP
+TMAALLEKIPSDYNLGNYGDKPSDNSDIKTRPTPVKGEESCGKMPADWRQQLLRHIEARR
+LDRNAAYKHNTVNLGMLPYGGISAMHAGRSMTLNLQTKSKFDLQELPLQKAGSHIQTLMG
+SQSLQHRSREQQPYEGNVNKVTIQQFQSPLPIQIPSSQATRGPQPGRCLIQTKGQRSMDG
+YPEQFCVRIEKNPGLGFSISGGISGQGNPFKPSDKGIFVTRVQPDGPASNLLQPGDKILQ
+ANGHSFVHMEHEKAVLLLKSFQNTVDLVIQRELTV
+>tr|A0A3Q1NBX6|A0A3Q1NBX6_BOVIN RIC1 homolog, RAB6A GEF complex partner 1 OS=Bos taurus OX=9913 GN=RIC1 PE=4 SV=1
+MYFLSGWPKRLLCPLGSPAEAPFHVQSDPQRTFFAVLAPARLSIWYSRPSVLIVTYKEPA
+KSSSQFGSYKQAEWRPDSTMIAVSTANGYILFFHITSTRGDKYLYEPVYPKGSPQMKGTP
+HFKEEQCAPALNLEMKKILDLQAPIMSLQSVLEDLLVATSDGLLHLIHWEGMTNGRKAIN
+LCTVPFSVDLQSSRGTGSFLGFADVHIRDMEYCATLDGFAVVFNDGKVGFITPVSSRFTA
+EQLHGVWPQDVVDGTCVAVNNKYRLMAFGCASGSVQVYTIDNTTGAMQLSHKLELTAKQY
+PDIWNKTGAVKLVRWSPDNSVVIVTWEYGGLSLWSVFGAQLICTLGGDFAYRSDGTKKDP
+LKINSMSWGAEGYHLWVISGFGSQNTENESDSKSIVKQPGILLFQFIKSALTVNPCMSNQ
+EQVLLQGEDRLYLNCGEASQSQNPRSSSAHSDHRTQSQSLSTLLGHRHWHVVQISSTYLE
+SNWPIRFSAIDKLGQNIAVVGKFGFAHYSLLTKKWKLFGNITQEQNMIVTGGLAWWNDFI
+VLACYNISDRQEELRVYLRTSNLDNAFAHVTKTQAETLLLSVFRDMVIVFRADCSICLYS
+IERKSDGPNAAGIQVLQEVSMSRYIPHPFLVVSVTLTSVSTENGITLKMPQQARDAESIM
+LNLAGQLIMMQRDRSGPQIREKDSNPNQRKLLPFCPPVVLAQSVENVWTTCRANKQKRHL
+LEALWLSCGGAGMKVWLPLFPRDHRKPHSFLSQRIMLPFHINIYPLAVLFEDALVLGAVN
+DTLLYDSLYSRNSAREQLEVLFPFCVVERTSQIYLHHILRQLLVRNLGEQALLLAQSCAT
+LPYFPHVLELMLHEVLEEEATSREPIPDPLLPTVAKFITEFPLFLQTVVHCARKTEYALW
+NYLFAAVGNPKDLFEECLMAQDLDTAASYLIILQNMEVPAVSRQHATLLFNTALEQGKWD
+LCRHMIRFLKAIGSGESETPPSTPTTQEPSSSGGGFEFFRNRSISLSQSADSVPASKFSL
+QKTLSMPSGPSGKSKDSDCAENMYIDMMLWRHARRLLEEVRLKDLGCFAAQLGFELISWL
+CKERARAARVDNFVLALKRLHKDFLWPLPIIPASSVSSPFKNGKYRTVGEQLLKSQSTDP
+FLNLEIDAGVSNVQRSQSWLGNIGPAHQEIDTASSHGPQMQDAFLSPLSNKGDECSIGSA
+TDLTESSSMVDGDWTMVDENFSTLSLTQSELEHISMELASKGPHKSQVQLRYLLHIFMEA
+GCLDWCIVIGLILRESSIINQILVIIQSSEVDGEMLQNIKTGLHAVDRWASTDCPGYKPF
+LNIIKPHLQKLSEITEEQVQPEAFQPMTVGKTPEQTSPRAEENRSSLGHGSIPQGEVGGS
+SLVSRKEEDTTRAEEEEPFQDGTYDCSVS
+>tr|G3MXX9|G3MXX9_BOVIN Sphingosine-1-phosphate phosphatase 2 OS=Bos taurus OX=9913 GN=SGPP2 PE=4 SV=2
+MAELLWSLQDSQLVARFQRRCGLFPALDEGPGENGAGPADGEAQTPELGHLPAAKGKGAG
+EPANGLRRLAAPQAYVQKYVVKNYFYYYLFRFSAALGQEVFYITFLPFTHWNIDPYLSRR
+LTIIWVLVMYIGQVTKEILKWPRPFSPPVVKLEKRVMAEYGMPSTHAMAATAISFTLLIS
+TMDRYQYPFVLGLMMAVVFSTLVGLSRLYTGMHTVLDVLGGILITAILIVLTYPAWTLID
+RLDSASPLLPVCVLVVPFFLCYNYPVSDYYSPTRADTTTIMAAGAGVTIGFWINHFFQLV
+SEPMESLPVIQNIPPLTTDLLVLGLAKFTVGIVLILLVRQLVQKLSLQVLYSWFKVVTRN
+KEARRRLEIEVPYKFVTYTSVGICAITFVPMLHRFLGLL
+>tr|F1MZJ8|F1MZJ8_BOVIN Prostaglandin E2 receptor EP4 subtype OS=Bos taurus OX=9913 GN=PTGER4 PE=3 SV=1
+MTAPGTNASSSQASNPLNSPVTIPAVMFIFGVVGNLVAIVVLCKSRKEQKETTFYTLVCG
+LAVTDLLGTLLVSPVTIATYLKGQWPGGHALCEYSTFILLFFGLSGLSIICAMSIERYLA
+INHAYFYSHYVDKRLAGLTLFAVYASNVLFCALPSMGLGSSRLQYPATWCFIDWTTNVTA
+HAAFSYMYAGFSSFLILATVLCNVLVCGALLRMHRQFMRRTSLGTEQQHHAAAAAVALAP
+TACRAPPAASSPALPRLSDFRRRRSFRRIAGAEIQMVILLIATSLVVLICSIPLVVRVFV
+NQLYRPQLEPVISKNPDLQAIRIASVNPILDPWIYILLRKTVLSKAIEKIKCLFCRIGGS
+RRERSGPHCSDSRRTSSAVSGHSRSFLSRELKEISSTSQTLLYTPELSENGLGGGRNLLP
+GVPGVGLTQIDSTSLRTLRISETSDSSQGQDSESFLLVDEVGGSCRAGPAPKGSSLQVTF
+PSETLNLSEKCI
+>tr|A0A3Q1MB60|A0A3Q1MB60_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MLLQDFATNLLLQDPHTDVLLAADILASHRSSSQMEYASGDTPASQVPYDLKVSGPFASV
+DEGEICERPKPEDDEEMHSQSRMLAPTDERKGNWRPKLGKYEERNSGRRASHTRVMSQSP
+QARETESLGVKYLQFSPEKEQLLPESYLKKRMRHFLQCLGANKKDKQIEETFQKGRPASA
+AAQCQEQIKDKFIVDGRIIDPEMIGTVVGQVLAEKLGFQQGTHASEVNHHREQLQALVGG
+HSHHHRTLCSSEQIKMLKTSAHSHQANPKDRSHLSKSRHTRDRGDRRTFSPREPESTVRP
+CQHGPGDLHHCSICWLQKCVSSGQQECAPHASPGRKMFVQEKIQYLQRKPVFSHVNTSSM
+C
+>tr|A0A3Q1M420|A0A3Q1M420_BOVIN SMC5-SMC6 complex localization factor 2 OS=Bos taurus OX=9913 GN=SLF2 PE=4 SV=1
+MTRRCMPARPGFPSSPAPGSSPPRCHLRPGSTVPAAAGKKTERPGDRKQSIIDFFKPASK
+QDRRMLDSPQKSNIKYGESGLSISGTEQFERKLSSPKSSKPKRVPSEKSPVLEAFIKGVK
+EHHRDRGVHESRQPCVSLDTKYSKAVTKYVPPSYLLSKETKKKHQSPEGRKSHFIHENSR
+EKNDGNRGRISADSKKQATVTEADIFKNSSRSLSSRSSLSRHHPGESPLGAKFQLSLASY
+CRERELKRLRREQMEQRINSENSFSEASNLSLKSSSIERKCKPRQELSKQNDVTAGNSNL
+SNLENGHLSRKRSSSDSWGPTSAGSKQNKFPDKRKRNSVDSDLKSTRESIMPKAKESFLE
+KRPDGPHQKEKFIKHIALKTPGDVLRLEDISKDPSEEADCSSAGLTPTNSGHQIRGSDQN
+RVASTKETKIPKPHLSLPQEKSAVKKASNLQKNKTASSVASQETKLLPLLSHVPSAGSSR
+VPLNAKNCTLPVPKKDKERSSPKECSGHSTESSKHKEHKAKTNKADSNISSGKISEGSLR
+SEYGTPTKSPPAALEVVPCIPSPSAPSDKAPSDKESSGNSNAGSSALKRKLRGDFDSDEE
+SLGYNLESDEEEETLKSLEEIMALNFNQTPTATGKPPALSKGLRSQSSDYTEHAHSGTYT
+NTLERLVKEMEDTHRLDELQKQLQEDIRQGRGIKSPIRIGDHDSTDDEDGLLEEHKEFLK
+KFSITIDAIPDHHPGEEIFNFLNSGKIFSQYTLDLRDSGFIGQSAVEKLILKSGKTDQIF
+LTTQGFLTSAYHYVQCPVPVLKWLFRMMSVHTDCIVSMQILSTLMEITIRNDTFSDSPIW
+PWIPSLSDIAAVFFNMGIDFRSLFPLENLQPDFNEDNLVSETQMTLGVKENEDSSYKPIF
+SSLPETNILNVVKFLGLCTSIHPEGYQDREIMLLILMLFKMSLEKELKQIPLVDFQSLLI
+NLMKNIRDWNTKVPELSLAINELSTHPHNLLWLVQLVPNWTSRGRQLRQCLSLVIISKLL
+DEKREDIPNVNNLQISVLHRYLVQMKPSDLLKKMVLKKRAEQPNSTIDDSLHLELEKQAY
+YLTYILLHLIGEVSCSHSFSSGQRKHFVHLCGALEKHVKCDIREDARLFYRTKVKDLVAR
+IHGKWQEIIQNCRPTQGQLHDFWVPDS
+>tr|F1N2Q8|F1N2Q8_BOVIN SZT2, KICSTOR complex subunit OS=Bos taurus OX=9913 GN=SZT2 PE=4 SV=3
+MVLELPIQNEPPGQAAAEERHTCVVQYILFPPHSTSTKDSFSTDDDNDVEVEALEGDSEL
+NLVTEVWVEPQHGRVGPGPESWRHLQDLTYCEIPRALHPRDAACIGSMLSFEYLIQLCQS
+KEWSPLPLEPRVSAGLDQGRDACVHEIPFRFDLMGLLPQCQQLQMFFLLLSREPEGVPPA
+EGPCPANDMVLCLLHGCLGQELSDRELPLPAADQAAFLREVLRRTRHSPGPEGPPVGDPG
+ILKDQSPGPPETPGPVSPAQPPQWRCYARLVTPQHVFLTFLPATFSDVLHLASYGLEGPP
+QEEMRPKFEDWNGAPSPKDLAGTGVTVTKSQVPTLSVTPASDSAQNPGELSPPFRQDVPA
+YPGRQASQTEGADGPRTRCPVYIYSCSLEALREQMIGMQPPQPPRDLVFRTQFLDQPSLS
+SAWMEPRHKGAASHCALLQEHAQRCYVRGLFRSLQQAQSVTSQDLLIAVDACEELLQEID
+ITPFLLALCGHTRGLPHAPPSPGPLSPGLFSSSMEEGPEPRERAVLASESSIETEDLSEP
+EFQSTRVPGHPDPGLEISLTDVCQLRGEAHDALHSLIQEKFLEISSLHFRTVPSNPHYFF
+YCPPSSRREDEGPRDTVDRKVSDLEFSEAELMGEEGDASACCVVTESDPELEVEYRESRE
+PDLGPAGLDCASLSDADTVNPDEDSFSILGGDSPTGPESLAHDLPPLFLHLTCSVRLRGQ
+HSSVPVCSLPTCLGQVLSSLEGPPIGGRVALRDLSVTLDVFVLTLPLEVELPPTSDPQHH
+RSTSESSASFPRSPGQPSDDGLGPPLPPPGEDRHPGLSNLATPHRLAVETTMSEIRWLLE
+DEMVGALRRGGIPQSPALHRAAAHIHSSPGRSTCLRQTLPLSFVFGPERSLTQFKEEFRR
+LHLPGHVLLEDPDSGFFFVAVGQQPGGSHRELGTSPAWAWRSQEDRAEGLEGEALTASPQ
+APGSPEDSQSPLLISLPNLPQGGSQPGPSRGLSLMSSQGSVDSDHLGYDGGSSGSDSEGP
+SETPGEKPPFMLRTPPGPPPPQPSLVGLPGPCLPDFWLIVRVLQDRVEVYAHARSLIRED
+GGPGTECRHLQQLLVRRVGEICREVNQRLLLQDLHDSHVCNSLLVAESEEDLWRSETPFH
+SRQRVPLPSDDYAADESCGPRGYLAATMQFVPGHFSCDVVWGTVIRVHSRLKMGPSMGVS
+RAIQALRSVLNAFSVVNRKNMFVYQERATKAVYYLRLLETSCSERPWDSDALPPSLALSR
+SQEPICSEEASGPRSPLDMASSRSSDAARPVGQVDRHIQLLVHGVGQAGPEITDELVRVL
+RRRLDEATLDVITVMLVRNCKLTPADVEFIQPPGSLPSEVLQLGLPPCCQPWLPALAWYL
+RQNLLIFLHSPKYTDSNSRNHFQHPLPPQGGLPDLDIYLYNKPGGQGTGGKGTKSQRVAC
+ITLAFVDEEGAPISLASWPTSSPGPPDPLQEEEFEQLTQVTRCPVLPGSSSAPSGAPRLR
+LDVWEKGNISIVQLEEKLRTAARQALADAIMELWLLPAPLCTEDTSAGSLRSGSLDTKSP
+AGRASTFPPSPGPGEPVTPPSKAGRRSFWDMLSKTECGDLGSPKTTDDIVLDRPEDTRGR
+RRHKTESVRTPGGTERAAGPESGAQRQRRRTTQLEEGEAGTLQPVFARVAQHWMEFMVQI
+GCASVSRSSTHMVSRFLLPSVLSEFTTLVTSMAGDTSVRIFEQHLTPEPELFSPCSLGQL
+GPPPRPAAERHLLLLGRNFSQWRRPTQQAAKAVQRFEPGGDGSMGRNAPRQRFLLLEVVD
+KKLQLLTYNWAPDLGAALGRALVRLVQWQNARAHLIFCLLSQKLGLFHHYGQLDFPVRDE
+KEPNPFLLPTVEAETLIRSASPPLSREQGRLSGSSRGGGPLPLDTFPFDEALRDITAARP
+TSSLGPVPRPPDPVTYHGQQFLEIKMAERRELERQMKMENLFVTWQQRSAPASMPISAGE
+LETLKQSSRLVHYCATALLFDPAAWLHGPPETSGPLEGQRRHRPESASGSRESPANCDSS
+DGPPLGAREEPWLKELSLAFLQQYVQYLQSMGFVLVPLRPPSPARSTSRLRAMAILGTEG
+RGSFSCPKTKAEGSPKSTGSPVTTYHLQRALPGGIILMELAFQGCYFCVKQFALECSRIP
+MGQAVNSQLSMLFTEECDRVRDLMHVHSFSYDFHLRLVHQHVLGAHLALRHGYQLTTFLR
+HFLAHHPDGPHFGRNHIYQGTLELPTPLIAAHQLYNYVADHASSYHMKPLRMARPGGPEH
+NEYALVSAWHSSGSYLDSEGLRHQDDFDVSLLVCHCAAPFEEQGEAERHVLRLQFFVVLT
+SQRELFPRLTVDMRRLRKPPRLTPEPEAPGSSASSPGEASGANLAPGPAPLFPPLAAEVG
+EARARLAQLVRLAGGHCRRDTLWKRLFLLEPPGPDRLRLGGRLALAELEELLEAVHAKSI
+GDIDPQLDCFLSMTVSWYQSLIKVLLSRFPQSCRHFQSPDLGTQYLVVLNQKFTDCFVLV
+FLDSHLGKTSLTVVFREPFPVQPQDSESPPAQLVSTYHHLESVINTACFTLWTRLL
+>tr|E1BGQ9|E1BGQ9_BOVIN Ubiquitin carboxyl-terminal hydrolase OS=Bos taurus OX=9913 GN=USP49 PE=3 SV=1
+MDRCKHVGRLRLAQDHSILNPQKWYCRECATTESVWACLKCSHVACGRYIEDHALKHFEE
+TRHPLAMEVRDLYVFCYLCKDYVLNDNPEGDLKLLRSSLLAVRGQTQDPPLRRGRTLRSM
+AAGEDAVPPQRAPQGQPQMLTALWYRRQRLLARTLRLWFEKTSRGQAKLEQQRREEALER
+KKEAARQRRREVKRRLLEELASAPPRKSARLLLHTPRTAPLRVPAPRAPAGPRPAPRRAP
+AMAPGVTGLRNLGNTCYMNSILQVLSHLRKFRECFLNLDPSKTEQLFPRAANGKAPLSGR
+PASSSATELSSTRSDGAEACEREGLCLNGGTSLSRSLELIQNKEPSSKHISLCHELHTLF
+RVMWSGKWALVSPFAMLHSVWSLIPAFRGYDQQDAQEFLCELLHKVQQELESEGTTRRIL
+IPFSQRKLTKQVLKVVNTIFHGQLLSQVTCVSCNYKSNTIEPFWDLSLEFPERYHCIEKG
+FVPLNQTECLLTEMLAKFTETEALEGRIYACDQCNSKRRKSNPKPLVLSEARKQLMIYRL
+PQVLRLHLKRFRWSGRNHREKIGVHVVFDQVLTMEPYCCRDMLSSLDKETFAYDLSAVVM
+HHGKGFGSGHYTAYCYNTEGGFWVHCNDSKLNVCSVEEVCKTQAYILFYTQRTVQGNARI
+SETQLQTQVHSSNNDEGRPRTFP
+>tr|F1MEU4|F1MEU4_BOVIN Solute carrier family 16 member 10 OS=Bos taurus OX=9913 GN=SLC16A10 PE=4 SV=2
+MVPSQEEPAAARGTSEAQPPGSAPPGAAPLPCAGPLDGPEAAAAEKVEVELGGSVGAEPL
+EPPEGGWGWLVMLAAMWCNGSVFGIQNACGVLFVSMLKTFGSKDDDKMVFKTAWVSSLSM
+GMIFFCCPIVSVFTDIFGCRKTAVVGAAVGFVGLLSSSFVSSIEPLYLTYGIIFACGCSF
+AYQPSLVILGHYFKKRLGLVNGIVTAGSSIFTILLPFLLRVLTDSVGLFHTLRVLCIFVF
+VLFLAGFTYRPFASSAKDKDGTTKGGNRLSLFSRRKFSPPKKIFNFAIFKVTAYAVWAVG
+IPLALFGYFVPYVHLMKYVNERFQDEKNKEVVLMCIGITSGVGRLLFGRIADYVPGVKKI
+YLQVLSFLFIGLMSMMIPLCSVFGGLIAVCLIMGLFDGCFISIMAPIAFELVGAQDVSQA
+IGFLLGFMSIPMTVGPPIAGLLRDKLGSYDVAFYLAGIPPLIGGAVLCFIPWVHSKKQRE
+VTKTTGGEKMEKMLANQNSLSSSSAIFKKESDSVI
+>tr|G3X6B3|G3X6B3_BOVIN Proline rich coiled-coil 2C OS=Bos taurus OX=9913 GN=PRRC2C PE=4 SV=2
+MSEKSGQSTKAKDGKKYATLSLFNTYKGKSLETQKTTVAARHGLQSLGKVGISRRMPPPA
+NLPSLKAENKGNDPNVNIVPKDGTGWASKQEQHEEEKAPEVPPAQPKPGVAAPPEVAPAP
+KSWASNKQGGQGDGIQVNSHFQQEFPSLQAAGDQEKKEKEANDDNYGPGPSLRPPNVACW
+RDGGKSASSSLTSDQDEKPGQDESTAGTSEQSDILKVVEKRIACGPPQAKLNGQQPALAS
+QYRAMMPPYMFQQYPRMAYPPLHGPMRFPPSLSETNKGLRGRGPPPSWASEPERPSILSA
+SELKELDKFDNLDAEADEGWAGAQMEVDYTEQLNFSDDDEQGSSSPKENSSEDQSSKASE
+NTENRKEPDEVSNTKSSSQIPTQPSVAKGPYGKGPPFNQERGPSSHLPPPPKLLAQQHPP
+PDRQAVPGRPGPFPPKQQVPDEDEIWKQRRRQQSELSAAVERARKRREEEERRMEEQRKA
+ACAEKLKRLDEKLGIVDKQPSPEEIREREREKEREKEWEREKELEKEQEREQEKEREKER
+ERQQEKEKELEREQEKQREMEKERKQEKEKELERQQEKEKELQKTKEQEKECELEKRDRE
+KVDEKTEHKEPTSEPMVEKPESENSCNKEDDPVFTRQDSNRSEKETTQVAHEPEPESGSQ
+PRPAVLSGYFKQFQKSLPPRFQRQQEQMKQQQWQQQQQQGVLPQTVPSQPSGSAVPPPPH
+RPLYQPMQPHPQHLASMGFDPRWLMMQSYMDPRMISGRPAMDIPPIHPGMIPPKPLMRRD
+QMEGSPNNSESFEHLARSARDHAISLSEPRMMWGSDPYPHTEPQQATTPKAAEEPEDVRP
+EAPLDQEQITAAYPVEHGQLEVHPKPDFVRESSETDVQKFLSRSVEDIRPRHTDTNSQST
+CFDVPDQKTITTPQEERISAGESQPARKRSVCHGSNHTQKSEELRNEPSANIPKVTSRGI
+DSKEPAERPEEKPKKEGFIRSSDGPKPEKLYKSKSETRWGPRPSSNRREEGNDRPVRRSG
+PIKKPILRDMKEEREQRKEKEGEKGEKVTEKVVKPEKTEKKEPLPPPPAPPAAPVQPQSV
+PPQPQPEPEKLPSVETSPSTLVQKPPQDTEKPLESVSSVEVEPAVKTVNQQAVTAPVVKE
+EKQPEKVISKDLVTERTRPDSRPTAKKESTLPPRTYWKEARDRDWFPDQGYRGRGRGEYY
+SRGRSYRGSYGGRGRGGRGHTRDYPQYRDSKPRAEHVAPGPLRQREESETRSESSDFEVV
+PKRRRQRGSETDTDSEIHESASDKDSLSKSKLPKREERPESKKPIKPQSSFKPENHVRID
+NRPLEKSFVRDDDKSKPGFLPKGEPTRRGRGGTFRRGGRDPGGRPSRPSTLRRPAYRDNQ
+WNPRQTEAPKPDSGEPPRRHEQFIPIPADKRPPKFERKFDPARERPRRQRPTRPPRQDKP
+PRFRRLREREAASKTNEVAVPTNGTVNNVVQEPVNSAGDISGNKTPDLSNQNSSDQANEE
+WETASESSDFNERRERDEKKNADLNAQVVVKTGENVVPPKREIAKRSFSSQRPGIDRQNR
+RGNNGPPKSGRNFSGPRNERRSGPPSKGGKRGPFDDQSAGTSGADPVNGSSAHHQEGAPN
+GTGQKNSKDSTGKKREDPKPGPKKPKEKVDALSQFDLNNYASVVIIDDHPEVTVVEDPQS
+NLNDDGFTEVVSKKQQKRLQDEERRKKEEQIIQVWNKKNANEKGRNQTSKLPPRFAKKQA
+TGTQQVQPPASALTSAPGPGSTSAPVPATTPAPVPASTPAPLLASPVASVPAPTTVPVLT
+STSAPLPALASASVPVPASTPTTAIASSPAPAPTPILASASSPASVPILTSASIPILASA
+LAPASAPPPAISAAAVPASAPTAPTSAPASAPTPTLVQVPVPAPTAPGQTQGQTHKPVQS
+PLQTTTQSSKQPPPSIRLPSAQTPNGTDYVATGKPIQTSQSHGTLTAELWENKVAPPAVL
+NDISKKLGPISPPQPPSVSAWNKPLTSFGSATSSEGTKNSQESGVEIGIDTIQFGAPASN
+GNENEIVPVLSEKTTDKISEPKEQRQKQPRAGPIKAQKLPDLSPVENKEHKPGPIGKERS
+LKNRKVKDAQQVEPEGQEKPSPATVRSTDPVTTKETKAVSEMSTEIGTMISVSSTEYGTN
+VKESVTDYTTPSSSLPNTVATNNAKMEDTLVNNVPLPSTLPLPKRETIQQSSSLTSVPPT
+TFSLTFKMESARKAWENSPNVREKGSPVTSTAPPIASGVSSSASGPSTANYSSFSSASVP
+QIPVASVTPTTSLSGAGTYTTSSLSTKSTTTSDPPNICKVKPQQLQTSSLPSASHFSQLS
+CMPSLIAQQQQSPQVYVSQSAAAQIPAFYMDTSHLFNTQHARLAPPSLAQQQGFQPGLSQ
+PTSVQQIPIPIYAPLQGQHQAQLSLGAGPAVSQAQELFSSSLQPYRSQPAFMQSSLSQPS
+MVLSGTAIHNFPAVQHQELAKAQSGLAFQQTSNTQPIPILYEHQLGQASGLGGSQLIDTH
+LLQARANLTQASNLYSGQVQQPGQTNFYNTAQSPSALQQVNYGMVTVPLPASQLSLPNFG
+STGQPLIALPQTLQPPLQHTAPQAQAQSMSRTAQVSQPFRGLIPAGTQHSMIATTGKMSE
+MELKAFGSGIDIKPGTPPISGRSTTPTSSPFRATSTSPNSQSSKMNSIVYQKQFQSAPAT
+VRMAQPFPTQFAPQKRAVGRGLSHKTFGPRCWQSREQRFFSPRNGSSLNSNRTNR
+>tr|A0A3Q1N578|A0A3Q1N578_BOVIN CUGBP Elav-like family member 4 OS=Bos taurus OX=9913 GN=CELF4 PE=4 SV=1
+MYIKMATLANGQADNASLSTSGLGSSPGSAGHMNGLSHSPGNPSTIPMKDHDAIKLFIGQ
+IPRNLDEKDLKPLFEEFGKIYELTVLKDRFTGMHKGCAFLTYCERESALKAQSALHEQKT
+LPGMNRPIQVKPADSESRGDRKLFVGMLNKQQSEDDVRRLFEAFGNIEECTILRGPDGNS
+KGCAFVKYSSHAEAQAAINALHGSQTMPGASSSLVVKFADTDKERTMRRMQQMAGQMGMF
+NPMAIPFGAYGAYAQALMQQQAALMASVAQGGYLNPMAAFAAAQMQQMAALNMNGLAAAP
+MTPTSGGSTPPGITAPAVPSIPSPIGVNGFTGLPPQANGQPAAEAVFANGIHPYPAQSPT
+AADPLQQAYAGVQQYAGPAAYPAAYGQISQAFPQPPPMIPQQQREGFVSFDNPASAQTAI
+QAMNGFQIGMKRLKVQLKRPKDANRPY
+>tr|A0A3Q1MUY0|A0A3Q1MUY0_BOVIN Poly [ADP-ribose] polymerase OS=Bos taurus OX=9913 GN=PARP4 PE=4 SV=1
+MTVGIFANCTFCLKAKHLTRQQKRKLQTDIKENGGNFSFLLNAQCTHVILDNADVLSQYQ
+LKSIQKNHILIANPDFVWESIKERRLLDTKIYGPSESLDITSASSEEWSSPKMEMNDSSF
+LDSSTEKENTVELTKFYAEGVEIPHFPQDFEVAKYNILEKVVTEGGKETAVVELQCLQGP
+GDRGFLICTHFLLATGTQTRRQSSVKKTSADASEYYENYIEELKKQGFLLREHFTPEATQ
+LASEKLQALLLEEVINSNALSPEVSGLVEMLWAEALGRLEDTLLRPVGMMSLNDVSKAEG
+ILLLVKEAMRNGETQEQLQTMLTEFYRLIPHRAAVAEKVSLSLLAKKEDLCQLIRDMVNV
+CETNLAKPNPPSLAKYRALRCKIEHVEPNTEEFLRVREEVLQNNHSKGPVDILQIFRVGR
+VSETTEFQGHLGNVRHLLHGSPVQSFMGILSRGLLLPKVVEDRGMKRTDIGNLGSGIYFS
+DSISTSIKYSHPGQTDGARLLVVCDVALGRCMDLHKRDFSLTEAPPEYDSVHGVRGTDMV
+SSDFEDDEFVVYKTNQVKMKYLVKFSVPGDEIKDFHPCDNTELEEDRPEFSNFSAVEDHQ
+LPGSTPFPDIKAGLQDASGNSVPLEDVHIKGKIIDFVAQVIVFQTYTNQSHVPIEAKYVF
+PLDDKAAVCGFEAFINGKHVVGEIKEKEVAQREYRVAISQGHGAYLMDQDTPDIFTVSVG
+NLPPKAKVLVKITYITELSIQGACAVFFLPATVAPWQQDRALRENLQDTVEKICIKEIGA
+KQSFSLSMSIEMPHMIEFISSDTHDLRKKRTDCKAVISTVEGSSLDSNGFSLLIGLVDVY
+LPRMWVEKHPEKESEACMLVFQPNLGITVPDEAERSEVIICLDCSNSMEGETFLQAKQIA
+LHALSCVGKEQKVNVIKFGTSYKELFSYPKCITSNKMPTEFIMSATPTMGNTDFWKTLRY
+LNLLYPSHVLRNILLISDGHLQNESLTLQLVRRSVPHTRLFSCGVSSTANRHVLRSLSHY
+GAGVFEYFNSKSKHSWKKQATLHALIQEREYSTVVSTTELQKTTGTMIHKLAARALIRDY
+EDGILHENEVNHEMKKQTLKSLIIKLSKENSLITQFTSFVAVEKRYGNESPSPDIPNTLE
+LIAKEDIDFLPYMSWEGEQPDASRMQTLSASSEWNQEPKRKCKRKQTKKKRSSLKMILSE
+DFKAFSSDAPEESEAVSFVFRDAEEPWGLGLMESSKQTEVKPQFTEAISQKTAFSDIPTA
+PSFLTTPLHVGSGFYLQASSALFGSPAGPKHFGSPKKYLEMGSGADVSLDMASFPRLHLQ
+GSPLQLAAAPRVSFSSGSQLPKTNQGGATGFSALTTLQPDPLSRPNLFSCSASLLPCPLV
+KSVFSSPASPPSLPRGVVPPPPPLPGWCGVLPSPPLPPLTGGLVPPPPPLSQRVGIPPPP
+PPPLPGWCGVPPSPPLPPLTGGLVPPPPPLPGWGGVPPPPHLPPLSGGIVPPPPPLSQGV
+GIPPPPPPPLSSPLPSHHLPSPRSASYKLPVAPSGPAEDARLDIPLLAVYDKPLSLDSLQ
+YDCSFFECSSETESDKTGELLASRIFKKLAEYDLRSGFTASTQAQKDEDGLVGKASWRNY
+VPSTELFRLQTKDGCWKLTQELGFILKLNTNILNSFLEQKGIRSLGVRGRERLLDLIATF
+LVLQFLRTKLEQEGIIVKSLMRLDDASIPRNIPWDCEKIKKASEWLRRTEGQYPSICQRL
+ELGKDWDSATKQLLGILPRDAASPPHRLLKHSQG
+>tr|E1BLC2|E1BLC2_BOVIN Histone H4 OS=Bos taurus OX=9913 PE=3 SV=2
+MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLK
+VFLENVIRDAVTYTEHAKRKTVTGRSPGEENGNPLQY
+>tr|E1BNW5|E1BNW5_BOVIN SH3 domain containing 19 OS=Bos taurus OX=9913 GN=SH3D19 PE=4 SV=3
+MPRGRASRTSIQSELHRDRRRPEITIVAAEPLRPTSWFPGAHPPGLAFPPASAAGPWRPS
+ELVPAELPPSYEQVIKEINQVQVNTTNNNNAAATPRHTITSATQTDFSEEIDNHLPQTLQ
+APLKPLQPSPAISARHPPTIEGPLIVFDISEEQNCPQNSSAPRCPVPKPRSKSNLRPVAR
+DSHVKEQNSRSSPVAAQEEAAPSRPPSLWEGASCPEGQAAMNTMSAEQSRSTVISRIKAL
+EGQTSTESSGLPKKPEIVPRTIPPRPAVSSGKPSVAPKPAASRASGEWDSWTASRLRGAP
+SPHSPLKEARSSPVTKPELPKKPNPGLIRSINLESLGGGPMAESPNGGKKAPTPAPRPLL
+PKKSVSSETSPCSVALLKPVTVPPRLSVASQAKAFRSLGEGPPASPPVPAQQSKPPGDID
+LISFDDDVLPPPSGNLAEDSVGPDMVLDPFQLPTRTEPTKEQAIQPAPTRKPTVIRIPAK
+PGKCLHEEDPQSPPPLPTEKPIGNTFSTVSGKLGNADRTRNLESDIAGQSGGSGRGPPRL
+PPRPVNGKVIPARQPPPKGAPERPPPPKLPATRTSNKKLPFNRSSSDMDLQKKPSNLAPG
+LSKAKSQVFKNQDPVLPPRPKPGHPLYRKYMLSVPHGIANEDVIPQNPGELSCKRGDVLV
+ILKQAEGNYLECRKGDDAGRVHLSQMKIITPLDEHLSSRPNDPSCPQKPVDSAAPHAIVL
+HDFPAEQADDLSLTSGEIVYLLEKIDTDWYRGKCRNQTGVFPANYVKVIIDVPGGNGKRE
+SISSHCVKGPRCVARFEYIGDQKDELSFSEGEIIILKEYVNDEWARGELGDRSGIFPLNF
+VELIEDHPTSGTNVLSTKVPPKTKKEDSGANSQENNLCGEWCEALHSFMAETSEDLSFKR
+GDRILILERVDSDWYKGRLRDREGIFPAVFVRPCSAEAKGVAALSLKGRKAKALYDFHGE
+NEDELSFKAGDIITELESVDDDWMSGELMGKSGIFPKTYIQFLQVS
+>tr|G3X8A0|G3X8A0_BOVIN Potassium voltage-gated channel subfamily B member 2 OS=Bos taurus OX=9913 GN=KCNB2 PE=3 SV=1
+MAEKVPPGLNRKTSRSTLSLPPEPVDIIRSKTCSRRVKINVGGLNHEVLWRTLDRLPRTR
+LGKLRDCNTHESLLEVCDDYNLNENEYFFDRHPGAFTSILNFYRTGKLHMMEEMCALSFG
+QELDYWGIDEIYLESCCQARYHQKKEQMNEELRREAETMREREGEEFDNTCCPEKRKKLW
+DLLEKPNSSVAAKILAIVSILFIVLSTIALSLNTLPELQEMDEFGQPNDNPQLAHVEAVC
+IAWFTMEYLLRFLSSPNKWKFFKGPLNVIDLLAILPYYVTIFLTESNKSVLQFQNVRRVV
+QIFRIMRILRILKLARHSTGLQSLGFTLRRSYNELGLLILFLAMGIMIFSSLVFFAEKDE
+DATKFTSIPASFWWATITMTTVGYGDIYPKTLLGKIVGGLCCIAGVLVIALPIPIIVNNF
+SEFYKEQKRQEKAIKRREALERAKRNGSIVSMNLKDAFARSMELIDVAVEKTGESANTKG
+STDDNHLSPSRWKWARKALSETSSNKSYENKYQEVSQKDSHEQLNNTSSSSPQHLSAQKL
+EMLYNEITKTQPHSHPNPNGQEQAERPSTYEEEIEMEEVVCPQEQLAVAQTEGVVDMKST
+SSIDSFTSCATDFTETERSPLPPPSASHLQMKFPPDLAGLEEHQRARAPPFLALIRQKGP
+TVREATPEYAPIDITVNLDAAGGPQGGPHGPLPTDCASESPKSSLKGSNPLKSRSLKVNF
+KDSRGGAPPTPPSTARPLPVTAADFPLTAPQLISTILLEETPSQGDRPLLGAEVHCQGPS
+KGLTPRFPKQKLFTFSARERRSFTEIDTGEDDDFLELQGTRRPDKQADSSPNCLTDKPSD
+GRDPLREEACVGSSSAQDTSHNCRQDIYHGVAEVKKDNSQEGCKMENHLFAPEIHSNPGD
+TGYCPTRETSM
+>tr|E1BFB4|E1BFB4_BOVIN Serine/threonine-protein kinase mTOR OS=Bos taurus OX=9913 GN=MTOR PE=3 SV=3
+MLGTGPAAATTAATTSSNVSVLQQFASGLKSRNEETRAKAAKELQHYVTMELREMSQEES
+TRFYDQLNHHIFELVSSSDANERKGGILAIASLIGVEGGNATRIGRFANYLRNLLPSNDP
+VVMEMASKAIGRLAMAGDTFTAEYVEFEVKRALEWLGADRNEGRRHAAVLVLRELAISVP
+TFFFQQVQPFFDNIFVAVWDPKQAIREGAVAALRACLILTTQREPKEMQKPQWYRHTFEE
+AEKGFDETLAKEKGMNRDDRIHGALLILNELVRISSMEGERLREEMEEITQQQLVHDKYC
+KDLMGFGTKPRHITPFTSFQSVQPQQSNALVGLLGYSSHQGLMGFGASPSPAKSMLVESR
+CCRDLMEEKFDQVCQWVLKCRNSKNSLIQMTILNLLPRLAAFRPSAFTDTQYLQDTMNHV
+LSCVKKEKERTAAFQALGLLSVAVRSEFKVYLPRVLDIIRAALPPKDFAHKRQKAMQVDA
+TVFTCISMLARAMGPGIQQDIKELLEPMLAVGLSPALTAVLYDLSRQIPQLKKDIQDGLL
+KMLSLVLMHKPLRHPGMPKGLAHQLASPGLTTLPEASDVGSITLALRTLGSFEFEGHSLT
+QFVRHCADHFLNSEHKEIRMEAARTCSRLLTPSVHLISGHAHVVSQTAVQVVADVLSKLL
+VVGITDPDPDIRYCVLASLDERFDAHLAQAENLQALFVALNDQVFEIRELAICTVGRLSS
+MNPAFVMPFLRKMLIQILTELEHSGIGRIKEQSARMLGHLVSNAPRLIRPYMEPILKALI
+LKLKDPDPDPNPGVINNVLATIGELAQVSGLEMRKWVDELFIIIMDMLQDSSLLAKRQVA
+LWTLGQLVASTGYVVEPYRKYPTLLEVLLNFLKTEQNQGTRREAIRVLGLLGALDPYKHK
+VNIGMIDQSRDASAVSLSESKSSQDSSDYSTSEMLVNMGNLPLDEFYPAVSMVALMRIFR
+DQSLSHHHTMVVQAITFIFKSLGLKCVQFLPQVMPTFLNVIRVCDGAIREFLFQQLGMLV
+SFVKSHIRPYMDEIVTLMREFWVMNTSIQSTIILLIEQIVVALGGEFKLYLPQLIPHMLR
+VFMHDNSSGRIVSIKLLAAIQLFGANLDDYLHLLLPPIVKLFDAPEAPLPSRKAALETVD
+RLTESLDFTDYASRIIHPIVRTLDQSPELRSTAMDTLSSLVFQLGKKYQIFIPMVNKVLV
+RHRINHQRYDVLICRIVKGYTLADEEEDPLIYQHRMLRSGQGDALASGPVETGPMKKLHV
+STINLQKAWGAARRVSKDDWLEWLRRLSLELLKDSSSPSLRSCWALAQAYNPMARDLFNA
+AFVSCWSELNEDQQDELIRSIELALTSQDIAEVTQTLLNLAEFMEHSDKGPLPLRDDNGI
+VLLGERAAKCRAYAKALHYKELEFQKGPTPAILESLISINNKLQQPEAAAGVLEYAMKHF
+GELEIQATWYEKLHEWEDALVAYDKKMDTNKDDPELMLGRMRCLEALGEWGQLHQQCCEK
+WTLVNDETQAKMARMAAAAAWGLGQWDSMEEYTCMIPRDTHDGAFYRAVLALHQDLFSLA
+QQCIDKARDLLDAELTAMAGESYSRAYGAMVSCHMLSELEEVIQYKLVPERREIIRQIWW
+ERLQGCQRIVEDWQKILMVRSLVVSPHEDMRTWLKYASLCGKSGRLALAHKTLVLLLGVD
+PSRQLDHPLPTVHPQVTYAYMKNMWKSARKIDAFQHMQHFVQTMQQQAQHAIATEDQQHK
+QELHKLMARCFLKLGEWQLNLQGINESTIPKVLQYYSAATEHDRSWYKAWHAWAVMNFEA
+VLHYKHQNQARDEKKKLRHASGANITNAATAATTAATATATTTSTEGSNSESEAESTENS
+PTPSPLQKKVTEDLSKTLLMYTVPAVQGFFRSISLSRGNNLQDTLRVLTLWFDYGHWPDV
+NEALVEGVKAIQIDTWLQVIPQLIARIDTPRPLVGRLIHQLLTDIGRYHPQALIYPLTVA
+SKSTTTARHNAANKILKNMCEHSNTLVQQAMMVSEELIRVAILWHEMWHEGLEEASRLYF
+GERNVKGMFEVLEPLHAMMERGPQTLKETSFNQAYGRDLMEAQEWCRKYMKSGNVKDLTQ
+AWDLYYHVFRRISKQLPQLTSLELQYVSPKLLMCRDLELAVPGTYDPNQPIIRIQSIAPS
+LQVITSKQRPRKLTLMGSNGHEFVFLLKGHEDLRQDERVMQLFGLVNTLLANDPTSLRKN
+LSIQRYAVIPLSTNSGLIGWVPHCDTLHALIRDYREKKKILLNIEHRIMLRMAPDYDHLT
+LMQKVEVFEHAVNNTAGDDLAKLLWLKSPSSEVWFDRRTNYTRSLAVMSMVGYILGLGDR
+HPSNLMLDRLSGKILHIDFGDCFEVAMTREKFPEKIPFRLTRMLTNAMEVTGLDGNYRIT
+CHTVMEVLREHKDSVMAVLEAFVYDPLLNWRLMDTNTKGNKRSRTRTDSYSAGQSVEILD
+GVELGEPAHKKTGTTVPESIHSFIGDGLVKPEALNKKAIQIINRVRDKLTGRDFSHDETL
+DVPTQVELLIKQATSHENLCQCYIGWCPFW
+>tr|A0A3Q1M4Z0|A0A3Q1M4Z0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MSHTILLVQPTKRPEGRTYADYESVNECMEGVCKMDEEHLKRMNPNSPSITYDISQSFDF
+IDDLADLSCLVY
+>tr|F1MN42|F1MN42_BOVIN Cyclin dependent kinase 17 OS=Bos taurus OX=9913 GN=CDK17 PE=4 SV=2
+MKKFKRRLSLTLRGSQTIDESLSELAEQMTIEENSSKDNEPIVKNGRPPTSHSMHSFLHQ
+YTGSFKKPPLRRPHSVIGGSLGSFMAMPRNGSRLDIVHENLKMGSDGESDQASGTSSDEV
+QSPTGVCLRNRIHRRISIEDLNKRLSLPADIRIPDGYLEKLQINSPPFDQPMSRRSRRAS
+LSEIGFGKMETYIKLEKLGEGTYATVYKGRSKLTENLVALKEIRLEHEEGAPCTAIREVS
+LLKDLKHANIVTLHDIVHTDKSLTLVFEYLDKDLKQYMDDCGNIMSMHNVKLFLYQILRG
+LAYCHRRKVLHRDLKPQNLLINEKGELKLADFGLARAKSVPTKTYSNEVVTLWYRPPDVL
+LGSSEYSTQIDMWGVGCIFFEMASGRPLFPGSTVEDELHLIFRLLGTPSQENWPGVSSND
+EFKNYNFPKYKPQPLINHAPRLDSEGIELITKFLQYESKKRVSAEEAMKHVYFRSLGPRI
+HALPESVSIFSLKEIQLQKDPGFRNSSYPETAQ
+>tr|A0A3Q1ML77|A0A3Q1ML77_BOVIN Cold shock domain containing E1 OS=Bos taurus OX=9913 GN=CSDE1 PE=4 SV=1
+MENVFTVSSDPHPPPAAPPSLSLPLSSSSTSSGTKKQKRTPTYQRSMSFDPNLLHNNGHN
+GYPNGTSAALRETGVIEKLLTSYGFIQCSERQARLFFHCSQYNGNLQDLKVGDDVEFEVS
+SDRRTGKPIAVKLVKIKQEILPEERINGQVVCAVPHNLESKSPAAPGQSPTGSVCYERNG
+EVFYLTYTPEDVEGNVQLETGDKINFVIDNNKHTGAVSARNIMLLKKKQARYQGVVCAMK
+EAFGFIERGDIVKEIFFHYSEFKGDLESLQPGDDVEFTIKDRNGKEVATDVRLLPQGTVI
+FEDISIEHFEGTVTKVIPKVPSKNQNDPLPGRIKVDFVIPKELPFGDKDTKSKVTLLEGD
+HVRFNISTDRRDKLERATNIEVLSNTFQFTNEAREMGVIAAMRDGFGFIKCVDRDARMFF
+HFSEILDGNQLHIADEVEFTVVPDMLSAQRNHAIRIKKLPKGTVSFHSHSDHRFLGTVEK
+EATFSNPKTTSPNKGKEKETEDGIIAYDDCGVKLTIAFQAKDVEGSTSPQIGDKVEFSIS
+DKQRPGQQIATCVRLLGRNSNSKRLLGYVATLKDNFGFIETANHDKEIFFHYSEFSGDVD
+SLELGDMVEYSLSKGKGNKVSAEKVNKTHSVNGITEEADPTIYSGKVIRPLRSVDPTQNE
+YQGMIEIVDEGDMKGEVYPFGIVGMANKGDCLQKGESVKFQLCVLGQNAQTMAYNITPLR
+RATVECVKDQFGFINYEVGDSKKLFFHVKEVQDGIELQAGDEVEFSVILNQRTGKCSACN
+VWRVCEGPKAVAAPRPDRLVNRLKNITLDDASAPRLMVLRQPRGPDNSMGFGAERKIRQA
+GVID
+>tr|F1MS35|F1MS35_BOVIN ArfGAP with FG repeats 2 OS=Bos taurus OX=9913 GN=AGFG2 PE=4 SV=2
+MVMAAKKGPGPGGGVKAEAEAASEVWCRRVRELGGCSQAGNRHCFECAQRGVTYVDITVG
+SFVCTTCSGLLRGLNPPHRVKSISMTTFTEPEVLFLQARGNEVCRKIWLGLFDARTSLIP
+DSRDPQKVKEFLQEKYEKKRWYVPPDQVKGPTYTKGSTSTPVQGSIPEGKPPRTLLGDPV
+PSLSAAASNSSQPVSQPRTSQPRSSQPPPPSSVKKASTDLLADIGGDPFAAPQVAPAFAA
+FPAFGGQTPSHGGFANFDAFGSGPGSSAFGSIPPAGQAPFQAQPAPPGSSQGTPFGASPL
+APASQPNSLADVGSLLGPGASAGGIPSSIFGMAGQVPTLQSATTGGGGSPGLAFGAFNPF
+TTPAAHTQLPSTNPFQPNGLATGPGFGMSGAGPGFPQTVPPTGAFASPFPAPLFPSQTSM
+TQQQNGSSFGDLGSTKLGQRPLSQPAGISTNPFMTGSSSSPFAAKPPTTNPFL
+>tr|G3MWY5|G3MWY5_BOVIN Beta-defensin 10 OS=Bos taurus OX=9913 GN=DEFB10 PE=4 SV=2
+MRLHYLLLLLLLVVLSSGSGFTQGVRSYLSCWGNRGICLLNRCPGRMRQIGTCLAPRVKC
+CR
+>tr|A0A3Q1MJI4|A0A3Q1MJI4_BOVIN Ubiquitin like modifier activating enzyme 3 OS=Bos taurus OX=9913 GN=UBA3 PE=4 SV=1
+MWQALGQETHKEHRFSDVLFTLTPQKASSDPHPGAPNLPGRRPPQPAAGEKKRRRIEELL
+AEKMAVDGGCGDTGDWEGRWNHVKKFLERSGPFTHPDFEPSTESLQFLLDTCKVLVIGAG
+GLGCELLKNLALSGFRQIHVIDMDTIDVSNLNRQFLFRPKDVGRPKAEVAAEFLNDRIPN
+CNVVPHFNKIQDFNDTFYRQFHIIVCGLDSIIARRWINGMLISLLNYEDGVLDPSSIVPL
+IDGGTEGFKGNARVILPGMTACIECTLELYPPQVNFPMCTIASMPRLPEHCIEYVRILQW
+PKEQPFGEGVPLDGDDPDHIQWIFQKALERASQYNIRGVTYRLTQGVVKRIIPAVASTNA
+VIAAVCATEVFKIATSAYIPLNNYLVFNDVDGLYTYTFEAERKENCPACSQLPQNIQFSP
+SAKLQEVLDYLTNSASLQMKSPAITATLEGKNRTLYLQSVTSIEERTRPNLSKTLKELGL
+VDGQELAVADVTTPQTVLFKLHFTS
+>tr|A0A3Q1MTL6|A0A3Q1MTL6_BOVIN T-complex protein 1 subunit theta OS=Bos taurus OX=9913 GN=CCT8 PE=1 SV=1
+MNKMVINHLEKLFVTNDAATILRELEVQHPAAKMIVMASHMQEQEVGDGTNFVLVFAGAL
+LELAEELLRLGLSVSEVIEGYEIACKKAHEILPDLVCCSAKNLRDVDEVSSLLHTSVMSK
+QYGNEVFLAKLIAQACVSIFPDSGHFNVDNIRVCKILGSGVHSSSVLHGMVFKKETEGDV
+TSVKDAKIAVYSCPFDGMITETKGTVLIKSAEELMNFSKGEENLMDAQVKAIADTGANVV
+VTGGRVADMALHYANKYNIMLVRLNSKWDLRRLCKTVGATALPRLNPPVLEEMGHCDSVY
+LSEVGDTQVVVFKHEKEDGAISTIVLRGSTDNLMDDIERAVDDGVNTFKVLTRDKRLVPG
+GGATEIELAKQITSYGETCPGLEQYAIKKFAEAFEAIPRALAENSGVKANEVISKLYAVH
+QEGNKNVGLDIEAEVPAVKDMLEAGVLDTYLGKYWAIKLATNAAVTVLRVDQIIMAKLAG
+GPKAPKPQGNWDKDGWQDESHI
+>tr|A0A3Q1MSL2|A0A3Q1MSL2_BOVIN CUGBP Elav-like family member 2 OS=Bos taurus OX=9913 GN=CELF2 PE=4 SV=1
+MRCPKSAVTMRNEELLLSNGTANKMNGALDHSDQPDPDAIKMFVGQIPRSWSEKELKELF
+EPYGAVYQINVLRDRSQNPPQSKGCCFVTFYTRKAALEAQNALHNIKTLPGMHHPIQMKP
+ADSEKSNAVEDRKLFIGMVSKKCNENDIRVMFSPFGQIEECRILRGPDGLSRGCAFVTFS
+TRAMAQNAIKAMHQSQTMEGCSSPIVVKFADTQKDKEQRRLQQQLAQQMQQLNTATWGNL
+TGLGGLTPQYLALLQQATSSSNLGAFSGIQQMAGMNALQLQNLATLAAAAAAAQTSATTT
+NANPLSTTSSALGALTSPVAASTPNSTAGAAMNSLTSLGTLQGLAGATVGLNNINALAGM
+AALNGGLGATGLTNGTAGTMDALTQAYSGIQQYAAAALPTLYSQSLLQQQSAAGSQKEGP
+EGANLFIYHLPQEFGDQDILQMFMPFGNVISAKVFIDKQTNLSKCFGFVSYDNPVSAQAA
+IQAMNGFQIGMKRLKVQLKRSKNDSKPY
+>tr|A0A3Q1M183|A0A3Q1M183_BOVIN Tuftelin OS=Bos taurus OX=9913 GN=TUFT1 PE=4 SV=1
+MNGTRNWCTLVDVHPEGQTAVYLKGRSGDKMIHEKNINQLKSEVQYIQEARNCLQKLRED
+ISSKLDRDPGDSVHKQEIQVVLEKPNGLSEGPLTTYSSPPEVDTHINEDVESLRKTVQDL
+LVKLQEAERQHQSDCSAFKVTLSQYQREAKQSQVALQRAEDRAEQKEAEVGELQRRLQGM
+ETEYQAILAKVREGETALEELRSKNVDCQAEQEKAANLEKEVAGLREKIHHLDDMLKSQQ
+RKVRQMIEQLQNSKAVIQSKDTTIQELKEKIAYLEAENLEMHDRMEHLIEKQISHGNFST
+QNRAKTENLGSIRISKPPSPKPMPLIRVVET
+>tr|A0A3Q1LQM2|A0A3Q1LQM2_BOVIN Fibronectin type III and SPRY domain containing 1 like OS=Bos taurus OX=9913 GN=FSD1L PE=4 SV=1
+MDSQKEALQRIISTLANKNDEIQNFIDTLNQTLKGVQENSSNILSELDEEFDSLYSILDE
+VKESMINSIKQEQARKSQELQSQLSQCNNALENSEELLEFATRSLDIKEPEEFSKAARQI
+KDRVTMASAFRLSLKPKVSDNMTHLMVDFSQERQILQTLKFLPVPKAPEIDPVECLVADN
+SVTVAWRMPEEDNKIDHFILEYRKTNFDGLPRVKDERCWEIVDNIKGTEYTLSGLKFDSK
+YMNFRVRACNKAVAGEYSDPVTLETKALNFNLDNSSSHLNLRVEDTCVEWDPTGGKGQDS
+KSKGKENKGRSGTPSPKRTSVGSRPPAVRGSRDRFTGESYTVLGDTAIESGQHYWEVKAQ
+KDCKSYSVGVAYKTLGKFDQLGKTNTSWCIHVNNWLQNTFAAKHNNKVKALDVAVPERIG
+VFCDFDGGQLSFYDANSKQLLYSFKAKFTQPVLPGFMVWCGGLSLSTGMQVPSAVRTLQK
+NENGMTGSTSSLNNITQ
+>tr|A0A3Q1M539|A0A3Q1M539_BOVIN GRAM domain containing 1A OS=Bos taurus OX=9913 GN=GRAMD1A PE=4 SV=1
+MFDTTPHSGRSTPSSSPSLRKRLQLLPTSRPPPEPEPGTMVEKGSDSSSEKGGVPGTPST
+QSLGSRNFIRNSKKMQSWYSMLSPTYKQRNEDFRKLFSKLPEAERLIVDYSCALQREILL
+QGRLYLSENWICFYSNIFRWETTISIQLKEVTCLKKEKTAKLIPNAIQICTENEKHFFTS
+FGARDRCFLLIFRLWQNALLEKTLSPRELWHLVHQCYGSELGLTSEDEDYVCPLQLNGLG
+SPKDVGDVIALSDITPSGAADHSQEPSPAGSRRGRITPNLSRASSDADHGAEEDKEEQTD
+SQPDASSSQTVTPVAEPPSAEPTPPDGPTSLGPLDLLPSEELLTDTSNSSSSTGEEADLA
+ALLPDLSGRLLINSVFHVGAERLQQMLFSDSPFLQDFLQQCKFTDVTLSPWSGDSKCHQR
+RVLTYTIPISNPLGPKSASVVETQTLFRRGPQAGGCVVDSEVLTQGIPYQDYFYTAHRYC
+ILGLARNKARLRVSSEIRYRKQPWSLVKSLIEKNSWSGIEDYFHHLERELAKAEKLSLEE
+GGKDTRGLLSGLRRRKRPLSWRAHGDGTPHPDPDPCARTGMHTSGSLSSRFSEPSMDQGP
+GAGTPSALVLISIVLIVLIALNVLLFYRLWSLERTAHTFESWHSLALAKGKFPQTATEWA
+EILALQKQFHSVEVHKWRQILRASVELLDEMKFSLEKLHQGITVSDPPFDSQPQPDDSFS
+>tr|A0A3Q1LZC0|A0A3Q1LZC0_BOVIN Glycerol kinase OS=Bos taurus OX=9913 GN=GK PE=3 SV=1
+MASAKKAVLGPLVGAVDQGTSSTRFLVFNSKTAELLSHHQVEIKQEFPREGWVEQDPKEI
+LQSVYECIEKTCEKLGQLNIDISNIKAIGVSNQRETTVVWDKLTGEPLYNAVAAPVSPGP
+SDPAAVVPSGSSVPAAGASSVWLDLRTQSTVESLSKRIPGNNNFVKSKTGLPLSTYFSAV
+KLRWLLDNVRKVQKAVEEDRALFGTIDSWLIWSLTGGASGGVHCTDVTNASRTMLFNIHS
+LEWDKELCEFFEIPMKILPNVRSSSEIYGLMKAGALEGVPISGCLGDQSAALVGQMCFQD
+GQAKNTYGTGCFLLCNTGRKCVFSEHGLLTTVAYKLGRDKPVYYALEGSVAIAGAVIRWL
+RDNLGIIKSSEEIEKLAKEVGTSYGCYFVPAFSGLYAPYWEPSARGIICGLTQFTNKCHI
+AFAALEAVCFQTREILDAMNRDCGIPLSHLQVDGGMTNNKILMQLQADILYIPVVKPSMP
+ETTALGAAMAAGAAEGVGVWSLEPEDLSAVTMERFEPQINAEESEIRYSTWKKAVMKSMG
+WVTTQSSESGDPSIFCSLPLGFFIVSSVVMLIGARYLSGMP
+>tr|A0A3Q1LPV7|A0A3Q1LPV7_BOVIN LSDAT_euk domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MRVQVKSQKSWIEGVFNKRECNKIIPSSKDPHRCTAGCQVCQNLIRCYCGLLIRDHHGID
+YTRTVSAASGSEDEQWSVEKHTTKSPTDTFGTINFQHGEHIHHSKYIRTSYDTKLDHLLH
+LMLKEWKMELPKLVISVHGGIQNFKMPSKLKEIFSQGLVKAAETTGAWIITEGINTGVSK
+HVGDALKAHSSQSLRKIWTVGIPPWGVIENRKDLIGRDVVCLYQTLGNPLSKLTTLNCMH
+SHFILSDDGTVGKYGNEMKLRRNLEKYLSLQKIHSCEYPRDLSRQVLSRGTAAERSRWAS
+CNPW
+>tr|G3MW05|G3MW05_BOVIN Myocyte-specific enhancer factor 2C OS=Bos taurus OX=9913 GN=MEF2C PE=4 SV=2
+MGRKKIQITRIMDERNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNSTNKLFQYAST
+DMDKVLLKYTEYNEPHESRTNSDIVETLRKKGLNGCDSPDPDADDSVGHSPESEDKYRKI
+NEDIDLMISRQRLCAVPPPNFEMPVSIPVSSHNSLVYSNPVSSLGNPNLLPLAHPSLQRN
+SMSPGVTHRPPSAGNTGGLMGGDLTSGAGTSAGNGYGNPRNSPGLLVSPGNLNKNMQAKS
+PPPMNLGMNNRKPDLRVLIPPGSKNTMPSVSEDVDLLLNQRINNSQSAQSLATPVVSVAT
+PTLPGQGMGGYPSAISTTYGTEYSLSSADLSSLSGFNTASALHLGSVTGWQQQHLHSMPP
+SALSQLGACTSTHLSQSSNLSLPSTQSLNIKSEPVSPPRDRTTTPSRYPQHTRHEAGRSP
+VDSLSSCSSSYDGSDREDHRNEFHSPIGLTRPSPDERESPSVKRMRLSEGWAT
+>tr|F6QJQ2|F6QJQ2_BOVIN Proline rich transmembrane protein 3 OS=Bos taurus OX=9913 GN=PRRT3 PE=4 SV=2
+MMGNQKHFSKGYFNIVIIADEAEEWPGRPQSHPPAPPVQAPSTSRRGLIRVTTQRALGQP
+PPPEPSASSMASVPASSPPTNATAPPLRWGPLRRVLSFSWELHVYGVGVLFLLPALLALA
+SLAAAPAGPRLALVAAVLVLVAGGLRSAYMLTDPYGSQARLGLRAGLVLYNLPFPLLLTA
+LAALTLLGLGAGLPQQLQNPLLLGALALTHGLGLLTTDLLSVRPALNLLAQGLSCAWGAA
+VALGTLCLCRRRLLDGPRGWDASPGPRLLAVAGALGLLASGLQLAAALWLYPGPGRVGRF
+SWAWWGVHFWLRLLELTWALTLALAALAAARPRPPTEHACWAKLLRLACPTPSSKSEVPE
+RPNNCYAGPSGVSAGTLDISKSLIRNPAEGGPPATPSSGAWGSATSLSRGPQGGPGLSRS
+SVGPAPSISELDLRPPSPINLSRSIDAALFREHLVQDSVFRRCGLRCLASPPPGGALRSR
+RGSHPDAELDGLGSSLLRGRCRSLSDVRVRGPVPPHVVEEADAAASGSSVDSFSRGSLKI
+SWNPWRHGLSSVDSLPLDELPSTVQLLSTPAPAPAPAWSGEPQNGVQPRCKTGDSRSASS
+DTIEL
+>tr|A0A3Q1N439|A0A3Q1N439_BOVIN Monoglyceride lipase OS=Bos taurus OX=9913 GN=MGLL PE=4 SV=1
+MQTAPEDPFRMPEERPPRLTPQNVPYQDLPHLVNADGQHLFCRYWRPLSAPRALVFVSHG
+AGEHCGRYDELAQMLVGLGLLVFAHDHVGHGQSEGERMVVSDFHVFIRDVLQHVDAVQKD
+YPGLPVFLLGHSMGGAICILTAAERPGHFSGMVLISPLVVANPESATLFKDPPKILEEVF
+QLTGRTIVSWMPASLWVFAAKVLNLVLPNMSLGRIDSSVLSRNKTEVDIYNTDPLVCRAG
+LKVCFGNQLLNAVSRVERALPKLTLPFLLLQGSADRLCNSRGAYLLMESAKSQDKTLKIY
+EGAYHILHKELPEVTSSVFREINTWVSQRTAVEGMGCPP
+>tr|A0A3Q1M2I0|A0A3Q1M2I0_BOVIN GATA zinc finger domain-containing protein 1 OS=Bos taurus OX=9913 GN=GATAD1 PE=4 SV=1
+MPLGLKPTCSVCKTTSSSMWKKGPQGEILCHHCTGRGGAGGGGSCPGAAGGTGGGGGGTG
+GGFGAATFASTSAAPPQSNGGGGGKQSKQEIHRRSARLRNTKYKSAPAAEKKVSTKGKGR
+RHIFKLKNSQFAPVVVFCFFKYRLIFCFSQGVYYQIGDVVSVIDEQDGKPYYAQIRGFVQ
+DQYCEKSAALTWLIPTLASPRDQFDPASYIVGPEEDLPRKMEYLEFVCHAPSEYFKSRSS
+PFPTVPTRPEKGYIWTHVGPTPAITIKETVANHL
+>tr|A0A3Q1MD24|A0A3Q1MD24_BOVIN Obscurin like 1 OS=Bos taurus OX=9913 GN=OBSL1 PE=4 SV=1
+MKAGSGDQGSPPCFLRFPRPVRVVSGAEAELKCVVLGEPPPTVVWEKGGQQLAASDRLSF
+PVDGAEHCLLLSGALPTDAGVYVCRARNSAGEAYAAAAVTVLEPPAHEPEPQLAERPLPP
+PGAGEGAPVFLTGPRSQWVLRGAEVVLECQVGGLPAPTLYWEKDGMALDEVWDSSHFSLE
+PGRAGAGAGASLALRILAARLPDSGVYVCHARNAHGHARAGALLQVQQTPESPPEDPDEA
+PTPVVEPLKCAPKTFWVNEGKHAKFRCYVMGKPEPEIEWHWEGRPLLPDRRRLMYRDRDG
+GFVLKVLYCQAKDRGLYVCAARNSAGQTLSAVQLHVKEPRLRFSRPLQDVEGREHGIAVL
+ECKVPNSRIPTAWFREDQRLLPCRKYEQIEEGTVRRLIIHRLKADDDGVYLCEMRGRVRT
+VANVTVKGPILKRLPRKLDVFEGENAVLLVETREAGVEGRWSRDGEDLPATCQSSSGHMH
+ALVLPGVTREDAGEVTFSLGNSRTTTLLRVKCIKHNPPGPPVLAEMFKGHRNTVLLTWKP
+PDPTPETPFIYRLERQEVGSEDWVQCFSIEKAGAVEVPGDCVPTEGDYRFRVCTVSEHGR
+SPHVVFHGSAHLVPTAHLVAGLEEVQVYDGEDAVFSLDLSTVIQGTWFLNGEELKSNEPE
+GQVGPGPLRYRVEQHGLQHRLILQAVRHQDSGALIGFSCPGVQDSAALTIQESPVHILSP
+QDKVSLTFTTSDRVVLTCELSRVDFPASWYKDGQQVEESESLVVKMDGRKHRLILPEAQV
+QDSGEFECRTEGISAFFSVTVQDPPVHIVAPREHVFVHAITSECVMLTCEVDREDAPVHW
+FKDGQEVEESDFVLLESEGPHRRLVLPSAQPSVGGEFQCVAGDERAYFTVTITDVSSWIV
+YPSGKVYVAAVRLERVVLTCELCRPWAEVRWTKDGEEVVESPALLLQKEDTVRRLVLPAV
+QLEDSGEYLCEIDDESASFTVTVTEPPVRILYPRDEVTLVAVSLECVVLMCELSREDAPV
+RWYKDGLEVEESEALVLESDGPHRRLVLPAAQPQDGGEFVCDAGDDSAFYTVTVTAPPER
+IVHPAARSLDLQFRAPGRVELRCEVAPAGSQVRWYKDGLEVEASDALQLGAEGPTRTLTL
+PHAQPEDAGEYVCETRDEAVTFNISLAEPPVQFLAPEAAPGPLCVAPGEPVVLSCELSRA
+GALVFWSHNGKPVQTGEGLELRAEGPRRVLCIRAADLAHAGLYTCQCGAAPGAPSLSFTV
+QVAEPPVRVVAPEAAQTRVRSTPGGDLELAVRLSGPGGPVRWYKDGERLASQGRVHLEQD
+GARQVLRVRGARSRDAGEYLCDTPQDSRIFLVSVEEPPLVKLISELTPLTVHEGDDATFR
+CEVSPPDADITWLRNGVVVTPGPQLEMTQNGSSRTLTVRSCRLEDAGTVTARAGGTSTSA
+RLHVRETELLFLRRLQDVRAEEGQDVCLEVETGRVGAAGAVRWMRGGAPLPPDSRLSTAQ
+DGHIYRLFIHCVVLADQGTYGCESHHDRTLARLSVRQAPVTIVRGLQDLEVTEGDTATFE
+CALSQTLADVTWEKDGQPLTPSARLRLQALGTRRLLQLRRCSPLDAGTYSCVVGMARTGP
+VHLVVRERKVSVLSELRSVSAREGDGATFECTVSEVETAGSWELGGRPLRPGGRVRIRQE
+GKKHILVLSELRAEDAGEVRFQAGPAQSVAQLEVEALPLQMLRRPPREKTVLVCRRAVLE
+VTVSRPGGQVCWLREGAELCPGDKYQLRSHGPTHSLVIHDVRPEDQGTYCCQAGQDSAYT
+RLLVEGDATLST
+>tr|E1BN74|E1BN74_BOVIN SGF29 C-terminal domain-containing protein OS=Bos taurus OX=9913 GN=SGF29 PE=4 SV=3
+MALVSADSRIAELLTELHQLIKQTQEERSRSEHNLVNIQKTHERMQTENKISPYYRTKLR
+GLYTTAKADAEAECNILRKALDKIAEIKSLLEERRIAAKIAGLYNDSEPPRKTMRRGVLM
+TLLQQSAMTLPLWIGKPGDKPPPLCGAIPASGDYVAKPGDKVAARVKAVDGDEQWILAEV
+VSYSHATNKYEVDDIDEEGKERHTLSRRRIIPLPQWKANPETDPEALFQKEQLVLALYPQ
+TTCFYRALIHTPPQRPQDDYSVLFEDTSYADGYSPPLNVAQRYVVACKEPKKK
+>tr|A0A3Q1MYG1|A0A3Q1MYG1_BOVIN Tight junction protein 3 OS=Bos taurus OX=9913 GN=TJP3 PE=3 SV=1
+MEELTIWEQYTATISKDPRRGFGIAISGGRDRSGGSVVVSDVVPGGPAEGWLQTGDQIVM
+VNGVSMESVTSTFAIQILKTCTKMANITVKRPRKVQVPATKVTSAPGHQDSDEEDRLRCL
+EEADHGRGYEGDTSSGSGRSWGERSRRPRAGRRSRASSRGRRSPGGGSEANGLALVSGFK
+RLPRQDVQMRPVKSVLVRKRESDDFGVKLGSQIFIKHITDSGLAAQNSGLQEGDLILQIN
+GVSSENLSLSDTRQLIEKSEGKLTLLVLRDRGQFLVNIPPASEHLSSGFSDIRTIAPEGA
+GVGQPHSKGQGCPTAKVWRLDRSVHLEKTGCSLSDSPRPRNYDIYRVPSGQSVEDHGYSP
+DSHVVRFFKGTNIGLRLAGGNDVGIFVSGVQAGSPADGQGILEGDEILQVNDTPFQNLTR
+EEAVNFLLGLPVGEEVELVTQRKQDIFQKMVRSGLGDSFYIRTHFEMEPSPPSGLGFTRG
+DVFHVLDTLYPGPGQSPTRGGQWLAVRMGRDLREKERGIIPNQSRAEQLASLEAAQRAVG
+VIPGASVGSNSRAEFWRLRGLRRGAKKTTHRSREDLSALTKQGHYPPYERVVLREASFKR
+PVVILGPVADIAMQKLTAEMPDKFEIAESVSRTESPSKIIRLDTVRVIAEKDKHALLDVT
+PSAIERLNYVQYYPIVVFCVPESRTALKALRQWLAPASRRSSRRLYAQAQKLRKYSDHLF
+TATIPLQGTSDSWYQELKAVIQEQQMRPIWTAEDQLDNSSEDNLDLPHHGLADSSADLSC
+DSRVNSDYETDGEGSVYTDGEGYTDGEGGPHTDVDEGPRVPALARSSEPVLGDEARIPQH
+HGRPSGPQEAQMDSRHPQGQRRQESMRTYEREALRKKFTRARDVESSDEDGYDWGPATDL
+>tr|A0A452DI01|A0A452DI01_BOVIN F-box/LRR-repeat protein 15 OS=Bos taurus OX=9913 GN=FBXL15 PE=4 SV=1
+MGSSFRYEERKKAGLLRLLQGHSEKTLLRTRSRQPMEPPMDPSGGEQEPGAVRLLDLPWE
+DVLLPHVLSRVPLRQLLWLQRVSRAFRALVQLHLARLRRFDAAQVGPQIPRAALAWLLRD
+AEGLQELALAPCHEWLSDEDLVPVLARNPQLRSVALAGCGQLSRRALGALAEGCPRLQRL
+SLAHCDWVDGLALRGLADRCPALEELDLTACRQLKDEAIVYLAQRRGAGLRNLSLAVNAN
+VGDTAVQELARNCPELQHLDLTGCLRVGSDGIRTLAEYCPALRSLRVRHCHHVAEPSLSR
+LRKRGVDIDVEPPLHQALVLLQDMVGFAPFVNLQV
+>tr|A0A3Q1MP05|A0A3Q1MP05_BOVIN Ubiquitin like modifier activating enzyme 7 OS=Bos taurus OX=9913 GN=UBA7 PE=4 SV=1
+MQRIQGAKVLLSGLQGLGAEVAKNLVLMGVGSLTLHDPHPTCWSDLAAQFLLSEQDLGRS
+RAEASQKLLAELSGAVQVSVYTGDITKDLLLDFQVVVLTASRLEEQLRVGTLCHEHGVCF
+LVADTRGLVGQLFCDFGENFTVQDPTEAEPLTANIQHISQGSPGILTLREEAGTHHFHTG
+DWVTFSGIEGMVELNGCDPRPLHVREDGTLEIGDTTAFSCYLRGGAVTEVKRAKTVSHEP
+LDTALLQPRVVAQSAQKVRARCLHQSFRALHKFQQLHGRPPKPWDPVDAEMVVDLAQAMG
+PLKGTEGEPLEEQLDEALVRTVALSSAGGLSPMAAVLGAVAAQEVLKAISGKFMPLDQWL
+YFDALDCLPEDGDPFPNPEDCAPRRCRYDGQTAVFGTNFQEKLSHQHYLLVGAGAVGCEL
+LKSFALMGLGAGDGGGVTVADMDHVELSNLSRQFLFRSQDIHRKKAEVAAEATRRLNADL
+QVTPLNLQLDPTTEDIFGDDFFSGVNGVAAALDTFEARDYVAARCTHFLKPLLEAGTMGT
+RGSASVFIPHVTENYKAPSDAASEDAPDPVCTVRYIPATTEHTVQWAKGEFDDLFCESAK
+TINSHPQALSSPEDLVKSQKQPLLQTMRGVLTERPQTWQDCVLWAFGHWQLRFHYGITQL
+LRTYPPDKVQEDGTPFWSGPKQCPQPLKFDASQDMHLLYVLAAANLYAQMHGLPGSQDQT
+ALRGLLNLLPLPDPQNLDRIFASELELDSPSGCKQLHEDLKTWSKGPPLKPLTFEKDNDS
+NFHVDFVVAAASLRAQNYGIPVASHAETKRIVGRIIPAVVTTTAAVAGLVGLELYKVVGG
+PRPRHAFRHSYLHLAENYFSRWVPKAPDIQKFHHLKWTCWDRLEVPAGQPERTLESLLAH
+IQELQGLRVTMLLHGSALLYSAGWSEEKQTQHLSRRVTDLVKKVPGQRVLVLELGYEGEE
+DDTNFPRLHYKL
+>tr|A0A3Q1N7I7|A0A3Q1N7I7_BOVIN Phosphoinositide phospholipase C OS=Bos taurus OX=9913 GN=PLCH2 PE=4 SV=1
+MEAPGDPGGLSLDQVERCMSAMQAGTQMVKLRGSSKGLVRFYFLDEHRSCIRWRPSRKNE
+KAKISIDSIQEVSEGRQSEIFQRYPDGSFDPNCCFSIYHGSHRESLDLVSPSGDEARTWV
+TGLRYLMAGISDEDSLARRQRTRDQWLKQTFDEADKNGDGSLSIGEVLQLLHKLNVNLPR
+QRVKQMFKEADTDDHQGTLGFEEFCAFYKMMSTRRDLYLLMLTYSNHKDHLDATDLLRFL
+EVEQKMTGVTLESCRDIIEQFEPCPENKSKGAMGIDGFTNYTRSPAGDIFNPEHRLVHQD
+MTQPLSHYFITSSHNTYLAGDQLTSQSRADMYARVLQAGCRCVEVDCWDGPDGEPIVHHG
+YTLTSKILFKDVIETINKYAFIKNEYPVILSIENHCSVIQQKKMAQYLTDILGDKLDLSS
+VSSEDATMLPSPQVLKGKILVKGKKLPANISEDAEEGEVSDEDSADEIDEDCKLLNGDAS
+TNRKRVENIAKRKLDSLMKESKIRDCEDPSDFTVSTLPPPGKLGPKAEAKKFEEDVEIGE
+EAGTSRRNSRLLMGSFSKRKKKSSKLKKAASVEEGDEDLDSQGSQSRGAARQKKTMKLSR
+ALSDLVKYTKSVGTHDVETEVASSWQVSSFSETKAQQILQQKPAQYLRFNQHQLSRIYPS
+SYRVDSSNYNPQPFWNAGCQMVALNYQSEGRMLQLNRAKFSANGSCGYVLKPQCMCQGIF
+NPNSEDPLPGQLKKQLVLRIISGQQLPKPRDSMLGDRGEIIDPFVEVEVIGLPVDCNKEQ
+TRVVDDNGFNPMWEETLVFTVHMPEIALVRFLVWDHDPIGRDFIGQRTLAFSSMMPGYRH
+VYLEGMEEASIFVHVAVSDISGKVKQALGLKGLFLRGPKPGSLDSHAAGRPLPRPSVSQR
+LLRRTASAPTKSQKPGRKAFPELVLGLQDTGSEGEAGDVAPSSPGPIPEAPTREEPGSRS
+PRGKAPAERSPEQEQPPRVPVGPGPAGMAATCMKCVVGSCAGEDAEGLHRGRLPSPGPEG
+GHSAISQQPRAWEDSLGAPHAAPGRSRGAPKGSRARHPGPGGSGSMSSDSSSPGSPEGAT
+RWPEGTRRQAGALQREMNALFIQKLEEIRSKSPVFSTVRN
+>tr|A0A3Q1NDI7|A0A3Q1NDI7_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MEFPIELFQILKNDAVKVLCSICQQIWKTQQWPQDWKRSVFIPIPKKGNAKECSNYCTIA
+LISHASKEMLKILQARLQQYVNRELPDVQAGFRKGRGTRDQIANIRWIMEKAREFQKNLY
+FCFIDYAKAFDCVDHNKLWKILKEMEIPDHLTCLLRNLYAGQEATVRTGHGTTDWFQIGK
+GVHQGCILSPCLFNLHAEYIMRNAGLEEAQAGIKIARRNINNLRYADDNILMAESEEELK
+SLLMKVKEESEKVGLKCKIQKMKIIASGPITSWQIDGETVETISDFSFLGSKITADGDCS
+HEIKRRLLLGRKVMTNLDSILKSRDITLATNVRLVKAMVFPVVMYRCESWTVKKAERQRI
+DAFELWYWRRLLRVPWTARRSNQSILKEINPGCSLEGMMLKLKLQYFGHLMRRVDS
+>tr|A0A3Q1MAV2|A0A3Q1MAV2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=TMEM69 PE=4 SV=1
+MGASMLHFIRKCSQASSKMLKYTSTVRPGASRRIETLTHKTCLEQNFSPLFSRLWLFSSF
+PACVNKTQYYHTSLCSFKKKQEQAVLPARPPRTISFLPDSPKPALYITLAGLIPFVAPPL
+VMVMTKTYIPMLAFTQMAYGASFLSFLGGIRWGFALPEGSPAKPDFLNLANSIAPVVFSW
+FAFFISERLSVAIVTVIIGLGIALHTELFLLPHYPNWFKALRIVVTLVAFFSFVITLLVK
+DFYPEKGPKRLGQVK
+>tr|A0A3Q1MHS4|A0A3Q1MHS4_BOVIN Solute carrier family 6 member 20 OS=Bos taurus OX=9913 GN=SLC6A20 PE=4 SV=1
+MEKTRPLWATPLQFVFACISYAVGLGNVWRFPYLCQMYGGGVASVVVSFFLCMYYNVVNA
+WAFWYLFHSFQDPLPWSVCPLNGNRTGYIEECEKASSTQYFWYRKTLNISPSIQESGAVQ
+WEPALCLILAWLVVYLCILRGTESTGRVVYFTALLPYCVLIIYLVRGLTLHGATNGLAYM
+FTPKMEQLANPKAWINAATQIFFSLGLGFGSLIAFASYNEPSNNCQKHAIIVSIINSSTS
+IFASIVTFSIYGFKATFNYESCLNKVILLLTNSFDLEDGFLTANNLEQVKDYLASAYPSK
+YSEVFPQIKNCSLETELETAVQGTGLAFIVYTEAIKNMEVSQLWSVLYFFMLLLLGIGSM
+LGNTAAILTPLTDSKVISSYLPKEAISGLVCLANCAIGMLFTMEAGSYWFDIFNDYAATL
+SLLLIVLAETVAVCYLYGLSRFESDLKAMTGRALNWYWKAMWGGVSPLLIISLFIFYLSD
+YISTGTLRYQAWDAAQGQLVTKDYPPHALAVIGLLVAASTMCIPLGALGTLITRRLKRGD
+TAPVA
+>tr|A0A3Q1M804|A0A3Q1M804_BOVIN Ankyrin repeat domain 44 OS=Bos taurus OX=9913 GN=ANKRD44 PE=4 SV=1
+MSYCIYQRHLRPVLTCLSLPSFQDSEKRTPLHVAAFLGDAEIIELLILSGARVNAKDNMW
+LTPLHRAVASRSEEAVQVLIKHSADVNARDKNWQTPLHVAAANKAVKCAEVIIPLLSSVN
+VSDRGGRTALHHAALNGHVEMVNLLLAKGANINAFDKKDRRALHWAAYMGHLDVVALLVN
+HGAEVTCKDKKGYTPLHAAASNGQINVVKHLLNLGVEIDEINVYGNTALHLACYNGQDAV
+VNELTDYGANVNQPNNSGFTPLHFAAASTHGALCLELLVNNGADVNIQSKDGKSPLHMTA
+VHGRFTRSQTLIQNGGEIDCVDKDGNTPLHVAARYGHELLINTLITSGADTAKCGIHSMF
+PLHLAALNAHSDCCRKLLSSGQKYSIVSLFSNEHVLSAGFEIDTPDKFGRTLVNNFSVCL
+GLQRSFHTPTNTAVCAGADDRDLFFRLNDVINCCLFFRTPLHYAAANCHFHCIETLVTTG
+ASVNETDDWGRTALHYAAASDMDRNKIILGNAHENSEELERARECLEFLLQHDANPSIRD
+KEGYNSIHYAAAYGHRQCLELVSEQALEFVVSPLQAYNGHHQALEVLLQSLVDLDIRDEK
+GRTALDLAAFKGHTECVEALINQGASIFVKDNVTKRTPLHASVINGHTLCMRLLLEIADN
+PEVVDVKDAKGQTPLMLAVAYGHSDAVSLLLEKEANVDAVDIMGCTALHRGIMTGHEECV
+QMLLEQEVSILCKDSRGRTPLHYAAARGHATWLSELLQMALSEEDCSFKDNQGYTPLHWA
+CYNGNENCIEVLLEQKCFRTFIGNPFTPLHCAIINDHENCASLLLGAIDSSIVNCRDDKG
+RTPLHAAAFADHVECLQLLLRHNAQVNAADNSGKTPLMMAAENGQAGAVDILVNSAQADL
+TVKDKDLNTSLHLASSKVYYSKCHILIQICIAVDTRCCLFFRPLHVAARNGLKVVVEELL
+AKGACVLAVDENGHTPALACAPNKDVADCLALILATMMTFSPSSTMTAGKPRNSTAKVSS
+RPSGSSTSVEKRYNIHDSACV
+>tr|F1MKU4|F1MKU4_BOVIN Ubiquitin carboxyl-terminal hydrolase OS=Bos taurus OX=9913 GN=USP1 PE=3 SV=3
+MPGVIPSESNGLSRGSPSKKNRLSLKFFQKKETKRALDFTDSQENEEKTSEYKGSEIDQV
+VPAAQSSPINCEKRENLLPFVGLNNLGNTCYLNSILQVLYFCPGFKSGVKHLFNIISRKK
+EALKDEANQKDKGNCKEDSLASYELICSLQSLIISVEQLQASFLLNPEKYTDELATQPRR
+LLNTLRELNPMYEGYLQHDAQEVLQCILGNIQETCQLLKKEEVKNVEDLSTKVEEYQKEE
+MSDNNSMEMDNMRHSEDYKEKLSKGNGKRKSDAEFGNMKKKVKISKEHQSSEENQRQTRS
+KRKAAGDTLEISHKIIPKHISENESTRPSQRKSKVKINWLKSAAKQPSILSKFCSMGKIA
+TNQGSKGHCKENEYDLEEDLGKYENDNTTNDCELESPGNNDMPIHVNEVKPINKGAEQIG
+FELVEKLFQGQLVLRTRCLECESLTERREDFQDISVPVQEDELSKVEENSEISPEPKTEM
+KTLRWAISQFASVERIVGEDKYFCENCHHYTEAERSLLFDKMPEVITIHLKCFAASGLEF
+DCYGGGLSKINTPLLTPLKLSLEEWSTKPTNDSYGLFAVVMHSGITISSGHYTASVKVTD
+LNSLELDKENFVIDQTCEIGKPEPLNEEEVRGVVENYDNEEVSIRVSGNNQPSKVLNKKN
+VEAIGLLGGQKSKADYELYNKASNPDKVASTALPENRNSETNNTNGTDESDSNKESSDQT
+GINISGFENKISYVVQSLKEYEGKWLLFDDSEVKVTEEKDFLNSLSPSTSPTSTPYLLFY
+KKL
+>tr|F1MU24|F1MU24_BOVIN Alpha-1,4 glucan phosphorylase OS=Bos taurus OX=9913 GN=PYGB PE=3 SV=3
+MAKPLTDGERRKQISVRGLAGLGDVAEVRKSFNRHLHFTLVKDRNVATRRDYYLALAHTV
+RDHLVGRWIRTQQRYYERDPKRIYYLSLEFYMGRTLQNTMVNLGLQNACDEAIYQLGLDL
+EELEEIEEDAGLGNGGLGRLAACFLDSMATLGLAAYGYGIRYEFGIFNQKIVNGWQVEEA
+DDWLRYGNPWEKARPEYMLPVHFYGRVEHSPEGVRWLDTQVVLAMPYDTPVPGYKNDTVN
+TMRLWSAKAPNDFKLHDFNVGGYIEAVLDRNLAENISRVLYPNDNFFEGKELRLKQEYFV
+VAATLQDIIRRFKSSKFGCRDPVRTSFETFPDKVAIQLNDTHPALAIPELMRILVDVEKV
+DWDKAWEITKKTCAYTNHTVLPEALERWPVSMFEKLLPRHLDIIYAINQRHLDHVAALFP
+GDVDRLRRMSVIEEGDCKRINMAHLCVIGSHAVNGVARIHSEIVRQSVFKDFYELEPQKF
+QNKTNGITPRRWLLLCNPGLAETIVERIGEGFLTDLSQLKKLLPLVGDEALIRDVAQVKQ
+ENKVKFSAFLEKQYGVKVNPSSMFDVHVKRIHEYKRQLLNCLHVVTLYNRIKKDPTQAFV
+PRTVMIGGKAAPGYHMAKKIIKLVTSIGNIVNHDPIVGDRLKVIFLENYRVSLAEKVIPA
+ADLSQQISTAGTEASGTGNMKFMLNGALTIGTMDGANVEMAEEAGAENLFIFGLRVEDVE
+ALDRKGYNAHEYYDRLPELRQAVDQINGGFFSPREPDCFKDVVNMLLNHDRFKVFADYEA
+YVACQARVDQLYRNPKEWTKKVIRNIACSGKFSSDRTITEYAHDIWGAEPPALQTPPPSL
+PRD
+>tr|A0A3Q1LW87|A0A3Q1LW87_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MGLITYVSLLLLTPNILSLPLDPQDNVFLSWAHSYAAFYNRSNCWVCGALPSSSVEGFPW
+WTSPLQGKDFLQVCEYLRQQSHAMPLCHLMTSTNPKMDWCNTLYSNYGHNVTFNFDYTLS
+RFNDYFATYKVNRSRSNGFLPDVYQIWDEVTWLTPEKGRLISTASICWEQTESSPKVSQQ
+LNYNDWKQMGYLSQETCNVIIPVFSNPSSGSPFVWPGTNWDWISQSRWLAPNGTYWICGS
+YLWAWLPPGWIGRCTLGLAFTHGFIFSELPEKPANLPHLRTRWARSVFYWYDYLAAAFVP
+SLGTTDVMLRVDALTNFTQQALQDSQKAISALNAEQAQIRKVVLQNRLALDILTAAQGGT
+CAIIHTQCCTYIPDMNTNVTHFTNHMNKMIRAMDTPEASIASLWKTLTSSPWWTTILITI
+ILVVLFLLFAPCICNCITGFVSSRMKAFKLQMVAQTPATTVASSSYYLGPLDQISSI
+>tr|F1MXD8|F1MXD8_BOVIN Arachidonate 12-lipoxygenase, 12R type OS=Bos taurus OX=9913 GN=ALOX12B PE=3 SV=2
+MATYKVRVATGTDFLSGTMDSISLIIVGTQGESHKQLLNHFGRDFATGAVDDYTVQCQQD
+LGDLIIVRLYKERYSFFPKNPWYCNYVQVCAPNGRIYHFPAYQWIDGYGTLALREATGKI
+AADDTLPILLEHRKEELRAKQDFYHWRVFVPGLPNYVHIPSYRPPARRNPNRPEWNGYIP
+GFPILINFKATKFLDLNLRYSFTKTASFFFRLSPMALGFKLRGLVDSKRSWKRLKDIKKI
+FPGNKSVVFEYVAEHWAEDSFFGYQYLNGINPGLLCRCTRIPDKFPVTDDMVAPFLGEGT
+CLQAELEKGNIYLADYRILEGIPAIELNGQKQYHCAPLCLLHFGREGNLLPIAIQLSQTP
+GPDCPIFLPSDSEWDWLLAKTWVRYAEFYCHEGISHLLETHLIAEAFCLAMLRQLPMCHP
+LYKLLIPHTRYTIQINSIGRAVLLNEGGLSARSMSLGLAGFAEAMVRALSEINYDNLYLP
+DDFVRRGVQDLPGYYYREDSLAVWDALERYVTEIITYYYPCDAAVEGDLELQSWVQEIFK
+ECLLGRESSGFPTCLRTVPELIRYVTIVIYTCSAKHAAVNTGQLEFTAWMPNFPSSMRNP
+PIQAKGLTTLETFLDTLPDIKTTCITLLVLWTLSREPDDKRPLGHFPDIHFVEEAPRRSI
+ETLRQRLAQISHNIRQRNKCLPIPYYYLDPVLIENSISI
+>tr|A0A3Q1LP30|A0A3Q1LP30_BOVIN Remodeling and spacing factor 1 OS=Bos taurus OX=9913 GN=RSF1 PE=4 SV=1
+MAAAAAAAAAMAPPGCPGSCPNFAVVCSFLERYGPLLDLPELPFPELERVLQAPPPDVGN
+GEVPKELVELHLKLMRKIGKSVTADRWEKYLIKICQEFNSTWAWEMEKKGYLEMSVECKL
+ALLKYLCECQFDDNLKFKNIINEEDADTMRLQPIGRDKDGLMYWYQLDQDHNVRMYIEEQ
+DDQDGSSWKCIVRNRNELAETLALLKAQIDPVLLKNSSQQDSSSRESPSLEEEETKKEEE
+TTKQEEQKGSAKMKSEERPIDSEKCSTPSALEETTVKIEKEDEKELVKLPVIVKLEKPFP
+ESEEKKIIKEESDSFKENVKPVKVEMKECKADPRDIKGSMEKLEPERLEFVGNVKSSQEI
+TEKSTEETEKLKNDQQAKIPLKKREIKLSDDFDSPIKGPLCKSVTPTKEFLKDEIKQEEE
+TCKRISTITALGHEGKQLVNGEVSDEKVTPHFKTEQMETKFYDSKEDSCSPSKDRSVIME
+GNGAESVNSVIPSVKIGDLEKEVVPLGKDTDNSISVLETPSQKEYIDETGPSEMETSLET
+AEVAKDLCLKTALSATESYSMRVEEKSPKSKKDKRPPVLECLEKSKKTFLDKDIQRLSPI
+PEEVPKTTVESEKAGSPEAAETYPSSNVTVHCEKLASEVECQNTSSLEGHSLEKVDPEIL
+KVDSESTKVEVDNLDNAQTSGTGDPSETKGSMQKSKLKYKLIPEEENTASENTEITSERQ
+KEGIKLTIRISSRKKKSDSPPKILEPETKQEKTEKEEEKTNVGRTLRRSPRISRPTAKVA
+EIRDQKADKKRGEEDEVEEESAALQKTDKKENLKKAEKDTNSKVAKVKPKGKVRWTGSRT
+RGRWKYSSNDESEGSDSEKSSAASEEEEEKESEEAILADDDEPCKKCGLPNHPELILLCD
+SCDSGYHTACLRPPLMIIPDGEWFCPPCQHKLLCEKLEEQLQDLDVALKKKERAERRKER
+LVYVGISIENIIPPQEPDFSEDHEEKKKDSKKSKANLLERRSTRTRKCISYRFDEFDEAI
+DEAIEDDIKEADGGGVGRGKDISTITGHRGKDISTILDEERKENKRPQRAAAARRKKRRR
+LNDLDSDSNLDEEESEDEFKISDGSQDEFVVSDENPDESEEDPPSNDDSDTDFCSRRLRR
+HPSRPMRQSRRLRRKTPKRKCSDDDEEEESEENSRDSESDFSDDFSDDFVETRRRRSRRN
+QKRQINYKEDSESDGSQKSLRRGKEIRRVHKRRLSSSDSEESYMSKNSEDDELAKESKRS
+VRKRGRSTDEYSEADEEEEEGKPSRKRLHRIETDEEESCDNAHGDADQPAHDRQPRVLPS
+EQDSTKKPYRIDSDEEEDFENVGKVGSPLDYSLVDLPSTNGQSPGKAIENLIGKPAEKPQ
+TPKDSSTASASLAPNGTSGGQEAGAPEEDEDELLRVTDLVDYVCNSEQL
+>tr|A0A3Q1M1A8|A0A3Q1M1A8_BOVIN Glucose-6-phosphate exchanger SLC37A2 OS=Bos taurus OX=9913 GN=SLC37A2 PE=4 SV=1
+MRSSLAPGIWFLRAFSRDSKYRAFILLITFLIYTCYHMSRKPISVVKSRLHHNCSEVIQP
+VNSTHSLNDTTWCNWAPFDKSNYKELLGAVDNAFLVAYAIGMFISGIFGERLPLRYYLTA
+GMLLSGLFTSLFGLGYFWNIHVLWYFVLVQIFNGLVQTTGWPAVVSCVGNWFGKGKRGLI
+MGIWNSHTSVGNILGSLLAGVWVDQQWGLSFVVPGVITAIMGIITFFFLIEYPEDVDCSP
+PQHHGNPEESQDQPEDPANGPSCNKESSLESAVTCSKEASAQPSAISFFGALRIPGVVEF
+SLCLLFAKLVSYTFLYWLPLYISNVVHFTAKEAGDLSTLFDVGGIIGGILAGLVSDYING
+RATTCCVMLILAAPMMFLYNHVGQRGIGISIVMLLICGALVNGPYALITTAVSADLGTHK
+SLKGNAKALSTVTAIIDGTGSIGAALGPLLAGLISPTGWNNVFYMLIAADVLACLLLCRL
+VYKEILAWKSSLSKDRGSNVALTHA
+>tr|F1MYS2|F1MYS2_BOVIN MHD domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=3
+MNMQAVTVYLKKLSEQNPAASYYNVDVLKYQVSSNGIQSTPLNLATYWKCSAGTTDLRVD
+YKYNPEAMVAPSVLSNIQVVVPVDGGVTHMQALPPAIWNAEQMKAFWKLSGISEKSENGG
+SGSLRAKFDLSEGPSKPTTLAVQFLSEGSTLSGVDTELVGTGYRLSLVKKRFATGRYLAD
+C
+>tr|A0A3Q1M189|A0A3Q1M189_BOVIN Membrane associated ring-CH-type finger 7 OS=Bos taurus OX=9913 GN=MARCH7 PE=4 SV=1
+MESKPSRIPRRISVQPASSLSARLMSGSRGSGLNDTYHSRDSSFRLDSEYQSASAAASPF
+QPTWYSESEITQGARSRSQNQQRDHDSKRPKLSCTNCTSTSTGRSVGHGLNAVSDSTWRH
+SQVPRSSSVVLGSFGTDLMRERRTDSSISNLADYSHRSGDFTTSSCVQDRVPSSYSQGAR
+PKENSLSTLQLSASSTNHQMPSEHQTIPCSRDSGRNSLRSNFSPRELESPQSSMQPGFSY
+TSNRGETSTIGSSDRINSSHRSFQESSDNEGRRTTRRLLSRIASSMSSTFFSRRSNQDSL
+NTRSLSSENSYVSPRILTGSQSRGNAASASDIPDNRASEASQGFRFLRRRWGLSSLSQNH
+NSEPDSQNFSQESEGRNTGPWLSSSLRNRCTPLFSRRRREGRDESSRISTSDIPSRSHHL
+FRRESNEVVHLEAQSEPLGAGANRPQASAAPSSVGTGDSPSDSTRSGRSTGITGILPGSL
+FRFAVPPALGNNLTDNVMITVDIIPSGWSSSDGKNDKTKNVPSRDPERLQKIKESLLLED
+SEEEEGDLCRICQMAAASSSNLLIEPCKCTGSLQYVHQECMKKWLQAKINSGSSLEAVTT
+CELCKEKLQLNLEDFDIHELHRARANEQAEYEFISSGLYLVVLLHLCEQSFSDMMGNTNE
+PSTRVRFINLARTLQAHMEDLESPHGPLIGSQLRQQLQSLKITFQL
+>tr|A0A3Q1LML7|A0A3Q1LML7_BOVIN PKHD1, fibrocystin/polyductin OS=Bos taurus OX=9913 GN=PKHD1 PE=4 SV=1
+KMTGWLISLTSIKILGRSQLLCALCSGLELGLLHPANGSQLEIHLVNVALPTLPSIPCDV
+SPVFLDVPAVMCRTRSLLPEAQEGVYSLEVQAGGQVVGSPHPGPQDGRTFKVGSKEGGKC
+VLFPLSFSRNVCREHSLLTCVCCSPFVSSPLILEAQGDKWITPCSLVNRQTGSHYPIQED
+HGLGTLQCRVEGNYIGSQNVSFSVFNKGKSVIHKDAWLVSAKQDLFLYQTYSEILSVFPE
+TGSLGGRTDITIIGDFFDNPAQVTIAGIPCDIRHVSPRRIECTTRAPSKGARLSAPQAGN
+RGLLFEVGDASEDLDLTEATPGYSWQIVPNASSPSGFWSKEGQPFRARLSGFFVAPETNN
+YTFWIQADNQASLYFSWSEDLRTKVKMASIRVGTADWFDSWEQNGSDKTQQQKTPKLELL
+GGARYYLEAEHRGRAPSSGVRIGVQIHNTWLNPDVVSTYLREKHQIRAWAQRLPEIQMLT
+LSGTGNFFLTWDNVSSQPIPANATAHQIQTAIEELLAVKCKLEPLSANILLWLGFEQGLE
+GSTSDGHLTSGTEPFCGRFSLHQPRHLVLTPPAVQKVYRLDQYTHLCLAYRGHENTTLKM
+TVSFTIGLQNPVKNITCDWSLLGTSPNWQFTCTDLWKMCVHHASHLQLPPANSPVLVHQI
+DLLPLSPEMGMFYVDEIIIADTNLTVSQADTGTARPGGNLLELLSVVGSPPVYSVTSWLA
+GCGLELPLITASAVPTEGAEEGSRLVQVTTQRLQRTSPPLGGHFRIQLSNTAIPGVPVHI
+SASHLQKLLQTSADDFTSRYLNASDFTVTEDLKSCYEHVWTFSWSSRVGDLPNFIKVSNE
+NLTGVNPAVTTRVVYDGGVFLGPIFGDMLVTANQHTQVVVRVNDIPAYCSGSCAFQYLEG
+STPQVYSVWYALDDMDLLVYITGTSFSGDYKALQVTVNKTSCNVIFSNQTNVVCQTSLLP
+IGLHQISMLVRPSGLAINASGGGLFLNVEPRLDTVEPSRAADIGGLWATIRGSGLDNVNL
+VLFGSQSCVINVTTSNSRRIQCKVPSKVNGPTVNVTVILGGHPVVLAMAFTYVSSLNPVI
+VSLSRNGSSIADPFHWNALPVNHTDLDAEVRIGDTRAWVLAQTAQGGLLPFITFFFLNIC
+ASGGTILSISGRGFSRDRALLWVLVGNRSCDIVNSTETSIWCETPPPAPLPLSAPVPVEV
+WAGSKPFPHRPSPRLVGKGFTFMYEVAATPVVTAMRGEVTNHSLRLYVEGQNLFDSVVLL
+GNLSCDLQTPSPGSNLSPAGCAFPLDTLEAGLYPLQVHQRQMGFADMSAVPQQCVVMPQI
+TSIFPTRGSTCGGTVLTVRGLALRSSRGSVEVDLSGPFTCVILSLGDQTVLCRITPVGDP
+LPGTSFALNVTILVNGLPSTCQGNCTLFLCEETTPIVDEWTTNISGSLTTVLVRGQRLGI
+TADEPVVFVDDRLACTVAFCNASHVACWISDLSPGPHYLSVFHARYGYACSGNLSRHFHV
+WPQVFHYFPKNFSLHGGSLLTLEGTALKGLNSTLVYIGQQACLTVSLGSELIQCAVPAGN
+GSAALVIEVDGLSYQMGVIGYSSAFTPELLSVSQTEDVLTFSVARISGAANVDIFIGMSP
+CVGVSGNHTLLHCLVPSLPTGEYQVRGYDRMRGWASSILVFTSRVTITAVTENFGRLGGR
+LVHVFGAGFSPGNISAAVCGAPCQVLANATVSAFSCLVLPLDVSLASLCDLRPEEEDCET
+ASCSYVHCDLIITVGTESLPESWPYFYICEESSRCLLARDHWTESILPSFSGLFISPKVE
+RDEVLIYNSSCTITMETEAKMECETPNQPITAKITEIRKSRGQNTQGNFIFQFCGRWSRA
+HSWFPQRPPQEGDNVTVEKGQLLLLDTNTSILNFLHVKGSWRTHSILVSDGGELRIGSED
+KPFQGKARIKLYGSSYSARFFPYGVKFLAVRNGTLSLHGSLPEVVVTHLRAAANAHDTVL
+ALEDAVDWHAGDEVLVISGMGAEDAKPKEEIVTVETVHSTDLQLRSPLRYSHNSTEKWVA
+GEHHVLKVMLVLLSRSITIQGNLTDERVQFLASCQGASASEGDLQNCLYSKSEKMLGSRD
+LGARVIVQSFPEEPSRVQLKGVQFRDLGQAFHEHVSSLMLVGAMRGSYVQGCTVRRSFSR
+GLSMSQTSGLKVDSNVFYDISGHALLLGKMLGRVHFNYVGKSPFLKLDWSEQGNIIRNNV
+IIRVSGAEGLSSPEVMTPSGIYIRHPTNVIEGNRVFAAGYGYFFHLVTNQTSQAPLLSFT
+RNSAHSCTRSGLFVYPKFQPPWDNSAGSTLFQNFTVWGSAGGAQIFRSSNLHLKNFQVYA
+CRDFGIDVLESDANTSITDSLLLGHFAHKANLCMSAGIKSPRRWELRVSNTTFVNFDLTH
+CVAIRTCSGCSQGQGGFTVKTNQLKFMNSPNLVAFPSPHAAILEDLDGSLSGKNRSHILA
+SMETLPATCVVKASFSQLVHGSVCEENVLFHRMSIGLASALKVSQDLTITDSRNKTTTVS
+YVHDTLSNPYGWMALLLDQETYSLRFESPWTSSALQYSATFDNFAPGNFLLLVHTDVWVY
+PDILIKCGSHVGRSLSSLPSPGQDQGCDWFFDSQLRQLTYLVSGEGQVKVVLQVRKGVDP
+TISASISVPESVLKWSLPEAWEGVAEGWGGHSGASPGPGEDVLILSNRTVLVDTDLPPLR
+GLYVMGTLEFPVDRSNVLSVACMLIAGGELRVGTSENPLEKEKKLLILLRASEGVFCDRF
+SGIHIDPGTIGVFGKVQLHSAYPKKSWTRLGADIASGNERIIVEDAVDWRPHDKIVLSSS
+SYEPHEAEVLTVKEVRGHHIRIHERLRHRHIGSAHVMEGGRHIRLAAEVGLLTRNIQIQG
+DTSCRGGLLVGSFRKSSVEEFSGILQLYNVEIQNFGSPLYSSIELTDVSTGSWVISSSLH
+QSCGGGIHAAASQGIILNDNVVFSTAGHGIDLEGQDYSLSKNLVVLMTQSAWSPVWVAGI
+KVNEAKNTRLHGNVVAGSERLGFHIQGHRCSAPESLWSDNVAHSSLHGLHLYKGSGLDSC
+TGISGFLAFKNFDYGAMLQVENSMEIENLTLVDNTVGLFTAVYVSSAPRYYIRSLQIVLR
+NSVIVATSSSFDCIQDRVKPHSAHLTSPDRAPSNPRGGRVGILWPVFTSEPNRWPQEPWH
+KVRNSHLISGIMKLQDVTFSSFVKSCYSDDLDVCILPNAENTGIVPPIMAERTSMLKIKD
+KNKFHFPPLQTRKDLETLVCSESDCESPGKYLFKDLDGRALGLPPPVSVFPKTEGEWTGS
+FFNTGTFREEQKCTYRPLINGYICKQTDPVILILDSADTTWAMQKLYPVVSVTSGFVDTF
+SSINASAPCSISRSVSAFYSIVPTRRITKVCFVDQTPDVLHFFLLGNKNTSKLLLAVFYH
+ELQSPYVFSGDRFIPPTQVHSTSSLLNESIGSNYFSITDNLLYVVLQGEKPIEIRSSVSI
+HLALNVMFSVLEKGWEIVILERLTVFLQINQDQIRFIHQMPGNEATLKAMADSRAKRKRN
+CPTVTCTSHDRVGQRRPLMVEMSSYRDLPTMEMISKVMVIEIGDLPTVRNAGLIPSLSSN
+KLQNLAHQVIIAQQTGLLESVLNMTIGALLVTQSKGIIGYGNTSSFKTGNLIYVRPYGLS
+VFVQPSDGEVGKELTVQPQLVFLDKQNRRVESLGPPSEPWVISVSLEGTLDSVLRGCTHA
+ETQDGYVSFSNLAVLISGSNWHFIFTVTSPPGVNFTARSRSFAVLPATPSERSTIIMAAS
+LCSGVSWLALCCLVCCWFKKSKTRKIKNEEISETQTSDQRNHSHVSPKHQGSQVETEKEV
+TMMAEDLRMKVMLAKLNQLPHQSLNGVSRRKVSHRVVREEGGSREEAAVPAPSITSVTSP
+RNTCTPGSPAQQVYLQESGGWKGAQEQVLRYQLAGQDQLLLLCPDLRRERQRLQGQSQLG
+KGHISLGLSQKKPGSCGATEAFCLHSVHPEAVQEQL
+>tr|A0A3Q1LGP7|A0A3Q1LGP7_BOVIN Leucine rich repeat containing 1 OS=Bos taurus OX=9913 GN=LRRC1 PE=4 SV=1
+MRREQFFQLVKLRKLGLSDNEIQRLPPEIANFMQLVELDVSRNDIPEIPESISFCKALQI
+ADFSGNPLTRLPESFPELQNLTCLSVNDISLQSLPENIGNLYNLASLELRENLLTYLPDS
+LTQLRRLEELDLGNNEIYNLPESIGALLHLKDLWLDGNQLSELPQEIGNLKNLLCLDVSE
+NRLERLPEEISGLTSLTDLVISQNLLEMLPDGIGKLKKLSILKVDQNRLTQLPEAVGDCE
+SLTELVLTENRLLTLPKSIGKLKKLSNLNADRNKLVSLPKEIGGCCSLTVFCVRDNRLTR
+IPAEVSRAAELHVLDVAGNRLSHLPLSLTALNLKALWLSDNQAQPLLTFQTDTDHTTGEK
+ILTCVLLPQLPSEPACQENLPRCGALESLVHDVSEEAWNERAVNRVSAIRFLDDEKDDDD
+NETRTLLRRATPHPGELKSMKKTVENLRNDRNAAKGLDSNKNEVNHAVDRVTTSV
+>tr|G3MY14|G3MY14_BOVIN Phosphoribosyl pyrophosphate synthetase 2 OS=Bos taurus OX=9913 GN=PRPS2 PE=4 SV=2
+PRPPSLLQLPVQPPSSPGSSHQDLSQRVADRLGLELGKVITKKFSNQETSVEIGESVRGE
+DVYIIQSGCGEINDNLMELLIMINACKIASSSRVTAVIPCFPYARCAFLVANMLSVAGAD
+HIITMDLHASQIQGFFDIPVDNLYAEPAVLQWIRENITDWKNCIIVSPDAGGAKRVTSIA
+DRLNVEFALIHKERKKANEVDRMVLVGDVKDRVAILVDDMADTCGTICHAADKLLSAGAT
+KVYAILTHGIFSGPAISRINNAAFEAVVVTNTIPQEDKMKHCSKIQVIDISMILAEAIRR
+THNGESVSYLFSHVPL
+>tr|A0A3Q1MTB3|A0A3Q1MTB3_BOVIN ELKS/RAB6-interacting/CAST family member 2 OS=Bos taurus OX=9913 GN=ERC2 PE=4 SV=1
+MYGSARTITNLEGSPSRSPRLPRSPRLGHRRTSSGGGGGTGKTLSMENIQSLNAAYATSG
+PMYLSDHEGVASTTYPKGTMTLGRATNRAVYGGRVTAMGSSPNIASAGLSHTDVLSYTDQ
+HGGLTSSSHHHHHQVPSMLRQVRDSTMLDLQAQLKELQRENDLLRKELDIKDSKLGSSMN
+SIKTFWSPELKKERVLRKEEAARMSVLKEQMRVSHEENQHLQLTIQALQDELRTQRDLNH
+LLQQESGNRGAEHFTIELTEENFRRLQAEHDRQAKELFLLRKTLEEMELRIETQKQTLNA
+RDESIKKLLEMLQSKGLPSKSLEDDNERTRRMAEAESQVSHLEVILDQKEKENIHLREEL
+HRRSQLQPEPAKTKALQTVIEMKEAPSFNFDPDLVWQDTKIASLERNIRDLEDEIQMLKA
+NGVLNTEDREEEIKQIEVYKSHSKFMKTKIDQLKQELSKKESELLALQTKLETLSNQNSD
+CKQHIEVLKESLTAKEQRAAILQTEVDALRLRLEEKESFLNKKTKQLQDLTEEKGTLAGE
+IRDMKDMLEVKERKINVLQKKIENLQEQLRDKDKQLTNLKDRVKSLQTDSSNTDTALATL
+EEALSEKERIIERLKEQRERDDRERLEEIESFRKENKDLKEKVNALQAELTEKESTLIDL
+KEHASSLASAGLKRDSKLKSLEIAIEQKKEECSKLEAQLKKQAEQLFNQMYNPAHNIEDD
+SRMNPEFADRMKQLDKEASYYRDECGKAQAEVDRLLEILKEVENEKNDKDKKIAELERHM
+KDQNKKVANLKHNQQLEKKKNAQLLEEVRRREDSMADNSQHLQIEELMNALEKTRQELDA
+TKARLASTQQSLAEKEAHLANLRTERRKQLEEILEMKQEALLAAISEKDANIALLELSAS
+KKKKTQEEVMALKREKDRLVHQLKQQAYRPKKQPLTSNIPCSCDASYSPDITAKYHGSSY
+DAYMIQRSQTQNRMKLMADNYDEDHHHYHHHHHHHHHRSPGRSQHSNHRPSPDQDDEEGI
+WA
+>tr|E1BGD4|E1BGD4_BOVIN Tripartite motif containing 37 OS=Bos taurus OX=9913 GN=TRIM37 PE=4 SV=2
+MDEQSVESIAEVFRCFICMEKLRDARLCPHCSKLCCFSCIRRWLTEQRAQCPHCRAPLQL
+RELVNCRWAEEVTQQLDTLQLCSLTKHEENEKDKCENHHEKLSVFCWTCKKCICHQCALW
+GGMHSGHTFKPLAEIYEQHVTKVNEEVAKLRRRLMELISLVQEVERNVEAVRNAKDERVR
+EIRNAVEMMIARLDTQLKNKLITLMGQKTSLTQETELLESLVQEVEHQLRSCSKSELISK
+SSEILMMFQQVHRKPMASFVTTPVPPDFTSELVPSYDSATFVLENFSTLRQRADPVYSPP
+LQVSGLCWRLKVYPDGNGVVRGYYLSVFLELSAGLPETSKYEYRVEMVHQSCNDPTKNII
+REFASDFEVGECWGYNRFFRLDLLANEGYLNRQNDTVILRFQVRSPTFFQKCRDQHWYIT
+QLEAAQTSYIQQINNLKERLTIELSRTQKSRDLSPPDNHLSPQNDDTPETRAKKSGSCAD
+VLLQDGPTTASVREVKEDEEDEEKIQNEDYHHELSDGDLDLDLVGEDEANQLDGSSSSAS
+STATSNTEENDIDEETMSGENDVEYNNMELEEGELMEDAAAAGPPGGNHGYVGASSRMSR
+RAHLCSAATSSLLDIDPLILIHLLDLKDRSSMENLWGLQPRPPASLQPTASYSRKDKDQR
+KQQAMWRVPSDLKMLKRLKTQMAEVRCKADIKNTLSEIKSSSAASGDMAASLLSADQAAL
+AACGTENSSRLQELGMELLAKSSVASCYIRNSTNKKSHSPKPARSSVAGSLSLRRAIDSG
+ENSRSKGDCQTLAEVSPGSSQSGSRHSSPRALTHVSISDILPKSEDRQCQALDSDAVVVA
+VFNGVPVVEKRRKMVTLGTNATGSRLEGMQMTDSENNSETGELQPVLPEGASAAPEDGMS
+SDSDIECDTESEEREERAGMGGFGDAFMAQPPDEDTHSSFPDGEQIGPEDLSFSTDENSG
+R
+>tr|A0A3Q1ML61|A0A3Q1ML61_BOVIN PDZ domain containing 2 OS=Bos taurus OX=9913 GN=PDZD2 PE=4 SV=1
+MPITQDNAGLHLPLLYQWLQNSLREGGDGPEQRLCQAAIQKLQEYIRLNFAVDESSIQPD
+RSPPGMEICTVYLTKELGDAETVGLSFGNIPVFGDYGEKRRGGKKRKTHQGPVLDVGCIW
+VTELRKNSPAGKSGKVRLRDEILSLNGQLMVGVDVSGASYLAEQCWNGGFIYLIMLRRFK
+HQVHSPYNGNSSNSSEPGETPTLELGDQTVKKGKRARKFGVIARPSTHKATEESKSSTGC
+ELDHDPVSELDNGLDPELGNGHAFELENGPDLLKEVAGSHLDRSELDRGTEPRIPKTDAP
+LPTSNDKRRFSKSGKTDFQSSDCLARMPGTEEPHDACGPEESKGNLESPKQGSSKMKLKS
+RLSGGVHRLESVEEYNELMVRHGDPRARMLEVSRDGRKHSLPQLLDSTGTSQEYHIVKKS
+TRSLSTTQVESPWRLIRPSVISIIGLYKEKGKGLGFSIAGGRDCIRGQMGIFVKTIFPNG
+SAAEDGRLKEGDEILDVNGIPIKGLTFQEAIHTFKQIRSGLFVLTVRTKLLSPSLTPCST
+PTHMSRSSSPNFNASGGTSAGGSDEGSSSLGRKAPGPKDRIVMEVTLNKEPRVGLGIGAC
+CLALENSPPGIYIHSLAPGSVAKMESNLSRGDQILEVNSVNVRHAALSKVHSILSKCPPG
+PVRLVIGRHPNPKVSEQEMDEVIARSTYQESKEASSSPGLGTPLKSPSFAKKDSLISESE
+LSQYFAHDAPGPLSDFMVAGSEDEDPPGSGGSSSSVEPPSTSPHKEPGKARANSLVSLGS
+QRASGLFHKQVTIARQASLPGSPEVLRNPLLRQRRVGCSDDDASDEEEFDGEGDCISLPG
+TLSGPSRPLTEDNSTHVSTASSKVTGINREEHPKKTLVSKASSVPLLGSSLDFQESLPGG
+VRDPLSHAASLLVPSEAPKGSPGCSGRKELSGSRSSPKLECRAGTGTQGPASTDSPSSLQ
+QNDSLGSRHKPVARVSPHRKRPEAEARPSSAESAQLTDGASDPRGADLKVQDSSIQVTVT
+GYRPGGTVEKESLDKPSVGDGRVPTEWGPGGALPHPDASHPTENPPAATSKQPGTGPDGS
+PDPLPSPGQKEAAHPDPSQTSVDTEPARRPEDPGGPESPRIPKSEDSTSPGTMAMARPDL
+REMRAAPNASSPCAARKAATPRGAGPMAEGAPSTGAGLPQDLMSGEKSQERVLGHHAKAP
+QTSAVLAPENCQGSALPQGTDSGSTSAPQAGLALPSLSDKAQEACGGASGPGCPGGNGRC
+PVTDIDRLLVEPDVSGARLPSPGKGDWLSREQAAQGPQLASGSPTPAPRRPGAAGPAPPP
+QWAGQPSVLDSINPDRHFTVNKSFLSNYSRNLSSLHEDSTSLSGLGDSTEPSVSLSSMYG
+DVEDSSSDPESFTDAPRAPPRDSWSPPHPRPPEPSHKGDATESEEEQVEICSTDGSPDRP
+SATALAPARVAACPALATALPPKDGALSQVGAEAGHTARFVPGTSTPPQPPPPLSDVSSQ
+EAEPPEDARVSQDHRSPSEEDSGEATQPPPGTRPIPSSLGTLGSPNVVNGLEYDHLDDKA
+PPEKQEIDVHTAENQASFRACVPKNGDSALGNLHISGGQGPEDLLPKPKAISRRPLMAWF
+REINKNNHGTHPQSKTEMEQSTLLARSPDPKAQVLSSSHKKGVPVPDSPPPRLNLENKDL
+PRKSSVETLLSNCQKPKAGPKLKRLSIKSKSKVSSEVPAAHTGKTGSTEHRKALVSPQAS
+HKMLSKVASHRFHTADHEELDKTAAAAPQSPQCVEGKLPPGTPGSLKPSASDSSIRMFVS
+PVTTPKTLPEQGGCGRLHPAVHAEPDRGFPAAPSPPKCGPESRAPLASPGPASPAALRSG
+TSTVAGKLEVPTPGQGEWSLSSQTDSAAEAALPGVTGDKRSKIIASEPLERTKQLKIIEI
+PKSTCGDILAERDRQGGLLSQSSHQEESEAGLCHQPGESSPNHPSFLPTRVSQVELEAQQ
+RSVSLARLSSSSSPQLLARKADPGQMGNPLGVPRNGPPVGPVLDDHPYFTPRPATRTYSM
+PAQFSSHFGREGHTPQSPGRTPRDGQIPGTSGGLLEAKASRGAVLSLANGQGVYSVKPLL
+ETSGNLPTTDEADVLSAQETSCQLTDRVTVTRRHHYSPQNWPHEATSFFSVKQRIKSFEN
+LAHSDRPAAKAGASSFLSVSSKPPIGRRSSGSVVSGPLSHSSDPTARLLRRSLSSCSESQ
+GEATTVVPPMTKSPSSMTLTVSRQTPADARNKGADSDPKRPPGPSGIPAPTATPASPAKR
+NKSSVRHTQASPLSRSKLQELRALSMPDLDKLCSEDFSAGPSAVLFKTELEIVPRRSRGS
+PAGGLAGSTALSCPKDGAERACPKATDPRAPSSAHDVGETAQDLPSGRSWSVNLQQLLVS
+AGDQQRLQSILSSVGSRSTVLTLIQEAKAQSENKEDICFIVLNKKEGSGLGFSVAGGTDV
+QPKSIVVHRVFSQGAASQEGTVSRGDFLLSLNGASLAGLAHGDVLKALHQAQLHKDVLMV
+IKKGSDQPRPSSRQEPPTANGKGLVSRKTSPLEPGTAGRSTAAHEALCVEVLKTSAGLGL
+SLDGGKSSMSGDGPLLVKRVYKGGAAEQAGTIEAGDEILAINGKSLVGLMHFDAWNIMKA
+VPEGPVQLVIRKHRNSSCSKHW
+>tr|F1MJI9|F1MJI9_BOVIN Zinc finger protein 18 OS=Bos taurus OX=9913 GN=ZNF18 PE=4 SV=3
+MMPVELGQAPVLLPPPAKAKELLFPGPDATPRGEPSSPETARQLFRQFPYQVMSGPHETL
+QQLRKLCFQWLQPEVHTKEQILEMLMLEQFLSILPGEIQTWVRKQCPGSGEEAVTLVESL
+KGDPQRLWQWISIQVLGQEVFSEKAEPASCPAGDAGSPAEEPQKLGLQNTAPGPGEPLSC
+VVKEESDMEQGLAAAATQLSAQLPAQPEQMPVRDQDFRASLLHTASQEQWRHLDSTQKEQ
+YWDLMLETYGKMVSGGAGISSARPDLTDPAGYGVELAGPHLQANEKIPRPTCIGDRGEND
+KENLNLENYRAQDPPALGEPPPQAPLSGLFSEDEPRPFGEGEDLSEAQGNLRGEGTGGQL
+CPQERNSRKQPGPHLLPPLPGDSPAPWPEEKREAALRGQPRAPMAQRLPTCRECGKTFYR
+NSQLVFHQRTHSRETYFQCPTCQKAFLRSSSFMKHQRIHTGEKPCKCDYCGKGFSDFSGL
+RYHKKIHTGEKPYKCPVCEKSFIQRSNFNRHQRVHTGEKPYKCTRCGKSFSWSSSLDKHQ
+RSHLGKKPRP
+>tr|F6Q1U8|F6Q1U8_BOVIN Beta-1,4-galactosyltransferase 7 OS=Bos taurus OX=9913 GN=B4GALT7 PE=4 SV=1
+MFPSRRKAAQLPWEDGRWDISSCPSPRSLPHPQQEPRGRIYRGSSLSRPIAGLASAPPQR
+KPPPHDNGGSRLIPSGLPRKCSVFHLFVACLLLGFLSLLWLQLSCSGDVARTARGQGQET
+PGPLRACPPEPPREHWEEDESWGPHRLAVLVPFRERFEELLVFVPHMHRFLSKKKIQHHI
+YVLNQVDHFRFNRAALINAGFLESGNSTDYIAMHDVDLLPLNEELDYGFPEAGPFHVASP
+ELHPLYHYKTYVGGILLLSKQHYQLCNGMSNRFWGWGREDDEFYRRIKGAGLQLFRPSGI
+TTGYKTFRHLHDPAWRKRDQKRIAAQKQEQFKVDREGGLSTVKYRVDSRTALSVGGAPCT
+VLNILLDCDKAATPWCTFS
+>tr|E1BBA9|E1BBA9_BOVIN 2-oxoglutarate and iron dependent oxygenase domain containing 2 OS=Bos taurus OX=9913 GN=OGFOD2 PE=4 SV=1
+MGTAAAPRRFCRCACFCSENLYVARYGLHVRFRSEQQLRQDYEPILRSRGCVSPKDFQQL
+LGELEQEVERRRRLGPESAARKALIASSYRPARPEVYNLLQEAALAPEFLAAAEYSASSG
+ADLKGLLQRLETVSEEKRIYRLPVFTAPFCQALLEELEHFEQSDMPKGRPNTMNNYGVLL
+HELGLDEPLVTPLRERFLQPLMALLYPDCGGGWLDSHRAFVVKYAPGQDRELGCHYDNAE
+LTLNVSLGKAFTGGALYFGDLFQVPSTLAKPLEVEHVVGQGLLHRGGQLHGARPLGTGER
+WNLVVWLRASAVRNRLCPMCCRKPDLVDDEGFGDGFTREEPATVDVCALT
+>tr|F1N2X6|F1N2X6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=3
+VKQVNKVLLSVLSIGKSHELTLTFSPAGDWPTLRLVNGPGRCSGRVEVSYQGSWGSVCGK
+GWGLKEAHVVCRQLGCGWAVSTPPGAHFSPGFGKILLDNVHCSGEESHLALCAHDTWFTH
+SCGHEADAGAICERLLSVPAWRAAPVASLLIPTHTHIPHCAGRVEVFYQGAWGTVCDSLW
+DLPEANVVCRQLGCGRAMEAPGKAHFGEGSGKVLLDNMQSCAQEAMLTRSLSVGDWPELR
+LVGGSGRCSGRVEVLHEEAWGTVCDDLWDLNEAEVVCRQLGCGQAVSALGKAHFGPGSGD
+IFLDNLQCAGAERHLGQCAHSGWSEHNCGHHEDAGVICSVLFGITPFDIVTGDGISVCVG
+>tr|E1BHM6|E1BHM6_BOVIN Sodium channel protein OS=Bos taurus OX=9913 GN=SCN11A PE=3 SV=3
+MDDRCYPVIFPDGRNFRPFTPDSLAAIKKRIAIQKEKNKSKDRKAAECEPRPQLDLKVSR
+KLPKLYGDIPRDLIAKPLEDLDPFYHNHKTFMVLNKKRTIYRFSAKRALFILGPFNSIRS
+LAIRISVHSYPFVASFTNMFIICTVIINCVFMALAHQKSSIDVKTDHAEYVFLGIYLFEA
+LVKILARGFILDEFSFLRDPWNWLDSIVIATAFVSYSPYINDSSFSLSSLRTFRVFRALK
+AISVISGLKVIVGALLHSVKKLVDVMILALFCLSIFALVGQQLFMGNLRYKCVSNSCKHH
+YQDYYQDYCFKKEESTEFKMCGMWMGNRACPEQYECRDSHKNPDYNYTNFDNFGWSFLAM
+FRLMTQDSWEKLYRQTVRTSGLISVLFFVVVIFLGSFYLVNLTLAVVTMTYEEQNKNVAA
+ETEAKEKMFQEAQQLLKEEKEALVAMGIDRSSLNSLEASSFSPKKRKLFGSKKRKSFFLR
+KSGKDQIPGSDSDEDSSKKPHLLEQTKRLSQNLSVDHCDEHGDSFQRQRALSAVSILTIT
+MQEQEKSQEPCLPCGENLASRYLVWDCSPWWLDIKKVLRTVMTDPFTELAITICIIINTV
+FLAMEHYKMDQNFENILYTGNLVFTGIFMAEMCLKIIALDPYHYFRRGWNIFDSVVALLS
+LADVILNHIVSGKSWISFRSLRVLRVFKLAKSWPTLSTLIKIIGHSVGALGNLTVVLAIV
+VFIFSVVGMQLFGSKFNNRKNNLKSCDPEVLCLRRWHMGDFYHSFLVVFRILCGEWIENM
+WECMQEANPTLCVIVFLSIMVIGKLVVLNLFIALLLNSFSNEERNGHLEGKVKKTKIQIA
+LDRFCRAFCFVIHTLEHFFRKHCRKRHLSKQKEVTEDPGGKIKDIIPLVTGMRKGPEIWE
+EFGVLTSVPMALYDRTWLAPLAEEEDDAEPPGEDKAQAVTQPEAGKQASEVHQKSKDLTS
+PGIQSVEIDLFSGDDPPMSIQNLRKKSDAASVLSECSTIGPQDPFMRVLEMIPKKEPERC
+LPEGLNCCSLCHTVDKRKSSWITWWSLRKICYQIVKHSWFESFIIFVILLSSGALVFEDI
+NLDKQPKIQELLKCTDTIFTYIFILEMGLKWVAFGFRKYFTSVWCWLDFVIVIVSVTSLI
+DLMGLKSFRILRALRPLRALSQFEGMKVVVYALIGAIPAILNVLLVCLIFWLIFCILGVS
+LFSGRFGRCVNATDVKSVIDYNIVANRNQCESGHFYWDTPDVNFDNVLMAYLALLQVATF
+KGWMDIMYAAVDSTEEGQQPQFEARSSMYLYFVVFIIFGSFFTLNLFTGVIIDNFNQQQK
+KISGQDVFLTEEQKKYYNAMKKLGSKKPQRPIPRPQNKCQGFVFDLVTHQVFDIIIIILI
+LLNMLSMMAETHKQSETMKHLLESLNMAFVVIFAIECFIKIFALRQYYFANGWNLFDCVI
+VLLSIVSSVVSALKTQAQIPFPPTLFRMVRLVRIGRILRLVRAARRIRTLLFALMMSLPS
+LFNIGLLLFLVMFIYAIFGMNSFRKVKEESGIDDIFNFQTFTSSMLCLFQITTTAGWDAL
+LSPMLKSNKSCDPKTESCHLSTIAIIYFVTYIIISSLIVVNMYIAVILENFNVATEESED
+PLGEDDFEMFYEVWEKFDPEATQFIKYSALSDFADALPEPLRVAKPNKYQFLVMDLPMVS
+GERLHCLDILFAFTTRVLGESSGLDSMKAAIEEKFMEANPFKKLYEPIVTTTKRNEEERC
+AAAVIQKAFRKYMLKTRCTLKDRPHSTLQTLSNGDLPSSGVAEGKVHYD
+>tr|F1MER1|F1MER1_BOVIN Chromodomain Y like 2 OS=Bos taurus OX=9913 GN=CDYL2 PE=4 SV=3
+MLSEAGDLHVERIVDKRKNKKGKWEYLIRWKGYGSTEDTWEPEHHLLHCEEFIDEFNGLH
+LAKDKRLKSGKQPGASKLLRDGRGPSVEKLPHRPSDAGKSKGTSHKRKRVNPSLSKPKKG
+YSAKPPASGDRAAKTVSYRTTPSGLQIMPLKKAQNGMENGDAGSEKDERPFGDGSHQPDL
+DLNDVGEQDLGDCDGSPAVLAENGLGSALTNGGLNLHSPVKRKLEAEKDYVFDKRLRYSV
+RQNESNCRFRDIVVRKEEGFTHILLSSQTSDNNALTPEIMKEVRRALCNAATDDSKLLLL
+SAVGSVFCSGLDYSYLIGRLSSDRRKESTRIAEAIRDFVKAFIQFKKPIVVAINGPALGL
+GASILPLCDIVWASEKAWFQTPYATIRLTPAGCSSYTFPQILGVALANEMLFCGRKLTAQ
+EACSRGLVSQVFWPTTFSQEVMLRVKEMASCSAVVLEESKCLVRSFLRSVLEDVNEKECI
+MLKQLWSSSKGLDSLFSYLQDKIYEV
+>tr|A0A3Q1LZL1|A0A3Q1LZL1_BOVIN Actin binding LIM protein 1 OS=Bos taurus OX=9913 GN=ABLIM1 PE=4 SV=1
+MYGTRCHGCGEFVEGEVVTALGKTYHPNCFACTICKRPFPPGDRVTFNGRDCLCQLCAQP
+MSSSPKEASCSGNCAGCGRDIKNGQALLALEKQWHLGCFKCKSCGKVLTGEYISKDGAPY
+CEKDYQGLFGVKCEACHQFITGKVLEAGDKHYHPSCARCSRCNQMFTEGEEMYLQGSTVW
+HPDCKQSTKTEEKLRPTRTSSESIYSRPGSSIPGSPGHTIYAKVDNEILDYKDLAAIPKV
+KAIYDIERPDLITYEPFYSSGYDDKQERQSLGESPRTLSPTPSAEGYQDGRDRMIHRSTS
+QGSINSPVYSRHSYTPTTSRSPQHFHRPDQGINIYRKPPIYKQHAALAAQSKSSEDIIKF
+SKFPAAQAPDPSEIPKIETDHWPGPPSLAAVGADTRRRSSGREEEDEELLRRRQLQEEQL
+MKLNSGLGQLILKEEMEKERESRERASLAASRYDSPINSASHVLSSKTASLPGYGRNGLH
+RPVSTDFAQYNSYGDVSGGARDYQVWTPVFLKLTTWAKQSFSNPDVLFCYSREEFLLRRP
+>tr|A0A3Q1MI82|A0A3Q1MI82_BOVIN B9 domain containing 1 OS=Bos taurus OX=9913 GN=B9D1 PE=4 SV=1
+MAAAAPSVFLLMVNGQVESAQFPEYDDLYCKYCFVYGQDWAPTAGLEEGISQITSKSQDA
+RRALVWNFPIDVTFKSTNPYGWPQIVLSVYGPDVFGNDVVRGYGAVHVPLSPGRHKKTIP
+MFVPESTSKLQKFTSWFMGRRPEYTDPKVVAQGEGREGKGSPLTLGRVGMEGTRWAPRSQ
+MGGAGSSSEGLPLPSPCPQGSPFSQGGMWAGQCCPHFPGGNTEAVDSQSSLDLSLTQLG
+>tr|E1BMD4|E1BMD4_BOVIN PH domain and leucine rich repeat protein phosphatase 2 OS=Bos taurus OX=9913 GN=PHLPP2 PE=4 SV=3
+MGEVEPGPAGPLEPPEPPEAPASRRPGGIRVLKRNMKRNGSRNCLNRRSRFGSRERDWLR
+EDVKRGCVYLYGADTTTATTTTTSSASSSSSDLHLVLCTVETPASEICAGEGRESLYLQL
+HGDLVRRLEPTERPLQIVYDYLSRLGFDDPARIQEEAANPDLGCMLRFYGEKPCQMDHLD
+RILLSGIYNVRKGKTQLHKWAERLVVLCGTCLIVSSVKDCQTGKMHILPLVGGKVEEVKR
+RQYSLAFSSAGAQAQTYLVSFETLAEYQRWQRQASKVVSQRISTVDLSCHSLEEVPEHLF
+YSQDITYLNLRHNFMQLERPGGLDTFYKFSQLKGLNLSHNKLGSFPVLLCEIPTLTELNL
+SCNGFHDLPSQIGSLLNLQTLCLDGNFLTALPEELGNLQQLSSLGISFNNFSQIPEVYEK
+LTMLDKVFMAGNRVEVLNLGVLKRMSHVKHVDLRMNHLKTMIIENLEGNKYITHMDLRDN
+RLTDLDLSSLCNLEQLHCERNQLRELTLSGFSLRTLYANSNRLTTVNVYPVPSLLTSLEL
+SRNLLECVPDWACEAKKIEILDVSYNLLTEVPMRILSSLSLRKLMVGHNHVQSLPTLVEH
+IPLEVLDVQHNLLTRLPDTLFSKALNLRYLNASANSLESLPSACAGEESLSALQLLYLTN
+NLLTDQCVPVLVGHPHLRILHLANNQLQTFPASKLNKLEQLEELNLSGNKLKTIPTTIAN
+CKRLHTLVAHSNHISIFPEILQLPQIQFVDLSCNDLTEILIPEALPATLQDLDLTGNTNL
+VLEHKTLDTFSHITTLKIDQKPLPTTDSTVTSTFWSHGLAEMAGQRNKLCVSALAVDNFA
+EGVGAVYGMFDGDRNEELPRLLQCTMADVLLEEVQQSTNDTVFMANTFLVSHRKLGMAGQ
+KLGCSALLCYIRPDTADPMNSFSLTVANVGTCQAVLCRGGKPVPLSKVFSLEQDPEEAQR
+VKDQKAIITEDNKVNGVTCCTRLLGCTYLYPWILPKPHISSTPLTIQDELLILGNKALWE
+HLSYTEAVNAVRHVQDPLAAAKKLCTLSQSYGCQDNVGAMVVYLNIGEEGCTCEMNGLTL
+PGPMGFASTTIIKDPPKPTTPSSSSGIASEFSSEMSTSEVSSEVGSTASDEHNTVGLDAG
+LLPRPERRCSLHPAPTSGVFQRQPSCATFSSNQSDNGLDSDDDQPVEGVITNGSKVEVEV
+DIHCCRGRDLENSPTLPENSPAPCPEDRSRGSLFGIRRQNSVNSGILLPVSKDRTELQKS
+PSTSCLYGKKLSNGSIVPLEDSLNLIEVATEAPKKKTGYFAAPTQLEPEDQFVIPRDLEE
+EVKEQMKHHQESRHEPEPSEEDRTELPEEFDTAL
+>tr|A0A3Q1MG05|A0A3Q1MG05_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=SAMD11 PE=4 SV=1
+MSKGILQVHPPICDCPGCRISSPVNRGRLADKRTVALPPARILKKELTPSFSASDGDSDG
+SGPACGQRLGLKQEDEPHVHIMKRRVHTHWDVNISFRETSCSQDSDLPTLISSVHRSRHL
+VMPEHQSRCEFQRGSVEIGLGAAGDLLGKRLGRSPHISSDCPLEKKARSKSPQETLLLPE
+LGPSMAPEDHYRRLVSALSEASTFEDPQRLYHLGLPSHDLLRVRQEVAAAAARSPSGLEV
+HLPSSTAGQRRKQSLAQHRDATGPAGAPSFSERELSQPPPLLSPQNAPHIALGPHLRPPF
+LGVPSALCQTPGYGFLPPAQAEIRTSPKHENGTMTLLPGPADPSQSLC
+>tr|A0A3Q1M4L1|A0A3Q1M4L1_BOVIN Centrosomal protein 128 OS=Bos taurus OX=9913 GN=CEP128 PE=4 SV=1
+MAESSSDSDHFRSRDRLRRWAASSVHREVRHRPTVDVTEKVNTITSTLQDTSRNLRQVDQ
+MLGRYREYSNGQAGAIEHLKESLEQSIGQLRSQRLSRNSGGRSISVTSLSTSDLDGVTVT
+ESYRFPPTSPLKDYGDQQGVKRNRSKTGVRFVPETDDVGQFHAFHQSLRDLSSEQVRLGD
+DFNRELARRSRSDAATRRALEELTEKLNEAQKQDVVSDRVERRLQEIEKEMRTERELVER
+RQDQLGVISQQLQEALKKQEAKAEENEGEMKNKLRQTESEKSKLQQELELSRRLLNQSEG
+SRETLLHQVEELRTQLMKAEGDPKGLHHQVSQISRQQSSLQDEQGDDWRFRRGVEREDLE
+KQMSDLRVQLNFSAMASELEEVKRCLERKDKEKVHLAAQVENLTRELENREKQQLEMLDE
+LTEIQKHFETCDAKHKRADLQITKLTQHAEEATKQAEQYLLEFQQSEGLRQEAEKRREEL
+KLKAQESIRQWKLKHKKLERTLEKQSESLDQLSEKNNQILKEKDELKSQLYAALQQIENL
+RKELNDVLTKRALQEEELHCKEQKLSDVKSHQADLELEVKDSLDTIHRLEGELKKQNQIQ
+SQLKAEKAHLEEQIAELKESQAKDKAQLLEMQEAIKDLSAIRADLANKLAEEQRARKEVL
+KNLSDLRTQAKSKDEETATIISQLKLERDVHQRELEDLTSSLQSVKTKHEHNIQELMKHF
+KKEKSEAENHIRTLKEKHITNEEEHRRRMEEARLQLKDQLLCLETEQESILGVIGKEIDA
+ACKTFSRDSMDKLKVFSSSPDINYDPHRWLAESKTKLQWLCEELKERENREKSLRHQLML
+CRQQLKNVTENKEAELQCLFEQIERQEQLLEEIHREKRDLLEETHRKDEEMESLQDRVNA
+LETSTRVALDHLESVPEKLSLLEDFKGFRGSCSLSDRIDGRYSKYRVHRDSLQQCRDDTK
+HRVQSFRDDRNFAESSHAYGLDQSSCWQDHSRFLSSPRFSHLNSFTQRTVAPDSPSIKED
+APSLLMDERSPQPRKEEYESKNSKM
+>tr|A0A3Q1MYB4|A0A3Q1MYB4_BOVIN Dynamin-2 OS=Bos taurus OX=9913 GN=DNM2 PE=3 SV=1
+MGNRGMEELIPLVNKLQDAFSSIGQSCHLDLPQIAVVGGQSAGKSSVLENFVGRDFLPRG
+SGIVTRRPLILQLIFSKTEYAEFLHCKSRKFTDFEEVRQEIEAETDRVTGTNKGISPVPI
+NLRIYSPHVLNLTLIDLPGITKVPVGDQPQDIEYQIKDMILQFISRESSLILAVTPANMD
+LANSDALKLAKEVDPQGLRTIGVITKLDLMDEGTDARDVLENKLLPLRRGYIGVVNRSQK
+DIEGKKDIRTALAAERKFFLSHPAYRHIADRMGTPHLQKTLNQQLTNHIRESLPALRSKL
+QSQLLSLEKEVEEYKNFRPDDPTRKTKALLQMVQQFGVDFEKRIEGSGDQVDTLELSGGA
+RINRIFHERFPFELVKMEFDEKDLRREISYAIKNIHGVRTGLFTPDLAFEAIVKKQVIVK
+LKEPSLKCVDLVVSELATVIKKCAEKLSSYPRLREETERIVTTYIREREGRTKDQILLLI
+DIEQSYINTNHEDFIGFANAQQRSTQLNKKRAVPNQVAYPVIRRGWLTINNISLMKGGSK
+EYWFVLTAESLSWYKDEEEKEKKYMLPLDNLKIRDVEKGFMSNKHVFAIFNTEQRNVYKD
+LRQIELACDSQEDVDSWKASFLRAGVYPEKDQAENEDGAQENTFSMDPQLERQVETIRNL
+VDSYVAIINKSIRDLMPKTIMHLMINNTKAFIHHELLAYLYSSADQSSLMEESADQAQRR
+DDMLRMYHALKEALNIIGDISTSTVSTPVPPPVDDTWIQNTSSHRRPPSGHPTPQRRPVS
+SVHPPGRPPAVRGPTPGPPLIPVPVGPASFSAPPIPSRPGPHPGVFANNDPFSAPPQIPS
+RPARIPPGIPPGVPR
+>tr|F1MUK9|F1MUK9_BOVIN Zinc finger AN1-type containing 1 OS=Bos taurus OX=9913 GN=ZFAND1 PE=4 SV=1
+MAEMDVGRHCQVERCRRLDFLPFVCDGCSGVFCLEHRSRESHSCPEVTVINKRLKSDTGT
+SHPCSFRDCAERELVPIMCPSCEKNFCLRHRHQSDHECEKLEIPKPRMAATQKLVKDIID
+SKTGETTSKRRKGAKNSETAAKVALMKLKMHAAGDKSLPQTERIYFQVFLPKGSKEKRKA
+MFFCRGWSVGKATDFAASLASLKNDNNRLTAKKLRLCHSVSGEALPLDHILETWIAKEDC
+PLHSGGNIILEYLSDEEQFLKNVDSYLE
+>tr|A0A3Q1MQM1|A0A3Q1MQM1_BOVIN Eukaryotic translation initiation factor 3 subunit M OS=Bos taurus OX=9913 GN=EIF3M PE=4 SV=1
+RSRWLYYFVTAAELRAYLKSKGAEISEENSEGGLHVDLAQIIEACDVCLKEDDKGLFLNF
+LYYY
+>tr|A0A3Q1LUI7|A0A3Q1LUI7_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC783884 PE=3 SV=1
+MTGDCPFSIYCTEFQRPSIKDMETKNATELTEFVLTGLTYEPVWQVPLFLLFLIIYLITI
+TGNLGLIVLIWNDPHLQIPMYLFLGNLALVDIWLSSTVTPKMLVNIINQNKRISLSECMV
+QFFLFVVSATTECFLLAMMSYDRYLAICNPLLYPAIMTHRQCMGMLVSSFVGGLFHALIH
+TGFLFRLTFCNDNIIHHFYCDIMPLFKISCTDPSINVLMIFIFSGSIQVFTILIVLISYT
+LVLFTILKKKSAQGIRKAFSTCGAHLLSVSLYYGPLLFMYVRPGSTQADDQDMMDSLFYT
+VIIPFLNPIIYSLRNKKVIYSLLKILKRNI
+>tr|A0A3Q1MLJ2|A0A3Q1MLJ2_BOVIN ICAM_N domain-containing protein OS=Bos taurus OX=9913 GN=LOC616254 PE=4 SV=1
+MALTSLLPTEPASRGPKDSFRPGCKGLKPGSEIRSSPGNSGCWKLDLSHPVYPSQHHPFA
+DTGSPQPFPVSLRTPPKMSPFGGWGMLAAFLAMLCCRGSNEKVFEGPEHLMVGFGEFQVI
+NCTASCTDPKKLVLETHLNKTLLDSQAQWKLFRVYNISKDEELLCSFTCAGKQETKVFNI
+TVFYPPKQVLLTLSHTSVAVGTLFTIECRVPAVAPLEGLTVTLLRGTEILHNQTFEETAP
+FPHDAVVTHHTTAHREDGHHNFSCEAQMDLRSRGGGLVHRVSDPQRLEVKEPEPNYQMVI
+MAIVIVLLLLFVTFVFLCFVFSEKWRRGRTGHYPVQAAWNRLRRSHRAQPL
+>tr|A0A3Q1LFY6|A0A3Q1LFY6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=PATE3 PE=4 SV=1
+MGRHFLLLLFLLGCPLAVTPLRCITCHLRTQTDRCRRGFGVCVAKNYESCMILKIFQGGT
+LQLSYLVCQRFCRDLTYSFQGRIYVHKCCNYNYCNFKTLKYFYS
+>tr|F1MPW7|F1MPW7_BOVIN Nucleoporin 210 OS=Bos taurus OX=9913 GN=NUP210 PE=4 SV=3
+MAAGGRALRPLLLLLSGLLALGPGALAAKLNIPKVLLPFTRATRVNFTLEASEGCYRWSS
+TRPEVASIEPLGLDEQQCSRRAVVQARLSQPARLTSIIFAEDIATGQVLRCDAIVDLIHG
+IQIVSTTRELYLEDAPLELKIQALDSEGNTFSTLAGLVFDWTIVKDTEADGYSDTHNALR
+ILTFLESTYIPPSYISEMEKAAKQGDTILVSGLKTGSSKLKARIQETVYKNVHPAEVRLL
+ILENILLNPAYDVYLLVGTSIRYRVQKIRQGKITELSMPSDQYELQLLNNVWDPQGDPGR
+PVAVLAQDTSTVTAVQLGQSSLVLGHRSIRMQGASRLPNSTIYVVEPGYLGFTVHPGGRW
+VLETGRLYEITVEVLDKSGNKVYLSDNIRIETMLPVEFFEVLASSQNGSYHHVRATKRGQ
+TVIEAALTSVVDQDGGVHTLRVPVWNQQEVEIHSPITLHPSILTFPWQPKAGAYQYVIKA
+HGGSGNFSWSSSSSVVATVTVKGVMTTGSDTGVSVIQAHDVQNPLHFGEMKVYVIEPSGM
+EFGPCPVEARVGQSLELPLRIHGLMPGGADDVVTLSDCSHFDLVVEVENQGVFQPLPGRL
+RPGPDHCSGVTVRAEAQGYTALLVSYRHGHVHLSARVTIAAYLPLKAVDPSSVALVTLGS
+SKEMLFEGGPGPWVLEPSKFFRNVTSEDADSISLALFGPPASRNYQQHWILVTCQVLGEQ
+VIALTVGNKPSVTNPFPALEPAVVKFVCAPPSRLTLTPVYASPQLDLSCPLLQQNKQVVP
+VSSHRSPLLDLAAYDQQGRRFDNFSSLSIQWESSRPLLASIEPAPPLQLVSQDDGSGQRK
+LHGLQAISVHQASGTTAISATATGYQQPHLDLARVKQPHDPLTPVSVSIELMLVEDVRVS
+PEELTIYNHPDVQAELHVREGSGYFFLNTSSADVVRVAYQEARGVATVHPLLPGTSTIMI
+HDLCLAFPAPAKADVYVSDIQELYIRVVDKVEIGKTVKAHVRVLDFHKKPFLAKYLAFMD
+LKLRAASQIVTLVALNEAPDDYTASFRVHGVAIGQTSLTATVTDKAGQRINSAPQQIEVF
+PPFRLIPRKVTLIIGATMQITSEGGPQPQSNILFSMSNESVALVSGAGLVRGLAVGHGAV
+SGVVQAVDAETGKLVVVSQDLVEVEVLLLQAVRIRAPITRMRTGTQMPVYVTGITNNQNP
+FSFGNAVPGLTFHWSVTKRDVLDVRGRHHEASLRLPSQYNFAMNVLGRAKGRTGLRVVVK
+ALDPTAGQLLGLAKELSDEIQIQVFEKLRLLSPEVEAEHVLMSPNSFIKLQTNRDGAASL
+SYRVLDGPEKVPVVHVDEKGFLASGSVIGTSMVEVTAQEAFGANQTIIVAVKVSPVSYLR
+ISMSPALHTRNKEALVALPLGVTVTFTVHFHDNSGDVFHAHNSVLNFATNRDEFVQIGKG
+VANNTCVIRTVSVGLTLLRAWDAAHGGLSDFVPLPVLQAISPDLSGAVVVGDVLCLATVL
+VSPEGVPGTWSSSASSILHVDPKTGVAVAWQPGSVTVYYEVSGHLRTYKEIVVSLPQRIV
+ARYTRPVQGSFQKVSAFKVMVTVGDRSSNLRGECSAGQAEGIAALRPEALLGCQLQFKQD
+VFDFPAREVFTAEPEFDAALGRYLCSVTMLPLTERQLKHLSLKRTALLVTASLPGSPSPA
+EQVGAEVPFSPGLYADQAEILLSNHYTSSEVKVFGAMEVLEHLEVKSGSPAVLAFVKEKS
+LGLPSFVTYTVGISDPAAGSQGPLSTTLTFSSPSTDQAVTIPVTVAFVMDRRGPGAHGAG
+LFQRVLDSYQAMFFTLFALLAGTAATIIAYHAVCAPQEPPATPALSPRASPQHSPHYFAA
+SSPTPFNALPPARRTSPASGLWSPWYPSH
+>tr|A0A3Q1M3W0|A0A3Q1M3W0_BOVIN Calmodulin regulated spectrin associated protein 1 OS=Bos taurus OX=9913 GN=CAMSAP1 PE=3 SV=1
+MVDAGGCPAGEGWRRMEAAPDGAVDIVPLDRYDPARAKIAANLQWICAKAYGRDDIPEDL
+RDPFYIDQYEQEHIKPPVIKLLLSSELYCRVCSLILKGDQVASLQGHQSVIQALSRKGIY
+VMESDDTPVTEPDLSHAPIKMSAHMAMVDALMMAYTVEMISIEKVVASVKRFSTFSASKE
+LPYDLEDAMVFWVNKVNLKMREITEKEVKLKQQLLESPAHQKSPSKWYWKLVPVRYRREQ
+LCARQPPHFPLLEDLMRDGSHGAALLAVVHYYCPGQLKLDDICLKEVTSMADSLYNIRLL
+REFSNEYLNKCFYLTLEDMLYAPLVLKPNVMVFIAELFWWFENVKPDFVQPRDVQELKDA
+KSVSYPKSSRPPVPISNATKRSFLGSPAAASPADLQLGEPEPPGKGASAFSPSHPLLPLR
+QKQQKTVQGEDPPDQRHRSNSLTRADSQPRGAAIAWTEKKNRPVSQPAPFALHHTASCDM
+DPGGGDSVSLARSISKDSLASSVVHLTPQNQPQPAALRTDGRSLLSNVDIEDEDEELLAI
+VRTDVARQGGDPGPMAGARSPHRLADAFESKTDSFFLEPLMPAVLRPAKEKQVVTKEDEC
+GEGRPRAFTSRRSGDGHQPLGRKKAPSSHVARDLNRTFSPISCSEMSAGFEAVPAEVGPQ
+VAGDTHGGSLAGSSFDPSSQGQSADGFFLHVGRADKDAEGRLYMSCARSPGALSPDTWAV
+LRQDSDSDVADLDEAEQDFAGEEHPAVRAGYGGEEESAKLQEDLKVKEHDDKDDASGRSS
+PCLSTVSQTSSASMASGSAKMTSFAERKLQRLNSCETKSSASSSQKTTPDASESCPAPLT
+TWKQRREQSPSRPGGDHASLLASELLQLHMQLEEKRRAIEAQKKKVEALSARQRLQLGKA
+AFLHVVKKGRADATQQPLKVGSLAGEHAQHNGDDFLGKEEGRGALLGSPDVDGEGLALVQ
+PHKARDPASLPELEHGKALSAVLLEDTEGVDVGECDLSIEKLNETISTLQQAILRISQQQ
+EQLLLKSPTLPSPGPRNGAQDPKAKAAIHFVEPLSPTALTGHRKPPRLGQGRNARSGRPA
+ELKVPKERQQGSSRSKTPTPGLETAPHSRSFPPRTPPEPGWDGPSEPGSEASEKCVFDSY
+RLHDESNQRTLVLSSSRDANVLSEQGGFREGLEGSAKEAGLSTLPAPGKENVPLDEPPRS
+KASLIEVDLSDLKAPDEDGETEGRDSSVDLGSEGDQKPGVGFFFKDEQKADDELAKKRAA
+FLLKQQRKAEEARVRRQQLEAEVELKRDEARRKAEEDRIRKEEEKARRELIKQEYLRRKQ
+QQILEEQGLGKPKTRPRKPRPKSVHREEPGSDLGPKSASPPDNLSRAQSGSSLSLASAAT
+TEPESVHSGGTPSQRVESLEALPVLSRNPSRSTDRDWETASAASSLASVAEYTGPKLFKE
+PSSKSNKPIIHNAISHCCLAGKVNEPHKNSILEELEKCDANHYIILFRDAGCQFRALYCY
+YPDTEEICKLTGTGPKSIAKKMIDKLYKYSSDRKQFSLIPAKTMSVSVDALTIHNHLWQP
+KRPSAPKKTQTRK
+>tr|A0A3Q1M7B8|A0A3Q1M7B8_BOVIN Triacylglycerol lipase OS=Bos taurus OX=9913 GN=PNLIPRP1 PE=3 SV=1
+MPRVPEARLFPINHHFSPGNEVCYDSIGCFSDSKPWAGAAIRPLKILPWSPEKVGTRLLL
+YTNKNPNNFQILLASDPSTIEASNFQIAKKTRFVIHGFIDKGDESWLVDMCKNVFEVEEV
+NYICVDWKRGSQTTYTQAANNVRVVGAQVAQMLSNYSYSPSQVHLIGHSLGAHVAGEAGR
+KTPVLGRITDRTRGPGPQGAPEEVQSLTAVLNISGFGMKQQVDHFDFFPNGGEEMPGCRK
+NALSQIIDLDGIWAGTWDFVACNHLRSYKYYSESVLNPNGFTGYLCACYRDFESNKCFPC
+PDEGCPTDGTICWQIFHVNTGDSSKFTRWRYGVSITLSGRRATGQIKVALFGNKGNTRQY
+NVLNGIIKPGSTLSGEFDSDIDVGTIEKVKFLWNNNVINPTLPKVGAAKITAQKGEEYSF
+CSEDTVREDVLLTLMPC
+>tr|A0A3Q1MI52|A0A3Q1MI52_BOVIN Phosphodiesterase 7B OS=Bos taurus OX=9913 GN=PDE7B PE=3 SV=1
+MSCLMVERCGEILFENPDQNVKCVCMLGDVRLRGQTGVPAERRGSYPFIDFRLLNNTTHS
+GEIGTKKKVKRLLSFQRYFHASRLLRGIIPQAPLHLLDEDYLGQARHMLSKVGMWDFDIF
+LFDRLTNVMVQEDYHSQNPYHNAVHAADVTQAMHCYLKEPKLASFLTPLDIMLGLLAAAA
+HDVDHPGVNQPFLIKTNHHLANLYQNMSVLENHHWRSTIGMLRESRLLAHLPKEMTQDIE
+QQLGSLILATDINRQNEFLTRLKAHLHNKDLRLEEVHDRHFMLQIALKCADICNPCRIWE
+MSKQWSERVCEEFYRQGDLEQKFELEISPLCNQQKDSIPSIQIGFMTYIVEPLFREWAHF
+TGHSALSESMLSHLAHNKAQWKSLLPKQHRTSGDGSPDHKGPGTEDEEQTVTEGDAP
+>tr|E1BG01|E1BG01_BOVIN Small integral membrane protein 7 OS=Bos taurus OX=9913 GN=SMIM7 PE=4 SV=2
+MIGDILLFGTLLMNAGAVLNFKLKKKDTQGFGEESREPSTGDNIREFLLSLRYFRIFIAL
+WNVFMMFCMIVMLGK
+>tr|A0A3Q1M4J0|A0A3Q1M4J0_BOVIN Tyrosine-protein phosphatase non-receptor type substrate 1 OS=Bos taurus OX=9913 GN=SIRPA PE=4 SV=1
+MKGCPGLAHRPLSLPPSPGRSAGGRSAEVPAGPEPRSLQRGRREEGKGRRAGDPAQTAGR
+RKRAAAPRGARVGQPRPMEPARPAPGRLRPLLCLLLAASSAWTGAAGEGELQVIQPERSV
+SVAAGETATLQCTVTSLSPVGPIKWFRGTGPGRELIYSQKEAPFPRVTSVADATKRNNTD
+FSIRISNITPADTGVYYCVKFRKGERGDVEFKSGPGTHLTVSAKPSPPVVSGPTVRATPE
+QTVNFTCTSHGFSPRNISLKWFKNGNELSASQTSVDPENGNVSYSINSTTKVLLATGDVH
+SQVICEVAHVTLQGGPPLRGTANLSETIRVPPTLEITGYSSAGNQVNVTCQVNKFYPRHL
+QLTWLENGNMSRTEAASVLAENKDGTFNQTSWLLVNSSAHREAVVLTCQVEHDGQPAVSK
+NHTLEVSAPQKDQDTGQTPGPNDNNWTSIFIVVGVVCALLVALLIAALYLLRIRQNKAKG
+STSSTRLHEPEKNTRETTQIQDNNDITYADLNLPKGKKSTPKANEPNNHTEYASIQARPP
+PVSEDTLTYADLDMVHLNRTPKQPAPKPEPSYSDPRDLEDPSS
+>tr|A0A3Q1MD65|A0A3Q1MD65_BOVIN KRAB domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MKLGKPPPPPTKKITDLVTFKDVAIDFSQEEWEWLSPAQRSLYRSMMLENYQSLVSLGED
+FYPLCIIQNDP
+>tr|E1BN09|E1BN09_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=OR2H1 PE=3 SV=2
+MVNHSFPVDFLLLGFSEHPGLERILFMVVSISYLLTLVGNTLIILLSMLDPRLHSPMYFF
+LSNLSFLDLCFTTSCVPQMLVHLWGPRKTISFLGCSVQLFIFLFLGTTECVLLTVMAFDR
+YVAVCQPLHYATIIHPRLCWQLAAVAWVMGLVQSVVQTPPTLRLPFCPHRQIDDFACEVP
+SLIQLSCGDTTYNEIQLAVSSFIFLVMPLTLILISYGSIAWAVLRINSAIAWRKALGTCS
+SHLIVITLFYSLVIAVYLQPKNPYAQKRGKFFGLFYAVGTPLLNPLIYSLRNKEVKGALR
+RLLGKDKDSREN
+>tr|A0A3Q1LQU5|A0A3Q1LQU5_BOVIN FA complementation group I OS=Bos taurus OX=9913 GN=FANCI PE=4 SV=1
+MDQKILSLAAEKTADGLQEFLQILKEDDLTDLLQNQAVRGKTAGALLKAIFKGELSGEEC
+KKQLINTLCSGRWDHQYVIQLTSMFKAVPLTTEEVEFVVEKVLKVFSKLNLQEIPPLVYQ
+LLVLSSKGSRKRVLVGIIAFFNKLDQQHNEEQSGDEYLDLITVPLDELRHVEGTIILHIV
+FAIKLDFELGRELLRHLKATQQGDFSSICPFSIALLLSVTRIQRFEEQVFDFLKSSVIKN
+FKDLQLLQGSKYIQSLFPNECCISTMILEVVNNSVHSWDHVTQGLVEFGFILMDSYGPKK
+ILDGKTAETSSGLSRMPNQHACKLGANILLETFKIHEMIRQEILEQVLNRVVTRASSPIN
+HFLDLLSDIIKYSPLVLQSCSSKVTETFDYLSFLPVQTVQGLLKAVQPLLKVSMSIRDSL
+ILVLRKAMFASQLDARKSAVAGFLLLLKNFKVLGSLSSSQCSQSIGVSQVHVDIHSRYNS
+VANETFCLEIMDSLKRCLGQQADVRLMLYEGFYDVLRRNSQLANSVMQTLLSQLKQFYEP
+EPDVLPPLKLEACILTQGDQVFLQEPLDYLLCCIQHCLAWYKSRVVPLQQEEEEEEEGFY
+QYLDDMLESITNRMIKSELEDFELDKSADFSQSTGIGIKNNICASLVMGICEVLIEYNFF
+ISNFSKTKFETILSLFMCYKKLSDILNEKSGKGKTKMANRPTDSFLSMKFVSDLLTALFR
+DSTQSHEESLSVLRSSNEFMRYAVNVALMKVQQLKETGHVSGPDGQNPEKVFQNLCDITR
+VLLWRYTSIPTSVEESGKREKGKNISLLCLEGIQKILSAVQQFYQPKCHQFLKALDATDK
+EEEEEEVSVSERAAFQIRQFQRSLLNLLSSQEEDFKSKEALLLATVLTSLSKLLKPISAE
+FVQMLSWTSKICTENSCEDASFCKGMLSLLFNLHVSCKSPVGLLRDLSQDIHGLLGDIDE
+DVEVEKTNNFALVNLKTAAPTVCLLVLSKAEKVLEEVDWLITKLKGQANQKIIPEEASSQ
+AALTNHPMEKTTIIQLGTLLTFFHELVQTALPSGSCVDTLLKDLGKMYTTLTALVKYYLQ
+VYQPSTGIPKNMEKLVKLSGSHLTPLCYSFISYVQNKHSRSLKCTGEKEKTAAGPTAIAR
+ALRETKPIPNLIFAIEQYEKFLIHLSKRSKVNLMKHIKLSTSRDFKIKGNILDVVLRQEE
+DENEEGTASEHEGQNKEPAKKKRKKEMKCLS
+>tr|A0A3Q1MPQ0|A0A3Q1MPQ0_BOVIN Phosphoglycerate kinase OS=Bos taurus OX=9913 GN=PGK1 PE=1 SV=1
+MCRPEQFLWWRNDNLIVALNLTLCFFFRVDFNVPMKNNQITNNQRIKAAVPSIKYCLDSG
+AKSVVLMSHLGRPDGVPMPDKYSLQPVAVELKSLLGKDVLFLKDCVGPEVEKACADPAAG
+SVILLENLRFHVEEEGKGKDASGNKVKAEPTKIEAFRASLSKLGDVYVNDAFGTAHRAHS
+SMVGVNLPKKAGGFLMKKELNYFAKALESPERPFLAILGGYRELFKIML
+>tr|A0A3Q1MZX3|A0A3Q1MZX3_BOVIN DEP domain containing MTOR interacting protein OS=Bos taurus OX=9913 GN=DEPTOR PE=4 SV=1
+MAGAVSVRAGWGSEPSPQRTTETEGAARAMEGVGGGDGGARQRELERMAEVLVTGEQLRL
+RLHEEKVIKDRRHHLKTYPNCFVAKELIDWLIEHKEASDRETAIKLMQKLADRGIIHHVC
+DEHKEFKDVKLFYRFRKDDGTFPLDNEVKAFVRGQRLYEKLMSPENTLLQPREEEGVKYE
+RTFMASEFLDWLVQEGEATAREEAEQLCQRLLEHGIIQHVSNKHPFVDSNLLYQFRMNFR
+RRRRLMELLSEKSPSSQETHDSPFCLRKQGHDNRKSASFTSVSPSKEIKTASAARRSSMG
+SCGSSGYFSSSPTLSNSPPVLCNPKSVLKRPVTSEELLTPGAPYARKTFTIVGDAVGWGF
+VVRGSKPCHIQAVDPSGPAAAAGMKSLF
+>tr|A0A3Q1MH19|A0A3Q1MH19_BOVIN Serine/threonine-protein phosphatase OS=Bos taurus OX=9913 GN=PPP4C PE=3 SV=1
+MAEISDLDRQIEQLRRCELIKESEVKALCAKAREILVEESNVQRVDSPVTVGGDVPETNY
+LFMGDFVDRGFYSVETFLLLLALKVRYPDRITLIRGNHESRQITQVYGFYDECLRKYGSV
+TVWRYCTEIFDYLSLSAIIDGKIFCVHGGLSPSIQTLDQIRTIDRKQEVPHDGPMCDLLW
+SDPEDTTGWGVSPRGAGYLFGSDVVAQFNAANDIDMICRAHQLVMEGYKWHFNETVLTVW
+SAPNYCYRCGNVAAILELDEHLQKDFIIFEAAPQETRGIPSKKPVADYFL
+>tr|A0A3Q1LQL4|A0A3Q1LQL4_BOVIN CST complex subunit STN1 OS=Bos taurus OX=9913 GN=STN1 PE=4 SV=1
+MISALDAELFRADWKPQPSLQMESNSSQCEDETPSLLWGLDPVFLAFAKLYIRDILDLKE
+SGQVQGVFFYNGHPIKQVDILGTVIGVREKDAFYSYGVDDSTGVINCICWKRLNNTKSSS
+ATATPSARELSLTSQLKKLQETIAQRAKLEIGDIIRVRGHIRMFRGEREIHATTYYKVDD
+PVCNVQIARMLELPAIYRKVYDQPFHSPALKEDEALSNPGTLDLDSLTCLLSEKAKEFLV
+ENRVQSFYQQELETVESLLSLANQPVIHSACSGQMGFKNDTTSRAIHSIFRNAVKLLQEE
+GLVFQKDGGFDNLFYVTREDKELHRKIHRIIQEECQKPNHVEKGCHFLHILACARLSLSP
+GLSEPVLQQVLQLLEDQSDIVSTTEKYYTAF
+>tr|A0A3Q1NKF0|A0A3Q1NKF0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MAQTRTLKWINKQVSDLARDPLAHAGRFGDDTFHWQATIMGPNDSHFKVVLYSGQFR
+>tr|F1N143|F1N143_BOVIN Zinc finger protein 555 OS=Bos taurus OX=9913 GN=ZNF555 PE=4 SV=1
+MDLVVFEDVAVNFTLEEWALLDSSQKELYRDVMLETFRNLASVDGETQYKANGSVSQQDI
+YRNKISKEHKISKFTGNDSWPFVLGKVWEELSIEDQYTYQSRHPRNHVVERLQESNDQYG
+EGFSQIPNLNLYQKTLTGVKQYEYSPYKKAFMHRSLKNHSTACTGHKPYQCQECGQAYSS
+HSHLRTHVRIHNGERPFVCKLCGKTFPRTSSLNRHIRIHTAEKTYECQQCGKAFIDFSSL
+TSHVRTHTGEKPYQCKECEKAFSYSSTFRRHMITHTGEKPYKCMECGEAFSYSSTFRRHM
+ISHTGETPHKCKECGEAFSYSSAFRRHMITHTGEKPYECKQCGKTFIYLQSFRRHERIHT
+GEKPYECKQCGKTFIYPQSFRRHERTHGGEKPYECDQCGKAFSHPSSFRGHMRVHTGEKP
+YKCSQCGKTFNWPISLRKHLRTHTKEKPFECKQCGKAFNLSACFREHVRMHPGDKSYECK
+LCGKAFYCHLSLQKHMRRHTAEKLYECEQCQKAFSWPELLQQHVRTHTAEKPYKCKECGK
+VFKWPSSLPIHMRMHTGEKPYECKQCGKAFSCSSSLRRHARIHTVEKHYICNGENPANEL
+MHSASENSHEERNLIKVANLVLPL
+>tr|A0A3Q1N594|A0A3Q1N594_BOVIN Fer-1 like family member 6 OS=Bos taurus OX=9913 GN=FER1L6 PE=4 SV=1
+PGIIAITITEARQLVGENIDPVVIIEVGDEKKQSTVKEGTNSPFYNEVSHGGHQLPLHQH
+VFHHKLIGSVLIGSFKVDLGTVYNQPGHQFCDKWALLTDPGDIRTGTKGYLKCDISVTGK
+GDVLKPNPKTSDAEEQIEKNLLIPQGFPSERPWARFYVRLYKAEGLPKVNSSIMANVTKA
+FVGDSKDLVDPFVEVSFAGQTGRTTVQKNCADPVWHEQVVFKEMFPPLCRRVKIQVWDEG
+SMNDIVLATHFIDLKKISNEQDGDKGFLPTFGPAWINLYGSPRNHSLMDDYQELNEGFGE
+GVSYRGRILVEIQASDKTNSTEVEVEPFDIVPEKHEEFLLFGAFFEATMIDRRIGDKPIS
+FEVSIGNFGNLIDGGLHHRSRKKSADSAEEDTLPLLHEGEGDAAHDAAIPLVSTTHPEKP
+LVTEGNRNYNYLPFEAKKPCVYFVSSWGDQTFRLHWSNMLEKMADLLEEGIEEVKELIKI
+SEEAPEEKMKMENPGNACVNAHLSHPPVLPRQPQHTIPDVFIWMLSNNKRVAYARIAAKD
+LLYSPVRDQMGQHCGKIKTHFLKLPGKRPAGWSVQAKIDVYLWLGSTKHSSTILSNLPAG
+YEAEMSSKAAGIHHPPANLLYQDRHVFQLRAHVYQARGLIAADSNGLSDPFAKVTFLSHC
+QTTKVIPETLSPTWNQMLLFNDLVLHGDQKELAESPPLVVVELYDSDAVGKPEYLGATVA
+APVVKLADQDYEPPRLCYHPIFCGNLSGGDLLAVFELLQVPASGLQGLPPFDPPDVTQIY
+PVPASIRPVLSKYRVEVLFWGVREMKKVQLLSVDRPQVLIECAGRGVKSCVIQSYKNNPN
+FNVQADAFEVELPENELLHPPLSICVVDWRAFGRSTLVGTYTINYLKQFLCKSREPLSLT
+SQVGGAQVGKGRKILDLGAVGYEVVVPAGDSRKPSRRSTKRRKRTIADESAENVIDWWSK
+YYASLKKAQKVGSFLAVTVTSLSPPFFLKIYDGDLESEFNNFEDWVKTFDLLRGKSMEDD
+QGLDGDRVVGKFKGSFCIYKSLEDSSIEDSGQLRIQQGIPPNHPIKVLIRVYIVAAFNLS
+PADPDGKSDPYIVIKLGKTEIKDRDKYIPKQLNPVFGRSFEIQATFPKESLLSVLIYDHD
+LIGTDDLIGETRIDLENRFYSKHRAICGLQSQYEIEGYNAWRDTSKPTEILTKLCKDNKL
+DGPYFRPGEIQIGNQVFSGKTTFTKEDTGNSPQYETVESYEHLALRVLHSWENIPEVGCR
+LVPEHIETRPLYHKDKPGMEQGRLQMWVDMFPEDMPQPGPPVDISPRKPKGYELRVTIWN
+TEDVILEDENIFTGQKSSDIYVKGWLKGLEDDKQETDVHYNSLTGEGNFNWRFLFPFQYL
+PAEKQMVISKREHIFSLEKMECKTPAVLVLQVWDFERLSSDDFLGSLEMNLNSFPRAAKS
+AKACDLTKFENASEENMISIFQQKRVRGWWPFAKSKELTGKVEAEFHLVTAEEAEKNPVG
+KARKEPEPLDKPNRPNTSFSWFVSPFKCLYHLIWRNYKKYIIIGFILIILIIFLVLFIYT
+LPGAISQRIVGGS
+>tr|E1BKA2|E1BKA2_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=OR4K5 PE=3 SV=2
+MDKVNSSVVSEFVLLGLSSSQELQLFFFVFFSVLYMVIVLGNLLIILTVTSDSSLHSPMY
+FLLGNLSFVDICQASFATPKMIADFLSEHKTISFSGCIAQIFFIHLFTGGEMMLLVSMAY
+DRYVAICKPLHYVIIMRRRTCTVLVMISWAVGLVHTLSQLSFTVNLPFCGPNVVDSFFCD
+LPRVTKLACLDSYITEILIVVNSGILSLSTFSLLVSSYIIILITVWFKSSAAMAKAFSTL
+AAHITVVILFFGPCIFIYVWPFTSYPVDKVLAIFYTIFTPILNPIIYTLRNRDMKIAFRK
+IMIHYSRAKKISERPVIVRNSVY
+>tr|A0A3Q1MB95|A0A3Q1MB95_BOVIN NAD(P)(+)--arginine ADP-ribosyltransferase OS=Bos taurus OX=9913 GN=LOC618664 PE=3 SV=1
+MIQATLLISLSCLSLYTLGSGVRHYDPGQGVTIQYLSLAPDTFDDAYVGCSEEMEEKAVL
+LLEKEMANHTRLRESWETAQKAWEQKRAGLTLPPGFRSQHGIAIMVYTNSSNTLYRELNQ
+AVRTGGGSWESYMKHFPFKALHFYLTRALQLLRGGGGCSREPRQEVFRGVRRIHFVPKSV
+GDSIRLGQFASSSLDEAVACGFGSATFFSLRTCSGAPIQALSVFPEEREVLIPPYEVFVV
+SNFSKDGNKSLMTLSSSDQMCSHFNCAYLGGEPCMREAGLPGGPQLISVPRAFKRPTSLM
+RKLRARS
+>tr|E1BP03|E1BP03_BOVIN Sulfotransferase OS=Bos taurus OX=9913 GN=HS3ST3B1 PE=3 SV=1
+MGQRLSGGRSCLDVPGRLLPQPPPPLPPVRRRLALLFAMLCVWLYLLVYSCAGSCAAAPG
+LLLLGSGSRAAHAPPAPGPDGSPPRLPFPASPATQSAAGEEMAEGAASQEEQSPETPDSP
+SPISSFFSGSGSKQLPQAIIIGVKKGGTRALLEFLRVHPDVRAVGAEPHFFDRSYDKGLA
+WYRDLMPRTLDGQITMEKTPSYFVTREAPARISAMSKDTKLLVVVRDPVTRAVSDYTQTL
+SKRPDIPSFESLAFRNRSAGLVDRSWSAIQIGLYAEHLERWLRHFPARQMLFVSGERLVR
+DPAGELGRVQDFLGLKRIISDKHFYFNQTKGFPCLKKAEGSGRPHCLGKTKGRPHPEIDV
+QVLRQLRDFYRPFNRKFYQMTGHDFGWD
+>tr|A0A3Q1M4P2|A0A3Q1M4P2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=CCDC103 PE=4 SV=1
+MKRNDVINFKALEKELQAALIADEKYKRENAAKLRAVEQKVASYEEFRGIVLASHLKPLE
+QKDKMGGKRPVPWNCHTSQGRPFQDESNELSLEKTLFQPETSAEFYRDWRRHLRSGPERY
+EALLQLGGPKLGRLFQMDVGFGLLGEMLVALADHVRPADCRAVLGILHSLASTGRFTLNL
+SLMSRAERESCRALFQKLQAMGTPSSEGQGLGEQPGGLQEEEGLLQELLMLYHVD
+>tr|A0A3Q1MDV4|A0A3Q1MDV4_BOVIN Phosphopantothenoylcysteine decarboxylase OS=Bos taurus OX=9913 GN=PPCDC PE=4 SV=1
+MEPNSGRLPGLEATGPQMEPRATSSAAAPLRRQFRVLVGVTGSVAALKLPLLVSKLLDIP
+DTCVIRAWDRSKPLLFCPAMNTAMWEHPITEQQVGQLKDFGYIEIPCVAKKLVCGDQGLG
+AMAEVGTIVGKVKEVLSQRQLPAELNPGFLSPASL
+>tr|A0A3Q1M610|A0A3Q1M610_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=MSI2 PE=4 SV=1
+MADLTSVLTSVMFSPSSKMFIGGLSWQTSPDSLRDYFSKFGEIRECMVMRDPTTKRSRGF
+GFVTFADPASVDKVLGQPHHELDSKTIDPKVAFPRRAQPKMVTRTKKIFVGGLSANTVVE
+DVKQYFEQFGKVEDAMLMFDKTTNRHRGFGFVTFENEDVVEKVCEIHFHEINNKMVECKK
+AQPKEVMFPPGTRGRARGLPYTMDAFMLGMGMLGYPNFVATYGRGYPGFAPSYGYQFPGF
+PAAAYGPVAAAAVAAARGSGSNPARPGGFPGANSPGPVADLYGPASQDSGVGNYISATSP
+QPGSGFGHGIAGPLIATAFTNGYH
+>tr|A0A3Q1NA69|A0A3Q1NA69_BOVIN Gamma-soluble NSF attachment protein OS=Bos taurus OX=9913 GN=NAPG PE=4 SV=1
+MLWWTLGLPSLKTGFLKWKPDYDSAASEYGKAAVAFKNAKQFEQAKDACLKEAVAHENNR
+ALFHAAKAYEQAGMMLKEMQKLPEAVQLIEKASMMYLENGTPDTAAMALERAGKLIENVD
+PEKAVQLYQQTANVFENEERLRQAVELLGKASRLLVRGRRFDEAAISIQKEKNIYKEIEN
+YPTCYKKTIAQVLVHLHRNDYVAAERCVRESYSIPGFNGSEDCAALEQLLEGYDQQDQDQ
+VAEVCNSPLFKYMDNDYAKLGLSLVVPGGGVKKKAAAPPQAKPEGTAAPAAEEEEDEYAG
+GLC
+>tr|A0A3Q1LRR5|A0A3Q1LRR5_BOVIN MYB proto-oncogene like 1 OS=Bos taurus OX=9913 GN=MYBL1 PE=4 SV=1
+MAKRSRSEDEDDDLQYADHDYEVPQQKGLKKLWNRVKWTRDEDDKLKKLVEQHGTDDWTL
+IASHLQNRSDFQCQHRWQKVLNPELIKGPWTKEEDQRVIELVQKYGPKRWSLIAKHLKGR
+IGKQCRERWHNHLNPEVKKSSWTEEEDRIIYEAHKRLGNRWAEIAKLLPGRTDNSIKNHW
+NSTMRRKVEQEGYLQDGIKSERSSSKLQHKPCATMDHLQTQNQFYIPVQIPGYQYVSPEG
+NCVEHVQASSAFIQQPFVDEDPDKEKKIKELELLLMSAENEVRRKRVPSQPGSFSSWPGS
+FLMDDSMSNTLNSLEEHASEFYSMDENQTVSAQQNSPTKFLAVEANAVLSSLQTIPEFAE
+TLELIESDPVAWSDVTSFDLSDAAASPVKSTPVKLMRIQHNEGAMECQFNVSLVLEGKRN
+GCNGADGEAVPLTSPSVPKFSTPPTILRKKRRMRVGQSPGSELGDSSFNDGGHTALKHTP
+MKTLPFSPSQFFNTCPGNEQINIENPSFTSTPICGQKVLITTPLHKETTPKDQKENVGFR
+TPTIRRSILGTTPRTPTPFKNALAAQEKKYGPLKIVSQPLAFLEEDIREVLKEETGTDIF
+LKEEDEPAYKSCKQEHTASVKKVRKSLILDNWEKEEPGTQLLNEDLSDLQSTCEWETVVY
+GKTEDQLIMTEQARRYLSTYTATGSTSRALIL
+>tr|A0A3Q1MZD9|A0A3Q1MZD9_BOVIN RB transcriptional corepressor like 2 OS=Bos taurus OX=9913 GN=RBL2 PE=4 SV=1
+MPSGGDQSPPPPPPPPAAAASDEEEEDDGEAEDAAQPSRSPAPQTQQRFDELCSRLNMDE
+AARAEAWESYRSMSESYTLEGNDLHWLACALYVACRKSVPTVSKGTVEGNYVSLTRILRC
+SEQSLIEFFNKMKKWEDMANLPPHFRERTERLERNFTVSAVIFKKYEPIFQDIFKYPQEE
+QPRQQRGRKQRRQPCTVSEVFHFCWVLFIYAKGNFPMISDDLVNSYHLLLCALDLVYGNA
+LQCSNRKELVNPNFKGLSEDFHAKDSKPSSDPPCVIEKLCSLHDGLVLEAKGIKEHFWKP
+YIRKLYEKKLLKGKEENLTGFLEPGNFGESFKAINKAYEEYVLSVGNLDERIFLGEDAEE
+EIGTLSRCLNTGSGAETAERVQMKNILQQHFDKSKALRVSTPLTGVRYIKDSSPCVTPVS
+TATHSLSRLHTMLTGLRNAPSERLEQTLRSCSRDPTQAIANRLKEMYEIYSQHFQSEEDG
+SNCAKDIASKHFRFAEMLYYKVLESVIEQEQKRLGDMDLSVIEVFIRAEDGLCREVVKHL
+NQIEEQILDHLAWKPESPLWDRIRDNENRVPTCEEVMPPQNLERADEICIAGSPLTPRRV
+SEVRADSGGLGRSISSPTTLYDRYSSPTASSTRRRLFVENDSPTDGGTPGRTPPQPLVNA
+VPVQNVAGEAVSVTPVPGQTLVTMATATVTANNGQTVTIPVQGIANENGGITFFPVQVNV
+GGQAQAVTGSIQPLSAQALAGSLSSQQVTGTTLQVPGQVAIQQISPGGPQQKQGLPLTSS
+SIRPRKTSSLSLFFRKVYHLAGVRLRDLCAKLDISDELRKKIWTCFEFSIIQCPELMMDR
+HLDQLLMCAIYVMAKVTKEDKSFQNIMRCYRTQPQARSQVYRSVLIKGKRRRRNSGSSDS
+RSHQNSPTELNKDRTSRDSSPVMRSSSTLPVPQPSSAPPTPTRLTGANSDVEEEERGDLI
+QFYNNIYIRQMKTFAMKYSQANAMDAPPLSPYPFVRTGSPRRIQLSQNHPVYISPHKNEA
+MLSPREKIFYYFSNSPSKRLREINSMIRTGETPTKKRGILLEDGSESPAKRICPENHSAL
+LRRLQDVANDRGSH
+>tr|F1ME97|F1ME97_BOVIN 5-oxoprolinase OS=Bos taurus OX=9913 GN=OPLAH PE=4 SV=2
+MGTTVATNALLERQGERVALLVTRGFRDLLHVGTQARADLFDLAVPMPETLYEEVLEVDE
+RVVLYRGEPGAGTPVKGCTGDLLEVQQPVDLGGLRGKLEGLLSRGIRSLAVVLMHSYTWA
+QHEQQVGALARELGFTHVSLSSEAMPMVRIVPRGHTACADAYLTPTIQRYVQGFRRGFQG
+QLKDVQVLFMRSDGGLAPMDSFSGSRAVLSGPAGGVVGYSATTYRVEGGQPVIGFDMGGT
+STDVSRYAGEFEHVFEASTAGVTLQAPQLDINTVAAGGGSRLFFRSGLFVVGPESAGAHP
+GPACYRKGGPVTVTDANLVLGRLLPASFPCIFGPGEDQPLSPEASRKALEAVATEVNSFL
+TNGPCPASPLSLEEVAMGFVRVANEAMCRPIRALTQARGHDPSAHVLACFGGAGGQHACA
+IARALGMDTVHIHRHSGLLSALGLALADVVHEAQEPCSLPYAPETFAQLDQRLGRLEEQC
+VEALRAQGFPRSQISTESFLHLRYQGTDCALMVSAHQHPASARSPRAGDFGAAFVERYMR
+EFGFIIPERPVVVDDVRVRGTGSSSLRLEDVPKAHSGPPRVDKMTQCYFEGGYQETPVYL
+LGELGCGHKLQGPCLIIDSNSTILVEPGCQAEVTETGDIRISVGAETASVVGTQLDPIHL
+SIFSHRFMSIAEQMGRILQRTAISTNIKERLDFSCALFGPDGGLVSNAPHIPVHLGAMQE
+TVQFQIQQLGADLHPGDVLLSNHPSAGGSHLPDLTVITPVFWPGQTRPVFYVASRGHHAD
+IGGITPGSMPPHSTSLQQEGAVFLSFKLVHGGVFQEEAVTEALRAPGKIPGCSGTRNLHD
+NLSDLRAQVAANQKGIQLVGELIGQYGLDVVQAYMGHIQANAELAVRDMLRAFGTARQAR
+GLPLEVSAEDHMDDGSPIRLRVQINMSQGSAVFDFSGSGPEVFGNLNAPRAITLSALIYC
+LRCLVGRDIPLNQGCLAPVRVVIPKGSILDPSPDAAVVGGNVLTSQRVVDVILGAFGACA
+ASQGCMNNVTLGNAHMGYYETVAGGAGAGPGWHGRSGVHSHMTNTRITDPEILESRYPVI
+LRRFELRLGSGGRGRFRGGDGIIRELLFREEALLSVLTERRAFQPYGLMGGEPGARGLNL
+LIRKDGRTVNLGGKTSVPVYPGDVFCLHTPGGGGYGDPEDPAPLPGSPLQPLAFPERGSV
+YEYRRAQEAV
+>tr|E1BMH8|E1BMH8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=SLC41A3 PE=4 SV=3
+MLEASVPRVHRWARLATAEAEMKTTFLFVTENMTALTTGSARAGGIQAFKSDQFAQNQSG
+LKVTLRTGGCAPGKRRSPLRTPRRSPSLHWPVFTEMKDLLTLVPPLVGLKGNLEMTLASR
+LSTAANTGQIDDPREQYRVVSSNLALVQVQAAVVGLLAAVAALLLGLASGQGLDVVEAQV
+LCASSVLTASLAACALGTLMVCIVVGARKLGVNPDNIATPIAASLGDLITLSLLAVVSSF
+FYRHRDSQYLTPLVCVGFAALTPACVLIAKQNPPVVRILKFGWFPIVLAMLVSSLGGLIL
+NKTLSQPQFRGMAVFAPIMCGVGGNLVAIQTSRISTHLHLWGTPGVLPLWMKKCWPSPCS
+TFCSSEINATSARVLLVLVVPGHLAFFSIVSLLESQSVPSERTFVLLYLLAGLVQVTVLL
+HLADVAVRLAWRRALDPDDHCIPYLTGLGDLLGTGLLALCFLAHGLLRTGVWPSSPLDPA
+PGPS
+>tr|A0A3Q1LKJ3|A0A3Q1LKJ3_BOVIN BRD4_CDT domain-containing protein OS=Bos taurus OX=9913 GN=BRDT PE=4 SV=1
+ILVHQTACSNGTPPNHHQLVFNHQEPKHSQSVENISPLQILPLLDDSEQLLNGLTVMPQS
+SDNDTVMLESEYQGQVPVQKDIKIKNADSWKSLGKPVKTSGVLKSSDELFNQFRKAAIEK
+EVRARTQELIRKHLEQNTKEPKVFQENQRDHGFTLESFPNKMQNKCLEEEQKEDQQSQEA
+QDKDKLWLLKDRNLAREKEQERRRREAMAGTIDMTLQSDIMTMFENNFDYNSVF
+>tr|A0A3Q1NFJ2|A0A3Q1NFJ2_BOVIN Ecotropic viral integration site 5 OS=Bos taurus OX=9913 GN=EVI5 PE=4 SV=1
+MASQVASPSASLHTTSSSTTLSTPALSPSSPSQLSPDDLELLAKLEEQNRLLETDSKSLR
+SVNGSRRNSGSSLVSSSSASSNLSHLEEDSWILWGRVVNEWEDVRKKKEKQVKELVRKGI
+PHHFRAIVWQLLCSAQSMPIKDQYSELLKMTSPCEKLIRRDIARTYPEHNFFKEKDSLGQ
+EVLFNVMKAYSLVDREVGYCQGSAFIVGLLLMQMPEEEAFCVFVKLMQDYRLRELFKPSM
+AELGLCMYQFECMIQEHLPELFVHFQSQSFHTSMYASSWFLTIFLTTFPLPIATRIFDIF
+MSEGLEIVFRVGLALLQMNQAELMQLDMEGMLQHFQKVIPHQFDGGPDKLIQAAYQVKYN
+SKKMKKLEKEYTTIKTKEMEEQVEIKHKCSSNYNEDFVLQLEKELVQARLSEAESQCALK
+EMQDKVLDIEKRNNSFPDENNIARLQEELIAVKLREAEAIMGLKELRQQVKDLEEHWQRH
+LARTTGRWKDPPKKNAMIELQDELMTIRLREAETQAEIREIKQRMMEMETQNQINSNHLR
+RAEQEVINLQEKVQYLSAQNKGLLTQLSEAKRKQAEIECKNKEEVMAVRLREADSIAAVA
+ELQQHIAELEIQKEEGKLQGQLNKSDSNQYIRELKDQIAELNHELRCLKGQRGFSSQPPF
+DGIHIVNHLIGDDESFHSSDEEFIDNSLQESGIGFPLHRKSGPMSLDPTLADGSESEAED
+SVLETRDGSRVAQKEQPLRRASYSTTV
+>tr|A0A3Q1MGJ9|A0A3Q1MGJ9_BOVIN MPL proto-oncogene, thrombopoietin receptor OS=Bos taurus OX=9913 GN=MPL PE=4 SV=1
+MYQLLYAYPGEQPRTCPLSSQHVMPFRTRYVCQFPAQAEVRLFSQLHLWVKNVFLNQNLT
+QRVLSVDAVGLPTPPSLIKAMGGSQPGELQISWEAPAPEINEFLRHELRYGPKDPRNSSG
+ATVTQLLSAETCCPAQRRPNLAAALDPSPCSQPMMPQQTGPEQTSPTREAPSLTTKGGSC
+LISGLQPGNSYWLQLRSQPDGVSLRGSWGSWSLPVTVDLPGDAGEIGLQCFTLDLTNVTC
+QWQQQDHASSQGFFYHSRARCCPRDRDPVWEKCEEEKNSGSQSSQFSRCHFKSQNNSAIH
+ILVEVTTAQGAVHSYLGSPFWIHQAVLIPTPNLHWREVSSGQLELEWQHPSAWAAQETCY
+QLRYTAEGHQDWKVLEPPLGAQGETLELRPRSRYRVQLRARLHGPTFQGPWSSWSDPVRV
+ETASETVWIFLVTALLLVLSVSALLGLLLLRWQFPEHYRSLRHALWPSLPDLHRVLGQYL
+RDTAALSPPKAAVSDVYEEVEPSLLEILPRSSEKAPLPLCSSQAQLDYRGLQPSCLGTMP
+LSVCPPMAEPGSYCATHIANHSYLPLSCWQVPRSQYPGQIQTL
+>tr|E1BFF3|E1BFF3_BOVIN NADPH oxidase 3 OS=Bos taurus OX=9913 GN=NOX3 PE=4 SV=2
+MMGCWILNESLSIILVLSWLGVNLYLFINTFYWYEEEESFLYTRVILGSTLAWARASAVC
+LNFNCMLILLPVSRNLISFMRGTSACFRGPWRRQLDKNLKFHKLVAYGIVINATIHVGAH
+LFNLEHYYWSQSPEAEGLVAALSKLGDTPNETYLNPIRTFHTNTITELLTTIAGITGLLI
+SLALILIMTSSTEFIRQVSYELFWYTHHVFIIFFIGLAIHGAGRIVRGQTPESLLLHNIT
+LCRDHPEEWQVRCPVPQFSGKEPSAWKWVLGPMVLYACERIIRFWRFQQEVIITKVVSHP
+SGVLELCMKKRDFKMAPGQYVLIQCPSISTLEWHPFTLTSAPQEDFFSVHIRAAGDWTEA
+LCKAFGVEGQPLKEPWSLPRLAVDGPFGAALTDVFHYRVSMCIAAGIGVTPFAALLKSIW
+YQCCEAQTQCKLNKVYFYWICRDPGAFEWFADLLLALETKMSEQGKAHFLSYHIFLTGWD
+ENQAFHIALHWDENADVITGLRQKTYYGRPNWNHEFQQVAFSHPSSNIGVFFCGPKALSK
+ILQKMCRVYSSADPRGVHFYYNRESF
+>tr|E1BIQ3|E1BIQ3_BOVIN t-SNARE coiled-coil homology domain-containing protein OS=Bos taurus OX=9913 GN=STX16 PE=3 SV=2
+MATRRLTDAFLLLRNNSIQNRQLLAEQVSSHTTSSPLHSRSIAALADDRMALVSGISLDP
+EAAIGVTKRSPPKWVDGVDEIQYDVGRIKQKMKELASLHDQHLNRPTLDDSSEQEHAIEI
+TTQEITQLFHRCQRAVQALPSRARRACSEQEERLLRNVVASLAQALQELSTSFRHAQSSY
+LRRMKNREERSQHFFATSVPLMDDGEDNTLYDRGFTDEQLVLVEQNTLLVEEREREIRQI
+VQSISDLNEIFRDLGAMIVEQGTVLDRIDYNVEQSCIKTEDGLKQLHKAEQYQKKNRKML
+VILILLVIIIVLTVVLVGVKSR
+>tr|A0A3Q1M2F4|A0A3Q1M2F4_BOVIN Olfactory receptor OS=Bos taurus OX=9913 PE=3 SV=1
+MEWDNQTFNPDFILMGIFSYTPTHIFLFSLVLGIFTMALLANTLMVLVIYLDTRLHTPMY
+FLLSQLSLMDLMLICTTVPKMAHSYLSGRKSISVAGCEAQIFFYVSLFGAECFLLAVMAY
+DRSVAICYPLQYHSLMNWKLCGLMAVSTWILGGFDGIVDVAATMSFSYCGSREIAQFFCD
+VPALLHLSCMDTSTFETLIFICCVVMLLLPLSLIIISYTRVIITVIRMSSGEGRHKAFTT
+CTSHLTVVGMYYGAAMFIYMRPTSNRSPTQDKMVSAFYTILTPTLNPLIYSLRNKDVAKA
+FSKVLGKRVFRDSLNVVSCGD
+>tr|E1BDV2|E1BDV2_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC112445841 PE=3 SV=3
+MASINMSYLNPRTVILIGIPGLQHMQFWIGFPFFAVCLVALLGNIILLIIIPMERSLHQP
+MYIFLAVLAATDIGLCAAIAPKMLAIFWFRSYSMAFDTCLAQLFFIHALQCMESGILLAM
+ALDRYIAICDPLRHTSILTPSVLGRMVVIVAIRATVLVGLLPILIKRLHRFHSTVIAHSY
+CEHMAVVKLAAEDIRVNNTCGLFVGFTILGFDMIFILISYTLIFQAVLRLHQKEARLKAF
+NTCTAHIFVFLEFYILAFFSFFSHRFGHVVPSTHILLSTIYLLVPPALNPIVYGVKNKVI
+CKHVAQVLLLNHGSQQ
+>tr|A0A3Q1LN77|A0A3Q1LN77_BOVIN NBR1, autophagy cargo receptor OS=Bos taurus OX=9913 GN=NBR1 PE=4 SV=1
+MCPVRLDMLNCSVDERLIIHCCFFYLQVSINSQGEYEEALKMAVKQGNQLQMQVHEGCRV
+GEEAPPPTGTEKRLVARTGKKPLAHYSSVVRVLGSDMKTPEDPATQQLPPAPHNPDQPQD
+KPPDWFTSYLETFREQVVKETVEKLEQKLHEKLVLQHPSLGSCPSEVSMPVSEETLFLPE
+NQFSWHIACSSCQRSIVGVRYQCSLCPSYNICEDCESGPYAHDSNHVLLKLRRPVVGSSE
+PFSHSRFSTPRLPAALEQARLQKQVDKNFLKAEKQRLRAEKKQRKAEVKELKKQLKLHRK
+IHLWNSLHGLQNPKSPLGRPESLLQSNTPMLPLQPCAPVMPTLSAAFVDENLPDGTHLQP
+GTKFIKHWRMKNTGNVKWSTDTKLKFMWGNLTLASTEKKDVLVPCLKAGHVGVVSVEFIA
+PTLEGTYTSHWRLSHKGQQFGPRVWCSIIVDPFPSTESPDNSEKSMISSSRGDELPCQQE
+EAFLAKEEILPGETTEQTEGPGTRIPQKAKNAPSKRELYIPSVDLLTAQDLLSFELLDIN
+IVQELERVPHNTPVDMTPCMSPLPHDSPLIEKPGLGQIQEESEGAGFKALPDSTVSVKKK
+AENVSSMEEPEDDLSGTQFVCETVIRSLTLDAAPDHKPPWRQKSPQRAELQLYATEEQQP
+TVLPGFCSKESSLKFASPEEGPLADEREEIVQIAEEEAIVEEEDELKDEVRSQSSASSED
+YIIILPECFDTSRPLGDSMYSSALSQPGLERGAEGEPGIEAGQEPVEAGERPLGGDNQPQ
+GHNINDILMTSQTLDTVPLTPEVVGLPPQLPRSPPSAQHHGSPGVDLPVTAPEVSSVPGQ
+IREELRGPSGLVNSRPKSYDHSRHHHHHHGNSIAGGLVKGALSVAASAYKALFAGPPVTA
+QPIVSEDQTAALMAHLFEMGFCDRQLNLRLLKKHNYNILQVVTELLQVNNNDWYSHRY
+>tr|A0A3Q1LTP2|A0A3Q1LTP2_BOVIN Nuclear factor erythroid 2-related factor 2 OS=Bos taurus OX=9913 GN=NFE2L2 PE=3 SV=1
+MDLIDILWRQDIDLGVSREVFDFSQRQKEHELEKQKKLEKERQEQLQKEQEKAFFAQLQL
+DEETGEFLPIQPAQHIPSETSGSANYSQVAPIPKADDLYFDDCMQLLAETFPFVDDNEVS
+SATFQSLVPDIPSHIESPVFTAPPQAQSPETLIVQVATAVLDDMQDIEQVWEELLSIPEL
+QCLNIQNDKLAETSTVPSPETKLTEIDNYHFYSSMPSLDKEVGNCSPHFLNAFEDSFNSI
+LSTEDSSQLTVNSLNSSATVNTDFGDEFYSAFIAEPSTSNGMPSSATLSQSLSELLNGPI
+DLSDLSLCKAFNQNHPESTTAEFNDSDSGISLNTTSPSMASPDHSVESSIYGDTLLGFSD
+SEMEEIDSTPGNVKQKGPKTPSVWPPGDPVQPLSSSQGNSAAARDSQCENAPKKEVPVSP
+GHRKTPFTKDKHSSRLEAHLTRDELRAKALHIPFPVEKIINLPVEDFNEMMSKEQFNEAQ
+LALIRDIRRRGKNKVAAQNCRKRKLENIVELEQDLDHLKDEKEKLLKERGENDKSLHLLK
+KQLSTLYLEVFSMLRDENGKPYSPSEYSLQQTSDGNVFLVPKSKRPDIKKN
+>tr|A0A3Q1MLA5|A0A3Q1MLA5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MGLQTLNDKTVPGDRSMKNCDFLFSPPALTVRASILFLMQSLPSKNAGKARGLFNFL
+>tr|G3N2M0|G3N2M0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC100847720 PE=3 SV=1
+MAFMLSLLMAVVLISYSLGGSLGCDLSPNHVLVGRKNLRLLGQMRRLSPRFCLQDRKDFT
+FPQEMVKGGQLQEAQAISVLHELLQQTFNLFHTEHSSAAWDTTLLEQLHTGLHQQLDDLD
+ACLGQVMGEEDSALGRTGPTLAVKRYFQGIHVYLQEKEYSDCAWEIVRLEIMRSLSSSTS
+LQERLRMMDGDLNSP
+>tr|A0A3Q1N3X6|A0A3Q1N3X6_BOVIN Vav guanine nucleotide exchange factor 3 OS=Bos taurus OX=9913 GN=VAV3 PE=4 SV=1
+MEPWKQCAQWLIHCKVLPANHRVTWDSAQVFDLAQTLRDGVLLCQLLNNLRAHSINLKEI
+NLRPQMSQFLCLKNIRTFLTACCETFGMRKSELFEAFDLFDVRDFGKVITFYFLMPFPTE
+ESINDDDIYKGLPDLIEYVEHEDGLYDCVYGEDEGGEVYEDLMKAEEAQQSKCPENDIRS
+CCLAEIKQTEEKYTETLESIEKYFMAPLKRFLTAAEFDSVFINIPELVKVHRNLMQEIHD
+SIVNKNDQNLYQVFINYKERLVIYGQYCSGVESAISSLDYISKTKEDVRLKLEECSKRAN
+NGKFTLRDLLVVPMQRVLKYHLLLQELVKHTTDPMEKANLKLALDAMKDLAQYVNEVKRD
+NETLREIKQFQLSIENLNQPVLLFGRPQGDGEIRITTLDKHTKQERHIFLFDLAVIVCKR
+KGDNYEMKEIIDLQQYKIANNPTTDKENKKWSYGFYLIHIQGQNGLEFYCKTKDLKKKWL
+EQFEMALSNIRPDYADSNFHEFKMHTFTRVTSCRVCQMLLRGTFFQGYLCVKCGARAHKE
+CLGRVDNCSRVNSSLFCLSAQKRTNGLRRTHRPVDPGLPKMQAIRSYSGTPPPALHEGPP
+LHIQAGDTVELLRGDAHSLFWQGRNLTSGEVGFFPSDAVKPCPCVPKPVDYSCQPWYAGA
+MERLQAETELINRVNSTYLVRHRTRESGEYAISIKYNNEAKHIKILTRDGFFHIAENRKF
+KSLMELVEYYKHHSLKEGFRTLDTTLQFPYKEPEHSTGQRGNRAGISFLSPKVLGIAIAR
+YDFCARDMRELSLLKGDVVKIYTKMSANGWWRGEVNGRVGWFPSTYVEEDE
+>tr|A0A3Q1MJH2|A0A3Q1MJH2_BOVIN Thyroid hormone receptor interactor 4 OS=Bos taurus OX=9913 GN=TRIP4 PE=4 SV=1
+MAVAGAASREQLVGWCTEQLRKSFGLDVSEEIIQYVLSIESAEEIREYVTDLLQGNEGKK
+GQFIEELVTKWQKNDQELTSDALQQSFKKDDGQRSGDQLKRSRRKGRNKQEAPAFTEPDT
+TTEVKTPFDLAKAQDNSSSLKKKTKFVSLYTKEGQDKLAVLIPGRHPCDCLGQKHKLINN
+CLVCGRIVCEQEGSGPCLFCGSLVCTHEERDILQRDSNKSQKLLKKLMSGTENSGKVDIS
+TKDLLPHQELRFKSGLEKAIKHKDKLLEFDRTSIRRTQVIDDESDYFASDSNQWLSKIER
+EAIQKREEELREFRHASRLSKKITIDFAGRKIVEDEDPLAEYHSKLDEAIHAIANGTLNQ
+PVTKLDRSSEEPLGLLVNPNLYQSPPQWIDQMGAASQKKAFHPAGSGLEFSSSRHQFRIQ
+DQEFQEGFDGGWCLSMHQPWASLLVRGIKRVEGRNWYTPHRGRLWIAATAKRPSPQEVSE
+LQTTYLLLRGKGVEFPSDYPSGCLLGCVDLIDCLSQKQFKDQYPDISQEESDSPFVFICT
+NPQEMIVKFPIKGNPKIWKLDSKIHQGAKKGLMKQNKAG
+>tr|E1B7B1|E1B7B1_BOVIN SEC63 homolog, protein translocation regulator OS=Bos taurus OX=9913 GN=SEC63 PE=4 SV=1
+MAGQQFQYDDSGNTFFYFLTSFVGLIVIPATYYLWPRDQNAEQNRLKNIRKVYGRCMWYR
+LRLLKPQPNIIPTVKKIVLLAGWALFLFLAYKVSKTDREYQEYNPYEVLNLDPGATVAEI
+KKQYRLLSLKYHPDKGGDEVMFMRIAKAYAALTDEESRKNWEEFGNPDGPQATSFGIALP
+AWIVDQKNSILVLLVYGLAFMVILPVVVGSWWYRSIRYSGDQILIRTTQIYTYFVYKTRN
+MDMKRLIMVLAGASEFDPQYNKDATSRPTDNILIPQLIREIGSINLKKNEPPLTCPYSLK
+ARVLLLSHLARMKIPETLEEDQQFMLKKCPALLQEMVNVICQLIIMARSREEREFRAPTL
+ASLENCMKLSQMAVQGLQQFKSPLLQLPHIEEDNLRRVSNHKKFKIKTIQDLVSLKESDR
+HNLLHFLEDEKYEEVMAVLGSFPYVTMDIKSQVLDDEDSNNITVGSLVTVLVKLTRQTMA
+EVFEKEQSICAAEEQPADDGQGDTNKNKTKGGWQQKSKGPKKTAKSKKKKPLKKKPTPVP
+LSQPKQQKQKQANGVVGSEAAVKEDEEEVSDKGSDSEEEETNRDSQSEKDDGSDRDSDRE
+QDEKQNKDDEAEWQELQQSIQRKERALLETKSKITHPVYSLYFPEEKQEWWWLYIADRKE
+QTLISMPYHVCTLKDTEEVELKFPAPGKPGNYQYTVFLRSDSYMGLDQIKPLKLEVHEAK
+PVPENHPQWDTAIEGDEDQEDSEGFEDSFEEEEEEEEDDD
+>tr|A0A3Q1LU51|A0A3Q1LU51_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+LNAPPSTPPKLTVLFSVHSDLLPSASSPVHVEVLQGCVEMHQGRILRSCYDAPCQSVVQS
+HYNVNTDNIRNLKTE
+>tr|A0A3Q1M2M4|A0A3Q1M2M4_BOVIN Ectonucleotide pyrophosphatase/phosphodiesterase family member 2 OS=Bos taurus OX=9913 GN=ENPP2 PE=4 SV=1
+MVISLFTFAVGVNICLGVTANRIKRAEGWGEGPPTVLSDSPSINISGSCKGRCFELQEAG
+PPDCRCDNLCKSYSSCCLDFDELCLKTGESHWVDDDCEEIKTPECPAGFVRPPLIIFSVD
+GFRASYMKKGSKVMPNIEKLRSCGTHSPYMRPVYPTKTFPNLYTLATGLYPESHGIVGNS
+MYDPVFDAHFNLRGREKFNHRWWGGQPLWITATKQGVIAGTFFWPVVIPHERRILTILQW
+LTLPDHERPSVYAFYSEQPDFSGHKYGPFGPEMTNPLRDIDKTVGQLMDGLKQLKLHRCV
+NVIFVGDHGMEDVTCDRTEFLSNYLTNVDDIILVPGTLGRIRPKFNNHAKYDPKVIIANL
+TCKKPDQHFKPYLKQHLPKRLHYANNRRIEDVHLLVERRWHVARKPLEVYKKPSGKCFFQ
+GDHGFDNKVNSMQTVFVGYGPTFKYKTKVPPFENIELYNVMCDLLGLKPAPNNGTHGSLN
+HLLRTNTFRPTVPEEVTRPNYPGVMYLQSDFDLGCTCDDKAEPKNKLDELNKHLHIKEST
+EAETRKFRGSKNEIKENVNGNFEPRKERHLLYGRPAVLYRTRYDILYHTDFESGYSEIFL
+MPLWTSYTVSKQADVSDIPAHLTNCVRPDVRVSPSFSQSCLAYKNDKQMSYGFLFPPYLS
+SSPEAKYDAFLVTNMVPMYPAFKRIWNYFQRVLVKKYASERNGVNVISGPIFDYDYDGLH
+DTQDKIKQYVEGSSVPVPTHYYSILTSCLDFTQPADRCDGPLSVSAFVLPHRPDNDESCN
+SSEDESKWVEELLKMHTARVRDIEHLTSLDFFRKTSRSYPEILTLKTYLQTYESEI
+>tr|A0A3Q1LRP5|A0A3Q1LRP5_BOVIN Complement factor B OS=Bos taurus OX=9913 GN=CFB PE=1 SV=1
+MGIGHNPRLCLVPLILGLLCGGVGMTPLPEAGPQSPCSLEGVEIKGGSFRLLKAGQVLEY
+LCPSGFYPYPTQIRTCRSTGSWSTLQTQDRKIVKRAECKAIRCPRPQDFENGEYWPRAAY
+YNLSDEISFRCYDGYTLRGSANRTCQGNGRWDGETAICDDGGENRPPCPQQLLLPDHPQP
+EEPRTAFSHSHHLVAEAFLSSLTETIEGVDAEDGHSPGEQQKRKIVLDPSGSMNIYLVLD
+GSDSVGAHNFTGAKNCLRDFIEKVASYGVKPKYGLVTYATEPKVLIRVSDPKSSEADWVT
+DQLNQINYADHKLKAGTNTKRALLEVYNMMSREVNQFKETWNRTRHVIIIMTDGLHNMGG
+DPVTVIHDIRYLLDIGRNRKNPREDYLDIYVFGVGPLVNQENINALASKKDKEKHVFKLQ
+GMENLEDVFVQMLDESRTLGLCGMVWEHKDGTAYHKQPWQAKISVTRPSKGHESCMGAIV
+SEYFVLTAAHCFTVDDEKHSIKVSLGGQRKEWEVKEILFHPKYDLNAKKAKGIPEFYDYD
+VALVRLKEKLKYETTIRPICLPCTEGSIQALRLPRSTTCQQQMQELLPAKDIEALFVSES
+KKTLTRKAVYIKNGDKKASCERDALRAPGYEKVKDVSEVVTPRFLCTGGVDPYADPNTCK
+GDSGGPLIIHKRSRFIQVGVISWGVVDVCKRPQQVPGYARDFHINLYQVLPWLKEKLQNE
+DLGFL
+>tr|F1N4P2|F1N4P2_BOVIN Sarcoglycan alpha OS=Bos taurus OX=9913 GN=SGCA PE=4 SV=2
+MGRALLAEAGPSCSGTPARAFPCLLPAPVSVTHRQGQAGAAMAAALIWISLLVGLLEGLG
+GTEAQQTTLHPLVGRVFVHTLDHESFLQRPEHVFSVSAPIPITYHAHLQGHPDLPRWLRY
+TQRSPYQPGFLYGTATPEDRGHQIIEVTAYNRDSFNTTQQMLVLLIGDPEGPLLPYQAEF
+LVRSHDVEEVLPSIPASRFLTALGGLWEPAELQLVNITSALDRGGRVPLPIEGRKEGVYI
+KVGSASPFSTCLKMVVSPDSHARCAQGQPPLLSCYDTLAPHFRVDWCNVSLVDKSVPEPA
+DEAPAPGDGILEHDPFFCPPTEATNRDFLTDALVTLLVPLLVALLLTLLLAYVMCCRREG
+RLKRDLATSDIQMVHHRTIRGNTEELRQMASSREVPRPLSTLPMFNVHTGERLPPQVDSA
+QVPLILDQH
+>tr|F1MHF1|F1MHF1_BOVIN ST6 beta-galactoside alpha-2,6-sialyltransferase 1 OS=Bos taurus OX=9913 GN=ST6GAL1 PE=3 SV=2
+MTRTSLKKKVFSCCVLIFLLFAIICVWKEKKKGNYYEFLKLQNKEYQVLQGLEKLAVSSS
+SQPVSSSSTHNPQRNIQALGGPKAKLKATFQVWDKDSSSKNLAPRLQTIRKNYLNMNKYK
+VTYKGPGPGVKFSAEALLCHLRDHVNISMIEATDFPFNTSDWEGYLPQEDIRTKAGPWGR
+CAVVSSAGSLKSSRLGREIDDHDAVLRFNGAPTVKFQQDVGTKTTIRLVNSQTH
+>tr|A0A3Q1LNX8|A0A3Q1LNX8_BOVIN Peptidase S1 domain-containing protein OS=Bos taurus OX=9913 GN=LOC505326 PE=3 SV=1
+IPFLLISIVILLMIYRKIIGGHEAKPHSRPYMVFLQFKISGEPQRCGGFLVLPGPHPSVD
+SINVTLGAHNIMDRERTQQFIPVRRPIPYPRYNKTWANDIMLLQLMRKANVTTAVSPISL
+PRDWDTVNPGMLCSVAGWGRLDVDMSRTKKLQEVELEVQRAEKCTSRYKYYSTTTQICVG
+DPRKRKSSFKGDSGGPLVCNGVAQGIVSYGKKDGTPPRVFTRISSFLPWIQKTMKQYELE
+GPD
+>tr|A0A3Q1MPQ5|A0A3Q1MPQ5_BOVIN ALMS1, centrosome and basal body associated protein OS=Bos taurus OX=9913 GN=ALMS1 PE=4 SV=1
+MEPEDLPWPGELEEEEEEEGAENLDEDEVVMVEEMEEEERREPEADFNYESQRRESTDEE
+DDEEAKAWLQAHPGATLPQASLPRHRYSESELTSPGKRTESWHCLPQEMDSSCTLDTAQT
+GFNMREKGTEVTDFTSLEEGILTQSENQGKDPNRDLLCSPLLVLQDNFASPDLPLLTCLT
+ERQEFGPDSLFHQSELDFAPLRGIPGKSEDTEWFSRPSEVSEALFQAASGVASDLVNSCF
+SRSQHPLIGSTAVKSQHSLLTPEQGTKEETASSNTLDLKTCEDSGNYDAVYSYMSWETQQ
+VMQEPATNLADKDHVSFSTASDISDEYINPRGSDNFDASYLYAQYGNQGTLQQSEKYLAS
+KDHDSYSDSSDTIDRNKIPKESDNFSFSNMPWSKQGASHHPEIYLISKDHVSFPHEVAPH
+FNNKMPHSFWHCLLGSGGSEVPLMSDSHYFESVCLRAPVENEVKQKVDSLESYKSNEEDL
+GKGLSQCFEVKENRNTLVFSEVHPRSSEIQYIENVVVPDNSVEVSEAHILSRGLDTSTME
+ISGGPLQTIKFNSNETSEQLYFQEERNQEATSVFDGENVGAMKNVAFRAVIASIEPSKKE
+STVNEIQTDINKSLTNDSQESEPKSPDPSLLNYDENLLFDKLKRPRYQSTPGVFESGASK
+SLYKKDDGDSSLYWHHNLNSIPSASQEILIKPLSLSPELKPSSSLSEKSLKQAVGLGRTW
+STLFQYGIDNEQFLPLGKIKSVSALDLAEKLGSSNIIYPMKVWTSDSLVSQDLKQHSFEE
+ADSSNCILGKTVNSSYIIEGPSAAVGSGFSANLVACDAKSQGALPVMSNAPLIAVGQKTL
+LKADTGQGEIPRPLGARSSFTIHTIMQNDSYFVEGLQGKAESDICTLDDDTECCSVDENA
+VVCSKRQEICDQGDLTGECVELAGLENLLDDLEICDSSLQWQSTVRLPSKEDSSFEDSVC
+PSNTDINESFSSVLPPFVPHEPTGDLEYHSSNLRMLRVSPDTVSRTVRHLTGDTSKGGIA
+EIPQSTFKPGITTFPEDSDTVSPLSLSPEDFPQLAGRSPQVKNIGEYTDTVNQQTLTDSR
+LTEETLKISAIPGLADQKTGIAAVSSSSYSQRGKPNIFYPQALPDSHLTEEALKVGAVPG
+LGDQKTGVSTVPPSSYSLGEKHSIFYPQALPDSHQTEESRNVSVLPGLADQKTGKPIVPA
+SSYSVGEEPGIFYHQVLPDSQPPEEALKVLASPRPVDQKSGLPTPSSTYSYGEKPHIFYQ
+QTLPDTHPSEQTLKVSAAPGLAEQKTGVPAVTSASYPHKEKPSIFYQPELPNRRLIQEAH
+QVSAAPVLVEQKAGISIVPSTSYSHREKPRVVYQQELPESHLIQEVQQVSAAPGLAEQKT
+GIPTVPSTSYSHREKPSIVYQQELSDSQLIEQSQKVSSVPGLAEQKTGIPTVPSTSYSHR
+EKSSIFYQQELPDSHLTQEVQQVSTAAGPLEQKTGIPTVPSTSYSHREKPHIFYQQELPE
+SHLTEQAHKVSSVPGLAEQKTGISTVASTSYSHREKPSILYQQELPDIHVIHEVPEVSSV
+PGLAEQKARIPVIPSTTYSHREKPSILYQQELPDSHLTEQVQKASAAPGPAEQKTGIPII
+TSASYSYREKPIIFYQQDLPDSHLTKEAQKVPTVSGLAHQKSGIPIVPPAFYSHGGKTSL
+FYQQELPDSHLSDQTQKISAIPGPVDQNTGIQTAPSSSYSYREKPIAFYQQELPGRLLTE
+EVLKVSALPRTTDQNTGIITGSSQSYLFGEKPSGLFYQHVLPDGSLTEEALKVSPASRPS
+DQKTGVLLLPASSYSHREKPGIFYPQALPSSHLSEETLKDSAVLGPADQKTGTSEPSGSY
+SHREKSSIFYQQELPDSHITGEALKISGVSGTGDQKTGIPIVTSPSYSQREKPIVFYQQV
+LPDTHLTKEALNVSNAPAQADQKTGIPIVTSPSFSYNEKPIIFYQQALPDSQLTVEALKV
+SDVPGPSDQKTGIPTVPPSSYSYREKPIISYQQESPDLTQVALKVFEVPGPADQKIGIPP
+VTSASYSYGEKPIISYQQELPDANENALRGLGVPRQADQTVGVRIVPPASYSHRESPIFS
+YQQELPDLTEEALKVFALPGPADQNAEIPIGPSSSYSHKERLKTSPDDQKTELPSTPSGS
+YSHREKPKTSTIIGPADQKTPLLTGFPNSYSQRVKPSIFFQQQLGDRHQSGDILKISSVA
+EPTDVNSQISIPLSASYSHSEKSSVFYPKELPDKHLREDSLKVSTVPGPADKKTVLPAVP
+PSSFSHREKPAILYHQDFPERHLTEDNLKFSSSLEQADQNNGLSTVPPGTYSHSEKHKPV
+SHHVQRLTDNLDSSHTSVISNNMPLNSQADGRVVINKPESSGFEDVGSEEIRDTENSSRT
+LKEIQTLLMEAENIALRRYNVPAPLVPFRDVSDISFIQSKKMVCFKEPLTTDESNDDLSQ
+RQPFTEESSSNKCIQKDISTQTNLKCQRGIENWEFISSTTVRSPLQEAEGKARVAFDETF
+KQYKAARSVMRSEPEEYSRTIGNKIVIPMMTIIKSDSSSDASSCSWDSNSLESVSDVLLN
+FFPYSSPKTSMTDSREEEGVLESDDGGGSSVDSLAAHVKNLLKCESSLNHAKQILKNAEE
+EESRVRARAWNLKFNMAHDYGYSISELNEDDRRKVEEIKAKLFGQGRTTDLSKDLQSPRG
+IGYKPEAVCSHIIIESHEKGCFRTLTAERPRLDSHPCVFRSAEPSEIVRGQRSPSSWRTR
+HINLSKSLDQNNSQFKVWNSLQLQSHSPFQNFISEDLEISKGLRMPFHEKLDPWLSELVE
+PACMPPEEMDCHSSSQMLPPEPMKKFTTSITFSSHRHSKCFSDSSFLKVGVTEGSQCSGA
+SVGVVNSHFTEEQNPPRDLKQRTSSPSSLKIISQSPDKAVTILAENSSQSQKLSVEHSYQ
+EEKPLEKSDFKSSHPKPSASANDSNFKEVHFSDNHTLISMGRPNSTLEVKEKNVTITADL
+PSHIFLEQEVFEQSKAPHADHHVRKHHSPPPQRQDYVAPNLPCRIFLEKRELFKQSKAPH
+VDQLRENHSPLPQSQDYIASDLPSSIFLEQRQLFEQSKAPNVDHHLRKLHSPLPQSQDSV
+VEKNNEHKPKLHISDVIDVEAKFSDVVSPSVPNQCTVVTSASTPPSNRKALSCIRITLCP
+KTPSKLDSGNLDKRFHSLDPATKTRMNSEFNSDLQTISSRSLEPTSKLLISKPVAQNQES
+LGFLGPKSSSDFQVVQSPLPDSNVITQDLKTIPYQNSQIVTSRQTQVNSSDLEEYSNPEG
+TPVSADRSPEEIKSPFSPFSGKLSSDAVTQITTESPGKATFSSEIFVNAEDRGHEIPELN
+TQKSGNIPVKFASSSSVQQITVPHGTDGQPLLLPYKPSGSTKMYYVPQLTQIPSSLDSKS
+DTTIESSHSGSNDAIAPDFPAQVLGTRDDDLADTVNIKHKEGIYSKRAVTKASLSMGKKP
+FQKDNADAHVQVLITGDEDLSDKNQEKEIYTKKAVTKIAGSEEKESLEKDTTGSSTAAAS
+QHSTQDTKTDSLPDTKAIKQKEEVHSKRKVPKEAWSEEKKALQIDIAESRCHSEFENTTH
+SVFRSAKFYFHHPVHLSSDQDFCHESLGRSVFMRHSWKDFFQHHPDKQREYTCLPSPCQH
+MEKTKTDYTRIESLSINVNLENKELKRATQSQARDFPKSDKQINDLKRDPKATPELTSEH
+TVSLNELWNRYQERQKQQKPEVSAKKELSLVERLDRLAKLLQHPITHSLQPSESTQDDSR
+GEQDAKEWSDKQQQQKNKLQKKKRYKSLEKCHKNTGDLKKNKILSAHQAGRPNQIKIEQF
+KFDKYILRKHPGFHYINNTSSDSRHSEDSELLTDTTTNILSTTTSPVESDILTQTDKEMT
+LHERSSSISTIDTARLIHAFGHERVCLSPRRIKLYSSITDHQRRYLEKRSKKNKKALNTG
+YPQITSEHTRRKHIQVTDHVSSDSVSSSTSSFWSSNSTLCNKQNTHMLNKGVQAGNLEIV
+SGVRKNTRDVGMTFPTPSSSEARVEEDSDVTSWSEEKIEEKRLLTSYLGDKKLKKNKKTC
+CEGVSWFVPVENMKSGPKKENMPKLHGPGVSWFAPITNTKPWREPLREQNWQGQHMDSHS
+SLAGPGKEPLKPFVRATLQESLQLHRPDFISRSGERIKRLKLIVQERKLQNMLQSEREAL
+FNTVQEWQGYRDPVHLLRKRGFLAAQKKRPVGKKEMIQRSKRIYEQLPEVQKKREEEKRR
+SEYKSYRLRAQLYKKKVTNQLLGRKVPWD
+>tr|A0A3Q1MUT2|A0A3Q1MUT2_BOVIN Dpy-19 like C-mannosyltransferase 3 OS=Bos taurus OX=9913 GN=DPY19L3 PE=4 SV=1
+MISIRQRKGIQTTEVSEDYTAQEENVKLEDKLPSSCTNRRLWKILSFTVGGTVALCIGLL
+TSVYLATLHENDLWFSNIKEVEREISFRTECGLYYSYYKQMLQAPTLMQGFRGLIYDNKT
+ESMRTINLLQRMNIYQEVFLSILYRVLPIQKYIEPVYFYIYTLFGLQAIYVTALYITSWL
+LSGTWLSGLLAAFWYVTNRIDTTRVEFTIPLRENWALPFFAIQIAAITYFLRPNLQPLSQ
+RLTLLAIFISTFLFSLTWQFNQFMMLMQALVLFILDSLDMLPAMKVTWLYGIQLTGLLLV
+CMLQFFNSMILGSLLISFNLSVLIARKLQKNLKTGNFLNRLGKLLLHLFVVLCLTLFLNS
+IIKKILNLKSDEHIFKFLKAKFGFGATRDFDANLYLCEEAFGLLPFNTFERLSDTLLFYA
+YIFVLSITVIAALAVAFRNLSDSANQQSMCKMGKYTIGLKPDTAYNLIHTILFGFLALST
+MRMKYLWTSHMCVFASFGLCSPEIWELLLKLIHLYNPKRICIMRYSIPILILLYLCYKFW
+PGMMDELSELREFYDPDTVELMNWINANTPGKAVFAGSMQLLAGVKLCTGRTLTNHPHYE
+DNSLRERTKAVYQIYAKRAPEEVHALLRSFGTDYVILEDSICYERRHRRGCRLRDLLDVA
+NGHMMDGPGENDPDLKLAGHPRFCEEIKKNLPSYTAYFTRVFQNKTFHVYKLSRNK
+>tr|E1BKA8|E1BKA8_BOVIN Receptor interacting serine/threonine kinase 3 OS=Bos taurus OX=9913 GN=RIPK3 PE=4 SV=3
+MSGSTLWPSGASAPLVPIEELENPELIGKGGFGSVFRAHHRSWGVEVAVKIVNSRAISRE
+VKAMSSLRNTNVLPLLGVTEKLVWEYVSGPALVTPFMENGSLAVLLQPRCPRPWPLLCQL
+LQELVLGMCYLHSQNPVLLHRDLKPSNVLLDSELHAKVADFGLSTFQGGSQSGAGSGESG
+CTPAYLAPELLANINQKASRASDVYSFGILTWAVLAGREAEMPQTSLVREAVCERQIRPP
+LTELPPSGPETPGLEELTDLMQKCWSHEPQKRPSFQECRINTKKALDLVNKGDVSGRKMN
+AAVFMVKEFLSEHRGSNSPGLERTEIDSPRETTGSHDSLVSEMMNLNLEGCPSSVPEKCT
+NLLESIGVQREQVPPAWTAETSSDSTARPPQTPETLPFRTQNPCPTSAWTPGPGPQGSQG
+AERCDTNWPPRGPAPNLVPGPWFPTIFNCQGVQIGNNNRLIIQGGTALSTKGVAPGGVDR
+GPQCTPQGRSSKEGPQEPEAWSGP
+>tr|A0A3Q1MV19|A0A3Q1MV19_BOVIN Ras association domain family member 1 OS=Bos taurus OX=9913 GN=RASSF1 PE=4 SV=1
+MHCASRRAQRATPQGSRSRAGATASSPRGPLRTRGVTCVATSSGASCARVCSARDEPVEW
+ETPDLSQAEIEQKIKEYNGQINSNLFMSLNKDGSYTGFIKVQLKLVRPVSVPSSKKPPSL
+QDARRGPGRGTAVKRRTSFYLPKDAVKHLHVLSRTRAREVIEALLRKFLVVDDPRKFALF
+ERAERHGQVYLRKLSDDEQPLRLRLLAGPSEKALSFVLKENDSGEVNWDAFSMPELHNFL
+RILQREEEEHLRQILQKYSYCRQKIQEALHACPLG
+>tr|E1BE99|E1BE99_BOVIN Homeobox D12 OS=Bos taurus OX=9913 GN=HOXD12 PE=4 SV=3
+MCERSLYRAGYVGSLLNLQSPDSFYFSNLRPNGGQLAALPPISYPRGALPWATTPASCAP
+AQPAGATAFGSFPQPYLAGSGPLGLQPLGAKDGSEEQAKYYTPDAAAEPEERGRARPPFI
+PESSLAPAAAALKAAKYDYTGMGRVAPGSSTLLEGTPCSAGFKDDAKGPLNLNMTMQAGV
+ASCLRPSLPDGLPWGAAPGRARKKRKPYTKQQIAELENEFLLNEFINRQKRKELSNRLNL
+SDQQVKIWFQNRRMKKKRVVLREQALALY
+>tr|A0A3Q1M7H6|A0A3Q1M7H6_BOVIN Contactin-1 OS=Bos taurus OX=9913 GN=CNTN1 PE=4 SV=1
+KVQLIIFFLLWIMLLKSCLKILHHMQVSEEDKGFGPIFEEQPINTIYPEESPEGKVSLNC
+RARASPFPVYKWRMNNGDIDLTSDRYSMVGGNLVINNPDKQKDAGIYYCLASNNYGMVRS
+TEATLSFGYLDPFPPEERPEVRVKEGKGMVLLCDPPYHFPDDLSYRWLLNEFPVFITMDK
+RRFVSQTNGNLYIANVEASDKGNYSCFVSSPSITKSVFSKFIPLIPLPERTTKPYPADIV
+VQFKDVYALMGQNVTLECFALGNPVPDIRWRKVLEPMPSTAEISTSGAVLKIFNIQLEDE
+GIYECEAENNRGKDKHQARIYVQAFPEWVEHINDTEVDIGSDLYWPCVATGKPIPTIRWL
+KNGYSYHRGELRLYDVTFENAGMYQCIAENTHGAIYANAELKILALAPTFEMNPMKKKIL
+AAKGGRVIIECKPKAAPKPTFLWSKGTERLVNSSRILIWEDGSLEINNITRSDGGVYTCF
+VENNKGKANSTGTLVITDPTRIILAPINADITVGENATMQCAASFDPALDLTFVWSFNGY
+VIDFNKENIHYQRNFMLDSNGELLIRNAQLKHAGRYTCTAQTIVDNSSASADLVVRGPPG
+PPGGLRIEDIRATSVALTWSRGSDNHSPISKYTIQTKTILSDDWKDAKTDPPIIEGNMEA
+ARAVDLIPWMEYEFRVVATNTLGIGEPSIPSNKIKTDGAAPNVAPSDVGGGGGSNRELTI
+TWAPLSREYHYGNNFGYIVAFKPFDGEEWKKVTVTNPDTGRYVHKDETMRPSTAFQVKVK
+AFNNKGDGPYSLTAVIHSAQDAPSEAPTAVGVKVLSSSEISVHWEHVVEKIVESYQIRYW
+ASHDKEAAAHRVQVASQEYSARLENLLPDTQYFVEVRACNSAGCGPPSDMIETFTKKAPP
+SQPPRIISSVRSGSRYIITWDHVVALSNESTVTGYKVLYRPDGQHDGKLYSTHKHSIEVP
+IPRDGEYVVEVRAHSDGGDGVVSQVKISGASILSPCLLGFLLPALGILVYLEF
+>tr|A0A3Q1LSA8|A0A3Q1LSA8_BOVIN Sulfotransferase OS=Bos taurus OX=9913 PE=3 SV=1
+MPKTLDGQLTMEKTPSYFVTNEAPKRIHSMAKDIKLIVVVRNPVTRAISDYTQTLSKKPE
+IPTFEVLAFKNRTLGLIDASWSAIRIGIYALHLENWLQYFPLSQILFVSGERLIVDPAGE
+MAKVQDFLGLKRVVTEKHFYFNKTKGFPCLKKPEDSSAPRCLGKSKGRTHPRIDPDVIHR
+LRKFYKPFNMMFYQMTGQDFQWEQEEGDK
+>tr|E1BFH8|E1BFH8_BOVIN Poly [ADP-ribose] polymerase OS=Bos taurus OX=9913 GN=PARP2 PE=4 SV=3
+MAARRRGTAGGRARAERVNNGKTVAEDPPPAKKIRKCHRLKKEPVAEGKTDSDRTEDKQE
+SVKTLLLKGKAPVDPECTTKVGKAHVYFEGNDVYDVMLNQTNLQFNNNKYYLIQLLEDDA
+QRNFSVWMRWGRVGKTGQHSLVACSGDLNKAKEIFQKKFLDKTKNNWEDREKFEKVPGKY
+DMLQMDYASNTQNEEETKKEESLKSRLKLESQLDLRVQELIKLICNVQAMEEMMVEMKYD
+TKKAPLGKLTVAQIKAGYQSLKKIEDCIRAGQHGRALMEACNEFYTRIPHDFGLRTPPLI
+RTEKELSDKVQLLEALGDIEIAIKLVKTELQSPEHPLDQQYRKLRCALHPLDHESYEFKV
+ISQYLQSTHAPTHSDYTMTLLDVFEVEKEGEKEAFREDLHNRMLLWHGSRLSNWVGILSH
+GLRIAPPEAPITGYMFGKGIYFADMSSKSANYCFATRLKDTGLLLLSEVALGQCNELLGA
+NPEAEGLLQGKHSTKGLGKMAPSPACAITLNGSTVPLGPASDTGILNPEGYTLNYNEFIV
+YNPNQVRMRYLLKVQFNFLQLW
+>tr|E1BLJ5|E1BLJ5_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=2
+MGSRLLCWATLCLLGVGHTGAGAVSQSPRHRVAGRGQTVNLRCDPISGHVSLYWYRQTLG
+QGPEFLTYFQDEQQLDKSGMPKNRFYAERPEKTYSYLKIQPAEPGDSAVYLCASSPTTAQ
+HSRLLPVHKPHLSVSLQLPEPLNRLFFAFHSPICGASDKEFLCNAEDPGSNPGSVRSPGE
+GNGYPLQYSCLESSLDRGV
+>tr|G3MYF0|G3MYF0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=3 SV=2
+KRGGIGRGCGWSHTSGRAESRASNYREPPLCFLIKSSMIGAVIGRGGSKIKDIQDSTSTK
+IQIVKGGPEAEVKIFGRKDMKAKAKAAIETLVKKQERTYSSECSVDSDASQALAERSWSR
+NNTTRATQAAQPRIDWDQVKAGVVEWKKRKWADLPPIKKNLYIESKATHSLSEAQVEIWR
+KENFNIRCDDLTEGEKRPIPKPTCTFEDAFQQYPEIMQSIRRAGFQKPTPIQSQSWPIIL
+QGIDLIGIAQTGTGKTLSYLMPGFIHIHSQPVSRKQRNGPGMLVLTPTRELALQVEAECS
+KYLYKGLKSVCIYGGGNRKGQIQDVTKGVDIIIATPGRLNDLQMNNFVNLRSITYLVLDE
+ADKMLDLGFEHQIMKILLDVRPDRQTVMTTASWPDSTRRLAQSYLKQPMIVYVGTLDLVT
+VNTVKQNIIVTTEEEKRSLVKEFLQSLSPKDKVIVFVSRKLVADDLSSDLSIQGIPVQSL
+HGDREQSDRDQALEDFRTGRVKILIATDLAARGLDVSDVTHVYNYNFPRNIEEYVHRVGR
+TGRAGKIGESITLVTQDDWKIADELIKILQRANQIVPPSLRSMADRFKKPKNQPHSNQER
+TSGEEREGFKIISCRLYRI
+>tr|E1BP22|E1BP22_BOVIN Ring finger and CCCH-type domains 2 OS=Bos taurus OX=9913 GN=RC3H2 PE=4 SV=2
+MPVQAAQWTEFLSCPICYNEFDENVHKPISLGCSHTVCKTCLNKLHRKACPFDQTAINTD
+IDVLPVNFALLQLVGAQVPDHQSVKLSNLGENKHYEVAKKCVEDLALYLKPLSGGKGVAS
+LNQSALSRPMQRKLVTLVNCQLVEEEGRVRAIRAARSLGERTVTELILQHQNPQQLSANL
+WAAVRARGCQFLGPAMQEEALKLVLLALEDGSALSRKVLVLFVVQRLEPRFPQASKTSIG
+HVVQLLYRASCFKVTKRDEDSSLMQLKEEFRSYEALRREHDAQIVHIAMEAGLRISPEQW
+SSLLYGDLAHKSHMQSIIDKLQSPESFAKSVQELTIVLQRTGDPANLNRLRPHLELLANI
+DPNPDAVSPTWEQLENAMVAVKTVVHGLVDFIQNYSRKGHETPQPQPNSKYKTSMCRDLR
+QQGGCPRGTNCTFAHSQEELEKYRLRNKKINATVRTFPLLNKVGVNNTVTTTAGNVISVI
+GSTETTGKIVPSTNGISNAENSVSQLIPRSTDSTLRALETVKKVGKVGTNGQNAAGPSVE
+SVTENKIGSPPKTPVSNVAATSAGPTSAGTELNSVPPKSSPFITRVPVYPQHSENIQYFQ
+DPRTQIPFEVPQYPQTGYYPPPPTVPAGVAPCVPRFVRSNNVPESSLPPASMPYADHYST
+FSPRDRMNSSPYQPPPPQPYGPVPPVPSGMYAPVYDSRRIWRPPMYQRDDIIRSNSLPPM
+DVMHSSVYQTSLRERYNSLDGYYSVACQPPSEPRTTVPLPREPCGHLKTSCEEQIRRKPE
+QWAQYHTQKAPLVSSTLPVATQSPTPPSPLFSVDFRTDFSENVSGTKFEDDHLSHYSPWS
+CGTIGSCINAIDSEPRDVIANSNAVLMDLDSGDVKRRVHLFETQRRTKEEDPIIPFSDGP
+IISKWGAISRSSRTGYHTTDPVQATASQGSATKPISVSDYVPYVNAVDSRWSSYGNEATS
+SAHYIERDRFIVTDLSGHRKHSSTGDLLSIELQQAKSNSLLLQREANALAMQQKWNSLDE
+GRHLTLNLLSKEIELRNGESDYTEDAADTKPDRDIELELSALDTDEPDGQGEQIEEILDI
+QLGISSQNDQLLNGTAVENGHPVQQHQEEPLEQKRQSLGEDRVILEEQKTILPVTSCFSQ
+PLPVSISNASGLPITTSVSVGNLILKTHVMSEDKNDFLKSVANGKMVNS
+>tr|F1N2B8|F1N2B8_BOVIN Pumilio RNA binding family member 2 OS=Bos taurus OX=9913 GN=PUM2 PE=4 SV=3
+MSQPIMVQRRSGQGFHGNSEVNAILSPRSESGGLGVSMVEYVLSSSPADKLDSRFRKGTF
+GTRDAETDGPEKGDQKGKASPFEEDQNRDLKQGDDDDSKINGRGLPNGMDADCKDFNRTP
+GSRQASPTEVVERLGPNTNPPEGLGPLPNPTSNKPLVEEFSNPETQNLDAMEQVGLDSLQ
+FDYPGNQVPMDSSGATVGLFDYNSQQQLFQRTNALTVQQLTAAQQQQYALATAQQPHIAG
+VFSAGLAPAAFVPNPYIISAAPPGTDPYTAAGLAAAATLAGPAVVPPQYYGVPWGVYPAN
+LFQQQAAAAANNTANQQAASQAQPGQQQVLRAGAGQRPLTPNQGQQGQQAESLAAANPTL
+AFGQGLATGMPGYQVLAPTAYYDQTGALVVGPGARTGLGAPVRLMAPTPVLISSAAAQAA
+AAAAAGGTANSLTGSTNGLFRPIGTQPPPQQQQQQQPSTNLQSNSFYGSTSLTNSSQSSS
+LFSHGPGQPGSTSLGFGSSSSLGAAIGSALSGFGSSVGSSASSSATRRESLSTSSDLYKR
+SSSSLAPIGQPFYNSLGFSSSPSPIGMPLPSQTPGHSLTPPPSLSSHGSSSSLHLGGLTN
+GSGRYISAAPGAEAKYRSASGTSSLFSSSSQLFPPSRLRYNRSDIMPSGRSRLLEDFRNN
+RFPNLQLRDLIGHIVEFSQDQHGSRFIQQKLERATPAERQMVFNEILQAAYQLMTDVFGN
+YVIQKFFEFGSLDQKLALATRIRGHVLPLALQMYGCRVIQKALESISSDQQSEMVKELDG
+HVLKCVKDQNGNHVVQKCIECVQPQSLQFIIDAFKGQVFVLSTHPYGCRVIQRILEHCTA
+EQTLPILEELHQHTEQLVQDQYGNYVIQHVLEHGRPEDKSKIVSEIRGKVLALSQHKFAS
+NVVEKCVTHASRAERALLIDEVCCQNDGPHSALYTMMKDQYANYVVQKMIDMAEPAQRKI
+IMHKIRPHISTLRKYTYGKHILAKLEKYYLKNSPDLGPIGGPPNGML
+>tr|A0A3Q1LM23|A0A3Q1LM23_BOVIN Outer dense fiber protein 2 OS=Bos taurus OX=9913 GN=ODF2 PE=4 SV=1
+MSASSSGGSPRFPSCGKNGVTSLTQKKVLRTPCGAPSVTVTKRTMKDRSSTPPLHVHVDE
+NTPVHVHIKKLSKTSATNSQKSHKRGMKGDTVNVRRSVRVKTKNPPHCLEITPPSSEKLV
+SVMRLSDLSTEDDDSGHCKMNRYDKKIDSLMNAVGCLKSEVKMQKGERQMAKRFLEERKE
+ELEEVAQELAETEHENTVLRHNIERIKEEKDYTMLQKKHLQQEKECLMSKLVEAEMDGAA
+AAKQVMALKDTIGKLKSEKQMTCSDINTLTRQKELLLQKLSTFEETNRTLRDLLREQHCK
+EDSERLMEQQGTLLKRLAEADSEKARLLLLLQDKDKEVEDLLQEIQCEKAQAKTASELSK
+SMETMRGHLQAQLRCKEAENSRLCMQIKNLERSGNQHKAEVEAIMEQLKELKQKGERDKE
+SLKKAIRAQKERAEKSEEYAEQLHVQLADKDLYVAEALSTLESWRSRYNQVVKDKGDLEL
+EIIVLNDRVTDLVNQQQTLEEKMREDRDSLVERLHRQTAEYSAFKLENERLKASFAPMED
+KLNQAHIEVQQLKASVKNYEGMIDNYKSQVMKTRLEADEVAAQLERCDKENKILKDEMNK
+EIEAARRQFQSQLADLQQLPDILKITEAKLAECQDQLQGYERKNIDLTAIISDLRSRIEH
+QGDKLEMAREKHQASQKENKQLSLKVDELERKLEATSAQNIEFLQVIAKREEAIHQSQLR
+LEEKTRECGTLARQLESAIEDARRQVEQTKEHAASKERAAQNKILDLETQLSRTKTELSQ
+LRRSRDDVSLSGGSGGGSRQEGYGLKVELPGACRALCSVLFMNMTWFYFPPITFPSLQDI
+PGPSPCLLPALLRGHLP
+>tr|A0A3Q1MBC5|A0A3Q1MBC5_BOVIN SWT1, RNA endoribonuclease homolog OS=Bos taurus OX=9913 GN=SWT1 PE=4 SV=1
+MKRRQELKRLNVETDPPRKRPKIDSSSQGLVTLQETSYSNNNQIISQSPSSNGTKKDISK
+CVDFQVKDIKLANVKSKLDHGIKNFSCPKVAKDVKPKAEGQTSEKKSPHLLAQREKMKEF
+KKERSNKFRDSSEKCASEKCKRIQLSQDCNSSKIIKEPFESRRRINFKILVKSRNTLQNL
+VQENVFSSGSNKLKTKQEKKECPEGTQFSLKFTRHRSEYLFSDSTYKQTVHEWEGAYHEH
+QEINDISSGENLTQSFEAPCSSVSLESIQDTDQEMQIVEELHAARVGKSMDLPVVPPSGE
+LMSMEIDVVEDDIHSSAANAASDKKLLIVIDTNILMNHLKFVRLLKTREIPGFDSLVLII
+PWVVVQELDRMKAGKLLKHAQHKAIPAVHFINDSLRNQDRKLWGQSIQLASQKLYGLSDE
+NNDDRVLKCCLQYQELFPCSLVILCT
+>tr|A0A3Q1LYA7|A0A3Q1LYA7_BOVIN Carboxylic ester hydrolase OS=Bos taurus OX=9913 GN=CES1 PE=1 SV=1
+MWLLALVLTSLGSFTAWAGLAPSPPIVDTAQGRVLGKHVSLKGFAQPVAVFLGVPFAKPP
+LGSLRFAPPQPAEPWTFVKNTISYPPMCSQDPVGAQLLSDLFTNRKENISLTFSEDCLYL
+NIYTPADLTKRSRLPVMVWIHGGGLMVGGASTYDGLVLSAHENVVVVTIQYRLGIWGFFS
+TGDEHSRGNWGHLDQVAALHWVQENIANFGGDPGSVTIFGESAGAESVSILVSLPSPDVA
+ADRTPCRSSHLMALELSSVLVHCLRQKTEDELLEITLKMKFFALDLHKDSTESHPFLPTV
+VDGMLLPKMPEEMLAEKNFNNVPYMVGINKQEFGWIIPLFMSYPLPEDKLDQKTATSLLW
+QSYSLLSIPEELSPVATDKYLGGTDDPVKKKDLFLDLIADVLFGVPSVNVARRHRDAGAP
+TYMYEFQYRPSFSSELKPKTVIGDHGDELFSVFGAPFLKDGASEEEINLSKMVMKFWANF
+ARNGNPNGEGLPHWPAYDHKEGYLQIGVNTRAAEKLKDKEVAFWNELLSREVAKKAPHLK
+HVEL
+>tr|A0A3Q1MTK9|A0A3Q1MTK9_BOVIN Ecm29 proteasome adaptor and scaffold OS=Bos taurus OX=9913 GN=ECPAS PE=4 SV=1
+MARNSELERVFLRLGHAETDEQLQNIISKFLPPVLLKLSSTQEGVRKKVMELLVHLNKRI
+KSRPKIQLPVETLLVQYQDPAAVSFVTNFTIIYVKMGYPRLPVEKQCELAPTLLTAMEGK
+PQPQQDSLMHLLIPTLFHMKYPVESSKSASPFNLAEKPKTVQLLLDFMLDVLLMPYGYVL
+NESQSRQNSSSAQGSSSNSGGGSGIPQPPPGMSFYAAKRVIGDNPWTPEQLEQCKLGIVK
+FIEAEQVPELEAVLHLVIASSDTRHSVATAADLELKSKQSLIDWNNPAIINKMYKVYLGD
+IPLKTKEGAVLKPELKRDPVSTRVKLKIVPHLLRSRQAAETFPANIQVVYDGLFGTNTNS
+KLRTLSLQFVHHICVTCPEIKIKPLGPMLLNGLTKLINEYKEVSDMVFFFLIFVNILVFK
+FCYKLSCRMPHLFTKDIALVQQLFEALCKEEPETRLAIQEALSMMVGAYSTLEGAQRTLM
+EALVASYLIKPEVQVRQVAVKFASTVFPSDHIPSRYLLLLAAGDPREEVHGEAQRVLRCL
+PGRNRKDSASKQMPSFPEMVYYIQEKASHRMKTPAKYMTGTTVLPFNPAAFGEIVLYLRM
+CLAHSAGVVPTSQSLADMQDHAPAIGHYIRTLMSGSQATPSSSSSKSGETNPVQIYIGLL
+QQLLAGVGGLPVMYCLLEAVSVYPEKLATKFVDKTEWIKSLMNSSKEEMRELAALFYSVV
+VSTVSGNELKSMIEQLIKTTKDNHSPEIQHGSLLALGFTVGRYLAKKKMKMAEQPNVETH
+ADFLPEQEELIQSATETIGSFLDSTSPLLAIAACTALGEIGRNGPLPVPSEGSGFTKLHL
+VESLLNRIPSSKETNKMKERAIQTLGYFPVGDGDFPHQKLLLQGLMDSVEAKQIELQFTI
+GEAITSAATGTSSVAARDAWLVTEEEYTPPAGAKVNDVVPWVLDVILNKHIISPNPHVRQ
+AACIWLLSLVRKLSTHSEVKSHLKEIQSAFVSVLSENDELSQDVASKGLGLVYELGNEQD
+QQELVSTLVETLMTGKRAKHEVSGETVVFQGGSLGKTPDGQGLSTYKELCSLASDLSQPD
+LVYKFMNLANHHAMWNSRKGAAFGFNVIATRAGEQLAPFLPQLVPRLYRYQFDPNLGIRQ
+AMTSIWNALVTDKSMVDKYLKEILQDLVKNLTSNMWRVRESSCLALNDLLRGRPLDDIID
+KLPEIWETLFRVQDDIKESVRKAAELALKTLSKVCVKMCDPAKGAAGQRTIAVLLPCLLD
+KGMMSPVTEVRALSINTLVKISKSAGAMLKPHAPKLIPALLESLSVLEPQVLNYLSLRAT
+DQEKAAMDSARLSAAKSSPMMETINMCLQYLDVSVLGELVPRLCELIRSGVGLGTKGGCA
+SVIVSLTTQCPQDLTPYSGKLMSALLSGLTDRNSVIQKSCAFAMGHLVRTSRDSSTEKLL
+QKLNGWYMEKEEPIYKTSCALTIHAIGRYSPDVLKNHAKEVLPLAFLGMHEIADEEKAEK
+EECNLWTEVWQENVPGSFGGIRLYLQELITITQKALQSQSWKMKAQGAIAMASIAKQTSS
+LVPPYLGMILTALLQGLAGRTWAGKEELLKAIACVVTACSAELEKPVPGQPSTNEILQAV
+LKECGRENPKYKMVAISCAGDVLKATKEDRFQEFADIVIPLIKKNSPESIGVRTTKNEDE
+NEKEKELQLESLLGAFESLGKAWPRSVETQRCYRQELCKLMCERLKLSTWKVQLGVLQAM
+NAFFQGLMLLEEEHADPEALAEILLETCKSITYSLENKTYSSVRTEALSVIELLLKKLEG
+EFLILLIGGLERFFCVSYFRSESKQWASLTPECRVVLIESLGAMETDSRPELQEKASLLK
+KTLESLQ
+>tr|F1MUB4|F1MUB4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC518422 PE=4 SV=2
+MQLLRAIGFFRGNILILSATIGAGIFVSPKGVLKYSSLNVAVSLSIWAACAVLTLISALS
+HAELGTTFPISGAQYYFLKRSLGSSVAFLNLWIKLFTHPLRLATESLLLSTYTIQPFYAG
+CPAPELPKRCLALAALWSLGLLNARGVQTVAWLQTVSTLAKMTVLCFICFTGIVLLGMGE
+RENVARFENALDAELPDVSQIAEAFLQGLFAYSGTSILNSMAGEIKNPGKNIPKSLITGI
+PMVAVVYLLANVSYLAVLTPKEIVSADAVALTWTDKIIPSMQWVISFGISTSVFSTMCCT
+VLSASRMIYRASQEGQLPFIFSMLNKHSCPTTAVSQLIILTSIVIITSDLINLIRYSGLA
+LWFLRGLHMIGLLKLRYQEPNLPRPYKVPLPFIFGSIAISLFLILTPLIKTPKMEHVYGL
+IFIFSGLLCYWIHVHLNQHSDLKKEGVAKGKSMQLLKAIGFLLGSILVLSATTGAGIFVP
+SKHAHASLTQLHALC
+>tr|A0A3Q1LR64|A0A3Q1LR64_BOVIN Vestigial like family member 3 OS=Bos taurus OX=9913 GN=VGLL3 PE=4 SV=1
+MSCAEVMYHPQPYGAPQYLPNPVAAATCPTACYHPAPQPGQQKKLAVYSKMQDSLEVTLP
+SKQEEEEEEEEDEEEEEKDQPAEMEYLNSRCVLFTYFQGDIGSVVDEHFSRALGQASTLH
+QESAISKSKMGLTPLWRDSSALSSQRTSFPTSFWTSSYQPPPTPCLGGVHPDFQVTAPPG
+NFTAADPSPWPGHGLHQTGSAPPPPVSESWHYPLASQVSPSYGHVHDVYMRHHPPHVHHR
+HHHHHHHHHHHPPAGSALDPPYGPLLMPSVRAARIAAPPCDITKTDPTTVTTATSAWAGA
+FHGTVDLVPSVGFDAGEPGDFLLSIERRKMPETNH
+>tr|F6QIA5|F6QIA5_BOVIN RNA binding motif protein 39 OS=Bos taurus OX=9913 GN=RBM39 PE=1 SV=2
+MADDIDIEAMLEAPYKKDENKLSSANGHEERSKKRKKSKSRSRSHERKRSKSKERKRSRD
+RERKKSKSRERKRSRSKERRRSRSRSRDRRFRGRYRSPYRRRSRSKSPFRKDKSPVREPI
+DNLTPEERDARTVFCMQLAARIRPRDLEEFFSTVGKVRDVRMISDRNSRRSKGIAYVEFV
+DVSSVPLAIGLTGQRVLGVPIIVQASQAEKNRAAAMANNLQKGSAGPMRLYVGSLHFNIT
+EDMLRGIFEPFGRIESIQLMMDSETGRSKGYGFITFSDSECAKKALEQLNGFELAGRPMK
+VGHVTERTDASSASSFLDSDELERTGIDLGTTGRLQLMARLAEGTGLQIPPAAQQALQMS
+GSLAFGAVAEFSFVIDLQTRLSQQTEASALAAAASVQPLATQCFQLSNMFNPQTEEEVGW
+DTEIKDDVIEECNKHGGVIHIYVDKNSAQGNVYVKCPSIAAAIAAVNALHGRWFAGKMIT
+AAYVPLPTYHNLFPDSMTATQLLVPSRR
+>tr|F1MIE9|F1MIE9_BOVIN Testis specific serine kinase substrate OS=Bos taurus OX=9913 GN=TSKS PE=4 SV=1
+MASVVVKTIWQSKEIHEAGDPPAGVESRSQLVPETPGGVTSPVKGIAKKKKAVSFHGVEP
+RMSHEPMHWCLNLKRSSACTNVSLLNLAAMEPTDSSGTDSTTEDSSSLALPVPPASPTPP
+WAPDDPDITEILSGVNSGLVRAKDSITSLKEKTTRVNQHVQTLQSECSVLSENLEKRRQE
+AEELEGYCSQLKENCRKVTRSVEDAEIKTNVLKQNSALLEEKLRYLQQQLQDETPRRQEA
+ELQELEQKLEAGLSRHGLGPATQPPGCSGPPGSPDEPLRPRGLAPGGWGMGPRAGEGPIV
+SEQELQKVSAGLEELRREVSSLTARWHQEEGAVQEALRLLGGLGGRLDGFLGQWERAQRE
+QAQTARGLQELRGRADELCTMVERSAVSVASLRSELEGLGPVKPILEELGRQFQSSRRVS
+DLSMNLDRGAQGSCTRCASQGQQLSTESLQQLLERALTPLVDEVKQRGLAPACPSCQRLH
+KKILPSPASPAAPTLLSPGAGAPGLGQTRQGRGPELHPSAGPRRSLAGQEPAADGQDEAG
+GEGGRSGLSTLENVLPSRSAQQPAT
+>tr|A0A3Q1MUH2|A0A3Q1MUH2_BOVIN TATA-box binding protein associated factor 2 OS=Bos taurus OX=9913 GN=TAF2 PE=4 SV=1
+MPPTGSDPARMNRKKGDKGFESPRPYKLTHQVVCINNINFQRKSVVGFVELTIFPTVANL
+NRIKLNSKQCRIYRVRINDLEAAFIYNDPTLEVCHSESKQRNLNYFSNAYAAAVSAVDPD
+AGNGELCIKVPSELWKHVDELKVLKIHINFSLDQPKGGLHFVVPSVEGSMAERGAHVFSC
+GYQNSTRFWFPCVDSYSELCTWKLEFTVDAAMVAVSNGDLVETVYTHDMRKKTFHYMLTI
+PTSASNISLAIGPFEILVDPYMHEVTHFCLPQLLPLLKHTTSYLHEVFEFYEEILTCRYP
+YSCFKTVFIDEAYVEVAAYASMSIFSTNLLHSAMIIDETPLTRRCLAQSLAQQFFGCFIS
+RMSWSDEWVLKGISGYIYGLWMKKTFGVNEYRHWIKEELDKIVAYELKTGGVLLHPIFGG
+GKEKDNPAPHPHFSIKHPHTLSWEYYTMFQCKAHLVMRLIENRISMEFMLQVFNKLLSLA
+STASSQKFQSHMWSQMLVSTSGFLKSISNVSGKDIQPLIKQWVDQSGVVKFYGSFAFNRK
+RNVLELEIKQDYTSPGTQKYVGPLKVTVQELDGSFNHTLQIEENSLKHDIPCHSKSRRNK
+KKKIPLMNGEEVDMDLSAMDADSPLLWIRIDPDMAVLRKVDSEQADFMWQYQLRYERDVV
+AQQEAISALERFPTPASRLALTDVLEQEQCFYRVRMAACFCLAKIANSMVSTWTGPPAMK
+SLFTRMFCCKTCPNIVKTNNFMSFQSYFLQKTMPVAMALLRDVHNLCPKEVLTFILDLIK
+YNDNRKNKFSDNYYRAEMIDALANSVTPAVSVNNEVRTLDNLNPDVRLILEEITRFLNME
+KLLPSYRHTITVSCLRAIRVLQKNGHVPSDPSLFKSYAEYGHFVDIRIAALEAVVDYTKV
+DRSYEELQWLLTMVQNDPVPYVRHKILNMLTKNPPFTKSMESPLCNEALVDQLWKLMNSG
+TSHDWRLRCGAVDLYFTLFGLSRPSCLPLPELGLVLNLKEKKAVLNPTIIPEAIAGNQEA
+AVNPSSHTQLVGFQNPFSSSQDEEEIDMDTVHDSQAFISHHLNMLERPSTPGLSKYRPAN
+SRSALIPQHSAGCDGTPTTKPQWNMELPRKGTGKEQPPLEMSVHAAAAAPLSVFGKEPAS
+SKHSEHHHHHHHEHKKKKKKHKHKHKHKHRRDGRERGRGPLAFPSPAGGRSARSPSLSD
+>tr|A0A3Q1ML37|A0A3Q1ML37_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=KIAA0040 PE=4 SV=1
+MEKISMFFSAVWDVISTKHQEGLFNSICLGILLGLPLLVIITFLFICCHCCWNRPGDNGR
+QPEQNKGKKKKKKKKQAEEDLWISAQPKLLQMEKRPSLPV
+>tr|A0A3Q1M5V9|A0A3Q1M5V9_BOVIN C-C motif chemokine OS=Bos taurus OX=9913 GN=CCL26 PE=3 SV=1
+MKNFPMASLLFLVLILSVDLGAATRGSDVAKFCCFQYSQKTLPWKQVHSYEFTRNICSLK
+AVIFTTKRGRKVCAQPKEEWVQRHISKLRAQQQL
+>tr|E1BIT4|E1BIT4_BOVIN Nuclear factor 1 OS=Bos taurus OX=9913 GN=NFIB PE=3 SV=3
+MMYSPICLTQDEFHPFIEALLPHVRAIAYTWFNLQARKRKYFKKHEKRMSKDEERAVKDE
+LLSEKPEIKQKWASRLLAKLRKDIRQEYREDFVLTVTGKKHPCCVLSNPDQKGKIRRIDC
+LRQADKVWRLDLVMVILFKGIPLESTDGERLMKSPHCTNPALCVQPHHITVSVKELDLFL
+AYYVQEQDSGQSGSPSHNDPAKNPPGYLEDSFVKSGVFNVSELVRVSRTPITQGTGVNFP
+IGEIPSQPYYHDMNSGVNLQRSLSSPPSSKRPKTISIDENMEPSPTGDFYPSPNSPAAGS
+RTWHERDQDMSSPTTMKKPEKPLFSSTSPQDSSPRLSTFPQHHHPGIPGVAHSVISTRTP
+PPPSPLPFPTQAILPPAPSSYFSHPTIRYPPHLNPQDTLKNYVPSYDPSSPQTSQPNSSG
+QVVGKVPGHFTPVLAPSPHPSAVRPVTLTMTDTKPITTSTEAYTASGTSQANRYVGLSPR
+DPSFLHQQQLRICDWTMNQNGRHLYPSTSEDTLGITWQSPGTWASLVPFQVSNRTPILPA
+NVQNYGLNIIGEPFLQAETSN
+>tr|A0A3Q1NDA5|A0A3Q1NDA5_BOVIN RING-type domain-containing protein OS=Bos taurus OX=9913 GN=RBX1 PE=4 SV=1
+ILRISRIGIRKSNGLSGRVSTSEGFRSRPVSGKPSVRSRVQRQQEAGRPPLLLPRKNATR
+TGGQGVLRGAGKREAEPVLQVAVQAEEGAAAPAESVAGGFPGEGNKSESFRTSPPGSLAS
+AWPRPAPPQPLRPPPPPGQRGWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATS
+EECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNREWEFQNVRFFPFPRICTNSQPLQTVK
+QKTAFSISCQCSVIRRFHLSY
+>tr|A0A3S5ZPM1|A0A3S5ZPM1_BOVIN Aquaporin 8 OS=Bos taurus OX=9913 GN=AQP8 PE=3 SV=1
+MFTEAAVSMCDLESGSVKVKEPSNRGRWHGCWYERLVQPCLVELLGSALFIFIGCLSVIE
+NGPDTGRLQPALAHGLALGLVIATLGNISGGHFNPAVSLAAMLVGGLKLTMLFPYWISQL
+CGGLIGATLAKAVSPEDRFWNATGAAFVTVQESEQVAGAVVAEVILTTLLVLTVCTGAIN
+EKTLGPLAPFCIGFSVTVDILAGGAVSGACMNPARAFGPAMVANHWDYHWIYWLGPLLAS
+LLVGVLIRFFIGDAKIRLILKGR
+>tr|G5E536|G5E536_BOVIN Importin subunit alpha OS=Bos taurus OX=9913 GN=KPNA6 PE=3 SV=2
+MASPGKDNYRMKSYKNNALNPEEMRRRREEEGIQLRKQKREQQLFKRRNVELINEEAAMF
+DSLLMDSYVSSTTGESVITREMVEMLFSDDPDLQLATTQKFRKLLSKEPSPPIDEVINTP
+GVVDRFVEFLKRNENCTLQFEAAWALTNIASGTSQQTKIVIEAGAVPIFIELLNSDFEDV
+QEQAVWALGNIAGDSSVCRDYVLNCSILNPLLTLLTKSTRLTMTRNAVWALSNLCRGKNP
+PPEFAKVSPCLPVLSRLLFSSDSDLLADACWALSYLSDGPNEKIQAVIDSGVCRRLVELL
+MHNDNKVASPALRAVGNIVTGDDIQTQVILNCSALPCLLHLLSSSKESIRKEACWTISNI
+TAGNRAQIQAVIDANIFPVLIEILQKAEFRTRKEAAWAITNATSGGTPEQIRYLVSLGCI
+KPLCDLLTVMDSKIVQVALNGLENILRLGEQEGKRSGSGVNPYCGLIEEAYGLDKIEFLQ
+SHENQEIYQKAFDLIEHYFGVEDDDSSLAPQVDETQQQFIFQQPEAPMEGFQL
+>tr|E1BHR1|E1BHR1_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC782731 PE=3 SV=3
+MIQCHNVPDSVRSTQQNQSSVVEFILLGFSNFPELQEQLFGAFLVVCLVTLMGNTIIIIV
+ISLEQSLHVPLYLFLQNLSVVDMGMSAVIMPVMLVILSTEKMRISILGCLVQMYFILTLG
+VTECFLLGVMAFDRFAAICHPLSYPMIMNKMVFMQLVTFSWISGITVATVQTTWVFSFPF
+CGSNEINHISCETPAVLELACADTFLFEIYAFTGTLLTVIVPFSLILLSYIRILFAILKM
+PSTTGKQKAFSTCASHLTSVTLFYGTASMTYLQPKSGYSPETKKLTSLAYSLLTPLLNPL
+IYSLRNSEMKRALMKIWQRKVALHTF
+>tr|A0A3Q1LX67|A0A3Q1LX67_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+PMVCTHLALGACCRCLCGRVDGCRSLELRLKDGAHRCEGRVEVKHQGEWGTVNDYNLIME
+DASVVCRQLGCGAAIGFPGKAYFGPGLGPIWLLYTSCEGTESTVSDCEHSNIKDYRNDSY
+SHDDDAGVVCSGFVHLAGGVGPCSGRVEVHSGEAWTPVSDGNFTLPTAQVICAELGCGKA
+VSVLGHVPFRESDRWVWAEEFRCEGEEPELWSCPRVPCPGGTCHHSGAAQVVCSVYTEVR
+LMKNGTSQCEGQVEMNISGHWRALCASHWSLANANVVCRQFGCGVAISTPNGAEGRAESF
+LWKCPVTALGVPDCSRGNTASVICSGNHTQMLPQCNNSVSEQAGSAASEESAPYCSDSRQ
+LRLVDGGGPCAGRVEILDQGSWGTICDDYWDLDDAHVVCRQLGCGEALNATGSAHFRAGS
+GPIWLDDLNCTGKESHVWRCPSRGWGRHDCRHKEDAGVICSEFLALRMVSEDQQCAGCLE
+VFYNGTWGSVCCSPMKDITVSMICSQLGCGDSGSLNTSVGLREGSRPRWVDLIQCRKTDT
+SLWQCPSGPWKYSSCSPKEEAYISCAGRRPKSCPTAAPCTEKLRLRGGHSKCSGRVEVWH
+SGSWGTVCDDSWSLAEAEVVCQQLGCGQALEALRSAAFGPGNGSIWLDEVRCGGRESSLW
+DCAAEPWGQSDCKHEEDAGMRCSGVTTTLPMTTAALSSYGDALAEAVYEELDYLLTQKEG
+LGSPDQRTDVPAENYDDAEEVPVPGTPSPFQGNEEEVPPEKEDGVRSSQTGSCLNFSREV
+ADPGEGEESFWLLQGKKGDAGYDDVELSALGTSPVTFCDAVLNMR
+>tr|A0A3Q1N3S4|A0A3Q1N3S4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=MEFV PE=4 SV=1
+MVRTRSDHLLYSLEELLPYDFEKFKFKLQNTSLEKEHLRIPRGQLQTAEPVKLASLMVNH
+YGEEYAVQLTLQVLRAINQHLLAEELHQVISPGKRPQDKAVCPLCRAQEGDPVGGSCVHI
+SCSCSAASRDPEASLSRSSSCPRCQDLLPGKSHGSHDLPQCKRHMKQAQLLFCEDHGELI
+CLICRLSQEHRGHRVRPIEEAALEYKEQIQKQLDHLKELRKSGEEQRSQGDKKTVNSLKQ
+AETQKQRIQYQLEQLCQFLEQQERLFVAWLEELGQTIGQVRETYGTQRTRDIALLDKLIG
+ELEAKQCQPEWELMKPSFANGLFASRAKMVTVPELWATPPEVKEKIHLLYQKSEFVEKRV
+KHFLGSMHTTILALALWGWEGNIYPYLQRGHFQQSGSCSLFF
+>tr|A0A3Q1M2P1|A0A3Q1M2P1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MVLYIFASGRSRKIYMTLIVCGQMMKEMTKDCLHPITKTSLMEEIIIVEVMQEIDLLKGT
+DQAFRMNCRWYSVKG
+>tr|F1MEY2|F1MEY2_BOVIN Enoyl-[acyl-carrier-protein] reductase, mitochondrial OS=Bos taurus OX=9913 GN=MECR PE=4 SV=3
+MTYLAASVSELTGGNMWVCGALCRTRAPAQLGQRLLPESRRRRPASASFSASAEPSRVRA
+LVYGHHGDPAKVVELKNLELAAVGGSDVHVKMLAAPINPSDINMIQGNYGLLPQLPAVGG
+NEGVGQVVAVGSGVTGVKPGDWVIPANPGLGTWRTEAVFGEEELITVPSDIPLQSAATLG
+VNPCTAYRMLVDFERLRPGDSIIQNASNSGVGQAVIQIAAARGLRTINVLRDRPDLQKLT
+DRLKNLGANHVVTEEELRKPEMKSFFKDVPQPRLALNCVGGKSSTELLRHLAPGGTMVTY
+GGMAKQPVIASVSQLIFKDLKLRGFWLSQWKKDHSPDQFKELILTLCDLIRRGQLTAPAC
+SEVPLQDYLCALEASTQPFVSSKQILTM
+>tr|A0A3Q1LYF0|A0A3Q1LYF0_BOVIN Cap-specific mRNA (nucleoside-2'-O-)-methyltransferase 1 OS=Bos taurus OX=9913 GN=CMTR1 PE=4 SV=1
+MKRRNDSECTAPLKKQKKRVAELALSLSSTSDDEPPSSVNHAAKASATSLSGSDSETEGK
+QRSSDSFDDAFKADSLVEGTSSRYSMYNSVSQKLMAKMGFKEGEGLGKYSQGRKDIVEAS
+NQKGRRGLGLTLQGFDQELNVNWRDEPEPSACEQVSWFPECTTEIPDTQEMSDWMVVGKR
+KMIIEDETEFCGEGLLRSVLKCKSVFDVLDGEEMRRARTRANPYEMIRGVFFLNRAAMKM
+ANMDFVFDRMFTNPRDSYGKPLVKDREAELLYFADVCAGPGGFSEYVLWRKRWHAKGFGL
+TLKGPHDFKLEDFYSASSELFEPYYGEGGIDGDGDITRPENINAFRNFVLDNTDHKGVHF
+LMADGGFSVEGQENLQEILSKQLLLCQFLMALSVVRTGGHFICKTFDLFTPFSVGLIYLL
+YCCFERVCLFKPITSRPANSERYVVCKGLKVGIDEVRDYLFSVNIKLNQLRNTDSDVNLV
+VPLEVIKGDHEFTDYMIRSNEGHCSLQIKALAKIRAFVQDTTLIEPRQAEIRKECLRLWG
+IPDQARVAPSSTDPKSKFFELIQVSLLSDLFWHFQPHQLGKSQIYTWDGRQSDRWVKLDL
+KTELPRDTLLSVEIVHELKGEGKAQRKISAIHILDVLVLNGSDVREQHFNQRIQLAEKFV
+KAVSKPSRPDMNPIRLEMKIIKGSSGTPKLSYTGRDDRHFVPTGLYIVRTVNEPWTMGFS
+KSFKRKFFYNKKTKNSTFDLPADAIAPFHICYYGRLFWEWGDGIRVHESQKPQDPDKLSK
+EDVLSFIQTHSA
+>tr|A0A3Q1M570|A0A3Q1M570_BOVIN N-acetylglucosamine-1-phosphate transferase subunits alpha and beta OS=Bos taurus OX=9913 GN=GNPTAB PE=4 SV=1
+MLLKLLQRQTYTCLSHRYGLYVCFVGVVVTIVSAFQFGEVVLEWSRDQYHVLFDSYRDNI
+AGKSFQNRLCLPMPIDVVYTWVNGTDLELLKELQQVREQMEEEQKAMREILGKNTTEPTK
+KSEKPLECLLTHCIKVPMLVLDPALPTNVTLKDLPSLYPSFHSASDVFNVAKPKNPSTNV
+SVVVFDTTKSVEDAQAGMFKGNSRQTVWRGYLTTDKEVPGLVLMQDLAFLSGFPPTFKET
+NQLKAKLPENLSSKIKLLQLYSEASVALLKLNNPKDFQELNKQTKKNMTINGKELTISPA
+YLLWDLSAISQSKQDEDVSASRFEDNEELRYSLRSIERHAPWVRNIFIVTNGQIPSWLNL
+DNPRVTIVTHQDVFRNLSHLPTFSSPAIESHIHRIEGLSQKFIYLNDDVMFGKDVWPDDF
+YSHSKGQKVYLTWPVPNCAEGCPGSWIKDGYCDKACNNSACDWDGGDCSGNSGASRYIAG
+AGGTGSIGVGQPWHFGAGMTGVSYCNQGCANSWLADKFCDQACNVLSCGFDAGDCGQDHF
+HELYKVTLLPNQTHYVVPKGECLPYFSFAEIAKKGIEGAYSDNPIIRHASIANKWKTIHL
+LMHSGMNATTIHFNLTLQGKNDEEFKIQIVVEVDTREEPKRNSTTQKAYQSSMSSTTLLP
+EAEILFEDIPEEKRFPKVKRHDVNTTRRFQVEVEIPQVNISLLPKETQLSLNNLDLQLER
+GDITMKGYNLSKSALLRSFLMNPQNGKLTVNHPLTTEQRNGGLEAPLAKDAHKSNLGTSE
+RRQGSSPVPAVTMTVNNHSQSQIPPLEARFRAITVTPKVGGRTVSREKLSSLTFPLESKD
+TQEKVITGKEQEKKIEENANSYPGGNEVVPGRKLQQYTDSYLGFLPWEKKKYFQDLLDEE
+ESLKTQLAYFTDSKHTGRQLKDTFADSLRYVNKILNSKFGFTSRKVPAHMPHMIDRIVMQ
+ELQDMFPEEFDKTSFHKVRHSEDMQFAFSYFYYLMSAVQPLNISQVFDEVDTDQSGILSD
+REIRTLATRIHDLPLSLQPPVTKSLVTNCKPVTDKIHKAFKDKNKYRFEIMGEEEIAFKM
+IRTNVSHVVGQLDDIRKNPRKFVCLNDNIDHNHKDAQTVKAVLRDFYESMFPIPSQFELP
+REYRNRFLHMHELQEWRAYRDKLKFWTHCVLATLIMFTVFSFFAEQLIALKRKIFPRRRI
+HKEASPDRIRV
+>tr|A0A3Q1N6T1|A0A3Q1N6T1_BOVIN NADH-cytochrome b5 reductase OS=Bos taurus OX=9913 GN=CYB5R3 PE=1 SV=1
+MCKFCARAKLGHVVLSPVWFLYSLIMKLFQRSTPAITLENPDIKYPLRLIDKEVISHDTR
+RFRFALPSPEHILGLPVGQHIYLSARIDGNLVIRPYTPVSSDDDKGFVDLVIKVYFKDTH
+PKFPAGGKMSQYLESMKIGDTIEFRGPNGLLVYQGKGKFAIRPDKKSDPVIKTVKSVGMI
+AGGTGITPMLQVIRAIMKDPDDHTVCHLLFANQTEKDILLRPELEELRNEHSARFKLWYT
+VDKAPEAWDYSQGFVNEEMIRDHLPPPEEEPLVLMCGPPPMIQYACLPNLDRVGHPKERC
+FAF
+>tr|E1BC95|E1BC95_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=BIVM PE=4 SV=3
+MPNVAEAEGADDSGNGEHKSERRSPEESLQGAVQSFCTRASGEPLGPRGDGHYPWSCPVT
+HTREKIYAICSDYAFLNQATSIYKTPNPARSCLSDSTSLSAANNSSRYIGISASTSDIIY
+NEENSLENLSNSLGKLPLAWEIDKSEFDGVTTNLKHKSGNGKKQVSKKKTSDKKGRHQRE
+WPQYSPLEDIKQRKVLDLRRWYCISRPQYKTSCGISSLVSCWNFLYSTMGAGKWFRQIND
+HFRVKGCSYVLYKPHGKNKTAGETASGALSKLTHGLKDESLAYIYHCQNHYFCPIGFEAT
+PVKANKAYSRGPLTPQEVEYWILIGESSRKHPAIHCKKWADIVTDLNTQNPEYLDIRHLE
+RGLQYRKTKKVGGNLHCIIAFQRLSWQRFGLWNFPFGTIRQESQPPMHAHGIAKSESEDN
+ISKKQHGRLGRSFSTGFHQDSTWKKMSSIHERRNSGYHGYSDYEGND
+>tr|A0A3Q1MML7|A0A3Q1MML7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=RBM34 PE=4 SV=1
+MALEGKNKREKKQSAQEGQNPDDGACGNLEGDYEVGQVANSLFRGKQPSRGSTGRLASLF
+GSVNPQLQPVYVPVPEEAIKKRKRDEEEESSPQIQRPLLQEPAKKMKVKKKLSDAEKKLA
+NREDALASADLEEEIHQKQGQKRKNSQPGVTVADKELLDDVEETVVNQRKKIQINQEEER
+LKNERTVFVGNLPVTCNKKKLKSFFKEYGQIESVRFRSLIPAEGTLSKKLAAIKNGAQFA
+EGFRVRVDLATETSSRDKRSVFVGNLPYKVEESAVEKHFLACGNVVAVRIVRDQVTGVGR
+GFGYVLFENTDAVHLALKLNNSELMGRKLRVMRSVHKEKLKQNSNLSLKNVSKPKQGLNF
+ASKSAQNSKGLFIGEKAVLMKKKKKGQKKSRRTKTQKKQK
+>tr|A0A3Q1LWR9|A0A3Q1LWR9_BOVIN Ubiquitin-conjugating enzyme E2 variant 2 OS=Bos taurus OX=9913 GN=UBE2V2 PE=4 SV=1
+MLLRARKTTGSILWRVPRNFRLLEELEEGQKGVGDGTVSWGLEDDEDMTLTRWTGMIIGP
+PRTNYENRIYSLKVECGPKYPEAPPSVRFVTKINMNGINNSSGMVDARSIPVLAKWQNSY
+SIKVVLQELRRLMMSKENMKLPQPPEGQTYNN
+>tr|E1BA11|E1BA11_BOVIN Family with sequence similarity 184 member A OS=Bos taurus OX=9913 GN=FAM184A PE=4 SV=3
+MATPGMSWQQHYYGGSAAKFVPSPAAGQQAGHCMDYSQDMHLKMSKKIAQLTKVIYALNT
+KNDEHESAIQALKDAHEEEIQQILAETREKILQYKSRVTEELDLRRKIQVLEASLEDHIK
+MKQQALTEFEAYKHRVEDMQLCAEAQHVQRIVTMSREVEEIRRKFEERLRSFGQLQVQFE
+KDKRLALEDLRTAHRREIQELLKCQQDHSASVNKGQEKAEELHRMEVEALNKTLEELRLE
+RKKLVEDYEGKLHKAQSFYEHELDTLKRSQLFTAESLQASKEKEADLRKEFQGQEAILRK
+TIGKLKTELQMVQDEAGSLRDKCQKLQIALVTAENNVQVLQKQLDDAKEGEMALLSRHKE
+VESELAAARERLQQQASDLVLKASHIGMLQATQMTQEVTIKDLESEKSRANERLSQLEEE
+RAFLQSKTQSLDEEQKQQILELEKKVNEAKKTQQEYYEMELKNLQSRLEGEVAQLNEAHS
+KTLEELAWKHHMAIEAVHSNAIRDKKKLQMELEEQYKKEKLNLEEDKNQLQQELENLKEE
+LENKLHSANQEIGRLQEMVSKSEQGLGSAEGLIASLQDSQERLQNELDLTKGRLKETKDA
+LLNVESKLEQERRQHEETLAAMKEEEKLQVDRMAHDLEMKWTENLRQECSKLREELRLQH
+EEDKKSAMSQLLQLKEREKNAARDSWQKKVEDLLNQISLLKQNLEMQLSQSQTSLQQLQA
+QFTQERQRLTQELEELEEQHQQRHKSLKEAHVLAFQTMEEEKEKEQRALESHLQQKHSAE
+LQSLKDAHRESMEGFRIEMEQELQTLRFELEDEGKAMLASLRSELNQQHAAAIDLLRHNH
+HQELAAAKMELERSIDISRRQSKEHMCRITDLQDEVRHREHHISDLDKEVQHLHENINAL
+TKELEFKGKEILRIRSESNQQMRLHEQDLNKRLEKELDVMTADHLREKNIMRADFNKTNE
+LLKEVNAALQVSLEEMEEKYLMRESKPEDIQMIAELKAMLTERDQVIKKLMEDNKFYQLE
+LVNRETNFNKVFNSSPTVGVINPLMKQKKKNDKSPTNRFVSVPNLSALESGGVGNGHPNR
+LDPIPNSPVHDIEFNSSKPLPQPVPPKEPKTFLRYH
+>tr|A0A3Q1M950|A0A3Q1M950_BOVIN Peptidase S1 domain-containing protein OS=Bos taurus OX=9913 GN=LOC505326 PE=3 SV=1
+MVLFLLLVALLSPTGEAGKIIGGHEAKPHSRPYMVFLQFKISGEPQRCGGFLVREDFVLT
+AAHCLGSSINVTLGAHNIMDRERTQQFIPVRRPIPYPRYNKTWANDIMLLQLMRKANVTT
+AVSPISLPRDWDTVNPGMLCSVAGWGRLDVDMSRTKKLQEVELEVQRAEKCTSRYKYYST
+TTQICVGDPRKRKSSFKGDSGGPLVCNGVAQGIVSYGKKDGTPPRVFTRISKKWLVEHLN
+GE
+>tr|F1N5R1|F1N5R1_BOVIN Protein Wnt OS=Bos taurus OX=9913 GN=WNT3 PE=3 SV=3
+MEPHLLRLLLGLLLCGTRVLAGYPIWWSLALGQQYTSLGSQPLLCGSIPGLVPKQLRFCR
+NYIEIMPSVAEGVKLGIQECQHQFRGRRWNCTTIDDSLAIFGPVLDKATRESAFVHAIAS
+AGVAFAVTRSCAEGTSTICGCDSHHKGPPGEGWKWGGCSEDADFGVLVSREFADARENRP
+DARSAMNKHNNEAGRTTILDHMHLKCKCHGLSGSCEVKTCWWAQPDFRAIGDFLKDKYDS
+ASEMVVEKHRESRGWVETLRAKYALFKPPTERDLVYYENSPNFCEPNPETGSFGTRDRTC
+NVTSHGIDGCDLLCCGRGHNTRTEKRKEKCHCIFHWCCYVSCQECIRIYDVHTCK
+>tr|A0A3Q1MGE9|A0A3Q1MGE9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+LGFTGPHAPSLGGAEHRSAVYSSSVSTNRLDTAQMAMIRYTFTSTRTASASEAPDNVREE
+ALGAWPLSSSDSSTVAVAVSAGSPWSFTSTSRCWRGASASSRARVVLISPVYSPTRNRVL
+APPAAGCSSYDSHAL
+>tr|G3N081|G3N081_BOVIN Histone H4 OS=Bos taurus OX=9913 PE=3 SV=2
+MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLK
+VFLENVIRDAVTYTEHAKRKTVTAMDVVYALKRQGRTL
+>tr|E1BD68|E1BD68_BOVIN Synaptojanin-1 OS=Bos taurus OX=9913 GN=SYNJ1 PE=4 SV=2
+MAFSKGFRIYHKLDPPPFSLIVETRHKEECLMFESGAVAVLSSAEKEAIKGTYSKVLDAY
+GLLGVLRLNLGDIMLHYLVLVTGCMSVGKIQESEVFRVTSTEFISLRVDSSDEDRISEVR
+KVLNSGNFYFAWSASGVSLDLSLNAHRSLQEHTTDNRFFWNQSLHLHLKHYGVNCDDWLL
+RLMCGGVEIRTIYAAHKQAKACLISRLSCERAGTRFNVRGTNDDGHVANFVETEQVVYLD
+DSVSSFIQIRGSVPLFWEQPGLQVGSHRVRMSRGFEANAPAFDRHFRTLKNLYGKQIIVN
+LLGSKEGEHMLSKAFQSHLKASEHAADIQMVNFDYHQMVKGGKAEKLHSVLKPQVQKFLD
+YGIFHFDGSEVQRCQSGTVRTNCLDCLDRTNSVQAFLGLEMLTKQLEALGLAEKPQLVTR
+FQEVFRSMWSVNGDSISKIYAGTGALEGKAKAGKLKDGARSVSRTIQNNFFDSSKQEAID
+VLLLGNTLNSDLADKARALLTTGSLRVSEQTLQSASSKVLKSMCENFYKYSKPKKIRVCV
+GTWNVNGGKQFRSIAFKNQTLTDWLLDAPKLAGIQEFQDKRSKPMDIFAIGFEEMVELNA
+GNIVNASTTNQKLWAAELQKTISRDNKYVLLASEQLVGVCLFVFIRPQHAPFIRDVAVDT
+VKTGMGGATGNKGAVAIRMLFHTTSLCFVCSHFAAGQSQVKERNDDFVEIARKLSFPMGR
+LLFSHDYVFWCGDFNYRIDLPNEEVKELIRQQNWDSLIAGDQLINQKNAGQIFRGFLEGK
+VTFAPTYKYDLFSDDYDTSEKCRTPAWTDRVLWRRRKWPFDRSAEDLDLLNASFQDESKI
+LYTWTPGTLLHYGRAELKTSDHRPVVALIDIDIFEVEAEERQNIYKEVIAVQGPPDGTVL
+VSIKSSLPENNFFNDALIDELLQQFTNFGEVILIRFVEDKMWVTFLEGSSALNVLNLNGK
+ELLGRTITITLKSPDWIKTLEEEMSLEKINVPLPSSTSSTLLGEDAEVTADFDMEGDVDD
+YSAEVEEILPQHLQPSSSSGLGTSPGSSPRTSPCQSPTISEGPVPSLPVRPSRAPSRTPG
+PPASQSSPVDTLPATQLQQKDSSQTLEPKRPPPPRPVAPPARPAPPQRPPPPSGARSPAP
+ARKEFGAPKSPGTTRRDNLGRSQLPPQAGLPGPGLAGHSAARPIIPPRAGVISAPQSHAR
+VSAGRLTPESQSKTSEVLKGPALLPEPLKPQAALPVPPSLAPPSLAPPVQKMQEPLIPVA
+APLAQAALQPSLETPPQPPPRSRSSHSLPSEPPAQPQVKTNGVSAVRLDSPLKSDPFEDL
+SLNLLAVSKAQPSVHTPNPRGLTPLPSAAPSNTNTLSSVSCMPTMPPIPARSKSQENTRC
+SPNPFIPSSSSTNPFTDRTAAPGNPFRAESQESEATSWFSKEEPVAPSPFSSLRPLDQNS
+SKPSSSLDGFKDSFDPQGLSALTVSNPKGWVTFEEEEDFGVTGKSGSTRPDVFLGKQLSS
+SPGSKVMLGDDWGRSTNVSLCVLPARPPPPPPVPLLPPGTTPPADAFTALASKASPTLDL
+TER
+>tr|G3XCF6|G3XCF6_BOVIN Nuclear factor of-activated T-cells, cytoplasmic 1 OS=Bos taurus OX=9913 GN=NFATC1 PE=4 SV=2
+LPSCQQMVHYGYAAPGLGAGLPLSAAHPSLPAPCHDLQSSAAGVSAVGYGGTVDSGPSGY
+FLSSGGIRPNGAPALESPRIEITSYLGLHHNSSQFLHEVDVEDVLPKRSPSTATLNLPSL
+EAYRDPSCLSPASSLSSRSCNSEASSYESSFSYPYASPQTSPWQSPCVSPKTTDPEEGFA
+RGLGACGLLGSPRHSPSTSPRTSVTEESWLGARTSRPSSPCNKRKYGLNGRQLSCSPHPS
+PTPSPQGSPRVSVTDDTWLGNTTQYTSSAIVAAINALSTDSSLDLGDGVPVKARKTTLDH
+SPAVALKVEPAGEDLGTTPPTPDFQPEEFAAFQHIRKGAFCDQYLSVPQHPYPWARPRSP
+ASYTSPSLPALDWQLPSHSGPYELRIEVQPKSHHRAHYETEGSRGAVKASAGGHPSVQLH
+GYVESEPLTLQLFIGTADDRLLRPHAFYQVHRITGKTVSTASHEAVVCSTKVLEIPLLPE
+NNMRATIDCAGILKLRNSDIELRKGETDIGRKNTRVRLVFRVHIPQPNGRTLSLQVASNP
+IECSQRSAQELPLVEKQSAASGPVLGGKRMVLSGHNFLQDSKVIFVEKAPDGHHIWEMEA
+KTEGDLCKPNSLVVEIPPFRNQRITSPVQVNFYVCNGKRKRSQYQHFTYLPANVPIIKTE
+PSDDYEPALTCGPMSQGLSPLPKPCYGQPLALPPDPGACLMPGFPPRPQGSASPELHDLS
+CAPYGSATAGPGHSPLGLPRPVGGVLASQEAPRPSGVPPGPPQPPPPTLLQPQVSPTSSG
+SCSPGRRPALCPHSPSSPLPPGTRELTRLQPCGPAHPCGTGHQQHQPPEVPSSEPAAARP
+PPPPEVREDSNRSLAPIPVTVKREPQELDPLDLDDGKCPPQQARAQPWAEGPRPGACPPP
+RECAWHVSHQEVPAVSSATAGQWGTPSPPRGCLFCASWGHVVPVAMPGVVTMVPGTRPLP
+AEMSREDKRPPWTRLWAQLVA
+>tr|A0A3Q1N0G2|A0A3Q1N0G2_BOVIN Phosphatidylserine lipase ABHD16A OS=Bos taurus OX=9913 GN=ABHD16A PE=4 SV=1
+MSHDSSNSPRSKRLQLISDYGCTRSSKCRKPCSRSAETVSTRREAEPVRADVKGTQHLSG
+PGRAGLTGGPAAGRAAARAGAMAKLLSCVLGPRLYKIYRERDSERAPSSVPGTPTSVTNP
+HSSSWDTYYQPRALEKHADSILALASVFWSISYYSSPFAFFYLYRKGYLSLSKVVPFSHY
+AGTLLLLLAGVACLRGIGRWTNPQYRQFITILEATHRNHSAENKRQLANYNFDFRSWPVD
+FHWEEPSSRKESRGGPSRRGVALLRPEPLHRGTADTFLNRVKKLPCQITSYLVAHTLGRR
+MLYPGSVYLLQKALMPVLLQGQARLVEECHGRRAKLLACDGNEIDTMFVDRRGTAEPQGQ
+KLVICCEGNAGFYEVGCVSTPLEAGYSVLGWNHPGFAGSTGVPFPQNEANAMDVVVQFAI
+HRLGFQPEDIILYAWSIGGFTATWAAMSYPDISAVILDASFDDLVPLALKVMPDSWRGLV
+TRTVRQHLNLNNAEQLCRYQGPVLLIRRTRDEIITTTVPEDIMSNRGNDLLLKFLQHRYP
+RVMAEEGLRVVRQWLEASSQLEEASIYSRWEVEEDWCLSVLRSYQAEHGPEFPWSVGEDM
+SADGRRQLALFLAQKHLNNFEATHCTPLPTQNFQMPWHL
+>tr|E1B7V7|E1B7V7_BOVIN Nucleotide binding oligomerization domain containing 1 OS=Bos taurus OX=9913 GN=NOD1 PE=4 SV=3
+MEKHGRSKMEIVPSESHSFIKLLKVNREHLVTHIRNTQCLLDNLLQNDYFSNEDAEIVCA
+CPTQPDKVRRILDLVQSKGEEVSEFFLHVIQQLADAYVDLRPWLSEIGFSPSPLIQSKAV
+VNTDPVSGYSQKLQQQLGRDSKFILCYAQKEELLLEQMYTDTVVELVNFSNESLGSLDSL
+ACLLDASTGVLNEQGEIIFVFGDAGMGKSMLLQRLQSLWAAGQLDPGIKFFFHFRCRTLS
+CFKKSAALCLQDLLFKHYCYPEQDPGEVFAFLLRFPHTALFTFDGLDELHSDFDLSSEPD
+TSSPWEPAHPLALLASLLSGKLLKGARKLLTARTGVEVPRHLLWKKVLLRGFSPSHLRAY
+TGRMFPEHAVRQRLLDQLEANPNLCSLCAVPLFCWIIFRCFQHFHDAFESSPQLPDLTVT
+LTDIFLLVTEVHLNRTQPTSLVQQNTRSQTETLRAGRDTLCSLGRVAHRGMEKSLFVFNQ
+DEVQASEVREGDLQLGFLRTMPELGLGGEQQSYEFFHLTLQAFFAALFLVVDDKVGTREL
+LRFFQEWTPPEEAVATSTCYPPFLPVHCLGGHDLHGEDPFKNKDHFHFTNLFLCGLLSKG
+KQKLLQHLVPTAALRRKRKALWTHLFASLRSHLKSLPRVQSGGFNQVQALPTFIWMLRCI
+YETQSEKVGQLAARGICANYLKLTFCNACSADCSALSFVLHHLRKRLALDLDNNNLNDFG
+VRELQPCFSRLTVIRLSVNQITDSGVKVLYEELTKYKILTFLGLYNNQITDVGARYIARI
+LDECKGLTHLKLGKNKITSEGGKSLALAVKNSKSIFEVGMWGNQIGDEGAKAFAEALRNH
+PSLTNLSLAFNGISTEGGKSLAWALQQNASLRIFWLTKNELDDEVAESFAEMLKVNQTLK
+HLWLIQNQITAKGIAQLAEALQKNTGIMEICLNGNLIKPEEAKVFEDEKRIICF
+>tr|A0A3Q1N6G4|A0A3Q1N6G4_BOVIN Ubiquitin specific peptidase 46 OS=Bos taurus OX=9913 GN=USP46 PE=3 SV=1
+PGTNASALEKDIGPEQFPINEHYFGLVNFGNTCYCNSVLQALYFCRPFRENVLAYKAQQK
+KKENLLTCLADLFHSIATQKKKVGVIPPKKFISRLRKENDLFDNYMQQDAHEFLNYLLNT
+IADILQEEKKQEKQNGKLKNGNMNEPAENNKPELTWVHEIFQGTLTNETRCLNCETVSSK
+DEDFLDLSVDVEQNTSITHCLRDFSNTETLCSEQKYYCETCCSKQEAQKRMRVKKLPMIL
+ALHLKRFKYMEQLHRYTKLSYRVVFPLELRLFNTSSDAVNLDRMYDLVAVVVHCGSGPNR
+GHYITIVKSHGFWLLFDDDIVEKIDAQAIEEFYGLTSDISKNSESGYILFYQSRE
+>tr|A0A3Q1LKE5|A0A3Q1LKE5_BOVIN Tenascin C OS=Bos taurus OX=9913 GN=TNC PE=4 SV=1
+MGVMTRLWAGLFLALLALPAEGGVLKKVIRHKQQSGMNVTLPEENQPVVFNHVYNIKLPV
+GSQCSVDLESASGEKDLAAPSEPRESFQEHTVDGENQIVFTHRINIPRRACGCAAAPDVK
+ELLSRLEELENLVSSLREQCTSGAGCCLQSAEGRVDTRPFCSGRGNFSTEGCGCVCEPGW
+KGPNCSEPECPGNCHLHGQCLDGQCVCHEGFTGEDCGQLACPSDCNDQGKCVDGACVCFE
+GYSGLDCSQETCPVPCSEEHGRCVDGRCVCQEGFAGEDCREPLCLHNCHGRGRCVENECV
+CDEGFTGDDCGELVCPNDCFDRGRCLNGTCSCDEGFTGEDCGQLACPHACHGHGRCDEGQ
+CVCDEGFAGPDCSERRCPSDCHERGRCVDGRCECNDGFTGADCGELQCPRDCSGHGRCVN
+GQCVCDEGYTGEDCGQRRCPSDCHGRGRCVDGRCECQPGFQGDDCGEMSCPHDCHQHGRC
+VNGMCVCDDAYTGEDCRELRCPGDCSQRGRCVDGRCVCEDGFAGPDCADLACPGNCHGRG
+RCVDGQCVCLEGFTGPDCAQRRCPGDCHGQGRCVDGQCVCHEGFTGPDCAQRSCPNDCSN
+WGQCVSGRCVCNEGYTGEDCSQVSPPKDLVVTEVTEETVNLAWDNEMRVTEYLIVYTPTH
+EDGLEMQFRVPGDQTSATIRELEPGVEYFIRVFAILENKKSIPVSARVATYLPTPEGLKF
+KSIKETSVEVEWDPLDIAFETWEVIFRNMNKDDEEEITKSLRRPETTYRQTGLAPGQEYE
+ISLHIVKNNTRGPGLKRVTTTRLDAPSQIEVKDVTDTTALITWSKPLAEIDSIELMYGIK
+DVPGDRTSIDLTHEENQYSIGNLKPDTEYEVALISRRADMSSNPAKETFTTGLDAPRNLR
+RISQTDNSITLEWRNVKAAADSYRIKYAPISGGDHAEVEVPRSQQTTTRTTLTGLRPGTE
+YGIGVSAVKGDKESDPATINAATELDTPKDLRISDPTETSLTLVWQTPVAKFDRYRLNYS
+LPSGQPKEVQLTRDTTSFVLRGLEPGQEYSILLTAEKGRHKSKPARVQASTDHTPELGNL
+TVTKAGWDGLRLNWTAADQAYEHFVIQVQEANGVEAAQNLTVPGNLRAVDVPGLKAATPY
+RVTIHGVIRGYRTPVLSAEASTGDTPHLGEVTVSEVGWEALKLNWTAPEGVYEQFLIQVQ
+EPGKEEAAQNLTVPGGLRSVDLPGLKAATHYSITIRGVTRDFSTAPHSVEALTEEVPGLG
+NLTVTRVSWDALRLHWTSPDGIYERFVIKIRETDQPQEVHSLTVPGSQHSVEIPGLKAGT
+SYTITLRGEVRDHSTQPLAVEVITAELPQLGDLVVTEAGWDGLRLNWTTADQAFEHFVIQ
+AQEANRVEAAQNLTVPGNMRAVDIPGLKAATPYRITIHGVIRGYRTPVLSAEASTAREPE
+LGNLSVSDITSESFNLSWTATDGAFETFTVEIIDSNRFLETMEYNVSGAERTAHISGLRP
+SNDFIVYLSGLAPSMQTKTISATASTEALPLLENLTISDINPYGFTVSWMASENAFDSFL
+VTVVDSGKLLDPQEFTLSGTQRKLELRGLITGIGYEVMVSGFTQGRQTKPLRAEIITEAE
+PEVDNLLVSDATPDGFRLSWTADEGVFDSFVLKIRDTKKQSEPLEITLLAPERTRDITGL
+REATEYEIELYGISSGRRSQPVTAIATTAMGSPKEIIFSDITENAASVSWMAPTTQVESF
+RVTYVPIAGGAPSAVTVDGTKTQTRLLRLLPGADYLVSVIALKGFEESEPVSGTLTTALD
+GPSSLVTANITDSEALAMWQPAIAPVDNYVISYTGERVPEITRTVSGNTVEYALTNLEPA
+TEYTLRIFAEKGPQKSSTITTKFTTDLDSPRDFTATEVQSETALLTWRPPRASVTGYLLV
+YESVDGTIKEVVLDPDTTSYSLTDLSPSTYYTARIQALNGTLRSKTVKTIFTTSGVLYRF
+PRDCSQAMLNGDTTSGVYTIYLNNDKTQKQEVFCDMTSDGGGWIVFLRRKNGREDFYRNW
+KAYAAGFGDLKEEFWLGLDTLSKITAQGQYELRVDLRDHGESAHAVYDKFSVGDARTRYR
+LKVEGYSGTAGDSMAYHNGRSFSTFDKDTDSAITNCALSYKGAFWYKNCHRVNLMGRYGD
+NSHSQGVNWFHWKGHEHSIQFAEMKLRPSNFRNLEGRRKRA
+>tr|A0A3Q1MBW4|A0A3Q1MBW4_BOVIN Cysteinyl-tRNA synthetase OS=Bos taurus OX=9913 GN=CARS PE=1 SV=1
+MAGSTTEHAPDYTAILGISDEAARLRALDAYLSTRSYLQGFALSQVDVDAFRQLSGPPAD
+PQLFHVARWFRHVTAILGSPPAARPPCGLQATGGGRRAQPTWSPPAGSEPCQLRLYNSLT
+RRKDVFVPQDGRRVTWYCCGPTVYDASHMGHARSYISFDILRRVLRDYFKFDVFYCMNIT
+DIDDKIIKRARQNFLLERYRETQPQAAQLLEDVRAALQPFSAKLQETVDPDKKQMLERLQ
+HAVAQAAEPLEGALRAGQAGQELDGRVQALLEEAKDVLSDWLDSMHGSEVTDNSIFSELP
+KFWEAEFHKDMEALNVLPPDVLTRVSEYVPEIVDFVQKIVDNGYGYASNGSVYFDTVKFA
+NTEGHSYGKLVPEAVGDQKALHEGEGDLSVSAERLSEKRSPNDFALWKASKPGEPSWPCP
+WGKGRPGWHIECSAMAGTLLGASMDIHGGGFDLRFPHHDNELAQSEAYFENDCWVRYFLH
+TGHLTIAGCKMSKSLKNFITIKDALKKHSARQLRLAFLMHSWKDTLDYSANTMESALQYE
+RLLNEFFLNVKDLLRAPVEVAAQFEKWEDEETELNKSFYKKKAAVHQALCDNIDTRTVLE
+EMRALVGQCNLYMAARKAARRRPHRVLLESIAHYLTHMLKIFGAIEEESSLGFPIGGAGT
+SLNLESTVMPYLQVLSEFREGVRRIAREHRVPEVLQLSDALRDNVLPELGVRLEDHEGLP
+TVVKLVDRDALLREREDKKRAEEEKRKKKEEATRKRQEQEVASACEGGFRQEGTLTPTLP
+SVSLTA
+>tr|A0A3Q1LI98|A0A3Q1LI98_BOVIN Tyrosine-protein kinase OS=Bos taurus OX=9913 GN=ABL1 PE=3 SV=1
+MGQQPGKVLGDQRRPSLPALHFIKGAGKKEPSRHGGPHCNVFVEHEALQRPVASDFEPQG
+LSEAARWNSKENLLAGPSENDPNLFVALYDFVASGDNTLSITKGEKLRVLGYNHNGEWCE
+AQTKNGQGWVPSNYITPVNSLEKHSWYHGPVSRNAAEYLLSSGINGSFLVRESESSPGQR
+SISLRYEGRVYHYRINTASDGKLYVSSESRFNTLAELVHHHSTVADGLITTLHYPAPKRN
+KPTVYGVSPNYDKWEMERTDITMKHKLGGGQYGEVYEGVWKKYSLTVAVKTLKEDTMEVE
+EFLKEAAVMKEIKHPNLVQLLGVCTREPPFYIITEFMTYGNLLDYLRDCNRQEVNAVVLL
+YMATQISSAMEYLEKKNFIHRDLAARNCLVGENHLVKVADFGLSRLMTGDTYTAHAGAKF
+PIKWTAPESLAYNKFSIKSDIWAFGVLLWEIATYGMSPYPGIDLSQVYELLEKDYRMERP
+EGCPEKVYELMRACWQWNPSDRPSFAEIHQAFETMFQESSISDEVEKELGKKGMRGVAGT
+LLQAPELPTKTRTSRRAAEHKDSPDMPETPHSKGPGETDPVDHEPAVSPLLPRKERGPPD
+GSLNEDERLLPKDKKTNLFSALIKKKKKTAPTPPKRSSSFREMDGPPERKAASEEEGREI
+SNGALAPAPPDAVESAKSPKPSGGAGVPNGAFRESGGAGFRSPHLWKKSSTLTSSRLAAG
+EEESGSGASKRFLRSCSASCVPHGAKDTEWRSVTLPRDLQSTGRQFDSSTFGGHKSEKPA
+LPRKRASENRSDQMTRGTVTPPPRLVKKTEEAADEVFKDAAEASPGSSPPSLTPKPIRRQ
+GAAAPPSSPPHKEEAGRPSAAGMPAEPAPPTSRAGLGASGGPSKAAATAAEEPRGRRHKP
+TSESPGRDRGKLSKLKPAPPPPPPTSAGKAGKSSQSPSQEAAGEAGAGAKVKPAALAADA
+VNSDTAKSGQLGEGAKKPVLPSMPKPPASTKPAGTPTSPAPTPATLPSAPSALAGDQPSS
+TAFIPLISTRVSLRKTRQPPERIASGAITKGMVLDGTEALCLAISKNSEQMASHSAVLEA
+GKNLYTFCVSYVDSIQQMRNKFAFREAINKLENNLRELQICPATAGGGPAATQDFSKLLS
+SVKEISDIVQR
+>tr|A0A3Q1M681|A0A3Q1M681_BOVIN BTB domain-containing protein OS=Bos taurus OX=9913 GN=IVNS1ABP PE=4 SV=1
+MIPNGYLMFEDENFIESSVAKLNALRKSGQFCDVRLQVCGHEMLAHRAVLACCSPYLFEI
+FNSDSDPHRVSHVKFDDLNPEAVEVLLNYAYTAQLKADKELVKDVYSAAKKLKMDRVKQV
+CGDYLLSRMDVTSCISYRNFASCMGDSRLLNKVDAYIQEHLLQISEEEEFLKLPRLKLEV
+MLEDTVCLPSNGKLYTKVINWVQRSIWENGDSLEELMEEVQTLYYSADHKLLDGNLLDGQ
+AEVFGSDDDHIQFVQKKPPRENGHKQISSSSIGCLSSPNATIQSPKHEWKIVASEKTSNN
+TYLCLAVLDGIFCVIFLHGRNSPQSSPTSTPKLIKSLSFEMHPDELIEKPMSPMQYARSG
+LGTAEMNGKLIAAGGYNREECLRTVECYDPHTDHWSFLAPMRTPRARFQMAVLMGQLYVV
+GGSNGHSDDLSCGEMYDPNMDDWTPVPELRTNRCNAGVCALNGKLYIVGGSDPYGQKGLK
+NCDVFDPVTKSWTSCAPLNIRRHQSAVCELGGYLYIIGGAESWNCLNTVERYNPENNTWT
+LIASMNVARRGAGVAVLDGKLFVGGGFDGSHAISCVEMYDPTRNEWKMMGNMTSPRSNAG
+ITTDYFGYFLEVDIVKE
+>tr|A0A3Q1LWN4|A0A3Q1LWN4_BOVIN NADH dehydrogenase [ubiquinone] flavoprotein 3, mitochondrial OS=Bos taurus OX=9913 GN=NDUFV3 PE=1 SV=1
+MAASLLLRQGRAGALKTVLLEAGVFRGVAPAVSLSAESGKNEKGLPPNPKKQSPPKNVVE
+PKERGQQLAPPTADAVSKTLSAPTSSPSVVSQSRTLASPNPDASVLHTDQGLPKLLSRKT
+LVEFPQKVVSPFRKQGSDSEAAQWGRRGTDDSSSSSSSSSSSDSESDEEGDRSGAGPQVK
+SKRRGGSPVAEASRSSADRTSKTEISAKEKTVSQQPHLDLTPAGRPRKAEKKGASSQHLE
+DRKGTKPKTAVPKSQATEESMKQNVKEKQSQKIPRSNKIDKESQKPLEVKKVLSDRTPWG
+LSTHPAGGPAPTPSTGTRAGGQPLAPPPEARGSLLEKQVPEADGELALPLFKTEKLEKQA
+AEGILKAEEEILEDQLPMQNLKPAPVQNKDVLDEKPAVLKLEEKGGIVEDPAAQVEGQDH
+AQEPVSAAPTEPFDNTTYKNLQHHDYSTYTFLDLNLDLSKFRMPQPSSGRESPRH
+>tr|E1BFD4|E1BFD4_BOVIN Short chain dehydrogenase/reductase family 16C member 5 OS=Bos taurus OX=9913 GN=SDR16C5 PE=3 SV=3
+MSPSCFIGMSQNMALKLKAAKKLLIFLGKSVLALVEAVVFVIIPKPRKNVAGEIVLITGA
+GSGLGRLLALKFAQLGSVLVLWDISQESNEETCKMAVEAGATRVYAYTCDCSRKEEIYRV
+ANQVKKEVGDASILINNAGIVTGRKFMDCPDELIEKSLDVNFKSHIWTYKAFLPAMIANN
+RGHLVCISSSAGLIGMNGLADYCASKFAAYGFAESIFLESFTKGQNGIKTTIVCPFFIKT
+GMFDGCTTGCPSLLPILEPEYAVRKIVDAILQENMYLYMPKFIYFIVFLKSFLPLKLGLL
+LGEYLGAFNLMDGFTGAKKKN
+>tr|E1BLK4|E1BLK4_BOVIN Voltage-dependent calcium channel gamma-6 subunit OS=Bos taurus OX=9913 GN=CACNG6 PE=3 SV=2
+MMMWSNFFLQEENRRRGAAARRRARGQPKAQMTPEREGKIKLALLLTSVGATLAVLAVGT
+EFWVELNTYRDNGSAVCDAAHLGLWKMCTKRLWQADVPAGRDTCGPAELPGEANCTYFKF
+FTTGENAHIFQRTTKKEVNQAAAVIAVLGLAVMALGCLCIIMVLSKGAEFLLRVGAVCFG
+LSGLLVLVSLEVFRHSVRALMQRVSPEPPVAPALTYEYSWSLGCGVGAGLILMLGGGCFL
+LLALPPGPWSPLCPKWGQRAP
+>tr|F1MR31|F1MR31_BOVIN Ornithine decarboxylase antizyme 1 OS=Bos taurus OX=9913 GN=OAZ1 PE=4 SV=3
+MVKSSLQRILNSHCFAREKEGDKPSATVHATRTMPLLSLHSRGGRSSESSRVSINCCSNL
+GPGPRWCSDVPHPPLKIPGGRGNSQRDHNLSANLFYSDNRLNVTEELTSNNKTRIFNVQS
+RLTEAKHINWRAVLSNTCLYVEIPGGALPEGSKDSFAVLLEFAEEQLHVDHVFICFHKNR
+DDRAALLRTFSFLGFEIVRPGHPLVPKRPDACFMAYTFERESSGEEE
+>tr|A0A3Q1MSN1|A0A3Q1MSN1_BOVIN Transforming acidic coiled-coil containing protein 1 OS=Bos taurus OX=9913 GN=TACC1 PE=4 SV=1
+MTAGAALEADPGAGSPRPEPGAGRSRLRKPRPVPLRKKALGSELSEATPALEDMRPVGED
+GKAGSQKCAPEMMETPGGDAHDSGAEQPEDARSPPLQCEFDFAEDTETVESRRALPRKPG
+RKPGSRVMPQVHGAKAPPEPGPGASPTLRPRGGPLARQHSPPLSAQGSYRFDPDLFDESS
+DPFKPSMTFASGDFDSPAGNQVNEILESPKKAKSRLITTTEQVKFLCFLLSGCKVKKYEA
+QSLALDGCSQDEGAVISQISDISNRDGHATDEEKLASTSSVQKPTGAEGKGSEKDPCQKM
+EKDGSTVPALLESPVEKTPVSVACGVESPLDGICLSESDKTAVLTLIREEIITKEIEANE
+WKKKYEETRQEVLEMRKIVAEYEKTIAQMIEDEQRTSMSSQKSFQQLTMEKEQALADLNS
+VERSLSDLFRRYENLKGVLEGFKKNEEALKKCAQDYLARVKQEEQRYQALKIHAEEKLDK
+ANEEIAQVRTKAKAESAALHAGLRKEQMKVESLERALQQKNQEIEELTKICDELIAKLGK
+TD
+>tr|F1MLB2|F1MLB2_BOVIN Chromodomain helicase DNA binding protein 8 OS=Bos taurus OX=9913 GN=CHD8 PE=4 SV=3
+MKGESKRITLVLQQPQSGGPQGHRHVVLGSLPGKIVLQGNQLAALTQAKNAQGQPAKVVT
+IQLQVQQPQQKIQIVPQPPSSQPQPQQPPSTQPVTLSSVQQAQIMGPGQAPGQRLSVPLK
+VVLQPQAGSSQGASSGLSVVKVLSATEVAALSSPASSAPHTGGKTGIEENRRLEHQKKQE
+KANRIVAEAIARARARGEQNIPRVLNEDELPSVRPEEEGEKKRRKKSSGERLKEEKPKKS
+KTSGTSKTKGKSKLNTITPVVGKKRKRNTSSDNSDVEVMPAQSPREDEESSIQKRRSNRQ
+VKRKKYTEDLDIKITDDEEEEEVDVTGPIKTEPILPEPVQEPDGETLPSMQFFVENPSEE
+DAAIVDKVLSMRIVKKELPSGQYTEAEEFFVKYKNYSYLHCEWATISQLEKDKRIHQKLK
+RFKTKMAQMRHFFHEDEEPFNPDYVEVDRILDESHSIDKDNGEPVIYYLVKWCSLPYEDS
+TWELKEDVDEGKIREFKRIQSRHPELKRVNRPQASAWKKLELSHEYKNRNQLREYQLEGV
+NWLLFNWYNRQNCILADEMGLGKTIQSIAFLQEVYNVGIHGPFLVIAPLSTITNWEREFN
+TWTEMNTIVYHGSLASRQMIQQYEMYCKDSRGRLIPGAYKFDALITTFEMILSDCPELRE
+IEWRCVIIDEAHRLKNRNCKLLDSLKHMDLEHKVLLTGTPLQNTVEELFSLLHFLEPSQF
+PSESEFLKDFGDLKTEEQVQKLQAILKPMMLRRLKEDVEKNLAPKQETIIEVELTNIQKK
+YYRAILEKNFSFLSKGAGHTNMPNLLNTMMELRKCCNHPYLINGAEEKILTEFREACHII
+PHDFHLQAMVRSAGKLVLIDKLLPKLKAGGHKVLIFSQMVRCLDILEDYLIQRRYLYERI
+DGRVRGNLRQAAIDRFSKPDSDRFVFLLCTRAGGLGINLTAADTCIIFDSDWNPQNDLQA
+QARCHRIGQSKAVKVYRLITRNSYEREMFDKASLKLGLDKAVLQSMSGRDGNITGIQQFS
+KKEIEDLLRKGAYAAIMEEDDEGSKFCEEDIDQILLRRTTTITIESEGKGSTFAKASFVA
+SENRTDISLDDPNFWQKWAKKADLDMDLLNSKNNLVIDTPRVRKQTRHFSTLKDDDLVEF
+SDLESEDDERPRSRRHDRHHTYGRTDCFRVEKHLLVYGWGRWRDILSHGRFKRRMTERDV
+ETICRAILVYCLLHYRGDENIKGFIWDLISPAENGKTKELQNHSGLSIPVPRGRKGKKVK
+SQSTFDIHKADWIRKYNPDTLFQDESYKKHLKHQCNKVLLRVRMLYYLRQEVIGDQAEKV
+LGGAIASEIDIWFPVVDQLEVPTTWWDSEADKSLLIGVFKHGYEKYNTMRADPALCFLEK
+AGRPDDKAIAAEHRVLDNFSDIVEGVDFDKDCEDPEYKPLQGPPKDQDDEGDPLMMMDEE
+ISVIDGDEAQVTQQPGHLFWPPGSALTARLRRLVTAYQRSYKREQMKIEAAERGDRRRRR
+CEAAFKLKEIARREKQQRWTRREQTDFYRVVSTFGVEYDPDTMQFHWDRFRTFARLDKKT
+DESLTKYFHGFVAMCRQVCRLPPAAGDEPPDPNLFIEPITEERASRTLYRIELLRRLREQ
+VLCHPLLEDRLALCQPPGPELPKWWEPIRHDGELLRGAARHGVSQTDCNIMQDPDFSFLA
+ARMNYMQNHQAGAPAPSLSRCSTPLLHQQYTSRTASPLPLRPDAPVEKPPEETAAQVPSL
+ESLTLKLEHEVVARSRPTPQDYDMRVAPSDTTPLVSRSVPPVKLEDEDDSDSELDLSKLS
+PSSSSSSSSSSSSSSTDESEDEKEEKLTDQSRSKLYDEESLLSLPMSQDGFPNEDGEQMT
+PELLLLQERQRASEWPKDRVLINRIDLVCQAVLSGKWPSSRRSQEMVTGGILGPGNHLLD
+SPSLTPGEYGDSPVPTPRSSSAASMAEEEVSAVTTAAAQFTKLRRGMDEKEFTVQIKDEE
+GLKLTFQKHKLMANGVMGDGHPLFHKKKGNRKKLVELEVECMEEPNHLDVDLETRIPVIN
+KVDGTLLVGEDAPRRAELEMWLQGHPEFAVDPRFLAYMEDRRKQKWQRYKKNNKAELNCL
+GIEPVQMANSRNGKKGHHAETVLNRVLPGPIAPDSSKKRARRTRPDLSKMMALMQGGGTG
+SLSLHNTFQHSSSGLQSVSSLGHSSATSASLPFMPFVMGGAASSPHVDSSTMLHHHHHHP
+HPHHHHHHHPGLRATGYPSSPATTTSATALRLPPLQPEEDEDDEDEDDDDDLSQGYDSSE
+RDFSLIDDPMMPANSDSSEDADD
+>tr|E1B6Y6|E1B6Y6_BOVIN Olfactory receptor OS=Bos taurus OX=9913 PE=3 SV=3
+MDQENQTMVTEFYFSDFPQFEKGSLLFFIPLFLIYMFIIVGNFMIFFAVQLDARLHNPMY
+NFVSIFSFLEIWYTTVTIPKMLSNLVSKEKTISFTGCLLQMYFFHSLGVTEALVLTVMAI
+DRYIAICHPLRYATIMTPRLCIQLSAGSSIFGFLMLLPEIVWISTLPFCGPNQIHQLFCD
+FEPVLGLACTDTSMILIEDVIHAISILTSVCIITLSYLRIIVVILRIPSGKSRQKAFSTC
+AAHITIFLLFFGSVTLMYLRFSVTFPPLLDKAIALMFAVLAPLFNPIIYSLRNKDMKDAI
+KKILFLCSQKTFSVSRSQ
+>tr|F1MYC8|F1MYC8_BOVIN Calpain-3 OS=Bos taurus OX=9913 GN=CAPN3 PE=3 SV=1
+MPYLLPGFFCDRVIRERDRRNGEGTATQPIKYEGQDFVVLRQRCLAQKCLFEDRVFPAGI
+QSLGSHELSQKTKMKAIAWKRPKEICENPRFIVGGANRTDICQGDLGDCWFLAAIACLTL
+NKRLLFRVIPHDQSFTENYAGIFHFQFWRYGDWVDVVIDDCLPTYNNQLVFTKSNHRNEF
+WSALLEKAYAKLHGSYEALKGGNTTEAMEDFTGGVTEFFEIKDAPRDMYKIMKKAIERGS
+LMGCSIDMIVPVQFETRMACGLVKGHAYSVTGLEEALYKGEKVKLVRLRNPWGQVEWNGS
+WSDSWKDWSYVDKDEKARLQHQVTEDGEFWMSYDDFIYHFTKLEICNLTADALESDKLQT
+WTVSVNEGRWVRGCSAGGCRNFPDTFWTNPQYRLKLLEEDDDPDDSEVICSFLVALMQKN
+RRKDRKLGANLFTIGFAIYEVPKEMHGNKQHLQKDFFLYNASKARSRTYINMREVSERFR
+LPPSEYVIVPSTYEPHQEGEFILRVFSEKRNLSEEVENTISVDRPVLEPGNTDQESEEQR
+QFRNIFRQIAGDDMEICADELKNVLNRVVNKHKDLKTQGFTLESCRSMIALMDTDGSGRL
+NLQEFHHLWKKIKTWQKIFKHYDTDQSGTINSYEMRNAVKDAGFHLNNQLYDIITMRYAD
+KYMNIDFDSFICCFVRLEGMFRAFNAFDKDGDGIIKLNVLEWLQLTMYA
+>tr|A0A3Q1MBG2|A0A3Q1MBG2_BOVIN Erythrocyte membrane protein band 4.1 like 1 OS=Bos taurus OX=9913 GN=EPB41L1 PE=4 SV=1
+MDPGVLVTMTTETGPDSEVKKAQEEAPQQPEAAAAATTPVTPVGHGHLEANSNEKQPPQQ
+DARPAEQSLDMEEKDYGEADGLSERTTPSKAQKSPQKIAKKYKSAVCRVTLLDASEYECE
+VEKHGRGQVLFDLVCEHLNLLEKDYFGLTFCDADSQKNWLDPSKEIKKQIRSSPWNFAFT
+VKFYPPDPAQLTEDITRYYLCLQLRADIITGRLPCSFVTHALLGSYAVQAELGDYDAEEH
+VGNYVSELRFAPNQTRELEERIMELHKTYRGMTPGEAEIHFLENAKKLSMYGVDLHHAKD
+SEGIDIMLGVCANGLLIYRDRLRINRFAWPKILKISYKRSNFYIKIRPGEYEQFESTIGF
+KLPNHRSAKRLWKVCIEHHTFFRLVSPEPPPKGFLVMGSKFRYSGRTQAQTRQASALIDR
+PAPFFERSSSKRYTMSRSLDGAEFSRPASVSENHDAGPDGDKREEDGESGGRRSEAEEGE
+IKTPTKIKELKPEQETTPRHKQEFLDKPEDVLLKHQASINELKRTLKEPNSKLIHRDRDW
+ERERRLPSSPASPSPKGTPEKVNEPVKTETMTVSSLAIRKKIEPEAVLQTRVATVDTTQV
+DGTAPGGKEFLTTPPSITTETISTTMENSLKSGKGAAAMIPGPQTVATEIRSLSPIIGKD
+VLTSTYGATAETLSTSTTTHVTKTVKGGFSETRIEKRIIITGDEDVDQDQALALAIKEAK
+LQHPDMLVTKAVVYRETDPSPEERDKKPQES
+>tr|E1BD26|E1BD26_BOVIN Sorting nexin 14 OS=Bos taurus OX=9913 GN=SNX14 PE=4 SV=3
+MAPWVRTIGEKLKQRLRLDVGREICRQYPLFCFLLLCLSAASLLLNRYLHVLMIFWSFVA
+GVVTFYCSLGPDSLLPNIFFMIKYKPKQLGLQELFPQGRSCAVCGKVKCKRHRPSLLLEN
+YQPWLDLKISSKVDASLSEVLELVLENFVYPWYRDVTDDESFVDELRIILRFFASVLIRR
+IHKVDIPSIITKKLLKAAMKHIEVIVKASQKVENTEFLQQAALEEYGPELHVALRSRRDE
+LHYLRKLTELLFPYILPPKATDCRSLTLLLREILSGSVFLPSLDFLADPDTVNHLLIIFI
+DDNPPEKATEPPSPLVPFLQKFAEPRNKKPSVLKLELKQIREQQDLLFRFMNFLKQEGAV
+HVLQICLTVEEFNDRILRPELSNDEMLSLHEELQKIYKTYCLDESVDKIRFDPFIVEEIQ
+KIAEGPYIDVVKLQTMRCLFEAYEHVLSLLENVFTPMFCHSDEYFRQLLRGAESPTRNSK
+FNRGSLSLDDFRSTQKRGESFGISRIGSKIKGVFKSTTMEGAMLPNYGLAEGEDDFIEEG
+IVVMEDDSPVEAVNTPNTPRNLAAWKISIPYVDFFEDTSSERREKKERIPVFCIDVERND
+RRAVGHEPEHWSVYRRYLEFYVLESKLTEFHGTFPDAQLPSKRIIGPKNYEFLKSKREEF
+QEYLQKLLQHPELSNSQLLADFLSPNGGETQFLDKILPDVNLGKIIKSVPGKLMKEKGQH
+LEPFIMNFINSCESPKPKPSKPELTILSPTSENNKKLFNDLYKNNANRAENTERRQNQNY
+FMEMMTVEGVYDYLMYVGQVVFQVPDWLHHLLMGTRILFKNTLEMYTDYYLHCKLEQLFQ
+EHRLVSLITLLRDAVFCENTEPRSLQDKQKRAKQTFEGMMNYIPDLIVKCIGEEAKYESI
+RLLFDGLQQPVLNKQLTYVLLDIVIQELFPELNKVQKEVTSVTSWI
+>tr|A0A3Q1MPP9|A0A3Q1MPP9_BOVIN Unc-5 netrin receptor D OS=Bos taurus OX=9913 GN=UNC5D PE=4 SV=1
+MQIFFKCNGEWVHQNEHVSEESLDESSGLKVREVFINVTRQQVEDFHGPEDYWCQCVAWS
+HLGTSKSRKASVRIAYLRKNFEQDPQGREVPIEGMIVLHCRPPEGVPAAEVEWLKNEEPI
+DSEQDEHIDTRADHNLIIRQARLSDSGNYTCMAANIVAKRRSLSATVVVYVNGGWSSWTE
+WSACNVPCGRGWQKRSRTCTNPAPLNGGAFCEGMSVQKITCTALCPVDGSWEVWSEWSVC
+SPECEHLRIRECTAPAPRNGGKFCEGLSQESENCTDGLCILGIENASDIALYSGLGAAVV
+AVAVLVIGITLYRRSQSDYGVDVIDSSALTGGFQTFNFKTVRQGNSLLLNPSMQPDLTVS
+RTYSGPICLQDPLDKELMTESSLFNPLSDIKVKVQSSFMVSLGVSERAEYHGKNHSGTFP
+HGNNRSFTTVHARNKTPYIQNLSSLPTRTELRTTGVFGHLGGRLVMPNTGVSLLIPHGAI
+PEENSWEIYMSINQGEPSLQSDGSEVLLSPEVTCGPPDMIVTTPFALTIPHCADVSSEHW
+NIHLKKRTQQGKWEEVMSVEDESTSCYCLLDPFACHVLLDSFGTYALTGEPITDCAVKQL
+KVAVFGCMSCNSLDYNLRVYCVDNTPWAFQEVVSDERHQGGQLLEEPKLLHFKGNTFSLQ
+ISVLDIPPFLWRIKPFTACQEVPFSRVWCSNRQPLHCAFSLERYTPTTTQLSCKICIRQL
+KGHEQILQVQTSILESERETITFFAQEDSTFPAQTGPKAFKIPYSIRQRICATFDTPNAK
+GKDWQMLAQKNSINRNLSYFATQSSPSAVILNLWEARHQHDGDLDSLACALEEIGRTHTK
+LSNITDSQLDEADFTYSRQNGL
+>tr|F1MXB1|F1MXB1_BOVIN NFKB inhibitor delta OS=Bos taurus OX=9913 GN=NFKBID PE=4 SV=2
+MEDPLDTRLYADPSLPQAGSWRASGLPSGPPQLPPVVTGPSLDTARAHMLALGPQKLLAQ
+DEEGDTLLHLFAARGLRWAAYAAAEMLQAYRHLDIREHKGKTPLLVAAAANQPLIVEDLL
+NLGAEPNATDHQGRSVLHVAATYGLPRVLSAVINSGVRVDIEARDFEGLTPLHTAILALN
+VAMHPPDLYPPVLSTQAQDRLACVKMLLHMGADHTSQEIKSNKTVLHLAVQAANPTLVQL
+LLALPRGDPRAFVNMKAHGNTALHMAAALPPGPAQEAIVRCLLAAGADPTLRNLENEQPV
+HLLRPGPGPEGLRQLLKRSRVAPPGLSS
+>tr|E1BBW6|E1BBW6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=2
+MMPSRTNLATGIPSSKVKYSRLSSTDDGYIDLQFKKSPPKIPYKAIALATVLFLIGAFLI
+IIGSLLLAGYINKGGGRPGRSCPDHWHPSVPARILPPAHHILCIQRLPGILL
+>tr|A0A3Q1LQF8|A0A3Q1LQF8_BOVIN Trophinin associated protein OS=Bos taurus OX=9913 GN=TROAP PE=4 SV=1
+MTTLQAPKDPLLRGVSPTPSKIPVRSQRRPPLPTVKPSALDQENQDPKRLGQKLSIQRPL
+ADSAGPRLKATRQTEQSEKLVGSTQLRNPLEELRPSHGGQNVGPRPPPQTEAPGTIEFVA
+DPAALATILSGEGVKSCRLGRQPSLAQRVLVRGTQGGTIRKGQDARASAYLAPRTPTHRL
+DPVRASCFSRLEGPGPRGRTLCPQRLEALVSVLKGTSVSQASGSLPETSVQPASSLPEGE
+HEVVTQSDEGGGGPLGLAQRIPLKETRDKTHTRDGCDSCLMPSPGQAVPPAITRPSPFGR
+AQRVPSPGPSAPVCICSYWGLGKGRTVWLGSLLGLDLSLLSHPCLSPDLIFSVAASCHPP
+QNPVHTAPISLQSSAGPRVEWPLPSTLP
+>tr|A0A3Q1MU81|A0A3Q1MU81_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=GPBP1L1 PE=4 SV=1
+MAQHDFVPAWLNFSTPQSAKSPTATFEKHGEHLPRGDGRFGVSRRRHNSSDGFFNNGPLR
+TTGDSWHQPSLFRHDSVDSGVSKGAYAGITGNLSGWHGSSRGHDGMSQRSGGGTGNHRHW
+NGSFHSRKGCVFQEKPPTDIREEKKEDKVEKLQFEEEDFPSLNPEAGKQNQPCRPIGTPS
+GVWENPPSAKQPSKMLVIKKVSKEDPAAAFSAAFTSPGSHHANGNKSSTMVPSVYKNLVP
+KPVPPPSKASAWKANRLEHKSGPLSSSRESAFTSPISVTKPVVLAGGVVLSSPKESPAST
+TPPIEISSSRLTKLTRRTTDRKSEFLKTLKDDRNGDFSESRECEKLEDSEDNSTPEPKES
+GEEGCHQNGLALPVEEDGEGLSHSLEAEHRLLKAMGWQEYPENDENCLPLTEDELREFHM
+KTEQLRRNGFGKNGFLQSRSSSLFSPWRSTCRAEFEDSDTETSSSETSDDDAWK
+>tr|A0A3Q1M6G2|A0A3Q1M6G2_BOVIN Protein phosphatase 1 regulatory subunit OS=Bos taurus OX=9913 GN=PPP1R12B PE=4 SV=1
+MAELEHLGGKRAESARARRAEQLRRWRGSPTEQEPADRPGAGPQARRGGPRVRFEDGAVF
+LAACSSGDTDEVRRLLARGADVDTANVDGLTALHQACIDENLDVVKFLVENGASVNQQDN
+EGWTPLHAAASCGYLNIAEYFINHGASVGIVNSEGEVPSDLAEEPAMKDLLLEQVKKQGL
+DLEQARRQEEQQMLQDARQWLNSGRIADVRQARSGATALHVAAAKGYSEVLRLLIQAGYE
+LNVQDHDGWTPLHAAAHWGVKEACSILAEALCDMDIRNKLGQTPFDVADEGLVEHLETLQ
+KRQSVLRSEKETRNKLIESDLNSKLHSRLFKNKEKMLCEEETPKSQRVEESKESSSSSSD
+EGEDEASESEAEKEAGDPEAGRPQSAGGRHVSEGRWSQPWGTDSQTGPRPFPSSLFSKPE
+ETRDESPCSWRLGLRKTGSQNVLSEAAGAREALRDRGASVHRSASSPRISALMDNREKER
+ETRSSLSSLGLRRPGSTSDLEEKENRESAANLVRSGSQPRQPWRDAAKGSETPQTAAPST
+YVSTFLKRTPYRSQADSTAERADGVACSSPLCVITNRPPPSTASGVPATTLLCAPGPEPS
+VEARQRRRSYLTPVRDEEAESLRKARSRQARQTRRSTQGVTLTDLQEAERTFSRSRVERQ
+AQEQPSLKPVGPGALEGGPRKHEPLAAPTQEAGESRQPWGRSPEGEPVYHPLRCPAQPEQ
+PTAPASPSAPRPSLYSSSYLLRTGGSSAPDSQSSEPPTDTAGPRDMDKNGTLGFGKCSLQ
+SHCVLGAGWPLPRRACPVALSTSGENVRCPLASPPTPCCSRNQLPLPCVSHRLESGGSDA
+STERASARARREAREARLATLSSRTEEDGGRDYRKLYESALTENQKLKTKLQEAQLELAD
+VKSKLEKMAQVRRGEENQERRALERKMSEMEEEMKVLTELKSDNQRLKDENGALIRVISK
+LSK
+>tr|A0A3Q1LPZ8|A0A3Q1LPZ8_BOVIN Maltase-glucoamylase OS=Bos taurus OX=9913 GN=MGAM PE=4 SV=1
+NGNPLQCSCLENPRDGGLQSHLSMNGVTLSDVLFQATCDQRGCCWSPQGTISMPWCYYSK
+SHGYQVGGDLVNTNAGFTAQLKRLSSPLFGNDVNNVLLTAEYQTSNRFHFKLTDQNQNRY
+EVPHEHVQPFTGNAASSLTYKVEVSKQPFGIKVIRTSNNRVLFDSSIGPLLFAHQFLQLS
+IRLPSANVYGLGEHVHQQYRHDMNWKTWPIFARDTTPNGDGTNLYGAQTFFLCLEDASGL
+SFGVFLLNSNAMEVFLQPTPAVTYRTIGGILDFYVFLGNTPEQVVQEYLELVGRPALPSY
+WALGFHLSRYDYGTLDNMKEVVERNRAAQLPYDVQHADIDYMDARKDFTYDPVAFKGFPE
+FVKELHNNGQKLVIIVDPAISNNSSLSNPYGPYDRGSDMKIWVNTSDGVTPLIGEVWPGK
+TVFPDYTNPKCTAWWTNEFELFHSQVEFDGIWIDMNEVANFVDGSVSGCSTSNLNYPPFT
+PKILDGYLFSKSICMDAVQHWGQHYDVHNLYGYSMAITTAETVKTVFPNKRSLILTRSTF
+AGSGKFAAHWLGDNAATWSDLRWSIPGMLEFNLFGIPMVGADICGFMLDTSEELCRRWMQ
+LGAFYPFSRNHNGQGYKAQDPASFGPDSLLLNSSRHYLTIRYTLLPYLYTLFYRAHSRGD
+TVARPLLHEFYQDSNTWDVHQQFLWGPGLLITPVLDEASGGRVRWRKQKVEMELPGDKIG
+LHLRGGYIFPTQQPATTTVASRRNPLGLIIALDENKEAKGELFWDDGETKGRSLCLIVLQ
+NRLEVKILQSTYTDPNNLAFKEIKILGTQEPNKVTVKQNGVPIQVSPNVTYDSNLQVALI
+TEIDLVLGGTYTVEWDVKIRDEEKIDCYPDETGVSAENCTARGCAWEESSSHGVPFCYFV
+NDLYSVSDVQYDSHGASAVITLKTSLYAHSFPSVPVNSLRLTVTYHKDNMLQFKIYDPSN
+NRYEVPVPLNIPSIPSGTSESQLYAVLIKKNPFGIEIRRKSTGTVIWDSQLLGFTFNDMF
+IRISTRLPSKYLYGFGETEHTAFRRDLEWNTWGMFSRDQPPGYKKNSYGVHPYYMALEED
+GSAHGVLLLNSNAMDVTFQPLPALTYRTTGGILDFYVVLGPTPELVTQQYTELIGRPVMV
+PYWSLGFQLCRYGYQNDSEIASLYDAMVAAQIPYDVQYSDIDYMERQLDFTLDAEFEGFP
+ALITRMRADGMRVIIILDPAISGNETKPYLPFTRGVEDDVFIKDPSDGSIVWGKVWPDFP
+DVVINSSLDWDSQVEKYRAFVAFPDFFRNSTTTWWKRELRELYTNPREPEKSLKFDGLWI
+DMNEPASFVNGAVPPGCKDATLNHPPYMPYLESRDRGLSSKTLCMESQQVLPDGSPVRHY
+DVHSLYGWAQTRPTYEAVQEVTGQRGIVITRSTFPSSGRWGGHWLGDNTAAWDQLKKSII
+GMMEFSLFGISYTGADICGFFQDAEYEMCARWMQLGAFYPFARNHNTIGTKRQDPVSWNS
+TFVTISKSVLETRYTLLPYIYTLMHKASTEGSTVVRPLLHEFVSDRVTWDVDSQFLLGPA
+FLVSPVLEAVSMEGVDIQSRGEWKSLPAPLDHINLHVRGGYVLPWQEPAQNTHLSRQKFL
+GFKVALDDEGAAEGWLFWDDGQSIGE
+>tr|F1MND1|F1MND1_BOVIN Cell division control protein 42 homolog OS=Bos taurus OX=9913 GN=CDC42 PE=1 SV=2
+MQTIKCVVVGDGAVGKTCLLISYTTNKFPSEYVPTVFDNYAVTVMIGGEPYTLGLFDTAG
+QEDYDRLRPLSYPQTDVFLVCFSVVSPSSFENVKEKWVPEITHHCPKTPFLLVGTQIDLR
+DDPSTIEKLAKNKQKPITPETAEKLARDLKAVKYVECSALTQILKFGEIKRRQKMGSLVQ
+FGSRSF
+>tr|E1BD75|E1BD75_BOVIN E2F transcription factor 2 OS=Bos taurus OX=9913 GN=E2F2 PE=3 SV=3
+MWGRPHPFLPPCIIPGGGGETDAEVTLGLLIKEPCIRTHTGFVASRAWASEDGSVVGPSA
+IGLPSPESLLFKSLIFPYQAKRKLDLEGIGRPTVPEFRTPKGKCIRVDGLPSPKTPKSPG
+EKTRYDTSLGLLTKKFIYLLSESEDGVLDLNWAAEVLDVQKRRIYDITNVLEGIQLIRKK
+AKNNIQWVGRGLFEDPTRPGKQQQLGQELKELMNMEQALDQLIHSCSLNFKHLTEDKANK
+RLAYVTYQDIRAVGNFKEQTVIAVKAPPQTRLEVPDRSEENLQIHLKSTQGPIEVYLCPE
+EVQEPHSPAKEPLPSTSALSPSPDSTQLNSNSDPGITEPTASSEPALTSPQVPPPPPPPL
+VPLEATENMLELPHPLLQQTEDQFLSPTLPCSSPLISFSPPLDQDDYLWGLDGGEGISDL
+FDTYDLGDLLIN
+>tr|A0A3Q1LRL9|A0A3Q1LRL9_BOVIN Sialomucin core protein 24 OS=Bos taurus OX=9913 GN=CD164 PE=4 SV=1
+MSGLSRPLLLAVGCLAALCVITAAGNTTLAPNVTTASSPPPTTTTVPVSPTTLSPLPVTT
+PAPDICGSRNSCVSCVDGNATCFWIECKGKSYCSDNSTAGDCKVVNTTGFCSAKTTTLPS
+TTTTSTTATTSGTTNTTLSPTIQPTRKSTFDAASFIGGIVLVLGVQAVIFFLYKFCKSKE
+RNYHTL
+>tr|F1N544|F1N544_BOVIN Chromodomain helicase DNA binding protein 3 OS=Bos taurus OX=9913 GN=CHD3 PE=4 SV=3
+MPDFLESRNQGALGVKKRKRGPKKQKENKPGKPRKRKKLDSEEEFGSERDEYREKSESGG
+SEYGTGPGRKRRRKHREKKEKKTKRRKKGEGEGGQKQVEQKSSATLLLTWGLEDVEHVFS
+EEDYHTLTNYKAFSQFMRPLIAKKNPKIPMSKMMTILGAKWREFSANNPFKGSAAAVAAA
+AAAAAAAVAEQVSAAVSSATPIAPSGPPTLPPPPAADIQPPPIRRAKTKEGKGPGHKRRS
+KSPRVPDGRKKLRGKKMAPLKIKLGLLGGKRKKGGSSDEGPELEAEESDLDSGSVHSASG
+RPDGPIRTKKLKRGRPGRKKKKVLGCPAVAGEEEIDGYETDHQDYCEVCQQGGEIILCDT
+CPRAYHLVCLDPELDRAPEGKWSCPHCEKEGVQWEAKEEEEDYEEEGEEEGEKEEEDDHM
+EYCRVCKDGGELLCCDACISSYHIHCLNPPLPDIPNGEWLCPRCTCPVLKGRVQKILHWR
+WGEPPVAMPAPQQADGNPDAPPPRPLQGRSEREFFVKWVGLSYWHCSWAKELQLEIFHLV
+MYRNYQRKNDMDEPPPLDYGSGEDDGKSDKRKVKDPHYAEMEEKYYRFGIKPEWMTVHRI
+INHSVDKKGNYHYLVKWRDLPYDQSTWEEDEMNIPEYEDHKQSYWRHRELIMGEDPAQPR
+KYKKKKKELQGDGPPSSPTNDPTVKYETQPRFITATGGTLHMYQLEGLNWLRFSWAQGTD
+TILADEMGLGKTIQTIVFLYSLYKEGHTKGPFLVSAPLSTIINWEREFQMWAPKFYVVTY
+TGDKDSRAIIRENEFSFEDNAIKGGKKAFKMKREAQVKFHVLLTSYELITIDQAALGSIR
+WACLVVDEAHRLKNNQSKFFRVLNGYKIDHKLLLTGTPLQNNLEELFHLLNFLTPERFNN
+LEGFLEEFADISKEDQIKKLHDLLGPHMLRRLKADVFKNMPAKTELIVRVELSPMQKKYY
+KYILTRNFEALNSRGGGNQVSLLNIMMDLKKCCNHPYLFPVAAMESPKLPSGAYEGGALI
+KASGKLMLLQKMLRKLKEQGHRVLIFSQMTKMLDLLEDFLDYEGYKYERIDGGITGALRQ
+EAIDRFNAHGAQQFCFLLSTRAGGLGINLATADTVIIFDSDWNPHNDIQAFSRAHRIGQA
+NKVMIYRFVTRASVEERITQVAKRKMMLTHLVVRPGLGSKAGSMSKQELDDILKFGTEEL
+FKDENEGENKEEDSSVIHYDNEAIARLLDRNQDATEDTDVQNMNEYLSSFKVAQYVVREE
+DKIEEIEREIIKQEENVDPDYWEKLLRHHYEQQQEDLARNLGKGKRVRKQVNYNDAAQED
+QDNQSEYSVGSEEEDEDFDERPEGRRQSKRQLRNEKDKPLPPLLARVGGNIEVLGFNTRQ
+RKAFLNAVMRWGMPPQDAFTTQWLVRDLRGKTEKEFKAYVSLFMRHLCEPGADGSETFAD
+GVPREGLSRQQVLTRIGVMSLVKKKVQEFEHINGRWSMPELMPDPSADSKRSSRASSPTK
+TSPTTPEASAANSPCTSKPATPAPSEKGDGIRTPLEKDEAENQEEKPEKNSRIGEKMETE
+ADTPSPAPSLGERLEPRKMPLEDEVPGVPGEMEPELGYRGDREKSATESTPGERGEEKPM
+DGQEHRERPEGETGDLGKRAEDVKGDRELRSGPPRDEPRSNGRREEKAEKPRFMFNIADG
+GFTELHTLWQNEERAAISSGKLNEIWHRRHDYWLLAGIVLHGYARWQDIQNDAQFAIINE
+PFKTEANKGNFLEMKNKFLARRFKLLEQALVIEEQLRRAAYLNLSQEPAHPAMALHARFA
+EAECLAESHQHLSKESLAGNKPANAVLHKVLNQLEELLSDMKADVTRLPATLSRIPPIAA
+RLQMSERSILSRLASKGTETHPTPAFPPGPYATPPGYGAAFSAAPVGALAAAGANYSQMP
+AGSFITGQLLTSRLLLVALFLSQASPLLSCPLLVQPISLPWMCPGFHGMECF
+>tr|E1B810|E1B810_BOVIN Ras and Rab interactor 2 OS=Bos taurus OX=9913 GN=RIN2 PE=4 SV=3
+MDSFCILSMVRHKDDHYSEETDAKTCPRDSGYDSLSNRLSILDRLLHTHPIWLQLSLSEE
+EAAGVLQAQPPGIFLVRKSTKMQKKVLCLRLPCEFGVPLKEFAIKESTYTFSLEGSGISF
+ADLFRLIAFYCISRDVLPFTLKLPYAISTAKTEAQLEELAQLGLNFWSSPADNKPPNPPP
+PHRPPSSDGGDDGVSPASSRQLCLINGVHSIKTRTPSELECSQTNGALCFINPLFFKVHS
+QDLGGGPRRPCTRTPDADGTERPRSPPPRPPPPAIHSQPAGPQLAGPVAPPAGMPETVAL
+HQPGDAARLGTKPTPVPPPRLKKQASFLEAEGGAKTLTGGRPRPEPAAGPAGGAGGGSAP
+AETEAEAVLASGDCARARRAAASASASRAPWRAGRQRLSDMSLSTSSSDSLDLDRSMPLF
+GYEADTNSSLEDYDGESDQETMAPPIKSKKKRNSSFVLPKLVKSQLRKMSGVFSSFLTPE
+KRMVRRIAELARDKRTYFGCLVQDYVSFLQENKECHVSSTDLLQTIRQFMTQVKNYLSQS
+SELDPPIESLIPEDQIDVVLEKAMHKCILKPLKGHIEAMLKHFHVADGSWKQLKENLQLV
+RQRNPQELGVFAPTPDFVDVEKIKVKFMTMQKMYSPEKKVMLLLRVCKLIYTVMENNSGR
+MYGADDFLPVLTYVIAQCDMLELDTEVEYMMELLDPSLLHGEGGYYLTSAYGALSLIKNF
+QEEQAARLLSSEARDTLRQWHKRRTTTRTVPSVDDFQNYLRVAFQEVNSGCTGKTLLVRP
+YVTTEDVCQLCAEKFKVAEPQEYSLFLFVDETWQQLAEDTYPQKIKAELHSRPQPHVFHF
+VYKRIKKDPYGIIFQNGEEDLSTS
+>tr|A0A3Q1LTF6|A0A3Q1LTF6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MKVIENQALKDEEKMELQEIQLKEAKHIAEEAHRKYEEVARNFLYGPTLISIHDYWKNHS
+FD
+>tr|A0A3Q1MH89|A0A3Q1MH89_BOVIN Ribosomal_S10 domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MPTKTLRITTRKTPCSEGSKTWDRFQMRIHKRLIDLHSPSEIVKQIIFISIEPGVKVEIT
+TADAYYNLFNKLIISCLKKKKKDKYWRGCRKIRTLTHYGENPEWCSQSKTVWHQKQVHTS
+MVN
+>tr|F1MXI4|F1MXI4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=MATR3 PE=1 SV=1
+MSKSFQQSSLGRDSQGHGRDLSAAGIGLLAAATQSLSMPASLGRMNQGTARLASLMNLGM
+SSSLNQQGAHSALSSASTSSHNLQSIFNIGSRGPLPLSSQHRGDADQASNILASFGLSAR
+DLDELSRYPEDKITPENLPQILLQLKRRRTEEGPTLSYGRDGRSATREPPYRVPRDDWEE
+KRHFRRDSFDDRGPSLNPVLDYDHGSRSQESGYYDRMDYEDDRLRDGERCRDDSFFGETS
+HNYHKFDSEYERMGRGPGPLQERSLFEKKRGAPPSSNIEDFHGLLPKGYPHLCSICDLPV
+HSNKEWSQHINGASHSRRCQLLLEIYPEWNPDTDTGHTMGDPFMLQQSTNPAPGILGPPP
+PSFHLGGPAVGPRGNLGAGNGNLQGPRHMQKGRVETSRVVHIMDFQRGKNLRYQLLQLVE
+PFGVISNHLILNKINEAFIEMATTEDAQAAVDYYTTTPALVFGKPVRVHLSQKYKRIKKP
+EGKPDQKFDQKQELGRVIHLSNLPHSGYSDSAVLKLAEPYGKIKNYILMRMKSQAFIEME
+TREDAMAMVDHCLKKALWFQGRCVKVDLSEKYKKLVLRIPNRGIDLLKKDKSRKRSYSPD
+GKESPSDKKSKTDGSQKTESTAEGKEQEEKSGEDGEKDTKDDQAEQEPNMLLESEDELLV
+DEEEAAALLESGSSVGDETDLANLGDVASDGKKEPSDKAVKKDANASASGAAKKKLKKRR
+FPGNMEGFVTLDEVGDEEDSELQKLRKSGMAFKSGDKNDDGLVEIKVDKIEELDQENEAA
+LENGIKNEENTEPGAESAENADDPNKDTSENADGQSDENKEDYTIPDEYRIGPYQPNVPV
+EISE
+>tr|A0A3Q1MFE0|A0A3Q1MFE0_BOVIN DENN domain containing 2C OS=Bos taurus OX=9913 GN=DENND2C PE=4 SV=1
+MHPTGNMDVGFSRSAVHTLSRSHCKNIKQKISQWEGRTNGISNPDKWHAKDFGVRYNNCN
+QESLLKKTPIAEGKSKKLDVTNTQNVDQGINEDAKSHNQSEDESEKREYDDTHLFKNESE
+PNWVCSRVKQIENWKEVLDPETSLPPGNFYTSQILWKKIEALSPDKVLNLALEHCGSSEK
+ELNFRVLDSSYGVTKSLENIYSEPEGQECGPSINPLPKPRRTFRYLSESGPMPYKERNCD
+RKYCENNSCAESSLASSQEPEPKKYGGKIRGRSKRKSFEFEDIQHFRNRNSQKIHEELGR
+NSGSALYYTQSEDNIYEDIIYPTKENPYEDIPVQPLPLWRSPSAWKLPPPKSAFRTPKLP
+PKPHFLHRKTMELKNSQAYIRSKLTKDTTLPVTLTEWKLFRAGEVANRKRKNLPRFVLKI
+DDIFESKRGKKKVKLHPYTGKDAPPSKGEPGGYESDAEYLPKSRHKRVAQLQQSSKRNPH
+YQTLERDLIELQEQQLFELFVVVSLQKKPSEASYVPQVIQQFPSKGDHGFKQSRDTEERL
+KVIPKFCFPDSKDWVPTSELKSETFSFVLTGEDGSRWFGYCKKLLPEGKGKRLPEVYCMV
+SRLGCFNLFSKILDEVEKRREMSPALVYPFMRSVMEAPFPAPGRTITVKSYLPGAGDGSI
+ELCRPLDSRLEHVDFECLFKCLSVCHLIRVCASLLLERRVIFVANSLSTLSKCGHAAVAT
+LYPFTWQHTYIPVLPASMIDIVCSPTPFLIGILSCSLPQLQDLPIEEVLIVDLCADKFLQ
+EVSDEDEILPPKLQAALIQILEERNEILAQEQNFSQEDVTLNSLVSEAFVRFFVELVGHY
+SLSMTVTERGERVFQREPFRKSHTSRSVRHFLDLFMETQMFAGFIQDRELRKSGVKGLFE
+VRALQYLETIPESEPSGVNRILRSLGKLLCFPIIIR
+>tr|F1MRJ5|F1MRJ5_BOVIN APC membrane recruitment protein 3 OS=Bos taurus OX=9913 GN=AMER3 PE=4 SV=3
+MELKRGKTFIKSSLQTDHEKPPDPAATALTTEDAISLGGQQLPHSERGPQVSPSTQGYNR
+CSDREAQPDTNGGPAALCGTTFKLVRKSKNHDSVPRADRAATATGQLVGSASFPGTPSSQ
+RMIDYRHFVPQMPFVPAVAKSIPRKRISLKRPKKCFRNLFHIRRNKTENLPSTKGEGLSS
+PEGPSETGGQRGIAFLPLGEELGLDGQCQDLSDSEFLPDSSFDLCRALCEDVASLKSFDS
+LTGCGEIFADESSVPSLELNEGLASPAQRSQASDSKTFRGPFQGSIEQLASPAQNEMSDF
+AKFWDHVNHSVRQQQHALLGPWLGGPQASDTDQPRPDAARLAELPLCPCRDPHSSSKASS
+TDTGTPKSERPESVSTSDEGYYDSFSPGLEEDKKEAPSPGTPTAAFPRDSYSGDALYELF
+YDPAEGPGSPSLDDDLCVSESPSGPAPGAPLSMCSFHVGAEENLAPVPGPDLLSQGFLQS
+SWRGKECLLKLCDTELAITMGIINWLRRGPELRALPASALREPATPSGGLVEKPGAGSEK
+MGLGPVKLDGRGPQALDAGRISVSSIPSRKELWACSGPKGLLAGVSKVLAGAKQETKSSS
+CDPSLECVPVSGERGTQGHPEGSFSPLGSAAAMGSNTFRKNKVPHPSVWPGSQEPRLPRN
+LGCFQGPWRPGPGGSTMDSKLTLTGCVAQVEALQINPDCQSPAAHPPRQNTGSGLCGKPQ
+ARGPDILQQEQPNGFPNLAAICGLPSLANPLHIPQDQRCPGSILDLSQLREEPTTLNVQA
+HVSVEDRPLQLNSRAMEQAAQRRQLDL
+>tr|E1BCF0|E1BCF0_BOVIN Progesterone immunomodulatory binding factor 1 OS=Bos taurus OX=9913 GN=PIBF1 PE=4 SV=3
+MSRKVSKASKKMNISSSLESEDISLETTIPTDDISSSEEQDGKIKITRQLIERKELLHNI
+QLLKIELSQKNMMIDNLKVDYLTKIEELEEKLNDALHQKQLLALRLDNQLTFQQKDARKY
+QELMKQEMETILLRQKQLEETNLQLREKAGDIRRNLRDFELTEEQYMKLKSFPEDQLSIP
+EYVSIRFYELVNPLRKEISELQVKKNDLAEELSANKGQLKQLTETYEEDRRNYSELQIRC
+QRLALELADTKQLIQQGDYRQENYDKVKSERDALEQEATDLRRKYEILEASHITQAKERS
+ELSKEVATLQQTVTLLQKDKEYLNRQNMELSVRCAHEEDRLERLQAQLEETKKAREEMYE
+KYVTSRDRYKTEYENKLRDELEQIKLKTNQEIDQLRSASREMYERENRNLREARDNAVAE
+KDRAVMAEKNALEKHDQLLDRYRELQLSAESKVTEYLHQSKIKSFESERAQLLQEETARN
+LTQCQLECEKYQKKLEVLTKEFYSLQASSEKRITELQAQNSDHRARLDTYEKLEKELDEI
+IMQTAEIDDEVEAERVLFSYGYGANVPTTAKRRLKQSVHLARRVLQLEKQNSLVLKDLDH
+QKNQVTQLSQELDRANSLLNQTQQPYRYLIESVRQRDSKIDSLKKCITELEKDVSNLNKE
+KSALQQMKDQMALDLEQLLNHREELAAMKQIITHMRSKRSKDNLLFTKMESKNVTENQKS
+KTLNAPKEPEDNVFIPKPTLFTKKEAPEWSKIQKMKT
+>tr|A0A3Q1LVB1|A0A3Q1LVB1_BOVIN SRC kinase signaling inhibitor 1 OS=Bos taurus OX=9913 GN=SRCIN1 PE=4 SV=1
+MQPWQCLRRFALAWWERTAEGRARSPREEAGPRDPGGRGEPDPERSSPPMLSADDAEYPR
+EYRTLGGGGGGGSGGRRFSNVGLVHTSERRHTVIAAQSLEALSGLQKADADRKRDAFMDH
+LKSKYPQHALALRGQQDRMREQVGGWTVDPVCLLSSLCSHLHGDSAPSGAGQPAQQPNYW
+SFKTRSSRHTQGAQPGLADQAAKLSYASAESLETMSEAELPLGFSRMNRFRQSLPLSRSA
+SQTKLRSPGVLFLQFGEETRRVHITHEVSSLDTLHALIAHMFPQKLTMGMLKSPNTAILI
+KDEARNVFYELEDVRDIQDRSIIKIYRKEPLYAAFPGSHLTNGDLRREMVYASRESSPTR
+RLNNLSPAPHLASGSPPPGLPSGLPSGSPSRSRLSYAGGRPPSYAGSPVHHAAERLGGAP
+AAPGVSPSPSAILERRDVKPDEDLAGKAGGMVLVKGEGLYADPYGLLHEGRLSLAAAAGD
+PFAYPGAGGLYKRGSVRSLSTYSAAALQSDLEDSLYKAAGGGPLYGDGYGFRLPPSSPQK
+LADVTAPPGGPPPPHSPYSGPPSRGSPVRQSFRKDSGSSSVFAESPGGKTRSTGGSSTAG
+APPPELFPGPGERPLVGFGPPAPAKDTETRERMEAMEKQIASLTGLVQSALLRGSEPETP
+SEKIEGSNGAATPSAPCGSGSRSSGATPVSGPPPPPASSTPAGQPTAINRLQMQLHLRGL
+QNSTNDLRSQLQQLRKLQLQNLESLRALLKGTEAELSMRVSEAARRQEDPLQRQRTLVEE
+ERLRYLNDEELITQQLNDLEKSVEKIQRDVSHNHRLVPGPELEEKALVLKQLGETLTELK
+AHFPGLQSKMRVVLRVEVEAVKFLKEEPQRLDGLLKRCRGVTDTLAQIRRQVDEGVWPPP
+SNLLSQSPKKMTAETDFNKSLDFEMPPPSPPLNLHELSGPTEGAPPAPKAGNPTKGLDTP
+GKRSMDKAVSVEAAERDWEEKRAALTQYSAKDINRLLEETQAELLKAIPDLDCASKAHPG
+PAPTPDHKPPKTPHGQKAAPRTEPSGRRGSDELTVPRYRTEKPSKSPPPPPPRRSFPSSH
+GLTTTRTGEVVVTSKKDSAFIKKAESEELEVQKPQVKLRRTVSEVARPASTPPIMASAIK
+DEDDEDRIIAELESGGGSVPPMKVVTPGASRLKTAQGQAGSPDKGKHGKQRAEYMRIQAQ
+QQATKPSKEMSGSNETSSPVSEKPSASRTSIPVLTSFGARNSSISF
+>tr|E1BDC2|E1BDC2_BOVIN DNA polymerase nu OS=Bos taurus OX=9913 GN=POLN PE=4 SV=3
+MAVQESAAQLSMTPKVQEYPTLKDFMKMENYEAFVGSDLCKIPLSSVAQKIMSAMHSGDL
+VESETWRESENTADVVDKSSVKYSVLLEDGKDQSLEKKNPNLLTSQPSGVSIKFCPESSG
+IRVTDQLSADQSQKGISSSAPSRCSVPQCDQQASVLQKMECKRKHLPKENNRESLNLTGN
+HVSCSSSSAKTSKLVILEEDAGEVETYLSSRHAKAFKTDFCDIRHLDALEQSQLIEMLKQ
+AATLVVTLMYKDGSTQLRADQALVSSVKGIVMLLRRHPEEGSGGLAASASDSVPEDVPSD
+QCIYIKTERSSAWGQGQEAQHQLAENVLREVLRRKRPVICFNAKDFVRTALQLFGDDGSW
+KCVAGFVGLDPRIAAWLIDPSDAAPSFEDLVAKYLGNPTTLTVNSMHGNSSRKTVNQTVR
+AKLRVLHRLTMHLCSQLQVCGLWQLFWTLELPLIPILAVMESHRIRVNKEEMERMSALLG
+SRLKELEQEAHFVAGEQFLITSNHQLREILFGKLRLHLLRPQDASPATGLRRLPSTSGAE
+LNALQDLHPLPRIILEYRQVHKIKSTFVDGLLACMKKGSVSSTWNQTGTVSGRLSAKHPN
+IQGISKHPIQITKPQSFKGEEDELSISPRTAFVSSEGYTFLAADFSQIELRILAHLSGDP
+ELLKLFQESERDDVFSTLTSQWKDVSPERVTHADREQTKKVVYAVVYGAGKERLAACLGV
+PVREAARFLESFLQKYKKIKDFTQATVARCQQTGYVESIMGRRRPLPRIRAHDPQLRAQA
+ERQAANFVVQGSAADLCKMAMIRIFTAMATSPTLTARLLAQIHDELLFEVEDSQVPEFTA
+LVQGTMEALQHVPALELQLQVPLKVSLSAGRSWGHLVPLQGAPGPTNVPPSLQATSGPRP
+APERRPPRLHFLPSFGP
+>tr|F1MZX4|F1MZX4_BOVIN Apoptosis enhancing nuclease OS=Bos taurus OX=9913 GN=AEN PE=4 SV=3
+MGMVPQEASESVQCPSPSLASPNAKDVLRRKHKRKSRQHQRFMARKALLQEQGLLSPLWE
+PGPSPLPTLPGAPPGTEATSSARQRPRAEPGGAGCSRKPAPRDSAGPLPSKCVAIDCEMV
+GTGPRGRVSELARCSVVSYHGEVLYDKYIRPEMPIVDYRTRWSGITRQHMRKATPFQVAQ
+KEILKLLKGKVVVGHALHNDFQALKYIHPRGQTRDTTSVPSLLSQPGLHVRNRVSLKDLA
+LQLLHKKIQVGQHGHSSVEDAVTAMELYRLVEVQWEQQVASSLRAPPEDREPDSSTDMEQ
+YMEDQYWPADPAQGTSGDPGAAPGRRE
+>tr|E1BIT6|E1BIT6_BOVIN Arachidonate lipoxygenase 3 OS=Bos taurus OX=9913 GN=ALOXE3 PE=3 SV=1
+MALYRVRVTTGPYLMAGTLDNIFVTLVGTCGESPKQRLDRMGRDFASGSVQKYKVRCSEE
+LGELLLLRLHKERYAFFPQDSWYCSCICVTAPDGTLSHFPCYQWMEGYCTIELRPGTART
+ICQDSLPLLLDHRKRELQARQECYRWKVYAPGFPRIIDVSSFEEMESDKKFALTKTAPRA
+DPGDSSGNQYLPGFPMKIDIPSLLHMEPNIRYSATKTTSLLFNAIPASLGMKLRGLLDRK
+GSWKKLDDIRNIMRCHKTLTSEYVREHWCEDQFFGYQYLNGVNPVMLHCLSSLPSKLPIT
+NDMVAPSLGPGTCLQTELERGNIFLADYWILAEVPVHCINGHPQFVAAPLCLLWLNPQGA
+LVPLAIQLCQTPGPDSPIFLPTDTDWDWLLAKTWVRNSEFLVHENNTHFLCTHLLCEAFA
+MATLRQLPLCHPIYKLLLPHTRYTLQVNTIARATLLNPEGLVDKVTSIGRQGLLYLMSTG
+LAHFTYTNFCLPDSLRARGVLDIPNYHYRDDGLKIWAAIESFVSEIVGYYYTSDASVQQD
+SELQAWVGEIFAQAFLGRESSGFPRQLCTQGQLVKFLTAIIFNCSAQHAAVNSGQHDFGA
+WMPNAPSSMRQPPPQTKGTTTLKSYLDTLPEVNTTCNNILLFWLVSQEPKDQRPLGTYPD
+EHFTEEAPRRSIAVFQNRLAQISRDIQERNRGLALPYAYLDPPLIENSVSI
+>tr|G3MYS7|G3MYS7_BOVIN Potassium channel tetramerization domain containing 21 OS=Bos taurus OX=9913 GN=KCTD21 PE=4 SV=2
+VWVRRVDAEAITQRNLEHLLHKDLEAVDLLVGWHHPEPLEVLLGVLLLWQALHIGHPVQS
+QVVGVLQVAGDGGEVAIGAVEELGAEELEEEARGAEDVGIEDLHAGRGEAVDLGCLTHGE
+MDRLHTLVQCDVEHGVLLGLGQLHLLLLQGLNQGLHLVEVGLPAQQAHLLEVLGQVQVGG
+SEEVEDVAEHFAVTVNEAIALAVPLGGHLPAEHSTQHGVREAGQGCQ
+>tr|A0A3Q1LJN3|A0A3Q1LJN3_BOVIN WD repeat domain 20 OS=Bos taurus OX=9913 GN=WDR20 PE=4 SV=1
+MATEGGGKEMNEIKTQFTTREGLYKLLPHSEYSRPNRVPFNSQGSNPVRVSFVNLNDQSG
+NGDRLCFNVGRELYFYIYKGVRKAADLSKPIDKRIYKGTQPTCHDVNHLTATAESVSLLV
+GFSAGQVQLIDPIKKETSKLFNEERLIDKSRVTCVKWVPGSESLFLVAHSSGNMYLYNVE
+HTCGTTAPHYQLLKQGESFAVHTCKSKSTRNPLLKWTVGEGALNEFAFSPDGKFLACVSQ
+DGFLRVFNFDSVELHGTMKSYFGGLLCVCWSPDGKYIVAGGEDDLVTVWSFGDCRVIARG
+HGHKSWVSVVAFDPYTTSVEESDPMEFSGSDEDFQDLLHFGRDRANSTQSRLSKRNSTES
+RPVSVTYRFGSVGQDTQLCLWDLTEDILFPHQPLSRARTHTNVMNATSPPAGSTGNSVPT
+PGSAAPPPLPRSNSLPHAAVSSAGSKGSVADGAIAAGVSKFATLSLHDRKDRHHEKDHKR
+NHSMGHISSKSSDRLNLVTKTKTDPAKALGTPLCPRMEDVPLLEPLICKKIAHERLTVLI
+FLEDCIVTACQEGFICTWGRPGKVGSLSAPSQATPPGGTAV
+>tr|G3MXX0|G3MXX0_BOVIN Neuropeptides B/W receptor type 2 OS=Bos taurus OX=9913 GN=NPBWR2 PE=3 SV=1
+MMEATGLEGLESTSSPCPGSTGTGLSWDNGTRHNATFPEPLPALYVLLPVVYSVICAVGL
+VGNAAVICVILRAPKMKTVTHVFILNLAIADGLFTLVLPTNIAEHLLQRWPFGEVLCKLV
+LAIDHCNIFSSVYFLAAMSIDRYLVVLATARSRRMPRRTVHRAKVASLCVWLGVTVAVLP
+FLTFAGVYNNELQVTSCGLSFPRPERAWFQASRIYTLVRGF
+>tr|A0A3Q1MAX7|A0A3Q1MAX7_BOVIN Ubiquitin carboxyl-terminal hydrolase OS=Bos taurus OX=9913 GN=LOC112446467 PE=3 SV=1
+QPMRSLHVRGWGCGEAPKGPRGRGPGPFLEPDRLREGGGPSPALGRPQRGDLAPGSAGLT
+PGQKGALSWKGPWGVGAGLQNLGNTCYVNAALQCLSHTPPLASWMVSQQHATLCPARSAC
+TLCAMRAHVTRALLHAGEVIRPRKDLLAGFHRHQQEDAHEFLMFTLNAMQQGCLSASQPS
+GHASEDTTVIRQIFGGTWRSQIQCLRCLGVSDTFDPYLDISLDITAAQSVEQALRELVKP
+EKLDADNAYDCGVCLRKVPATKRLTLHSTSQVLVLVLKRFTPVSGAKRAQEVRYPQCLDL
+QPYTSERKAGPLGYVLYAVLVHSGWSCERGHYFCYVRAGNGQWYKMDDAKVTACDETAAL
+SQSAYVLFYAREGAWEGGAGGGAAAPVGADPTEPGQPAGDASGRAPGSEESPGDTEVEGM
+SLEQWRRLQEHSRPKPALELRKVQSALPAGAVVIHQSKHGGGRNRTPPQQEHERLDRPST
+DTPPPGPKNVGNGPCASGRARATKGKNKKPRPSLGLWR
+>tr|A0A3Q1MFD1|A0A3Q1MFD1_BOVIN U-box domain containing 5 OS=Bos taurus OX=9913 GN=UBOX5 PE=4 SV=1
+MVINLCLPQFRPRIYCNKISADGYEVENLISEDLTKRSHGFRTEYFIKPPVYVTVSFPFS
+VEICRINIDLSAGGGQNVTGLEMYTSALSRGASWNTPECRTPDPDEPSIPDKEAFTLVGK
+VLLKNQSQVVFSHRGFKARPPFGPVEATLPSPAVVAQELWNKGALSLSHVAHLKICITHV
+TGGGVPCIKRLEVWGQPAKTCSQEVIDSVLLVASESLPQDLSLQAPALPMESDCDSGGQS
+EGQQAPSSLQELAEVIRDIPEEFLDPITLEIMPFPMLLPSGKVIDQSTLEKCNRSEATWG
+RVPSDPFTGVAFTPHSQPLPHPSLKARIDHFLLQHSIPGCHLLGRAQTALAVTPSSIALP
+SRKRKMEQAEHGPDSSLGLNASCFSTTSLLVSPSTSEHTAKKMKAANELMDCSTGPVSHE
+QKLSQSLEIALTSTLGSMPSFTARLTRGQLQHLSTRGSSTSWRPSTSSGKRGPVHLLWDS
+VDLQNQAPLVPTARPFLF
+>tr|A0A3Q1MMM5|A0A3Q1MMM5_BOVIN HESX homeobox 1 OS=Bos taurus OX=9913 GN=HESX1 PE=4 SV=1
+MTFRGQNVFSISKTGLSFLFAFWILVSCETQKNSTRKVRDKFLKLLLIYNFVEYRNGHLK
+CYFFFLFLGKEVNLCLHVPTLPSGISLPQTVDHSVPEESVWKYEDYFAPSERLSLKRELS
+WYRGRRPRTAFTQNQIEVLENVFRVNCYPGIDIREDLAQKLNLEEDRIQIWFQNRRAKLK
+RSHRESQFLMAKKNFNTDLLE
+>tr|F1N2C4|F1N2C4_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC782866 PE=3 SV=2
+MEQNNGTTVTEFILLGFADQHKSWHVLFTVFLVIYVVTLVGNIGMILLIKTDSSLHTPMY
+FFLQNLAFVDLCYTSAITPKMLQSFVETKQSISFIGCMVQLLVYGAFATSDCYILAAMAV
+DRYVAICNPLRYETVMSQRVCSQLLTASYFMGFLNASVNTSFAFSLNFCKSNKISHFFCD
+APPILALSCSSIYLNIMLLTVFVGFNLTFTVSVVIFSYVFILIAILKISSAAGRKKAFST
+CVSHLTAVSIFYGTLSYMYLHHRTIESQEQEKMASVFYGIIIPMLNPLIYSLRNQDVREA
+LKGVGKKCF
+>tr|A0A140T8C0|A0A140T8C0_BOVIN Interferon regulatory factor OS=Bos taurus OX=9913 GN=IRF1 PE=3 SV=1
+MPITRMRMRPWLEMQINSNQIPGLIWINKEEMIFQIPWKHAAKHGWDINKDACLFRSWAI
+HTGRYKAGEKEPDPKTWKANFRCAMNSLPDIEEVKDQSRNKGSSAVRVYRMLPPLTKSQR
+KERKSKSSRDARSKAKKKPYGEYSPDTFSDGLSSSTLPDDHSNYTVRSYMGQDLDIERTL
+TPALSPCGVSSTLPNWSIPVEIVPDSTSDLYNFQVSPMPSTSEAATDEDEEGKLTEDIMK
+LLEQTGWQQTSVDGKGYLLNEPGAQPTSVYGEFSCKEEPEVDSPGGYIGLISSDMKNMDP
+SWLDSLLTPVRLPSIQAIPCAP
+>tr|A0A3Q1LFS7|A0A3Q1LFS7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=TECPR1 PE=4 SV=1
+MPSSALWAVDLFGRVFTLSTAGQHWEPCRDAQLEFKRVSAARDCCWGIACDHQVYVLVGA
+SDVPIRCQEEAYENQRWNPVGGFCETLLPSDRWPWSDVSGLQHRPLDGVALPSLHWEWES
+DWYVDENFGGEPTEKGGWTYAIDFPAAYTRDKKWNSCVRRRRWIRYRRYKSQDAWAKIPS
+EDDPQQLPDPFSDLSVGGWEVTDEPVGRLSVWAVTLQGKVWYRENVSHHNPEGSSWSLVD
+TPGEAVQISCGPHDLLWVTLWEGQALVREGINRNNPKGSSWSTVEPPTSENGILHVSTGV
+CVVWAVTKDRKVWFRRGVNSHNPCGTSWIEMFGEMMMVNVGLNDQVWGIGCVDRALYFRQ
+GVTQSELSGKTWKVIVAGREGDRSLSGSSFSLHSAGCFFGNEVRGSAESCGPGDTDASWE
+VPGPASSPAGLRGKESWKGPSHATANFPEATDLSSLRLLPLGLEEPDSADCHALWAWVSG
+GGCAVEAHTTLKWFTTQSGLCPSVQTLSLSITPAQTAAWRKQIFQQLTERTKRELENFQH
+YEQAVEQSVWVKTGALQWWCDWKPHKWMDVHVALEQLTGHDGAQDSILFIYYVVHEEKKY
+IHVFLNEVTALVPVFSEAKHSFALYTPERTRQRWPVRLAAATEQDMSDWLTLLNLSCCES
+RRMHGRPSPQAIWSVTCKGDIFVSEPSPDLEGPERRLPCDQMFWRQIGGHLRVVEANSRG
+VVWGIGYDHTAWVYTGGYGGGCFQGLASSTSNVFTQWDVKFVHIYENQRWNPVTGYTSRG
+LPTDRYMWSDATGLQECTKASTKPPSLQWTWVSDWFVDFSVPGGTDPEGWQYASDFPASY
+HKYKTMKDFVRRRCWARASAGCTWAPTSPSPPSPSAPATRCGPWRGTAPPSTAAPCPPPG
+PTVRTLASGGRPAPAAAAAAAAMLGRAPGAATATSASRGSQWTGLRLINERLPGMGPGWA
+TPRVHTPSAGMTQGWSPRDGLSFTTLGLPPLRGPAWAPPRPIHSVDLDCSPGAKVMQACS
+WLDIWTVSGTGIAGWVVTGLSVPSTWSSGFAQQPMAGFPPHAWPGTFPSLLGLAAHQKPP
+QPREGPGQTRALKGQQDPGQLPPGPVTGVVHPVWGQRLAAPARPLELQRRPGVPGAPG
+>tr|A0A3Q1NDL0|A0A3Q1NDL0_BOVIN Myelin P2 protein OS=Bos taurus OX=9913 GN=PMP2 PE=3 SV=1
+MPLRRCSLGQQNSNTILISGVGLATRKLGNLAKPRVIISKKGDIITIRTESPFKNTEISF
+KLGQEFEETTADNRKTKSTVTLARGSLNQVQKWDGNETTIKRKLVDGKMVVECKMKDVVC
+TRIYEKV
+>tr|E1BIY4|E1BIY4_BOVIN EF-hand calcium binding domain 11 OS=Bos taurus OX=9913 GN=EFCAB11 PE=4 SV=3
+MPVARRLSNERRRWRCLAKLSFRDCAERGWRSRPGGFGRRARGFCSLDSRGAMAMFCSQA
+GARPRTWEASPPEHKKWVEVFKACDEDNKGYLSREDFKVAVVMLFGYKPSKIEADSVMSS
+VDPNTSGIRLKEFLDIVRKKKEAQLYRNEVRHIFTAFDRHYRGYLTLEDFKKAFKQVAPK
+LSERIILEVFSTTSPEAGLLIPDKN
+>tr|A0A3Q1M1Y5|A0A3Q1M1Y5_BOVIN Major histocompatibility complex class I-related gene protein OS=Bos taurus OX=9913 GN=MR1 PE=3 SV=1
+MMLLLPLIIVLMMKLSDARTHSLRYFRLGISEPGYGIPEFISAGYVDSHPITMYNSVSQL
+KEPRALWMEENLAPDHWERYTQLLRGWQQAFKVELKQLQHHYNHSGFHTYQRMIGCELLE
+DGSITGFLQYAYDGQDFLIFNKDTLSWMAMDNVADIIRRVWEANRHELQYQKNWLEEECI
+AWLKRFLEYGKDALQRTEPPKVRVNHKETFPGITTLYCRAYGFYPPEISINWMKNGEEIF
+QDTDYGGILPSGDGTYQTWVSVELDPQNGDIYSCHVEHGGVHMVLQGFQESETILLVVKA
+VGFIVLAIALAGVGILAWRKRPRGKRRVEGSWG
+>tr|A0A3Q1LPB5|A0A3Q1LPB5_BOVIN 40S ribosomal protein S8 OS=Bos taurus OX=9913 GN=RPS8 PE=1 SV=1
+HLGNAVTSRLRCGGANLGELSVRPPAWGVGLAASAPDSLPQAPAPPLPHAGISRDNWHKR
+RKTGGKRKPYHKKRKYELGRPAANTKIGPRRIHTVRVRGGNKKYRALRLDVGNFSWGSEC
+CTRKTRIIDVVYNASNNELVRTKTLVKNCIVLIDSTPYRQWYESHYALPLGRKKGAKLTP
+EEEEILNKKRSKKIQKKYDERKKNAKISSLLEEQFQQGKLLACIASRPGQCGRADGYVLE
+GKELEFYLRKIKARKGK
+>tr|A0A3Q1MI66|A0A3Q1MI66_BOVIN Teneurin transmembrane protein 4 OS=Bos taurus OX=9913 GN=TENM4 PE=4 SV=1
+MGERRDWMLLQTLRVLSWVSVPSSEVRAWEVAHQPRWTLDHSALSAARTLFVDVEERGPE
+AMDVKERKPYRSLTRRRDAERRYTSSSADSEEGKAPQKSYSSSETLKAYDQDARLTYGSR
+VKDLVPQEAEEFCRAGTNFSLRELGLGEVTPPHGTLYRTDIGLPHCGYSLGASSEAELEA
+DAALSPEHPVRLWGRSTRSGRSSCLSSRANSNLTLTDTEHENTETGAPLHCSSASSTPIE
+QSPSPPPSPPANESQRRLLGNSVAQPTPDSDSEEEFVPNSFLVKSGSASLGVAANDHPGG
+LQNHSRLRTPPPPLSHAHTPNQHHAASISSLNRGNFTPRSNPSPAPTDHSLSGEPPAGGA
+QEQTHAQDNWLLNSNIPLETRNLGKQPFLGTLQDNLIEMDILSASRHDGAYNDGHFLFKP
+GGTSPLFCSTAPGYPLTSSTVYSPPPRPLPRTTFSRPAFNLKKPSKYCNWKCAALSAIAI
+SATLVILLAYFVAMHLFGLNWHLQPMEGQMYEITEDTASSWPVPTDVSLYPSGGTGLEIP
+DRKGKGAAEGKPSSFFPEDSFIDSGEIDVGRRASQKIPPGTFWRSQVFIDHPVHLKFNVS
+LGKAALVGIYGRKGLPPSHTQFDFVELLDGRRLLTQEARSLEGPQRQSRGAIPPSSHETG
+FIQYLDSGIWHLAFYNDGKESEVVSFLTTAIESVDNCPSNCYGNGDCISGTCHCFLGFLG
+PDCGRASCPVLCSGNGQYMKGRCLCHSGWKGAECDVPTNQCIDVACSHHGTCIMGTCICN
+PGYKGENCEEVDCMDPTCSGRGVCVRGECHCSVGWGGTNCETPRATCLDQCSGHGTFLPD
+TGLCSCDPSWTGHDCSIEICAADCGGHGVCVGGTCRCEDGWMGAACDQRACHPRCAEHGT
+CRDGKCECSPGWNGEHCTIEGCPGLCNGNGRCTLDLNGWHCVCQLGWRGAGCDTSMETAC
+GDSKDNDGDALVDCMDPDCCLQPLCHVNPLCLGSPDPLDIIQETQAPVSQQNLHSFYDRI
+KFLVGRDSTHIIPGENPFDGGHACVIRGQVMTSDGTPLVGVNISFVNNPLFGYTISRQDG
+SFDLVTNGGVSIILRFERAPFIAQEHTLWLPWDRFFVMETIVMRHEENEIPSCDLSSFAR
+PNPVVSPSPLTSFASSCAEKGPIVPEIQALQEEIAISGCKMRLSYLSSRTPGYKSVLRIS
+LTHPTIPFNLMKVHLMVAVEGRLFRKWFAAAPDLSYYFIWDKTDVYNQKVFGLSEAFVSV
+GYEYESCPDLILWEKRTAVLQGYEIDASKLGGWSLDKHHALNIQSGILHKGNGENQFVSQ
+QPPVIGSIMGNGRRRSISCPSCNGLADGNKLLAPVALTCGADGSLYVGDFNYIRRIFPSG
+NVTNILELSHSPAHKYYLTTDPMSGAVFLSDTTSRRVFKIKSTVVVKDLVKNSEVVAGTG
+DQCLPFDDTRCGDGGKATEATLTNPRGITVDKSGLIYFVDGTMIRRIDQNGVISTLLGSN
+DLSSARPLSCDSVMDISQVRLEWPTDLAVNPMDNSLYVLDNNVVLQISENHQVRIVAGRP
+MHCQVPGIDHFLLSKVAVHATLESATALAVSHNGVLYIAETDEKKINRIRQVTTSGEISL
+VAGAPSGCDCKNDANCDCFSGDDGYAKDAKLNIPSSLAVCADGELYVADLGNIRIRFIRK
+NKPFLNTQNMYELSSPIDQELYLFDTSGKHLYTQSLPTGDYLYNFTYTGDGDVTLITDNN
+GNMVNVRRDSTGMPLWLVVPDGQVYWVTMGTNSALKSVTTQGHELAMMTYHGNSGLLATK
+SNENGWTTFYEYDSFGRLTNVTFPTGQVSSFRSDTDSSVHVQVETSSKDDVTITTNLSAS
+GAFYTLLQDQVRNSYFIGADGSLRLLLANGMEVALQTEPHLLAGTVNPTVGKRNVTLPID
+NGLNLVEWRQRKEQARGQVTVFGRRLRVHNRNLLSLDFDRVTRTEKIYDDHRKFTLRILY
+DQTGRPSLWSPSSRLNGVNVTYSPGGHIAGVQRGIMSERMEYDQAGRITSRIFADGKTWS
+YTYLEKSMVLLLHSQRQYIFEFDKNDHLSSVTMPNVARQTLETIRSVGYYRNIYQPPEGN
+ASVIQDFTEDGHLLHTFYLGTGRRVIYKYGKLSKLAELLYDTTKVSFTYDETAGMLKTIN
+LQNEGFTCTIRYRQIGPLIDRQIFRFTEEGMVNARFDYNYDNSFRVTSMQAVINETPLPI
+DLYRYDDVSGKTEQFGKFGVIYYDINQIITTTVMTHTKHFDAYGRMKEVQYEIFRSLMYW
+MTVQYDNMGRVVKKELKVGPYANTTRYSYEYDADGQLQTVSINDKPLWRYSYDLNGNLHL
+LSPGNSARLTPLRYDLRDRITRLGDVQYKMDEDGFLRQRGSDIFEYNSAGLLIKAYNRGG
+GWSVRYRYDGLGRRVSSKSSQSHHLQFFYADLTNPTKVTHLYNHSSSEITSLYYDLQGHL
+FAMELSSGDEFYIACDNIGTPLAVFSGTGLMIKQILYTAYGEIYMDTNPNFQIIIGYHGG
+LYDPLTKLVHMGRRDYDVLAGRWTSPDHELWKHLSSSNIMPFNLYMFKNNNPISNSQDIK
+CFMTDVNSWLLTFGFQLHNVIPGYPKPDMDAMEPSYELVHTQMKTQEWDNSKSILGVQCE
+VQKQLKAFVTLERFDQLYGSTITSCHQAPETKKFASSGSVFGKGVKFALKDGRVATDIIS
+VANEDGRRVAAVLNNAHYLENLHFTIDGVDTHYFVKPGPSEGDLAILGLSGGRRTLENGV
+NVTVSQINTMLNGRTRRYTDIQLQYGALCLNTRYGTTLDEEKARVLEMARQRAVRQAWAR
+EQQRLRDGEEGLRAWTEGEKQQVLNTGRVQGYDGFFVISVEQYPELSDSANNIHFMRQSE
+MGRR
+>tr|A0A3Q1LSR9|A0A3Q1LSR9_BOVIN Limb and CNS expressed 1 OS=Bos taurus OX=9913 GN=LIX1 PE=4 SV=1
+MDRTLESLRHIIAQVLPHRDPALVFKDLNVVSMLQEFWESKQQRRAAFPSEGVVVYESLP
+SPGPPYVSYVTLPGGSCFGNFQCCLSRAEARRDAAKVALINSLFNELPSRRITKEFIMES
+VQEAVASTSGTLDDADDPSTSIGAYHYMLESNMGKTMLEFQEVISYYSQYSLDEKMRSHM
+ALDWIMKERESPGILSQELRMALRELEEARKAGQELRFYKEKKEILSLALTQIYSDPDAS
+SPSDDQLSLTALCGYH
+>tr|A0A3Q1MFT2|A0A3Q1MFT2_BOVIN Isoprenoid synthase domain containing OS=Bos taurus OX=9913 GN=CRPPA PE=4 SV=1
+MELGPPGGSGPAEPGPRLRGLRGADAAASASSLSRFGAEAGCRASAVAAVLPAGGSGERM
+GVPTPKQFCPILERPLISYTLQALERVSWIKDIIVAVTRENMETMKGIIQRYQHKRISLV
+EAGVTRHRSIFNGLKALAEDQPNCRLSKPEVVIIHDAVRPFVEEDVLLKVVTAAQEHGAS
+GAIRPLVSTVISPSADSCLDHSLERARYRASEMPQAFLFDVIYDAYQQCSDYDLEFGTEC
+LHLALKYSHIKAKLVEGSPDLWKVTYKRDLYAAESIIKERISQKVCVVMDTKEDEEHVGH
+CLEEMLKTELNVMTSDFEETQRLLNMVEESNLSILYPVVVISVHFLDYESVPLGQKMEKV
+MQIREFAKEAKKRNILLSGLLIYFPQVENNRGLVRFLLYLEEFRKNSQHL
+>tr|F1N588|F1N588_BOVIN Sphingomyelin phosphodiesterase 2 OS=Bos taurus OX=9913 GN=SMPD2 PE=1 SV=2
+MHGTECCWLAMPARGDSWGHKHCASPGQPRRGPAPAARGGKGGRGDGRGGRRRPGLSSTL
+RLLLSNRRRGWRGPIGSRHQGRASEKRNAESTSRVPQSGSPLPRTSSGSPHPGSPQPPPP
+LGSLWDRPGSSSAAVASGACTWAPRPSGERGSRAATCTQCPGRTAARPCPPPRPSLWRPN
+RPAPPSVPAMKPNFTLRLRVFNLNCWGIPYLSKHRADRVKRLGDFLNMESFDLALLEEVW
+SEQDFQYLRQKLLPTYPAAHYFRSGIIGSGLCVFSKHPIQEFTQHVFTLNGYPYMIHHCD
+WFCGKAVGLLVLHLSGLVLNAYVTHLHAEYNRQKDTYLAHRVAQAWELAQFIHHTSKKAD
+VVLLCGDLNLHPKDLGCRLLKEWTGLHDAYLETRDFKGSEEGCTMVPKNCYVKHQELGPF
+PLGIRIDYVLYKAVSGLYIFCKTFKTTTGHDPYSGPPFSDHEALMATLCVRHSPPQHNPS
+PTHGPAESSPLTSVLREAWAEVDQGMAQAHWWATMAGYAVGLGLLLLALLCALAAGGWIR
+EAALLLWTPSVGLVLGAGAFYLFHVQEAKGLCRTRAELQHVLGRAREAQDLGPESQPALL
+LGQQERERAEEQ
+>tr|G3MXI0|G3MXI0_BOVIN Zinc finger protein 774 OS=Bos taurus OX=9913 GN=ZNF774 PE=4 SV=2
+MMWLGTSGTSGLPGHCLESPLQGYHPAQIQEWALKGISKPSVTSQLEQKEEAWVLPLQNF
+EARKILRESHTEFKNQVVQLDQDISETAEPCGTSLEGANKDISPPPSWGGNWERGLELEG
+QHGTLLGEGQQGPFSQEKELNKLLEGYIEKKLVCVECGKSFNQSSYLIRHRRTHTGERPY
+KCMECGKGFKQSSDLVTHRRTHTGEKPYQCHRCEKKFSDSSTLIKHQRTHTGERPHQCPE
+CGKTFARKPHLTVHQRTHTGEKPYMCLQCHKSFSRSSNFITHQRTHTGVKPYGCLDCGES
+FSQSSDLIKHQRTHTGERPFKCPECGKGFRDSSHFVAHMSTHSGERPFSCPYCHKSFSQS
+SHLVTHQRTHTGERPFKCDGCGKGFADSSALVKHQRIHTGERPYKCGECGKSFNQSSHFI
+THQRIHLGDRPYRCPECGKTFNQRSHFLTHQRTHTGEKPFHCSECDKSFRQKAHLLCHQN
+THLM
+>tr|A0A3Q1MNR2|A0A3Q1MNR2_BOVIN Solute carrier family 38 member 6 OS=Bos taurus OX=9913 GN=SLC38A6 PE=4 SV=1
+MDWVVDQRAAWRSQRGSSTPNPAGLSLLRSLKRRRRKSLARCLATFLLLIVALLASYSVH
+LLLSLCIQTAVTSYEDLGLFAFGLPGKVMVASTIIIQNIGAMSSYLLIIKTELPATISEF
+LSGDYSGSWYLDGDTLLIIICVGIVFPLALLPKIGFLGYTSSLSFFFMVFFALVVIIKKW
+SIPCPLTLNYVEQYFQISSATDDCKPKLFHFSKESAYAIPTMAFSFLCHTSILPIYCELQ
+SPSKKRMQNVTNTAIALSFLIYFISALFGYLTFYDSVASELLQGYSKYLPHDVVIMTVKL
+CILFSVLLTVPLIHFPARKALMMMFFSNFPFSWIRHSLITLALNIVIVLLAIYVPDIRNV
+FGIVGSSTSTCLIFVFPGLFYLKMSREDFLSWKKLGAFVLLIFGILVGNFSLALIIFNWI
+NK
+>tr|A0A3Q1MF70|A0A3Q1MF70_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC517252 PE=3 SV=1
+QSFTFLLLSNDSSVSEFVFLGLSTSRPVQHFLLAFSTVFYVTIVLGNLLVVFTVTFDPHL
+HSPMYFLLANLSSIDLCLSTLTVPKMISDLYSVHKTISFQGCIIQIFVLHTLGGSEMVLL
+TAMAFDRYVAICKPLHYLTLMSPRVCLLLLCGAWAIGLIHSVVQLAFVIHLPFCGPNEID
+SFYCDLPWFIKLACVDSYRMEFMVTANSGFISMGTFFLLIISYVFILVTMWKRSSGGLHK
+ALSTLSAHITVVVLFFGPCIFVYMWPFPTVPVDKFLAILDFLVTPILNPAIYTLRNKDMK
+MAMRRLSSQLLSLKIS
+>tr|A0A3Q1LSY4|A0A3Q1LSY4_BOVIN Tubulin tyrosine ligase like 8 OS=Bos taurus OX=9913 GN=TTLL8 PE=4 SV=1
+IDGLRNIWIIKPAAKSRGRDIVCMNHVEEILELVAADQPPAKDKWVVQKYIETPLLIYDT
+KFDIRQWFLVTDWNPLTIWFYKESYLRFSTQRFSLDKLDSAIHLCNNSIQKHLKNDKDRS
+PLLPCHNMWTSTRFQEYLQKRGRGAVWSSVIYPSMKRAITNTMKVAQDHVEPRKNSFELY
+GADFILGRDFRPWLIEINSSPTMHASTPVTAQLCAQVQEDTIKVVVDRKADRNCDIGNFE
+LLWKQVLGLGDSLRPSPWGMWCQ
+>tr|F1MAV3|F1MAV3_BOVIN RNA binding protein, mRNA processing factor OS=Bos taurus OX=9913 GN=RBPMS PE=4 SV=1
+MNNGSKAEKENTPNEANLQEEEVRTLFVSGLPLDIKPRELYLLFRPFKGYEGSLIKLTSK
+QPVGFVSFDSRSEAEAAKNALNGIRFDPEIPQTLRLEFAKANTKMAKNKLVGTPNPSTPL
+PNTVPQFIAREPYELTVPALYPSSPEVWAPYPLYPADLAPALPPPAFTYPASLHAQCFSP
+EAKPNTPVFCPLLQQIRFVSGNVFVTYQPTADQQRELPC
+>tr|E1BBS1|E1BBS1_BOVIN PHD finger protein 1 OS=Bos taurus OX=9913 GN=PHF1 PE=4 SV=1
+MAQPPRLSRSGAPPLWDPASPAPTSGPRPRLWEGQDVLARWTDGLLYLGTIKKVDSAREV
+CLVQFEDDSQFLVLWKDISPAALPGEELLCCVCRSETVVPGNRLVSCEKCRHAYHQDCHV
+PRAPAPGEGEGASWVCRQCVFAIATKRGGALKKGPYARAMLGMKLSLPYGLKGLDWDAGH
+LSNRQQSYCYCGGPGEWNLKMLQCRSCLQWFHEACTQCLSKPLLYGDRFYEFECCVCRGG
+PEKVRRLQLRWVDVAHLVLYHLSVCCKKKYFDFDREILPFTSENWDSLLLGELSETPKGE
+RSSKLLSALNSHKDRFISGREIKKRKCLFGLHARIPPPVEPPPGDGAPTSFPSGQGPGGG
+VSRPLGKRRRPEPEPLRRRQKGKMEELGPPSAVRNQPEPQEQRERARLQRALQASVSPPP
+SSPNQSYQGSSGYNFRPTDARCLPSSPIRMFASFHPSASTAGTSGDGEPPDRSPLELHIG
+FPTDLPKSAPHSMTASSSSVPAPSPGVPRRSAPPSPLCRSLSPGAGGGVRGGVGYLSRGD
+PVRVLARRVRPDGSVQYLVEWGGGGIF
+>tr|A0A3Q1MD38|A0A3Q1MD38_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC511229 PE=4 SV=1
+MSQDSGVAESSDDHHGSLEEKDYPSPNLDTSGDSKSGEDSSFESGSEAESDNEEAKPEKT
+IEEWEDKELPTQHYNSESNKIQSHCEHCNAENKHNELVTPRLLSRGQFLLKVDGEGTYQC
+TETGLIFEVNGKVDIKYCVLSWSKYSDLIVKPWAVGGPLFDVKCDPLCLTSIQFPHSLCL
+GHRDANMTFKVFHVKSTGASLEYTVDHSATHVKWRVSSLSPVGPVVQSEETVFHHGAVIL
+YKAIDHNPSLSFRVYVATNNESFIKDISKSVKHSSKKFMKIDKPPVCQKLLQNGKKYRLI
+SEPEAEITPEEIEFVDGSLLKLKSYIEVYLEQPVEFKLLLVEMDSEEIVWKAKLRECDWV
+QHHQNQNISKSSTSGNRRRKMSSSLPDEMVYDKRMKQIDSSDGVKTKTLTDTQLFNLAEK
+LGKEWLKIAIANLKLNISEIDAIREKEDNVTISKFKMLKKWQEKEQNNATAQNLCNCLKN
+VDSVEVQDVLRGFLQET
+>tr|A0A3Q1M5X9|A0A3Q1M5X9_BOVIN NPC1 like intracellular cholesterol transporter 1 OS=Bos taurus OX=9913 GN=NPC1L1 PE=4 SV=1
+THLSLPLLLGLVAPVYTPIHQPGYCAFYDECGKNPELSGSLASLSNVSCLDNSPARHITG
+DHLALLQSICPRLYTGASTTYACCSSKQLVALDMSLRITKALLTRCPACSDNFVSLHCHN
+TCSPNQSLFINVTRVVTQGDSQSQAVVAYEAFYQRSFAEQTYNSCSRVRIPAAATLAVGS
+MCGVYGSALCNAQRWLNFQGDTSNGLAPLDITFHLWEPSQAEGSTIQPLNDEVVPCNQSQ
+GDGAAACSCQDCAASCPVIAQPRALDPTFRLGRMEGSLVLIIILCSLFVLLTAFLLRSRL
+AEWCRGKRKTPKPKASINLAHRLSLSTHTLLSRCFQCWGTWVASWPLTILAVSVIVVVAL
+AGGLAFIELTTDPVELWSAPNSLARREKAFHDKYFGPFFRTSQVFMTAPHRPSYRYDSLL
+LGPKNFSGILSSDLLLEVLELQERLRHLQVWSPEEQRNVSLRDTCYAPLNPHNASLSDCC
+VNSLLQYFQNNRTQLLLTANQTLSGQTAQVDWRDHFLYCANAPLTYKDGTALALSCMADY
+GAPIFPFLAVGGYKGKDYSEAEALIMTFSLNNYPPGDPKLAQAKLWEAAFLEEMRAFQRR
+TAGVFQVTFMAERSLEDEINSTTAEDLPVFAVSYLVIFLYISLALGSYSSWRRVPVDSKA
+TLGLGGVAVVLGAVVASMGFFSYLGVPSSLVILQVVPFLVLAVGADNIFIFVLEYQRLPR
+RPGEEREAHIGRALGRVAPSMLLCSLSEAICFFLGALTPMPAVRTFALTSGFAVLLDFLL
+QMSAFVALLSLDSRRQEASRMDICCCKTAQKLPPPSQDEGLLLRFFRKFYVPFLLHWLTR
+VVVLLFLALFAASLYFMCYINVGLDQQLALPKDSYLIDYFLFMNRYFEVGAPVYFVTTGG
+YNFSSEEGMNAICSSAGCNNFSLTQKIQYATDFPDMSYLAIPASSWVDDFIDWLTSSSCC
+RLYISGPNKDEFCPSTVNSLACLKTCVSPTAGSARPSVEQFHKYLPWFLSDEPNIKCPKG
+GLAAYSTSVNMSSDGQILASRFMAYNKPLKNSRDFTEALRATRALAANITADLRKVPGTD
+PDFEVFPYSVTNVFYEQYLTIVPEGLFMLTICLVPTFVVCCFLLGMDVRSGLLNLFSIIM
+ILVDTVGFMMLWDISYNAVSLINLVTAVGISVEFVSHITRSFAISTKPTRLERAKEATIS
+MGSAVFAGVAMTNLPGILVLGLAKAQLIQIFFFRLNLLITVLGLLHGLVFLPVILSYLGP
+DVNPALVQQQKQQEEAAAAKETSCSNVGGLESSPSENRQKF
+>tr|F1MP21|F1MP21_BOVIN Alpha-amylase OS=Bos taurus OX=9913 GN=LOC539383 PE=3 SV=3
+MKFFLLLSAIGFCWAQYDPHVKSGRTSIVHLFEWRWVDIALECERYLAPKGFGGVQVSPP
+SENAVITDPSRPWWERYQPVSYKLCTRSGNENEFKDMVTRCNNVGVRIYVDAVINHMTGS
+GVSAGTGSTCGSYFNPGSEDFPAVPYSGWDFNDGKCKTGSGDIESYNDAYQVRDCRLVSL
+LDLALEKDYVRSTIANYLNHLIDIGVAGFRIDASKHMWPGDIKAVLDKLHNLNTKWFPSG
+SKPFIYQEVIDLGGEAITSSEYFGNGRVTEFKYGAKLGTVIRKWSGEKMAYLKNWGEGWG
+FMPSNRALVFVDNHDNQRGHGAGGASILTFWDARLYKMGVGFMLAHPYGFTRVMSSYRWT
+RHFVNGKDVNDWMGPPNKNGVIKEVTINPDTTCGNDWVCEHRWRQIRNMVMFRNVVDGQP
+FTNWWDNGSNQVAFGRGNKGFIVFNNDDSTLSATLQTGLPAGTYCDVISGDKSGNSCTGI
+QISVSSDGKAHFSISNSAEDPFIAIHADSKL
+>tr|E1B7N8|E1B7N8_BOVIN Histone domain-containing protein OS=Bos taurus OX=9913 PE=3 SV=2
+MPEPAKSAPAPRKGSKKAVTKAQKKDGKKRKHSRKESYSVYVYKVLKQPSHPLLSPSPPA
+PNPSMGIMNSFVNDIFKRIAGKASCLAHYNKRSTITSREIQTAVRLLLPGELAKHAVSQG
+TKALTKYTSSK
+>tr|A0A3Q1LXZ2|A0A3Q1LXZ2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC521568 PE=4 SV=1
+MLAVSPEVKTNPLQKANFCSRLFVWWLNPLFKIGHKRKLEPDDMYSVLPEDRSQHLGKEL
+QRHWDQEVKRAQKDAQEPSLMKAVIKCYWKSYLIWGMFTFLEEGTRVVQPIFLGKMISYV
+ENSNSVTLHEAYCYSAGLSACVLMWAVLHHLYFYHMQRVGMRLRVALCHMIYRKALRLSS
+PAMGKTTTGQIVNLLSNDVNRFDQVTMFLHYLWVGPLQAVTVTALLWMEIGISCLAGMAV
+LIILLLFQSCFGKLFSSLRSETSALTDKRIRTMNEIITGIRTIKMNAWEKSFIDLITRLR
+SKEISKILKSSYLRGLNLASFFTVSKIMIFVTFITNELLDNWIIASQVFVVVMLFEALRF
+LSTLYFPMAVEKVSEAVISLRRIKNFLSLDEIPQLNTQLPSDGEMMVDMQDFTAFWDEEL
+DSPTLKGISFTVRLGELLTVVGPVGAGKSSLLRALLGELPPSQGKVSVHGRIAYVSQQPW
+VFPGTVRSNILFGKKYEEERYEEVIKVCALEEDLQNLKEKDLTVIGDGGTPLSEGQKARV
+SLARAVYQDADIYLLDDPLSAVDPRVSRHLFEQCIRQALKEKITILVTHQLQYLKDASQI
+LILKDDKTVERGTYSEFLKSGVDIFSPFEKGNKQPASSPVLGTPTLMSESLVQSLPSPRP
+SLKDAAPEDQDIENIQVTLPLEDYLEGKVGFKTYKSYFTAGAGWPVITFLILVNIAAQVR
+KGVYFGLWLTVSTIVFGITRSLLIIYILVNSSQTWHNKILESILRASVLFFNSNPIGRIL
+NRFSKDIGHMDDLLPLIFLDFIQAFLLVIGVVGVMVAVIPWIAIPVIPLGIAFFFLQRYF
+SETSRDIKRLECATRSPVFSHLASSLRGLWTIRAYKAEQSFQELFDAHQDLHSEAWFLLL
+TTSRWLAVYLDVICAIFVTVVAFGALILAHALTPGQVGLVLSLALTLTGMFQWCIRQRTE
+VENLMISVERVMGYLDLEKEAPWEYKDHPPPPWSNEGRMHFYTVNFRHTSDGPLVLKNLS
+AVIESTKKVGIVGRTGAGKSSIFSAVFRLSEFEGLLSVDSCWIQATGLHNLRKKMSIILQ
+EPVLFMETMRKNLDPFNEHTDKELWNALKEVQLKETIEGLPGKMDTALAETGANLSVGQR
+QLVCLARVILKKNQILIIDKATSNVDPRTDELIKKAIHEKFAQCTVITITHRLSTIIDSD
+MIMVLDSGTVKEYSPPHVLLQNSKSLFYKMVQQLGEAEATALTERAKQVRLITFWTLFGR
+VVMNASNGQPSALMIVESAL
+>tr|A0A3Q1N568|A0A3Q1N568_BOVIN Proteasome activator complex subunit 2 OS=Bos taurus OX=9913 GN=PSME2 PE=1 SV=1
+MAKPCGVRLSGEALKQVTADREAEEFLYRFLPQKIIYLNQLLQEDSFNVTDLNSLRAPLD
+IPIPDPPPKDDEMETDKQEKKEVPKCGFLPGNEKVLALLALVKPEVWTLKEKCILVITWI
+QHLIPKIEDGNDFGVAIQEKVLERVNAVKTKVEAFQTTISKYFSERGDAVAKASKETHVM
+DYRALVHERDEAVYGDLRAMVLDLRAFYAELYHIISSNLEKIVNPKGEEKPSMY
+>tr|F1MV22|F1MV22_BOVIN Amidophosphoribosyltransferase OS=Bos taurus OX=9913 GN=PPAT PE=3 SV=1
+MELEELGIREECGVFGCIASGEWPTQLDVPHVVTLGLVGLQHRGQESAGIVTSDGNSVPT
+FKTHKGMGLVNHVFTEDNLKKLYTSNLGIGHTRYATTGNCELENCQPFVVETLHGKIAVA
+HNGELVNAARLRKKLLRHGIGLSTSSDSEMITQLLAYTPPQEQDDTPDWVARIKNLMKEA
+PTAYSLLIMHKDVIYAVRDPYGNRPLCIGRLIPISDINDKGKKTSETEGWVVSSESCSFL
+SIGARYYREVLPGEIVEISRHKIQTLDIIPRSEGNAMAFCIFEYVYFARPDSIFENQMVY
+TVRYRCGQQLAIEAPVDADLVSTVPESATPAALGYATKCGLPYVEVLCKNRYVGRTFIQP
+NMRLRQLGVAKKFGVLSDNFKGKRIVLVDDSIVRGNTISPIIKLLKESGAKEVHIRVASP
+PIRYPCFMGINIPTKEELIANKPEFEHISDYLGANSVVYLSVEGLVSSVQEGTLKKQRVK
+KQDIAVQENGNGLQCFENNGHCTKEDIMIQENENGLQCFEKNNHCTACLTGKYPVELEW
+>tr|G3MX69|G3MX69_BOVIN LRRC37AB_C domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=2
+MQFLHKLILNRNPLTAVEDSYLFKLPALKYLDMGTTQVSLTTIESILMMTLELEKLILPI
+RMACCLCQFKNTIEVVCKTVKLHCDSGCLTNVTFCDENTSIGNAEGSFMKVLQARKKNTS
+TELTIEPERASSDKSAVSLSAFMNEQLDFNDESDVISALNYILPYFSEGNLEDVESTLLP
+FIQLLFTNAHEGDMPVGHFKNNTKKPSVKPIDNNSTYKNKLRKLYFLENLLDAEIQEKID
+EVKKKEKTAMLIHANLLGPKFKRQMFPKKLEKAQPQENSPAEIDSPGQRLLRVNRVLKHP
+RGLQKRHFKDAGDESSEKKQNAQPLVENTAKERRLRRPSPRELDELDMVQRPRKLVGNSF
+NTEPSFMKEHKAAVSSFLKQYSRGRPSDPLPPKPQPEAKNKSKDLSYTIFVLEDADARVR
+NMKSSKPVSHSGKKYIFHKTRSRMPHRTLKAKVSRKLRKKGSLNRMMLARRPLFSAVRSL
+INSPPREAFSSSGLLDPQENRFSELYSLSNPPKENSSSVNNTPHVSEAVISSGNITRPKE
+TRPGITAQINVSSAHSTVAADFMPPVKHTNETQWEYHNVGIEFASKPPSVSFPVLSSPGD
+QFESQLNQQLRSLIPNNDVRKLIAHVIRTLKMDCSETHVQLACAKLISRTGLLMKLLSEQ
+QEIKVSKAEWDTDQWKTDNYINESTEAQSEQKEQEPSELAKEVPGYGYNNKLILAISVTV
+VVMFLIIMFCLIEIYSHRTTSEEEGSRRSVVTLLIVVAD
+>tr|A0A3Q1MCJ2|A0A3Q1MCJ2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC100295883 PE=3 SV=1
+PSDHRMLELSLSWLGLGPVAASPWLLLLLVGASWILAHVLAWTYTSYNNSLRLRCFPQPP
+KRNWFLGHLGLVPPTEQGLSKVTQLVTNYPQGYLMWMGPIIPLVIFCHPDLIRTFASASA
+AIAPKNVVFYNFLKPWLGDGLLLSAGDKWSSHRRMLTPAFHFNILKPYMKIFTKSADIMH
+AKWQRLIIEGHTHLDMFEHISLMTLDSLQKCVFSYDSNCQEKPSDYIAAILELSALVAKR
+YQQIFLHMDFLYYLTPDGWRFRRACRLVHDFTGAVIQERRRTLPKEDIDDFLKAKEKTKT
+LDFIDVLLLTKDEDGKGLSDEDIRAEADTFMFEGHDTTASGLSWVLYNLAKHPEYQERCR
+QEVQELLKDRESKEIEWDDLAQLPFLTMCIKESLRLHPPVTVISRSCTQDITLPDGRVIP
+KGVICLISIFGTHHNPYVWPDPEVYDPLRFKPENIKGRSPLAFIPFSAGPRNCIGQTFAM
+TEMKVVLALTLLRFRILPDEEEPCRKPELILRAEGGLWLRMELLSTGQQ
+>tr|F1MPS5|F1MPS5_BOVIN Microtubule associated serine/threonine kinase 3 OS=Bos taurus OX=9913 GN=MAST3 PE=4 SV=3
+EHRSWRSRARGSQAELRAMGDCRSGNRKSLVVGTPSPTLSRPLSPLSIPTAGSSPLDSPR
+NFSAASAINFPFARRADGRRWSLASLPSSGYGTNTPSSTVSSSSSSRERLHQLPFQPTPD
+ELCFLSKHFRSSDSVADEEGGHRSPRLRPRSRSLSPGRTTGTFDNEIVMMNHVYRERFPK
+ATAQMEGRLQEFLAAFSPGARLALADGVLGFIHHQIIELARDCLAKSGEALVTSRYFLEM
+QEKLERLLQDAHERSDSEEVGFIVQLVRKLLIIISRPARLLECLEFDPEEFYHLLEAAEG
+QAREGQGIKTDLPQYIIGQLGLAKDPLQEMVPLSHLDDNGGQPPAPEESPESRALVGPSR
+RKPCESDFETIKLISNGAYGAVYLVRHRETRQRFAIKKINKQNLMLRNQIQQVFVERDIL
+TFAENPFVVSMFCSFETRRHLCMVMEYVEGGDCATLLKNMGPLPVDMARMYFAETVLALE
+YLHNYGIVHRDLKPDNLLITSLGHIKLTDFGLSKIGLMSMATNLYEGHIEKDTREFVDKQ
+VCGTPEYIAPEVIFRQGYGKPVDWWAMGVVLYEFLVGCVPFFGDTPEELFGQVVSDEIMW
+PEGEEALPADAQDLITRLLRQSPLDRLGTGGTHEVKQHPFFWTLDWAGLLRHKAEFVPQL
+EAEDDTSYFDTRSERYRHLGSEDDETNDEESSTEIPQFSSCSHRFSKVYSSSEFLAAQPT
+PTFAERSFSEDREEGWERSSEGNYGRRLSTTEVRLRSCTSSGSSCHSSSSQPERGPSPSL
+LNTISLDTMPKFAFSSEDEGAGPGPVGPKRPIFILGEPDAPPETTPVIPKPSSLSADTAA
+LSHARLRSNSTGARHSTPRALDAGRGRRLGSQRDSAPEKSRTSPSGGRVPKSASVSALSL
+IITADDGSGGPLMSPLSPRSLSSNPSSRDSSPSRDPSPVCGSLRPPIVIHSSGKKYGFNL
+RAIRVYMGDSDVYTVHHVVWSVEEGSPAQEAGLRAGDLITHINGESVLGLVHMDVVELLL
+KSGNKIALRTTALENTSIKVGPARKNVTKGRMARRSKRSRRRETQDRRKSLFKKISKQSS
+VLHTSRSFSSGLHQSLSSSESLPGSPTHSLSPSPTTPCRSPAPDAPADTASPPSISPSSS
+SPASPAAAGHTRPSSLHGLAAKLGPPRPKAGRRKSTSSIPPSPLACPPVPAPPPRSPSPL
+SGHPPVPARSPRLRRGQSADKLGTGERLDGDLGRRSRGPDSELVIMRRLHLSERRDSFKK
+QEAVQEVSFDEPLEEATGPPTLVPQIAVEGAEAVSGALGPSRKD
+>tr|A0A3Q1LYV1|A0A3Q1LYV1_BOVIN SON DNA binding protein OS=Bos taurus OX=9913 GN=SON PE=4 SV=1
+MATNIEQIFRSFVVSKFREIQQELSSGRSEGQLNGETNTPIEGNQAGDAAASARNLPNED
+IVQKIEEVLSGVLDTELRYKPDLKEASRKSRCVSVQTDPTDEIPTKKSKKHKKHKNKKKK
+KKKEKEKKYKRQSEESESKPKSHHDGNIESDSFLKFDSEPSEMALEHSVRAFGLYDTSES
+PAVVLEPPVVSMEVSEPHILETLTPATKHTELSVASTSVVSMPSEQSVAVMLEPSTTKIL
+DSFATAPVPTTTVVLKSSEPVVTVSVECQMKSVQKSLESTPPEPSKIMLLEPPVAKVLEL
+SETLVSSETPTEVHPEPSTSTAMDFPESSATEVLRLPEQPVEGPSEIADSSMTRPQEMLE
+LPKTTALELQESSVASVMELPGPPATSMPELQGPPVTPVLELPGPSATPAPELPGPLSTP
+VPELLGPPATAVPELAGPSVTSVPQLSQELSGLPAPSMGLEPPQEVPEPPVMAQELPGLP
+AVTTAVELPGQPVVTVAMELTEQPVTTTELEQPVGMTAVEHPGQPEVTTATGLLGQPEAA
+MVLELPGQPVATTALELSGQPSVTGVPELPGLPSATRALELSGQPVATGALELPGQLMAA
+GALEFSGQSGAAGALELLGQPLATGVLELPGQPGAPELPGQPVATVALEISVQSVVTTEL
+STMTVSQSLEVPSTTALESYNTVAQELPTTLVGETSVTVGVDPLMAQESHMLASNTMETH
+MLASNTMDSQMLASNTMDSQMLASNTMDSQMLASSTMDSQMLATSSMDSQMLATSSMDSQ
+MLATSSMDSQMLATSSMDSQMLATSSMDSQMLATSSMDSQMLATSSMDSQMLATSSMDSQ
+MLATSTMDSQMLATSSMDSQMLASGTMDSQMLASGSMDAQMLASGTMDAQMLASSTQDSA
+MLGSKSPDPYRLAQDPYRLAQDPYRLGHDPYRLGHDAYRLGQDPYRLGHDPYRLTPDPYR
+MSPRPYRIAPRSYRIAPRPYRLAPRPLMLASRRSMMMSYAAERSMMSSYERSMMSYERSM
+MSPMAERSMMSAYERSMMSAYERSMMSPMAERSMMSAYERSMMSAYERSMMSPMADRSMM
+SMGADRSMMSSYSAADRSMMSSYSAADRSMMSSYTADRSMMSMAADSYTDSYTDTYTEAY
+MVPPLPPEEPPTMPPLPPEEPPMTPPLPPEEPPEGPVLAAEQSALTAENTWSAEVPALAP
+EESVSLPEPPVSQSEIAEPLAVTANYSVSASEPSVLASEADVTVPEPQLEPESSVMSTPV
+ESAAGAEEHEMVAERPVTYMVSETPTTSAEPTVLTSEPSVISETAETYDSMRASGQTASE
+VSMSLLEPAVPIAEPSQSTVDLPAMAVPEHPAGIVSETSTVAVPEPQAEAVLDPPAAAVQ
+DPPAVAVLDPPAEAVPEPLALSEPEHVTVAVPVVSTLEPTVPILEPVVSILQPNVIVSEP
+SSCVQESTVTISEPPVTVSEQTQVIPAETVVESTAVILESSVIKGMNLLSGDQSIASEIG
+LQEIAMHSEEEPRAEGLLKSGSNETENCISTDLNINNHLIAQEMECSTVSAASTGAIGEM
+GEEAVLPTSETKQCTVLDTCPSVSETELGGTLSSVGPLVLESEAVGTGKDLEFGTASALS
+SVSKYDGEVSLTTQDTEHDMVISTSPSGGSEADIEGPLPAQDIHPDLSNNFINKDAEGSL
+PVQESDQMLAAAISPKESSGEDKEVSLTTKEILSDSGFPASIDDINEADLVRPLLPKDME
+RLTNLRAGIEGPLLPSEVERDKSAASPVVISIPEKASESSSEEKDDYEIFVKVKDTHEKS
+KKNKNRDKGEKEKKRDSSLRSRSKRSKSSEHKSRKRTSESRSRARKRSSKSKSHRSQTRS
+RSRSRRRRRSSRSRSKSRGRRSVSKEKRKRSPKHRSKSRERKRKRSSSRDNRKTGRARSR
+TPSRRSRSHTPSRRRRSRSGGRRSFSISPSRRSRTPSRRSRTPSRRSRTPSRRSRTPSRR
+SRTPSRRSRTPSRRRRSRSVVRRRSFSISPVRLRRSRTPLRRRFSRSPIRRKRSRSSERG
+RSPKRLTDLNKAQLLEIAKANAAAMCAKAGVPLPPNLKPAPPPTIEEKVAKKSGGATIEE
+LTEKCKQIAQSKEDDDVIVNKPHVSDEEEEEPPFYHHPFKLSEPKPIFFNLNIAAAKPTP
+PKSQVTLTKEFPVSSGSQHRKKEADSVYGEWVPVEKNGEENKDDDNVFSSNLPSEPVDIS
+TAMSERALAQKRLSENAFDLEAMSMLNRAQERIDAWAQLNSIPGQFTGSTGVQVLTQEQL
+ANTGAQAWIKKGQILVAVFLPRSVPALLFTTLLLPRPRISS
+>tr|F1MH76|F1MH76_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=3 SV=2
+MPPRSHVRGCSATAEIRYVASYLLATLGGSSSPSAKDIKKILDSVGIEADDNRLNKVISE
+LNGKNIEDVIAQGIGKLASVTAGGAVVISTAPGSAAPAAGSAPAAAEEKKEEKKEELEES
+DDDMGFSLFD
+>tr|A0A3Q1MB05|A0A3Q1MB05_BOVIN G patch domain-containing protein 1 OS=Bos taurus OX=9913 GN=GPATCH1 PE=4 SV=1
+MGLAWSRWKKVRDQRSRFLSRIRPSEMKKEGINDFMEPLVEVSLLVTSTLLAQKKDGHPL
+PSCLHDRTEQTSLFLVPKILWMKRLSVGFELLRKMGWKEGQGIGPRVKRRPRRQKPDPGV
+KIYGCALPPGGSEGSEDEDDDYLPENVTFAPKDVTPVDFTPKDNVHGLAYKGLDPHQALF
+GTSGEHFNLFSGGPEETGDLLGDIGVNKGRKLGISGQAFGVGALEEEDDDIYATETLSKY
+DTVLKDEEPGDGLYGWTAPRQYKSQKESEKDLCYVGKILDGFSLASKPLSSKKIYPPPEL
+PRDYRPVHYFRPVVAATSENSHLLQVLSESAGKPTNDPGTRSRHQLNACKRGELLGETPI
+QGAPTSVLEFLSQKDKERLKEVKQATDLKAAQLRARSLAQSASGSRPQPLSPDVGHCSWH
+MALSGGMASTRTSNFKPFAKDPEKQKRYEEFLANMKRGQKDALERCLDPGMTEWERGRER
+DEFARAALLYASSHSTLSSRFTHAQEEDDSEQVEVPRDQENDVSDKQSAVKMKMFGKLTR
+DTFEWHPDKLLCKRFNVPDPYPDSTLVGLPRVKRDKYSVFSFLTIPETASSPVTQASSEK
+VAQHRASDKSRKPSRWDTSKEEKKEDSISEFLSLARSKVGPAKPEPSPLVNKEEARATES
+VSNKVVNKDVDSQTEGEGSRPSMDLFKAIFASSSDEKSSSSEDEQGDSEDDQEGTREADF
+KSSQETDLVEASSVAQASEPAPQEPAPFFPIQKMQIDEREAFGPRLPPVFCPNARQKLEA
+PLKEKHKKNKEKHKTKKEHRRKKEKKKKHRKHKHKGKQKNKKSEKSSSSESTDSSDSQSE
+EGPTDLSPQELLRRLKRLPLRRQ
+>tr|E1BKS7|E1BKS7_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC615852 PE=3 SV=1
+MEPVNSTEVSEFFLKGFSGYPALEHLLFPLCSAMYLVTLLGNTGIVAVSVLDARLHTPMY
+FFLGNLSILDICYTSTFVPLMLVHLLSAQKTISFLGCALQMCLGLSTGSTECLLLTIMAY
+DRYLAICRPLRYPVLMSHRLCWLLAGAAWVFCLFMSVTETVIAMRLPFCGHRVVSHFGCE
+ILAVLKLACGDTSISEVFLLVGAILLLPVPLAFICLSYTLILATTLRVPSAAGRRKAFST
+CSAHLAVVMLYYGTVIFMYMKPKSKEARISDEVFTVLYAVVTPMLNPVIYSLRNKEVKEA
+ARKVWGRIQTSR
+>tr|A0A3Q1LZH8|A0A3Q1LZH8_BOVIN PH domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MAFVKSGWLLRQSTILKRWKKNWFDLWSDGHLIYYDDQTRQSVEDKVPMPVGCINIRMGH
+ECRDIQPPDGKPKDRMLQVVCRDGKTISLCAESTDDCLAWKFTLQDSRTNTAYVGSEVMY
+DETAVASSPPPYTAYAAPTPEAYGYGPYSGAYPPGTQVVYAANGQAYAVPYQYPYAGLYG
+QQPANQVIIRERHRDNNSDLALGMLAGAATGMALASLFWVF
+>tr|F1MSS8|F1MSS8_BOVIN Zinc finger protein 532 OS=Bos taurus OX=9913 GN=ZNF532 PE=4 SV=3
+MGNFCSASVVLPRRPCWAAFLQEGKHLIQFMTMGDMKTPDFDDLLAAFDIPDMVDPKAAI
+ESAHDDQESHIKQGAQADDDSHAPSSSDVGVSVIVKNVRSIDSSDGAEKDSHNPPGNGLH
+NGFLTASSLDTYGKEGSKALKGDVPASEATLKDSAFSQFSPISSAEEFDDDEKIEVDDPP
+DKEDPRTGFRANVLAGSGPQQDYDKLKALGGDGVSKAGVPAPGGLEKSKVVKRETETNSL
+NLGVYEPFKVRKMEDKLKESSEKVLENRVHEGKLGSEKNDAGLAGPVPSRTKPSSKLSSC
+IAAIAALSAKKAASDSSKELATNSRESSPLPKDVNDSPRATEKSPEPQNLIDGTKKASLK
+QPDSPRSVSSENSSKGSPASPAGSTPAIPKVRIKTIKTSSGEIKRTVTRVLPEVDLEAGR
+KPSEQAGPVVASVTSLLSSPTPAAVLASPPRAPLQSAVVANAVAPAELTPKQVTIKPVAT
+AFLPVSAVKTAGSQVINLKLANNTTVKATVISAASVQSASSAIIKAASAIQQQTVVVPAS
+SLASAKLVPKTVHLANLNLLPQGAQAASELRHVLTKPQQQIKQAILSAAASQPPKKVSRV
+QVVSSLQSSVVEAFNKVLSSVNPVPVYIPNLSPPASAGITLPTRGYKCLECGDSFALEKS
+LSQHYDRRSVRIEVTCNHCTKNLVFYNKCSLLSHARGHKEKGVVMQCSHLILKPVPADQM
+IVSPSSNTAPSSSSLSSSAGAGAHTVTKIQPGITGTVISAPSSTPITPAMPLDEDPSKLC
+RHSLKCLECNEVFQDETSLATHFQQAADTSGQKTCTVCQMLLPNQCSYASHQRIHQHKSP
+YTCPECGAICRSVHFQTHVTKNCLHYTRRVGFRCVHCNVVYSDVAALKSHIQGSHCEVFY
+KCPICPMAFKSAPSTHSHAYTQHPGIKIGEPKIIYKCSMCDTVFTLQTLLYRHFDQHIEN
+QKVSVFKCPDCSLLYAQKQLMMDHIKSMHGTLKSIEGPPNLGINLPLSIKPTTQNSANQN
+KEDTRSLNGKEKLEKKSPSPVKKSLEPKKVASPGWTCWECGRLFTQRDVYISHVRKEHGK
+QMKKHPCRQCDKSFSSSHSLCRHNRIKHKGIRKVYTCSHCPDSRRTFTKRLMLEKHIQLM
+HGIKDPDLKEMTEATNEEETETKEDTKAPSPKRKLEEPVLEFRPPRGAITQPLKKLKINV
+FKVHKCAVCGFTTENLLQFHEHIPQHKSDGSSHQCRECGLCYTSHVSLSRHLFIVHKLKE
+PQPAAKQNGAGEENQPENQPGPEDAPADGPVSDRTCKVCAKTFETEAALNAHMRTHGMAF
+IKSKRVSSAEK
+>tr|G3N159|G3N159_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=3 SV=2
+MEKKNLTGVSEFLLLGLSDDPDLQPLLFGLFLSMYLVTVLGNLLIILAVSSDSHLHTPMY
+FFLSNLSLTDVSFSTTTIPKMLVNLQTHSKSITYAGCLTQLTFFSLFACLESLLLTVMAY
+DRLVAICHPLHYLVIMNPRFCGLLVLVSFSISLLTSLLHYWMVSQCPINMKPQNLTDVSE
+FLLLGLSDDPDMQPLLFGLFLSMYLVTVLGNLLIILAVSSNSHLHTPMYFFLSNLSLTDV
+SFSTTTIPKMLVNLQTHSKSITYAGCLTQLTFFSLFAFLESLLLTVMAYDRLVAICHPLH
+YLVIMNPRFCGLLVLVSFSISLLTSLLHCLMVSQLTFCEDVEVPHFFCELSQLLNLSCSD
+TFINNILIYFIGAILGGVPLSGIIYSYTQITSSILRVSSSYGKYKAFSTCGSHLSVVCLF
+YGTGLGVYLSSTVSSSHRKSAVVSVMYTVVTPMLNPFIYSLRNKDIKSTLWRIIIKKA
+>tr|E1BN39|E1BN39_BOVIN Small glutamine rich tetratricopeptide repeat containing beta OS=Bos taurus OX=9913 GN=SGTB PE=4 SV=1
+MSSIKHLVYAVIRFLREQSQMDAYTSDEQESLEVAIQCLETVFKISAEDTHLAVSQPLTE
+MFTNSFCKNDILPLSNSVPEDMGKADQLKDEGNNHMKEENYAAAVDCYTQAIELDSNNAV
+YYCNRAAAQSKLGHYTDAIKDCEKAIAIDSKYSKAYGRMGLALTAMNKFQEAVTSYQKAL
+DLDPENDSYKSNLKIAEQKLREVSSPTGTGLSFDMASLINNPAFISMAASLMQNPQVQQL
+MSGMMTNAIGGPAAGVGGLTDLSSLIQAGQQFAQQIQQQNPELIEQLRNHIRSRSFSSSA
+EEHS
+>tr|F1MVT5|F1MVT5_BOVIN SLAIN motif family member 1 OS=Bos taurus OX=9913 GN=SLAIN1 PE=4 SV=3
+MEAARRSLCFRLEQASRWRSLFPSNVSLAFPYSPVARLSPYSNGINTPSFSKTSNKAILT
+PERTGYTSRGSPLSPQSSIDSELSTSELEDDSISMGYKLQDLTDVQIMARLQEESLRQDY
+ASTSASVSRHSSSVSLNSGKKGTCSDQEYDRFSLEDEEEFDHLPPPQPRLPRCSPFQRGI
+PHSQTFSSIRECRRSPSSQYFPSNNYQQQQYYSPQVQTPDQQPNRTNGGDKLRRSMPNLA
+RMPSTTTISSNGSSPVTVRNSQSFDSSLHGAANGISRIQSCIPSPGQLQHRIHSVGHFPA
+SVRQPLKATAYVSPTVQGSSNAPLSNSLQLYSNTGIPTPTKAAASGIMGRSALPRPSLAI
+NGSNLPRSKIAQPVRSFLQPPKPLSSLSTLRDGNWRDGCY
+>tr|F1MLE5|F1MLE5_BOVIN 3-ketodihydrosphingosine reductase OS=Bos taurus OX=9913 GN=KDSR PE=3 SV=3
+MLLLAAASLVAFVLLLYMVSPLISPKPLALPGAHVVVTGGSSGIGKCIAIECYKQGAFIT
+LVARNEDKLLQAKKEIEKHSINDKQVVLCISVDVSQDYSQVENVIKQAQEKLGPVDMLVN
+CAGMSLSGKFEDLEVSTFERLMSINYLGSVYPSRAVIATMKERRMGRVVFVSSQAGQLGL
+FGYTAYSSSKFALRGLAEALQMEVKPYNVYVTVAYPPDTDTPGFAKENQTKPLETRLISE
+TTSVCKPEQVAKQIVKDAVQGNFNSSIGSDGYMLSSLTCGMAPVTSIMEGLQQVVTMGLF
+RTIALFYLGSFDSIVRRCMMQKAKLETVDKTA
+>tr|A0A3Q1MN67|A0A3Q1MN67_BOVIN Tudor domain-containing protein 3 OS=Bos taurus OX=9913 GN=TDRD3 PE=4 SV=1
+MAEAPGAALSRAGWYLSDEGIEACTSSPDKVNVNDIILIALNTDLRTIGKKFLPSDINGG
+KVEKLEGPCVLQIQKIRNVAAPKDNEESQAAPRMLRLQMTDGHISCTAVEFSYLSKISLN
+TPPGTKVKLSGAVDIKNGFLLLNDSNTTVLGGEVEHLIEKWELQRSLSKHNRSNIGTEGG
+PPPFVPFGQKCVSHIQVDSRELDRRKTLQVTMPVKPPNDNDEFEKQRTAAIAEVAKSKEV
+DEKALRHITEMGFSKEASRQALMDNGNNLEAALNVLLNSNKQKPVTGPPLRGKGKGRGRI
+RSEDEEELGNARPSAPSTLFDFLESKMGTLSVEEPKSQPQQLHQGQNRVSNTEQNGVKDN
+NQPRYLPRNDTRQPRNEKPPRFQRDTQNSKAVLEGSGLPRNRGSERPSTSSGSEGWAEER
+TKCDRSYSRYDRTKDTSYLLSSQHSDTVFKKRDNSMQSRSGKGPSYTEAKENPFPQESVD
+YNNHKRGKRENQTANSDHFYDRKPRTINNEAFSGVKIEKHFNVNTDYQHPVRMNSFIGVP
+NGETEMPLKGRRVGPIKPAGPIMASSCDDKIFYSSGPKRRSGPIKPEKVLESSIPMEYAK
+LWKSGDECLALYWEDNKFYRAEVEALHSSGMTAVVKFIDYGNYEEVLLSNIRPIQSEAWE
+EEGTYDQTLEFRRGGDGQPRRSTRPTQQFYQPPRARN
+>tr|F1MYG5|F1MYG5_BOVIN Lamin A/C OS=Bos taurus OX=9913 GN=LMNA PE=1 SV=1
+METPSQRRATRSGAQASSTPLSPTRITRLQEKEDLQELNDRLAVYIDRVRSLETENAGLR
+LRITESEEVVSREVSGIKAAYEAELGDARKTLDSVAKERARLQLELSKVREEFKELKARN
+SKKEGDLMAAQARLKDLEALLNSKEAALSTALSEKRTLEGELHDLRGQVAKLEAALGEAK
+KQLQDEMLRRVDAENRLQTLKEELDFQKNIYSEELRETKRRHETRLVEIDNGKQREFESR
+LADALQELRAQHEDQVEQYKKDLEKTYSAKLDNARQSAERNSNLAGAAHEELQQSRIRID
+SLSAQLSQLQKQLAAKEAKLRDLEDSLARERDTSRRLLADKEREMAEMRARMQQQLDEYQ
+ELLDIKLALDMEIHAYRKLLEGEEERLRLSPSPTSQRSRGRASSHSSQTQSGSSVTKKRK
+LESTESRSSFSQHARTSGRVAVEEVDEEGKFVRLRNKSNEDQSMGNWQIKRQNGDDPLLT
+YRFPPKFTLKAGQVVTIWAAGAGATHSPPTDLVWKAQNTWGCGNSLRTALINSTGEEVAM
+RKLVRSVIVVEDDNDEDGDDLLHHHHGSHAGSSSGDPAEYNLRSRTVLCGTCGQPADKAS
+ASSGAQVGGSISSGSSASSVTVTRSYRSVGGSGGGSFGDSLVTRSYLLGNSRPRTQSPQN
+CSIM
+>tr|F1MFX9|F1MFX9_BOVIN Protein kinase AMP-activated non-catalytic subunit gamma 2 OS=Bos taurus OX=9913 GN=PRKAG2 PE=4 SV=2
+MESSTFSNHNWWRFQVMTQVDSPFGSGSPSKGFFTRGPQPRPSSPVSAPVRPKTSPGSPK
+TVFPFSYQESPPRSPRRMSFSGIFRSSSKDSSPSSNPSTSPGGIRFFSRSRKSLSSSPST
+PTQVAKQHTFPLESYKQEPERLENRIYASSSPPDTGQRFSPSSFQSAARPPSASPTHPSP
+SKSAALAAAPGPAEAGMLEKFELEEEEDSESGVYMRFMRSHKCYDIVPTSSKLVVFDTTL
+QVKKAFFALVANGVRAAPLWESKKQSFVGMLTITDFINILHRYYKSPMVQIYELEEHKIE
+TWRELYLQETFKPLVNISPDASLFDAVHSLIKNKIHRLPVIDPISGNALYILTHKRILKF
+LQLFMSDMPKPAFMKQNLDALGIGTYHNIAFIHPDTPIIKALNVFVERRVSALPVVDESG
+KVVDIYSKFDVINLAAEKTYNNLDITVTQALQHRSQYFEGVVKCSKLEILETIVDRIVRA
+EVHRLVVVNEADSIVGIISLSDILQALILTPAGAKQKQTEAE
+>tr|G8JKZ3|G8JKZ3_BOVIN DNA primase OS=Bos taurus OX=9913 GN=PRIM1 PE=3 SV=1
+MEAFDPAELPELLKLYYRRLFPYGQYYRWLNYGGVVKNYFQHREFSFTLKDDIYIRYQSF
+NNQSDLEKEMQKMNPYKIDIGAVYSHRPNQHNTVKLGAFQAQEKELVFDIDMTDYDDVRR
+CCSSADICSKCWTLMTMAIHIIDRALKEDFGFKHRLWVYSGRRGVHCWVCDESVRKLSSA
+VRSGIVEYLSLVKGGQDVKKKVHLSEKIHPFVRKSINIIKKYFEQYALVDQDILENKQSW
+DKILALVPETVRDELQQGFQRQSNSPQRWETLKNTINKYQKNNKNDKCGLWLEWEIMLQY
+CFPRLDINVSKGINHLLKSPFSVHPKTGRISVPIDLQKVDQFDPFTVPTISSICHELDVI
+STKEEKENGTESDTKHRTRDYKRTSLAPFVKVFEQFLENLDKSRKGELLKKSDLQKDF
+>tr|A0A3Q1MSM8|A0A3Q1MSM8_BOVIN WW domain binding protein 1 like OS=Bos taurus OX=9913 GN=WBP1L PE=4 SV=1
+MARRRLLGGMALLLLQALPSPLSVRAEPPQDKETCVGTNNQSYICDTGHCCGQSQCCNYY
+YELWWFWLVWTIIIILSCCCVCHHRRAKHRLQAQQRQHEINLIAYREAHNYSALPFYFRF
+LPNYLLPPYEEVVNRPPTPPPPYSAFQLQQQQQQQQLPAQCGSAGSSPPGTDPTRGSQGA
+QSSPLSGPSRSSTRPPSVTDPEPSDMPADPAATKTSGMEPGGSVAGLGEVDPTAFLDKDS
+ECKEELLKEYSSEQGSALPDNKDKTPGRHRRFTGDSGIEVCVCNRGHHDDDLKEFNALID
+DALDGPLDFCDSCNVRPPGDEEEGLCQPSEEQAREPGHPHLPRPPACLLLNTINEQDSPN
+SQSSSSPS
+>tr|F1N1Y2|F1N1Y2_BOVIN Hexosyltransferase OS=Bos taurus OX=9913 GN=B3GNT5 PE=3 SV=1
+MDVRMFVSGRRVKKWQFIQLFATCFVLSLMFFWIPIDNHIVSHMKSYSYRYLINSYNFVN
+DSLSLKRSEDGVPRYQYLINHEDKCQMQDVLLLLFVKTAPENYNRRSAIRKTWGNEKYVC
+SQLNANIKTLFVLGTPSDPLIRERLQKRLVWEDKMYNDIIQQDFADSFYNLTLKFLLQFS
+WANRFCPHAKFLMTADDDIFIHMPNLIEYLQSLERIGVQDFWVGRVHRGAPPVRDKRSKY
+YVSYEMYQWPAYPDYTAGAAYVISGDVAAKVYEASQTLNSSLYIDDVFMGLCANKIGIVP
+QYHVFFSGEGKTPYHPCIYEKMMTSHGHVEDLQDLWTDATDPKIGLT
+>tr|F2Z4C9|F2Z4C9_BOVIN DNA-directed RNA polymerases I, II, and III subunit RPABC2 OS=Bos taurus OX=9913 GN=POLR2F PE=4 SV=1
+MSDNEDNFDGDDFDDVEEDEGLDDLENAEEEGQENVEILPSGERPQANQKRITTPYMTKY
+ERARVLGTRALQIAMCAPVMVELEGETDPLLIAMKELKARKIPIIIRRYLPDGSYEDWGV
+DELIITD
+>tr|F1N2N4|F1N2N4_BOVIN Interleukin-1 OS=Bos taurus OX=9913 GN=IL36B PE=3 SV=2
+MLLDQLGCTVSWVQGWNPYKASQELPPYTVLAEEPGIFHSFLLREYPSYLHIRDSRQMVW
+VVKGNSLIAVPSSNNIKPVILSLIACRDMEFNKEGNGTPHYLGIKDKNLCLYCTEIQGYP
+TLQLKEENIMNLYNKPKGEKCFLFYRNDEGSTVVFQSVSYPGWFIATSSEAGHPVTLTKE
+RGTVQSTNYYLEGGL
+>tr|A0A3Q1M483|A0A3Q1M483_BOVIN Acetyl-CoA carboxylase 1 OS=Bos taurus OX=9913 GN=ACACA PE=4 SV=1
+MEGSAEESKEMRYYMLQRSSMSGLHLVKQGRDRKKIDSQRDFTVASPAEFVTRFGGNKVI
+EKVLIANNGIAAVKCMRSIRRWSYEMFRNERAIRFVVMVTPEDLKANAEYIKMADHYVPV
+PGGPNNNNYANVELILDIAKRIPVQAVWAGWGHASENPKLPELLLKNGIAFMGPPSQAMW
+ALGDKIASSIVAQTAGIPTLPWSGSGLCVDWHENDFSKRILNVPQELYEKGYVKDVDDGL
+KAAEEVGYPVMIKASEGGGGKGIRKVNNADDFPNLFRQVQAEVPGSPIFVMRLAKQSRHL
+EVQILADQYGNAISLFGRDCSVQRRHQKIIEEAPAAIATPAVFEHMEQCAVKLARMVGYV
+SAGTVEYLYSQDGSFYFLELNPRLQVEHPCTEMVADVNLPAAQLQIAMGIPLYRIKDIRM
+MYGVSPWGDAPIDFENSAHVPCPRGHVIAARITSENPDEGFKPSSGTVQELNFRSNKNVW
+GYFSVAAAGGLHEFADSQFGHCFSWGENREEAISNMVVALKELSIRGDFRTTVEYLIKLL
+ETESFQLNRIDTGWLDRLIAEKVQAERPDTMLGVVCGALHVADVSLRNSISNFLHSLERG
+QVLSAHTLLNTVDVELIYEGVKYVLKVTRQSPNSYVVIMNGSCVEVDVHRLSDGGLLLSY
+DGSSYTTYMKEEVDRYRITIGNKTCVFEKENDPSVLRSPSAGKLIQYIVEDGGHVFAGQC
+YAEIEVMKMVMTLTAAESGCIHYVKRPGAALDPGCVIAKMQLDNPSKVQQAELHTGSLPR
+IQSTALRGEKLHRVFHYVLDNLVNVMNGYCLPDPFFSSRVKDWVERLMKTLRDPSLPLLE
+LQDIMTSVSGRIPPNVEKSIKKEMAQYASNITSVLCQFPSQQIANILDSHAATLNRKSER
+EVFFMNTQSIVQLVQRYRSGIRGHMKAVVMDLLRQYLRVETQFQNGHYDKCVFALREENK
+SDMNTVLNYIFSHAQVTRKNLLVTMLIDQLCGRDPTLTDELLNILTELTQLSKTTNAKVA
+LRARQVLIASHLPSYELRHNQVESIFLSAIDMYGHQFCIENLQKLILSETSIFDVLPNFF
+YHSNQVVRMAALEVYVRRAYIAYELNSVQHRQLKDNTCVVEFQFMLPTSHPNRGNIPTLN
+RMSFSSNLNHYGMTHVASVSDVLLDNAFTPPCQRMGGMVSFRTFEDFVRIFDEVMGCFCD
+SPPQSPTFPEAGHTSLYDEDKVPRDEPIHILNVAIKTDCDIEDDSLAAMFREFTQQNKAT
+LVEHGIRRLTFLVAQKDFRKQVNYEVDQRFHREFPKFFTFRARDKFEEDRIYRHLEPALA
+FQLELNRMRNFDLTAIPCANHKMHLYLGAAKVEVGTEVTDYRFFVRAIIRHSDLVTKEAS
+FEYLQNEGERLLLEAMDELEVAFNNTNVRTDCNHIFLNFVPTVIMDPSKIEESVRSMVMR
+YGSRLWKLRVLQAELKINIRLTPTGKAIPIRLFLTNESGYYLDISLYKEVTDSRTAQIMF
+QAYGDKQGPLHGMLINTPYVTKDLLQSKRFQAQSLGTTYIYDIPEMFRQSLIKLWESMSS
+QAFLPPPPLPSDILTYTELVLDDQGQLVHMNRLPGGNEIGMVAWKMTLKSPEYPDGRDII
+VIGNDITYRIGSFGPQEDLLFLRASELARAEGIPRIYVAANSGARIGLAEEIRHMFHVAW
+VDPEDPYKGYKYLYLTPQDYKRVSALNSVHCEHVEDEGESRYKITDIIGKEEGLGAENLR
+GSGMIAGESSLAYDEIITISLVTCRAIGIGAYLVRLGQRTIQVENSHLILTGAGALNKVL
+GREVYTSNNQLGGIQIMHNNGVTHSTVCDDFEGVFTVLHWLSYMPKSVYSSVPLLNSKDP
+IDRVIEFVPTKAPYDPRWMLAGRPHPTQKGQWLSGFFDYGSFSEIMQPWAQTVVVGRARL
+GGIPVGVVAVETRTVELSIPADPANLDSEAKIIQQAGQVWFPDSAFKTYQAIKDFNREGL
+PLMVFANWRGFSGGMKDMYDQVLKFGAYIVDGLRECSQPVMVYIPPQAELRGGSWVVIDP
+TINPRHMEMYADRESRGSVLEPEGTVEIKFRRKDLVKTMRRVDPVYIHLAERLGTPELSV
+AERKELESKLKEREEFLLPIYHQVAVQFADLHDTPGRMQEKGVINDILDWKTSRTFFYWR
+LRRLLLEDLVKKKIHNANPELTDGQIQAMLRRWFVEVEGTVKAYVWDNNKDLVEWLEKQL
+TEEDGVRSVIEENIKYISRDYVLKQIRSLVQANPEVAMDSIVHMTQHISPTQRAEVVRIL
+STMDSPST
+>tr|A0A3Q1M2X6|A0A3Q1M2X6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=MEFV PE=4 SV=1
+MVRTRSDHLLYSLEELLPYDFEKFKFKLQNTSLEKEHLRIPRGQLQTAEPVKLASLMVNH
+YGEEYAVQLTLQVLRAINQHLLAEELHQVISPGKRPQDKAVCPLCRAQEGDPVGGSCVHI
+SCSCSAASRDPEASLSRSSSCPRCQDLLPGKSHGSHDLPQCKRHMKQAQLLFCEDHGELI
+CLICRLSQEHRGHRVRPIEEAALEYKEQIQKQLDHLKELRKSGEEQRSQGDKKTVNSLKQ
+AETQKQRIQYQLEQLCQFLEQQERLFVAWLEELGQTIGQVRETYGTQRTRDIALLDKLIG
+ELEAKQCQPEWELMKPSFANGLFASRAKMVTVPELWATPPEVKEKIHLLYQKSEFVENLS
+QILETFNGEYGGGSLCWPGVPCAVDF
+>tr|A0A3Q1N272|A0A3Q1N272_BOVIN TBC1 domain family member 30 OS=Bos taurus OX=9913 GN=TBC1D30 PE=4 SV=1
+MRQDKLTGSLRRGGRCLKRQGGGGGGGGGVGTILSNVLKKRSCISRTAPRLLCTLEPGVD
+TKLKFTLEPSLGQNGFQQWYDALKAVARLSTGIPKEWRRKVWLTLADHYLHSIAIDWDKT
+MRFTFNERSNPDDDSMGIQIVKDLHRTGCSSYCGQEAEQDRVVLKRVLLAYARWNKNVGY
+CQGFNILAALILEVVEGNEGDALKIMIYLIDKVLPESYFVNNLRALSVDMAVFRDLLRLN
+LPELSQHLDTLQKTANKESGGGYEPPLTNVFTMQWFLTLFATCLPNHTVLKIWDSVFFEG
+SEIILRVSLAIWAKLGEQIECCETADEFYSTMGRLTQEMLENDLLESHELMQTVYSMAPF
+PFPQLAELREKYTYNITPFPATVKPTSISGRHGKVRDSDEENDPDDEDVIANAVGCLGPF
+SGLLAPELQKYQKQVKESNGDQSLRSNNIAELSPGAINSCRSEYHAASNSMMMERMTTDI
+NALKRQYSRIKKKQQQQVHQVYIRAGSKEEKGPKDQAADDKGPVTSILPSQVNSSPVINH
+LLLGKKMKMSNRAAKNAVIHIPGHTGGKISPVPYEDLRTKLNSPWRTHIRVHKKNMARTK
+SQLGCGDMVGLIEEQSEGSKTHTLGAAKASPSDCVGTAQGGCSDGSTGRTIEGRSPEPVF
+GDGDADVSEVQVKIEALELTPRDAAAEPEPRVPPPSQRHFPEPPNAPGGNRVPGKAPQGG
+SHPKTPIFSPFPSVKPLRKSTAARNLGLYGPTERTPTVHFPHMSRSFSKSGSGNSSTKKR
+>tr|A0A3Q1MBC3|A0A3Q1MBC3_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC785623 PE=3 SV=1
+MDGGNHSVVSEFVFLGLTYSWEFQLLLLVFSSVFYVASMAGNILIVFSVTTDPHLHSPMY
+FLLASLSFIDLGACSVTSPKMIYDLFRKRKVISFGGCIAQIFFIHVIGGVEMVLLIAMAF
+DRYVAICKPLHYLSIMSPRMCILFLAAAWALGVSHSLFQLAFIVNLPFCGPNVLDSFYCD
+LPRLLRLACTDTYRLQFMVTVNSGFICVSSFFILLISYMFILLTVWKRSSGGSPKALSTL
+SAHITVVILFFGPTMFVYTWPHPNSQMDKFLALFDAVLTPFLNPVIYTFRNKEMKAAMKR
+VCKQLVIYGTIS
+>tr|F1MFC1|F1MFC1_BOVIN Phospholipase A2 OS=Bos taurus OX=9913 GN=PLA2G4E PE=4 SV=3
+GPKDDLYMDLRDQATAFPAIVLLFLCPLHPQEGLSPCHLLTVRVIRMKNVRQADVVSLTD
+CFVSLWLPTASEKRLRTRTISNCRNPEWNETFSFQIQSQVKNVLELSVCDEDTVTPDDHL
+LTVLYDLTKLCFRKKTHVKFPLNTEVGVGAPALSLLPREGKLSRQMSCLEVCAESRRPKK
+RKKKDLLVTVTESFEHTQHISPCLESCCSNLACFQYPKYFQPEVHVELPKSPWICEVGLC
+CCARQKGPVCQSLHCLPDGQAVTLPLNENCELHMKSTPCSQALDVRLGFSLCQAELEFLQ
+KRRVVAAQALKEVLQLEEDLRADEVPLIAIMATGGGTRSMTAMYGHLLALQKLNILNCAS
+YITGLSGATWTMATLYRDPDWSSKNLERAILEARRHVVKDKMPALFPDQLLKFEEELQQR
+RQEGYKVTFTDFWGLLIEACLGDERNECKLSDQRAALCHGQNPLPIYLTINVKDDVSNQD
+FREWFEFSPYEVGMQKYGAFIPTELFGSEFFMGRLMKRIPESRMCYMLGLWSSIFSLNLL
+DAWNLSHTSEEFFHKWTRDRVHDIEDEPLLPEIPKCDANVLDTTVVTPASWLSNTFRDIL
+THRAFVSKFHNFLLGLQLHTDYLQNSQFSMWKDTVLDGFPNQLTGSVKHLCLLDTAFFVN
+SSYAPLLRPERKVDLIIHLNYCAGSQTKPMKQTCEYCAVQNIPFLKYELQKEEDSLKECY
+LLENSQEPDTPIVTFFPLICDTFQNYKAPGVERSPEELEQGQVDIYGPKTPYATKELTYT
+EAAFDKLVKLSEYNILNNKDKLLQALRLAVERKRQKSQCPP
+>tr|G3N329|G3N329_BOVIN Inhibitory synaptic factor 2A OS=Bos taurus OX=9913 GN=INSYN2A PE=4 SV=2
+MVSKEPSKCVLTTSASEVEPAACLALEMKYALDPNRQIKKRNKALQVRFKDICEAQNEQR
+DTQLASGLQGDKREARPASCRAAYRKYMTVPARRSIPNVTKSTGVQTSPDLKKCYQTFPL
+DRKKGTLKSIPGTEAFKSQNNGLVIDAKEKSQEGPGEEARPWGAGRVQKTTALVFHSDEH
+VNALGPPTGVNCAEPCKTPDRHSYRDAPVQNSTRLSSQELEYQLLGKARQGRATPDTEEP
+APSAHRRVFKTEVATVYAPAPGARAPAPALSNSAPSSEWSLCPAADLERRTAAQASGLQA
+RPVVAPACSPPTQCLSPECSEEDASLQTQAPSGQERQPCPQALAADEECRQIVPHTEVVD
+LKAQLQMMENLISSSQETIKVLLGVIQELEKGEAHREGVELDFKQQEDKLQPVLRKLHPF
+EETQVAPSPYTQETYSSTPKQKSKTESKKHGRWKLWFL
+>tr|E1BKK8|E1BKK8_BOVIN Chromosome 17 C5orf52 homolog OS=Bos taurus OX=9913 GN=C17H5orf52 PE=4 SV=2
+MDPEEAPIPELLAKAGDENSAVGQQLRPSVTWNLDSPVAGAVAPQATSSSGTYPASAFFR
+HSRLSNRRDTHVGSQPKICFLRPRTAQPLVLFSLMNSSEAAVKKFLPKSHLSRVIIRDNL
+SAQRIYEMEIRAADKTKKKMNHLYDHLKKKFMTDQLRKLGRWRRESTNIRQYLDSIRGNK
+VPLKPQPNRKNQPP
+>tr|A0A3Q1LKT1|A0A3Q1LKT1_BOVIN Cyclin dependent kinase 20 OS=Bos taurus OX=9913 GN=CDK20 PE=4 SV=1
+MEQYCILGRIGEGAHGIVFKAKHVETGEIVALKKVALRRLEDGIPNQVLREIKALQEIED
+SQYALLHHYFFTAPLPVHPSELPIPQRPGGPAPKAHPGPPHVHDFHVDRPLEESLLNPEL
+IRPFIPEG
+>tr|F1MXV9|F1MXV9_BOVIN Angiopoietin-2 OS=Bos taurus OX=9913 GN=ANGPT2 PE=4 SV=1
+MWQLVFLTLSCDLAVATAHSGSRKGMDIAAGKKQYQVQHGACSYTFLLPETDHCRSPSSA
+YVPNAVQRDAPLDYDDSVQRLQVLENIMENNTQWLMKLENYIQDNMKKEMVEIQQNAVQN
+QTAVMIEIGTNLLNQTAEQTRKLTDVEAQVLNQTTRLELQLLEHSLSTNKLEKQILDQTS
+EISKLQDKNSMLLPLLLLSRFSRVRLCATPQMAAHQAPPSLGFSRQEHWSGLPLPSPMHE
+SDK
+>tr|A0A3Q1M6W4|A0A3Q1M6W4_BOVIN Alpha-actinin-2 OS=Bos taurus OX=9913 GN=ACTN2 PE=4 SV=1
+MLLLEVISGERLPKPDRGKMRFHKIANVNKALDYIASKGVKLVSIGAEEIVDGNVKMTLG
+MIWTIILRFAIQDISVEETSAKEGLLLWCQRKTAPYRNVNIQNFHTSWKDGLGLCALIHR
+HRPDLIDYSKLNKDDPIGNINLAMEIAEKHLDIPKMLDAEDIVNTPKPDERAIMTYVSCF
+YHAFAGAEQAETAANRICKVLAVNQENERLMEEYERLASELLEWIRRTIPWLENRTPEKT
+MQAMQKKLEDFRDYRRKHKPPKVQEKCQLEINFNTLQTKLRISNRPAFMPSEGKMVSDIA
+GAWQRLEQAEKGYEEWLLNEIRRLERVEHLAEKFRQKASTHETWAYGKEQILLQKDYESS
+TLTEVRALLRKHEAFESDLAAHQDRVEQIAAIAQELNELDYHDAVNVNDRCQKICDQWDR
+LGTLTQKRREALERTEKLLETIDQLHLEFAKRAAPFNNWMEGAMEDLQDMFIVHSIEEIQ
+SLITAHEQFKATLPEADGERQSILAIQNEVEKVIQSYSIRISSSNPYSTVTVDEIRSKWD
+KVKQLVPIRDQSLQEELARQHANERLRRQFAAQANAIGPWIQNKMEEIARSSIQITGALE
+DQMNQLKQYEHNIINYKNNIDKLEGDHQLIQEALVFDNKHTNYTMEHIRVGWELLLTTIA
+RTINEVETQILTRDAKGITQEQMNEFRASFNHFDRRKNGLMDHEDFRACLISMGYDLGEA
+EFARIMTLVDPNGQGTVTFQSFIDFMTRETADTDTAEQVIASFRILASDKPYILAEELRR
+ELPPDQAQYCIKRMPAYSGPGSVPGALDYTAFSSALYGESDL
+>tr|F1MUH8|F1MUH8_BOVIN Zinc finger CCHC domain-containing protein 7 OS=Bos taurus OX=9913 GN=ZCCHC7 PE=4 SV=2
+MMFGGYETIEAYEDELYREESSSELSVDSEVEFQLYSQVHYAQDLDNVIREEEHEDRNSG
+NSESFSSKPNQKNLIVLSDSEVIQLSDGSEVITLSDEDSIYRCERKNFRVHAKEKTQGSP
+ASLHSNDLADKKCKRDIEKSKPGERSGTIQEVMIIEVSSSEEEESTISESDNVESWMLLG
+CEVDDKDDDILLNLVGCEKSVNEGEDDVNWFISDKDIEAQIGNKRSSGRWTHRYYTANKN
+VTCRNCDKCGHLSKNCPFPQKVRPCCLCSERGHLQYACPARFCLGCSLPMSSTHRCLERA
+SWRKRCDRCDMIGHYADACPEIWRQYHLTTKPGPPKKPKTPSGQSTLVYCYNCGQEGHYG
+HECTERRMFNQTFPTSPFIYYYDDKYKIRERDQRIKRKVKELQKNGDLPRKFKRPHMEAA
+DKRPRDIRTSHASWKNNRWPQEKKETQKETMSRNMREREKHRKAARCHEDDEDFPRGPRV
+HSTPGTSKTQKPHKPFHHSSHYLKPREDRLSKEGKRGKHKKKESCVEEDSNDNLFLIKQR
+KKKSKL
+>tr|A0A3Q1MBS1|A0A3Q1MBS1_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC784623 PE=3 SV=1
+MLLTSSSFLIAIGHSHTAQMIPRENSTVVIEFILAGITDDSQLQIPLFLVFTLIYLLTLV
+GNLGVITLILLDSRLHTPMYFFLSNLALVDFGYSTAVTPKVMAGFLTGDKVISYNACVAQ
+LFFFGGFLSVETFLLALMAYDRHAAVCKPLHYTNIMTPRVCAWIVIGSYVFGFLEISVHT
+WNIFSLSFCRSYVIDHFFCDATPLLALSCSKSNRSEMVLFLFVGFNVLLSNLVILVSYLF
+IFVTILRMRSSGGHQKAFSTCASHLTAVSIFYGTASFMYFQPGSRHSMSTDKMASVFYAI
+VIPMLNPLIYSLRNKEVKRVLKKAVGKAKSSLIFKI
+>tr|A0A3Q1MTR9|A0A3Q1MTR9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=FAM78B PE=4 SV=1
+MGCIQSITCKARIRRENIVVYDVCATIDQCPTRIEETSPIVLRYKTPYFKASARVVMPPI
+PRHETWVVGWIQACNQMEFFNTYSDLGMTLLPRQPSS
+>tr|F1MP17|F1MP17_BOVIN Taste receptor type 2 OS=Bos taurus OX=9913 GN=LOC782957 PE=3 SV=2
+MITLVSSIISILMVAEFVLGNFVNGFIALVNCNDWLRKQKVSLADGILTALAVCRIVLLW
+TILINWYATMYNPALYSLRIVIRVAWTVSNHFSNWLATSLSIFYLFKIANFSSLIFLHLK
+WRVKSVVLMMILGTSVILFFQVAVLSIDETIQTSEYERNITEKTKLRDILHLSNMTLLTL
+TNFIPFTMSLVSFLLLIFFLWKHLRKMQLNGKRSQDPSTKVHIKAMQTVISFLFLFATYM
+LTVILTIWNSNELQKELVQMLFQALAITYPSIHSFILIWTNRKLTQTFLSFLWQPRCWLK
+VKGTR
+>tr|A0A3Q1LKU4|A0A3Q1LKU4_BOVIN Rho guanine nucleotide exchange factor 25 OS=Bos taurus OX=9913 GN=ARHGEF25 PE=4 SV=1
+MRGGQKEGRCACPHVIRKVLAKCGCCFARGGRESYSIAGSEGSISASAASGLAAPSGPSS
+GLSSGPCSPGPPRPVSGLRRWLDHSKHCLSVETEADSGRAGPYENWMLEPALATGEELPE
+LNLLTTLLGGPGDKTQPPEEETLSQAPESEEEQKKKALERSMYVLSELVETEKMYVDDLG
+QIVEGYMATMAAQGVPENLRGRDRIVFGNIQQIYEWHRDYFLRELQRCLKDPDWLAQLFI
+KHELRQQLGHRLQLNDLLIKPVQRIMKYQLLLKDFLKYYRRAGMDTEELEQAVEVMCFVP
+KRCNDMMTLGRLRGFEGKLTAQGKLLGQDTFWVTEPEAGGLLSSRGRERRVFLFEQIIIF
+SEALGGGVRGGAQPGYVYKNSIKVSCLGLEANLQGDPCRFALTSRGPEGGIQRYVLQAAD
+PAISQAWIKQVAQILESQRDFLNALQSPIEYQRRESQTNSLGRPGGPGVGSPGRIRPGDR
+AQLSTHTPINGSLPSLLLSPKGEVARAPLRLDTQAPSAIPQAPHDSPPVPPIPDTPPCQA
+RLAKLDEDEL
+>tr|A0A3Q1LW91|A0A3Q1LW91_BOVIN Xanthine dehydrogenase/oxidase OS=Bos taurus OX=9913 GN=XDH PE=4 SV=1
+MGHTGMRGIQSPRPGIYHLGANEPVGRVDCDQVVEKNADPETTLLAYLRRKLGLRGTKLG
+CGEGGCGACTVMLSKYDRLQDKIIHFSANACLAPICTLHHVAVTTVEGIGSTKTRLHPVQ
+ERIAKSHGSQCGFCTPGIVMSMYTLLRNQPEPTVEEIEDAFQGNLCRCTGYRPILQGFRT
+FAKNGGCCGGNGNNPNCCMNQKKDHTVTLSPSLFNPEEFMPLDPTQEPIFPPELLRLKDV
+PPKQLRFEGERVTWIQASTLKELLDLKAQHPEAKLVVGNTEIGIEMKFKNQLFPMIICPA
+WIPELNAVEHGPEGISFGAACALSSVEKTLLEAVAKLPTQKTEVFRGVLEQLRWFAGKQV
+KSVASLGGNIITASPISDLNPVFMASGTKLTIVSRGTRRTVPMDHTFFPSYRKTLLGPEE
+ILLSIEIPYSREDEFFSAFKQASRREDDIAKVTCGMRVLFQPGSMQVKELALCYGGMADR
+TISALKTTQKQLSKFWNEKLLQDVCAGLAEELSLSPDAPGGMIEFRRTLTLSFFFKFYLT
+VLKKLGKDSKDKCGKLDPTYTSATLLFQKDPPANIQLFQEVPNGQSKEDTVGRPLPHLAA
+AMQASGEAVYCDDIPRYENELFLRLVTSTRAHAKIKSIDVSEAQKVPGFVCFLSADDIPG
+SNETGLFNDETVFAKDTVTCVGHIIGAVVADTPEHAERAAHVVKVTYEDLPAIITIEDAI
+KNNSFYGSELKIEKGDLKKGFSEADNVVSGELYIGGQDHFYLETHCTIAIPKGEEGEMEL
+FVSTQNAMKTQSFVAKMLGVPVNRILVRVKRMGGGFGGKETRSTLVSVAVALAAYKTGHP
+VRCMLDRNEDMLITGGRHPFLARYKVGFMKTGTIVALEVDHYSNAGNSRDLSHSIMERAL
+FHMDNCYKIPNIRGTGRLCKTNLSSNTAFRGFGGPQALFIAENWMSEVAVTCGLPAEEVR
+WKNMYKEGDLTHFNQRLEGFSVPRCWDECLKSSEYYARKSEVDKFNKENCWKKRGLCIIP
+TKFGISFTVPFLNQAGALIHVYTDGSVLVSHGGTEMGQGLHTKMVQVASKALKIPISKIY
+ISETSTNTVPNSSPTAASVSTDIYGQAVYEACQTILKRLEPFKKKNPDGSWEDWVMAAYQ
+DRVSLSTTGFYRTPNLGYSFETNSGNAFHYFTYGVACSEVEIDCLTGDHKNLRTDIVMDV
+GSSLNPAIDIGQVEGAFVQGLGLFTLEELHYSPEGSLHTRGPSTYKIPAFGSIPTEFRVS
+LLRDCPNKKAIYASKAVGEPPLFLGASVFFAIKDAIRAARAQHTNNNTKELFRLDSPATP
+EKIRNACVDKFTTLCVTGAPGNCKPWSLRV
+>tr|A0A3Q1MRQ0|A0A3Q1MRQ0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=C3H1orf43 PE=4 SV=1
+MASGSNWLSGVNVVLVMAYGSLDLKEEIDIRLSKVQDIKYEPQLLADDDARLLQLETQGN
+QNCYNYLYRMKALDAIRASEIPFHAEGRHPHSLMGKNFRSYLLDLRNTSTPFKGVRKALI
+DTLLDGYETARYGTGVFGLSEYLRYQEALSELATVVKARSGSSQRQHQSAAKDLTQSPEV
+SPTTIQVTYLPSSQKSKRAKHFLELKSFKDNYNTLESTL
+>tr|F6QIK6|F6QIK6_BOVIN Carboxylic ester hydrolase OS=Bos taurus OX=9913 GN=BREH1 PE=1 SV=1
+MGLVALVLTSLAISTAWGLPPSPPIVDTAQGRVLGKYVSLKGFAQPVGVFLGIPFAKPPL
+GSLRFAPPQPAEPWTFVKNTTSYSPMCSQNAVLVEMTSDLISNGMETVKTKFSEDCLYLN
+IYTPADLTKRSRLPVMVWIHGGALLTGGASSCDGLVLSAHENVVVVTIQYRLGIWGFFST
+GDEHSRGNWGHLDQVAALHWVQENIANFGGDPGSVTIFGGSAGAESVSVLVLSPLAKNLF
+HRAISESGVALIPGLVKKDSKAEAKRIAAFAGCKTITSAVLVHCLRQKTEDELLEITQKM
+VSASVLLEKRATYPFLPTVVDGVLLPKMPEEMLAEKNFNTVPYIVGINKQEFGWILPLLM
+GFPLTAGKMDQEMATSFVWKSYPILNIPEELAPVATDKYLGGTHDPVQKKDLLLDLIADG
+LFGVPSVNTARYHRDAGVPTYMYEFQYRPRFSSELKSKTVIGDHGDEQSSVFGIPVLQDG
+ASEEEINLSKMMMKFWANFARNGNPNGKGLPHWPAYDQKEGYLQIGVNTQAAEKLKSEKV
+AFWNELLSQEATKKAPRSKHVEL
+>tr|A0A3Q1LTF4|A0A3Q1LTF4_BOVIN Tetratricopeptide repeat domain 37 OS=Bos taurus OX=9913 GN=TTC37 PE=4 SV=1
+MSSKEVKTALKSARDAIRNKEYKEALKHCKTVLKQEKNNYNAWVFIGVAAAELEQPDQAQ
+GAYKKAAELEPDQLLAWQGLASLYEKCNHINAKDDLPGVYQKLLDLYESVDKQKWCDVCK
+KLVDLYYQEKKHVEVARTWHKLIKTRQEEGADNQELHQLWRKLTQLLAECTEDQNNETQQ
+LLLTAFENALGLSDKIPSEDHQVLYKHFIQCLSKFPHETARLKKACEGMINIYPTVQYPL
+EVLCLHLIESGSLTDEGEQYCCRLVEMDPQSGTGLIGLGIKALQDKKYEDAVRNLTEGIK
+ESPLCTAGWYHLAEAQVRMHKPKEAVLSCNQALKNIDNLGVSGGSLHQKNLCLRLKAEAL
+IKLSDYESSEEAIRTLDQVSDANNIPGLLVLKGLAYLNKGSLDEASKIMEDLLSSYPDLT
+EVHALEALIHFTKKDYLQAEKCFQQALEKDPEVAEYHYQLGLTYWSMGEEARKDKTKALT
+HFLKAAKLDTYMGKVFCYLGHYYKDVVGDKNRARGCYRKAFELDDTDAESGAAAVDLSVE
+LEEMETALAILTTVTQKASAGTAKWAWLRRGLYYLKAGQHSQAVADLQAALRADPKDFNC
+WESLGEAYLSRGGYTTALKSFTKASELNPESTYSVFKVAAIQQTLGKYKEAVAQYQLIIK
+KKEDYVPALKGNCFLIAPLTFCDGDKCHHRADVSCLWKLVGDACTSLSAVSPSKVNVSVL
+GVLLGQKEGKQVLKKNELLHLGGRCYGRALKLMSTSNTWCDLGINYYRQAQHLADTGSNS
+DDLQELLEKSMHCLKKAVRLDSNNHLYWNALGVVSCYSGIGNYALAQHCFIKSIQSEQIN
+AVAWTNLGVLYLANEKIEQAHEAFKMAQSLDPSYLMCWIGQALIAETVGSYDTMDLFRHT
+TELSMHTEGAIGYAYWVCTTLQDKSNRDTELYRYNILQMNAIPAAQVVLSKYVERIQNYA
+PAFTMLGYLNEHLQLKKEAANAYHRAILLLQTAEDRDAYYVTVRNYGRLLCSIGEYDKAI
+QAFKSTPLEELEDIIGFALALFMKGLYKESSKAYERALTIVESEQDKAHILTALAIAEYK
+QGKTDVAKTLLFKCSILKEPTTESLQALCALGLAMQDATLSKAALNELLKHSKPNSDYQR
+SLLTSAICALQGRSVAVQRQASKAVHSNPADPALWSLLSRVVAQYTQRNAKGGAVAGNVA
+HILDSNHGKKALLYTAVNQLAMGSNSAEDEKNTALKTIQKAALLSPGDPAVWAGLMAACH
+ADDILALVSSTQPKRMDLYLALLSAVSASIKDREFFENYNQSLERWSLSQAVTGLIDTGR
+ISKAEALCTKNLKSNPDQPAIILLLRQVQCKPLLESRKPLPDTVLEELQKTVMSNSTSVP
+AWQWLAHIYQSQGMMGAAEMCYRKSLQVASQQGSWSGKLSSLLRLALLALEVCMANVSNA
+HWPSLVQEATAEALKLCFCPLAVLLQALLQFKRKMGARETRRLLERVVYQPGYPKSIVST
+ARWYLLRHLHAKNDYELIDVLVNNAKTHGDTRALELNQKLSSE
+>tr|A0A3Q1M4L8|A0A3Q1M4L8_BOVIN Olfactory receptor OS=Bos taurus OX=9913 PE=3 SV=1
+LSCENQSSVSEFLLLGLPIRPEQQGVFFALFLGVYLTTVLGNLLIILLIRLDPHLHTAMY
+FFLSHLAFSDISLSTITVPKMLMNMHTQQQSIPYVECISQMYFFILFGCFDNFLLAVMAY
+DRYVAICQPLHYTTVMRQELCISLVAVSWFFCCIHALLHTLLLVQLSFCAENTIPNFFCD
+LPSLLKMSCSDISINELVIFTEGGMLFILPLSIILGSYVHIGTIILRVPSIKRLFKAFST
+CGSHLFVVSLYYGTLAGAYFFSLLWDSNDKDVIASVMYTVVTPMLNPFIYSLRNRDIKQA
+LEIFVFRGNFFR
+>tr|F1MT98|F1MT98_BOVIN ELK1, ETS transcription factor OS=Bos taurus OX=9913 GN=ELK1 PE=3 SV=2
+MDPSVTLWQFLLQLLREQGNGHIISWTSRDGGEFKLVDAEEVARLWGLRKNKTNMNYDKL
+SRALRYYYDKNIIRKVSGQKFVYKFVSYPEVAGCSTEDCPPQPEVSVTSTLANAGATAVH
+AIPGDTASGKPGTLKGAGMAGPGGLARSSRNDYMRSGLYSTFTIQSLQPQPPSHPRPSTV
+LPNTGPAGVAVPPSGSRSTSPNPLEACLEAEEAGLPLQVILTPPEAPNLKSEEPNMEPGL
+GRPLPPEVKVEEPKEELEAAASGEAGFVLEAVKAEPFEPKVDPEVPPAEGVPARLSAVAM
+ETAAQAGSLTASTTPSTEIAQPQKGRKPRDLELPLSPSLLGGPGPERTPGSGTGSGLQAP
+GPALTPSLLPTHTLTPVLLTPSSLPPSIHFWSTLSPIAPRSPAKLSFQFPSSGSAQVHIP
+SISVDGLSTPVVLSPGPQKP
+>tr|F1MUF2|F1MUF2_BOVIN Galectin OS=Bos taurus OX=9913 PE=4 SV=3
+VDSLEKTLMLGGIGGRRRRGRQRMRWLDGITDSMDMSLSELWELPNPYQQSVSLAVGFML
+SFCLCGNNPEVVVDFCMGIEEGSNIAFHFRVYTNSMVVMNSFQEGGWQEEKRMFSDPFMP
+GQPFELRFLVLENEYKVFVNNESFCQFAHRLPIQSVKMLKVRGDTVLTSVDTF
+>tr|A0A3Q1LUZ9|A0A3Q1LUZ9_BOVIN Dynein axonemal heavy chain 17 OS=Bos taurus OX=9913 GN=DNAH17 PE=4 SV=1
+MTIPPDVRLEYMESVASLVLRFKPDKWSKMMGTEENMALFTDFFEKPDVLVLVLTLNPAG
+MIIPCLGFPASLKSKGMYFIKKRPENIGKDNYKERLVYGDMSPTPVDQLIAVVEEVLYSL
+LNQSENMSGWPRVVSEDIVKQVHKLKNEMFVMSGKIKGKTLLPIPEHLGSLDGTLESMER
+IPSSLDNSLLHAIETIIIDWSHQIRDVLSKDSAQALLDGLHPQEPKVNKIVEILEKAKSC
+YWPALQNVYMNVTEGLKEANDIVLYLKPLRILLEEMEQADFTALPTFITKVLYTICFIWA
+TSEHYNTPSRIIVILQEFCNQLIDMTRTFLSPEEVLKGLQGETEEVLSGISLSVNVLKEL
+YRAYDFCCANMKLFFKEPVPWEFPSSLAFSRINSFFRRVQTIEDLYKTAIEFLKLEKIEL
+GGVRGNILGSQVAQIYDEVFELVKVFADCKYDPLDPGDSSFDDDYADFETKIQDLDRRLA
+TIFCQGFDDCNSIESSAKLLHMCGGLLERPLILAEMVPRYSVMLELFDTELDNTKILYDA
+QIAASADGNIPPIHKNMPPVAGQLKWSLELQERLEAPMRDLKHIDHPVMSSVEAKLVYQK
+YDEMMELLRSYRERIYQQWVSGVDKDCHFNLGQPLIQRDPVTNLIRVNFSKALVAVLREV
+KYLNFQHQKDIPTSAENLFSQYETFRKFVGNLELIVGWYNEIKTTVKDVEFPLIKSELEA
+IDVKLLNAETTLFWNGEGVFEYIQEMREILHNLQNRIQKAKQNVEGISQAMKDWSANPMF
+ERKDNKKEALLDLDGRVANLNKRYTAVKDAGLKIQAMVAENAELFRADTTSQSWKDYVNY
+IDSMVLDEFDQFIRKSLNYLMDNMVADESVAPLFEVRMELEDDGLVFNPSLEVGGDRGFL
+ALIGSLVTDIYNAAKLIPRLAKGRMHYKTDLEDMTDLIEMREEISNLVISAMKEAEEYQD
+SFERYSYLWTDDPQEFMRNFLTYGRAISREDLDSRPEETLPKTPPTLAQFQQQIDSYEKL
+YEEVSKCDNTKVFNGWLQCDCRPFKQALLNTIKRWSLMFKRHLGNHVINSLADLEAFMKV
+ARMGLTKPVKEGDYDGLVEVMGHLMKVKERQTATDNMFEPLKQTIELLKSYGEEMPEETH
+VKLQELPEQWTNTKKLALQVKQNVSPLQANEVSILRRKCQQFELKQHEFRERFRQEAPFS
+FSDPDPYKSLSKVFLLKEEMGIMDALCKSGSLFEVSVPDYKQLKACHKEVRLLKELWDMI
+IMVNTSIDDWKTTKWKNINVEQMDIDCKKFAKDVRSLDKEMKSWDAFVGLDNTVKNMITS
+LRAVSELQNPAIRDRHWQQLMQATQVKFEMSDETTLADLLQLNLHKYEDEVRNIVDKAVK
+ESGMEKVLKALDSTWSTMEFEHEPHPRTGTMMLKSDEVLVETLEDNQVQLQNLMMSKYLS
+HFLKEVTSWQQKLSTADSVISIWFEVQRTWSHLESIFIGSEDIRAQLPEDSRRFDDIDIE
+FKALMEDAVKTPNVVEATNKPGLYDKLEDLKKRLAVCEKALAEYLETKRLAFPRFYFVSS
+ADLLDILSNGNDPVEVSRHLSKLFDSLCKLKFRLDASGKPLKFGLGMYSKEDEYVDFDQE
+CNLSGQVEVWLNRVLDRMCATLRHEIPEAVVTYEEKPREQWIFDYPIALTCTQIWWATEV
+GLAFARLEEGYENAIKDYNKKQISQLNALITLLIGNLSAGDRMKIMTICTIDVHARDVVA
+KMITVESSQAFTWQSQLRHRWDEEKKHCFANICDAQIQYSYEYLGNTPRLVITPLTDRCY
+ITLTQSLHLIMGGAPAGPAGTGKTETTKDLGRALGTMVYVFNCSEQMDYKSCGNIYKGLA
+QTGAWGCFDEFNRISVEVLSVIAVQVKCVQDAIRAKKKTFNFLGEMISLIPTVGIFITMN
+PGYAGRTELPENLKALFRPCAMVVPDFELICEIMLVAEGFLEARLLARKFITLYTLCKEL
+LSKQDHYDWGLRAIKSVLVVAGSLKRGDPSRAEDQVLMRALRDFNIPKIVTDDLPVFMGL
+IGDLFPALDVPRKRDLNFEKVIKQSIVELQLQAEDGFVLKVVQLEELLQVRHSVFVIGNA
+GSGKSQVLRSLNKTYQNLKRKPVAVDLDPKAVTCDELFGIINPATREWKDGLFSTIMRDL
+ANITHDGPKWIVLDGDIDPMWIESLNTVMDDNKVLTLASNERIPLNRTMRLVFEISHLRT
+ATPATVSRAGILYINPADLGWNPVVSSWIERRKVQSEKANLMILFDKYLPTCLDKLRFGF
+KKITPVPEITIIQTILYLLECLLTEKNAPPDSAKELYELYFVFACFWAFGGAMFQDQLVD
+YRVEFSRWWINEFKTIKFPSQGTVFDYYIDPDTKKFLPWTDKVPAFELDPDVPLQASLVH
+TTETIRIRYFMDLLMEKSWPVMLVGNAGTGKSVLMGDKLDSLSTDDYLVQAVPFNFYTTS
+AMLQGILEKPLEKKSGRNYGPPGTKKLIYFIDDMNMPEVDKYGTVAPHTLIRQHMDHGHW
+YDRQKLTLKDVHNCQYVACMNPTSGSFTIDPRLQRHFCVFAVSFPGQEALTSIYSTILSQ
+HLSYRSAPFAVQRMSSHLVASALALHQKVTSTFLPTAIKFHYVFNLRDLSNIFQGLLFST
+AEILKFPLDLVRLWLHEAERVYGDKMVDEKDQDTLRRVTIASTKKFFDDLGDELLFAKPN
+IFCHFAHGIGDPKYLPVTDLAPLNKLLVEVLDSYNEVNAVMNLVLFEDAVAHICRINRIL
+ESPRGNALLVGVGGSGKQSLSRLAAYISALDVFQITLKKGYGIPDLKLDLGAQYIKSAVK
+NVPSVFLMTDSQVAEEQFLVLINDLLASGEIPGLFMDDEVENIISSMRPQVKSLGLTDTR
+EACWKFFIDKVRRNLKVILCFSPVGSILRVRARKFPAVVNCTAINWFHEWPEDALVSVSA
+RFLEDTEGIQPEVKASISLFMSYVHTTVNEMSKVYLATERRYNYTTPKTFLEQIKLYQNL
+LAKKRMELVAKIERLENGLMKLQSTASQVDDLKARLAVQEAELKQKNENADKLIHVVGVE
+TEKVSKEKAIADEEEIKVEVINKNVTEKQKACETDLAKAEPALLAAQEALDTLNKNNLTE
+LKSFGSPPDAVVNVTAAVMILTAPGGKIPKDKSWKAAKIMMGKVDTFLDSLKKFDKEHIP
+EACLKAFKPYQGNPTFDPEFIRSKSAAAAGLCSWCINIVRFYEVYCDVAPKRQALEEANA
+ELAEAQEKLSRIKNKIAELNANLSNLTSAFEKATAEKIKCQQEADATNRVISLANRLVGG
+LASENVRWAESVESFKGQGITLCGDVLLISAFVSYVGYFTKKYRNELMERFWIPYINHLK
+VPIPITKGLDPLTLLTDDADVATWNNQGLPSDRMSTENATILCNTERWPLIVDAQLQGIK
+WIKNKYGSELKAIRLGQKSYLDIIEQAISEGDTLLIENIGETIDPVLDPLLGRNTIKKGK
+YIKIGDKEVEYHPNFRLILHTKYFNPHYKPEMQAQCTLINFLVTRDGLEDQLLAAVVAKE
+RPDLEQLKANLTKSQNEFKIVLKELEDSLLARLSAASGNFLGDTALVENLETTKHMASEI
+EEKVQEAKITEVKINEARENYRPAAARASLLYFILNDLNKINPIYQFSLKAFNVVFEKAI
+LKTTPADEVKQRVINLTDEITYSVFMYTARGLFERDKLIFLAQVAFQVLSMKKELNPVEL
+DFLLRFPFKAGVVSPVDFLQHQGWGGIKALSEMDEFKNLDNDIEGSAKRWKKLVESEAPE
+KEIFPKEWKNKTALQKLCMVRCMRPDRMTYAVKNFVEEKMGSKFVEGRSVEFSKSYEESS
+PSTPIFFILSPGVDPLKDVEALGKKLGFTIDNGKLHNVSLGQGQEVVAENALDVAAENGH
+WVILQPWSWPQNIHLVARWLSTLDKKLERHSAGSHDDYRVFISAEPAPSPESHIIPQGIL
+ENAIKITNEPPTGMYANLHKALDLFTQDTLEMCTKEIEFKCILFALCYFHAVVAERRKFG
+AQGWNRSYPFNNGDLTISINVLYNYLEANPKVPWDDLRYLFGEIMYGGHITDDWDRRLCR
+TYLAEYIRAEMLEGEILLAPGFQIPPNLDYKGYHEYIDENLPPESPYLYGLHPNAEIGFL
+TVTSEKLFRTVLEMQPKETDSGAGTGVSREEKVKAVLDEILEKIPETFNMAEIMAKAAEK
+TPYVVVAFQECERMNILTNEMRRSLKELNLGLKGELTITTDMEDLSTALFYDTVPDSWVA
+RAYPSMMGLAAWYADLLLRIRELEAWTTDFALPTTVWLAGFFNPQSFLTAIMQSMARKNE
+WPLDKMCLSVEVTKKNREDMTAPPREGSYVYGLFMEGARWDTQTGVIAEARLKELTPAMP
+VIFIKAIPVDRMETKNIYECPVYKTRIRGPTYVWTFNLKTKEKAAKWILAAVALLLQV
+>tr|A0A3Q1N1C2|A0A3Q1N1C2_BOVIN Sorting nexin-1 OS=Bos taurus OX=9913 GN=SNX1 PE=4 SV=1
+MNPEESKPQSPKRIASLLPINSGSKENGIHEEQDQEPQDLFADATVELSLDSTQNNQKKV
+PAKTLISLPPQEATNSSKPQPSYEELEEEEQEDQFDLTVGITDPEKIGDGMNAYVAYKVT
+TQTSLPMFRSKHFAVKRRFSDFLGLYEKLSEKHSQNGFIVPPPPEKSLIGMTKVKVGKED
+SSSAEFLEKRRAALERYLQRIVNHPTMLQDPDVREFLEKEELPRAVGTQTLSGAGLLKMF
+NKATDAVSKMTIKMNESDIWFEEKLQEVECEEQRLRKLHAVVETLVNHRKELALNTAQFA
+KSLAMLGSSEDNTALSRALSQLAEVEEKIEQLHQEQANNDFFLLAELLSDYIRLLAIVRA
+AFDQRMKTWQRWQDAQTTLQKKREAEARLLWANKPDKLQQAKDEIVEWESRVTQYERDFE
+RISTVVRKEVIRFEKEKSRDFRNHVIQYLETLLHSQQQLAKYWEAFLPEAKAIS
+>tr|A0A3Q1MUT9|A0A3Q1MUT9_BOVIN Ribosomal protein S6 kinase OS=Bos taurus OX=9913 GN=RPS6KA5 PE=3 SV=1
+MTAYGKVFLVRKVSGHDAGKLYAMKVLKKATIVQKAKSAEHTRTERQVLEHIRQSPFLVT
+LHYAFQTETKLHLILDYINGGELFTHLSQRERFTEREVQIYVGEIVLALGHLHKLGIIYR
+DIKLENILLDSNGHVMLTDFGLSKEFVADEAERAYSFCGTIEYMAPDIVRGGDSGHDKAV
+DWWSLGVLMYELLTGASPFTVDGEKNSQAEISRRILKSEPPYPQEMSAVAKDLIQRLLMK
+DPKKRLGCGPRDADEIKEHPFFQKINWDDLAAKKVPAPFKPVIRDELDVSNFAEEFTEMD
+PTYSPAALPQSSERLFQGYSFVAPSILFKRNAAVMDPLQLHMGVDRPGVTHVARSAMLKD
+SPFYQHYDLDLKDTPLGEGSFSICRKCIHKKSNQAFAVKIISKRMEASTQKEVTALRLCE
+GHPNIVKLHEVFHDQLHTFLVMELLNGGELFERIKRKKHFSETEASYIMRKLVSAVSHMH
+DVGVVHRDLKPENLLFTDENDNLEIKVIDFGFARLKPPDNQPLKTPCFTLHYAAPELLNH
+SGYDESCDLWSLGVILYTMLSGQVPFQSHDKSLTCTSAVEIMKKIKKGDFSFEGEAWKNV
+SQEAKDLIQGLLTVDPNKRLKMPDLRYNEWLQDGSQLSSNPLMTPDILGSSGAAVHTCVK
+ATFHAFNKYKREGFCLQNVDKAPLAKRRRMKKTSTSTETRSSSSESSHSSSSHSHGKSTP
+TRALQPGNPADGGAPESLFQFQD
+>tr|G3N3L7|G3N3L7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=2
+MALLASNGCFIRCNEAGDIEAKSKMAGEEEMVKIRSCAKRETKKKDDIPEEDKGNVMGCE
+INYLKKFQSFQDHKLNISKEDSKILKKARKHGFLHETLLDRRAKLKADRYCK
+>tr|A0A3Q1LPW9|A0A3Q1LPW9_BOVIN ADAM metallopeptidase domain 18 OS=Bos taurus OX=9913 GN=ADAM18 PE=4 SV=1
+KPYTLHLRKHSFVSQNFLVYTYNETGSLHSESSYFKMPCHYQGYIAGFPNSVVTLSICSG
+LRGFLQFENISYGIEPLEFSARFEHIIYQVKNDHPDKPMFAENYSNIWQKDQSYTIHLSS
+QEKTFSELLPQYLEMHIIVEKALYDYMGSEMMAVTQKIIQIIGLVNAMFSQFKLTVILSY
+LELWSDKNQISTIGDADDLLQRFLAWKQDYLILRPHDVTLLLIYRKQPKYVGATFPGTIC
+NRSYDADVAVYPGTITLEGFSVIIAQLLGLKIGLAYDDIQECSCPTAKCIMNHEAVLSSG
+VKIFSNCSMHEYRYFVSTFEAQCLQKFSILKPFYQNQSVCGNGILEAHEECDCGSEQECQ
+FKNCCDYNTCQLKGPVQCASGSCCTSKCEISVAGTPCRKSVDPECDFTEYCNGTSSNCVP
+DTYAMNGQLCRLGNAYCYNGRCQTTDNQCAKVFGKGAQGAPSACFEEVNSLPNSFGNCGF
+KNSQPLPCEQKDVLCGKLACVWPQNSTYRSDVRSAVYSYSQGLLCVTTASSVRSGGRDYT
+YVADGTVCGAQMYCINKTCKEVPLMGYNCNATIKCRGNGICNNLGNCHCIPDYTPPDCEL
+HIGSPGGSIDDGYDHKSCDFNQLSYNTHQNWLILSFYIFLPFFIIFTIMIMKRNEMRKSS
+IVPEHYDMDY
+>tr|A0A3Q1NJA2|A0A3Q1NJA2_BOVIN Leucine rich repeats and calponin homology domain containing 3 OS=Bos taurus OX=9913 GN=LRCH3 PE=4 SV=1
+MAAAGLVAVAAAAEYSCPGASGGNLSAVNCGPNSGAGPGPGPGSWSRSLDRALEEAAVTG
+VLSLSGRKLREFPRGAANHDLTDTTRADLSRNRLSEIPIEACHFVSLENLNLYQNCIRYV
+PEAILNLQALTFLNISRNQLSTLPVHLCELPLKVLIASNNKLVSLPEEIGHLRHLTELDV
+SCNEIQTIPSQIGNLEALRDLNVRRNHLVRLPEELAELPLIRLDFSCNKITTIPVCYRNL
+RHLQMITLDNNPLQSPPAQICIKGKIHIFKYLNMQACKIAPDLPDYDRRPMGFGSCHEEL
+YSGRPYGALDSGFNSVDSGDKRWSGNEPTDEFSDLPLRVAEITKEQRLRRENQYQENHSS
+LVVTNGGVEHELDQIDYIDSCTAEEDEDEVRPHKGLDSDSLSSQFMAYIEQRRISHEGSP
+IKPIPMREFQKTEDMRRYSHQNRVPAEPSSLLSVSPSHNQTDLELHRRREQLVERTRREA
+QLAALQYEEEKIRTKQIQRDAVLDFVKQKASQSPQKQHTPLVGECPFPSRRSQHTDDSAL
+LVNDDRSNAVSNSPTTETGHASPLLSSSVPTTDLKDPVTRQNSRQREEELELIDQLRKHI
+EYRLKVSLPCDLGAALTDGVVLCHLANHVRPRSVPSIHVPSPAVPKLTMAKCRRNVENFL
+EACRKIGVPQEQLCLPLHILEEKGLSQVAMTVQALLELAPPKQQQQQHQLSAV
+>tr|F1N3Q0|F1N3Q0_BOVIN Interleukin 17 receptor C OS=Bos taurus OX=9913 GN=IL17RC PE=4 SV=2
+MPVPWFLLSLALGRNPVVLSLERVVGPQDTARCSPGLSCHLWDGDVLCLPGSLVSAPGPV
+LVPTRLQTELVLRCHEETDCDLCVRVAVHLAVQGYWEDPEDEEKFRRAADPELEEPRNAS
+LQAHIVLSFQAYPTAHCVLLEVQVPAALVQPGQSVGSVVFDCFEAALGAEVQIWSYTQPR
+YQKELNLTQQLPDCRGLEVQDNIQSCWALPWLNVSADGEDVRLVLDVSEEQRFGLSLYWN
+QVQGPIKPWWHSNLVRPPPPQVHSHHRLMPMLKLQVDLLVSSAVTCPVPADPRAHRNLWR
+AARLQLLPPLEWRLDAPCSLPAEATLCWQPLDGGPCLSLVPPLPRENVTVNKVLEFPLLK
+GHPNVCVQARRGRQRAELGKGPARTPSLLDPLYPWVPGAKWLPTSSLGVPLFTLAVHGMR
+AWALHLSECQSPHVFNGDDNSNYFTDED
+>tr|A0A3Q1LN52|A0A3Q1LN52_BOVIN Diacylglycerol kinase OS=Bos taurus OX=9913 GN=DGKA PE=3 SV=1
+MAKERGLISPSDFAQLQKYMEYSTKKVSDVLKLFEDGEMAEYLQGDAIGYEGFQQFLKIY
+LEVDNVPDHLSQALFQSFQTGYYIEDTVREDVVCLSDVSCYFSLLEGGRPEDKLEFTFKL
+YDTDRNGILDSSEVDRIIIQMMRMAEYLDWDVSELRPILQEMMKEIDYDGSGSVSLAEWL
+RAGATTVPLLVLLGLEMTLKDNGQHMWRPKRFPRPVYCNLCESSIGLGKQGLSCNLCKYI
+VHDQCAMKALPCEVSTYAKSRKDIGVQSHVWVRGGCESGRCDRCQKKIRIYHSLVGLHCV
+WCHLEIHDDCLPAMGHECDCGLLRDHILPPSSIYPSVLASGQERKTSKISQKTMDDLSLS
+TSEALRIDPVSNTHPLLVFVNPKSGGKQGERVLWKFQYLLNPRQVFNLLKDGPEPGLRFF
+RDVPDYRILVCGGDGTVGWILESIDKANLPFVPPVAVLPLGTGNDLARCLRWGGGYEGQN
+LGKILKDLETSKVVHMDRWSVEVIPQQTEEKSDPVPFQIINNYFSIGVDASIAHRFHIMR
+EKYPEKFNSRMKNKLWYFEFATSESIFSTCKKLEESLTVEICGKPLDLSNLSLEGIAVLN
+IPSTHGGSNLWGDTKRPHGDIHGINQALGATAKVITDPDILKTCVPDLSDKRLEVVGLEG
+AIEIGQIYTKLKNAGHRLAKCSEITFQNQMPMLVGPPPRSSNFFGFLC
+>tr|E1BEG4|E1BEG4_BOVIN Zinc finger FYVE-type containing 16 OS=Bos taurus OX=9913 GN=ZFYVE16 PE=4 SV=2
+MDSYFKAAVSDLDRLLDDFEQNPDEQDYLQDAQKAYDSKHYSVSSELTASQVTSLLPKDQ
+QCINCCVSSETGYETNQIALSEKGLGGLTSLQNEKNVTGLDLLSSVDGGTSDEIQPLYMG
+RCSKPVCDLISDMGNLVHVANSEEDIKKLLPDDLKSSADSLTGLDLSSVSETFCVSSADH
+GNNAVREEQNDVSLELQNRGISGTTEFGVKVDRALSDSCNYNEKENLEDKKISNQSEPVA
+DFNMPSALTQQSSKAFDAKDHPQHKNQPCELVKAVGCLVKEEEEVPVKAATECLKEGGST
+SALSCSLPKDGGLCLNDPNLRDENSKLPDFSFEEDRTAVFIKESAKEDSRNVDLNDNKDV
+IQDSASALHVSSENTYSSLSCLPVPGSLCGSLIDSKGHGGFLPQNENKDNVQDAVTVHEE
+IQKKVTSGGESFKETDLLKQDKCKNILHQPLTEKMGDRKVDSNQMVIRVESMDYVDNSSS
+YTAAESQIELSGASAPESPDHCEGLTFSSNDIDGQDLDYFNIDEGMKSGAPISDAELDAF
+LTEQYLQTSNIKSFEENINDAKPQMNQIDMKGLDDGNIDNIYFDAEAGATRESPGINMIC
+ETIDKQNTAENGSLSLSEKSTVTVEQGLSSSKSEITNELSTSDSNSQSVHVGGARPKQLF
+SVPSRTRSSKEPNKLDVPNMPESKPRTANTIVATTCTTDSVTDPQVSFDSNYIDIESNFE
+GGSSFVTANEESLPTNTCKDGLVLGQKQPTWVPDSEAPNCMNCQVKFTFTKRRHHCRACG
+KVFCGVCCNRKCKLQYLEKEARVCVVCYETISKAQAFERMMSPTGSNLKSNHSDECATVQ
+PLQETQTSSIPSPTTLPISALKQPSVEGLCSKEQKRVWFADGILPNGEVADTTKLSSGSK
+RCSEDFSPLLPDMPLMVNTVDHAHSTPVEKPNSETEDTRNEIIQSPTSQVPPVEKLPTNT
+GTEGLPTSASFTLDDDVFAETEEPSGPTDVLVNSSLPVASTSDYRLLCSIDNVCNKMSLL
+PDDEDSLPPLLVTSGEKGSVPVVEKHPSHEQIILLLEGEGSCPVTFVLNANLLVNVKLAF
+YSSDKYWYFSTNGLHGLGQAEIIILLLCLPNEDTIPKDIFRLFITIYKDALKGKYIENLD
+SITFTESFLSSKDHGGFLFITPTFQKLDDLLLPSNPFLCGILIQKLEIPWAKVFPMRLML
+RLGAEYKAYPAPLTSIRGRKPLFGDIGHTIMNLLVDLRNYQYTLHNIDQLLIHMEMGKSC
+IKIPRKKYSDVMKVINSSNEHVISIGASFSTEADSHLVCIQNDGVYQTQANSATGHPRKV
+TGASFVVFNGALKASSGFLAKSSIVEDGLMVQITPETMDGLRLALREQKDFKITCGKVDA
+VDMREYVDICWVDSEEKGNKGVISSVDGMSLQGFPSEKIKLEADFETDEKIVKCTEVFCF
+LKDQDLSISATRYQFAKEIAMACSAALCPHLKILKSSGMNKIGLRVSIDTDMVEFQAGSE
+GRLLPQHYLNDLDSALIPVIHGGTSNSTSLPLEIELVFFIIENLFE
+>tr|E1BM06|E1BM06_BOVIN NDC1 transmembrane nucleoporin OS=Bos taurus OX=9913 GN=NDC1 PE=4 SV=2
+MAPAASRPCPGGSRDILWRVLGWRIVTSIVWSVLLLPICTTVFVIFSSIDLFHPIQWLSA
+SFYDLYNSYIIFYLLLLSVVIVIISIFNVEFYTVVPSIPCSRLALIGKILHPQQLMHSFM
+HAAMGMLMAWCATVMTKGQYSFLVVPCTGTESLDSPAVPTCLNEYHLFFLLAGAFMGYSY
+SLLYFINNMNYLPFPIIQQYKFLRFRRSLLLLVKHSCVESLFLLRNFCIVYYFLGHIPKA
+WISTAMDLRIDEQFHRPLDTVRGLLNLSLLYHVWLCGVFVLMTWYVSWLLFKICATEAHL
+FPVQPPFSEDSDECLPKVLNSNPPLIIKYLALQDLMLLSQYSPSRRQEVFSLSQPGGHPH
+NWTAISRECLNLLNDMTQKLVLYQEAAATNGRVMSSSYPVEAKKLNSPEETAFQTPKSSQ
+MPRPSMPSLIKTSLFSSKLSTPDVASPLGTPFGSSVVNRMAGIFDVNTSYGSPQSPQLTR
+RGPRLWTSASDQQMTEFSNPSPSTSISAEGKTVRQPNVIYSWIQNKREQVKNFLSKRVLI
+MYFFSKHPEASIQAVFSDAQMHIWALEGLSHLVAASFTEDRFGVVQTTLPAILNTLLTLQ
+ESVDKYFKLPHASSKPPRISGSLVDTSYKTLRFAFRASLKTAIYRITTTFGEHLNAVPAS
+AEHQKRLQQFLEFKE
+>tr|A0A3Q1MCH9|A0A3Q1MCH9_BOVIN IQ motif and Sec7 domain 1 OS=Bos taurus OX=9913 GN=IQSEC1 PE=4 SV=1
+MWCLHCNSERTQSLLELELDSGVEGEAPSSEPGTSLDSPSAYHQGPLAPGSGLSPEPYEH
+APSGAYGLYAGPPGQQQQRARRPRLQHSTSILRKQAEEEAIKRSRSLSESYELSSDLQDK
+QVEMLERKYGGRLVTRHAARTIQTAFRQYQMNKNFERLRSSMSEKRLSRRIVLSNMRVQL
+SFEGPEKAHSSFFEGKQVSVTDGDSPLGSLGPAECGDLGPPPPPALQAPAPASDFADAIT
+ELEDAFSRQVKSLAESIDDALNCRSLHAVEGAPTPAAEPSSGLHGAAEQRRLDEMTASYS
+DVTLYIDEDELSPPLPAAQAGCRPSSGESDLRLRAGAAAQDYWALAHKDDKGDTDTSCRS
+TPSLEPRARVEHLPLLTIEPPSDSSADLSDRSDRSSLKRQSAYERGLGGPQGSPKHGPHG
+PPRGLPREEPELRARPPRPLDAHLAINGSANRQSKSESDYSDGDNDSLNSTSNSNDTINC
+SSESSSRDSLREQTLSKQTYHKETRNSWDSPAFSNDVIRKRLYRIGLNLFNKKPEKGVQY
+LIERGFVPDTPVGVAHFLLQRKGLSRQMIGEFLGNRQKQFNRDVLDCVVDEMDFSAMELD
+EALRKFQAHIRVQGEAQKVERLIEAFSQRYCICNPGVVRQFRNPDTIFILAFAIILLNTD
+MYSPNVKPERKMKLEDFVKNLRGVDDGEDIPRELLVGIYERIRRRELKTSEDHVSQVQKV
+EKLIVGKKPIGSLHHGLGCVLSLPHRRLVCYCRLFEVPDPNKPQKLGLHQREIFLFNDLL
+VVTKIFQKKKNSVTYSFRQSFSLYGMQVLLFENQYYPNGIRLTSAVPGADIKVLINFNAP
+NPQDRKKFTDDLRESIAEVQEMEKHRIEAELEKQKGVVRPSMSQCPSLKKEPGGGTLSRA
+CLDDSYAGGEGLKRSALSSSLRDLSEAGKRGRRSSAGSLESNVEGSIISSPHMRRRATST
+RDCPSRPHQATPNSSSLLGSLFGSKRGKPPPQAHLPPAPAPPRPATGHPQGPVEGPLAGP
+VHGHHGQYCCLQQNPPPYHHHHHHHPPPHIQHAHQHHHGPHGGHPAYGAHAHGHPPLPAG
+HAGHAAHHHGQPPAPPLPTSSKAKPSGISTIV
+>tr|E1BJY7|E1BJY7_BOVIN Chromosome X CXorf58 homolog OS=Bos taurus OX=9913 GN=CXHXorf58 PE=4 SV=3
+MSHSLKVPVTGVPKSDNPHLGKGHKVHLSNTVKTKEKQQNKDVSAHIIQKAWLSHLDKTV
+FQLLKHTICAAEHHVTHEILKKVSPLEAELAKDPCMKCKVRFRFSGEIFPPFIVFKIFLH
+TEGHGYKYFSGKKFLKPSSEAEADAYKIMGRKKFYHQIKEDERLFQKFKITDDIDVVTLK
+DYMQYSSHLDEIPASSGGRNNCWRRLSLENIPRTMIMYDIVDYAESGIISKRLKKEMKYL
+LQRPKTEEMRQHQLRIVSEVRSPLSLSSLQPLYRPYQQQSQIRHLGRRSKQALMKVEKMK
+RAYKMAKEKNASVGSEPKMDIPGAKQKETVVFSAPSFDIVKVKELSDDELEKEEKELFAW
+CQDLFINNSP
+>tr|A0A3Q1LR55|A0A3Q1LR55_BOVIN Synaptotagmin-1 OS=Bos taurus OX=9913 GN=SYT1 PE=4 SV=1
+MTCIVHTTGVSAEPTMVSESHHEALAAPPVTTVATVLPHNATEPASPGEGKEDAFSKLKE
+KFMNELHKIPLPPWALIAIAIVAVLLVLTCCFCICKKCLFKKKNKKKGKEKGGKNAINMK
+DVKDLGKTMKDQALKDDDAETGLTDGEEKEEPKEEEKLGKLQYSLDYDFQNNQLLVGIIQ
+AAELPALDMGGTSDPYVKVFLLPDKKKKFETKVHRKTLNPVFNEQFTFKVPYSELGGKTL
+VMAVYDFDRFSKHDIIGEFKVPMNTVDFGHVTEEWRDLQSAEKEEQEKLGDICFSLRYVP
+TAGKLTVVILEAKNLKKMDVGGLSDPYVKIHLMQNGKRLKKKKTTIKKNTLNPYYNESFS
+FEVPFEQIQKVQVVVTVLDYDKIGKNDAIGKVFVGYNSTGAELRHWSDMLANPRRPIAQW
+HTLQVEEEVDAMLAVKK
+>tr|F1MM52|F1MM52_BOVIN Cancer-related nucleoside-triphosphatase homolog OS=Bos taurus OX=9913 GN=NTPCR PE=3 SV=1
+MARHVFLTGPPGVGKTTLIQKATEVLKSSGMPVDGFYTEEVRQGGRRIGFDVVTLSGIRG
+PLSRIGSEPLPGKRECRVGQYVVDLTSFEQLALPVLRNAGASGRPGQSICVIDEVGKMEL
+FSQPFIQAVRQVLSTPGTVVLGTIPVPKGKPLALVEEIRTRKDVKVFSVTKENRNHLLPE
+IVTHMQSSRK
+>tr|A0A452DI02|A0A452DI02_BOVIN Acyl-protein thioesterase 1 OS=Bos taurus OX=9913 GN=LYPLA1 PE=4 SV=1
+MCGNNMSAPLPAIVPAARKATAAVIFLHGLGDTGHGWAEAFAGIRMFPVFRLSIWNPEKK
+KFDIIGLSPDSLEDETGIKQAAENVKALIDQEVKNGIPSNRIILGGFSQGGALSLYTALT
+TQQKLAGVTALSCWLPLRASFPQGPIGGVNRDISILQCHGDLDPLVPLMFGSLTAEKLKT
+LVNPANVTFRTYAGMMHSSCQQEMMDIKQFIDKLLPPVD
+>tr|A0A3Q1M0P9|A0A3Q1M0P9_BOVIN SRY-box 6 OS=Bos taurus OX=9913 GN=SOX6 PE=4 SV=1
+MYLFFSELICICLHVRRQKTWADMYSTDTRMSSKQATSPFACTADGEEAMTQDLTSREKE
+EGSDQHVASHLPLHPIMHNKPHSEELPTLVNTIQQDADWDSVLSSQQRMESENNKLCSLY
+SFRNTSTSPHKPDEGSRDREIMTSVTFGTPERRKGSLADVVDTLKQKKLEEMTRTEQEDS
+SCMEKLLSKDWKEKMERLNTSELLGEIKGTPESLAEKERQLSTMITQLISLREQLLAAHD
+EQKKLAASQIEKQRQQMDLARQQQEQIARQQQQLLQQQHKINLLQQQIQVQGHMPPLMIP
+IFPHDQRTLAAAAAAQQGFLFPPGITYKPGDNYPVQFIPSTMAAAAASGLSPLQLQKGHV
+SHPQINPRLKGLSDRLGRSLDTFEHGGGHSYNHKQIEQLYAAQLASMQVSPGAKMPSTPQ
+PPNAAGAVSPTGIKNEKRGTSPVTQVKDEAAAQPLNLSSRPKTVEPVKSPTSPTQSLFSA
+SKTSPVNLPNKSSIPSPIGGSLGRGSSLDILSSLNSPALFGDQDTVMKAIQEARKMREQI
+QREQQQQQPHGVDGKLSTLNNMGLNNCRNEKERTRFENLGPQLTGKSSEDGKLGPGVIDL
+TRPEDAEGSKAMNGSAAKLQQYYCWPTGGATVAEARVYRDARGRASSEPHIKRPMNAFMV
+WAKDERRKILQAFPDMHNSNISKILGSRWKSMSNQEKQPYYEEQARLSKIHLEKYPNYKY
+KPRPKRTCIVDGKKLRIGEYKQLMRSRRQEMRQFFTVGQQPQIPITTGTGVVYPGAITMA
+TTTPSPQMTSDCSSTSASPEPSLPVIQSSYGMKTDGGSLAGNEMINGEDEMEMYDDYEDD
+PKSDYSSENEAPEAVSAN
+>tr|A0A3S5ZP80|A0A3S5ZP80_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC524650 PE=4 SV=1
+MDQKVLKRLVESISKSVNSFKKSEIECLIRIFHNVVGRGDVKLANVGLDRNTFRVILHSI
+FGMTDDVLMNRVFFAFDKDNDNYINVKEWVKGLSVFLRGTFEEKLKFCFEVYYFNGDGYI
+SRERIYDMLKNSLHQQSPGEETDEGI
+>tr|F6PVF9|F6PVF9_BOVIN Derlin OS=Bos taurus OX=9913 GN=DERL2 PE=3 SV=2
+MDWRKGKWGGGGPAAVGKMAYQSLRLEYLQIPPVSRAYTTACVLTTAAVQLELITPFQLY
+FNPELIFKHFQIWRLITNFLFFGPVGFNFLFNMIFLYRYCRMLEEGSFRGRTADFVFMFL
+FGGFLMTLFGLFVSLVFLGQAFTIMLVYVWSRRNPYVRMNFFGLLNFQAPFLPWVLMGFS
+LLLGNSIIVDLLGIAVGHIYFFLEDVFPNQPGGIRILKTPSILKAIFDTPDEDPNYNPLP
+EERPGGFAWGEGQRLGG
+>tr|G3MWN3|G3MWN3_BOVIN RING-type domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=2
+LYHCHSPPRDVYGRDGCETKTTECAVCLMDLVPGDLIRPLPCKHVYHLDCINQWLTRSFT
+CPLCRGPADAAQPLFEDALEPRPLV
+>tr|A0A3Q1LZS7|A0A3Q1LZS7_BOVIN Centrosomal protein 63 OS=Bos taurus OX=9913 GN=CEP63 PE=4 SV=1
+MEALLEGIQNRGHDGGFLTSCEAELQELMKQIDIMVAHKKSEWEGQTHALETCLDIRERE
+LKALRSQLDLKHKEIGMLRQQVEKHEKVKQEMAMEYKQELKKLHEELSRLKRSYEKLQKK
+HVRDFRGNAKNHREDRSEIERLTGKIEAQLANRKQKLESVELSSQSEIQHLSSKLERAND
+TICANELEIERLTMRVNDLVGTNMTIMQEQRQKEEKLKESEKMLEVLQEEKRGLKAALQT
+QENFIHDARIQKEKLQAKLKAVDTQHTVETIRSLEEPLAERRYASQGQGDLQNVLCQLDF
+THTSEELLQAEVTRLEGSLESVSATCKQLSQELMEKYGELKKMEVHNNEYRAEIKKLKEQ
+ILQAEQSYSSVLEGMKMEISQLTRELHQRDITIASTKSSSSDMEKRLKAEIQKAEEKAVE
+HKEMLGQLESLKLENRHLSEMVMKLELGLHECPLPLSPLGSIATRFLEEEELRSHHILER
+LDAHIEELKRESEKTVKQFTVLK
+>tr|E1BPR4|E1BPR4_BOVIN SEC24 homolog B, COPII coat complex component OS=Bos taurus OX=9913 GN=SEC24B PE=4 SV=2
+MPKDWELECLFPLEFNIKGEKHLKIRKTKTNPGFLFGGRVSGVSPARRSPGLRMSPRTQR
+SNSLPTAVPRGSRPRAPSIQVHHSRPGRPPPRAPPPAWVRQDPPAGGAAASAARACGERP
+GVGVPRFRAWGGGRAGAGRGPGARGGPAGLRGGARAFQPRSRVTPSVTPAAFFPLPLASP
+APPSLFLRPPHRSRATAAAAASSAVMSAPAGSPHPAASARIPPKLGGAAASGAAAPAGPG
+PGPHQQNGPAQNQMQVPSEYGLPPQNYIVPSGHYSQGPGKMTSLPLQSQSGDYYSGLYTV
+PTQNVATPNTASQQAGAQQMYGRAPPAPHAVGSTPGSFQGASSSASHLHTSASQPYSSFV
+NHYNSPATYSASSSVASQGFPSTCGHYALSTVSNAAYPSISYPSLPAGDPYGQQMFTSQN
+APAVRPIRDNSFSGQNTAVSHPSPLPPPPSRQYHQQQSLSGYSTFSWSAPGLPSTQDNLI
+RNHTSLATASNPTNTVADSLSCSVMQNVQPPKSSPVVSTVVSGASSTRMPPAANRPVEPV
+ASVTQPSELLQQKGMQYGEYVNNQASSAPTPLSSTSDDEEEEEEDEEAGVDSSSTTSSAS
+PMPNSYDALEGGSYPDVLSSSASSPAPDPAPDPAPAPAPAAPQPSKMPKPFGYGYPTLQP
+GYQNATAPLNSGGPPSSPVYSGFQQYAQYPGMNQLSSSLGGLNLQSSSQPESLRPVNLTQ
+ERNILPMTPVWAPVPNLNLDLKKLNCSPDSFRCTLTNIPQTQALLNKAKLPLGLLLHPFR
+DLTQLPVITSNTIVRCRSCRTYINPFVSFIDQRRWKCNLCYRVNDVPEEFMYNPLTRSYG
+EPHKRPEVQNSTVEFIASSDYMLRPPQPAVYLFVLDVSHNAVEAGYLTILCQSLLENLDK
+LPGDSRTRIGFVTFDSTIHFYNLQEGLSQPQMLIVSDIDDVFLPTPDSLLVNLYESKELI
+KDLLNALPNMFTNTRETHSALGPALQAAFKLMSPTGGRVSLFQTQLPSLGAGLLQSREDP
+NQRSSTKVVQHLGPATDFYKKLALDCSGQQTAVDLFLLSSQYSDLASLACMSKYSAGCIY
+YYPSFHYTHNPSQAEKLQKDLKRYLTRKIGFEAVMRIRCTKGLSMHTFHGNFFVRSTDLL
+SLANINPDAGFAVQLSIEESLTDTSLVCFQTALLYTSSKGERRIRVHTLCLPVVSSLADV
+YAGVDVQAAICLLANMAVDRSISSSLSDARDALVNAVVDSLSAYGSTVSNVQHSGLIAPS
+SLKLFPLYVLALLKQKAFRTGTSTRLDDRVYAMCQIKCQPLVHLMKMIHPNLYRIDRLTD
+EGAIHVNDRVVPQPPLQKLSAEKLTREGAFLMDCGSVFYIWIGKSCDNNFIEDVLGYPDF
+ASIPQKMTHLPELDTLSSERARSFITWLRDSRPLSPVLHVVKDESPAKTEFFQHLIEDRT
+EAAFSYYEFLLHVQQQICK
+>tr|F1N3R3|F1N3R3_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=2
+MHSATHSVLLIILIFRGTNGDSVNQTEGPVTVSEGALLTLNCTYQTADSAPFLFWYLQHL
+NKAPQFLLKGSMSDQNPKSKGFQATLVKRDSSFHLQKQAVQASDSAVYYCALSDTVREGC
+WGAEHKPRVQMGLWLRAALQGGCCFCLRCFMLAVLLFIY
+>tr|A0A3Q1MQN1|A0A3Q1MQN1_BOVIN Basic leucine zipper ATF-like transcription factor 3 OS=Bos taurus OX=9913 GN=BATF3 PE=4 SV=1
+MSQGLPAAGSVLHRSVSAPGNQARPQSPEDDDRKVRRREKNRVAAQRSRKKQTQKADKLH
+EEYECLEQENTVLRREIGKLTEELKHLSETLKEHEKVCPLLLCPLNFVPLPRPDPVAGCL
+PR
+>tr|F1MK80|F1MK80_BOVIN Adhesion G protein-coupled receptor A3 OS=Bos taurus OX=9913 GN=ADGRA3 PE=3 SV=3
+MERPGRPRGRPPPPLLLLPLALLALLGGGAVALPPGCKHDGRLRGAGRAAGAAEGKVVCS
+SLELAQVLPPDTLPNRTVTLILSNNKISELKNGSFSGLSLLERLDLRNNLISSIDPGAFW
+GLSSLKRLDLTNNRIGCLNADIFRGLTNLVRLNLSGNLFSSLSQGTFDYLGSLRSLEFQT
+EYLLCDCNILWMHRWVKERNITVRDTRCVYPKSLQAQPVTGVKQELLTCDPPLELPSFYM
+TPSHRQVVFEGDSLPFQCMASYIDQDMQVLWYQDGRIVETDESQGIFVEKNMIHNCSLIA
+SALTISNIQAGSTGNWGCHVQTKRGNNTRTVDIVVLESSAQYCPPERVVNNKGDFRWPRT
+LAGITAYLQCTRNAHGSGIYPGNAQDERKAWRRCDRGGFWADDDYSRCQYANDVTRVLYM
+FNQMPLNLTNAVATARQLLAYTVEAANFSDKMDVIFVAEMIEKFGRFTKEEKSKELGDVM
+VDIASNIMLADERVLGLAQREAKACSRIVQCLQRIATYRLANGAHVYSTYSPNIALEAYV
+IKATGFTGMTCTVFQKVAATDRTGLSDYGRRDLDGNLDKQLSFKCNVSNTFSSLALKNTI
+VEASIQLPPSLFSPKQKREVRPSDDSLYKLQLIAFRNGKLFPATGNSTNLADDGKRRTVV
+TPVILTKIDGVNIDTHHIPVNVTLRRIAHGADAVAAQWDFDLLNGQGGWKSDGCHILYSD
+ENITTIQCYSLSNYAVLMDLTGSEFYTQAAHLLHPVVYTTAVILLLCLLAVIVSYIYHHS
+LIRISLKGWHMLVNLCFHIFLTCVVFVGGISQTRNASVCQAVGIILHYSTLATVLWVGVT
+ARNIYKQVTKKAKRCQDPDELPPPPRPMLRFYLIGGGIPVIVCGITAAANIKNYGSRPNA
+PYCWMAWEPSLGAFYGPASFITFVNCMYFLSIFIQLKRHPERKYELKEPTEEQQRLAANE
+NGEVNHQDSMSQSLISTSALGNEHTFHAQLLGASLTLLLYVGLWMFGALAVSLYYPLDLV
+FSFFFGATCLSLSAFIVVHHCVNREDVRLAWIMTCCPGRSTYSVQVNVQPPSSSGPSGEA
+PKCTNSSAESSCTNKSASSFKNSSQGCKLTNLQAAAAQCHTNSLPLNATPQLDNSLTEHS
+MDNDIKMHVAPLEVPFRTNMHPSRHHKNRSKGHRASRLTVLREYAYDVPTSVEGSVQNGL
+PKSRLGSNEGHSRSRRAYLAYRERQYNPPQQDSSDACSTLPKSSRNFEKPVSTSSKKDAV
+RKPTVVELESQQKSYGLNLAIQNGPIKSSGQEGPLLGTDSTGNVRTGLWKHETTV
+>tr|A0A3Q1ME29|A0A3Q1ME29_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MKRQRNSQQIKEQDKCPPNQTKEEEIGNLPDKKFRIMIVKLIQNLEIKMESQINSLETRI
+EKMQERFNKDLEEIKKSQYTMNNAINEIKNTLEATNSRITEAEDRISELEDRLVEINESE
+RIKEKRIKRNEDNLRDLQDNIKCYNIRIIGVPGEEDKKKDHEKILEEIIVENFPKLGKEI
+ITQVQETQRIPNRINPRRNTPRHILLKLKKIKHKEQILKAAREKQQITHKGIPIRTTADL
+SIETLQARREWQDILKMMKENNLQPRLLYPASISFKY
+>tr|A0A3Q1MDF8|A0A3Q1MDF8_BOVIN POC1 centriolar protein homolog A OS=Bos taurus OX=9913 GN=POC1A PE=4 SV=1
+MTAHCPEDPSLERHFKGHRDAVTSVDFSLNTKQLASGSMDSCLMVWHMKPQTRAYRFAGH
+KDAVTCVNFSPSGHLLASGSRDKTVRIWVPNVKGESTVFRAHTATVRSVHFCSDGQSFVT
+ASDDKTVKVWSTHRQKFLFSLSQHINWVRCAKFSPDGRLIVSASDDKTVKLWDKTSRECV
+HSYCEHGGFVTYVDFHPSGTCIAAAGMDNTVKVWDVRTHRLLQHYQLHSAAVNALSFHPS
+GNYLVTASSDSTLKILDLMEGRLLYTLHGHQGPATTVAFSRTGEYFASGGSDEQPEVDPL
+VPPGRGRSQESMQSHSQEPVSVPQSLTSTLEHIVGQLDVLTQTVSILEQRLTLTEDKLKQ
+CLENQQLIMQRTTP
+>tr|F1MBN2|F1MBN2_BOVIN Tight junction protein 2 OS=Bos taurus OX=9913 GN=TJP2 PE=1 SV=3
+MPVRGDRGFPSRREMSGWLPAPGMEELIWEQYTVTLQKDSKRGFGIAVSGGRDNPHFENG
+ETSIVISDVLPGGPADGLLQENDRVVMVNGTPMEDVLHSFAVQQLRKSGKIAAIVVKRPR
+KVQLAPLQDSPPVHEDDRAFEVMDEFDGRSARSGYSERSRPSSRGGRSRSWEESPERGRP
+HDRVRSRDRGRSLERGLDHDDDYGRAREHSRGRSLERGLDQDDYRRARESSRGRSIDPVY
+DRAYTPEGEYGHRAQPDARYGASQSRSREHLHSRSPSPEPRGRPDSDKPIGVLLMKSKAN
+EEYGLRLGSQIFIKEMTRTGLATKDGNLHEGDIILKINGTVTENMSLTDARKLIEKSRGK
+LQLVVLRDSKQTLINIPSLNDSDSEIEDISEIESNRSFSPEERQQQYSDYDYHSSNEKLK
+ERPNSREDMQSRWSRMGATPTPFKSTGDMAAAGSVEPSKEPKYQEEAPVPPPKPAPRAVL
+RPSPEDLAIYGPNTKMVRFKKGDSVGLRLAGGNDVGIFVAGIQEGTSAEQEGLQEGDQIL
+KVNTQDFRGLVREDAVLYLLEIPKGETVTILAQSRADVYRDILACGRGDSFFIRSHFECE
+KETPQSLAFTRGEVFRVVDTLYDGKLGHWLAVRIGNELEKGLIPNKSRAEQMASVQNAQR
+DTTGDRADFWRMRGQRSGVKKNLRKSREDLTAAVTVSTKFPAYERVLLREAGFKRPVVLF
+GPIADIALEKLANDLPDLFQTAKTEPRDAGSEKSTGVVRLNTVRQIIEQDKHALLDVTPK
+AVDLLNYTQWFPIVIFFNPDSRQGVKTMRQRLNPSSNKSSRKLFDQANKLKKTCAHLFTA
+TINLNSANDSWFGSLKDTIQHQQGEAVWVSEGKMEGMDDDPEDRMSYLTAMGADYLSCDS
+RLISDFEDTDGEGGAYTDNELDEPAEEPLVSSITRSSEPVQHEESIRKPSPEPRAQMRRA
+ASRDQLRDNSPPPTFKPEPPKAKTQNREESFDFSRSYEYKSNPSAAAGNEIPGASTKGCP
+PPIAAKPTFGRPVLKPSTPVPPPESEETGEGSEEQHNAPKSVLGKVKIFEKMDHKAKLQR
+MQELQEAQNARIEIAQKHPDIYAVPIKTHKPDPGPPQYTSSRPPEPQKGPARLYQDPRGS
+YGSDAEEEEYRQQLSEHSRHGYYGQPSRYRDTEL
+>tr|A0A3Q1NIW5|A0A3Q1NIW5_BOVIN Dual adaptor of phosphotyrosine and 3-phosphoinositides 1 OS=Bos taurus OX=9913 GN=DAPP1 PE=4 SV=1
+MGRAESLEGKMSTQDPSDLWSRSDGEAELLQDLGWYHGNLTRHAAEALLLSNGCDGSYLL
+RDSNERIGLYSLSVRAKDSVKHFHVEYTGYSFKFGFNEFSSLRDFVKHFANQPLIGSETG
+TLIVLKHPYPKKVEEPSIYESVRVHTAMQTGRSENDLVPTAPSTWKTRWFTLHRNELKYF
+KDQMSPEPIRILDLTECSAVQFDYSQERVNCFCLVFPFRTFYLCAKTGVEADEWIKILRW
+KLKQIKSRGRFGPSRRHHIC
+>tr|A0A3Q1LK11|A0A3Q1LK11_BOVIN Casein kinase I isoform alpha OS=Bos taurus OX=9913 GN=CSNK1A1 PE=1 SV=1
+MASSSGSKAEFIVGGKYKLVRKIGSGSFGDIYLAINITNGEEVAVKLESQKARHPQLLYE
+SKLYKILQGGVGIPHIRWYGQEKDYNVLVMDLLGPSLEDLFNFCSRRFTMKTVLMLADQM
+ISRIEYVHTKNFIHRDIKPDNFLMGIGRHCNKCLESPVGKRKRSMTVSTSQDPSFSGLNQ
+LFLIDFGLAKKYRDNRTRQHIPYREDKNLTGTARYASINAHLGIEQSRRDDMESLGYVLM
+YFNRTSLPWQGLKAATKKQKYEKISEKKMSTPVEVLCKGFPAEFAMYLNYCRGLRFEEAP
+DYMYLRQLFRILFRTLNHQYDYTFDWTMLKQKAAQQAASSSGQGQQAQTPTGKQTDKTKS
+NMKGTWLVIQQRKPDHRGRP
+>tr|E1B7C7|E1B7C7_BOVIN Granzyme K OS=Bos taurus OX=9913 GN=GZMK PE=3 SV=1
+MTKFSSFFLCFLLAGTYMTPECFNMEIIGGREVSPHSRPFMASLQYGGDHICGGVLIHPQ
+WVLTAAHCHLRFAKSQSSKVVLGAHSLSKNEASKQTFEIKKFIRFPGFALAPKSNDIMLV
+KLHTAAILNRHVQLLHPRAKNDIKAGTKCQVVGWGATDPEGLSLSDTLREVTVTVISRKT
+CNSRDYYNHSPVITRTMLCAGDARGQKDSCQGDSGGPLVCKGAFHALVSGGPKCGDAKKP
+GIYILLTRKFQAWIKSNLAPSHAD
+>tr|A0A3Q1MCA9|A0A3Q1MCA9_BOVIN Ubiquitin carboxyl-terminal hydrolase OS=Bos taurus OX=9913 GN=USP18 PE=3 SV=1
+MGPVGLHNIGQTCCLNSLIQVLVRNVGFAKILKRITVPGGAEEQRRSVPFQLLLLLEKMQ
+DSRKKAVQPTELAYCLQKYNIPMFVQHDAAQLYLTVWNLIKNQITDVDLVSPRTESSERE
+DAVLVHMETKRKRVECSPQSCSVAAVTSLHLSEQGQGQPLFLELCVVSPALDVADLFTRA
+LFAPLPPTPRPTLQVARLQALYTIRLKESFVCLECTSEMSRNSSMLALPLSVFDMHWKPL
+KTLEDALHCFFQPQELSSKDKCFCESCGRKTLWKQVLTLTHLPQTLTIHLMRFSIRNLRA
+EKVCHSLYFPQNLDLTKLLETKGEPCSAEEQCGGHYELFAVIAHVGNADYGHYCAYIRSS
+EDGEWFCFNDSNVSWVSWEDVQCTYGNHSYRWRETAYLLFYVKTES
+>tr|F1MB48|F1MB48_BOVIN Ferredoxin-fold anticodon binding domain containing 1 OS=Bos taurus OX=9913 GN=FDXACB1 PE=4 SV=2
+MAPRRLLLVGEGNFSFAVALSETLDPNTSLTATCPQRSADLARDLVVRENLRRLRERGNE
+VRFGVDCTHLADAFEPQDREFDRIYFNFPHCGRKAGVAKNRELLAKFFRSCADVLAEDGE
+VHVALCRGQGGTPADKPMREWHNSWQVVAMAALGGFILSDVHPFNCKALPGYKCTGYRSQ
+DKSFHIEGALNHIFTRSLPFESLQPRISRIKLGDQWFSFLEPEVLVGKLNRGFLEAPSCH
+PIKTINEKLIAELGKAFPLKRLKCSSPLLLQGDASVLTSHNPDILSTAFWVSLHEDNSNF
+ESLTSGITQYMEDFLVSFSELSLPKSARRDSKEEAHEGTFGQAKVCLRPSLLVHIQAVIQ
+APDFLPGSLHILSGPVFQRCCISPFTMPAFHETLYILGFNKNLKDSCVQSLLDHLKGILD
+NLLTQTWLEGSERSSSVEFVFQPTGERYMITVKSQNFGPDCVKDLIIGSVTTSATSVIHK
+DQCFVCVCMNLDLLAMLVWDISDWRMLWTSDSRFLKNFAPGKIEPFKSYSLYPPYYVHDI
+SFWLDEKKRFDEVEFHTLARAVSQDTVISIQFLSRFQHPKTEQVSLCYRLTYQTCDKALT
+QKQVASMQSQLRKEIQQRLHVTPR
+>tr|A0A3Q1MKZ8|A0A3Q1MKZ8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+ILHTWVKLHHPEKTVVGTPLWEDMTEMFKEEGTIDLQGHNCGLHPGGVGASGPCSPESVP
+GGDAGELWKSGLSGIPAFQTWCGFPVRERRRAMADEERGFRRSKSRKEQGRNQRDNFKE
+>tr|F6RHP0|F6RHP0_BOVIN Par-6 family cell polarity regulator alpha OS=Bos taurus OX=9913 GN=PARD6A PE=4 SV=2
+MARPQRTPARSPDSIVEVKSKFDAEFRRFALPRASVSGFQEFSRLLRAVHQIPGLDVLLG
+YTDAHGDLLPLTNDDSLHRALSSGPPPLRLLVQKRAEADSSGLAFASNSLQRRKKGLLLR
+PVAPLRTRPPLLISLPQDFRQVSSVIDVDLLPETHRRVRLHKHGSDRPLGFYIRDGMSVR
+VAPQGLERVPGIFISRLVRGGLAESTGLLAVSDEILEVNGIEVAGKTLDQVTDMMVANSH
+NLIVTVKPANQRNNVVRGASGRLAGPPSAGPAEPDSDDDSSDLVIENRQPPCSNGLSQGP
+PCWDLHTSRLLPAARSSLPSLDDREQASSGWGSSIRGDGSGFSL
+>tr|F1MSK8|F1MSK8_BOVIN Hyaluronidase OS=Bos taurus OX=9913 GN=HYAL1 PE=3 SV=3
+MRPFSLEVSLHLPWAMAAHLLPVCTLFLNLLSMTQGSRDPVVPNQPFTTIWNANTEWCMK
+KHGVDVDISIFDVVTNPGQTFRGPNMTIFYSSQLGTYPYYTSAGEPVFGGLPQNASLNAH
+LARTFQDILAAMPEPRFSGLAVIDWEAWRPRWAFNWDTKDIYRQRSRALVQKQHPDWLAP
+RVEAAAQDQFEGAAEEWMAGTLKLGQALRPQGLWGFYNFPECYNYDFKSPNYTGQCPLNI
+CAQNDQLGWLWGQSRALYPSIYLPAALEGTKKTQMFVQHRVAEAFRVAAGAGDPKLPVLP
+YMQLFYDMTNHFLPAEELEHSLGESAAQGAAGVVLWVSWLSTSTKESCQAIKEYVDTTLG
+PSILNVTSGARLCSQVLCSGHGRCARRPSYPKARLILNSTSFSIKPTPGGGPLTLQGALS
+LEDRLRMAVEFECRCYRGWRGTRCEQWGLW
+>tr|F1MMK8|F1MMK8_BOVIN Lysine--tRNA ligase OS=Bos taurus OX=9913 GN=KARS PE=1 SV=2
+MFLHVGYDGHVVRVREDHPPRLRLRLRLRRGDAPGQDPGLRLWGLVGRRSRAQTVGLQLE
+LLVTLHAVQNVLRGDKVARGLGRRLPGLGQQHGPGLLNATQSAAAVQDERREPALCRAGT
+HVEGHGAAILPYEQSRGVSGSIGVFAQLHRLRHSSPRVPEKAAPSRPREQEAAGIQVRPS
+GKMADVQGAEVKVDCGEPKLSKSELKRRLKAEKKIAEKEAKQKELSEKQLSQAAASNHSA
+EDGVAAEEESLDPNQYFKIRSQAIHQLKVNGEDPYPHKFHVDISLTHFIQEYSHLQPGDH
+LTDITLKVAGRIHAKRASGGKLIFYDLRGEGVKLQVMANSRNYKSEEEFIRINNKLRRGD
+IIGVQGNPGKTKKGELSIIPYEITLLSPCLHMLPHLHFGLKDKETRYRQRYLDLILNDFV
+RQKFIIRSKIITYIRSFLDELGFLEIETPMMNIIPGGAVAKPFITYHNELDMNLYMRIAP
+ELYHKMLVVGGIDRVYEIGRQFRNEGIDLTHNPEFTTCEFYMAYADYHDLMEITEKMISG
+MVKHITGSYKVTYHPDGPEGQAYEIDFTPPFRKISMVEELEKALGMKLPETNLFETEETR
+RILDDICVAKDVECPPPRTTARLLDKLVGEFLEVTCINPTFICDHPQIMSPLAKWHRSKE
+GLTERFELFVMKKEICNAYTELNDPVRQRQLFEEQAKAKAAGDDEAMFIDETFCTALEYG
+LPPTGGWGMGIDRVTMFLTDSNNIKEVLLFPAMKPEDKKENVATKDIPESTAPGTSV
+>tr|A0A3Q1LHJ1|A0A3Q1LHJ1_BOVIN GAGE domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+LPEHSVRSVGGQVASTLGPTNQDSSQVDEPVVDQQPSVEQPQQEEPPKDTFEDSCLEADL
+QQLAVAKTGGEGGDGPDVREEFASNIEPVEMPEAGEGQPFA
+>tr|G3N079|G3N079_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC513099 PE=3 SV=2
+MEWENQTILVEFFLKGLSGYPRLELLFFVVVLIMYVVILLGNGTLILISILDSHLHTPMY
+FFLGNLSFLDICYTTVFIPSVLVSFLSVKKTISFSGCAMQMFLGLAMGTTECVLLGMMAF
+DRYVAICNPLRYSVIMSKGSYVPMAAGSWIVGIVNSTVQTGCVVQLPFCRNNVINHFACE
+ILAVMKLACADISGNEFIMLVATTLFTMTPLLLIIISYTLIIISILRIRSSEGRSKVFST
+CSAHLTVVIIFYGTILFMYMKPKSKETLNSDDMDTTDKLVSFFYGVMTPMINPLIYSLRN
+KDVKEAVKHLLRRKNFNK
+>tr|A0A3Q1MTG5|A0A3Q1MTG5_BOVIN Sorting nexin 16 OS=Bos taurus OX=9913 GN=SNX16 PE=4 SV=1
+MATPYVPVPMPLGNSASSFATTRNQRSSSFGSISTSSNSSKGQLEDSNMGADSSIEYSAR
+PRESEEQSPETVNIEDRPSTPIILGYEVMEERAKFTVYKILVKKTPEESWVVFRRYTDFS
+RLNDKLKEMFPGFRLALPPKRWFRDNYNAEFLEDRQLGLQAFLQNLVAHKDIANCLAVRD
+FLCLDDPPGPFDSLEESRAFCETLEETNYRFQKELLEKQKELESLKKLLSEKQLLIDTLE
+NRIRTLSLKPEESLLMSGTEGRQTLKVEPSALEVDQGVLDEESRADNKQYSSFSEPKNSI
+SDIEVAEVAYNAED
+>tr|A0A3Q1MAI6|A0A3Q1MAI6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=CYP4F2 PE=3 SV=1
+MLELSLSRLGLGPLAASPWLLPLLAGVSWILARVLAWTYTFYNNSRRLRCFLQPPKPNWF
+LGHMNLVPSTEQGLIYFTQMAANYPRGYLIWFGPIIPMVIFCHPDMLRSITNASAAIAPK
+DMQFYGTLKPWLGDGLLLSAGDKWSSHRRMLTPAFHFNILKPYIKIFTKSAEIMHAKWEH
+LITEGHTHLDMFEHISLLTLDNLQKCVFSFDSNCQEKPSEYIAAILELSALVSKRNQQLF
+LYMDFLYYLTSDGQRFRNACRLVHDFTDAVIQERRRTLPKENIDDFLKAKAKTKTLDFID
+VLLLTKDEDGKGLSDEDIRAEADTFMFEGHDTTASGLSWILYNLAKHPEYQERCRQEVQD
+LLRDRESKEIEWDDLAQLPFLTMCIKESLRLHPPVTSISRRCTQDIVLPDGRVIPKGVVC
+LIDIFGTHHNPSVWQDPEVYDPFRFDPENIKGRSPLAFIPFSAGSRNCIGQTFAMTEMNV
+VLALTLLRFRFRPDKEEPRRKPELILRAEGGLWLQVELLSAGPQ
+>tr|A0A3Q1M7F6|A0A3Q1M7F6_BOVIN RING-type domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+NKAEEKKIPTECAVPFLEQICHSKSLRDKEESMESSFPLNATEPCVICQDRPKNGCAIHG
+KTGHLMACFTCAKKFKKRNKPCPVCRQPIQMIVLTYFP
+>tr|G3MXG1|G3MXG1_BOVIN Histone domain-containing protein OS=Bos taurus OX=9913 PE=3 SV=1
+MPEPAKSAPAPKKGSKKAVTEAQKKDSKKRKRSCKEGYSMYVYKVLKQVHPDTGISSKAM
+EVMNSFVNIFEPIAGEASRLAHYNKRSTIISREIQTAVCLLLPGELAKHTVSEGTKAVTK
+YTSSK
+>tr|A0A3Q1MDA5|A0A3Q1MDA5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=MSLN PE=4 SV=1
+MALQTAPPRLGSWGTPAHGSLLLLLLCLGWVLPSRAQAADVGLALLSSSVQRTSRDLSWQ
+QPELTVLLPRARRGTEKKACPPGREAQVVDENLFFYEEWELEACVDGALLATQMRQVNLI
+PFTYQQLHIFKRKLDEFYPQGYPQSLIERLSYFFLYVTPEDIHKWNVTSLDTVKSLLKVS
+QGRGVDAQVAALIARYVGGGGQLDKAALDTLAIFRPAYLCFLRPEQLDSVQLSVLWMTTP
+QDLDACSPPQMAVLYHKAHMAFQNVSGSEYFTRIKPFLGGASTEDLRVFTSQNISIDAAA
+FKKLTTEAVLSLTVAEVQKLLGPNLVGLKAETGNMFLRDWISRQSQEDLDRLGLGLVGGV
+PNGYLVLDLRGRGGLGWPAGRCWAE
+>tr|A0A3Q1LRE8|A0A3Q1LRE8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MVQKKDKKPKKSTWKFNLDLTHPVEDGIFDSGYFEQLLREKVEVNGKTGNLGNVIHIECF
+KNKIIVVFEKQFSKRYLKYLSKEYKEYLKRNNLRDWVCVVASDEETYELPYFQISQDED
+>tr|A0A140T8B1|A0A140T8B1_BOVIN Sepiapterin reductase OS=Bos taurus OX=9913 GN=SPR PE=4 SV=1
+MEGSVGKVGGLGRTLCVLTGASRGFGRTLAQVLAPLMSPRSVLVLSARNDEALRQLETEL
+GAEWPGLRIVRVPADLGAETGLQQLVGALCDLPRPEGLQRVLLINNAGTLGDVSKRWVDL
+TDPTEVNNYWTLNLTSTLCLTSSILQAFPDSPGLSRTVVNISSICALQPFKGWGLYCAGK
+AARNMMFQVLAAEEPSVRVLSYGPGPLDTDMQQLARETSVDPDLRKSLQELKRKGQLVDC
+KISAQKLLSLLQNDKFESGAHIDFYDE
+>tr|A0A3Q1NBK1|A0A3Q1NBK1_BOVIN Secretogranin-3 OS=Bos taurus OX=9913 GN=SCG3 PE=4 SV=1
+MGFLWTGTWIVVLMLHSSPIQAFPKPAGSQDKPLHNRELSAERPLNEQIAEAEADEIKKT
+YPPENKPGESNYSFVDNLNLLKAITEKEKNEKERQSVKISPNDNKLNVEDVDSTKNRRLI
+DDYDSTKSGLDRKFQDDPDGLHQLDGTPLTAEDIVQKIATRIYEENDRGVFDRIVSKLLN
+LGLITESQAHTLEDEVAEVLQKLISKEANNYEEELNKPTSKTESQTGKIPEKVTPMAAIQ
+DAFTNGENDETVSNTLTLTNGLERRTKTYSEDNFEELQYFPNFYALLKSIDSEKEAKEKE
+TLITIMKTLIDFVKMMVKYGTISPEEGVSYLENLDETIALQTKNKLEKNVTDNKSKLFAV
+PSEKSHEETDSTKEEAAKMEKEYGTLKDSTKDDDSNPRGKTDEHKGKTEAYLEAIRKNID
+WLKKHNKKENKEGQRNHKEVMVSLFTPCIQFKQHENQDYDLSKMRDFINQQADAYVEKGI
+LDKEEADAIKRIYSSL
+>tr|F1MSQ9|F1MSQ9_BOVIN Thymopoietin OS=Bos taurus OX=9913 GN=TMPO PE=1 SV=1
+MPEFLEDPSVLTKEKLKSELVANNVTLPAGEQRKDVYVQLYLQHLTARNRPPLATSANSK
+GPPDFSSDEEREPTPVLGSGAAVAGRSRAAVGRKATKKTDKPRPEDKDDLDVTELSNEDL
+LDQLVKYGVNPGPIVGTTRKLYEKKLLKLREQGTESRSSTPLPTISSSVENTRQNGSNDS
+DRYSDNEEDSKIELKLEKREPLKGRAKTPVTLKQRRVEHNQVVNRVTGNFKHAAPILPIT
+EFSDIPRRTPKKPLTRAEVGEKTEERRVERDILKEMFPFEAATPTGISASCRRPIKGAAG
+RPLELSDFRMEESYSSKYIPKYVPLADVKSEKTKKGRSIPMWIKILLFVVVAGFLFLVYQ
+AMETNQGNPFYKFLSNDSKKVN
+>tr|A0A3Q1LHZ6|A0A3Q1LHZ6_BOVIN Discs large MAGUK scaffold protein 5 OS=Bos taurus OX=9913 GN=DLG5 PE=3 SV=1
+MEPQRRELLAQCQQSLAQAMTEVEAVLGLLEAAGALSPGERRQLDEEAGGAKAELLLKLL
+LAKERDHFHDLRAALEKTQPHLLPILYLNGVVGPPQPAEGAGSTYSVLSIMPSDSESSSS
+LSSVGTTGKAPSPPPVLSDRQVNEKVENLSIQLRLMTRERNELRKRLAFATHGTTFDKRP
+YHRLNPDYERLKIQCVRAMSDLQSLQSQHTNALKRCEEVAKETDFYHTLHSRLLSDQTQL
+KDDVDMLRRENGQLLRERNLLQQSWEDMKRLHEEDQKEIGDLRAQQQQVLKHNGSSEILN
+KLYDTAMDKLEVVKKDYDALRKRYSEKVAIHNSDLSRLEQMEEENQRLLKQTEMLTQQRD
+TAIQLQHQCALSLRRFEAIHHELNKATAQNKDLQWEMELLQSELTELRTTQAKTAKESEK
+YKEERDAVYSEYKLIMSERDQVISELDKLQTEVELAESKLKSSTSEKKAASEEMEALRQI
+KDTVTMDAGRANKEAEILRKQCKALCQELKEALQEADVAKCRRDWAFQERDKIVAERDSI
+RTLCDNLRRERDRAVSELAEALRSLDDTRKQKNDVSRELKELKEQMESQLEKEARFRHLM
+AHSSHDSAIDTDSMEWETEVVEFERETEDIDLKALGFDMAEGVNEPCLPGDCGIFVTKVD
+KGSIADGRLRVNDWLLRINDVDLINKDKKQAIKALLNGEGAINMVVRRRKSLGGKVVTPL
+HINLSGHKDSGISLENGVYAAAVVPGSPAAKEGSLAVGDRIVAINGIALDNKSLNECESL
+LRSCQDSLTLSLLKVFPQSASWSGQNIFENIKDSDKMLSYRAHGPEVQAQNKRNLMQHNN
+STQTDIFCADRLEDRKELGPPGGSSSFLHKPFPGGPFSVSPQACPSASERSLSSFRSDAS
+GERGYGPADMRSRRPLLPFETEVGPCGAAEAPLDKAEPDSAHSGGTWPKAVLSSVAGPEK
+LSVYRKPKQRKSIFDPNTFKRPQTPPKIDYLLPGSGPAHSPLPSKRVGPLTPPKPPRRGD
+SIKFQHKLETSSESEATLVGSSPSTSPPSALPPDVDPGDPMHASPPRKARVRIASSYCPE
+GDRDSSYLPAKKSCDEDLTSQKVEELGQKRRRPKSAPIIRPKLAPVVIPAQFLEEQKCTP
+ASGELSPDLQEWAPYSPGHSSRHSNPPFYPSRASVGTVPRSLAPSTVSSVLRNPIYTVRS
+HRVGPCSSPPIPREAGPHGLHPSVQHQGRLSLDLSPRACSDCSDMRASHGSNSLPSSARL
+GSSSNLQFKAERIKIPSTPRYPRSVVGSDRGSLSHSECSTPPQSPLSVEGLSSCSQSQTS
+AATLPRIAVNPAAPGERRKDRPYVEEPRHVKLQKGSEPLGISIVSGEKGGVYVSKVTGGS
+IAHQAGLEYGDQLLEFNGINLRSATEQQARLIIGQQCDTITILAQYNPHMHQLSSHSRSR
+MVGDATKKTPEPRVVFIKKSQLELGVHLCGGNLHGVFVAEVEDDSPARGPDGLVPGDLIL
+EYGGLDVRSKTVEEVYVEMLKPKDSVRLKVQYRPEEFSKIKGLPGDSFYIRALYERLAEV
+EPELSFKKDDILYVDDTLPQGSFGSWMAWQLDENAQKMQRGQIPSKYVMDQEFSRRLSMS
+EVKDDSSAAKTLSAAARRSFFRRKHKHKRSGSKDGKDLLALDTFSNDSIPLFEDSVSLAY
+QRVQKVDCTSLRPVLILGPFLDVVKEMLVNEAPSKFCRCPLEVMKASQQAIERGVKDCLF
+VDYKRRSGHFDVTTVASIKEITEKNRHCLLDVAPHAIERLHHMHIYPIVIFIHYKSAKHI
+KEQRDPIYLKDKVTQRHSKEQFETAQKIEQEYSRYFTGVVQGGALSSICTQILAVVNQEQ
+NKVLWIPACPL
+>tr|A0A3Q1MI34|A0A3Q1MI34_BOVIN Epsin 1 OS=Bos taurus OX=9913 GN=EPN1 PE=4 SV=1
+MSTSSLRRQVKNIVHNYSEAEIKVREATSNDPWGPSSSLMSEIADLTYNVVAFSEIMSMI
+WKRLNDHGKNWRHVYKAMTLMEYLIKTGSERVSQQCKENMYAVQTLKDFQYVDRDGKDQG
+VNVREKARQLVALLRDEDRLREERAHALKTKEKLAQTATASSAAVGSGPPPEAEQAWPQS
+SGEEELQLQLALAMSKEEADQEERIRRGDDLRLQMAIEESKRETAGQEESSLMDLADVFT
+APAAAPATDPWGAPVSMAAALPTAAPASDPWGGPPVPQAADPWGGPAPTPASGDPWRPAA
+PAGPPADPWGGTQAPAAGEGPAPDPWGGSDGGAPVSGPPASDPWAPAPAFSDPWGGSPAK
+PSTNGTAVVGGFDTEADEFSDFDRLRTALPASGSSTGELELLAGEVPARSPGAFDMSGVG
+GSLAEAVGSPPPAAAPTPTPPTRKTPESFLGPNAALVDLDSLVSRPGPAMPGAKASNPFL
+PSGAPATGPSITNPFQPAPPATLTLNQLRISPVPPVAGAPSTYISPLGGGPGLPPMMPPG
+PPAPNTNPFLL
+>tr|G3MWS1|G3MWS1_BOVIN Insulin-induced gene protein OS=Bos taurus OX=9913 GN=INSIG2 PE=3 SV=2
+MAEGETESPGPKKRGPYISSVTSRSVNLMIRGVVLFFIGVFLALVLNLLQIQRNVTLFPP
+DVIASIFSSAWWVPPCCGTASAVIGLLYPCIDRHLGEPHKFKREWSSVMRCVAVFVGINH
+ASAKVDFDNNIQLSLTLAALSIGLWWTFDRSRSGFGLGVGIAFLATVVTQLLVYNGVYQY
+TSPDFLYVRSWLPCIFFAGGITMGNIGRQLAMVSSCLFSHLSVFEM
+>tr|A0A3Q1LIG1|A0A3Q1LIG1_BOVIN Metaxin 2 OS=Bos taurus OX=9913 GN=MTX2 PE=4 SV=1
+NILISKTLITAEPWPENATLYQQLKGRCLFPIKNGFISKYVCSAFLQMCNLPIKVVCRAN
+AEYMSPSGKVPFIHVGNQVVSELGPIVQFVKAKGHSLSDGLDEVQKAEMKAYMELVNNML
+LTAELYLQWCDDATVGEITHARYGSPYPWPLNHILAYQKQWEVKRKMKAIGWGNKTLDQV
+LEDVDQCCQALSQRLGTQPYFFNKQPTELDALVFGHLYTILTTQMTNDELSEKVKNYSNL
+LAFCRRIEQHYFGKGSSSIRLS
+>tr|F1MX40|F1MX40_BOVIN DEAD-box helicase 46 OS=Bos taurus OX=9913 GN=DDX46 PE=4 SV=1
+MGRESRHYRKRSASRGRSGSRSRSRSPSDKRSKRGDDRRSRSRDRDRRRERSRSRDKRRS
+RSRDRKRLRRSRSRERDRSRERRRSRSRDRRRSRSRSRGRRSRSSSPGNKSKKAENRSRS
+KEKTDSGESSKEKKKDKDDKEDEKEKDAGNFDQNKLEEEMRKRKERVEKWREEQRKKAME
+NIGELKKEIEEMKQGKKWSLEDDDDDEDDPAEAEKEGNEMEGEELDPLDAYMEEVKEEVK
+KFNMRSVKGGGGNEKKSGPTVTKVVTVVTTKKAVVDSDKKKGELMENDQDAMEYSSEEEE
+VDLQTALTGYQTKQRKLLEPVDHGKIEYEPFRKNFYVEVPELAKMSLEEVNVFRLEMEGI
+TVKGKGCPKPIKSWVQCGISMKILNSLKKHGYEKPTPIQTQAIPAIMSGRDLIGIAKTGS
+GKTIAFLLPMFRHIMDQRSLEEGEGPIAVIMTPTRELALQITKECKKFSKTLGLRVVCVY
+GGTGISEQIAELKRGAEIIVCTPGRMIDMLAANSGRVTNLRRVTYVVLDEADRMFDMGFE
+PQVMRIVDNVRPDRQTVMFSATFPRAMEALARRILSKPIEVQVGGRSVVCSDVEQQVIVI
+EEEKKFLKLLELLGHYQESGSVIIFVDKQEHADGLLKDLMRASYPCMSLHGGIDQYDRDS
+IINDFKNGTCKLLVATSVAARGLDVKHLILVVNYSCPNHYEDYVHRAGRTGRAGNKGYAY
+TFITEDQARYAGDIIKALELSGTAVPPDLEKLWSDFKDQQKAEGKIIKKSSGFSGKGFKF
+DETEQALANERKKLQKAALGLQDSDDEDAAVDIDEQIESMFNSKKRVKDMAAPGTSSVPA
+PTAGNAEKLEIAKRLALRINAQKNLGIESQVDVMQQATNAILRGGTILAPTVSAKTIAEQ
+LAEKINAKLNYVPLEKQEEERQDGGQNESFKRYEEELEINDFPQTARWKVTSKEALQRIS
+EYSEAAITIRGTYFPPGKEPKEGERKIYLAIESANELAVQKAKAEITRLIKEELIRLQNS
+YQPTNKGRYKVL
+>tr|A0A3Q1LP00|A0A3Q1LP00_BOVIN Transforming acidic coiled-coil containing protein 1 OS=Bos taurus OX=9913 GN=TACC1 PE=4 SV=1
+MAFSPWQILSPVQWARWTWSAVRGGGAGEDEAGGPEGDPEDEDSQAETKSLSFSSDSEGN
+FETPETETPIRSPLKESCESHLGLAGSGAKTQDSQEEEEELVAEVVGSCSSAAASRPSGQ
+EAAPPAGGSRPVKDFREEPEHDASKISVVRPFSIESRNCGDVPVAPGTAALLGPAVGVQD
+NEAMTAGAALEADPGAGSPRPEPGAGRSRLRKPRPVPLRKKALGSELSEATPALEDMRPV
+GEDGKAGSQKCAPEMMETPGGDAHDSGAEQPEDARSPPLQCEFDFAEDTETVESRRALPR
+KPGRKPGSRVMPQVHGAKAPPEPGPGASPTLRPRGGPLARQHSPPLSAQGSYRFDPDLFD
+ESSDPFKPSMTFASGDFDSPAGNQVNEILESPKKAKSRLITSGCKVKKYEAQSLALDGCS
+QDEGAVISQISDISNRDGHATDEEKLASTSSVQKPTGAEGKGEPEDDLEYFECSNVPVSA
+INHAFSSSEAGSEKDPCQKMEKDGSTVPALLESPVEKTPVSVACGVESPLDGICLSESDK
+TAVLTLIREEIITKEIEANEWKKKYEETRQEVLEMRKIVAEYEKTIAQMIEDEQRTSMSS
+QKSFQQLTMEKEQALADLNSVERSLSDLFRRYENLKGVLEGFKKNEEALKKCAQDYLARV
+KQEEQRYQALKIHAEEKLDKANEEIAQVRTKAKAESAALHAGLRKEQMKVESLERALQQK
+VRGVGCHAQETFGFPPPPWRPHLGSG
+>tr|F1MWE8|F1MWE8_BOVIN Polypeptide N-acetylgalactosaminyltransferase OS=Bos taurus OX=9913 GN=GALNT14 PE=3 SV=3
+MNIASPLVAVQREPSTVVSLPDPHTLTSSSPTWAQISDGDWDDLWDQFDERRYLNAKKWR
+VGDDPYKLYAFNQRESERIASNRVVPDTRLFRCTLLVYCADLPPTSIIIAFHNEARSTLL
+RTIRSILNRTPMNLIQEIILVDDFSNDPEDCKQLIKLPKVKCLRNNERQGLVRSRIRGAD
+IAQGTTLTFLDSHCEVNRDWLQPLLHRVKEDYTRVVCPVIDIIHLDTFNYIESASELRGG
+FDWSLHFQWEQLTPEQKARRLDPTEPIRTPIIAGGLFVMDKSWFYYLGKYDMDMDIWGGE
+NFEISFRVWMCGGSLEIVPCSRVGHVFRKKHPYIFPDGNANTYIKNTKRTAEVWMDEYKQ
+YYYASRPFALERPFGNIESRLNLRKNLQCQSFKWYLENVYPELRVPKDSSIHKGSIRQRQ
+KCLEAQKQKDQEISNLKLSPCVKTEGKDAKSQIWAFTYTQQILQEELCLSVITLFPGAPV
+VLVLCKNGDKRQQWTKTGSRIEHMASHLCLDTDMFGDGTETSREVVINPCESSLMSQHWD
+LVSS
+>tr|A0A3Q1M1X5|A0A3Q1M1X5_BOVIN Lipase OS=Bos taurus OX=9913 GN=LIPA PE=3 SV=1
+MKMWLWGLLVCLVLGTLPSQASGWKQTPVDPETNMNVSEIISHWGFPSEEHLVVTADGYI
+LCLNRIPHGRKNRSDKGPKPVVFLQHGLLADSSDWVTNLPNSSLGFILADAGFDVWMGNS
+RGNTWSRKHKTLSVSQDEFWAFSFDEMANYDLPASINFILNKTGQEQLYYVGHSQGTTIG
+FITFSRIPELAKKIKMFFALAPVASTEFMTGPVVKLAQIPELFLKDLFGIKEFFPQNTFL
+KWLSTHMCTHVILKELCGNVFFVLCGFNERNLNMSRVAVYATHNPAGTSVQNMIHWLQVV
+KLHKFQAFDWGSSAKNYFHYNQSSPPLYNVKDMLVPTAIWSGGRDWLADDKDIVLLQMQI
+SNLVYHKRIPEWEHLDFIWGLDAPWKLYNEIINLMRKYQP
+>tr|A0A3Q1N308|A0A3Q1N308_BOVIN Methanethiol oxidase OS=Bos taurus OX=9913 GN=SELENBP1 PE=1 SV=1
+IPIVSTATKCGKCGPGYPSPLEAMKGPREELVYLPCIYRNTGTEAPDYLATVDVNPKSPQ
+YSQVIHRLPMPNLKDELHHSGWNTCSSCFGDSTKSRTKLLLPSLISSRVYVVDVATEPRA
+PKLHKVVEPEEIHAKCDLSYLHTSHCLASGEVMISALGDPRGNGKGGFVLLDGETFEVKG
+TWEQPGGAAPMGYDFWYQPRHNVMISTEWAAPNVLRDGFNPADVEAGLYGQHLYVWDWQR
+HERVQTLTLQDGLIPLEIRFLHNPAADQGFVGCALGSNIQRFYKNQGGTWSVEKVIQVPP
+KKVKGWILPEMPSLITDILLSLDDRFLYFSNWLHGDLRQYDISDPKRPRLVGQIFLGGSI
+VKGGPVQVLEDQELKCQPEPLVVKGKRVAGGPQMIQLSLDGTRLYVTTSLYSAWDKQFYP
+DLIREGSVMLQIDVDTVRGGLKLNPNFLVDFGKEPLGPALAHELRYPGGDCSSDIWL
+>tr|A0A3Q1LRQ5|A0A3Q1LRQ5_BOVIN Ecm29 proteasome adaptor and scaffold OS=Bos taurus OX=9913 GN=ECPAS PE=4 SV=1
+MLRCFSVAFLVEIYLSQSGWLILVVVGSDSVFLERVFLRLGHAETDEQLQNIISKFLPPV
+LLKLSSTQEGVRKKVMELLVHLNKRIKSRPKIQLPVETLLVQYQDPAAVSFVTNFTIIYV
+KMGYPRLPVEKQCELAPTLLTAMEGKPQPQQDSLMHLLIPTLFHMKYPVESSKSASPFNL
+AEKPKTVQLLLDFMLDVLLMPYGYVLNESQSRQNSSSAQGSSSNSGGGSGIPQPPPGMSF
+YAAKRVIGDNPWTPEQLEQCKLGIVKFIEAEQVPELEAVLHLVIASSDTRHSVATAADLE
+LKSKQSLIDWNNPAIINKMYKVYLGDIPLKTKEGAVLKPELKRDPVSTRVKLKIVPHLLR
+SRQAAETFPANIQVVYDGLFGTNTNSKLRTLSLQFVHHICVTCPEIKIKPLGPMLLNGLT
+KLINEYKEVRTCVFSFVLFCLMEMFYSNDGLTFFCSRMPHLFTKDIALVQQLFEALCKEE
+PETRLAIQEALSMMVGAYSTLEGAQRTLMEALVASYLIKPEVQVRQVAVKFASTVFPSDH
+IPSRYLLLLAAGDPREEVHGEAQRVLRCLPGRNRKDSASKQMPSFPEMVYYIQEKASHRM
+KTPAKYMTGTTVLPFNPAAFGEIVLYLRMCLAHSAGVVPTSQSLADMQDHAPAIGHYIRT
+LMSGSQATPSSSSSKSGETNPVQIYIGLLQQLLAGVGGLPVMYCLLEAVSVYPEKLATKF
+VDKTEWIKSLMNSSKEEMRELAALFYSVVVSTVSGNELKSMIEQLIKTTKDNHSPEIQHG
+SLLALGFTVGRYLAKKKMKMAEQPNVETHADFLPEQEELIQSATETIGSFLDSTSPLLAI
+AACTALGEIGRNGPLPVPSEGSGFTKLHLVESLLNRIPSSKETNKMKERAIQTLGYFPVG
+DGDFPHQKLLLQGLMDSVEAKQIELQFTIGEAITSAATGTSSVAARDAWLVTEEEYTPPA
+GAKVNDVVPWVLDVILNKHIISPNPHVRQAACIWLLSLVRKLSTHSEVKSHLKEIQSAFV
+SVLSENDELSQDVASKGLGLVYELGNEQDQQELVSTLVETLMTGKRAKHEVSGETVVFQG
+GSLGKTPDGQGLSTYKELCSLASDLSQPDLVYKFMNLANHHAMWNSRKGAAFGFNVIATR
+AGEQLAPFLPQLVPRLYRYQFDPNLGIRQAMTSIWNALVTDKSMVDKYLKEILQDLVKNL
+TSNMWRVRESSCLALNDLLRGRPLDDIIDKLPEIWETLFRVQDDIKESVRKAAELALKTL
+SKVCVKMCDPAKGAAGQRTIAVLLPCLLDKGMMSPVTEVRALSINTLVKISKSAGAMLKP
+HAPKLIPALLESLSVLEPQVLNYLSLRATDQEKAAMDSARLSAAKSSPMMETINMCLQYL
+DVSVLGELVPRLCELIRSGVGLGTKGGCASVIVSLTTQCPQDLTPYSGKLMSALLSGLTD
+RNSVIQKSCAFAMGHLVRTSRDSSTEKLLQKLNGWYMEKEEPIYKTSCALTIHAIGRYSP
+DVLKNHAKEVLPLAFLGMHEIADEEKAEKEECNLWTEVWQENVPGSFGGIRLYLQELITI
+TQKALQSQSWKMKAQGAIAMASIAKQTSSLVPPYLGMILTALLQGLAGRTWAGKEELLKA
+IACVVTACSAELEKPVPGQPSTNEILQAVLKECGRENPKYKMVAISCAGDVLKATKEDRF
+QEFADIVIPLIKKNSPESIGVRTTKNEDENEKEKELQLESLLGAFESLGKAWPRSVETQR
+CYRQELCKLMCERLKLSTWKVQLGVLQAMNAFFQGLMLLEEEHADPEALAEILLETCKSI
+TYSLENKTYSSVRTEALSVIELLLKKLEESKQWASLTPECRVVLIESLGAMETDSRPELQ
+EKASLLKKTLESLQ
+>tr|A0A3Q1MGI0|A0A3Q1MGI0_BOVIN Ubiquitin carboxyl-terminal hydrolase 12 OS=Bos taurus OX=9913 GN=USP12 PE=3 SV=1
+MEILMTVSKLASICTMGANASALEKEIGPEQFPVNEHYFGLVNFGNTCYCNSVLQALYFC
+RPFREKVLAYKSQPRKKESLLTCLADLFHSIATQKKKVGVIPPKKFITRLRKENELFDNY
+MQQDAHEFLNYLLNTIADILQEERKQEKQNGRLPNGNIDSENNSTPDPTWVHEIFQGTLT
+NETRCLTCETISSKDEDFLDLSVDVEQNTSITHCLRMKVKKLPMILALHLKRFKYMDQLH
+RYTKLSYRVVFPLELRLFNTSGDATNPDRMYDLVAVVVHCGSGPNRGHYIAIVKSHDFWL
+LFDDDIVEKIDAQAIEEFYGLTSDISKNSESGYILFYQSRD
+>tr|A0A3Q1LP53|A0A3Q1LP53_BOVIN Nudix hydrolase 6 OS=Bos taurus OX=9913 GN=NUDT6 PE=3 SV=1
+MAKENRLAAVQKWRSEGRVAVWLHIPILQSRFIAPAASLGFCFHHTESDSSMLSLWLGDG
+PSRLPGYATHQVGVAGAVFDENTRKILVVQDRNKLKNMWKFPGGLSEPGEDIGDTAVREV
+FEETGIKSEFRSLLSIRQQHTHPGAFGKSDMYIICRLKPYSFTINFCQRECLKCEWMNLS
+DLVKTKNTTPITSRVARLLLYGYKEGFDKIDLTMEELPAVYTGLFYKLYHKKLPDNYKTM
+TGMD
+>tr|F1MYN8|F1MYN8_BOVIN SON DNA binding protein OS=Bos taurus OX=9913 GN=SON PE=4 SV=3
+MALEHSVRAFGLYDTSESPAVVLEPPVVSMEVSEPHILETLTPATKHTELSVASTSVVSM
+PSEQSVAVMLEPSTTKILDSFATAPVPTTTVVLKSSEPVVTVSVECQMKSVQKSLESTPP
+EPSKIMLLEPPVAKVLELSETLVSSETPTEVHPEPSTSTAMDFPESSATEVLRLPEQPVE
+GPSEIADSSMTRPQEMLELPKTTALELQESSVASVMELPGPPATSMPELQGPPVTPVLEL
+PGPSATPAPELPGPLSTPVPELLGPPATAVPELAGPSVTSVPQLSQELSGLPAPSMGLEP
+PQEVPEPPVMAQELPGLPAVTTAVELPGQPVVTVAMELTEQPVTTTELEQPVGMTAVEHP
+GQPEVTTATGLLGQPEAAMVLELPGQPVATTALELSGQPSVTGVPELPGLPSATRALELS
+GQPVATGALELPGQLMAAGALEFSGQSGAAGALELLGQPLATGVLELPGQPGAPELPGQP
+VATVALEISVQSVVTTELSTMTVSQSLEVPSTTALESYNTVAQELPTTLVGETSVTVGVD
+PLMAQESHMLASNTMETHMLASNTMDSQMLASNTMDSQMLASNTMDSQMLASSTMDSQML
+ATSSMDSQMLATSSMDSQMLATSSMDSQMLATSSMDSQMLATSSMDSQMLATSSMDSQML
+ATSSMDSQMLATSSMDSQMLATSTMDSQMLATSSMDSQMLASGTMDSQMLASGSMDAQML
+ASGTMDAQMLASSTQDSAMLGSKSPDPYRLAQDPYRLAQDPYRLGHDPYRLGHDAYRLGQ
+DPYRLGHDPYRLTPDPYRMSPRPYRIAPRSYRIAPRPYRLAPRPLMLASRRSMMMSYAAE
+RSMMSSYERSMMSYERSMMSPMAERSMMSAYERSMMSAYERSMMSPMAERSMMSAYERSM
+MSAYERSMMSPMADRSMMSMGADRSMMSSYSAADRSMMSSYSAADRSMMSSYTADRSMMS
+MAADSYTDSYTDTYTEAYMVPPLPPEEPPTMPPLPPEEPPMTPPLPPEEPPEGPVLAAEQ
+SALTAENTWSAEVPALAPEESVSLPEPPVSQSEIAEPLAVTANYSVSASEPSVLASEADV
+TVPEPQLEPESSVMSTPVESAAGAEEHEMVAERPVTYMVSETPTTSAEPTVLTSEPSVIS
+ETAETYDSMRASGQTASEVSMSLLEPAVPIAEPSQSTVDLPAMAVPEHPAGIVSETSTVA
+VPEPQAEAVLDPPAAAVQDPPAVAVLDPPAEAVPEPLALSEPEHVTVAVPVVSTLEPTVP
+ILEPVVSILQPNVIVSEPSSCVQESTVTISEPPVTVSEQTQVIPAETVVESTAVILESSV
+IKGMNLLSGDQSIASEIGLQEIAMHSEEEPRAEGLLKSGSNETENCISTDLNINNHLIAQ
+EMECSTVSAASTGAIGEMGEEAVLPTSETKQCTVLDTCPSVSETELGGTLSSVGPLVLES
+EAVGTGKDLEFGTASALSSVSKYDGEVSLTTQDTEHDMVISTSPSGGSEADIEGPLPAQD
+IHPDLSNNFINKDAEGSLPVQESDQMLAAAISPKESSGEDKEVSLTTKEILSDSGFPASI
+DDINEADLVRPLLPKDMERLTNLRAGIEGPLLPSEVERDKSAASPVVISIPEKASESSSE
+EKDDYEIFVKVKDTHEKSKKNKNRDKGEKEKKRDSSLRSRSKRSKSSEHKSRKRTSESRS
+RARKRSSKSKSHRSQTRSRSRSRRRRRSSRSRSKSRGRRSVSKEKRKRSPKHRSKSRERK
+RKRSSSRDNRKTGRARSRTPSRRSRSHTPSRRRRSRSGGRRSFSISPSRRSRTPSRRSRT
+PSRRSRTPSRRSRTPSRRSRTPSRRSRTPSRRRRSRSVVRRRSFSISPVRLRRSRTPLRR
+RFSRSPIRRKRSRSSERGRSPKRLTDLNKAQLLEIAKANAAAMCAKAGVPLPPNLKPAPP
+PTIEEKVAKKSGGATIEELTEKCKQIAQSKEDDDVIVNKPHVSDEEEEEPPFYHHPFKLS
+EPKPIFFNLNIAAAKPTPPKSQVTLTKEFPVSSGSQHRKKEADSVYGEWVPVEKNGEENK
+DDDNVFSSNLPSEPVDISTAMSERALAQKRLSENAFDLEAMSMLNRAQERIDAWAQLNSI
+PGQFTGSTGVQVLTQEQLANTGAQAWIKKDQFLRAAPVTGGMGAVLMRKMGWKEGEGLGK
+NKEGNKEPILVDFKTDRKGLVAVGERAQKRSGNFSAAMKDLSGKHPVSALMEICNKRRWQ
+PPEFLLVHDSGPDHRKHFLFRVLINGSAYQPSFASPNKKHAKATAATVVLQAMGLVPKDL
+MANATCFRSASRR
+>tr|F1N2S0|F1N2S0_BOVIN CD164 molecule like 2 OS=Bos taurus OX=9913 GN=CD164L2 PE=4 SV=3
+MAAPGPRALRAALCGGCCCLLLCAQLAVAGKGARGFGRGALLRVNIWPAVRVACKQLKPC
+EHCVEGNRAHNLSSCVWEQCRPEEPGHCVAQSEVVKEGCSIYNRSESCPAVHHHPTHEPK
+TVTTGQGQHLPDTMRASFNHLLPHRHPCGPPPGTRSGPGLFPWTRLGHCPQ
+>tr|F1MHI9|F1MHI9_BOVIN N-terminal EF-hand calcium-binding protein 3 OS=Bos taurus OX=9913 GN=NECAB3 PE=4 SV=3
+MGGRPPCASPQVRGGGGGGGVQSSEPQPSRSQAGGGTMACAGLLTVCLIRPPAPEPPRPP
+APAPAAGPAGHALFQDVFRRADKNDDGKLSFEEFQNYFADGVLSPGELRELFSGVDGHPA
+DNLETEKLCDYFSEHLGVYRPVLAALESLNCAVLTAMDTTKLEYERASKVDQFVTRFLLR
+ETVSQLQALQSSLEGASDTLEAQAQGPRSDEERVEVPSRPRGSRRAGRRALRSVSRSSTW
+SPGSSNTGQSSEAEMQWRLQINRLQELIDQLECKAPRLEPLHEEELTKGPSSHILVAQRQ
+VQVAEEALQDFHHALCCYVDFTGSQSHCLHVSAQKMLDKASFTLYEFWQDEASWRRHQQS
+ACSKAFQRILIDHLRAPDTLTTVFFPASWWIMNNN
+>tr|A0A3Q1M6Q9|A0A3Q1M6Q9_BOVIN Phosphodiesterase 4D interacting protein OS=Bos taurus OX=9913 GN=PDE4DIP PE=4 SV=1
+MEQAWTRDYFAEDDGEMVPRTSHAAAFLSDTKDRGPPLQSQTWRSGDKIPLGHSLRAFER
+PLQVQTQALRDFEKHLNDLKKENFSLKLRIYFLEERMQQKYEASRDDIYKRNIELKVEVE
+SLKRELQDKRQHPDKTCADAENINSHNEAELRRQFEERQQETEHVYELLENKIQLLQEES
+RLAKNEAARMAALVEAEKECNLELSEKLKGVTKEREDVPGDRVKSDQYTEALAQRDKKIE
+ELSQSLAAQEKLVEQLSQEKQQLLHLLEEPADMEVQPMTEDLLKQQKLNSNETGTTQQSV
+SDSHLAELQEKIQQTETTNKMLQEKLNEMSYELKSAQESSQKQDDTIQNLKETLKSRESE
+TEELYQVIEGQNDTMAKLREMLHQSQLKQLHNSEGTSPAQQQVALLDLQSALFCSQLEIQ
+KLQRAVRQKERQLADARRCVRFVEAAAQEREQQKEASWKHNQELQKALQQLQGELQSKSQ
+QLHTLEAEKYNEIRTQEQHIQHLHYSLSHKEQLLQEFRELLQYRNNSDKTLEANEMLLEK
+LRQRIQDRDVALERAIDEKFSSLEEKEKELRQLHLAMRERDHDLERLRGILSANEATMQS
+MESLLRSKGLEVEQLSATCQNLQWLKEEMETKFSRWQKEQESIIQQLQTSLHDRNKEVED
+LTATLLCKLGPGQTEIVEELCQRLQQKERMLQDLLSDRNKQAVEYEMEIQGLLQSMSTRE
+QESHAAAEKMVQALMERNSELQALRQYLGGKDFMMSQAPLSNRPAEVTSISPHLGEQTDQ
+GSVHIPSRDDSTSLTAKGDASVPRSSLGEVDTVAGLEKELSNAKEELELMAKKERESRME
+LSALQSMVAVQEQELQVQAADMESLTRTIQIKEDLIKDLQMQLVDPEDIPAMERLTQEVL
+LLREKVASVESQGQETSGNRRQQLLLMLEGLVDERSRLNEALQAERQLYSSLVKFHAHPE
+SSERDRTLQVELEGAQVIRNRLEEVLGRSLERLSRLETLAAIGGTAAGDDTEDASTEFTD
+SIEEEAAHNSHQQLIKVALEKSRAAVETQNVSPAPPTLTGGDGNRGLQEEMLHLRAEIHR
+HLEEKRKAEGELKELKAQIEEAGFSSVAHIRNTMLSLCLENAELKEQMGEAMSDGWEIEE
+DKEKGEAMVETVVAKGVLNENSLQAEFRKVQGKLKNARNIISLLKEQLVLSSKEGTSKLN
+PELLVHLAKEINRMNTELVYSPGKHQCLEEEGVTTRPCPRPQSLDLGAALTVDAHQLDNQ
+PQTHDPGPQSEFSFSGSTKHLRSQLAQCKQRYQDLQEKLLISEATVFAQANQLEKYRVMF
+TGGSSVKQDSKQVQVDLQDLGYETCGRSENEAEREESTSPECEEHDSLRETVLMEGLCSK
+QGHLDSTMTSPPGKKPLESQPGKQEELRAYGKSEDISVLRKDIKDLKAQLQNANKVIQNL
+KSRVRSLSVTSDYSSSLERPRKLKAVGALEGTSPHSVTDEDEGWLSDGTGAFYPPGLQAK
+KDLESLIQRVSQLEAQLPKTGTEGKLAEELRSASWPGKYDSLIQDQARELSYLRQKIREG
+RGICYLLTQHSKDTVKSFEDLLRSNDIDYYLGQSFREHLAQGSQLTERLTSKLSTKDHKS
+EKDQAGLEPLALRLSRELQEKEKVIEVLQAKLDARSLTPCSSHALSDSQRSPSSSSFLSD
+ELEACSDMDIASEYTHYEEKKASPGHSGVTSVHPASPATLPTNHTEARSSHYLNPPQPLC
+PPRGTTDLGRILEPGYLGSSGQWDVMRPQKGSISGDLSSGSSMYQLNSKPTGADLLEEHL
+GEIRNLRQRLEESICINDRLREQLENRLSSTARESGSTSNFYSPGLESTPQLSNENRVLR
+EENHRLQAQLSHVSREHSQETECLREALLTSRSRLQELEMELEHQKVDRQQLLEDLKEKQ
+QEILHFQEERLSLQEKDSRLQRKLALLQQQCEEKQQLFQSLQSELQIYEALYGDSKKTLK
+AYTWDACHQVPLSSDLSHLVAEIRALRGQLEQSIQVNNCLRLQLEQQLDGGASKAGLSSS
+SVNQKFPTNTDPGNKQPFFQDSVASPPVRDVGMNSPVLVFPSSSSAAPGPETTTFSRTNE
+LGLDASPVMKNPRKLEGDATDGSFANKHGRHVIGHIDDYSALREQIGEGRLLVKKIASLV
+RPTCSFSGLEAPGIEVMGSEGIQELRSSATALHHRLEESASLLTMFWRAALPSSPGPVLV
+DKVGESMKKELLELRTKLSKQESLLQSTSERLKTANQQKESMEQFIFSQLTRTHDVLKKA
+RTNLEVKSLRALPCTPVL
+>tr|G3N258|G3N258_BOVIN Trinucleotide repeat containing 6A OS=Bos taurus OX=9913 GN=TNRC6A PE=4 SV=2
+MACNRGTLYWLIFSTPFLLLGLVPEQIKPSVSQPQPANSNNGTSTATSTNNNAKRATANN
+QQQQQQQPQPQQQQPQQPQQPQPPPQALPRYPREVPPRFRHQEHKQLLKRGQHFPVIAAN
+LGSAVKVLSSQSESSALTNQQPQNNGEVQISKNQSDTNHNTPGSHYENSERGPVSSTSDS
+RTNCKNAVVNDSPEKEAWPSAPGSDPELVSECMDADSASSSESERPITIMASGSTGGEND
+GLRNSTGLGSQNKFVVGSSSNNVGHGSSTGPWGFSHGAIISTCQASVDAPESKSESSNNR
+MNAWGTVSSSSNGGLNPSTLNSASNHGAWPVLENNGLALKGPVGSGSSGINIQCSPLGQM
+PNNQSINSKVGGASTHGTWGSLQETCESEVSGTQKVSFSGQPQNITTEMTGPNNTTNFMT
+SSLPNSGSVQNNELPSNTGAWRVSTMNHPQIQAPSVVNGTSLSHLSNGESKSGGSYGTTW
+GAYGSNYSGDKCSSPNGQANGDTVNATLMQPGVNGPMGTNFQVNTNKGGGVWESGAVNSQ
+SASWGNGANSGGSRRGWGTPAQNTGTNTPGVEWNKLPGNQHSSDSANGNGKKFTNGWKST
+EEEDQGSAASQTNEQSSVWAKTGGTVESEGSTESTGRLEEKATGENQSRDRRKMDQHTLL
+QSIVNRTDLDPRVLSNSGWGQTPIKQNTAWDTETSPRGERKTDNGTEAWGSSATQTFNSG
+ACVDKTSPSSNDTSSVSGWGDPKPALRWGDSKGSSCQGGWEDDSAATGMVKSNQWGNCKE
+EKSAWNDSQKNKQGWGDGQKSNQGWSVSAGDNWGERSNHWGEANKKSSSGGSDSDRSVSG
+WNELGKTSSFTWGNNINPNNSSGWDESSKPNPSQGWGDPPKSNQSLGWGDSSKPVSSPDW
+NKQQDIVGSWGIPPATGKPPGTGWLGGPMPAPAKEEEPTGWEEPSPESIRRKMEIDDGTS
+AWGDPSKYNYKNVNMWNKNVPNGSSRSDQQAQVHQLLPSAGTISNKEASSGSGWGEPWGE
+TSTPATTVDNGTSAWGKPVDSGPSWGEPIAAASSTSTWGSSSVGPQTLNKSGPKSMQDGW
+CGDDMPLPGNRPTGWEEEEDVEIGMWNSNSSQELNSSLNWPPYTKKMSSKGLSGKKRRRE
+RGMMKGGNKQEEAWINPFVKQFSNISFSRDSPEENVQSNKMDLSGGMLQDKRMEIDKHSL
+NIGDYNRTVGKGPGSRPQISKESSMDRSPYFDKDGIVADESQNMQFMSSQSMKLPPSNSA
+LPNQALGSIAGLGMQNLNSVRQNGNPSMFGVGNTAAQPRGMQQPPAQPLSSSQPSLRAQV
+PPPLLSPQVPVSLLKYAPNNGGLNPLFGPQQVAMLNQLSQLNQLSQISQLQRLLAQQQRA
+QSQRSVPSGNRQQQDQQGRPLSVQQQMMQQSRQLDPNLLVKQQTPPSQQQSLHQPAMKSF
+LENVMPHTTPELQKGPSPINAFSNFPIGLNSNLNVNMDMNSIKEPQSRLRKWTTVDSISV
+NTSLDQNSSKHGAISSGFRLEESPFVPYDFMNSSTSPASPPGSIGDGWPRAKSPNGSSSV
+NWPPEFRPGEPWKGYPNIDPETDPYVTPGSVINNLSINTVREVDHLRDRNSGSSSSLNTT
+LPSTSAWSSIRASNYNAPLSSTAQSTAARNSDSKLTWSPGSVTNTSLAHELWKVPLPPKN
+ITAPSRPPPGLTGQKPPLSSWDNSPLRVGGGWGNSDARYTPGSSWGESSSGRITNWLVLK
+NLTPQIDGSTLRTLCMQHGPLITFHLNLPHGNALVRYSSKEEVVKAQKSLHMCVLGNTTI
+LAEFASEEEISRFFAQSQSLTPSPSWQSLGSSQSRLGSLDCSHSFSSRTDLNHWNGAGLS
+GTNCGDLHGTSLWGTPHYSTSLWGPPSSSDPRGISSPSPINAFLSVDHLGGGGESM
+>tr|A0A3Q1NB55|A0A3Q1NB55_BOVIN Sidoreflexin OS=Bos taurus OX=9913 GN=SFXN1 PE=1 SV=1
+MIVNGRTFCLRLKSRKMSGELPPNINIKEPRWDQSTFIGRAKHFFTVTDPRNILLTNEQL
+EAARKVVHDYRQGIIPSGLTENELWRAKYIYDSAFHPDTGEKMILIGRMSAQVPMNMTIT
+GCMMTFYRTTPAVLFWQWINQSFNAVVNYTNRSGDAPLTVNELGTAYVSATTGAVATALG
+LNALTKHVSPLIGRFVPFAAVAAANCINIPLMRQRELKVGIPVTDENGNRLGESANAAKQ
+AITQVVVSRILMAAPGMAIPPFIMNTLEKKAFLKRFPWMSAPVQVGIVGFCLVFATPLCC
+ALFPQKSSMSVTSLEAELQARIRETYPELRRVYFNKGL
+>tr|A0A452DJK5|A0A452DJK5_BOVIN Pituitary adenylate cyclase-activating polypeptide type I receptor OS=Bos taurus OX=9913 GN=ADCYAP1R1 PE=3 SV=1
+MRGGRHWPEPPCRLRSVMASIAQVSLAALLLLPMATAMHSDCIFKKEQAMCLEKIQRVND
+LMGLNDSSPGCPGMWDNITCWKPAHVGEMVLVSCPELFRIFNPDQGEFGFADSKSLDLSD
+MRVVSRNCTEDGWSEPFPHYFDACGFEEYESETGDQDYYYLSVKALYTVGYSTSLVTLTT
+AMVILCRFRKLHCTRNFIHMNLFVSFMLRAISVFIKDWILYAEQDSNHCFVSTVECKAVM
+VFFHYCVVSNYFWLFIEGLYLFTLLVETFFPERRYFYWYIIIGWGTPTVCVSVWAMLRLY
+FDDTGCWDMNDNTALWWVIKGPVVGSIMVNFVLFIGIIVILVQKLQSPDMGGNESSIYFS
+CVQKCYCKPQRAQQHSCKMSELSTITLRLARSTLLLIPLFGIHYTVFAFSPENVSKRERL
+VFELGLGSFQGFVVAVLYCFLNGEVQAEIKRKWRSWKVNRYFTMDFKHRHPSLASSGVNG
+GTQLSILSKSSSQIRMSGLPADNLAT
+>tr|F1MFB3|F1MFB3_BOVIN Gem-associated protein 6 OS=Bos taurus OX=9913 GN=GEMIN6 PE=4 SV=3
+MSLTLNKRDDMEHGFTSFSKVVNKEEDKFEDAYEIIPAAATMDLVSSLEECTTGLYLFLN
+NRFSDAIHLIYPWSKNSIYHAVIYSILMVVKAFLTFDPQDIEIGMTATKEALRVCNNFRR
+KSRMINFSRLVSRQGIKAIKEEELHAEVCYAECLILKSTVMFIQDDSMLSFLKSGINIGL
+SYQIYKDCQQVLTQIPDYQSKTYRHLVGGIKFGLGVFNLLLSLVPPRTLKLLNVVGYSGD
+REVGLTLLNESASESHINNIFSVLILLFYYNYLSIAFSVERNHNSAVENLFLISLQKFPN
+CVILKFFHARFSMLKGYFKHAQLTLEECIFIQNEWNQLHHLCYWELMWCHIFLLEWKQAY
+HYAHVLVQNSRWSKSVYSFTKASLLAVLPPDFAKSVSEDMSSLFLSVDSLRIRILGTSVP
+IEKFVAEKGQRYGTTAGWFTAQPILELMYAWSGFRVISKKLELISTWLSIIDKGEELLQE
+TPNKEYVIDDISLLNLLKGLCLKYLGKYSMAEYYFSHVIQKEKLLKYDHYLVPYSYYELG
+ILYYLKGDYASATKTLENIKNYKDYSMEARLQFRAHIALEQIAKEKVI
+>tr|A0A3Q1LUN6|A0A3Q1LUN6_BOVIN Guanine monophosphate synthase OS=Bos taurus OX=9913 GN=GMPS PE=4 SV=1
+MLSAGNCAVLNRDLDLSQISSHLLRYSPRLEKLLQTKRMLENAGGDLKDGHHHNEGAVVI
+LDAGAQYGKVIDRRVRELFVQSEIFPLETPAFAIKEQGFRAIIISGGPNSVYAEDAPWFD
+PAIFTIGKPVLGICYGMQMMNKVFGGTVHKKSVREDGVFSISVDNTCSLFRGLQKEEIVL
+LTHGDSVDKVADGFKVVARSGNIVAGIANESKKLYGAQFHPEVGLTENGKVILKNFLYDI
+AGCSGTFTVQNREIECIREIKEGVGTSKVLVLLSGGVDSTVCTALLNRALNQDQVIAVHI
+DNGFMRKRESQSVEEALRKLGIQVKVINAAHSFYNGTTTLPISDEDRTPRKRISKTLNMT
+TSPEEKRKIIGDTFVKIANEVIGEMNLKPEEVFLAQGTLRPDLIESASLVASGKAELIKT
+HHNDTELIRKLREEGKVIEPLKDFHKDEVRILGRELGLPEELVSRHPFPGPGLAIRVICA
+EEPYICKDFPETNNILKIVADFSASVKKPHTLLQRVKACTTEEDQEKLMQITSLHSLNAF
+LLPIKTVGVQGDCRSYSYVCGISSKDEPDWESLIFLARLIPRMCHNINRVVYIFGPPVKE
+PPTDVTPTFLTTGVLSTLRQADFEAHNILRESGYAGKISQMPVILTPLHFDRDPLQKQPS
+CQRSVVIRTFITSDFMTGIPATPGNEIPVEVVLKMVTEIKKIPGISRIMYDLTSKPPGTT
+EWE
+>tr|A0A3Q1MQE3|A0A3Q1MQE3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+LLCPRWQTSLSSAPMPAEGWVSLRAALWLLGLCAVLAPVQCSPDRPSWRYISTEVVIPRK
+ELHQGKGAQVLGWLSYSLRFRGQRHVIHMRRKRLFWPGYLMMTQDDQGVLQTDHPFVPED
+CYYFGYLEEIPFSTVTINTCYGGLQGYMKLDDLAYEISPLKHSRKFEHTLSQMVADASTM
+GPMPRLGYEEERDPLLSPANITAAPRMSSKLYMFHEALMKGLCQSTNAFYRTANNVSETV
+QYMVDVGNIIDAVYRGLETRFYISVMHIYNVRDPVSTASLRRGSAYFQFYSRTLFPAFHP
+HTAVVFSTAEPPDGVYVPGLYSFCHSGGLVPIATSGKNTLTVALYVCFLIGRSIGLYYDY
+PDCVCQRRTTCIMNGYQSLTDAFSNCSYGHLQHIVMRDRSSCMFHPEPVYYNKSMTHERC
+GNKEVENEEQCDCGSFKECYSNPCCDNLCSFTEASICDADTCCTNCTFSKPGTLCRPIRS
+MCDLPEYCRGISKTCPRDVFMQDGTPCGEEGYCFKGTCTDRSVHCKEIFGRGALNAPDQC
+YTINRKAYRFGFCRRTSWSVRFIACSQQDQLCGRLQCTNVSFLPPLQEHVGFHQTHTLEA
+LCFGLDLHRGQGAVDYGQVKNGALCAPGKYCLNTFCNGSVSKMEYTCFPQKCNSRGVCNS
+EDNCHCHLGWAPPFCENTGDGGSEDSGPPPRLFRSVPYDETILVYVRLVFGRLYALIAAV
+LFGVATNVKTIHTSKIKEETVHPV
+>tr|E1BHJ7|E1BHJ7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC112446726 PE=4 SV=1
+MSSEDPRDCSESQSFFEDVLEYFQGSVRWEQLQFLLTEDKPWENFVTEADLSREEADVLY
+ECLIKLQTDLAGEDQDRLQKYQQEKERFLKEFPQVKEELKESIRKLHELADNVDKVHSDC
+TISNVVASSTGIASGTLSILGLVLAPFTAGLSLGLSAAGIGLGAAAAVTGLSTMVVENVH
+MSSAESQASLLDIAKIISYVYDLYQVKVFGSHIRAIRVARGNPQLVATAQRYITTGRISL
+RSARQVRRHFRGTALAMTRAARVRRGVLSGLFMGLDVYSLVKDAQDVQEGAKTALAENMR
+QKARELEKKLEELTWIYECSRARLGHP
+>tr|A0A3Q1MA95|A0A3Q1MA95_BOVIN Voltage-dependent L-type calcium channel subunit beta-2 OS=Bos taurus OX=9913 GN=CACNB2 PE=4 SV=1
+LQLFCDSYTSRPSDSDVSLEEDREAVRREAERQAQAQLEKAKTKPVAFAVRTNVSYSAAH
+EDDVPVPGMAISFEAKDFLHVKEKFNNDWWIGRLVKEGCEIGFIPSPVKLENMRLQHEQR
+AKQGKFYSSKSGGNSSSSLGDIVPSSRKSTPPSSAIDIDATGLDAEDNDIPANHRSPKPS
+ANSVTSPHSKEKRMPFFKKTEHTPPYDVVPSMRPVVLVGPSLKGYEVTDMMQKALFDFLK
+HRFEGRISITRVTADISLAKRSVLNNPSKHAIIERSNTRSSLAEVQSEIERIFELARTLQ
+LVVLDADTINHPAQLSKTSLAPIIVYVKISSPKVLQRLIKSRGKSQAKHLNVQMVAADKL
+AQCPPELFDVILDENQLEDACEHLADYLEAYWKATHPPSSSLPNPLLSRTLATSTLPVSP
+TLASNSQGSQGDQRTDRGAPGRSASQAEEEHCPEPVKKAQHRSSTQHHNHRSGTSRGLSR
+QETLDSETQESRDSAYAEPKEEYSHEHADHYAPHRDHNHREEPHGGGEHRHREPRHRSRD
+PDREQDHNESNKQRSRHKSKDRYCDKDGEGLSRRRNEAADWNRDVYIRQ
+>tr|A0A3Q1M715|A0A3Q1M715_BOVIN Mitochondrial Rho GTPase OS=Bos taurus OX=9913 GN=RHOT1 PE=3 SV=1
+MPAGRGRPLRAADMKKDVRILLVGEPRVGKTSLIMSLVSEEFPEEVPPRAEEITIPADVT
+PERVPTHIVDYSGSKCLLAGEANVICIVYAVNNKLPLILVGNKSDLVEYSSMETILPIMN
+QYTEIETCVECSAKNLKNISELFYYAQKAVLHPTGPLYCPEEKEMKPACIKALTRIFKIS
+DQDNDGTLNDAELNFFQRICFNTPLAPQALEDVKNVVRKHISDGVADGGLTLKGFLFLHT
+LFIQRGRHETTWTVLRRFGYDDDLDLTPEYLFPLLKIPPDCTTELNHHAYLFLQSTFDKH
+DLDRDCALSPDELKDLFKVFPYIPWGPDVNNTVCTNEKGWITYQGFLSHLFIDQMLHKNN
+KYLSECMWYIISFLFSFLVTRDKKIDLQKKQTQRNVFRCNVIGMKNCGKSGVLQALLGRN
+LTRQKKIRDDHKSYYAINTVYVYGQEKYLLLHDISESEFLTEAEILCDVVCLVYDVSNPK
+SFEYCARIFKQHFMDSRIPCLIVAAKSDLHEVKQEYSISPTDFCRKHKMPPPQAFTCNTA
+DAPSKDIFVKLTTMAMYPHVTQADLKSSTFWLRASFGATVFAVLGFAMYKALLKQR
+>tr|A0A3Q1MW36|A0A3Q1MW36_BOVIN Carbonic anhydrase 8 OS=Bos taurus OX=9913 GN=CA8 PE=3 SV=1
+MRQCFQELHLIHWNSTLFGSIDEAVGKPHGIAIIALFVQIGKEHVGLKAVTEILQDIQYK
+GKSKTIPCFNPNTLLPDPLLRDYWVYEGSLTIPPCSEGVTWILFRYPLTISQLQIEEFRR
+LRTHVKGAELVEGCDGILGDNFRPTQPLSDRVIRAAFQ
+>tr|G3N0N0|G3N0N0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=2
+RTTLWPAWNFSNRTLVPGRNKATIRSVKLFFTVEKSSELERRQDSLQPHRHACEDEGVLT
+SEGFCEFSKHRKASTQKLNLIHQKVHTEEGPYECSQCGKFFSHRFRFLAHQRVRCVARLY
+DCNECGKSFSRRKNLTAHRKIHSGENAYECKQCNKSFTQKFNLIEHQRVHTGEKPYQCSQ
+CGKSFAHKSSCLAHQRVHTGERPYECSECGKSLTNRSSLSYHLRLHTGEKPYECNECGKC
+FTTRSMLCNHQRVHSGERPFECSECGKFFSRNEQLSDHKNVHTGEKPYECNKCEKPFTSS
+SSLRHHQRVHTGEKSYECNKCWKSFTGSSSLRYHRRVHTGERPYKCSECGKSFAARTGLR
+HHERVHSGERPYECCQCGKLFSGISSLHYHCRVHSGEKTL
+>tr|A0A3Q1MMW0|A0A3Q1MMW0_BOVIN Adhesion G protein-coupled receptor L4 OS=Bos taurus OX=9913 GN=ADGRL4 PE=3 SV=1
+MRLLPLLVGFSTLLNCCCTQNCTKTPCHPNAKCEIRNGREGCYCNTGYSGNGVTICEEIV
+NPDCHLDNACVAENINKTLTKIRHIEEPVALLREVYRNSLKDLSPMDIIAYTEVLAESSP
+LLGYMNSSNSAKDTSSNSTLTEFVKTLNNFVQKDTFTVWDKLSTNQRITHLTKLIHTAEQ
+ATLRISQNFQKTTQFDTNSSDIALKAFFFDSHHIKYIHPHMNMDGDNIKIFPKRKTAYDS
+NGSVAVAFLYYKSIGPLFSSSDNLLEPQSYDKAEEERRVISSVISLSISSNPPTLYELEK
+VTFTLSHIKTTDKYKSQCAFWNYLPDTMNGSWSSEGCELTHSNDTHTSCRCNHLTHFAIL
+MSSGSSIGIKDYNILTRITQLGIIISLICLALCIFTFWFFSEIQSTRTTIHKNLCCSLFL
+AELVFLVGINTNTNKLFCSIVAGLLHYFFLAAFAWMCIEGIHLYLIVVGVIYNKGFLHKN
+FYIFGYLSPAVVVGFSASLGYRYYGTTKVCWLSTENNFIWSFIGPACLIILVNLLAFGVI
+IYKVFRHTAGLKPEVSCYENIRSCARGALALLFLLGTTWIFGVLHVVHASVVTAYLFTVS
+NAFQGMFIFLFLCILSRKIQEEYYRLFKNVPCCFGCLR
+>tr|F2Z4I2|F2Z4I2_BOVIN AP-3 complex subunit mu-1 OS=Bos taurus OX=9913 GN=AP3M1 PE=3 SV=1
+MIHSLFLINCSGDIFLEKHWKSVVSQSVCDYFFEAQEKAADVENVPPVISTPHHYLISIY
+RDKLFFVSVIQTEVPPLFVIEFLHRVADTFQDYFGECSEAAIKDNVVIVYELLEEMLDNG
+FPLATESNILKELIKPPTILRSVVNSITGSSNVGDTLPTGQLSNIPWRRAGVKYTNNEAY
+FDVVEEIDAIIDKSGSTVFAEIQGVIDACIKLSGMPDLSLSFMNPRLLDDVSFHPCIRFK
+RWESERVLSFIPPDGNFRLISYRVSSQNLVAIPVYVKHSISFKENSSCGRFDITIGPKQN
+MGKTIEGITVTVHMPKVVLNMNLTPTQGSYTFDPVTKVLTWDVGKITPQKLPSLKGLVNL
+QSGAPKPEENPSLNIQFKIQQLAISGLKVNRLDMYGEKYKPFKGVKYVTKAGKFQVRT
+>tr|A0A3Q1MUG3|A0A3Q1MUG3_BOVIN Tetraspanin OS=Bos taurus OX=9913 GN=TSPAN5 PE=3 SV=1
+MSGKHYKGPEVSCCIKYFIFGFNVIFWFLGIAFLGIGLWAWNEKGVLSNISSITDLGGFD
+PVWLFLVVGGVMFILGFAGCIGALRENTFLLKFFSVFLGIIFFLELTAGVLAFVFKDWIK
+DQLYFFINNNIRAYRDDIDLQNLIDFTQEYWQCCGAFGADDWNLNIYFNCTDSNASRERC
+GVPFSCCTKDPACVWDEQIVIYTKGCVPQFEKWLQDNLTIVAGIFIGIALLQIFGICLAQ
+NLVSDIEAVRASW
+>tr|F1N7X5|F1N7X5_BOVIN Aquaporin 9 OS=Bos taurus OX=9913 GN=AQP9 PE=3 SV=3
+MQPEMEQKKKSLKQRLVLKNTLAKETLSEFLGTFIMIVLGCGSVAQAVLSRGHFGGIITI
+NTGFSMAVAMAIYVSAGVSGGHINPAVSFALCLFGRMKWFKFPFYVGAQFLGAFAGAATL
+FGIYYDAFMSFAGGKLLIVGENATAHIFATYPAPYLSLVNAFAEQVVATMFLLIVIFAIF
+DSRNLGVPRGLEPVVIGFLIVTIASSLGMNSGCAMNPARDLSPRLFTALAGWGFEVFTAG
+NNFWWIPVVGPLVGAAAGGFVYFLLIEIHHPDLNPDLEAEQPEDKPEKYELNAIM
+>tr|A0A3Q1LJP9|A0A3Q1LJP9_BOVIN GRIP1 associated protein 1 OS=Bos taurus OX=9913 GN=GRIPAP1 PE=4 SV=1
+MAQALSEEEFQRMQGWGDEGPEWVIPLILVGERTRGGERVIPLDHVERRSPGSGKAQLLE
+LRTNNYQLSDELRKNGVELTSLRQKVAYLDKEFSKAQKALSKSKKAQEVEGLLSENEMLQ
+AKLHSQEEDFRLQNSTLMAEFSKLCSQMEQLERENQQLKAGAAREGAAQAGSLVDGELLR
+LQAENTALQKNVAALQERYGKEAGRPPAASEGEGDPPGGPASPVVAPMPLAEVELKWEME
+KEEKRLLWEQLQGLELSEKLKKKQESFCRLQTEKETLFNDSRNKIEELQQRKEADLKAQL
+ARTQKLQQELEAANQSLAELRDQRQGERLEHAAALRALQDQVSLQSADAQEQVEGLLTEN
+NALRTSLAALEQIQTAKTQELNMLREQTAGLTAELQQRQTQYEDLMGQKDDLNCQLQESL
+RANSRLLEQLQELGQEKEQLIQELQEARKSAEKRKAMLDELAMETLQEKSQHKEELGAVR
+LRHEKEVLGVRARYERELRELHEDKKRQEEELRGQIREEKARTRELETLQQTVEELQAQV
+HSMDGAKGWFERRLKEAEESLQQQQQEQEEALRQCQEQHTRELKSKEEELQGVQEQLRQA
+QEERDCHLKTINSLKQEVKDTVDGQRILEKKGSAALKDLKRQLHLERKRADKLQERLQDI
+LTNSKSRSGLEELVLSEMNSPSRTQTGDSSSVSSFSYREILREKESSTVPARSLSSSPQA
+QPPRPAELSDEEVAELFQRLAEMQQEKWMLEEKVKHLEVSSASMAEDLCRKSAIIETYVM
+DSRIDVSVAAGHTDRSGLGSVLRDLVKPGDENLREMNKKLQNMLEEQLTKNMHLHKDMEV
+LSQEIVRLSKECVGSPDPDLEPGEAS
+>tr|E1BMB0|E1BMB0_BOVIN X-ray radiation resistance-associated protein 1 OS=Bos taurus OX=9913 GN=XRRA1 PE=4 SV=2
+MASAELYKLDDGKPHLSNCFPAKNLLRTPEEAGRGHWLVARKGGLKKKPKNVAETTAEGQ
+EGQKVSFEVEGKKGSRQENQVDTPGHMLDLAFLLKHHCVKDPSDLCSINVSGLKFSKAKE
+KDFKHFNSVIYINASENLLPLEAFHTFPVLKELELAFNGIKTVYVKYGDFKSLEFLDLSF
+NSLTAEAICDLGILPHLRVLLLTGNGLTSLPPSLAVAEQEASVTSLTTKRYILRFPALET
+LMLDDNKLSNPNCFVSLAGLKRLKKLSLDQNRIFRIPYLQQVQLRDGSGDWVGGRGSPRK
+QPQSMLQSKSWIYEASDDQPDYTILPMKKDVDRTEVVFSSYPGFSTSETTKVCALPPIFE
+ILPVKSLKARNQTLAPPFPELRYLSLAYNKIAKEDAILPVALFPSLCELIFHNNPLVAHT
+RGVPPLLKSFLQERLGIHLIRRKIVKAKHHILMPRKDSRKVKTQVPKVPKQPMILPHPSV
+MIKSPSKEMLESEAELTMEPPPTKTISVEREMPIEGLGGPPMPHRTFVPLPPICSDSTVH
+SEETSPRSDAAGRLSADQLSDEDTKSTESIFLTQVSGLSSSIFQRDDSEIKEKEQRPPST
+APREAKRTQKKPPSASFPRKYHGYEELLTAKPDPTFVEPKGIQKNAQALQHMLKHPLVYR
+SSKPRLDTLQKHYVPKEKRTRRIPVPPRRKTRAQLLDDILIRMRDTQNITEAPLGAVLRQ
+RTEQRLVNQKQFLEAKKLLKEFRARYRRLVRCSLRSVFGTTAPPQARPALSGSQPKLGRF
+LEFMDEFYQEPTASDLKG
+>tr|F1MRI2|F1MRI2_BOVIN Mitochondrial import inner membrane translocase subunit Tim21 OS=Bos taurus OX=9913 GN=TIMM21 PE=4 SV=2
+MICTLLRAVRCTERLHGCPGKPWFLPHSVPHRACSQTEPRWRWGLQEQNTTARPRCIWGV
+TQRSIWTQVRSPQSAKEDGSKQVSVHRSQGGETVLSTSQKVKEAGRDFTYLIVVLIGISI
+TGGLFYTIFRELFSSSSPNKIYGKALEKCRSHPEVISVFGEPVKGYGEVTRRGRRQHVSF
+IEYKKDGLKHMRVKFYIQGSEPGKQGTVHLEVKENPESEYIMRSPGLDEAQAGIKIAGRN
+ISNLRYADDTTLMAESKEKLKSLLMELKEENEKAGLKFNIQKIKIVTSSPLTSWQIDGET
+METVRGFIFLGSKISADGDCSHEIKRCMLFERSYDKLRQHIKKQRHYFTHKGPSSESYCF
+FQESCMDVSVGP
+>tr|F1N2J6|F1N2J6_BOVIN Myocardial zonula adherens protein OS=Bos taurus OX=9913 GN=MYZAP PE=4 SV=2
+MLRSTSTVTLLSGSGSGAPSRRANVCRLRLTVPPENPVPEPSEKKVERREQHRDLSNGES
+TRKLPQGVVYGVVRRSDQNQQKEMVVYGWTTNQLKEEMNYIKDVRATLEKVRKRMYGDYD
+EMRQKIRQLTQELSVSQAKQDYLENHIQTQSSALDSFNAMNAALASDSISLQKTLVDVTL
+ENSNIKDQIRNLQQTYTASMDKLREKQRQLDAAQVENKLLKMKVECSQQANAEVMREMTR
+KLYSQYEEKLQEEQQRHNAEKEALLEETNSFLKAIEEANKKMQAAEISLEEKDQRIGELD
+RLIERMEKERHQLQLQLLEHETEMSAEITDSNKERYQQLEEASASLRERIRHLDDMVHCQ
+QKKVKQMVEEIESLKKKVQQKQLLILQLLEKISFLEGENNELQSRLDYLIETQSKTEVET
+REVGVGCDLLPSQTGRTREISMPSRNYTPYTRVLELTTKKTLT
+>tr|G5E5E3|G5E5E3_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=OR4C16 PE=3 SV=2
+MKLNNNVTEFILLGLSQDPVRKKIVFVTFLFLYLGMLLGNFLIISTIKTSRTLGSPMYFF
+LFHLSLSDTFLSTSIAPRVIVDALREKSTISFNECMIQVFASHFFGCLEIFILILMAVDR
+YVAICKPLRYITLMSHRVCGVLVTVAWVGSCVHSSAQILLALSLPFCGRNVIDHYFCDLQ
+PLLKLACTDTYATNLLLVSNSGAICTVSFVMLMFSYAIILHSLRNHSAEGRKKALSTCIS
+HIIVVILFFGPCIFIYTRPATTFPMDKMIAVFYTVGTPLINPLTYSLRNTEVKNAMRMLW
+GKKLITGDKI
+>tr|E1BKP7|E1BKP7_BOVIN Muscle associated receptor tyrosine kinase OS=Bos taurus OX=9913 GN=MUSK PE=3 SV=3
+MREFVNIPLVHILTLVAFSGTEKLPKAPVITTPLETVDALVEEVATFMCAVESYPQPEIS
+WTRNKILIKLFDTRYSIRENGQLLTILSVEDSDDGIYCCIANNGVGGAAESCGALQVKMK
+PKITRPPINVKIIEGLKAVLPCTTMGNPKPSVSWIKGDSALRENSRTAVLESGSLRIHNV
+QKEDAGHYRCVAKNSLGTAYSKLVKLEVEVFARILRAPESHNVTFGSFVTLRCTATGIPV
+PTITWIENGNSVSSGSIQESVKDRVIDSRLQLFITKPGLYTCIATNKHGEKFSTAKAAAT
+ISIAEWSKPQKDNKGYCGQYRGEVCNAVLAKDALVFFNNSYADPEEAQELLVHAAWNELK
+AVSPFCRPAAEALLCNHIFQECNPGVVPTPMPICREYCLAVKELFCAKEWLVVEEKTHRE
+LYRSGMLLFSMPDCNKLPSMHWDPMACTRLPYLAFPPMTSSKPSVDIPNAPSSSSPSFSV
+SPAYSMTVIISIMSSFAVFVLLTITTLYCCRRRKQWKNKKRESAAVTLTTLPSELLLDRL
+HPNPMYQRMPLLLNPKLLSLEYPRNNIEYVRDIGEGAFGRVFQARAPGLLPYEPFTMVAV
+KMLKEEASADMQADFQREAALMAEFDNPNIVKLLGVCAVGKPMCLLFEYMAYGDLNEFLR
+SMAPHPGRGLSPSDLPPGSQASSPGPPPLSCAEQLCIARQVAAGMAYLSERKFVHRDLAT
+RNCLVGENMVVKIADFGLSRNIYSADYYKANENDAIPIRWMPPESIFYNRYTTESDVWAY
+GVVLWEIFSYGLQPYYGMAHEEVIYYVRDGNILSCPENCPLELYNLMRLCWSKVPADRPS
+FSSIHRILERMCERAEGRGGV
+>tr|G3MX37|G3MX37_BOVIN Zinc finger CCCH-type containing 18 OS=Bos taurus OX=9913 GN=ZC3H18 PE=4 SV=2
+MDVAESPDRDPRSPEDEEEEQQGLSDDDILRESGSEQDLDAAGGRASDLEDEESVAPGLS
+QEDEESRSDEDDRDSEAQELSRGPASPPRAEGDCASDLRDEASSVTRDLDEHELDYDEEV
+PEEPAPGAQEDDAEKAGPEDDEERGEGAPGEEGKVGVRTVEDKEPPEAAKEKRKEDDDGE
+IDDGEIDDDDLEEGEVKDPSDRKVRPRPTCRFFMKDVVTPLSTPPPISNSIPFRGQVKGN
+CTWGMNCRFIHPGVNDKGNYSLITKAEPFPPNGAPPLGPHPLMPANPWGGPVVDEILPPP
+PPEPPTESAWERGLRHAKEVLKKATIRKEQEPDFEEKRFTVTIGEDEREFDKENEVFRDW
+NYRITRDVRDTALEPYADPYYDYEIERFWRGGQYENFRVQYTEAEPYHNYRERERERERE
+NRQRERERERERDRERERRQRERERERERERDKERQRRKEEWERERAKRDEKDRQHRDRD
+KEREKDKEKPKARSPQPPSRQAEPPKKETVSTGPQVKRADEWKDPWRRSKSPKKKLGVSV
+SPSRARRRRKTSASSASASNSSRSSSRSSSYSGSGSSRSRSRSSSYSSYSSRSSRHSSFS
+GSRSRSRSFSSSPSPSPTPSPHRPARAKGEPAPPPTKAGEKLLKKPAPPPALPQAPKTTT
+APEPTKPGGDPREARRRERQARSPPRRRTVSGSGSGSSYSGSSSRSRSLSVSSVSSVSSA
+TSSSSSAHSVDSDDMYADLASPVSSASSRSPTPAQTKKEKGKSKKEDGVKVDKRKRDSST
+QPPKPSRTPAGGRSSQQPTTPQQAPPGQPPAATFIAHKEIKLTLLNKATDKGSRKRYEPS
+DKDRQSPPPAKRANLSPDRGSRDRKSGGRVSSPKPERQRGQNSKAPSAPTDRKRPLSPQS
+KSSSKVTSVPGKASDTSTTATAGTKSGKASTLSRREELLKQLKAVEDAIARKRAKIPGKV
+>tr|E1BF01|E1BF01_BOVIN Pleckstrin homology, MyTH4 and FERM domain containing H1 OS=Bos taurus OX=9913 GN=PLEKHH1 PE=4 SV=3
+MAELTVETRASVDWQKRCLALETQLFRFRLQASKIRELLADKMQELEQRLLDAEQRAENA
+ETQVGVMEEKVKLSNLMNVDSAGSLHRKYQELLKAMQGKDELISELEAQLEKQKQMRAEE
+AKVVQEKAAKIKEWVTLKLAELEMENQHLKSCNQHLVEQVGALQRAVEALQMSPSGKLLV
+APQGTTEQESVPSGPGTQPAGQDSGPLAQGALKAAIPAPSPGALQSKDSVPKAGSPMEDS
+SSQTVHPGATSEAKTLPLHLGRQGSPGQLCLRPRTPRHALASWGEGLVTAQGGTLPRTKT
+SAKEGGPGCSLTLPKARAPSTLRDSIQLAKRHHSQPQAGPGHFSHVVRIEVGTLSAFHPH
+SLPKGVVRAKLREEPEKMEMEEQPPVGEKEAQGTELEEVDLENKPPTPPLHRFPSWESRI
+YAVATSGMRLSEVPVRSNATCCASSPPALASPGPFSGLVYKNVAVPVYTALKGKATQIST
+VPFVDESSGSDDDCSSQASFRTSLPCSESRKTSGLGSPRAIKRGVSVSSLSSEGDYAIPP
+DACSLDSDYSEPEHKLQRTSSYSTDGLGPGGESLEKSGYLLKMGSRVKTWKRRWFVLRQG
+QIMYYKSPSDVIRKPQGQVELNSRCQIVRGEGAQTFQLISEKKTYYLTADSPSLLEEWIR
+VLQSLLKVQAIGPPALPQGGTKPTVKGWLTKVKHGHSKLVWCALVGRTFYYYRSHEDKRP
+LGRLPVRDARIEEVDRSCDSDEDYEAGGTRRLLSSHYTLVIHPPEHSPTYLLIGTKHEKD
+TWLYHLTVAAGGSSAKVGTAYEQLIGKLMDGEGDPDSPLWRHPMLCYSKDGLYTSLTTLP
+SEALQTEAVKLFKSCQLFINVPVEAASVDYHVSLAQTALQVCLVHPELQSEIYCQLMKQI
+SCRPPQKYSLMQCWQLLALCAPLFLPQHHFLWYVKQQLQRHADPRNETGQYATYCQRAVE
+RTLQTGEREARPSRMEVVSILLRNPFHHSLPFSIPVHFANGTYQVVGFDGSSTVDEFLQR
+LNQETGMRKSSHSGFALFTDDPAGRDLEHCLQGSVKICDAISKWEQALKELHTGKSEGGT
+RVVKLIYKNRLYFRSQVKGETERERLLLASQTNGEIVAGRFPVNKELALEMAALMAQVEY
+GDLERPILPGPGGTPSAKAQHHLQQVLDRFYPRRYRHGAPPEQLRHLADQLTTKWAALQG
+CSSPECVRIYLTVARKWPLFGAKLFAAQPAQLSSKESALVWIAVNEDGVSILDHNTMQLH
+VTYPYSSVMTFGGCRDDFMLVIRSVPDQSSGKGHVEKLIFRMAAPKIAEVTLILASYMNH
+CSTTVNPPSTPAAACQPWELDGRQFFASVPCAAKGPTLL
+>tr|A0A3Q1LG76|A0A3Q1LG76_BOVIN LSDAT_euk domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MYIRVSYDTKPDSLLHLMVRDWQLELPKLLISVHGGLQNFEMQPKLKQVFGKGLIKAAMT
+TGAWIFTGGVSTGVISHVGDALKDHSSKSRGRVCAIGIAPWGIVENKEDLVGRDVSIFPE
+MCNFKKSKP
+>tr|F6QE33|F6QE33_BOVIN COP9 signalosome subunit 7A OS=Bos taurus OX=9913 GN=COPS7A PE=4 SV=1
+MSAEVKVTGQNQEQFLLLAKSAKGAALATLIHQVLEAPGVYVFGELLDMPNVRELAESDF
+ASTFRLLTVFAYGTYADYLAEARNLPPLTEAQKNKLRHLSVVTLAAKVKCIPYAVLLEAL
+ALRNVRQLEDLVIEAVYADVLRGSLDQRHQRLEVDYSIGRDIQRQDLSAIARTLQEWCVG
+CEVVLSGIEEQVSRANQHKEQQLGLKQQIESEVANLKKTIKVTTAAAAAATSQDPEQHLT
+ELREPAPGTNQRQPSKKASKGKGLRGSAKIWSKSN
+>tr|A0A3Q1MT81|A0A3Q1MT81_BOVIN Scavenger receptor cysteine rich family member with 5 domains OS=Bos taurus OX=9913 GN=SSC5D PE=4 SV=1
+MCPRPPHHFCRTTQSPSFLLSKGLPVTPLQDSPQPLPCPPAPGSPGCHSLSSVPAERLRL
+ADGPHGCAGRLEVWHGGRWGTVCDDGWDLRDAAVACRELGCGGALAAPGGAFFGEGAGPV
+WLSELACRGGERQLGLCPHRGWKAHICSHEEDAGVVCAGQRGTDSRDHDDPPSVLDGDPW
+PGLAGELSPGSEEAPVTPAPRPAGTPQSGSRKKSPRLPKPAKSTRAPVLTAGAPRQERLR
+LVSGPHGCAGRLEVWHGGRWGTVCDDGWDLRDAAVACRELGCGGALAAPGGARFGPGAGP
+VWMDDVGCGGGEQALRDCPRSPWGRSNCDHSEDAGLVCTGPAPRLRLADGPHGCAGRLEV
+WHGGRWGTVCDDGWDLRDAAVACRELGCGGALAAPGGAFFGEGAGPILLDDLRCRGNETA
+LRFCPARPWGQHDCHHREDAGAVCDGMPLGYVPPTAPAAGSNSSGPRGAPSRSPPPTASQ
+APQMPGVSVPPAPPTFLQEPGPDAGSPQLRLVAGPSRCSGRLEVWHAGRWGTVCDDGWDL
+RDTAVVCRELGCGGPRQSDPTAGRFGWGAGPIWLDDVRCTGTEAALADCLAAPWGKHNCA
+HNEDVGVTCAGTPGLDSISDPFSWSWIPGLGRDPDAWLPGELATKPSARQTPSIPEKTTK
+APGKMPKSTKKWVTKNAKRPTTQPPAMPTTKHSRVSGTQSPPELTSRTTSTLATGASRRP
+TSEFTTRLTTQAPRRLTSHTTVTRTSRAPRERTSKTVATLATQGPRLVTSEATVEPSAEL
+PGQGSPESSTDPAPSPTGAAGPFRVRLADGPNRCAGRLEVWHAGQWGTVCDDGWDLRDGM
+VTCWELGCGRVRPRVGKTHYGPGTGPIWLDDVGCKGSESSLSDCPARAWGQHNCDHEEDV
+GLTCTGYADEEDYPPWTWDPTSGEDLAKGTTSAGVPGHTLSRETTGSPGAPTPATRRLPS
+TGDKDCREPSRTGDTPSGGVPAKETPTAATPGPPGTTRSSGHPSLAPRLRGDTGSQRRRW
+PERRLRPTTARTKPAAPSPPASAAPGPADPPLTSASAPPLIRTAASTPEATPDATSAVPP
+SPVAASRGSAHRTSTSPGLTGPSPDAAAAPAPTSRLSPTPPPTAPKELTSDPSAPAAATH
+LSPTSGLTPDPDKAPGRGTSPQPSTVPEPSSSPDRSTGPHPTTAPYSTMTSHPEPTSHPT
+TAPYSSMTSHPAATSHPTTAPYSTMTSHPTTALYSTMTSHPAPTSHPTTAPYTTMTSHPA
+STSHPTTAPYSTIISHPAATSHPTTAPYSTMTSHPAAASHPTTTLYLTSTPHATTTPYPT
+TTPYPTTTPYPTTISHPTVTSHPTSALYPTTTPHATTTPYPTTTPHSTSTPH
+>tr|F1MQ45|F1MQ45_BOVIN Solute carrier organic anion transporter family member OS=Bos taurus OX=9913 GN=SLCO2A1 PE=3 SV=1
+MGLLSKPGARQGSAASTGQAGCSPRSIFSNIKVFVLCHGLLQLCQLLYSAYFRSSLTTIE
+KRFGLSSSSSGFISSLNEISNAVLIIFVSYFGSRVHRPRLIGIGGLLLALGAFILTLPHF
+LSEPYQYTKTIMGNSSHLQTELCQKSWQGLPPSKCHSSPQDSQKETSSMWGLMVIAQLLA
+GIGTVPIQPFGISYVDDFSEPNNSPLYISILFAIAVFGPAFGYLLGSVMLQIFVDYGRVD
+TASVNLSPGDPRWIGAWWLGLLISSACLVVTSFPFFFFPRAIPTKMERTHPMVDEARNME
+EVKSRRSLVDFIKRFPRIFLRLLMNPLFMLVVLAQCTFSSVIAGLSTFLNKFLEKQYGAS
+AAYANFLIGAVNLPAAALGMLLGGILMKRCAFSLQTIPRVAATIIIISMILCAPLFFMGC
+STPLVAEVYPPSTSSSIRPQPLPCRQGCSCPDSVFHPVCGDDGIEYLSPCHAGCSEVNFS
+SIALKQPIYLNCSCVNRGSGSAKTGPCPVSCAHFLLPTIFLISFAALIACISHNPLYMMV
+LRVVNQDEKSFAIGVQFLLMRLLAWLPSPALYGLTIDYSCILWSAKCSGRRGACVYYDNN
+ALRNRYLGLQVAYKALGSVLLIFISWRVKKNKEYNVQEKAASLI
+>tr|A0A452DIA2|A0A452DIA2_BOVIN F-box and leucine-rich protein 22 OS=Bos taurus OX=9913 GN=FBXL22 PE=4 SV=1
+MSGSGLPSSSERQHQLSAKNKHHSSTIYVHQPGAGEEQLEVGQVPGLQPHSVVWPLRTMH
+ITQLNRECLLHLFSFLDKDSRKNLARTCPQLQDVFEDPALWPLLHFRSLTELKKDNFLLS
+PALRSLSICWHSSRVQVCSIEDWLKSALQRNICSRHESLVNDFLLQVCDRCPNLASVTLS
+GCGHVTDDCLARLLRCCPRLRALHLENCARVTNRTLTAVAAHGRALQTLHVDFCRNVSAA
+GLRRLRAACPRLTLRAEHSAAMIPDQLPRGPHAPGAALRKLLLR
+>tr|F1MNL7|F1MNL7_BOVIN BPTI/Kunitz inhibitor domain-containing protein OS=Bos taurus OX=9913 GN=LOC616039 PE=4 SV=3
+MSRLCLSAALLVLLGILVAGTREGDNSNKNDGLRPAFCLQPPYTGPCRAMFTRYFYNAVS
+GLCQTFTYGGCRRKPNNFRSEKECISTCGGRNRAQESLATVLPEMLKS
+>tr|F1MWU5|F1MWU5_BOVIN G_PROTEIN_RECEP_F1_2 domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=3
+MSDPEEGEETGRSLPLQIHTSSPRPFSFQVTMSAGNVTPWGSSAAGEEVWVGSGIEVEGA
+ELPTFSVAAEVRMGVTVVLFVSSAGGNLAVLWSVKRPQPSQLCPSPVRRLFAHLAVADLL
+VTFVVMPPDATWNITVQWLAGDIACRTLVFLKLVAMYAAAFLPGYWARPPGSRTPPVWTA
+LSWKETSWGSLGTQLPARLAPAVPVPYRLTRWSRSLHLVCHQRQLQDSMARDHLQPLHLL
+LPLIAMTICYSHIVCSVSTPQTRKGNHGETPTSRP
+>tr|E1BIR2|E1BIR2_BOVIN Dipeptidase OS=Bos taurus OX=9913 GN=DPEP2 PE=3 SV=2
+MPSGGLEHPHMLTQRPLLLLLWLLLRLVTRAQTAPAAPIALTSLDTSSTLSLGERARALM
+RDFPLVDGHNDMPLVLRQFNRKGLQDVNLRNFSHGQTSLDRLKDGLVGAQFWSAYVPCQT
+QERDAVRLTLEQIDLIHRMCASYSELELVTSVKALNGTRKLACLIGVEGGHSLDSSLSVL
+RTFYVLGVRYLTLTHTCNTPWAESSAKGIHPFYSDVSGLTSFGEKVVAEMNRLGMMVDLS
+HVSDAVARRALEVSQAPVIFSHSAARAVCESTRNVPDDILQLLKNNGGIVMVSLSVGVLQ
+CNPLANVSTVADHFDHIRAVIGSKFIGIGGDYDGAGRFPQGLEDVSTYPVLIEELLRRGW
+SEEELWGVLRGNLLRVFSRVEQVREENKGQSPLEDEFPDEQLGSSCRSVLSHLQQRENLA
+QDQKLTRTPRHGSPILPTMRSFSKSSPNTAPGIIVIAAFLVLILWLW
+>tr|A0A3Q1M6L2|A0A3Q1M6L2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC100296227 PE=4 SV=1
+MICRTVSQAKKHPSLIPFFLFIGAVGTGAALYVLHLALFNPLLNNNDSWNKLGPNGQYKF
+YSVNVDYSKLKKEGPDSYMKRFTIKLLE
+>tr|G5E6D0|G5E6D0_BOVIN Peptidase A1 domain-containing protein OS=Bos taurus OX=9913 GN=PAG14 PE=3 SV=2
+MLKTQTSLSTWSQERSMNWLVLLGLVAFSECIVKYVWGLIPLRRVKTMRNTVSGKNMLDN
+FLKEHAYRLSHISFRGSNLTSHSLRNIRDLHTLGSDIFSLPPSGLSIRPSASSTDLGELK
+ELLFMTQFRDKQEGSVVMFGGVDHRYYKGELKWVPLIRAGDWSVHMDRISMKRKIIACSD
+CCKALVDTGTSDIAGPRRLVNNIQKLIGSTPRGSEYYISCSAVNTLPSIIFTINGINYPV
+PARAYILKDSRGRCYTTFREHRFSSSTETWILGAVFLRLYFSVFDQGNDRIGLAPAV
+>tr|F6QGT7|F6QGT7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=BCAS4 PE=4 SV=1
+MGAGTRCSTGFQCNRVVCTHGSQTQRCVRITWRASNHADVQVPALETVCGLDVGRFKGAP
+GDRRLEASLGRWFARWCGGPAQGARAPLGGAAHSARRSARRAAAPPPPGGPRGVQRAGGG
+APRPGHGVGLPGSLRRPDPAALVMLLVDADRPEPVRGGARELAVFLTPEPGAEAKEVEET
+IEGLLLRLEEFCSLADMIRSDTSQILEENIPLLKAKVVEMRGIYAKVDQLEAFVKMVRRH
+VSFLEAHVLQAERDHGALSQALRKWLGSSGLPALGNKRWAPVAPTFELPVLYRTEDYFPV
+DVGEVMP
+>tr|E1BL81|E1BL81_BOVIN G_PROTEIN_RECEP_F1_2 domain-containing protein OS=Bos taurus OX=9913 GN=OR10D3 PE=3 SV=3
+MTFCYGLTFTEMKNCSEVTEFILLGIPHTEGLETLLFVLFLPFYACTLLGNMSILVTVLS
+SNSLHTPMYFFLGNLSVFDMSFSSVTCPKMLLYLMGLSPRISYKDCVSQLFFFHFLGSID
+CFLYTVMAYDRFTAICHPLRYAVIMSPRVCVALAVGTWLLGCVHSSVLTLLTFTLPYCGP
+NEVAHFFCDIPALLPLACADTSLAQRVSFTNVGLVSLVCFLLILVSYTRIIISILRIPSA
+EGRHRAFSTCSAHLIAILCAYGHIITVYLQPTPNPMLGTVVQILMNLVGPMLNPLIYTLR
+NKEVKTALKKVLHRTNHVLVI
+>tr|A0A3Q1LZ57|A0A3Q1LZ57_BOVIN Saposin B-type domain-containing protein OS=Bos taurus OX=9913 GN=LOC104968634 PE=1 SV=1
+MAPPPSPAFSDLMDPSMSSKGTRHGSEQPQGRAGQEAPGTPHKAASPSWAPAASWALPSQ
+KHGLLLSIFLWRAAHAVSSIGLAFSGLTPESHDQATTHQCDGDELCQGLDPEDPQGDLLL
+QGEELGLLCGSCQRIIQHLMDKLGDQPDENTVIEAASKVCGKMGPLKGLCKSITKRFLRR
+IAADITAGKTSRVVCEDIKMCKSKPVGFI
+>tr|A0A3Q1M5U6|A0A3Q1M5U6_BOVIN ABI family member 3 OS=Bos taurus OX=9913 GN=ABI3 PE=4 SV=1
+MAELQQLQEFEIPTGREALRGNHSSLLRVADYCEDNYVQATDKRKALEETMAFTTQALAS
+VAYQVGNLAGHTLRMLDLQAAALRQVEARVSTLGQMVNMHMEKVARREIGTLATVQRLPP
+GQKIIAPESLPHLTPYYRRPLNFSCLDDIGHGIKDLSTQLSRTGTLSRKSIKAPATPASA
+TLGRAPRIPEPVQAPVVPDGRLSAASSASSLASLVIEGAGSAEGVGGVSMTKGQAAPHPP
+PPPPAAPDFFLLPTSLEKLSLPPPAPELPMPLDLPPPPPLDVDDLELPPPPPPGFGSEEP
+SWVPDAYLEKVVTLYPYTRQKDNELSFSEGTVICITRRYSDGWCEGVCSEGSGFFPGNYV
+EPIS
+>tr|A0A3Q1LT83|A0A3Q1LT83_BOVIN Coxsackievirus and adenovirus receptor homolog OS=Bos taurus OX=9913 GN=CXADR PE=4 SV=1
+MELLLRFLLLCGVADFTRGLSITTPEQMIEKAKGETAYLPCKFTLGPEDQGPLDIEWLLS
+PADNQKVDQVIILYSGDKIYDDYYQDLKGRVHFTSNDLKSGDASINVTNLQLSDIGTYQC
+KVKKAPGVGNKKIQLTVLVKPSGIRCYVDGSEEIGNDFKLKCEPKEGSLPLRYEWQKLSD
+SQKLPTSWLPEMTSPVISVKNASAEYSGTYTCTVRNRVGSDQCLLRLDVVPPSNRAGTIA
+GAVIGTLLALVLIALIVFCCHKKRREEKYEKEVHHDIREDVPPPKSRTSTARSYIGSNHS
+SLGSMSPSNMEGYSKTQYNQVPSEDLERAPQSPTLPPAKFKYTYETDGTTVV
+>tr|A0A3Q1LKD4|A0A3Q1LKD4_BOVIN Lin-9 DREAM MuvB core complex component OS=Bos taurus OX=9913 GN=LIN9 PE=4 SV=1
+MPFRNSKRSRLFSDEDDRQINTRSPKRNQRVAMVPQKFTATMSTPDKKASQKIGFRLRNL
+LKLPKAHKWCIYEWFYSNIDKPLFEGDNDFCVCLKESFPNLKTRKLTRVEWGKIRRLMGK
+PRRCSSAFFEEERSALKQKRQKIRLLQQRKVADVSQFKDLPDEIPLPLVIGTKVTARLRG
+VHDGLFTGQIDAVDTLNATYRVTFDRAGLGTHTIPDYEVLSNEPHETMPIAAFGQKQRPS
+RFFMTPPRLHYTPPLQSPITDNDPLLGQSPWRSKISGSDTETLGGFPVEFLIQVTRLSKI
+LMIKKEHIKKLREMNTEAEKLKSYSMPIGIEFQRRYATIVLELEQLNKDLNKVLHKVQQY
+CYELAPDQGLQPADQPTDMRRRCEEEAQEIVRHANSSTGQPCVENENLTDLISRLTAILL
+QIKCLAEGGDLNSFEFKSLTDSLNDIKSTIDASNISCFQNNVEIHVAHIQSGLSQMGNLH
+AFAANNTNRD
+>tr|A0A3Q1MV99|A0A3Q1MV99_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=RABGAP1 PE=4 SV=1
+MDPPMDDQPGDKELVERSQLDGEGDGPLSNQLSASSTINPVSLVGLQKPEMSLPVKPGQG
+DSEGSSPFTPVADEDSVVFSKLTYLGCASVNAPRSEVEALRMMSILRGQCQISLDVTLSV
+PNVSEGTVRLLDPQTNTEIANYPIYKILFCVRGHDGTPESDCFAFTESHYNAELFRIHVF
+RCEIQEAVSRILYSFATAFRRSAKQTPLSATAAPQTPDSDIFTFSVSLEIKEDDGKGYFS
+AVPKDKDRQCFKLRQGIDKKIVIYVQQTTNKELAIERCFGLLLSPGKDVRNSDMHLLDLE
+SMGKSSDGKSYVITGSWNPKSPHFQVVNEETPKDKVLFMTTAVDLVITEVQEPVRFLLET
+KVRVCSPNERLFWPFSKRSTTENFFLKLKQIKQKERKNNTDTLYEVVCLESESERERRKT
+TASPSIRLPQSGSQSSMIPSPPEDDEEEDNDEPLLSGSGDVSKECAEKILETWGELLSKW
+HLNLSVRPKQLSSLVRSGVPEALRGEVWQLLAGCHNNDHLVEKYRILITKESPQDSAITR
+DINRTFPAHDYFKDTGGDGQDSLYKICKAYSVYDEEIGYCQGQSFLAAVLLLHMPEEQAF
+SVLVKIMFDYGLRELFKQNFEDLHCKFYQLERLMQEYIPDLYNHFLDISLEAHMYASQWF
+LTLFTAKFPLYMVFHIIDLLLCEGISVIFNVALGLLKTSKDDLLLTDFEGALKFFRVQLP
+KRYRSEENAKKLMELACNMKISQKKLKKYEKEYHTMREQQAQQEDPIERFERENRRLQEA
+NMRLEQENDDLAHELVTSKIALRKDLDNAEEKADALNKELLMTKQKLIDAEEEKRRLEEE
+SAQLKEMCRRELDKAESEIKKNSSIIGDYKQICSQLSERLEKQQAANKVEIEKIRQKVDD
+CERCREFFNKEGRIKGMGSAKEVVDEDTDEEKETLKNQLREMELELAQTKLQLVEAECKI
+QDLEHHLGLALNEVQAAKKTWFNRTLSSIKTATGVQGKETC
+>tr|A0A3Q1M4J1|A0A3Q1M4J1_BOVIN Josephin domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MESIFHEKQEGSLCAQHCLNNLLQGEYFIPVELSSIAHQLHEEERMRMAEGGVTSEDYRT
+FLQQPSGNMDDSGFFSIQVTSNALKVWGLELILFNSPEYQRLRINPINERSFICNYKEHW
+FTVRKLGKQKVTLYLLLRVICQIAKLTNFYR
+>tr|F1MN61|F1MN61_BOVIN Early endosome antigen 1 OS=Bos taurus OX=9913 GN=EEA1 PE=1 SV=3
+GFICPQCMKSLGSADELFKHYEAVHDAGNDSSHGGEALALKRDDITLLRQEVQDLQASLK
+EEKWYSEELKKELEKFQGLQQQESKPDGLVADSSAELQSLEQQLEEAQTENFNIKQMKDL
+FEQKAAQLATEIADIKSKYDEERSLREAAEQQVTHLTEELNKEAAVIQDLKTELLQRPGI
+EDVAVLKKELVQVQTLMDNMTLERERESEKLKDECKKLQTEYTNSEAVISQLRSELAKGP
+QEVAVYVQELQKLKSSVNELTQKNQNLTEKLQKKELDYTQLEEKHNEECMSKKSIQASLH
+QKDLDCQQLQSRLSASETSLQRIQAELGEKGEATQKLKEELSEVETKYQHLKAEFKQLQQ
+QREEKDQHGLQLQSEINQVRFSTKMYKEGYSSASGRLNPWGDPVSLLKRIYIFFLKLKEK
+VTNSTELQHQLDKTKQQHQEQQALQQSTTAKLREAQNDLEQVLRQIGDKDQKIQNLEALL
+QKSKENISLLEKEREDLYAKIQAGEGETAVLNQLQEKNHTLQEQVTQLTEKLKNQSESHK
+QAQENLHDQVQEQKAHLRAAQDRVLSLESSINELNSQLNESKEKVSQLDIQVKAKTELLL
+SAEAAKTAQRADLQNHLDTAQNALQDKQQELNKITTQLDQVTTKLQDKQEHCSQLESHLK
+EYKEKHLSLEQKTEELEGQIKKLEADMLEVKASKEQALQGLQQQRQLNTDLELRATELSK
+QLEMEKETVSNTKLDLQKKSEALENTKQMLTKQEEEKTMLKQEIENLSQDAKMQHKELND
+RIQTAVTELQKVKVEKDSLVAELSAAKEKLSKVSDCLKNSQSEFEKENQKGKAVILDLEK
+TCKELKHQLQVQTESLHKEQNEMKKSLEKEKETSHQLKLELSSMQGQVIQAQDSLKQKEK
+EEQQLQSNINELKQLTEQKKKQIETLQGEVKIAVSQKTELENKLQQQSMQAAQELAAEKQ
+KISVLQNTYEKSQENLKQLQSDFYGKESELLATRQDLKSIEEKLSLAQEDLISNRNQIGN
+QNKLIQELKTTKTTLEQDLAKKEQQLKEQNKALQDMQKEKSLKEKELVNEKSKLAETEEI
+KCRQEKEIAKLSEELKSHKQESIKEITNLKDAKQLLIQQKLELQGKVDSLKATLEQEKKT
+QQMLKEQMKKEEDELKKEFMEKEAKLVS
+>tr|E1BP72|E1BP72_BOVIN CDC42 binding protein kinase beta OS=Bos taurus OX=9913 GN=CDC42BPB PE=3 SV=3
+MSAKVRLKKLEQLLLDGPWRNESALSVETLLDVLVCLYTECSHSALRRDKYVAEFLEWAK
+PFTQLVKEMQLHREDFEIIKVIGRGAFGEVAVVKMKNTERIYAMKILNKWEMLKRAETAC
+FREERDVLVNGDCQWITTLHYAFQDENYLYLVMDYYVGGDLLTLLSKFEDKLPEDMARFY
+IGEMVLAIDSIHQLHYVHRDIKPDNVLLDVNGHIRLADFGSCLKMNDDGTVQSSVAVGTP
+DYISPEILQAMEDGMGKYGPECDWWSLGVCMYEMLYGETPFYAESLVETYGKIMNHEERF
+QFPSHVTDVSEEARDLIQRLICSRERRLGQNGVEDFKKHAFFQGLNWENIRNLEAPYIPD
+VSSPSDTSNFDVDDDVLRNIEVLPPGSHTGFSGLHLPFIGFTFTTESCFSDRGSLKSILQ
+SSTLTRDEGVQRDLEASLQVEAYERRIRRLEQERLELSRKLQESTQTVQSLHGSARTLGG
+AARDKEIKRLNEEIERLKNKIADSSRLERQLEDTVTLRQEHEDSAQRLKGLEKQYRVVRQ
+EKEDLHKQLIEASERLKSQARELKDAHQQRKLALQEFSELNERMAELRSQKQKVSRQLRD
+REEEVEAAMQKIDALRQEVRRADKGRKELEVQLEDAVAEASKERKLREHSENFSKQVESE
+LEALKMKQGGRGPGAALEHQQEISKIKSELEKKVLFYEEELVRREASHVLEVKNVKKEVH
+DSESQQLALQKEVMVLKDKLEKSRRERHSEMEEAVGTIRDKYERERAMLFEENKKLTAEN
+EKLCSFVDKLTAQNRQLEDELQDLAAKKESVAHWEAQIAEIIQWVSDEKDARGYLQALAS
+KMTEELETLRSSSLGSRTLDPLWKVRRSQKLDMSARLELQSALEAEIRAKQLVQEELRKV
+KDVNLSFESKLKDSEAKNRELLEEMEILKKKMEEKFRADTGLKLPDFQDSIFEYFNTAPL
+AHDLTFRSSSTSEQETQAPKPEASLGVSVAAGAEQPEDVARAPPRLPAVPLPSAQTLALA
+GPKPKAHQLSIKSFSSPTQCSHCTSLMVGLIRQGYACDVCSFACHVSCRDRAPQVCPIPP
+EQSKRPLGVDVQRGIGTAYKGYVKIPKPTGVKKGWQRAFAVVCDCRLFLYDLPEGKSAQP
+GVLASQVLDLRDEEFSVSSVLASDVIHASRRDIPCIFRVTASLLGAPSKTSSLLILTENE
+NEKRKWVGILEGLQAILQKNRLQSQAVHVPQEAYDSSLPLIKAVLTAAILDGDRIAIGLE
+EGLYVIEVTRDVIVRVADYKKVYQIELAPKERVAVLLCGRNHHVHLCPWSSFDGAESNMD
+IKLPETKGCQLIVTGTLKKSGPTCLFVAVKRLVLCYEIQRTKPLHRKLSELVAPGNVQWM
+AAVKDRLCVGYPSGFSLLSTQGDGQALNLVNPNDPSLTFLSQQSFDALCAVELKSEEYLL
+CFSHMGLYVDPQGRRSRAQELMWPAAPVACSCSPTHVTVYSEYGVDVFDVRTMEWVQTVG
+LRRIRPLNLEGSLNLLNCEPPRLIYFKSKASGTVLNVPDTSDNSRKQMLRTRSKRRFVFK
+VPEEERLQQRREMLRDPELRSKMISNPTNFNHVAHMGPGDGMQVLMDLPLVSPGRPHHTP
+PRGSLRVCGLHRFLRCPEEIAVRNDSWNSAVRAGGVLPSLTASS
+>tr|A0A3Q1LNG4|A0A3Q1LNG4_BOVIN Histone acetyltransferase OS=Bos taurus OX=9913 GN=KAT5 PE=3 SV=1
+MAEVVSPVPGAGRREPGEVGRARGPPVADPGAALSPQGEIIEGCRLPVLRRNQDNEDEWP
+LAEILSVKDISGRKLFYVHYIDFNKRLDEWVTHERLDLKKIQFPKKEAKTPTKNGLPGSR
+PGSPEREVKRKVEVVSPATPVPSETAPASVFPQNGSARRAVAAQPGRKRKSNCLGTDEDS
+QDSSDGIPSAPRMTGSLVSDRSHDDIVTRMKNIECIELGRHRLKPWYFSPYPQELTALPV
+LYLCEFCLKYGRSLKCLQRHLTKCDLRHPPGNEIYRKGTISFFEIDGRKNKSYSQNLCLL
+AKCFLDHKTLYYDTDPFLFYVMTEYDCKGFHIVGYFSKEKESTEDYNVACILTLPPYQRR
+GYGKLLIEFSYELSKVEGKTGTPEKPLSDLGLLSYRSYWSQTILEILMGLKSESGERPQI
+TINEISEITSIKKEDVISTLQYLNLINYYKGQYILTLSEDIVDGHERAMLKRLLRIDSKC
+LHFTPKDWSKRGKW
+>tr|A0A3Q1LGT5|A0A3Q1LGT5_BOVIN Rho GTPase activating protein 28 OS=Bos taurus OX=9913 GN=ARHGAP28 PE=4 SV=1
+MCTTVAVKTREAEYCRLGRQSAKAFQLALKVSYNLRKSIPRCRRINRMLSNESLQSPAFS
+RSNSQASVDSASMENFWREIESIKETSLGAQEEQTPAEAKPLDEGELEAEWLQDVGLSTL
+ISGDEEEDGKALLSTLTRTQAAAVKKRYNTYTQTLRKKNKQSVRDVRDIFGTSESPPDEF
+CCVPAPLLDVSPDEERMPTVPCRNGQLPGDTCDSHASELDGAREGKALPGIIKASGPLPD
+GASLNSSTLSDGSQDEEWSFTVPRSGSMSILEAIPDVPVHSNVAADPGRSARNAASDDEY
+LEKNIPVEAEELSFEVSYSEKLTQAPKRNRFKKLDFKKEDYVLPKFVVQKTRFGLTKAED
+LSAEDMKKIRHLSLIELTAFFDAFRIQLKRNKTEKVKGRDSGIFGVPLTVLLENDRRKDS
+GVKVPLVLQKFFEKVEESGLESEGIFRLSGCTAKVKQYREELDAKFNADKFKWEKMCHRE
+AAVMLKAFFRELPTSLFPVEYIPAFITLMERGPHIRVQFQALHLMVMALPDANRDTAQAL
+MTFFNKVIANESKNRMSIWNISTVMAPNLFFSRSKHSDCEELLLANTAAHIIRLMLKYQE
+MLWTVPSFLISQVRRMNEATMLLKKPLPSVKKLLRRKTIERGVTSPKTSKVLQKSPSTRR
+MSDVPEGVIRVHAPLLSKVSMAIQLNSQTKVKDILAKFQCENSHGSSECIKIQNQRLYEI
+GGNIGQHCLDPDAYILDVYHVNPQAEWVIKPQQSV
+>tr|A0A3Q1LRV6|A0A3Q1LRV6_BOVIN ICAT domain-containing protein OS=Bos taurus OX=9913 GN=LZIC PE=4 SV=1
+MASRGKTETSKLKQNLEEQLDRLMQQLQDLEECREELDTDEYEETKKETLEQLSEFNDSL
+KKIMSGNMTLVDELSGMQLAIQAAISQAFKTPEVIRLFAKKQPGQLRTRLAEMDRDLMVG
+KLARDLYTQQKVEILTALRKLGEKLTADDENFLSANAGAILSQFEKVSTDLGEYSCISFL
+FFFFFILFLNFT
+>tr|F6QIP7|F6QIP7_BOVIN Protein O-fucosyltransferase 1 OS=Bos taurus OX=9913 GN=POFUT1 PE=4 SV=1
+MGAAAWAPSLLPPRVSLLLLLLPLPGLPVGSWDPAGYLLYCPCMGRFGNQADHFLGSLAF
+AKLLNRTLAVPPWIEYQHHKPPFTNVHVSYQKYFKLEPLQAYHRVISLEDFMEKLAPTHW
+PPEKRVAYCFEVAAQRSPDKKTCPMKEGNPFGPFWDQFHVSFNKSELFAGISFSASYKDQ
+WIQSKE
+>tr|A0A3Q1LWV1|A0A3Q1LWV1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=CPEB2 PE=4 SV=1
+MPPPSPDSENGFYPGLPSSMNPAFFPSFSPVSPHGCAGLSVPASGGGGGGFGGPFSAAAV
+PPPPAMNLPQQQPPPPAAPQQPQSRRSPVSPQLQQQHQAAAAAFLQQRNSYNHHQPLLKQ
+SPWSNHQSSGWGTGSMSWGAMHGRDHRRTGNMGLPGAMNQISPLKKPFSGNVIAPPKFTR
+STPSLTPKSWIEDNVFRTDNNSNTLLPLQVRSSLQLPAWGSDSLQDSWCTAAGTSRIDQD
+RSRMYDSLNMHSLENSLIDIMRAEHDPLKGRSSLFPIDDGLLDDGHNDQVGVLNSPTCYS
+AHQNGERIERFSRKVFVGGLPPDIDEDEITASFRRFGPLVVDWPHKAESKSYFPPKGYAF
+LLFQEESSVQALIDACIEEDGKLYLCVSSPTIKDKPVQIRPWNLSDSDFVMDGSQPLDPR
+KTIFVGGVPRPLRAVELAMIMDRLYGGVCYAGIDTDPELKYPKGAGRVAFSNQQSYIAAI
+SARFVQLQHGDIDKRVEVKPYVLDDQMCDECQGARCGGKFAPFFCANVTCLQYYCEFCWA
+NIHSRAGREFHKPLVKEGADRPRQIHFRWN
+>tr|A0A3Q1N346|A0A3Q1N346_BOVIN Sodium/potassium-transporting ATPase subunit alpha OS=Bos taurus OX=9913 GN=ATP1A3 PE=3 SV=1
+MGDKKDDKGSPKKSKGTKDRRDLDDLKKEVAMTEHKMSVEEVCRKYNTDCVQGLTHSKAQ
+EILARDGPNALTPPPTTPEWVKFCRQLFGGFSILLWIGAILCFLAYGIQAGTEDDPSGDN
+LYLGIVLAAVVIITGCFSYYQEAKSSKIMESFKNMVPQQALVIREGEKMQVNAEEVVVGD
+LVEIKGGDRVPADLRIISAHGCKVDNSSLTGESEPQTRSPDCTHDNPLETRNITFFSTNC
+VEGTARGVVVATGDRTVMGRIATLASGLEVGKTPIAIEIEHFIQLITGVAVFLGVSFFIL
+SLILGYTWLEAVIFLIGIIVANVPEGLLATVTVCLTLTAKRMARKNCLVKNLEAVETLGS
+TSTICSDKTGTLTQNRMTVAHMWFDNQIHEADTTEDQSGTSFDKSSHTWVALSHIAGLCN
+RAVFKGGQDNVPVLKRDVAGDASESALLKCIELSSGSVKLMRERNKKVAEIPFNSTNKYQ
+LSIHETEDPNDNRYLLVMKGAPERILDRCSTILLHGKEQPLDEEMKEAFQNAYLELGGLG
+ERVLGFCHYYLPEEQFPKGFAFDCDDVNFTTDNLCFVGLMSMIDPPRAAVPDAVGKCRSA
+GIKVIMVTGDHPITAKAIAKGVGIISEGNETVEDIAARLNIPVSQVNPRDAKACVIHGTD
+LKDFTSEQIDEILQNHTEIVFARTSPQQKLIIVEGCQRQGAIVAVTGDGVNDSPALKKAD
+IGVAMGIAGSDVSKQAADMILLDDNFASIVTGVEEGRLIFDNLKKSIAYTLTSNIPEITP
+FLLFIMANIPLPLGTITILCIDLGTDMVPAISLAYEAAESDIMKRQPRNPRTDKLVNERL
+ISMAYGQIGMIQALGGFFSYFVILAENGFLPGNLVGIRLNWDDRTVNDLEDSYGQQWTYE
+QRKVVEFTCHTAFFVSIVVVQWADLIICKTRRNSVFQQGMKNKILIFGLFEETALAAFLS
+YCPGMDVALRMYPLK
+>tr|F1N4C7|F1N4C7_BOVIN 1-phosphatidylinositol 4,5-bisphosphate phosphodiesterase gamma OS=Bos taurus OX=9913 GN=PLCG2 PE=4 SV=3
+MANVDTLPEYEKSQIKRALELGTVMTVFSFRKSTPERRTVQVIMETRQVAWSKTADKIEG
+FLDIMEIKEIRPGKSSKDFERAKAVRQKEECCFTVLYGSQFILSTLSLAADSKEDAAKWL
+SGLKILHQEVMSASTPTIIESWLRKQIYSVDQTRRNSISLRELKTILPLVNFKVSSAKFL
+KDKFLEIGAHKDELSFEQFHLFYKKLMFEQQKSILDEFKKDSSVFLLGNTDRPDASAVHL
+HDFQRFLLHEQQELWAQDLNKVRERMTKFIDDTMRETAEPFLFVDEFLTYLFSRENSIWD
+EKYDVVDMQDMNNPLSHYWISSSHNTYLTGDQLRSESSTEAYIRCLRMGCRCIELDCWDG
+PDGKPIIYHGWTRTTKIKFDDVVQAIKDHAFVTSSFPVILSIEEHCCVEQQRHMARVFKE
+VFGDLLLTKPKEASADQLPSPSQLRGKIIIKHKKLGPRGDVDVNMEDKKDEHKQQGELHM
+WDPIDQKWTRHYCAVADAKLSFSDDIEQTVEEELPLDIPPTELHFGEKWFHKKVEKRTSA
+EKLLQEYCAETGGKDGTFLVRESETFPNDYTLSFWRSGRVQHCRIRSTMEGGTMKYYLTD
+NLMFTSIYALIQHYRETHLRCAEFELRLTDPVPNPNPHESKPWYYDGLSRGEAEDMLMRI
+PRDGAFLIRKREGTDSYAITFRARGKVKHCRINRDGRHFVLGTSAYFESLVELVSYYEKH
+ALYRKMKLRYPVTPELLERYNTERDINSLYDVSRMYVDPSEINPSMPQRTVKALYDYRAK
+QSDELSFCRGALIHNVSKEPGGWWKGDYGTRIQQYFPSNYVEDISPTEGEEVDKQIIEDN
+PLGSLCRGILNLNIYNVVKAPHGKNQKPFVFILEPKKQGDPPVEFATDSVEELFEWFQSI
+REITWKMDAKENNMKYWEKNQSIAIELSDLVVYCKPTSKTKDNLENPDFREIRSFVETKA
+DSIVRQKPSDLLKYNQKGLTRVYPKGQRVDSSNYDPFRLWLCGSQMVALNFQTPDKYMQM
+NHALFSLNGRTGYVLQPESMRAEKYDPMPPESQRKIQMTLTVKVLGARHLPKPGRSIACP
+FVEVEICGAEYDSNKFKTTVVNDNGLSPVWTPTQEKVTFEIYDPNLAFLRFVVYEEDMFS
+DPNFLAHATYPIKGIKSGFRSVPLKNGYSEDIELASLLVFCEMRPVLESEEELYSSCRQL
+RRRQEELNNQLFLYDTHQNLRSATRDALVREFNVNENQLQLYQEKCNRRLREKRVSNSKF
+YS
+>tr|A0A3Q1M8T6|A0A3Q1M8T6_BOVIN Zinc finger protein 384 OS=Bos taurus OX=9913 GN=ZNF384 PE=4 SV=1
+MEESHFNSNPYFWPSIPTVSGQIENTMFINKMKDQLLPEKGCGLAPPHYPTLLTVPASVS
+LPSGISMDTESKSDQLTPHSQASVTQNITVVPVPSTGLMTAGVSCSQRWRREGSQSRGPG
+LVITSPSGSLVTTATSAQTFPISAPMIVSALPPGSQALQVVPDLSKKVASTLTEEGGGGG
+GGGGGTVVAAKPPRGRKKKRMLESGLPEMNDPYVLSPEDDDDHQKDGKTYRCRMCSLTFY
+SKSEMQIHSKSHTETKPHKCPHCSKTFANSSYLAQHIRIHSGAKPYSCNFCEKSFRQLSH
+LQQHTRIHSKVHTEIIKPHKCPHCSKTFANTSYLAQHLRIHSGAKPYNCSYCQKAFRQLS
+HLQQHTRIHTGDRPYKCAHPGCEKAFTQLSNLQSHRRQHNKDKPFKCHNCHRAYTDATSL
+EVHLSTHTVKHAKVYTCTICSRAYTSETYLMKHMRKHNPPDLQQQVQAAAAAAAVAQAQA
+QAQAQAQAQAQAQAQAQAQAQASQASQQPQQQQPQPPHFQSPGAAPQGGGGGDSNPNPPP
+QCSFDLTPYKTAEHHKDICLTVTTSTIQATAPPPWASGAAFLPRIPSLFSAPLQKERQPS
+RWAEEY
+>tr|F6RRL6|F6RRL6_BOVIN Recombination activating 2 OS=Bos taurus OX=9913 GN=RAG2 PE=4 SV=1
+MSLQMVTVGNSIALIQPGFSLMNFDGQVFFFGQKGWPKRSCPTGVFHFEVKHNHLKLKPA
+VFSKDSCYLPPLRYPATCTFSGNLESEKHQYIIHGGKTPNNELSDKIYVMSVVSKNNKKV
+TFRCTEKDLVGDIPEGRYGHSIDVVYSRGKSMGVLFGGRSYIPSAQRTTEKWNSVADCLP
+HVFLVDFEFGCSTSYILPELQDGLSFHVSIARNDTVYILGGHSLANNIRPANLYRIRVDL
+PLGSPAVECTVLPGGISVSSAILTQISNDEFVIVGGYQLENQKRMVCNIISFKDNKIDIL
+EMETPDWTPDIKHSKIWFGSNMGNGTVFLGIPGDNKQAVSEAFYFYTLKCAEDDVNEDQI
+TLTSSQTSTEDPGDSTPFEDSEEFCFSAEANSFDGDDEFDTYNEDDEEDESETGYWITCC
+PTCDVDINTWVPFYSTELNKPAMIYCSHGDGHWVHAQCMDLAELTLIHLSEGSNKYYCNE
+HVEIARALQTPKRVQSLKKPPLRSLHKKGSGKIITPAKKSFLRRLFD
+>tr|A0A3Q1MPX7|A0A3Q1MPX7_BOVIN V-type proton ATPase subunit C OS=Bos taurus OX=9913 PE=3 SV=1
+MREFCLISALGDKENLQALEKINIVTPTSNLSDDAKFTILDFRVGALGSCVGFSDEMGKV
+NTFAESLIKRMARDFAVSPVQENIPALSMERIL
+>tr|A0A3Q1MAV0|A0A3Q1MAV0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MKTSLRKIADQWSRADAAITGVSASIMKKRTTHAKHRSSVGPSSLVSQPEQSIIGCRIRH
+GWKEGNSPVTQWKGTILDQKGSMWNQSPGEIRNPT
+>tr|A0A3Q1N897|A0A3Q1N897_BOVIN BHLH domain-containing protein OS=Bos taurus OX=9913 GN=TCF24 PE=4 SV=1
+MSLPMQRGSRSTQPHSTAPQVPEDVEGSCRGDPAPSLAHKQSSPRTSPHKRRTRSAGRRW
+SDRRGPGHADPARRFNSRAAEPNPAQPLQEREAAMDRGGPAGSPLISSSEPAPPVVAVRD
+LSPGRTGPGPSGPGGGARSGGGRPAAANAARERSRVQTLRHAFLELQRTLPSVPPDTKLS
+KLDVLLLATTYIAHLTRSLQDDAEAPADPGLGALRGDGYLHPV
+>tr|G3MXM5|G3MXM5_BOVIN Growth arrest specific 1 OS=Bos taurus OX=9913 GN=GAS1 PE=4 SV=2
+IYENTHARSWQDWGKLFRRLCVRRSPRLVSFPLLARRPPLPAMVTGLLGGGGGARRGTVP
+GAWLCLMALLQLLGSAPRGSGLAHGRRLICWQALLQCQGEPECSYAYNQYAEACAPVLAQ
+RGGSDVPGAAAAAAAFPASAASFSSRWRCPSHCISALIQLNHTRRGPALEDCDCAQDENC
+KSTKRAIEPCLPRTSGGGAGGPGAGAGGVLGCTEARRRCDRDSRCNLALSRYLTYCGKLF
+NGLRCTDECRTVIEDMLAMPKAALLNDCVCDGLERPICESVKENMARLCFGAELGNGPGS
+SGSDGGLDDYYDEEYDDEQRTGGTGGEQPLDDDDGVPHPPRPGGGAAAAGGRGDLPYGPG
+RRSSGAGCRSAPRSAWTLFASILLLPLLF
+>tr|A0A452DIR8|A0A452DIR8_BOVIN RNA polymerase II elongation factor ELL3 OS=Bos taurus OX=9913 GN=ELL3 PE=4 SV=1
+MKKLLGLVLLTSGGERGATPGYWEIPGVAPAVGGAGVGSRRRAGPTWAPGCASLVPHSRG
+GSGSGLWLALYLPLNLAAMEGPQELLSGKLRLCFTPAARTSLLLLKLNDAALRALQECHR
+QQVRPVIAFQGNRGYLRLPGPHWSCLFSFIVSQCGQEGPGGPGLDLVCQCPGRSGPNRLH
+CLGPLRERLTIWAAMDSIPAPSSLQRHNRTEDARDRESWQNVGDYPEADTISQSQMGLEE
+VPDPLASSQGQSLPGSSREHMAQWEVRNQTLLPNRDPDQALPPSASQKHVDKKRPAPAAM
+VELKQKRLRTLAPSPLQGLPSQDLQEEDWEQEDKDEDMGPRLEHSPSVQADSESLSPEEV
+PDYLLQYRAIHSAEQQHAYEQDFETDYAEYRILHARVAAASQRFIELAAEMNNVQRGTPE
+HKALEEKIVQEYKKFRKRYPGYREEKRRCEYLHQKLSHIKGLILEFEEKNRGS
+>tr|A0A3Q1LQK7|A0A3Q1LQK7_BOVIN Netrin 3 OS=Bos taurus OX=9913 GN=NTN3 PE=4 SV=1
+MPGWPWGLLLTAGTLSAALSPGPLAPADPCHDDGGAPRGCVPGLVNAALGREVLASSTCG
+RPATRACDASDPRRAHPAALLTSAGGTASPVCWRSDSLTQVPHNVTLTVPLGKAFELVFV
+SLRFCSAPPTSLALLKSQDHGRSWTPLGFFSSHCGLDYGRLPAPADGPAGPGPEALCFPA
+PQAQPDGGGLLAFSVQDGSPPGLDLDSSPVLQDWVTATDIQVVLTRPAVLGDTRGAMATA
+PYSYSATELQVGGRCKCNGHASRCLLDPQGHLTCDCRHGTEGPDCSRCKPFYCDRPWQRA
+TAREAHACLACSCNGHARRCRFNMELYRLSGRRSGGVCLNCRHNTAGRHCHYCREGFYRD
+PGRALSDRRACRACDCHPVGAAGKTCNQTTGQCPCKDGVTGLTCNRCAPGFQQSRSPVAP
+CVKTPVPGPTEESSPVAPQGEQRSQRGMSLGGGGGGFPEGLRRGWEEGGRRWGSVPTSSC
+SLLPDCDLHCKPARGSYRISLKKFCRKDYAVQVAVGARGEARGSWTRFPVAVLAVFRSGE
+ERARRGSSALWVPARDAACGCPRLLPG
+>tr|A0A3Q1N9I3|A0A3Q1N9I3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=C16H1orf105 PE=4 SV=1
+MKCILNICQKNPTWCILYSPQTPSNASPKCISWKSPAKVTLGARRSTPHAIQVYSPSSCS
+AQLAGRQERVKAQAGWNQNDPTLIRNKQLCSTCRGVKMVQPKTLIIPDDRKLSFANIMNH
+RMMSLHQPKAQTVPKRSRDDILTENVHYRLPILGPRTAVFHRLLSDAYETLQETQLSSLP
+SKEPVSKAVSQ
+>tr|A0A3Q1MRZ9|A0A3Q1MRZ9_BOVIN Calcium-binding mitochondrial carrier protein SCaMC-2 OS=Bos taurus OX=9913 GN=SLC25A25 PE=3 SV=1
+MVSSVLCRCVASPPPDPAASASSSASSPASVDPCGGAVCGGPDHRLRLWSLFQTLDVNRD
+GGLCVNDLAVGLRRLGLHRTEGELRKIVQAGDKDLDGQLDFEEFVHYLQDHEKKLRLVFK
+SLDKKNDGRIDAQEIMQSLRDLGVKISEQQAEKILKRIRMGHFWGPVTYMDKNGTMTIDW
+NEWRDYHLLHPVENIPEIILYWKHSTIFDVGENLTVPDEFTVEERQTGMWWRHLVAGGGA
+GAVSRTCTAPLDRLKVLMQVHASRSNNMCIVGGFTQMIREGGARSLWRGNGINVLKIAPE
+SAIKFMAYEQIKRLIGSDQETLRIHERLVAGSLAGAIAQSSIYPMEVLKTRMALRKTGQY
+SGMLDCARKILAREGMAAFYKGYVPNMLGIIPYAGIDLAVYETLKNAWLQRYAVNSADPG
+VFVLLACGTMSSTCGQLASYPLALVRTRMQAQASMEGAPEVTMSSLFKQILRTEGAFGLY
+RGLAPNFMKVIPAVSISYVVYENLKITLGVQSR
+>tr|A0A3Q1LZS9|A0A3Q1LZS9_BOVIN ST8 alpha-N-acetyl-neuraminide alpha-2,8-sialyltransferase 3 OS=Bos taurus OX=9913 GN=ST8SIA3 PE=3 SV=1
+MRNCKMARVASVLGLIMLSVALLILSLISYVSLKKESIFTTPKYANPGAPRMYMLHAGFR
+SQFALKLLDSSLVPFPHSVTHELQARPKWTFNRTAFLHQRQEILQHVDVIKNFSLTKNSV
+RIGQLMHYDYSSHKYVFSISNNFRSLLPDVSPIVNKRYNICAVVGNSGILTGSRCGPQID
+KSDFVFRCNFAPTEAFQRDVGRKTNLTTFNPSILEKYYNNLLTIQDRNNFFLSLKKLDGA
+ILWIPAFFFHTSATVTRTLVDFFVEHRGQLKLQLAWPGNIMQHVNRYWKNKHLSPKRLST
+GILMYTLASAVCEEIHLYGFWPFGFDPNTREDLPYHYYDKKGTKFTTKWQESHQLPAEFQ
+LLYRMHGEGLTKLTLSHCA
+>tr|F1N6C4|F1N6C4_BOVIN Epidermal growth factor receptor pathway substrate 8 OS=Bos taurus OX=9913 GN=EPS8 PE=4 SV=2
+MNGHISSHPSGFGMNPSQMNGYGSSATFSMDRDHSSRTSAKALYEQRKNYARDSVSSVSD
+ISQYRVEHLTTFVLDRKDAMITVDDGIRKLKLLDAKGKVWTQDMILQVDEKAVSLIDLES
+KNELENFPLNTIQHCQAVMHSCSYDSILALVCKEPTQSKPDLHLFQCDEIKANLISEDVE
+SAISDSKGGKQKRRLDVLRMISKADPGIPPPPKAPAPVPPGTVTQVDVRSRVAAWSALAA
+DQADFEKQRNYYQQEETPEMMAARIDRDVQILNHILDDIEFFITKLQKAAEAFSELSKRK
+KAKKGKKKGPGEGVLTLRAKPPPPDAFVDCFQKFKHGFNLLAKLKSHIQNPSAADLVHFL
+FTPLNMVVQATGGPELAGSVLSPLLTKDTIDFLNYTVSADERQLWMSLGDSWMKTRAEWP
+KEQFIPPYVPRFRSGWEPPMLNFMGSSVEPDLYQLNESVANAAEHHRKQETKRLSTEHSS
+VSEYPSADGYTAFNNIYGRGPHSDQGEAAVAFKPTPLRHVDRNYDLQPKKYAKSKYDFVA
+RNNSELSVQKDDILEILDDRKQWWKVRNASGDSGFVPNNILDIVRPPESGLGRADPPYMH
+TIQKQRMEYGPRATDIPSAPSPPPTPAPVPVPLPPSTPAPIPVSKLPANITRQNSSSSDS
+GGSIVRDSQRQKQVPVDRRKSQMEEVQDELIHRLTIGRSAAQKKFHVPRQNVPVVNITYD
+STPEEVKTWLQSKGFNPVTVNSLGVLNGAQLFSLNKDELRTVCPEGARVFSQITVQKAAL
+EDNSGSSELQEIMRRRQEKISAAASDSGVESFDEGSITN
+>tr|A0A3Q1MH29|A0A3Q1MH29_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LCE3C PE=1 SV=1
+MSCQQNQQQCQPPPKCPSPKCPPKSPVRCLPPASSGCAPRSEGNCCLGPHRRPRSHCCRR
+QSSDSCDGDGGLQSGRSGCGQGSGGCC
+>tr|A0A3Q1M825|A0A3Q1M825_BOVIN Mitogen-activated protein kinase 15 OS=Bos taurus OX=9913 GN=MAPK15 PE=4 SV=1
+MRQGWGVAPRGLVSERYNWEPNHSRFLQFSEHRPLQTQGPAGVRALSVCPFASSPDRAFL
+LPPYPHSCFCLLPEDVPGNHASPGEWPGHLPAAPGAVSPKKGKPGPWPPGHSPLLFPQEF
+GDHPNIVRLLDVIPAENDRDIYLVFESMDTDLNAVICKGTLLKDTHKRYIFYQLLRATKF
+IHSGRVIHRDQKPSNVLLDASCLVKLCDFGLARPLSGLPEVPEGHALTEYVATRWYRAPE
+VLLSSSWYTPGVDMWSLGCILGEMLRGRPLFPGTSTLHQLELILEAIPPPSKEDLLALGS
+GCNISVLQHLGSRPRQTLDALLPPDTPPDALDLLSRLLVFAPHKRLSAAQALQHPYVQRF
+HCPAREWTLGGDVRLPVQEGAQLSAAEYRRRLHQMILERRGHGRLSKETGLGGGPPTPEP
+EAQLPSGSPALNSGRRPRNNPGLGPVHGAWSGGRCVGPPTASSAGLLTPGVWSPDPTDAL
+GGAQDPPRQNSAPLHQPPPPGGPGRGAGPGGATSSSWVGRGGGAAGQYRSRCFFTRALVT
+LPLAPPQVKPGVREAAPSLTSQAAAQVAVRALIRSDRNPGRGENAPGAPRVPPRSPGAAR
+PGRRMFGASATQGAQGAARATLGGYSQAYGTVCLSALGCLPLLPGPRA
+>tr|F1MTI6|F1MTI6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC530929 PE=1 SV=3
+MLEALGSLAAALWAALRPGTVLLGAIVFLLLTDLLNRRRPKNYPPGPPRLPFVGNFFQLD
+FEQGHLSLQRFVKKYGNLFSLEFGDLPSVVITGLPLIKEVLVYQDQNFVNRPISPIRERV
+FKKNGLIMSNGHIWKEQRRFSLTALRNFGLGRKSLEERIQEEVAYLIQAIGEEKGQPFNP
+HFKINNAVSNIICSITFGERFDYQDDQFQELLRLLDEVTYLETTVWCQLYNVFPRIMNFL
+PGPHQMLFSNWRKLKMFVARVIENHKRDWNPAEARDFIDAYLQETEKHKGNAASSFHEEN
+LIYNTLDLFFAGTETTSTTLRWGLLYMALYPEIQEKVQAEIDKVLGESQQPSTAARESMP
+YTNAVIHEVQRMGNILPLNVPREVTVDTVLAGYHLPKGTMVLTNLTALHRDPAEWATPDT
+FNPEHFLENGQFKKREAFLPFSIGIWKETRA
+>tr|E1BAN3|E1BAN3_BOVIN Transient receptor potential cation channel subfamily V member 6 OS=Bos taurus OX=9913 GN=TRPV6 PE=3 SV=2
+MGLPLPKEKGHILCLWRKLCRWFQRQEPWAQRRDEQNLLQQRRIWESPLLLAAKENDIQA
+LSKLLKYEACDVHQKGAMGETALHVAALYDNLEAATVLMEAAPELVKEPMTSELYEGQTA
+LHIAVMNRNVNLVKALLAHGASVSARAIGSAFRLTPHNLIYFGEHPLSFAACMGSEEIVR
+LLIKHGADIRAQDSLGNTVLHILVLQPNKTFACQMYNLLLSYDRRGDHLQSLDLMLNHQG
+LTPFKLAGVEGNTVMFQNLVQKQKYIQWTCGPLTSTLYDLTEIDSSGEELSLLELIVTSK
+KREARQILDQTPVKELVTLKWKRYGRPYFCVLAAMYLLYIVCFTMCCVYRPLKPRTDNET
+DPRDNTIWEQKPLQEAYVTHQDHLRLVGELVSIFGAVIILFIEITDIFRVGLSRVFGQTI
+LGGPFHVLFITYSCMVLVTMVMRLTNTSGEVVPMSFALVLGWCSVMYFARGFQMLGPFTI
+MIQKMIFGDLMRFCWLMAVVILGFASAFFIIFQTEDPNELGHFYSYPMALFSTFELFLTI
+IDGPANYDVDLPFMYSITYAAFAIIAALLMLNLLIAMMGDTHWRVAHERDELWRAQVVAT
+TVMLEKKLPRCLWPRSGICGHKFGLGDRWFLRVEEKQDINQQRVRRYAQAFCHPGSEDDT
+ERLWLGGPFGTHLSLLTPSVSRSTSRSSINWERLRQGTLKRELRGVVNKALEDGEGWEYQ
+I
+>tr|G5E5S3|G5E5S3_BOVIN Kinesin family member 14 OS=Bos taurus OX=9913 GN=KIF14 PE=3 SV=2
+MSASTAHSRNDSDLLGVPSSTKTSSLSVIPQGSKLKLHPRSDSSEGENDDPLLRSTSKVR
+DINSTYVISACKKTGDTPLLPNPVGRLTLQRRATRSKEPSLLGCESGDAGARTAGTCLAL
+QRRTKTGCVEKWKGTQNVGGRTENSQPSPETGINVQTVNSDKNLPSVPLTPDPKDLEMKA
+DGKCAVTRSALSGAGENVALESLRERTPAGSQSQTEAVRVGCVAVRPTESRLEIKVSGTR
+ASHPRGAEKEAVKLPRRFESLEKRTPSKCISEPRSTPRRGVLQPRSPAAFVLRSRRPTLQ
+VKQTPQSSLLASQRERSCENTFLLKEETALQITSAETEPLKVESSQVTVAVRVRPFSNRE
+KREGAHQAVFLDGEEIAVEHPGTRQVYSFAYDLSFWSVDERHPRFASQMAVYQALAAPLL
+GQAFQGFNTCLFAYGQTGSGKSYTMMGFSEEPGIIPRFCEDLFAEIAKKQTQEVSYHLEM
+SFFEVYNERIHDLLVCKGENGQRKQTVRFKNLSVFNLFLLMNVVSSHSDIQVWLQLGNKQ
+KATAATGMNDKSSRSHSVLTLVMTQAKTEFVEGEELDHRIRSRINLVDLAGSERCSATGT
+SGERLKEGVSINKSLLTLGKVISALSEQAGGRSVFIPYRESVLTWLLKESLSGNSKTAMV
+ATVSPAGSSVEETLSTLRYAAQARSIVTAARVNEDLSAGLIRELKAEIEKLKAAQRSSQN
+IDPERYRLCRQEIASLRMKLHQQERDMANMQRMWKEKLEQAEKRKLQVTKELQKAGITFQ
+MDNHLPNLVNLNEDPQLSEILLYMIKEGTTTVGKYRPSSSHDIQLSGVLIADDHCTITNS
+SGTVSIVPAGEAKTYVNGKLISEPTVLHHGDRVILGGDHYFRFNHPMEVQKGEQPPSREN
+PVSKRPEDFESAKNDLLTAQRLQLEAEIKEAQVRAKEEMMQGIQIVKEMAQQELSSQKAA
+YESKIKVLEAELKEESQRKKIQEINNQKANHKIEELEEAKQRFEQEIHVNRKRLEMEALA
+TKQALEDHRIRHAKILEALETEKQRIAQEVQSLQQSQSHRGKAFTVQPSWSSMKLSVMIQ
+EANAISSRLNRNYVFGRHVVSDKGSSFDTCIRVRNLQLGVSTVWSLEKFESKLAAMKELY
+ESHGSSQGEDVFCDPEDEWEPDITDVPVSSFSRRRSRSLMNNRRVSGCLHDIEAHAGQDL
+RSSGSAGLVGKSSPVYLESAEPFLPGICKELISSSLELLGQSDDEEGTVADSLMSNFLRI
+HDGVLAVSRAHEEQDEDSQCNLFADRANQSLTVQVASAFQQLTVLTAPWVSGVPLEAGRA
+ALLAELRREVRTLGGRLQLFLQGCSSDISPMVKEAQKQVIQTVHRAVACVGRSVALGGDR
+LHFLESSAHGAAGLQDDFVGAVCDGVDLGIKSLLNSGIEKAKELEHELLRQSPQAEVTKQ
+MKANALGLIESLGNLFAEWKTKSFRTQVQEENSEYEDLKKMINLAQEFFKLKCGLEQTIQ
+IIISALRGHRGDVALLQDCVQRLCSSSPSRPPGAGVLRYLTALGFEFEEGPGLWGPWETC
+DQGPRAAGQEQPGSDRPGPQRTRGVPKRVYELPGLALGGSEQDVLPTCEGAGEGSPPARE
+Q
+>tr|A0A3Q1MH33|A0A3Q1MH33_BOVIN MOK protein kinase OS=Bos taurus OX=9913 GN=MOK PE=3 SV=1
+MKNYKAIGKIGEGTFSEVMKIQNLRDGNHYACKQMKQRFESIEQVNNLREIQALRRLNPH
+PNILTLHQVVFDRKSGSLALICELMDMNIYELIRGRRHPLSEKKVRHYMYQLCKSLDHMH
+RNGIFHRDVKPENILVKRDVLKLGDFGSCRSVYSKQPYTEYISTRWYRAPECLLTDGFYG
+FKMDLWSAGCVLYEMASLQPLFPGANELDQISRIHDVMGTPAEKTLTKFKQNSPRGQRRT
+TPGGRGRLA
+>tr|A0A3Q1LZU2|A0A3Q1LZU2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MPPQAPVWPSAPRERVRCPDHTGRRMVRGRPTGQLWTPLVQPTQGTECGAETLTDTVPPA
+RLPCPQPAPGSSFSECRAPSWGPESPLTLDAPLAQTRSCWRELGSSLTGPRATGDPPKGT
+MAVMSPWVARGLWGEGRPGARRVPLASPQPHRSHTKTPTPLLPLPAECQNHTQAPSVHLL
+PPTPQGLWLLDKAEFTCLATGEAPLDACFSWEVNGQPHGGALEEGPTWHMNSSWSQSSRL
+ALPRSLWASGSNVTCTLSGPCLRSPVTLMAQREHAASVPGNLTLRTVTAPGPFSHAWLLC
+EVSGFSPVDILLTWLESQQEVEPSQFATAHTTAQAGRASSHTWSVLRVSSPLDHAGATYT
+CVVSHEASRTLLNGSCSLDTGGESHGMKTANKARPRVTQTLC
+>tr|A0A3Q1NDU3|A0A3Q1NDU3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=3 SV=1
+MADIDNKEQSELDQDLDDVEEVEEEETGEETKIKARQLTVQMMQNPQILAALQERLDGLV
+ETPTGYIESLLRVVKRRVNALKNLQVKCAQIEAKFYEEVHDLGRKYAVLYQPLFDKRFEI
+VNAIYEPTEEECEWKPDEEDEISEELKEKAKIEDEKKDEEKEDPKGLPEFWLTIFKNPIL
+KHLKDIKVKFSDAGQPMSFVLEFHFEPNEYFTNEVLTKTYRMRSEPDDSDPFSFDGPEIM
+GCTGCQIDWKKGKNVTLKTIKKKQKHKGRGTVRTVTKTVSNDSFFNFFAPPEVPESGDLD
+DDSEAILAADFEIGHFLCERIIPRSVFTLLEKQYTKGFQRLTMTTIPKEAAVNSLIYFLH
+>tr|F1MS41|F1MS41_BOVIN Beta-synuclein OS=Bos taurus OX=9913 GN=SNCB PE=3 SV=2
+MEVFMKGLSMAKEGVVAAAEKTKQGVTEAAEKTKEGVLYVGSKTREGVVQGVASVAEKTK
+EQASHLGGAVFSGAGNIAAATGLVKKEEFPTDLKPEEVAQEAAEEPLIEPLMEPEGESYE
+EQPQEEYQEYEPEA
+>tr|F1N2J0|F1N2J0_BOVIN SMG8, nonsense mediated mRNA decay factor OS=Bos taurus OX=9913 GN=SMG8 PE=4 SV=2
+MSSTAAFYLLSTLGGYLVTSFLLLKYPTLLHQRKKQRFLSKHISHRGGAGENLENTMAAF
+QHAVTIGTDMLELDCHITKDEQVVVSHDENLKRSTGINVNISDLKYCELPPYLGKLDVSF
+QKACQCEGKDNRIPLLKEVFEAFPNTPINIDIKVNNNLLIKKVSELVKQYRREHITVWGN
+ASYEIVEKCYKENSEIPILFSLQRVLLVLGLFFTGLLPFVPIREQFFEIPMPSIILKLKE
+PHTMSRSQKFLIWLSDILLMRKALFDHLTARGIQRLEKDVLRSIRRKHEKT
+>tr|F1MM31|F1MM31_BOVIN NCK associated protein 5 OS=Bos taurus OX=9913 GN=NCKAP5 PE=4 SV=3
+MHEKLIHELEEERHLRLQSEKRLQEVTLESERNRIQMRGLQQQFSRMEETVRNLLQSQGP
+PEQKKEETVNIMVYQEKLSEEERKHKAALEGRHMALDEDSRSEGSSADEGKGKTKWLLER
+LKALEAENSALALENENQREQYERCLDEVANQVVQALLTQKDLREECVKLKTRVFDLEQQ
+NRTLSILFQQRVRPTSDLLLQKLHSRILDLSSGDLLSDVEGSQSLTHSRTDVEMHGCQLN
+TKAGTPALKCPGTGIAVSGHLCPRSSYSSSELSLSSTCSEYSSGSSYTWHDGKNLRKRQS
+SQNWDKRLSIDSSLPSGFASPMDELPPTRIKESHILEGLRKLQKRKVLLEPPSVITKWGY
+KDCMNSNEGIYSPGINSNSLKEYPPCKPTDTRSPCTDPHKAFVYDTDSHDEADEDASSLA
+SVQAVPNQGCRLHSCKLTHSVSDSLFGWELNGKHCSEVTSSVYSRERPEKLTGCASNCPL
+EQKLCPGMQGPRVQREKVSPSQGCQALSLQPSDTDDPETLDELHIESSDEKSPSDVSATA
+DTDQSTESLDILTGFEKSSCGSPEEEENQVPVHLESRPKTFSFIKQQRVVKRTSSEECIT
+VIFDAEDGEPIEFSSHQTGLVTVTRKEISIHQAPAGPQMEHTELSPQGIAHLQPGAAARD
+YPFLKRSEEETERNIPRDEVDDPAMTPAVSFHPRTVTQNTQRLAKPTHVTPCQSHSRSSV
+GTGVCQKKSLTKIPTRGKSSPQKSRVREPEASLMMPSAGSVTLEKSPAPGKLSQFKKTEG
+PAPLFDLQPDSHIPKPPTQLPHGSKMSSRRDWVQSSKNQMLASQLLSRPPTERSDDGEPP
+TRDKHCDPGPEAGVKSPSPPSPPGRSVSLLIRPSYDFLPPPSSAKSESRVSTETARMVLK
+SPPLKGSSAPVIYFNQTLTDVQGKKPSVAFKKPIFTPSPPSAETAIQMRCPAHSPSSSFA
+VMVPEPPKVSPKRNAPRAPPHQTLGTTQNNTGLQTPKNYASPREPLEIPSSKGVSPGRKE
+KLSGSVSASSKPSFLGVNESPSSQVNSPLSSASFKSHNPLHGCQNLHERGLKTRFPVGLK
+VFMKSPQLLRKSSTVPGKQEKDSLNEASKTSVAVSKAKPGASRNPASLETTGGERNTSPV
+SLPAQESLAKGLPLERAMPESLENSMPGADRKDGVENRSVKRSLSSSKPHLKPALGMNGA
+KARSQSFSAHSGEKPPTPPTEGLGKVRTQIITNTAERGNSLTRQSSSAEGSPSKSASTPV
+SDGLPSTGKPLGHPSPRQGSLGSTGSSSSQHGSPSKLPLRIPPKSEEPLTPAGTEEQQAY
+AQGEGPRVTVPEEPGSDHCRCPPTPTDSSGGPQSLGRTPHPSSFTASRTSKLETSGRYPD
+TSTTRAGVVSPEAPLSPTIEEKVMLCIQENVEKGQVQTKSTSVEAKPKPGPSFASWFGFR
+RSRLPALSSRKMDVSRTKAEKKDAKGLGFGNKQLKSERKKEKKKPELQCEMENELHRDIE
+LADGPDSGLQNRNNPKTPPDIYDQVKFESRNRPSPVPCSAKDTFMTELLNRVDKKAAQQT
+ESGSNSLSCRSVLKGSSQGSCLTSSSLSTQGNHKKNIKTKADMEKPKGSLVREANDHLQE
+DEEDTVADSAFQSHTIETNCQMRTLDSGIGTFPLPDSGTRAAGRYIRQADSPEDTDPILS
+LQPALCAASSIRAQTLEREVPSSADSQGSADNAIVHSTSDPIMTARGTRPLQSLLPKPAS
+SGKINSQMKSEAEPRSQNCSPFQYVENTMASKPLPAWEGDDAAAETQDKAPRMCAYSASG
+SSDSDSDPDYGNNGFGAGRGKLVKAMKSTTPEIETS
+>tr|F1MW45|F1MW45_BOVIN RNA helicase OS=Bos taurus OX=9913 GN=DDX55 PE=3 SV=3
+MPARCERRPEPRRLHWKNGTTPAGFPPPAFIHQKSAFHSSVAKAPFPPSGSLRRECGFRA
+AGLATGRGSAPGRRPGSSSVAERTMEHVTEGSWESLPVPLHPQVLSVLRELGFPYMTPVQ
+SATIPLFMKNKDVAAEAVTGSGKTLAFVIPIVEILLRREEKFKKSQVGAIIITPTRELAV
+QIEEVLSHFTKPFPQFSQILWIGGRNPGEDVARFKELGGNIIVATPGRLEDMFRRKAEGL
+DLASCVRSLEVLVLDEADRLLDMGFETSINTILEFLPKQRRTGLFSATQTQEVENLVRAG
+LRNPVRISVKEKGVAASSTQKTPSRLENHYMVCKADEKFNQLVHFLRNHKQEKHLVFFST
+CACVEYYGKALETLVKGVKIMCIHGKMKYKRNKIFMEFRKLQSGILVCTDVMARGIDIPE
+VNWVLQYDPPSNASAFVHRCGRTARIGHGGSALVFLLPMEESYISFLAINQKCPLQEMKL
+QKNTADLLPKLKAMALGDRAVFEKGMKAFVSYVQAYAKHECNLIFRLKDLDFASLARGFA
+LLRMPKMPELRGKQFPDFVPVDVNTDTIPFKDKIREKQRQKQLLEQQRKEKTENDGRRKF
+IKNKAWSKQKAKKEKKKKLTEKRKREEGSDVEDEDMEELLNDTRLLKKFKKGKITEEEFE
+KGLLTSGKRSTNKADLEISDLEDDC
+>tr|G5E555|G5E555_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC782555 PE=3 SV=2
+MSSPNHTAVTEFILLGLTDDPVLQKALFGVFLVIYLVTLAGNLGMIMLIRTNPHLQTPMY
+FFLSHLSFVDLCYSSNVTPNMLYNFLSDQKTISYTGCFTQCLLFIALVITEFYLLASMSL
+DRYVAICSPLYYSTRMSKDVCIFLVTVPYTCGFFNGLSQALLTFHLSFCDSLEINHFYCA
+DPPLIMLACSDTYVKKMAMFVVAGFTLSSSLFIILLSYVFIIASILEIHSVEGRHKAFST
+CGSHLAIVTLFYGTLFCMYLRPPTEKSVKESKIFAVFYSFLSPMLNPLIYSMRNKDVIQA
+MQQMIKRNLS
+>tr|E1BK21|E1BK21_BOVIN Chromosome 13 C20orf144 homolog OS=Bos taurus OX=9913 GN=C13H20orf144 PE=4 SV=2
+MGNNSSHKRTKVPKQARKEKPPDMDKARRKRQFFNHLKWKKPSVSPGNKGQGGLTKIVLL
+FPLDKRQQLAEATAGPGARPERASEAAADTPTGPPATAPMLRGAGDGAERRESARAREMK
+KILVLLLLLDARLQEEGRRAAGGPGGGAKAAQGWQRLYTRLLTESETDCEAEAAEEQPRK
+RRRCPRPRP
+>tr|F6QQC6|F6QQC6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=ZNF596 PE=4 SV=1
+MESQESVTFQDVAVDFTQEEWALLDTSQRTLFREVMLENISHLVSVGNQLYKSDVISHLE
+QGEQLSREGLGFLQGQSPVISDREDDPKKQEMLSMQHICKKDAPLISAMQWSHTQEDPLE
+CNNFREKFTEILPLTQYVIPQVGKKPFISQDVGKAISYLPSFNIQKQIHSRSKSYECHQR
+RNTFIQRSAHRQHNNTQTGEKTFECHVCRKAFSKSSNLRRHEMIHTGVKPHVCHLCGKSF
+THCSDLRKHERIHTGEKLYGCHLCGKAFSKSYNLRRHEVIHTKEKPNECHLCGKAFAHCS
+DLRKHERTHFGEKPYGCHLCGKTFSKTSYLRQHERTHNGEKPYGCHLCGKAFTHCSHLRK
+HERTHTGEKPYECHLCGKAFTESSVLRRHERTHTGEKPYECHLCWKAFTDSSVLKRHERT
+HTGEKPYECHLCGKTFNHSSVLRRHERTHTGEKPYECNICGKAFNRSYNFRLHKRIHTGE
+KPYKCYLCGKAFKENHIHLFTCIKSNVV
+>tr|F1MLZ4|F1MLZ4_BOVIN Lysosomal-trafficking regulator OS=Bos taurus OX=9913 GN=LYST PE=4 SV=2
+MSTDSNSLAREFLTDVNRLCNAVVQRVEAREEEEEETHMATLGQYLVHGRGFLLLTKLNS
+IIDQALTCREELLTLLLSLLPLVWKIPVQEEKATDFNLPFSAGIILTKEKNSSSQRSTQE
+KLYLEGSAPAGQVSAKVNVFRKSRRQRKTTHRYSVRDARKTQLSTSDSEVNSDDKSIVMT
+KYRRSHVLQHFVKQCPKEDHLTAKLNHLSTKEQTPPDTMALENSREIIPREESNTDILSE
+PAALSTISHMNNSPFDLCHVLLSLLEKVCKFDITLNHNSALAASVVPTLTEFLAGFGDCC
+NLSDNLEGQMVSAGWTEEPVALIQRMLFRTVLHLMSVDISMAEVMPENLRKNLTELLRAA
+LKIRTFLEKQPDPFAPRQKKTLQEVQDDFVFSKYCHRVLLLPELLEGVLQILICCLQSAA
+SNPFFFSQAMDLVQEFIQHHGFHLFETAVLQMEWLVVRDGVPPEASGHLKALINNVMKIM
+STVKKVKSEQLHHSMCTRKRHRRCEYSHFMHHHRDLSGLLVSAFKNQVSKNPFEETADGD
+VYYPERCCCIAVCAHQCLRLLQQASLSSTCVQILSGVQNIGICCCMDPKSVIVPLLHAFK
+LPALKSCQQHILNILNKLILDQLGGAEIPQKTKKAACNICTVDSDQLAKLEETLQGSSYN
+AEPSSGLSSPSYRFQGILPSSGSEDLLWKWDALEAYQNFVFEEDRLQSVQIANHICSLIQ
+KGNVVVQWKLYNCIFNPVLQRGVELAHHCQQLSISSAQTHVCSHHNQCLPQEVLQIYLKT
+LPTLLKSRVIRDLFLSCNGVNQIIELNYLDGIRNHSLKAFETLIISLGEQQKDSSIPGID
+GIDLEQKELSSLNVGTLYNQQAYSDSQSLSKFYARLKDAYPKKRKSVVQDIHISTINLFL
+CVAFLCVSKEAESDRESANDSEDTSGYDSTASEPLQHMLPCFSLESLVLPSPRHMHQAAD
+VWSMCRWIYMLSPIFRKQFYRLGGFQVCHKLIFMVIQDLFRNPEEEQGRKEGDRTMNENQ
+DLNRISQPEITVKEDLLSLTVKIDPTPTELSSLKKSADSLGKLESEHLSSINVEQIPAVE
+AVPEETKVFMSRESETLLQGIRLLEALLAICLHGTRASQQKLELELPNQNLSVETILLEM
+RDHLSKSKVTETELAKPLFDALLRVALGNHSADFEHDDAMTEKSHQSEEELSSQPGDFSE
+EAEDSQCCSFKLLVEEEGYEADSESNPEDSETWDDGVDLKPEAESFIASSSPNDLLENLS
+QGEIIYPEICTLELNLLSTGKAKLDVLAHVFESFLKIIRQKEKNIFLLMQQGTVKNLLGG
+FLSILTQTDSDFQACQRVLVDLLVSLMSSRTCSEELTLLLRIFLEKSPCTEILLLGILKI
+VESDITMSPSQYLTFPLLHTPNLSNGVSSQKCPGILNSKAMGLLRRARVSQSKKEGDSES
+FPQQLLSSWHIAPVHLPLLGQPHLSEGFSISLWFNVECIHEPESTTEKGKKTRKRNKSLV
+LLDSSFDGTENNRLEGAAYVNPGERLIEEGCVHMISLGSKALIIQVWADPHTGTFIFRVC
+MDSNDDTKVVLLAQVESQENIFLPSKWQHLVLTYLQQPQGKKNIHGKISIWISGQRKPDV
+TLDFMLPRKTSLSSDSNKTFCMIGHCLSSQEESLQLAGKWDLGNLLLFNGAKIGSQEAFY
+LYACGPNHTSIMPCKYGKPVNDYSKYINTEILQCEQIRELFMTSKDVDIGLLIESLSVVY
+TTYCPAQYTIYEPVIRLKGQMKTQLSQRPFSSKEVHNILLEPHHLKNLQPTECKTLQGIL
+HEIGGTGIFVFLFARVVELSSCEETQALALRVILSLIKYNQQRIHELENCNGLSMIHQVL
+IKQKCIVGFHILKTLLEGCCGEDIIHINENGELKLDVESNAIIQDVKLLEELLLDWKIWN
+KAEHGVWETLLAALEVLIRADHQQQMFNIKQLLKARVVHHFLLTCQVLQEHKEGQLISMP
+QEVCRSFVKITAEVLGSPPDLELLTIIFNFLLAVHPPTNTYVCHNPTNFYFSLHIDGKIF
+QEKVQSIMYLRHSSSGGKSVTSPGFMIISPSDFTASPPEGNNSSSAVPKQVTASMLRSRS
+LPAFPTASPHIKPRKLTGSLGCSIDKLQSFVDDGVASQPEKWSPLRSSETLEKSKQDAFI
+SSCESAKMVCETEPALLAQASVSDIPKGALELPAVNIDHKDFGAEPRSDDDSPGDESCPR
+RPLYLKGLASFQRSHSTIASLGLAFPSQNGSAAVGRWPSLVDRNADDWENFALSLGYEPH
+HSRTAGAHSVTEDCLVPICCGLYELLSGVLLILPDVMLEDVMDRLIQADTLLVLVNHPSP
+AVQQEVIKLLDAYFNRASKEQKDKFLKNRGFSLLANQLYLHRGTQELLECFIEMFFGRRI
+GLDEEFDLEDVKNMGLFQKWSVIPILGLIETSLYDNVLLHNALLLLLQILNSCSKVADML
+LDNGLLYVLCNTVATLNGLEKIIPLNEYRLLACDIQQLFIAVTIHACSSSGSQYFRVIED
+LIVLLGYLQNSKNKRTQNMAVALQFRVLQAAMEFIRTTANHDSENLTDSLQSPSAPHHTM
+FQKRKSIAGPRKFLLAQTDSLLMKMRSVASDELHVMMQRRMSQENPVQATETELAQRLQR
+LTVFAVNRIVYQEFNPDIIDILSTPESTTQSRTSASQTEISEENIHHEQPSVFNPFQKEM
+FMYLVEGFKVSSASGKTSSSKQQWAKILWSCKETFRMQLGRLLVHMLSPAHPSQERKQIF
+EIVREPNHQEILRECLSPSLQHGAKLVLYLSELIHNHKDELTEEELDTAELLMNALKLCG
+HKCIPPSASTKSDLIRVIKEEQRKYETEEGVNKANWQKTVNNNQQSLFQRLDSKSKDISK
+IAADITQAVSLSQGIERKKVIQHIRGMYKVDLSASRHWQELIQQLTHDRAVWYDPIYYPT
+SWQLDPTEGPNRERRRLQRCYLTIPNKYLLRDRQKSEDVVKPPLSYLFEDKTHSSFSSTV
+KDKAASESIRVNRRCISVAPSRETAGELLLGKCGMYFVEDNASDTVECSNLQGELEPASF
+SWTYEEIKEVHKRWWQLRDNAVEIFLTNGRTLLLAFDNTKVRNDVYHSILTNNLPNLLEY
+GNITALTHLWYTGQITNFEYLTHLNKHAGRSFNDLMQYPVFPFILADYVSETLDLSDPSV
+YRNLSKPIAVQYKEKEDRYVDTYKYLEEEYRKGAREDDPMPPVQPYHYGSHYSNSGTVLH
+FLVRMPPFTKMFLAYQDQSFDIPDRTFHSTNTTWRLSSFESMTDVKELIPEFFYLPEFLV
+NREGFDFGVRQNGERVNHVNLPPWARNDPRLFILIHRQALESDYVSQNICQWIDLVFGYK
+QKGKASVQAINVFHPATYFGMDVSAVEDPVQRRALETMIKTYGQTPRQLFQSAHASRPGS
+KLNIEGELPAAVGLLVQFAFRETREQVKEITYPSPLSWIKGLKWGEYVGSPSAPVPVVCF
+SQPHGERFGSLQALPTRAICGLSRNFCLLMTYSKEQGVRSMNSTDIQWSAILSWGYADNI
+LRLKSKQSEPPINFIQSSQQYQVTSCAWVPDSCQLFTGSKCGVITAYSNRFTSGTPSEIE
+MESQIHLYGHTEEISSLFVCKPYSIMISVSRDGTCIIWDLNRLCYVQSLAGHKSPVTAVS
+ASETTGDIATVCDSAGGGSDLRLWTVNGDLVGHVHCREIICSVAFSNQPEGISINVIAGG
+LENGIVRLWSTWDLKPVREITFPKSNKPIVSLTFSCDGHHLYTANSDGTVIAWCRKDQQR
+LKHPMFYSFLSSYAAG
+>tr|E1BB49|E1BB49_BOVIN Homeodomain interacting protein kinase 4 OS=Bos taurus OX=9913 GN=HIPK4 PE=4 SV=3
+MGCHSLVQGIFPTQGSNPLLLHWQVDYLPEPPGKPSALRTRSRLLSLTLKILCNLASLYS
+RCPLPRAATGRGRGFLNTDIPTNTPAWEACSSSPLFRNVPLLSAQGPCSGSLLFRLFTSP
+ASPGFRPWAGEGGALPGTPPPHSSQGLRSPTIEPEPLSNAGTHSGGPGAPHPKPGGLWGR
+GAAPGRLTVWPRGLGVAREVGANLAGQGTSAVLFPPLWGPRGQRQGGRPRRQSPWSRGGS
+RPASRGRGTMATIQSETDCYDIIEVLGKGTFGEVAKGWRRSTGEMVAIKILKNDAYRNRI
+IKNELKLLRCMRGLDPEEAHIIRFLEFFHDALKFYLVFELLEQNLFEFQKENNFAPLPAR
+HIRTVTLQVLRALARLKELAIIHADLKPENIMLVDQTRCPFRVKVIDFGSASIFSEVRYV
+KEPYIQSRFYRAPEILLGLPFCEKVDVWSLGCVMAELHLGWPLYPGNNEYDQVRYICETQ
+GLPKPHLLHAARKAHHFFKRNPHPDAANPWQLKSLADYLAETKVRPLERRKYMLKSLDQI
+ETVNGVGAASRLTFPDREVLAEHADLKSMVELIKRMLTWESHERISPSAALRHPFVSMQQ
+LRSTHETTRYYQLSLRSCRLSLQVEGKPPTSVLAAAEDGPPYYRLAEEEAAMGLGSTGGS
+GPFFREEKAPGMQRAIDQLDDLSLQEVGRGLWGETHADVVPDVLAPLKAAAVGRRLPESS
+PEPILAFYGTRLVGRHKVRKAPVGSKSDSNFSNLIRLSQASPEDDAPCRASGWAEGERLG
+ASAEPPVIPQRDGDGPDIKDMTMDAESPGPELFDPNSCPGEWLSEPDWTLEGLRGPRVQG
+LPPHHAHPHGPPRATSFLQHVGGHH
+>tr|F1MSQ1|F1MSQ1_BOVIN THO complex subunit 7 homolog OS=Bos taurus OX=9913 GN=THOC7 PE=4 SV=3
+MGSVPDEVIRKRLLIDGDGAGDDRRINLLVKSFIKWCNSGSQEEGYSQYQRMLSTLSQCE
+FSMGKTLLVYDMNLREMENYEKIYKEIECSIAGAHEKIAECKKQILQAKRIRKNRQEYDA
+LAKVIQHHPDRHETLKELEALGKELEHLSHIKESVEDKLELRRKQFHVLLSTIHELQQTL
+ENDEKLSEVEEAQEASMETDPKP
+>tr|F1MMH3|F1MMH3_BOVIN LUC7 like OS=Bos taurus OX=9913 GN=LUC7L PE=4 SV=1
+MSAQAQMRALLDQLMGTARDGDETRQRVKFTDDRVCKSHLLDCCPHDILAGTRMDLGECT
+KIHDLALRADYEIASKERDLFFELDAMDHLESFIAECDRRTELAKKRLAETQEEISAEVS
+AKAEKVHELNEEIGKLLAKAEQLGAEGNVDESQKILMEVEKVRAKKKEAEEEYRNSMPAS
+SFQQQKLRVCEVCSAYLGLHDNDRRLADHFGGKLHLGFIQIREKLDQLRKTVAEKQEKRN
+QDRLRRREEREREERLSRRSGSRTRDRRRSRSRDRRRRRSRSTSRERRKSSRSRSRDRHR
+RHRSRSRSHSRGHRRASRDRSSKYKFSRERTSREESWERGRSERGATDWRLESANGKAAS
+RRSEEKEAGEI
+>tr|E1BE89|E1BE89_BOVIN G_PROTEIN_RECEP_F1_2 domain-containing protein OS=Bos taurus OX=9913 GN=LOC100847239 PE=3 SV=2
+MESGNGTQSSNFLLLLGLSGESELQPLIFGLFLFMYLITVFGNLLIILAVSSDSHLHTPM
+YFFLSNLSFVDICFTSTTIPKMLWNIQTESQVITYEGCITQMYFYILFAGLDDFLLTVMA
+YDRYVAICHPLHYMVIMSPQLCGLMVLISWIMSVLYSLLHSLMVLRLSFCTDLEIPHFFC
+EIKELVQLAYSSTFLNNTMMQFGAGLLGGGTLTGILYSYSKIVSSIYRISSAQGKCKAFS
+TCASHLSAVSLFYCTSLGVYLSSAASHSSLSSAAASVMYTVVTPMLNPFIYSLRSKDIKR
+ALKRCFGMETVSRSFVLGLKQCT
+>tr|G5E5Q9|G5E5Q9_BOVIN Ubiquitin carboxyl-terminal hydrolase OS=Bos taurus OX=9913 GN=USP26 PE=3 SV=1
+MDPVAAHGFVQLWNKKNGTSKSKEAIVELSGKKKKTKLVIHFCNGESRTFELHNNIKNVI
+VRSYGEKVNHLHLTFQNNSFFFMEKISSKDVKTLKAFLDGVCQNHLPPSIRPDVDSSASA
+STTVQKIVDETSFQKVGKKSNSQSSEKEEKNGTPSSASESLTSTYEQLLEDEYGKRNKKL
+SWDFQNESSSVKKRKSKRHLLRIVSHSEKKELRIKGLKQSKLDLDFLFKISPYLHGTNLV
+PKLSENIYFTFLSEAEYDKDDPYWDRLKLTLDYYPEKLWQGFPNLGNTCYMNAVLQSLFL
+IPSFGDDLLNRSYPWCKIPPDALSMCLAQMFVLKDIYNINIKKRLLVNIKKTISAVAEIF
+ANNRQNDAHEFLGHYLSQMKENMEKLNTLGKTKIESKEERSPQPFFAGSAAKQALLCPVI
+TNFEFELLCSITCKGCGHTVLKTEVNNYLSINPPQGQQALPFSIQSSLDLFFGTENLEYT
+CEQCKHKKSHIKYTFSRLPRVLIVHLKRYNFIQFRSLKKDDQEVIISKNLKISSYCNENT
+RPPFPLNKKAHLMNLKVLKIFRNINSEAISKLTPSAKMALEFMNSLPSHIVPDEEPEPQK
+DHVHHEDSREEEKQKDLGKCSVLEILDSALANSQDGIIVARELLAVALMMNMEDGSFSLR
+EITVSDPDVCQRVFENPKLKDDERMSMFADFDAVAKTTEDKDENAKISEVSSVAEQTPDK
+ERMKTYEQALWLALLQSIPKTKTQKKKCTEKLKRPKESGVRGTKVKSPGASGRNKKPGKK
+GSLRTEKTEAASNEPKGEAEMEDRQAYRLIGVLSHLGKSLNSGHYICDAYDFVRQEWFTY
+NDLQVSNIEEATMQKARLSSGYVFFYMHNEIFEELLERESSPPSSTEAGKTSQEQE
+>tr|F1N162|F1N162_BOVIN Fem-1 homolog B OS=Bos taurus OX=9913 GN=FEM1B PE=4 SV=2
+MEGLAGYVYKAASEGKVLTLAALLLNRSESDIRYLLGYVSQQGGQRSTPLIIAARNGHAK
+VVRLLLEHYRVQTQQTGTVRFDGYVIDGATALWCAAGAGHFEVVKLLVSHGANVNHTTVT
+NSTPLRAACFDGRLDIVKYLVENNANISIANKYDNTCLMIAAYKGHTDVVRYLLEQRADP
+NAKAHCGATALHFAAEAGHIDIVKELIKWRAAIVVNGHGMTPLKVAAESCKADVVELLLS
+HADCDRRSRIEALELLGASFANDRENYDIMKTYHYLYLAMLERFQDGDNILEKEVLPPIH
+AYGNRTECRNPQELESIRQDRDALHMEGLIVRERILGADNIDVSHPIIYRGAVYADNMEF
+EQCIKLWLHALHLRQKGNRNTHKDLLRFAQVFSQMIHLNETVKAPDIECVLRCSVLEIEQ
+SMNRVKNIPDADVHSAMDNYECNLYTFLYLVCISTKTQCSEEDQCKINKQIYNLIHLDPR
+TREGFTLLHLAVNSNTPVDDFHTNDVCSFPNALVTKLLLDCGAEVNAVDNEGNSALHIIV
+QYNRPISDFLTLHSIIISLVEAGAHTDMTNKQNKTPLDKSTTGVSEILLKTQMKMSLKCL
+AARAVRANDINYQDQIPRTLEEFVGFH
+>tr|A0A3Q1M856|A0A3Q1M856_BOVIN Family with sequence similarity 149 member A OS=Bos taurus OX=9913 GN=FAM149A PE=4 SV=1
+MKVAVLDLGSLFAKIFKTSTAPPAASSPVSSSSPSRGAAAAGAAGSRPGTSLSAARTLTV
+LPALAPDSPSSSRGPSVLLQPTPAALHPLLAAPHVRVSVAGRPAEAVETPASLPSSPGAA
+KVRSSPLPLALPPAPSSGGRSSAGSPAHLVVVARQPPGPGAVWAAYPSIGGSVGSSISSS
+VTASPRNPRPPCPGEKEPSARVPPGPGPKTLFFTLPDIGEEWASDSDSEDGRLGRGLSEG
+SGKQSFTVKSKDPLPTHFTKNVQKAIAKYSRESVSSFSSSGSPTPTEAPNSWSGSGTQSS
+TTGLSTERSSIYSWRDDEFDKASAQKVQQLFWEVEEMLFEGKVSPQTQNLQAECREWAGR
+SLHLRLCISGSQIVPAVLPAPSLPGPDGTRVVDLMAHSSLEEEIFDVDGKIEEYFAFDRK
+QDGEDCLEQKPAHRRRTWRKHRLPPVSPHDCIRDAVAAEVFDHVWMNVVEVLEKLTRKHW
+ELTEGKKQKEKLKVAENKSPPTVISRINADVSSVPPSRSSETRSVSLASHLNPQQIHRFS
+NNFYSDLNGVMTIQAKPLQQRPTCFADRTQSEQEDKPLGVGSAVLSSAQNRLARITDARG
+PQTSAKKTLAHRRLPSLTSDSQRLKIPSVYSDEVLRGTKLQTGVDHMGSPPTQTPRSRLP
+PIGSETGEHHVAGPGSRPVSLRGRHLQNHGLSALPDSVERSPLRERSLTMEQFSRPSTTH
+TFRSDTPRKGSLTLMEFAGHMWTGQGFLTGQCFPYTNKTMSYVKFQVFKIIYLERIILTK
+CL
+>tr|F1MYA5|F1MYA5_BOVIN Protein MRVI1 OS=Bos taurus OX=9913 GN=MRVI1 PE=4 SV=1
+MPHIPEDEEPPGEPQAAQSPAGQDPATTGISCSPPTIILTGDASSPEGETDKNPVNRAHS
+PHRRLSHRHLKVSTASLTSVDPAGHVIDLVNDQLPDISISEEDKKKNLALLEEAKLVSER
+FLTRRGRKSRSSPGESSPAVSPNLSPGASPASSQSNSLTVPTPPGLDVCSGPPSPLPGAP
+PQKGDEAEVPSPHLGESNVLKGLADRKQNDQRTLSQGRLTARSPTVEKSKEITIEHKENF
+DPLQRPEAIPKGPASGPGSGGKMALNSPQPGPVESELGKPLAKTAKEGNPLPRGPTQGSG
+GVAPQASQGKSTVGEPAGSKVGSKAELWPPTSRPPLLRGVSWDSGPEEPGPRLQKVLAKL
+PLAEEEKRFTGKAGSKLAKAPGLKDFQIQVQPVRMQKLTKLREEHILLRNQNLVGLKLPE
+LSEAAEQEKGHPSELSSAIEEEESKGGLDVMPNISDVLLRKLRVHKSLPGSAPPLTEKEV
+ENVFVQLSLAFRNDSYTLESRINQAERERNLTEENTEKELENFKASITSSASLWHHCEHR
+ETYQKLLEDIAVLHRLAARLSSRAEMVGAVRQEKRMSKATEVMMQYVENLKRTYEKDHAE
+LMEFKKLANQNSSRSCGPSEDGVPRTARSMSLSLGKNMPRRRVSVAVVPKFNILNLPGQS
+PSSSPIPSLPALSESSNGKGNPPVSSALPALLENGKTNGDPDCEASASVPTPSCLEGISQ
+EAKARMEEEAYNKGYQEGLKKTKELQGLREEEEEQKSESPEEPEEAAETEEEEKEQRSSK
+LEELVHFLQVMYPKLCQHWQVIWMMAAAMLVLTVVLGLYGSHNSCVEQADGSLGKSTCSA
+AQRDSWWSSGLQHEQPTEQ
+>tr|A0A3Q1M991|A0A3Q1M991_BOVIN Homeobox protein cut-like OS=Bos taurus OX=9913 GN=CUX2 PE=3 SV=1
+METLVWKELNSVASELSARQEESEHSHKHLIELRREFKKNVPEEIREMVAPVLKSFQAEV
+VALSKRSQEAEAAFLSVYKQLIEAPDPVPVFEVARSPDDRLQPPSFDPSGPLRREFHASW
+KRHPELVGTKEQREGTSPAGPTLTEASRLPGIPSKALLTETLLQRNEAEKQKGLQEVQIT
+LAARLGEAEEKIKVLHSALKATQTELLELRRKYDEEAASKADEVGLIMTNLEKANQRAEA
+AQREVESLREQLASVNSSIRLACCSPQGPSGDKVNFALCSGPRLEAALASKDREILRLLK
+DVQHLQSSLQELEETSAHQIADLERQLTAKSEAIEKLEEKLQAQSDYEEIKTELSILKAM
+KLASNTCSLPQPCFLSPQGMAKPEDSLLLAKEAFFPAQKFLLEKPGLLASPEEDPSEDDS
+IKDSLGTEQSYPSPPQLPPPPGPEDPLSPSPGQPLLGPSLGPDGPRTFSLSPFPSLASAE
+RLTGDTLLSKHMMPPTAFKGEAGGLLVFPPAFYGAKPPTAPATPAPGPEPPGGPEPAEGG
+GGGMATAGAGTEEEQLDTAEIAFQVKEQLLKHNIGQRVFGHYVLGLSQGSVSEILARPKP
+WRKLTVKGKEPFIKMKQFLSDEQNVLALRTIQVRQRGSITPRIRTPETGSDDAIKSILEQ
+AKKEIESQKGGEPKNSAAPLSITNGTAPASTTSEDAIKNILEQARREMQAQQQALLEMEA
+GARGRSVPPSPPERPSLAAMSQNGAPTLVKQEDSGVGSGGTSSSGTQTSLTVLSPAAFVQ
+SIIRKVKSEIGDAGYFDHHWASDRGLLSRPYASVSPSLSSSSSYSGQPNGRAWPRGDEAP
+AVPEDEAAGSEDEPPRVGELKAEGGGPEGGGGGRLAYYPAYVPRTLKPTVPPLTPEQYEL
+YMYREVDTLELTRQVKEKLAKNGICQRIFGEKVLGLSQGSVSDMLSRPKPWSKLTQKGRE
+PFIRMQLWLSDQLGQAVGQQPSASQVSPTEPRSSPSPPPSPTEPLEKSSQEPLSLTLESS
+KENQQPEGRSSSSLSGKIYSGSQTTGGIQEIVAMSPELDTYSITKRVKEVLTDNNLGQRL
+FGESILGLTQGSVSDLLSRPKPWHKLSLKGREPFVRMQLWLNDPHNVEKLRDMKKLEKKA
+YLKRRYGLISTGSDSESPATRSECPSPCLQPQDLSLLQIKKPRVVLAPEEKEALRKAYQL
+EPYPSQQTIELLSFQLNLKTNTVINWFHNYRSRMRREMLVEGTQEEPDLDPSGGPGILPP
+GHSHPDPTPQSPDSEAEDQKPTVKELKLQEAPEESVTHLTSQDKTPVRIKQEQTEEAEEQ
+AGSQDSKEPDKGQGSPQEVHPDPLSDDGLPKAAPGLLLPGGTTPACPSLHPLPESEGGER
+LHPDPLSFKSASESSRCSLEVSLNSPSAASSPGLMMSVSPVPSSSAPISPSPPSTLPAKV
+PSASPTADTTGALHPSTKVNPNLQRRHEKMANLNSIIYRLERAANREEALEWEF
+>tr|F1ML59|F1ML59_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=NT5C1B PE=4 SV=1
+MSQTSLKQKKKNETGSRYSKDSLEGDKSRKDSEKSVRLTTQGSQELTLPKSDSRGYVVRN
+QWSRTSRSPSTRPPSAEESRSKNTSLKLPSSSTTSRTSSTSPSHQESPKQLSAQPSPTTQ
+NMPLDSRNSMSPEAQLQTTSRRTTKSENPDTWSHSTGREMREGREKRESRDTRDMSRSRD
+SHDSRDTHQREYSRTPPSEWKSYTQRRMLYPSQMDHDCVSELARKREEEEDEDEAYWASV
+RTLYEKTPNCSRPRPPKPKHAITVAVSSGALFNMMDSRKIYDEEGLEKYMEYQLTNENVV
+LTPGPAFRFVKALQHVNCRLRELYPDEQDLFDIVLMTNNHAQVGVRLINSVNHYGLLIDR
+FCLTGGKSPIGYLKAYLTNLYVSADSDKVLEAIQEGIASATMYEGAKDMSYCDTQLRVVF
+DGDAVLFCEEPEHSTKDHGLDKCFQHEALADNKPLGQGPLKGFMEDLGRLQKKFYAKDER
+LCCPIRTYLVTARSAASSGARVLKTLRRWGLEIDEALFLAGAPKGPILAKIRPHLFFDDH
+MFHIEGAQKYGTTTAHVPYGISQK
+>tr|A0A3Q1MT00|A0A3Q1MT00_BOVIN Heat shock protein 75 kDa, mitochondrial OS=Bos taurus OX=9913 GN=TRAP1 PE=4 SV=1
+MEQCAGRGPPGRPRALGAGEGGGGWGGRARASAPARKPVLCPWRPPAQSWGPPRSLASSF
+HVGRPFSSQAAEDQAEAGPLHSVISSLEAVQGSATKHEFQAETKKLLDIVARSLYSEKEV
+FIRELISNASDALEKLRHKLVSEGQALPDMEIHLQTDADRGTITIQDTGVGMSREELVSN
+LGTIARSGSKAFLDALQNQAEAGSKIIGQFGVGFYSAFMVADRVEVYSRSVDAGSLGYRW
+LSDGSGVFEVAEASGVRTGTKIIIHLKADSREFASEARVRDVVTKYSNFVSFPLYLNGRR
+MNTLQAIWMMDPKDVGEGQHEEFYRYVAQAHDRPRYTLHYRTDAPLSIRSIFYVPDAGFL
+PLGGACLLYWSQRQVALPFWDVFTPLIIRWLMSCCLMVFCESCFLVINAFLFCRKLQGVL
+QQRLIKFFTDQSKKDAEKYARFFEDYGLFVREGIVTTAEQEVKEDIAKLLRYESSALPAG
+QLTSLSDYASRMQAGTRNIYYLCAPNRHLAEHSPYYEAMKRKNTEVLFCYEQFDELTLLH
+LREFDKKKLISVETDIVVDHYKEEKFEDGAPAGDCLSEKETEDLMAWMRNALGSRITDVK
+VTLRLDTHPAMITVLEMGAARHFLRMRQLAKTQEERAQLLQPTLEINPRHVLIKKLSQLR
+DSEPDLAQLLVDQIYENAMITAGLIDDPRPMVGRLNQLLVKALERH
+>tr|F1MXG6|F1MXG6_BOVIN IF rod domain-containing protein OS=Bos taurus OX=9913 GN=KRT31 PE=3 SV=2
+MSYNFCLPNLSFRSSCSSRPCVPPSCCGTTLPGACNIPANVGSCNWFCEGSFNGNEKETM
+QFLNDRLASYLEKVRQLERENAELESRILERSQQQEPLLCPNYQSYFRTIEELQQKILCA
+KSENARLVVQIDNAKLAADDFRTKYETELGLRQLVESDINGLRRILDELTLCKSDLEAQV
+ESLKEELICLKSNHEEEVNTLRSQLGDRLNVEVDAAPTVDLNRVLNETRAQYEALVETNR
+RDVEEWYIRQTEELNKQVVSSSEQLQSCQTEIIELRRTVNALEVELQAQHNLRDSLENTL
+TETEARYSCQLAQVQGLIGNVESQLAEIRSDLERQNQEYQVLLDVRARLECEINTYRGLL
+DSEDCKHTSYSNCCFLTCI
+>tr|F1N4G1|F1N4G1_BOVIN Peptidase S1 domain-containing protein OS=Bos taurus OX=9913 GN=LOC112447768 PE=3 SV=3
+MTRWRDGRAMLLLPVALLLRWPHSSLERRSLPVFVVLQCGQKMNTSEKSEVLEIIGGVPA
+NIRDFPWQIRILENGSHLCGGSILSEWWILTAAHCFKSKNASTLEVTHGEENLDTQNLTK
+IKVDKLIIHNYFDSWFYLNDIALLLLKSPLSLGVRKVPICLSEVTAIERWRNCWVSGWGT
+TVPQRSTETGLQKVNIQLIKWETCFELMPLLTKSMLCAGDLEGGKDACQGDSGGPLVCQK
+KTRKSKWYQLGIVSWGVGCGQKKQPGVYTQVSSYLSWIETKTKLSKRPYKHEPDSGYSLL
+LSPWAILVLYFLMFLLSP
+>tr|A0A3Q1LUJ8|A0A3Q1LUJ8_BOVIN Sodium/potassium-transporting ATPase subunit alpha OS=Bos taurus OX=9913 GN=ATP1A1 PE=1 SV=1
+MGGMPHSAARPGPSGGFLGSSPHSGPRSLREAKAEVGRDKYEPAAVSEHGDKKKAKKERD
+MDELKKEVSMDDHKLSLDELHRKYGTDLSRGLTTARAAEILARDGPNALTPPPTTPEWVK
+FCRQLFGGFSMLLWIGAVLCFLAYGIQAATEEEPQNDNLYLGVVLSAVVIITGCFSYYQE
+AKSSKIMESFKNMVPQQALVIRNGEKMSINAEEVVVGDLVEVKGGDRIPADLRIISANGC
+KVDNSSLTGESEPQTRSPDFTNENPLETRNIAFFSTNCVEGTARGIVVYTGDRTVMGRIA
+TLASGLEGGQTPIAAEIEHFIHIITGVAVFLGVSFFILSLILEYTWLEAVIFLIGIIVAN
+VPEGLLATVTVCLTLTAKRMARKNCLVKNLEAVETLGSTSTICSDKTGTLTQNRMTVAHM
+WFDNQIHEADTTENQSGVSFDKTSATWLALSRIAGLCNRAVFQANQDNLPILKRAVAGDA
+SESALLKCIEVCCGSVKEMRERYTKIVEIPFNSTNKYQLSIHKNANAGEPRHLLVMKGAP
+ERILDRCSSILIHGKEQPLDEELKDAFQNAYLELGGLGERVLGFCHLLLPDEQFPEGFQF
+DTDDVNFPVDNLCFVGLISMIDPPRAAVPDAVGKCRSAGIKVIMVTGDHPITAKAIAKGV
+GIISEGNETVEDIAARLNIPVSQVNPRDARACVVHGSDLKDMTPEQLDDILKYHTEIVFA
+RTSPQQKLIIVEGCQRQGAIVAVTGDGVNDSPALKKADIGVAMGIAGSDVSKQAADMILL
+DDNFASIVTGVEEGRLIFDNLKKSIAYTLTSNIPEITPFLIFIIANIPLPLGTVTILCID
+LGTDMVPAISLAYEQAESDIMKRQPRNPQTDKLVNERLISMAYGQIGMIQALGGFFTYFV
+IMAENGFLPNHLLGIRVTWDDRWINDVEDSYGQQWTYEQRKIVEFTCHTAFFVSIVVVQW
+ADLVICKTRRNSVFQQGMKNKILIFGLFEETALAAFLSYCPGMGVALRMYPLKPTWWFCA
+FPYSLLIFVYDEVRKLIIRRRPGGWVEKETYY
+>tr|F6PRR3|F6PRR3_BOVIN CKLF like MARVEL transmembrane domain containing 5 OS=Bos taurus OX=9913 GN=CMTM5 PE=4 SV=1
+MFNAWSRRDRPPEDGTAAGLDGFAVDKTFLSSLKGILLETELALTFIIFICFMASISAYM
+AAALLEFFITLAFLFLYATQYYERFDRMNWPCLDFLRCVSAIIIFLVVSFAAVTSRDGAA
+IAAFVFGIILVSVFAYDAFKIYRTEMVPRAAQAPQQDVS
+>tr|A0A3Q1M024|A0A3Q1M024_BOVIN Protocadherin 1 OS=Bos taurus OX=9913 GN=PCDH1 PE=4 SV=1
+MGPLRHSPGPGERRLLLPTLLLALLLLLAPSPGHATRVVYKVAEEQPPNTLIGSLAADYG
+FPDVGHLYKLEVGAPYLRVDGKTGDIFTTETSIDREGLRECQNQLPGEPCILEFEVSITD
+LVQNGSPRLLEGQIEVQDINDNTPNFASPVITLPIPENTNIGSLFSIPVASDRDAGPNGV
+ASYELQVGPEAQELFGLQVAEDQEGKQPQLIVMGNLDRERLDSYDLTIKVQDGGNPPRAS
+SALLRVTVLDTNDNAPKFERPSYEAELSENSPIGHSVIQVKANDSDQGANAEIDYTFHQA
+PEVVRRLLRLDRNTGLITVQGPVDREDLSTLRFSVLAKDRGTNPKSARAQVVVTVKDMND
+NAPTIEIRGIGLVTHQDGMANISEDVAEETAVALVQVSDRDEGENAAVTCVVAGDVPFQL
+RQASETGSDSKKKYFLQTTTPLDYEKVKDYTIEIVAVDSGNPPLSSTNSLKVQVVDVNDN
+APVFTQSVTEVAFPENNKPGEVVAEVTASDADSGSNAELVYSLEPEPAAKGLFTISPETG
+EIRVKTSLDREQRESYELKVVAADRGSPSLQGTATVLVNVLDCNDNDPKFMLSGYNFSVM
+ENMPALSPVGMVTVIDGDKGENARVQLTVEQDNGDFVIQNGTGTILSSLSFDREQQSTYT
+FQLKAVDGGVPPRSAYVGVTINVLDENDNAPFITAPSNTSHRLLTPQTRLGETVSQVTAE
+DIDSGVNAELTYSIAGGNPYGLFQIGSHSGAITLEKEIERRHHGLHRLVVKVSDRGKPPR
+YGTALVHLYVNETLANRTLLETLLGHSLDTPLDIDIAGDPEYERSKQRGNILFGVVAGVV
+AVALLIALAVLVRYCRQREAKSGYQAGKKETKDLYAPKPSSKVSKGNKSKGKKSKSPKPV
+KPVEDEDETGLQKSLKFNLMSDAPGDSPRIHLPLNYPPGSPDLGRHYRSNSPLPSIQLQP
+QSPSASKKHQVVQELPPANTFVGTGDTTSTGSEQYSDYSYRTNPPKYPSKQLPHRRVTFS
+ATSQAQELQDPSQHSYYDSGLEESETPSSKSSSGPRLGPLALPEDHYERTTPDGSIGEME
+HPENDLRPLPDVAMTGTCTRECSEFGHSDTCWMPGQSSPSRRTKSSALKLSTFVPYQDRG
+GQEPAGAGSPSPPEDRNTKTAPVRLLPSYSAFSHSSHDSCKDSATLEEIPLTQTSDFPPA
+ATPASAQTAKREIYL
+>tr|F1MHQ2|F1MHQ2_BOVIN BPI1 domain-containing protein OS=Bos taurus OX=9913 GN=BPIFA2A PE=4 SV=1
+MVQLWKLVLLCGLLAGTSESLLDIRGNDVLRRLISGLERGLGTFDSTIEIIFQNLKTELE
+SRCLNDVVEETQQTENSLEGLISRIFQVVNRLTGVRIRNVQVPDITFESTSENSADVSIP
+ITADVTVSLPLLGEIVKLDLNVDLQTSVSIETDAETGDSRVVVGECPNNPESISLTVLHR
+RPGLLNDVVDFGVNLVRQLVSSVVQHELCPRIRELLESLDTECIKKLIGEPQVTTQQEI
+>tr|F1MWF1|F1MWF1_BOVIN Kinase insert domain receptor OS=Bos taurus OX=9913 GN=KDR PE=3 SV=1
+MESKALLALALWLCVETRAASVGFSSVSLDPPRLSIQKDILRVMANTTLQITCRGQRDLQ
+WLWPNNQSSSEKRVEVTDCSDGVFCKMLTISEVIGNDTGAYKCFYQDTDMGSVLYVYVQD
+YRSPFIASVSDQHEVVYITENKNKTVVIPCLGTVSDLNVSLCARYPEKRFVPDGNRISWD
+SQKGFSIPSYMISYAGVVFCEAKINDESYQSIMYIIVVIGYKIYDVVLSPPHGVELSVGE
+KLILNCTARTELNVGIDFHWEYPSLKHQHKKLINRDLKTQSGTEMKKFLSTLTIDGVTRS
+DQGWYICAASSGLMTKKNSTFVRVHEKPFVAFGSGMESLVEATVGERVRVPVKYLGYPPP
+EIKWYKNGRPIESNHTVKVGHVLTIMEVSEKDTGNYTVILTNPISKEKQSHMVSLVVNVP
+PQIGEKSLLSPVDSYQYGTSQTLTCTVYAVPPPSHIRWYWQLETECTYQPTLTALTTNPY
+TCKEWRNVEDFQGGNKIEVNKNQIALIEGRNKTVSTLVIQAANVSALYKCEAVNKAGRGE
+RVISFHVTRGPEITLQPGIQPTEQENVSLWCSADRTMFENLTWYKLGPQALPIHMGDLPT
+PVCKNLDALWKMNATMNSNGTNDILILELQNASLQDQGDYVCFAQDRKTKKRHCVARQLT
+VLERMAPMITGNLENQTTSIGETIEVSCTASGNPSPQITWFKDNETLVEDSGIVLKDGNR
+NLTIRRVRKEDEGLYTCQACSVLGCAKVEAFFIVEGAQEKTNLEVIILVGTAVIAMFFWL
+LLVIVLRTVKRANGGELKTGYLSIVMDPDELPLDEHCERLPYDASKWEFPRDRLKLGKPL
+GRGAFGQVIEADAFGIDKTATCKTVAVKMLKEGATHGEHRALMSELKILIHIGHHLNVVN
+LLGACTKPGGPLMVIVEFCKFGNLSTYLRSKRNEFVPYKTKGAQFRQGKEYVGEITMDPK
+RRLDSITSSQSSASSGFVEEKSLSDVEEEEVSEDLYKNFLTLEHLICYSFQVAKGMEFLA
+SRKCIHRDLAARNILLSEKNVVKICDFGLARDIYKDPDYVRKGDARLPLKWMAPETIFDR
+VYTIQSDVWSFGVLLWEIFSLGASPYPGVKIDEEFCRRLKEGTRMRAPDYTTPEMYQTML
+DCWHGDPNQRPTFSELVEHLGNLLQANAQQDGKDYIVLPISETLSMEEDSGLSLPTSPVS
+CMEEEEVCDPKFHYDNTAGISQYLQNSKRKSRPVSVKTFEDIPLEEPEVKVIPDDSQTDS
+GMVLASEELKTLEDRTKLAPSFSGLMPSKSKESVASEGSNQTSGYQSGYHSDDTDTTVYS
+SEEAELLKLMEIGPQVGSAAPILQPDSGTTLSSPPI
+>tr|A0A3Q1MS87|A0A3Q1MS87_BOVIN Anoctamin OS=Bos taurus OX=9913 GN=ANO4 PE=3 SV=1
+LRLFCDVNILFDELEAVNSPCKDDDSLLHPGNLTSTSDDASRLEAGGETVPEKNKLNGLY
+FRDGKCRIDYILVYRKSNPQMEKREVFERNIRAEGLQMEKESSLINSDIIFVKLHAPWEV
+LGRYAEQMNVRMPFRRKIYYLPRRYKFMSRIDKQISRFRRWLPKKPMRLDKETLPDLEEN
+DCYTAPFSQQRIHHFIIHNKDTFFNNATRSRIVHHILQRIKYEEGKNKIGLNRLLTNGSY
+EAAFPLHEGSYRSKNSIRTHGAVNHRHLLYECWASWGVWYKYQPLDLVRRYFGEKIGLYF
+AWLGWYTGMLFPAAFIGLFVFLYGVITLDHCQVSKEVCQATDIIMCPVCDKYCPFMRLSD
+SCVYAKVTHLFDNGATVFFAVFMAVWATVFLEFWKRRRAVIAYDWDLIDWEEEEEEIRPQ
+FEAKYSKKERMNPISGKPEPYQAFADKCSRLIVSASGIFFMICVVIAAVFGIVIYRVVTV
+STFAAFKWALIRNNSQVATTGTAVCINFCIIMLLNVLYEKVALLLTNLEQPRTESEWENS
+FTLKMFLFQFVNLNSSTFYIAFFLGRFTGHPGAYLRLINRWRLEECHPSGCLIDLCMQMG
+IIMVLKQTWNNFMELGYPLIQNWWTRRKVRQEHGPERKISFPQWEKDYNLQPMNAYGLFD
+EYLEMILQFGFTTIFVAAFPLAPLLALLNNIIEIRLDAYKFVTQWRRPLASRAKDIGIWY
+GILEGIGILSVITNAFVIAITSDFIPRLVYAYKYGPCAGQGEAGQKCMVGYVNASLSVFR
+ISDFENRSEPESDGSEFSGTPLKYCRYRDYRDPPHSLVPYGYTLQFWHVLAARLAFIIVF
+EHLVFCIKHLISYLIPDLPKDLRDRMRREKYLIQEMMYEAELERLQKERKERKKNGKAHH
+NEWP
+>tr|F1N367|F1N367_BOVIN Aggrecan core protein OS=Bos taurus OX=9913 GN=ACAN PE=4 SV=2
+MTTLLLVFVTLRVITAAISVEVSEPDNSLSVSIPEPSPLRVLLGSSLTIPCYFIDPMHPV
+TTAPSTAPLAPRIKWSRISKEKEVVLLVATEGRVRVNSAYQDKVTLPNYPAIPSDATLEI
+QNMRSNDSGIYRCEVMHGIEDSQATLEVVVKGIVFHYRAISTRYTLDFDRAQRACLQNSA
+IIATPEQLQAAYEDGFHQCDAGWLADQTVRYPIHTPREGCYGDKDEFPGVRTYGIRDTNE
+TYDVYCFAEEMEGEVFYATSPEKFTFQEAANECRRLGARLATTGQLYLAWQGGMDMCSAG
+WLADRSVRYPISKARPNCGGNLLGVRTVYLHANQTGYPDPSSRYDAICYTGEDFVDIPES
+FFGVGGEEDITIQTVTWPDVELPLPRNITEGEARGSVILTAKPDFEVSPTAPEPEEPFTF
+VPEVRATAFPEVENRTEEATRPWAFPRESTPGLGAPTAFTSEDLVVQVTLAPGAAEVPGQ
+PRLPGGVVFHYRPGSSRYSLTFEEAKQACLRTGAIIASPEQLQAAYEAGYEQCDAGWLQD
+QTVRYPIVSPRTPCVGDKDSSPGVRTYGVRPPSETYDVYCYVDRLEGEVFFATRLEQFTF
+WEAQEFCESQNATLATTGQLYAAWSRGLDKCYAGWLADGSLRYPIVTPRPACGGDKPGVR
+TVYLYPNQTGLLDPLSRHHAFCFRGVSAAPSPEEEEGSAPTAGPDVEEWMVTQVGPGVAA
+VPIGEETTAIPGFTVEPENKTEWELAYTPAGTLPLPGIPPTWPPTGEATEEHTEGPSATE
+VPSASEKPFPSEEPFPPEEPFPSEKPFPPEELFPSEKPFPSEKPFPSEEPFPSEKPFPPE
+ELFPSEKPIPSEEPFPSEEPFPSEKPFPPEEPFPSEKPIPSEEPFPSEKPFPSEEPFPSE
+EPSTLSAPVPSRTELPSSGEVSGVPEISGDFTGSGEISGHLDFSGQPSGESASGLPSEDL
+DSSGLTSTVGSGLPVESGLPSGEEERITWTSAPKVDRLPSGGEGPEVSGVEDISGLPSGG
+EVHLEISASGVEDISGLPSGGEVHLEISASGVEDLSRIPSGEGPEISASGVEDISGLPSG
+EEGHLEISASGVEDLSGIPSGEGPEVSASGVEDLIGLPSGEGPEVSASGVEDLSRLPSGE
+GPEVSASGVEDLSGLPSGEGPEVSVSGVEDLSRLPSGEGPEVSASGVEDLSRLPSGEGPE
+ISVSGVEDISILPSGEGPEVSASGVEDLSVLPSGEGHLEISTSGVEDLSVLPSGEGHLEI
+SASGVEDISRLPSGEGPEVSASGVEDLSVLPSGEDHLEISASGVEDLGVLPSGEDHLEIS
+ASGVEDISRLPSGEGPEVSASGVEDLSVLPSGEGHLEISASGVEDLSRLPSGGEDHLETS
+ASGVGDLSGLPSGREGLEISASGAGDLSGLTSGKEDLTGSASGALDLGRIPSVTLGSGQA
+PEASGLPSGFSGEYSGVDLESGPSSGLPDFSGLPSGFPTVSLVDTTLVEVVTATTAGELE
+GRGTIDISGAGETSGLPFSELDISGGASGLSSGAELSGQASGSPDISGETSGLFGVSGQP
+SGFPDISGETSGLLEVSGQPSGFYGEISGVTELSGLASGQPEISGEASGILSGLGPPFGI
+TDLSGEAPGIPDLSGQPSGLPEFSGTTSGIPDLVSSAVSGSGESSGITFVDTSLVEVTPT
+TFKEEEGLGSVELSGLPSGEVGVSGTSGLADVSGLSSGAIDSSGFTSQPPEFSGLPSGVT
+EVSGEASGAESGSSLPSGAYDSSGLPSGFPTVSFVDRTLVESVTQAPTAQEAGEGPSGIL
+ELSGAPSGAPDMSGDHLGSLDQSGLQSGLVEPSGEPASTPYFSGDFSGTTDVSGESSAAT
+STSGEASGLPEVTLITSELVEGVTEPTVSQELGQRPPVTYTPQLFESSGEASASGDVPRF
+PGSGVEVSSVPESSGETSAYPEAEVGASAAPEASGGASGSPNLSETTSTFHEADLEGTSG
+LGVSGSPSAFPEGPTEGLATPEVSGESTTAFDVSVEASGSPSATPLASGDRTDTSGDLSG
+HTSGLDIVISTTIPESEWTQQTQRPAEARLEIESSSPVHSGEESQTADTATSPTDASIPA
+SAGGTDDSEATTTDQKLCEEGWTKFQGHCYRHFPDRATWVDAESQCRKQQSHLSSIVTPE
+EQEFVNNNAQDYQWIGLNDKTIEGDFRWSDGHSLQFENWRPNQPDNFFATGEDCVVMIWH
+EKGEWNDVPCNYQLPFTCKKGTVACGEPPVVEHARIFGQKKDRYEINALVRYQCTEGFIQ
+RHVPTIRCQPSGHWEEPRITCTDPATYKRRLQKRSSRPLRRSHPSTAH
+>tr|A0A3Q1MQZ4|A0A3Q1MQZ4_BOVIN DNA-binding protein RFX2 OS=Bos taurus OX=9913 GN=RFX2 PE=4 SV=1
+MQNSESGSDSPASVALCSSAAAQAPVAQPVTASPQRVLVQAAGSAPKGAQMQPLSLPRVQ
+QVTQQVQPAQHVYPAQLQYVEGGDAVFTNGALRTAYAYNPEPQMYAPSSAASYFEAPGGA
+QVTVAGSSPPAVPSHSMVGITMDVGGSPIVSSAGAYLIHGGMDGTRHTLAHTSRSSPATL
+EMAIENLQKSEGITSHKSGLLNSHLQWLLDNYETAEGVSLPRSSLYNHYLRHCQEHKLDP
+VNAASFGKLIRSVFMGLRTRRLGTRGNSKYHYYGIRLKPDSPLNRLQEDTQYMAMRQQPV
+HQKPRYRPAQKTDSLGESGSHSSLHSTPEQAMAAQSQHHQQYIDVSHVFPEFPAPDLGSV
+LLQESITLHDVKALQLAYRRHCEATLDVVMNLQFHYIEKLWLSFWNSKSSSDGPTSLPAS
+DEEPEGAVLPKDKLVSLCKCDPVLRWMRTCDHILYQALVEILIPDVLRPVPSTLTQAIRN
+FAKSLEGWLTNAMSDFPQQVIQTKVGVVSAFAQTLRRYTSLNHLAQAARAVLQNTSQINQ
+MLSDLNRVDFANVQEQASWVCQCEEGVVQRLEQDFKLTLQQQSSLDQWASWLDNVVTQVL
+KQHAGSPSFPKAARQFLLKWSFYSSMVIRDLTLRSAASFGSFHLIRLLYDEYMFYLVEHR
+VAEATGETPIAVMGEFNDLASLSLTLLDKDDISEAHSETRGRGEPLVKRERSDPNHPLQG
+V
+>tr|A0A3Q1MMC9|A0A3Q1MMC9_BOVIN Sorbin and SH3 domain containing 1 OS=Bos taurus OX=9913 GN=SORBS1 PE=4 SV=1
+MSSECDAGASKAVVNGLAPGSNGQDKDMDPTRICTGKGAVTLRASSSYREIPSSSPVSPQ
+ETPKQERKTDEWRLSSNADANGNAQPSSLAAKGYRSVHPSLPSSKPQDATSSSPAPPEVI
+VVPLYRVNTDRGHEGTDRPPASLGPHGPPVPAAAPAGSPLTFPTLDDFIPPHLQRRSHHS
+QPASAPGSLPPASQTPPSFSPQPPLVPPVPEGLRRVSEPDLTGAVSSTDSSPLLNEVSSS
+HAGTDSQTFASVSKPSSAYPSTTIVNPTIVLLQHNREQQKRLSSLSDPVSERRAGEQDSA
+PAQEKPTSPGRAAERKAKDDSRRVAKSAQDLSDVCMDEVGIPLRNTERSKDWYKTMFKQI
+HKLNRDDDSDLYSPRYSFSEDTKSPLSVPRSKSEMSCIDGEKVVKRSATLPLPTRSSSLK
+SSPERTDWEPPDKKVDTRKYRAEPRSIYEYQPGKSSVLTNEKMSSAVSPTPDISSEPPGY
+VYSSNFHAVKRESDGAPGDLTSLENERQIYKSVLEGGDIPLQGLSGLKRPSSSASTKDSE
+SPRHFIPADYLESTEEFIRRRHDDKEKLLADQRRLKREQEEADIAARRHTGVIPTHHQFI
+TNERFGDLLNIDDTAKRKSGSEMRPARAKFDFKAQTLKELPLQKGDIVYIYKQIDQNWYE
+GEHHGRVGIFPRTYIELLPPAEKAQPKKLTPVQVLEYGEAIAKFNFNGDTQVEMSFRKGE
+RITLLRQVDENWYEGRIPGTSRQGIFPITYVDVIKRPLVKNPTDYIDLPFSSSPSRSTTA
+SPQQPQAQQRRVAPDRSQTSQDLFSYQALYSYIPQNDDELELRDGDIVDVMEKCDDGWFV
+GTSRRTRQFGTFPGNYVKPLYL
+>tr|A0A3Q1NI16|A0A3Q1NI16_BOVIN PX domain-containing protein OS=Bos taurus OX=9913 GN=HS1BP3 PE=4 SV=1
+MMSGHVEYQILVVTRLAAFKSAKHRPEDVVQFLVSKKYSDIEEFYQKLCSRYPTASLPPL
+PRKVLFVGEGDIRERRALFDEILRCISKDAELAGSPELLEFLGTRSPGATDLSGRDVSVL
+DTDSQAGDEDALDFFQQQDRVEDEGLPMLGHQDGDAGKSSEEEEEEALDPLGIMRSKKPK
+KRPEVAVKPKAVPRLTIFDEEVDPDEGLFGPGRKPSPRSHAENSPPRDPLKLFDDPDLGG
+AVPLGDPLLLPAAPQSGGATPHEGHREASKELFRVEEDLDQILNLGAEPKPQPKPRPKPK
+PPVAAKPGLPRKPAMPPRAGPPEAAATQQQKQQQQIQAMDEMDILQYIRDHDAPGQAAPS
+LF
+>tr|A0A3Q1MGE2|A0A3Q1MGE2_BOVIN USP6 N-terminal like OS=Bos taurus OX=9913 GN=USP6NL PE=4 SV=1
+MDSNQDVALKLAQERAEIVAKYDRGREGAEIEPWEDADYLVYKVTDRFGFLHEEELPYHN
+AAMERQKHLEIERTTKWLKMLKGWEKYKNTEKFHRRIYKGIPLQLRGEVWALLLEIPKMK
+EETRDLYSKLKHRARGCSPDIRQIDLDVNRTFRDHIMFRDRYGVKQQSLFHVLAAYSIYN
+TEVGYCQGMSQITALLLMYMNEEDAFWALVKLFSGPKHAMHGFFVHGFPKLLRFQEHHEK
+ILNKFLSKLKQHLDSQEVYTSFYTMKWFFQCFLDRTPFTLNLRIWDIYIFEGERVLTAMS
+YTILKLHRKHLMRLSMEELVEFLQETLAKDFFFEDDFVIEQLQISMAELKRAKLDLPEPG
+KEDEFPKKPLGQLPPEGQSAGVTHLSNGQRSVGRSSPHPGGRRGSGSPHKIHEPSPPHPR
+RTGTPERARQQRRKSSDEEGKRLRDEAQAQAQAQRRLPPGAQDGSGQYNHAAANQNSNAT
+SRARKEFAPRWHKPSEAAVLEKTAKSAVEGRSRAAPPALADPRAPHVRQKTKVLDADEGK
+RGSTASQYDNVPEDGPGGAVEEALERARAQSPRRPAEPGASPSRAPPKFAFKVLPSSYAQ
+HPFLPDGEDRGPAHPPSYSNPPTYQGSSPKRVPAANSSFPFPPGAHTNPSRRPYGSTLSI
+SASPEKSYSRPTPLVQPSSRIEVLPVDLGAGGYSGNSGSPKNGRFILPPGDCLPDNRKWS
+EVGYTWRPEMHGQPWTRDVNRGHLSSFPRHPTFHHAPYQDHSLPSVSVDGPVRYRTSPAL
+EDATSPGFQYSGPSPPAYHYRNRDGLSMQESVLL
+>tr|A0A3Q1LVN1|A0A3Q1LVN1_BOVIN Armadillo-like helical domain containing 3 OS=Bos taurus OX=9913 GN=ARMH3 PE=4 SV=1
+MAQVEKRGGLLRKSSASKKPLKEKVVLMYDEIFMTEDPSKCSPRFWEELFLMKVNLEYLE
+GKLESLDGEELMKIKDNINCLFQHCIQALGEEHPIRVVNALQTLCALIRGVHQKNKSTSG
+FDIINMLMGFDKAELCMKNLMESLDSLLCAEGSESLKSLCLKLLLCLVTVTDNISQNTIL
+EYVMINSIFEAILQILSHPPSRREHGYDAVVLLALLVNYRKYESVNPYIVKLSIVDDEAT
+LNGMGLVIAQALSEYNRQYKDKEEEHQSGFFSALTNMVGSMFIADAHEKISVQTNEAILL
+ALYEAVHLNRNFITVLAQSHPEMGLVTTPVSPAPTTPATPLGTTPPSSDVISSVELPLDA
+DVQTSNLLITFLKYSSIVMQDTKDEHRLHSGKLCLIILTCIAEDQYANAFLHDDNMNFRV
+NLHRMPMRHRKKAADKNLPCRPLVCAVLDLMVEFIVTHMMKEFPMDLYVRCIQVVHKLLC
+YQKKCRVRLHYTWRELWSALINLLKFLMSNETVLLAKHNIFTLALMIVNLFNMFITYGDT
+FLPTPSSYDELYYEIIRMHQSFDNLYSMVLRLSTNAGQWKEAASKVTHALVNIRAIINHF
+NPKIESYAAVNHISQLSEEQVLEVVRANYDTLTLKLQDGLDQYERYSEQHKEAAFFKELV
+RSISTNVRRNLAFHTLSQEVLLKEFSTIS
+>tr|A0A3Q1M1A4|A0A3Q1M1A4_BOVIN Phosphodiesterase OS=Bos taurus OX=9913 GN=PDE1C PE=3 SV=1
+MAYPLVYFIRLRSLVKQLERGEASVVDLKKNLEYAASVLESVYIDETRRLLDTEDELSDI
+QSDAVPSEVRDWLASTFTRQMGMMLRRSEEKPRFKSIVHAVQAGIFVERMYRRTSNMVGL
+SYPPAVIEALKVIPIKNSFIPINLATLFQIPISALVSFVEALEVGYSKHKNPYHNLMHAA
+DVTQTVHYLLYKTGVANWLTELEIFAIIFSAAIHDYEHTGTTNNFHIQTRSDPAILYNDR
+SVLENHHLSAAYRLLQEDEEMNILINLSKDDWREFRTLVIEMVMATDMSCHFQQIKAMKT
+ALQQPEAIEKPKALSLMLHTADISHPAKAWELHHRWTMSLLEEFFRQGDREAELGLPFSP
+LCDRKSTMVAQSQVGFIDFIVEPTFTVLTDMTEKIVSPLIDEPSQTGGTGQRRSSLNNIS
+STDAKRSGVKSSGSEGSAPINNPVIPVDYKSFKATWTEVVHINRERWRAKVPKEEKAKKE
+AEEKARLAAEEKQKEMEAKSQAEESASGKAEKKTSGEAKNQVNGTRTNKSNNPHGKNSKT
+EKSSGEKQQNGDLKDGKNKTGKKDQSDVGNDSKKTDGKSIIPIIFFHKMCNLMQAC
+>tr|A0A452DK81|A0A452DK81_BOVIN Zinc finger and BTB domain containing 6 OS=Bos taurus OX=9913 GN=ZBTB6 PE=4 SV=1
+MKDLVFAKKIVTMAAESDVLHFQFEQQGDVVLQKMNLLRQQNLFCDVSIYINDTEFQGHK
+VILAACSTFMRDQFLLTQSKHVRITILQSAEVGRKLLLSCYTGALEVKRKELLKYLTAAS
+YLQMVHIVEKCTEALSKYLEIDLSMKNNNQHVDLCQSSDRDVKNEDENSDKDCEIIEISE
+DSPVNIDFHVKEEESNVLQSTVESLTTEREEMRSPELSSVDMSFKDNEIRILHVESISTG
+GVENGKFSQPCTSSKASMYFSETQHSLINSTVESRVAEVPGNQDQGLFCENTEGSHGPVN
+EIQNLEDAFSLRHQCPRCPRGFLHVENYLRHLKMHKLFLCLQCGKTFTQKKNLNRHIRGH
+MGIRPFQCTVCLKTFTAKSTLQDHLNIHSGDRPYKCHCCDMDFKHKSALKKHLTSLHGRS
+SGEKLPRHDLERQNLL
+>tr|G3N2A4|G3N2A4_BOVIN Dynein axonemal heavy chain 17 OS=Bos taurus OX=9913 GN=DNAH17 PE=4 SV=2
+MTIPPDVRLEYMESVASLVLRFKPDKWSKMMGTEENMALFTDFFEKPDVLVLVLTLNPAG
+MIIPCLGFPASLKSKGMYFIKKRPENIGKDNYKERLSHRILSFQVLYSLLNQSENMSGWP
+RVVSEDIVKQVHKLKNEMFVMSGKIKGKTLLPIPEHLGSLDGTIPSSLDNSLLHAIETII
+IDWSHQIRDVLSKDSAQALLDGLHPLPRVEFEFWDARLMNLKYIHEQVMALLFHSCGDEP
+QFLFHAGLKEANDIVLYLKPLRILLEEMEQLPTFITKVLYTICFIWATSEHYNTPSRIIV
+ILQEFCNQLIDMTRTFLSPEEVLKGLQGETEEVLSGISLSVNVLKELYRAYDFFGVMWTP
+WDPMDLPFLSLSPRKTRTIEFLKLEKIELGGVRGNILGSQVAQIYDEVFELVKVFADCKY
+DPLDPGDSSFDDDYADFETKIQDLDRRLATIFCQGFDDCNSIESSAKLLHMCGGLLERPL
+ILAEMVPRYSVMLELFDTELDNTKILYDAQIAASADGNIPPIHKNMPPVAGQLKWSLELQ
+ERLEAPMRDLKHIDHPVEAKLVYQKYDEMMELLRSYRERIYQQWVSGVDKDCHFNLGQPL
+IQRDPVTNLIRVNFSKLVAVLREVKYLNFQHQKDIPTSAENLFSQYETFRKFVGNLELIV
+GWYNEVSWCHIGFLFAAFWEGGVSFRTKVYEPHVDPVKQQAAMWFCLQENAELFRADTTS
+QSWKDYVNYIDSMVLDEFDQFIRNQHLNRSPGQGAHGAKPSPVCSPPARLQTDLEDMTDL
+IEMREEISNLVISAMKEAEEYQDSFERYSYLWTDDPQEFMRNFLTYGRAISREDLDSRPE
+ETLPKTPPTLAQFQQQIDSYEKLYEEVSKCDNTKVFNGWLQCDCRPFKQALLNTIKRWSL
+MFKRHLGNHVINSLADLEAFMKVARMGLTKPVKEGDYDGLVEVMGHLMKVKERQTATDNM
+FEPLKQTIELLKSYGEEMPEETHVKLQELPEQWTNTKKLALQVKQNVSPLQANEVSILRR
+KCQQFELKQHEFRERFRQEAPFSFSDPDPYKSLSKVFLLKEEMGCLFEVSVPDYKQLKAC
+HKEVRLLKELWDMIIMVNTSIDDWKTTKWKNINVEQMDIDCKKFAKDVRSLDKEMKSWDA
+FVGLDNTVKNMITSLRAVSELQNPAIRDRHWQQLMQATQVKFEMSDETTLADLLQLNLHK
+YEDEVRNIVDKAVKESGMEKVSFVENTWSTMEFEHEPHPRTGTMMLKSDEVLVETLEDNQ
+VQLQNLMMSKYLSHFLKEVTSWQQKLSTADSVISIWFEVQRTWSHLESIFIGSEDIRAQL
+PEDSRRFDDIDIEFKALMEDAVKTPNVVEATNKPGLYDKLEDLKKRLAVCEKALAEYLET
+KRLAFPRFYFVSSADLLDILSNGNDPVEVSRHLSKLFDSLCKLKFRLDASGKPLKFGLGM
+YSKEDEYVDFDQECNLSGQVEVWLNRVLDRMCATLRHEIPEAVVTYEEKPREQWIFDYPA
+QIALTCTQIWWATEVGLAFARLEEGYENAIKDYNKKQISQLNALITLLIGNLSAGDRMKI
+MTICTIDVHARDVVAKMITAKVESSQAFTWQSQLRHRWDEEKKHCFANICDAQIQYSYEY
+LGNTPRLVITPLTDRCYITLTQSLHLIMGGAPAGPAGTGKTETTKDLGRALGTMVYVFNC
+SEQMDYKSCGNIYKGLAQTGAWGCFDEFNRISVEVLSVIAVQVKCVQDAIRAKKKTFNFL
+GEMISLIPTVGIFITMNPGYAGRTELPENLKALFRPCAMVVPDFELICEIMLVAEGFLEA
+RLLARKFITLYTLCKELLSKQDHYDWGLRAIKSVLVVAGSLKRGDPSRAEDQVLMRALRD
+FNIPKIVTDDLPVFMGLIGDLFPALDVPRKRDLNFEKVIKQSIVELQLQAEDGFVLKVVQ
+LEELLQVRHSVFVIGNAGSGKSQVLRSLNKTYQNLKRKPVAVDLDPKAVTCDELFGIINP
+ATREWKDGLFSTIMRDLANITHDGPKWIVLDGDIDPMWIESLNTVMDDNKVLTLASNERI
+PLNRTMRLVFEISHLRTATPATVSRAGILYINPADLGWNPVVSSWIERRKVQSEKANLMI
+LFDKYLPTCLDKLRFGFKKITPVPEITIIQTILYLLECLLTEKNAPPDSAKELYELYFVF
+ACFWAFGGAMFQDQLVDYRVEFSRWWINEFKTIKFPSQGTVFDYYIDPDTKKFLPWTDKV
+PAFELDPDVPLQASLVHTTETIRIRYFMDLLMEKSWPVMLVGNAGTGKSVLMGDKLDSLS
+TDDYLVQAVPFNFYTTSAMLQGILEKPLEKKSGRNYGPPGTKKLIYFIDDMNMPEVDKYG
+TVAPHTLIRQHMDHGHWYDRQKLTLKDVHNCQYVACMNPTSGSFTIDPRLQRHFCVFAVS
+FPGQEALTSIYSTILSHFALPEAIKSAQESMCLFPGTALHQKVTSTFLPTAIKFHYVFNL
+RDLSNIFQGLLFSTAEILKFPLDLVRLWLHEAERVYGDKMVDEKDQDTLRRVTIAVGQDA
+RALLFAKPNIFCHFAHGIGDPKYLPVTDLAPLNKLLVEVLDSYNEVNAVMNLVLFEDAVA
+HICRINRILESPRGNALLVGVGGSGKQSLSRLAAYISALDVFQITLKKGYGIPDLKLDLG
+AQYIKSAVKNVPSVFLMTDSQVAEEQFLVLINDLLASGEIPGLFMDDEVENIISSMRPQV
+KSLGLTDTREACWKFFIDKVRRNLKVILCFSPVGSILRVRARKFPAVVNCTAINWFHEWP
+EDALVSVSARFLEDTEGIQPEVKASISLFMSYVHTTVNEMSKVYLATERRYNYTTPKTFL
+EQIKLYQNLLAKKRMELVAKIERLENGLMKLQSTASQVDDLKARLAVQEAELKQKNENAD
+KLIHVVGVETEKVSKEKAIADEEEIKVENVTEKQKACETDLAKAEPALLAAQEALDTLNK
+NNLTELKSFGSPPDAVVNVTAAVMILTAPGGKIPKDKSWKAAKIMMGKVDTFLDSLKKFD
+KEHIPEACLKAPYQGNPTFDPEFIRSKSAAAAGLCSWCINIVRFYEVYCDVAPKRQALEE
+ANAELAEAQEKLSRIKNKIAVSLNANLSNLTSAFEKATAEKIKCQQEADATNRVISLANR
+LVGGLASENVRWAESVESFKGQGITLCGDVLLISAFVSYVGYFTKKYRNELMERFWIPYI
+NHLKVPIPITKGLDPLTLLTDDADVATWNNQGLPSDRMSTENATILCNTERWPLIVDAQL
+QGIKWIKNKYGSELKAIRLGQKSYLDIIEQAISEGDTLLIENIGETIDPVLDPLLGRNTI
+KKGKYIKIGDKEVEYHPNFRLILHTKYFNPHYKPEMQAQCTLINFLVTRDGLEDQLLAAV
+VAKERPDLEQLKANLTKSQNEFKIVLKELEDSLLARLSAASGNFLGDTALVENLETTKHM
+ASEIEEKVQEAKITEVKINEARENYRPAAARASLLYFILNDLNKINPIYQFSLKAFNVVF
+EKAILKTTPADEVKQRVINLTDEITYSVFMYTARGLFERDKLIFLAQVAFQVLSMKKELN
+PVELDFLLRFPFKAGVVSPVDFLQHQGWGGIKALSEMDEFKNLDNDIEGSAKRWKKLVES
+EAPEKEIFPKEWKNKTALQKLCMVRCMRPDRMTYACCPLRNFVEEKMGSKFVEGRSVEFS
+KSYEESSPSTPIFFILSPGVDPLKDVEALGKKLGFTIDNGKLHNVSLGQGQEVVAENALD
+VAAENGHWVILQNIHLVARWLSTLDKKLERHSAGSHDDYRVFISAEPAPSPESHIIPQGI
+LENAIKITNEPPTGMYANLHKALDLFTQDTLEMCTKEIEFKCILFALCYFHAVVAERRKF
+GAQGWNRSYPFNNGDLTISINVLYNYLEANPKVPWDDLRYLFGEIMYGGHITDDWDRRLC
+RTYLAEYIRAEMLEGEILLAPGFQIPPNLDYKGYHEYIDENLPPESPYLYGLHPNAEIGF
+LTVTSEKLFRTVLEMQPKETDSGAGTGVSREEKVKAVLDEILEKIPETFNMAEIMAKAAE
+KTPYVVVAFQECERMNILTNEMRRSLKELNLGLKGELTITTDMEDLSTALFYDTVPDSWV
+ARAYPSMMGLAAWYADLLLRIRELEAWTTDFALPTTVWLAGFFNPQSFLTAIMQSMARKN
+EWPLDKMCLSVEVTKKNREDMTAPPREGSYVYGLFMEGARWDTQTGVIAEARLKELTPAM
+PVIFIKAIPVDRMETKNIYECPVYKTRIRGPTYVWTFNLKTKEKAAKWILAAVALLLQV
+>tr|A0A3Q1NN62|A0A3Q1NN62_BOVIN KRAB domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+LHKNKGSLSFEDVTVGSTQEEWQKLDPAQRTLYRDVMLENYSHLISVGYCIPKPELIFKL
+EQGEQPWVLEAGSPSQSYPEVCRIVNKLEQSQEMHDRDFWQAPFINNKTLTIERVLKFPI
+YYWTFNCTPERRNTAPPTRTPTQASLTRKP
+>tr|G3N2G3|G3N2G3_BOVIN GPRIN family member 3 OS=Bos taurus OX=9913 GN=GPRIN3 PE=4 SV=1
+MGTVPDPLRSAKTSLIAASGEEEGLGEVQVASPQPRPEVLSENTNGLSSTPAEPDLSPRT
+AAKALMQASEHVPTQAAMSSPDIVSEVGKASPTLNSPGNTQLSGGREPTAPALCSAAGKD
+LIPATFTMPANQHIHPVVPGNQPHASPQSGPEDTLVKSQRTADGGQPEKSSCPVVVICGK
+DQMPCDFPSQETMQGIVQTEDTAARSFSPTSATVGGPEAERQQAVCTSQTRSCGSPTGED
+GCSGNKPPSAATSDTQAMTSVIPQPSHLPSNGSMFPPDPEKVPLAAQPQVSRFKEASTMT
+SQAENEIKKTPPRAQQDAEVQAVASVQSRSASTSPSILAAFLKETPAPERFEQEQLRVIC
+HGSANHTLELSENTLDPREAGQCRDLMPAVHIQTATAVSAAFQGENKSGSLPGEVLKTSA
+INVSSSDAQETCKQDGKSAGMTLAGQELTFRQLSGTTSTSLKASPSDQTSLSAGRQVETG
+HGLGKCETKPSEFAVATTSGHKPDPDCKLSDYCGPASRADQSRSLDLTNQGDAREKKLAS
+PQIMKEQESPGIDILEVRARAEAKSLLLNPKSQESGGVASGASPTPSPVRRSQEGTGEEN
+RQTKTAASLSLPSDAIGDSSPGSGKRTPSRSVKASPRRASRVSEFLKEQKLNVTAAAAQV
+GLTPGEKKKQLSADSKLQLKQSKRVRDVVWDEQGMTWEVYGASLDPESLGIAIQNHLQRQ
+IREHEKLIKAQSGQSRRSISSDTSSNKKLKGRQHSVFQSMLQNFRRPTCCIRPAPSSVLD
+>tr|E1BBG0|E1BBG0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC512541 PE=4 SV=1
+MVATVTLEPAGRCRWDEPVRITVRGLAPGQPVTLRASLRDEKGALFRAHARYCADATGLL
+DLERALALGGSFAGLEPMGLFWALEPEKPFWRFLKRDVQTPFAVELEVLDGHEPETQRLL
+GRAVHERDFLAPGVRREPVRAGRVRATLFLPPGSGPFPGIIDIYGIGGGLLEYRASLLAG
+HGFATLAVAYYSFEDLPKKFDTIDLDYFEEALCYMLQHAQIKGPGIGLLGISLGADICLS
+MASFLKNVSATVTINGSGFNGNKAMYYKENCIPPLGHDLRRVKVAFSGLVDIVDVRNDIV
+GGCENPCMIPIEKAQGPILFIVGQDDHNWRSEFCAQIASERLQAHGKEKPQIISYPGTGH
+YIEPPYFPMCPASLHKLLDKPVMWGGEPRAHSKAQVDAWKKILTFFTKHLGLSPKL
+>tr|A0A3Q1M7Z5|A0A3Q1M7Z5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC100295951 PE=4 SV=1
+MFHLKAWAEYVVEWAAKDPYGFLTTVILAGTPLFLASAVRSWKLARMIEAREKEQKKKQK
+RQENIAKAKRLKKGLNECTGSVSRGKLFGKLCIFVFVFFFFFLCGN
+>tr|F1MI99|F1MI99_BOVIN Dual specificity protein phosphatase OS=Bos taurus OX=9913 GN=DUSP1 PE=3 SV=2
+MVMEVVSLDAGGLRTLLRERAAQCLLLDCRSFFAFNAGHIAGSVNVRFSTIVRRRAKGAM
+GLEHIVPNAELRGRLLAGAYHAVVLLDERSAALDCAKRDGTLALAAGALCREARSAQIFL
+LKGGYEAFSASYPELCSKQSTPMGLSLPLSTSVPDSAESGCSSCSTPLYDQGGPVEILPF
+LYLGSAYHASRKDMLDALGITALINVSANCPNHFEGHYQYKSIPVEDNHKADISSWFNEA
+IDFIDSIKNAGGRVFVHCQAGISRSATICLAYLMRTNRVKLDEAFEFVKQRRSIISPNFS
+FMGQLLQFESQVLAPHCSAEAGSPAMAVLDCSTSTTTVFNFPVSIPVHPTNSALSYLQSP
+ITTSPSC
+>tr|F1MYE9|F1MYE9_BOVIN IQ motif and Sec7 domain 1 OS=Bos taurus OX=9913 GN=IQSEC1 PE=4 SV=3
+MACRRRYFVEGEAPSSEPGTSLDSPSAYHQGPLAPGSGLSPEPYEHAPSGAYGLYAGPPG
+QQQQRARRPRLQHSTSILRKQAEEEAIKRSRSLSESYELSSDLQDKQVEMLERKYGGRLV
+TRHAARTIQTAFRQYQMNKNFERLRSSMSEKRLSRRIVLSNMRVQLSFEGPEKAHSSFFE
+GKQVSVTDGDSPLGSLGPAECGDLGPPPPPALQAPAPASDFADAITELEDAFSRQVKSLA
+ESIDDALNCRSLHAVEGAPTPAAEPSSGLHGAAEQRRLDEMTASYSDVTLYIDEDELSPP
+LPAAQAGCRPSSGESDLRLRAGAAAQDYWALAHKDDKGDTDTSCRSTPSLEPRARVEHLP
+LLTIEPPSDSSADLSDRSDRSSLKRQSAYERGLGGPQGSPKHGPHGPPRGLPREEPELRA
+RPPRPLDAHLAINGSANRQSKSESDYSDGDNDSLNSTSNSNDTINCSSESSSRDSLREQT
+LSKQTYHKETRNSWDSPAFSNDVIRKRLYRIGLNLFNKKPEKGVQYLIERGFVPDTPVGV
+AHFLLQRKGLSRQMIGEFLGNRQKQFNRDVLDCVVDEMDFSAMELDEALRKFQAHIRVQG
+EAQKVERLIEAFSQRYCICNPGVVRQFRNPDTIFILAFAIILLNTDMYSPNVKPERKMKL
+EDFVKNLRGVDDGEDIPRELLVGIYERIRRRELKTSEDHVSQVQKVEKLIVGKKPIGSLH
+HGLGCVLSLPHRRLVCYCRLFEVPDPNKPQKLGLHQREIFLFNDLLVVTKIFQKKKNSVT
+YSFRQSFSLYGMQVLLFENQYYPNGIRLTSAVPGADIKVLINFNAPNPQDRKKFTDDLRE
+SIAEVQEMEKHRIEAELEKQKGVVRPSMSQCPSLKKEPGGGTLSRACLDDSYAGGEGLKR
+SALSSSLRDLSEAGKRGRRSSAGSLESNVEGSIISSPHMRRRATSTRDCPSRPHQATPNS
+SSLLGSLFGSKRGKPPPQAHLPPAPAPPRPATGHPQGPVEGPLAGPVHGHHGQYCCLQQN
+PPPYHHHHHHHPPPHIQHAHQHHHGPHGGHPAYGAHAHGHPPLPAGHAGHAAHHHGQPPA
+PPLPTSSKAKPSGISTIV
+>tr|F1MB15|F1MB15_BOVIN Fibrous sheath interacting protein 2 OS=Bos taurus OX=9913 GN=FSIP2 PE=4 SV=3
+MELYISACSKAADVAATKTATSGLTTESQQCGDGEHKTQFSGVGAAQLLDLPLGVKLPVI
+PGSNSLYYTTKLNEKLFRPSYGFNLTDPYCRLLENQYKSLHDPHLRAYHQRKDILRRLKK
+GGYITSNNKVVCTLRELNKYRQYLTSLKLDFERNYIREQKMLAKQVNKLQEDNQIPGRSD
+VTQFQNWLLQEGTPSIKDQERLIRHRYLDMIRRELEHLEHTAEEQRLLRMDREERRQREH
+ARRKLSLRRKIEEEWKTKEMLLLTRIGEDVKREARIEEQRRKSREESDKKKQALLEKKMA
+YHLQKMQESGIKKEDMRKNTNDYGEQDGLHYESIYPKKKKKKNDDIKVVYAVADQKPNRG
+ADVDSYMIFFSFLLASNQVTSEELNSIIQNIMTWVVATVTSILYPAITKYEERLKNNTYP
+VSDDSVLSSDSSSFCSTCSEEFTYGSYTSATTKSFKREPCAFAVDISVRRPTTPLKPSAH
+VEKAVVGKTCHITGQSVTNELKHNETNMVYTYPDLRSCKSDSHLLASLETDTKKSKDATT
+ETDGLENPPFSDQKAKAVNEMKNLKNVFVNFKCHLKGETELILESIFQEIMSDLTHAIPS
+ISSVTAEVFVDQSEPVRGDLVSNTDICSVASEIVENMLEKLQSAVEKKCVQIYSQEDLPV
+NIQPSLTPSGESLTLSYGKPLIASVPSTAEPMCDVAEDMVHAILEKLMTLTANKQSKLPH
+LEEATKLSHQQHMTDPTYMCHIKANKNKCNPELDAANVIVKEKIQNLMSNILSQSSLVGY
+IEEAISTILGYVQTELNNERLIASEETVVLLKLLDDIFTQLHQEPVKAGNQKCRHSRLRS
+PSNTDVKYRLTGTRFSNGPRSGRPFPPINVPGMVLYSEDDNEEVDRIVENVLDSSFKDEK
+AKPQEQISEHWFTKGSTCLEFQRNSKLPTKPASPRSKATFHSCRLRTELPFFKDRKILKE
+KPCLNKDILFFSQDQKHQIQKASENTVKSILTEMLKDISSVPPGHLESRTDKASVHVSEK
+PQEPLHQEWMDQLFSVSEISTVAQEITDTILNILQKASCIPSTTKSSISSSVHPASLESP
+DTLHMVKAAPNKKPLKIWFDSEKKMKYLSSLDGDMAKPSLLKSKESEPKPADEITDKIIN
+AVFKKLKLFICPKLQMGFKPSLADKSSLQSQLSTYTAKVVNIVLRAIQNELELSEKNLNL
+RERNYTKSLTGKGCFTDTKKLESLVSDLNDDIMESPLLTCICEILSSGHPDQSNISLSSD
+NSKPTASHGSDKVDKGNILPSRQDKKSFYKILATPCALHSVINGKDLKENSRLQVLDNIG
+ETLYEMLCKLIGAHPHCQPSCSKVSREKTNKNQQRATELQSNIQLISTTILEYIIAKLCS
+VDTDTSSVSSGCKATSESLDIDNLSLTSIIEEMAKCTDIISSIVSRMVQEGDKEITKSTE
+KPIAPKSSKTGSAKEMHSNKLKAVASDILNMVFAKLEGFANGNLETLDSTNDENKKSSKM
+NVECESFSVFTDTHEELLQSALYTNAKKVSSAILKAIQTELNMNSLDLRTSVETPPPEKQ
+MLENIVSLILDAVSSDMLNETESEERDSETYGYRPIYGNFLPGGAESDSFLEDATNAEKE
+FIGEEIPLREETQSNSLQQWVLERTLNKLEVKLKEPQKSPIVPIIRNILNEIFQNALVSQ
+LNMLFLSHSLLSDIPPNVDKPIAQTSVPFIDKMMVSEADVTIVADDVVTTVFDKLYTAAK
+TETIASENSYKTITFSANVSFHEHTYGRGKPSITALNKNPYTLQSRFNIGRQAKGNIVED
+IVQAILTSLETFVTSKVESLFCPQINFTVPMALPVQQGKSTISKALSAKDLYSEGQLSSC
+SVDNVMSQNTNSFCQVSLNKLNIYATEVARKILKGIKNELDKEKETPFLTNNIVVSESIT
+RQIVNTVLDIVSSKGKCDKNSFDKEISSDQQEGIIEKLFKKIEYRKVLQFQIQDTIESVL
+CDIYEKTLNQNNLSFATPTLKCTIAGKHSRANSDTEGVNKILPKFSVPKSDVILISNDIV
+DVVLHNLSSAVMLSINAKDPTSTRLPLTFCDTFSKLQCQQSLLTVSESERKTEHFPSSKI
+LKPAYVNDSQITIVEKEDPKKSVPDPCEESANFITQNIFNWLESFATERIDSFIMLAFQP
+KEKSFVRPELENCKQDGIIFHEPSQVESNVNILKISNETILSQELTDSTFASYREKLGST
+IHLSQAHLKEYSDIIASAILNFIKNDLDLEIQKMHPYPNNVSFQEITIVSEIVNGILKSL
+HNKRAAKDISFSSKDNPNLFSQLTASNEISLGQKAQEKKTKLSLFSKYPLEQNQMALERE
+SQRIILEELFMRNENSKQKERTALFSAVEEVLSKVYQKIIEIIGHLPSFNEKSHFNSKTS
+DITQNNFCQSHINSTASDIIENVLGNMYSVIMTSLYENNEGEPADKNILPKKSPCIKETK
+AGIGSSVTRFVLPQVYHYADSQNISLLENTFLRYSPSQVGKDLIQVVLSKITNFASFYLE
+ETVSHENCSDELQPLRLHSSKVSPKPSVKTSLKARSKVTPLPKLRTKPHIGPSRAKAKSR
+TKSSPGEKTPKDVWSKTDIGLPHILSTGDAKNLLEMKLPTSQLKMYAKDIISNILKTTIK
+ELEKVTRARAMISNRALPSDQIMAANKIVTTVLQGLYAINNHSSANPVKFSHLDETKRSR
+GNEGAESLARPQACFYLENVSSQLEQIFPKEGIFKKMFDKWQAESNDMENEKCKLLMIAE
+NDLTEISIKAKELEYSLLLLNLPHLEDCENRFYSCFKGASTRAENNKAQINMFGREIVEM
+LLEKLQLCFLSQMTTPYGKETAANRKEHITTKSKYGFPAKDILSNVPVYNTKTKDQSALG
+SSNQIVREIVERVLNILESFVDLQFKHISKYEFSEIVKMPIENLFPVQQRLLSKKMLPKL
+QPLKKFSDESKSSTIVSKENVQNTLLQVHSFHSELLTYAVNIVHNMLGVIKNKLDKEISQ
+VEPSSVSTLKENTAASEIIGTLMDQCAHFSESLIKNLPKENFFQGVENTYIVNQVELATD
+TKMPMSKLKEVSFGNNLPQSSVPDLGFYSEENMKKRYKSSSNLPSYSRASVEDTVRNSEP
+MRRPDSENRPSSSRNKVQDTIPKESDLGYFDQAMKGNSSLPEGSVLQKLLKKANESTETA
+LKQVMSFIEMRKGENPRVFHYEISKPVIEPNQIQTSVSPLKICLAAENIVSTVLSSYGFP
+NQPHINESTETMKPFFISKQDPLSETSGGQKNEEKSLLRMWDKRISYILEEENKKAEVKR
+EDFSLLQKWENKSYLKIKTLKEVEVIAFADHELGPNEIHLVSRHVTTSVVTYFKNFETRV
+SSEKVSIVSTLSRKKYESKSPLRSIYSDSSLHQFCEHLTESVICHLMSSISGSTTDITEK
+EKTSERQNAAFNTIISIDSQVFESRSISVGELALSISEIITEILLNSNIIETDIAQQMFS
+LTRKYTYCPGVSAADFDDLFQDLLIGVIHVLSKEIGLNHHLENSGRNRPFPMLRSNSMPI
+CNRRNTMQRQKGSRDWGLATHQIDHIIQKNKLNYLAHKLDSLAGSLKTHESKEIVNRVFS
+IVLDLFLPDEQPDEAMGSEIKARTFFSSSYEGQYNNILGNNLGLSPKSVFLLNVVCEKLI
+RTLLEKCTNSVFLDNFPLSEEVSAEECQLLKILQSVDEEFDYCNGTMDWEQFQGDYTSDI
+LENLAEMDPDLLSTDSMLTIISHSLVKSLMDKLHHSIQLPQSPPFANKHLKCGTREIQPS
+CIKAKRPELTELEQGRGSLGCMSYYGHALTGPLNNPSVVSSKIQAPFGKKYSVNFANVPP
+LKRQGAKDMNTIAIHNTLYPGDMNTGVYSATFLEDIISELFFNLSISLLGKNENIMDAQL
+NEMNTLFVNSIVNEFNKARVTVLRNSEERLCFPPIHKETVSRIVDSVYYGVLQQYKSKVT
+CGGNLACDSTSIAEQITNSILLEILDYQLPSCFREKLLHNSYYPLNAEIILQKLQNNLRE
+FTSKPRSLTDYSTMLSQSFLEDIIRRLLTQLIPPPSKASSLENKYFVSSDFNEMSTCIIN
+KVISAISKHKIWFTIYDNQYLCTGKNLQKMVDSVYRNIMQTYDSLISIQKSILSRSPILV
+DRIASFIIQEIVENHLQPFLCGEGLPRPKTPSDAISNMVKQVLNEVIESHRPQTPAAAGI
+YTETFVREIVARLLSKIFSPKHNTEIELENMTQKIVNSVNNHFDKAKVHLLCNDKEQSYP
+SVDTDIVDELVTSVYRNVLKQYGLDPEFDKESEDSSIFVEKITNLVVAAISDYLFHPLFS
+GNLSSSYSLSTTDNIVQDILSNISGSTMPSQSLSPYNTLLPYTFLEDMIRVLLSRIFPSA
+HSIVANREIPKDRSKANFNEIASDIISDIRRKISQHEIRFSKDEEGTKFIYSEDDIHHLV
+DSVFKNILNSESQELVEPSVTSSNDVLIDRIAGFIIKYVCQQHLQPFVDGKSLPSSSYTY
+LGDERRQWFYASVYSSAFLEDVISGVLSKIFHRGLGIVQTKSVRDSEDELFDKAEKLVHF
+ITEEFSRAKVSILENAEKNLCLPPVERDVVKNIIDTVYSKVVQEYEMEIMPDKNFLNDTK
+TLAAKITEIILAETSDFQIHPNLTEKLPFGSQSKLSSDILIKKVHHDITKSRSQRQASTI
+YTTMLSHTHLEKIVSQLISQMSPLVSSTEHQDTSQSDLSNTVIKLINEIMSIISKHAVCI
+IKHGNEKHSMISEKDIQSMVDSIYAALSCSSIYQSLTKDKKDINNIPVSKIASFIIKEIF
+NHHLQSFLSGDKTLLSAEVDQTYKQKVLDPKEKQLSFIMNSAVFLEEVISELLCKLLYAF
+SHNVLAAENPDIVKAKVTGIVTTLVKSIVLEFTTSEIFLADSFDADMCFSEGYKEMVQRT
+VSRTYEKILDEYKSLIQIYRAMQSDTACFGRKIYNFLLEEIYDCQVKSLISGELISSSSS
+SPQADKIIRNVLNVIMRDSHALPSCITVLPCSLLEDMIYKLLVHIFPLTDTESELKEEEV
+SDYEFVDAASKLTDEIIKEISEHEICLATAEENAESVQLEVIQDLINSICNNILKKSEFQ
+AEVQKDANKNGGSFLSKIAGFIMKEIMDHHLQPFLHGEESSSNSLSNYDHVSVHTIPGKK
+KTQPSLYSATFLEDVIVDLVHKFYSLPSITEEIKEKEKPEPDVVSLAIKFANSLIGEFRK
+SEIKVLPNAEEMFSFPPIDKETVDKISDFVYDQFIETYGSNDIQKNGKNNIVTEMIATLA
+QKAISAFKIQPLFSGDWSSTFFSFLNPDNITQRVKHLPQQTSTQINRCLKENQLTLSEHL
+ETKETSMKTGDIQDPVVTSVTSIMKSNVVNLLSGPGVANKENKNKMGIPVQKYNVSKVTC
+PTTKTKDKQEPYLKGTLKNNETDKKRISASDKEGQGKEVHTQILVATDGEREIFQLDFKI
+DNPLQLSSLIAKVRNTENTIEKPSEAVTQKPNDEERKDSSAQIDIKEGEYSDYELVQNVT
+ENIYDSIFGMYHSQESADYLRQQSLPSHTASPITQALPVTQEIGKDFAQSVLTKGLSLSA
+NKNLGAKEKEEKENASKIEKEREKKRGGERENDSVKVKDIKKEPSKPDSPQYPPEPAVFP
+AKFLEDVITEMVNKLIFSSSPETQTYDRCQNVCDDENQDELYDTAMRLIDSLLKEFSDAQ
+VKVFRPDKEYQVFPPADKVSSVPKEYPRHKESNIDEAPCNVKTITMDKSHTMTEKPSSNK
+ILFLDKMSSIDKTLVNKVVHASVCNILKEYKSQDSICKNIKNNGGHLARRLASAMINEIF
+QHKLNLILCDEFPVSSCLPLETKDVVKKVQKVAQTASKECQTSSPYTIMLPHEFLENVIS
+TLLSKIFSTVSNTIAETYESSWFTELDFLQMKLLSTVTTEISKDEDMIIQYVESLHPSDD
+ETIQLVVQSLYNNLLSQFGSQESIQNCAASGCRILSETIVDLIRREVTGNQLQNYFSGEL
+TPYQCAEVDNVVENILKDVIQMADIPQPHPSQACILPYNIIEEIAVKFLSKLLSAFPKVN
+KERPKSLETEMQKITSKILNSIQEIISKNKIKVVSPAKEIPTAPLADNEAIEKVVNSVYT
+SILKHSGSLNSIFKDLMGKSNILSDIIGFLMVKEISKSKFQPQVQEELSGPELALEAVKI
+MEKVVKMVDEFKSQEKSSSKKGSMLDATFLEEALALFLAKIVRLPSDSSKHAKNLSKPEL
+NKIASQLTKSVTAEISKSNINLVAADSEEHFLNPESIEMVSQVIDSIYSNVLQQSGTQKD
+LYHDIKSTNGVFPKKVANLIINEVSNFPFDSASPKNSNACFFGDLDINRIVEKAQEHAVN
+MIPDLNKESTKDSIVEDSAIKIVPHVGNKPLKIDPNIISEHLAVISIKTQPLEKLQTECL
+KNTGHSIAELRRASIGGRSYPSDTCTIRELKRERRTSLSKTGRLDIKPFEAVCRNSFQNI
+RKPDITKVELLKDVQNKKELILRLVAHDIEQEISESTIEEELTSSDEDEVVLREIVQEPS
+GENIEDQVKHSTKPVESKVVSPKPTQSTSSLKKFFSLSKCCQATSNANVENTGTVSNHVI
+EAKNGQIKRTVAELDMSPLEVFRDSVQKEEKILTTEPTHYFIHRIMSSSSYNQEDLISYA
+RYMKLKQYKIVESVLCFVLQFITIFEGNKNILHSMNPSKEVISETSRSDVPKQGSKMLAK
+VSSALSKVFSRNINTNISKSSSPPHQNEH
+>tr|F1MM87|F1MM87_BOVIN Centrosomal protein of 57 kDa OS=Bos taurus OX=9913 GN=CEP57 PE=4 SV=2
+PAAASAPVPSNILAEPSKSNGSMVRHSSSPYVVYPPDKPFLNSDLRRSPNKPTFAYPESN
+SRAIFSALKNLQDKIRRLELERIQAEESVKTLSKETIEYKKVLDEQIQERENSKNEESKH
+NQELTSQLLAAENKCNLLEKQLEYMRNMIKHAEMERTSVLEKQVSLERERQHDQTHVQNQ
+LEKLDLLEQEYNKLTTMQALAEKKMQELEAKLRQEEQERKRMQAKAAQLQTGLEVNRLIY
+EDKATSCVPNTKRIKKKKSKPPEKKGSRNYFAVQPHYRLCLGDMPFVAGKSTSPSHAVVA
+NVQHVLHLMKQHSKVLCNDRVVSSIPLAKQVSSRTGKSKKSATPPSSSSVNEELSEVLQT
+LQDEFGQMSFDHQQLAKLIQESPTVELKDNLECELEALVGRMEAKANQITKVRKYQAQLE
+KQKLEKQKKELKATRKTLDEEGNSSSRSTTTGTTNKKDFAKPRPGEKSRKNLQLLKDMQS
+IQNSLQSNSLCWDY
+>tr|A0A3Q1NDK2|A0A3Q1NDK2_BOVIN Tyrosine-protein kinase receptor OS=Bos taurus OX=9913 GN=ROS1 PE=3 SV=1
+MKYVPSASNAGLYHLPLALNWDFLSLTLSIEILLIHQYPFFILTIIIGIFLVITIPLIFV
+WHRLKNQSTPKEGLTVLINEDKELAELRSLATGVGLANACYAIHTLPTQEEIESLPAFPR
+GKLTLRLLLGSGAFGEVYEGTAVDILGVGSGETKVAVKTLKKGSTDQEKIEFLKEAHLMS
+KFNHPNILKQLGVCLLNEPQYIILELMEGGDLLTYLRKARMTPFHGLLLTLVDLVDLCVE
+ISKGCVYLEQMHFIHRDLAARNCLVSMKDYTSPSRIVKIGDFGLARDIYKNDYYRKRGDG
+LLPVRWMAPESLMDGIFTTQSDVWSFGILIWEILTLGHQPYPAHSNLDVLNYVQTGGRLE
+PPRNCPDDLWNLMTQCWAQEPDQRPTFHKIQEQLQLFRNFSLNSISQCRGEANPGGVINE
+GFEDEDGHPICLNSDDVTSVALMETKNQEGLNYMVLATECRQGEANSEGPLGSKESESCG
+LRKEEKEPHADIAICQEKQVAYCLPGKPEGLNYACLTHSGYGDGFD
+>tr|A0A3Q1MEI3|A0A3Q1MEI3_BOVIN Golgin A7 family member B OS=Bos taurus OX=9913 GN=GOLGA7B PE=4 SV=1
+MVHNLQELRRSASLATKVFIQRDYSDGTICQFQTKFPPELDSRIERQLFEETVKTLNSFY
+AEAEKIGGSSYLEGCLACATAYFIFLCMETHYEKVLKKISRYIQEQNEKVFAPRGLLLTD
+PVERGMRVIEISIYEDRCSSGSSSSGSSSGSGSSSGGGGGAGGR
+>tr|F1MYB0|F1MYB0_BOVIN Storkhead box 2 OS=Bos taurus OX=9913 GN=STOX2 PE=4 SV=3
+MKKTRSTTLRRAWPSSDFSDRASDRMRSRSEKDYRLHKRFPAAFAPQASRGYMTSGDVSP
+ISMSPISQSQFIPLGEILCLAISAMNSARKPVTQEALMEHLTTCFPGVPTPSQEILRHTL
+NTLVRERKIYPTPDGYFIVTPQTYFITPSLIRTNSKWYHLDERIPDRSQCTSPQPGTITP
+SASGCVRERTLPRNHCDSCHCCREDVHAVHAATLQRKPAKDCKDPYCPPSLCQVPATEKS
+KSTVNFSYKTETLSKPKDGEKQSKKFGLKLFRLSFKKDKTKQLANFSAQFPPEEWPLRDE
+DTPTTIPREVEMEIIRRINPDLTVENVMRHTALMKKLEEEKAHRSKAGSSAHHSGRSKKS
+RTHRKSHGKSRSHSKTRVSKGDPSDGSHLDIPGEREYDFCDPLTRAPREGCFIIEHKGDN
+FIMHSNPNVIESHFPMTPEWDVSGELAKRRTEMPFPEPSRGSSHSKVHRSHSHTQDRRSR
+NERSNKAKERSRSMDNSKGPLGASSLGTPEDLAEGCSQDDQTPSQSYIDDSTLRPTQTIG
+HQRAHVSSASYKEVCIPEIVSGSKEPPSACSLLEPGKAPESLPSFGELNSCPTKTAADDY
+FQCNTSSETVLTAPSPLGKNKEDHDTLTLVEGVKKLPLSDRQAPHSSREPAGHKEESPKG
+PGGGPVAPGTVVEGLANGRLIQHHGAEPSTLDKRKEIFSKDTLFKPLHSTLSVNSYHKST
+LSLLKSHPKTPADTLPGRCEKPEPSLGTSATPALPASQRQQESVGNQEASFDYYNVSDDD
+ESEEGANKNAEEEKNRDDVGTMQWLLEREKERDLQRKFEKNLTLLAPKEADSSSNQRATH
+SARLDSMDSSSITVDSGFNSPRTRESLASNTSSIVESNRRQNPTWSPAHGGAGPAFSFRA
+STDPPTNEAEKLQKPSNCLQASVTSV
+>tr|E1BKZ9|E1BKZ9_BOVIN Sortilin OS=Bos taurus OX=9913 GN=SORT1 PE=4 SV=2
+MGAERPGSAYPRSAGLRLGGVARRLRGWAGAPGCRCPRRRHSAAMERPGGAADSLSRWPH
+GLGLLLFLLQLLPPATLGQDRLDAPPPPAAPLSRWSGPVGVSWGLRAAAPGGPAPRGGRW
+RRSAPGEDEDCGGVQDFVSRLANNTHQHVFDDLSGSVSLSWVGDSTGIILVLTTFHVPLV
+IMTFGQSKLYRSEDYGKNFKDITNLINNTFIRTEFGMAIGPENSGKVILTAEVSGGSHGG
+RIFRSSDFAKNFVQTNLPFHPLTQMMYSPQNSDYLLALSTENGLWVSKNFGGKWEEIHKA
+VCLAKWGSENIIFFTTYVNGSCKADLGALELRRTSDLGKSFKTIGVKIYSFGLGGRFLFA
+SVMADKDTTRRIHVSTDQGDTWSMAQLPSVGQEQFYSILAANDDMVFMHVDEPGDTGFGT
+IFTSDDRGIVYSKSLDRHLYTTTGGETDFTNVTSLRGVYMTSVLSEDNSIQTMITFDQGG
+RWKHLRKPENSECDATAKNKNECSLHIHASYSISQKLNVPMAPLSEPNAVGIVIAHGSVG
+DAISVMVPDVYISDDGGYSWMKMLEGPHYYTILDSGGIIVAIEHSSHPINVIKFSTDEGQ
+CWQTYTFTKEPIYFTGLASEPGARSMNISIWGFTESFLARQWVSYTIDFKDILERNCEEK
+DYTIWLAHSTDPGDYGDGCILGYKEQYLRLRKSSVCQNGRNYVVTKQPSVCPCSLEDFLC
+DFGYFRPENDSKCVEQPELKGHDLEFCLYGREEHLTTNGYRKIPGDKCQGGVNPVREVKD
+LKKKCTSNFLSPEKQNSKSNSVPIILATVGLMLVTVIAGVLIVKKYVCGGRFLVHRYSVL
+QQHAEANGVDGVDALDTASHTHKSGYHDDSDEDLLE
+>tr|A0A3Q1MLZ4|A0A3Q1MLZ4_BOVIN Sodium channel protein OS=Bos taurus OX=9913 GN=SCN1A PE=3 SV=1
+MLIMCTILTNCVFMTMSNPPDWTKNVEYTFTGIYTFESLIKIIARGFCLEDFTFLRDPWN
+WLDFTVITFAYVTEFVDLGNVSALRTFRVLRALKTISVIPGLKTIVGALIQSVKKLSDVM
+ILTVFCLSVFALIGLQLFMGNLRNKCVQWPPTNASLEEHTVEKNITKNYNGTFVNETRNE
+FDWKSYIQDSRYHYFLEGFSDALLCGNSSDAGQCPEGYMCVKAGRNPNYGYTSFDTFSWA
+FLSLFRLMTQDFWENLYQLTLRAAGKTYMIFFVLVIFLGSFYLINLILAVVAMAYEEQNQ
+ATLEEAEQKEAEFQQMLEQLKKQQEAAQQAAAVTASEHSREPSAAGRLSDSSSEASKLSS
+KSAKERRNRRKKRKQKEQSGGEEKDDDEFQKSESEDSIRRKGFRFSIEGNRLTYEKRYSS
+PHQSLLSIRGSLFSPRRNSRTSLFSFRGRAKDVGSENDFADDEHSTFEDNESRRDSLFVP
+RRHGERRNSNLSQTSRSSRMLAVFPANGKMHSTVDCNGVVSLVGGPSVPTSPVGQLLPEG
+TTTETEMRKRRSSSFHVSMDFLEDPSQRQRAMSIASILTNTVEELEESRQKCPPCWYKFS
+NIFLIWDCSPYWLKVKHVVNLVVMDPFVDLAITICIVLNTLFMAMEHYPMTDHFNNVLTV
+GNLVFTGIFTAEMFLKIIAMDPYYYFQEGWNIFDGFIVTLSLVELGLANVEGLSVLRSFR
+LLRVFKLAKSWPTLNMLIKIIGNSVGALGNLTLVLAIIVFIFAVVGMQLFGKSYKDCVCK
+INSECKLPRWHMNDFFHSFLIVFRVLCGEWIETMWDCMEVAGQAMCLTVFMMVMVIGNLV
+VLNLFLALLLSSFSADNLAATDDDNEMNNLQIAVDRMHKGIAYVKRKIYEFIQQSFVRKQ
+KILDEIKPLDDLNNRKDNCMSNHTTEIGKDLDYLKDVNGTTSGIGTGSSVEKYIIDESDY
+MSFINNPSLTVTVPIAVGESDFENLNTEDFSSESDLEESKEKLNESSSSSEGSTVDIGAP
+AEEQPVVEPEETLEPEACFTEGCVQRFKCCQISVEEGRGKQWWNLRRTCFRIVEHNWFET
+FIVFMILLSSGALAFEDIYIDQRKTIKTMLEYADKVFTYIFILEMLLKWVAYGYQTYFTN
+AWCWLDFLIVDVSLVSLTANALGYSELGAIKSLRTLRALRPLRALSRFEGMRVVVNALLG
+AIPSIMNVLLVCLIFWLIFSIMGVNLFAGKFYHCVNTTTGDMFDISEVNNHSDCLILIER
+NETARWKNVKVNFDNVGFGYLSLLQVATFKGWMDIMYAAVDSRNVELQPKYEESLYMYLY
+FVIFIIFGSFFTLNLFIGVIIDNFNQQKKKFGGQDIFMTEEQKKYYNAMKKLGSKKPQKP
+IPRPGNKFQGMVFDFVTRQVFDISIMILICLNMVTMMVETDDQSDCVTSILSRINLVFIV
+LFTGECVLKLISLRHYYFTIGWNIFDFVVVILSIVGMFLAELIEKYFVSPTLFRVIRLAR
+IGRILRLIKGAKGIRTLLFALMMSLPALFNIGLLLFLVMFIYAIFGMSNFAYVKREVGID
+DMFNFETFGNSMICLFQITTSAGWDGLLAPILNSKPPDCDPNKVNPGSSVKGDCGNPSVG
+IFFFVSYIIISFLVVVNMYIAVILENFSVATEESAEPLSEDDFEMFYEVWEKFDPDATQF
+MEFEKLSQFAAALEPPLNLPQPNKLQLIAMDLPMVSGDRIHCLDILFAFTKRVLGESGEM
+DALRIQMEERFMASNPSKVSYQPITTTLKRKQEEVSAVIIQRAYRRHLLKRTVKQASFTY
+NKNKIKGGANLLVKEDMIIDRINENSITEKTDLTMSTAACPPSYDRVTKPIVEKHEQEGK
+DEKAKGK
+>tr|A0A3Q1LY55|A0A3Q1LY55_BOVIN Serine/threonine-protein phosphatase 2A 56 kDa regulatory subunit OS=Bos taurus OX=9913 GN=PPP2R5C PE=3 SV=1
+MQILGLEDVPPADQEKLFIQKLRQCCVLFDFVSDPLSDLKWKEVKRAALSEMVEYITHNR
+NVITEPIYPEVVHMFAVNMFRTLPPSSNPTGAEFDPEEDEPTLEAAWPHLQLVYEFFLRL
+LESPDFQPNIAKKYIDQKFVLQLLELFDSEDPRERDFLKTTLHRIYGKFLGLRAYIRKQI
+NNIFYRFIYETEHHNGIAELLEILGSIINGFALPLKEEHKIFLLKVLLPLHKVKSLSVYH
+PQLAYCVVQFLEKDSTLTEPVVMALLKYWPKTHSPKEVMFLNELEEILDVIEPSEFVKIM
+EPLFRQLAKCVSSPHFQVAERALYYWNNEYIMSLISDNAAKILPIMFPSLYRNSKTHWNK
+TIHGLIYNALKLFMEMNQKLFDDCTQQFKAEKLKEKLKMKEREEAWVKIENLAKANPQYA
+VYSQASTISIPVAMETDGPLFEDVQMLRKTVNEEARQAQKDPKKERPLARRKSELPQDLH
+TKSALEAHGRAEEPAPQDGR
+>tr|A0A3Q1LNB8|A0A3Q1LNB8_BOVIN MAGE domain-containing protein OS=Bos taurus OX=9913 GN=LOC107132001 PE=4 SV=1
+MPVVPTNELCTSEEDLQGQIQAEGPVEAQLLGAEAEDASTPLASFPPVSSSSAIGDAEIL
+FMQALNGMTTQLLEFLILKYGTKEPIFQAEMLNTVLRDNQAHFQVIFRKATQCLQLSFGL
+DMKEVDHREHIYVMVPVLGLTLNEMQRDEQSIPKAGLLVAALSLIILAGDRISEEKVWGA
+LSKMEVFPGIQHCIYGEPKELLTQVWVRAGYLKYRQVRYSHPARYEFLWGPRAYAETSKQ
+KVKDYLRKINGRGPRFFPPRWA
+>tr|A0A3Q1NJU0|A0A3Q1NJU0_BOVIN Microtubule associated protein 7 OS=Bos taurus OX=9913 GN=MAP7 PE=4 SV=1
+MPGSATALRQERLKKTHARPVPLGLFTINEEDEQQKNGHSRRPKAPDGNKVQDKKTTASH
+RPSTISGQNSNHSGNKPGIMHCLCCGKRRVIPKVLAAREIVWLEREERARQHYEKHLEER
+KKKLEEQRLKEERRRAAVEEKRRQRLEEDKERHEAVVRRTMERSQKPKQKQNRWSWGGAL
+HGSPSIHNTDPDRRSVSTMNLSKHVDPVISKRLSSSSATLLNSPDRARRLQLSPWESSVV
+NRLLTPTHAFLARSKSTAALSGDSASCSPINITPYRAAHSRNPLERPKFFVTPPEGSARR
+RTVHGTAGYKREKERENVPFHLTCGSQRSLSPSHPKARSPASSRVWLPSKSFPHLPGTPR
+PAPSTPPGPVKAAPAQLRPPSPGNIRPVKREVRVESERKDPDKEPQKVTSEPSLKGRTPL
+VKVEESTVEEGTPDETESAPAAPAAASAPAPAPGSTPAPTPAPSSTLTASASPKTSAGTT
+DPEEATRLLAEKRRLAREQREKEEREKREKEELERQKREELAQKVAEERSRREEEARQLE
+AELAREQEEQLRRQEEERARREREEMERIRKQKEEEARVREEAERVRQEREKHFQREEQE
+RLERKKRLEEIMKRTRRTEASDKKTVDQRNGDITKGTPTGGIAVSTLPNMTNSPGSEEPE
+ASTHVVTTHQSKVTVDSTPSLEKQPSENGISVQNENFEEIINLPIGSKPSRLDVTNSENP
+DIPLNPILAFDDEGTLGPLPQVDGVQTQQTAAEVIVQKEQ
+>tr|A0A3Q1NGY3|A0A3Q1NGY3_BOVIN Tyrosine-protein kinase receptor OS=Bos taurus OX=9913 GN=ALK PE=3 SV=1
+MEKDLDPSGGARDSGRLPGGGVYRRKHQELQAMQMELQSPEYKLSKLRTSTIMTDYNPNY
+CFAGKTSSISDLKEVPRKNITLIRGLGHGAFGEVYEGQVSGMPNDPSPLQVAVKTLPEVC
+SEQDELDFLMEALIISKFNHQNIVRCIGVSLQALPRFILLELMAGGDLKSFLRETRPRPN
+QPSSLVMLDLLHVARDIACGCQYLEENHFIHRDIAARNCLLTRPGPGRVAKIGDFGMARD
+IYRASYYRKGGCAMLPVKWMPPEAFMEGIFTSKTDTWSFGVLLWEIFSLGYMPYPSKSNQ
+EVLEFVTSGGRMDPPKNCPGPVYRIMTQCWQHQPEDRPNFAIILERIEYCTQDPDVINTA
+LPVEYGPLVEEEEKVPMRPQDPEGIPPLLVSAAQAKREEGREPAAPAALPSAPPGKAGKK
+PAVAEACVRGGARPPAVEGGHVNMAFSQSNPPSELPQVQGSRNKPTSLWNPTYGSWFTEK
+PTKKNTPPAKKEQRERGPPGGEGARAVPAPAPAARHPGASLLLEPSALTASLRDVPLFRL
+RHFPCGTVNYGYQQQGFPPEAAPGPGRYEDPAPKSQPAP
+>tr|A0A3Q1M923|A0A3Q1M923_BOVIN Solute carrier family 5 member 2 OS=Bos taurus OX=9913 GN=SLC5A2 PE=3 SV=1
+MEEHTEAGSAPVLGEQKALIDNPADILVIAAYFLLVIGVGLWVGASLFASNIGSGHFVGL
+AGTGAASGLAVAGFEWNALFVVLLLGWLFVPVYLTAGVITMPQYLRKRFGGHRIRLYLSV
+LSLFLYIFTKISVDMFSGAVFIQQALGWNIYASVIALLGITMIYTVTGGLAALMYTDTVQ
+TFVILAGAFVLMGYAFHEVGGYSGLFDKYLRAVTSLTVSEDPAVGNISSSCYRPRPDSYH
+LLRDPVTGDLPWPALLLGLTIVSSWYWCSDQVIVQRCLAGKNLTHIKAGCILCGYLKLMP
+MFLMVMPGMISRVLYPDEVACVVPEVCKRVCGTEVGCSNIAYPRLVVKLMPNGLRGLMLA
+VMLAALMSSLASIFNSSSTLFTMDIYTRLRPRAGDRELLLVGRLWVVFIVAVSVAWLPVV
+QAAQGGQLFDYIQSVSSYLAPPVSAVFVLALFVPRVNEKGAFWGLIGGLLMGLARLVPEF
+SFGSGSCVRPSGCPALLCRVHYLYFAILLFVCSGLLTLVVSLCTPPIPRKHLYRLVFSLR
+HSKEEREDLDAEELEGPTAAPVQNGRPEHAVEMEAPPPPRPGLLRQCLLWFCGVSRGGVG
+SPQRPTQEETTAAARRLEDISEDPRWARVVNLNALLMMAVATFLWGFYA
+>tr|A0A3Q1M6G5|A0A3Q1M6G5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=DACH2 PE=4 SV=1
+MDREAWRATVHEVAESLDRTEHTYNHKYVGKLMYFNLIFVFFSNSSRPGRPPKRSLGVLQ
+DSARLLPHSIPGLLSPGLITPTGITAAAMAEAMKLQKMKLIAMNTLQGKGSQNGNESEPD
+DLNSHTGGCESSWDKDKMQSPLVAPGPQHGIVHASLAGQPGLGGTPTLNPLQQNHLMTNR
+LDLPFMMMPHPLLPVSLPPASVAMAMNQMNHLNTIANMAAAAQIHNPLSRAGASVIKERI
+PQSPSPAPSPEESHRPGSQTSSHPSSSVSSSPSQMDHHSERIVMMPNNREDLIVDQDTGP
+TIKKFQRENKEEVPVQIPMMKSPLDKIQLTPGQALPPGFPGPFIFADSLSSVETLLTNIQ
+GLLKVALDNARIQEKQIQQEKRELQMELYREREIRENLERQLAVELQSRTTMQKRLKKEK
+KAKKKLQEALEFESKCREQVEQAFKQATTSDSGLRMLKDTVIPDIEIENTGTLHDSTAMQ
+GTVNSVLLSTTA
+>tr|A0A3Q1LSL6|A0A3Q1LSL6_BOVIN DNA methyltransferase 3 alpha OS=Bos taurus OX=9913 GN=DNMT3A PE=3 SV=1
+MPASGPGDTSGSALEREEERKEGEEQEEARAKEERQEPSTTARKVGRPGRKRKHPPVESS
+DTPKDPAVTSKSLSMAQDSGPSELLPNGDLEKRSEPQPEEGSPAGGQKGGAPAEGEGATE
+TPPEASRAVENGCCTPKDGRGAPAEEGKEQKETNIESMKMEGSRGRLRGGLGWESSLRQR
+PMPRLTFQAGDPYYISKRKRDEWLARWKREAEKKAKVIAVMNAVEENQGSTESQKVEEAS
+PPAVQQPTDPASPTVATTPEPVGADAGDKNATKAADDEPEYEDGRGFGIGELVWGKLRGF
+SWWPGRIVSWWMTGRSRAAEGTRWVMWFGDGKFSVVCVEKLMPLSSFCSAFHQATYNKQP
+MYRKAIYEVLQVASSRAGKLFPMCHDSDESDTAKAVEVQNKQMIEWALGGFQPSGPKGLE
+PPEEEKNPYKEVYTDMWVEPEAAAYAPPPPAKKPRKSTTEKPKVKEIIDERTRERLVYEV
+RQKCRNIEDICISCGSLNVTLEHPLFIGGMCQNCKNCFLECAYQYDDDGYQSYCTICCGG
+REVLMCGNNNCCRCFCVECVDLLVGPGAAQAAIKEDPWNCYMCGHKGTYGLLRRRDDWPS
+RLQMFFANNHDQEFDPPKVYPPVPAEKRKPIRVLSLFDGIATGLLVLKDLGIQVDRYIAS
+EVCEDSITVGMVRHQGKIMYVGDVRSVTQKHIQEWGPFDLVIGGSPCNDLSIVNPARKGL
+YEGTGRLFFEFYRLLHDARPKEGDDRPFFWLFENVVAMGVSDKRDISRFLESNPVMIDAK
+EVSAAHRARYFWGNLPGMNRPLASTVNDKLELQECLEHGRIAKFSKVRTITTRSNSIKQG
+KDQHFPVFMNEKEDILWCTEMERVFGFPVHYTDVSNMSRLARQRLLGRSWSVPVIRHLFA
+PLKEYFACVTWSDESCALTSSRQHLEPQVHDTWFRFPPRQAAWRWRRFRFDPGGRTL
+>tr|A0A3Q1M1E0|A0A3Q1M1E0_BOVIN Rabenosyn, RAB effector OS=Bos taurus OX=9913 GN=RBSN PE=4 SV=1
+MASLDDPGEVREGFLCPLCLKDLQSFYQLQSHYEEEHSGEDRDVKGQIKSLVQKARKAKN
+RLLKREGDDRAESGTQGYESFSYGGVDPYMWEPQELGAMRSHLSDFKKHRAARIDHYVVE
+VNKLIIRLEKLTAFDRTNTESAKIRAIEKSVVPWVNDQDVPFCPDCGNKFSIRNRRHHCR
+LCGSIMCKKCMELISLPLANKLTSASKDSLSAHTSPSQSPNSVHGSRRGSISSVSSVSSV
+LDEKDDDRIRCCTHCKDTLLKREQQIDEKERTPDIVRLYEKLRLCMEKVDQKAPEYIKMA
+ASLNAGETTYSLEHAGDLRVEVQKVYELIDALSKKILTLDMKQDPPPHPNTLRLQRMIRY
+SATLFVQEKLLGLMSLPTKEQFEELKQKRKQEMEQKRILERQAALESQRRLEERRSDLAS
+RATNGEAKAAGRTDEVRTLQENLRQLQDEYDQQQTEKAIALSRRQAEEEDLQREQLQVLR
+EREREREQGQAASLHTRTRSLDFREIRPFQLEPSREPRTHLAHALDLGSSPARSGTATKT
+SPPSSAPEPFRGWSGPPALGQEFLPQSTASPRSEAPSLNPFDEEEDLASPSAEDTANPPA
+PEPSLGPPACVLREYNPFEEEDEEDAVAGNPFTKPDGPAPNPFEEEDEHPPRTPASPPVP
+GNPFEEAPCTNPFEEESDGGQEGAEPIEEELLLQQIDNIKAYIFDAKQCGRLDEVEVLTE
+NLRELRRTLARQKGGTD
+>tr|A0A3S5ZPG9|A0A3S5ZPG9_BOVIN Mucin-15 OS=Bos taurus OX=9913 GN=MUC15 PE=4 SV=1
+MLTSAKILLISILSSLLLFGSHGEEGQKTNTTESTAEDLKTMENQSVPLESKANLTSDKE
+NRETSNPKASNFSFEDPSNKTHETGFYSNLSTDNSSRSPSLMPTLSPRSPSTHSFVSKLP
+WNSSIADNSLLPASAPPNTTVPVSSENFTLSSINDTMKAPDNSSITVSNLPSGPNTTSVT
+PMVTEGWPTTTRESVEGFTVYQETTLHPTLKFTNNSKIFPNTSDPQEENRNTGVVFGAIL
+GAILGASLLSLVGYLLCGKRKTDSFSHRRLYDDRNEPVLRLDNAPEPYDMSFGNSSYYNP
+TANDSSTSAGGENAHDSIPMDDIPPLRTSV
+>tr|F1MRZ3|F1MRZ3_BOVIN Adhesion G protein-coupled receptor G2 OS=Bos taurus OX=9913 GN=ADGRG2 PE=3 SV=3
+MYLLIMPINCANFYYFVSDATSSVLSTLNKTEKTKITIVKTFNASGVKPQRNICNLSSIC
+NNSAFFRGEIMFQYDEESNITQNQVMANGTVTGILSLRELKQSELNKTLETLSETYFTEC
+DAAESQSTVNCTFTIKLNKTMNICAVMVAFQTVKIRPMEQCCCSARTPCPSSLEEVKKLQ
+CDLQDPVECLARHPHGPPFSSSSNAIPVAPPATIVSQISSAFSFAEPLAHPVVTQSPHSP
+TGVIPLPSPQPSAPITSSPAIDMPLPSGTVSSPKPPPDLPLTLSPGKSSAPSPTTSAPVN
+VNTTGIPPDKTEIVHTSSISVSDLENQVSRMERALSLGSLEPKLAGQMINQVSRLLHSPP
+TLLAPLAQRLLKVVDDIGLQLNFSTKTISLTSPSLALAVIRVNASNFDTTTFAAQDPANL
+QVSLEAQAPENSIGVITLPSSLMRHLPADAVELASRVQFNFFETPALFQDPALENLSLIS
+YVISSSVANLTVKNLTRNVTVTLKHIDPSQDDLTVRCVFWDLGRNGGRGGWSSDGCSVKD
+RRLNETTCTCSHLTSFGVLLDLSRTSLPPAQMMALTFITYIGCGLSSIFLSVTLVTYIAF
+EKIRRDYPSKILIQLCAALLLLNLVFLLDSWIALYDIRGLCISVAVFLHYFLLVSFTWMG
+LEAFHMYLALVKVFNTYIRKYILKFCIFGWGIPAVVVAIVLIISPDNYGLGSYGKFPNGS
+PDDFCWINSNAVFYITVVGYFCVIFLLNVSMFIVVLVQLCRIKRKKQLGAQRKTSIQDLR
+SVAGLTFLLGITWGFAFFAWGPVNVTFMYLFAIFNTLQGFFIFVFYCVAKENVRKQWRRY
+LCCGKLRLAENSDWSKTATNGLKKQTVNQGVSSSSNSLQSNSNSTHSTTLLVNNDCSGLA
+SGNGNASTEKNGVSFSVQNGDVCLHDFTGKQHIFNEKEDSCSGKNRIALRRTSKRGSLHF
+IEQM
+>tr|A0A3Q1MCK2|A0A3Q1MCK2_BOVIN Ornithine decarboxylase antizyme 3 OS=Bos taurus OX=9913 GN=OAZ3 PE=4 SV=1
+GRGGTSARRRRQERPPRAARGAITTYKEEEDLTLRPRCCLQHTEQGDQDQLKELYSAGNL
+TVLSTDPLLHKDPVQLDFHFRLTPQTSAHWHGLLCDHRLFLDIPYRALDQGNWESLTAAL
+QYVEEKTNVDSVFVNIQNNRNDRGGESALSFLALCAEAADSNFPFIGDLPPLILKGMFRQ
+FRDSTPIPFSPPRKLRHLVFCSFKS
+>tr|E1BMI6|E1BMI6_BOVIN G protein nucleolar 3 OS=Bos taurus OX=9913 GN=GNL3 PE=4 SV=2
+MKRPKLKKASKRMTCHKRYKIQKKVREHHRKLRKEAKKRGRKKPRKDPGVPNSAPFKEAL
+LREAELRKQQLEELKQQQKLDRQNERNKKRKLETDPDVKLSNTEPVTEELGQRKAKKAKT
+SKQNPKRLYCQELKKVIEASDVVLEVLDARDPLGCRCPQVEEAIIRGGQKKLVLVLNKSD
+LVPKENLENWLSYLNKELPTVVFKASTQQKDKRKRIKVKKKAALSKSEVCVGKQGLWKLL
+EGFQKTSGKAIHVGVIGFPNVGKSSVINSLKQEQICSVGVSMGLTRCMQLVPLDKQITII
+DSPCFIVSPLNSAPALALRSPASIEVVRPLEAASAILSQADAQQVVLKFTVPVFTNSLEF
+FTSFAQRRGLHQKGGSPNVEGAAKVLWSEWTGAFLGYYCHPPASWTPPPHFNESIVTDMK
+WGFDLEELEKNNAHSIQAIKSPHLASSILFQSSGLTNGVIDENDIPEELLKQKKQKQEQE
+SYEYVKMDQGIVDEEGDDESLAMSPAEEACETLSEKSRADKQSAPSFVLDKMTEEEDDVY
+DFSTDYV
+>tr|E1BHL3|E1BHL3_BOVIN Histone domain-containing protein OS=Bos taurus OX=9913 PE=3 SV=2
+MPEPAKSAAAPKKGSKKAVTKAQKKDGKKRKRSRKESYSSYVYKVLKQVHPDTSISSKAT
+GIMNSFVNDIFQRITGEASRLAHYNKRSTITSREIQTAVCLLLPGELAKHAVSEGTKAVT
+KYTSSK
+>tr|E1B7S1|E1B7S1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=PARP12 PE=4 SV=3
+MAPAALLREVTQVLCAAGGALELEELRRRVRPNVDCDVLDRLLRERGRFLVARRAAGAGA
+AAVERVVLAVSPLRLCSAHLGPKPGCAGLCAQLHLCKSLFYGKCKFLRDGKDCRNGHNLM
+SNHNVSVLKTHGLDHLNYGELCMLLFQNDPLLLPEICLHYNKGDGPYGSCSFKKHCIKLH
+VCQYFSQGECKFGTGCKRSHDFSNSENLEKLEKLGMRPELVSKLPSIYRNAHDIKNKSSA
+SIRVPPPPSQSQGTSKRRDTGSVSLNTATQEESDQICLFHIWKSCSFQDKCSRVHFHLPY
+RWQVLDGGKWKDLHKMELIEEAYSNPARDRVRCTETSSSFLIGFLNFETMKFGATQARRL
+STASSVTKPPHFILTTNWVWYWTDEFGSWQEYGRQGMDHPVTSVTSSDLEKAYLAYCASG
+SNPQAATLRFQAGKHSYELDFKAFIQKNVIYGTVRKVCRRPKYVSPQDVKMKQTCSINSQ
+GLKNIPDHWDRSALPDTGFKRITVPSSSEEFQKVWSLFTSTMPLYSIQKIERVQNPALWE
+IYQWQKEQMQKRNGRKMVDERQLFHGTSTGLVDAICQQNFDWRVSGLHGTSYGKGSYFAR
+DAAYSHHYSKTDSNTHTMFLARVLVGEFVRGSTAYVRPPIKEAQGNILYDSCVNSMSEPS
+IFVIFEKHQAYPEYVIQYTASTKPPAATPTFFSLASFFSGRQ
+>tr|A0A3Q1MDJ8|A0A3Q1MDJ8_BOVIN Leucine-rich repeat flightless-interacting protein 2 OS=Bos taurus OX=9913 GN=LRRFIP2 PE=4 SV=1
+MGTPGSGRKRTPVKDRFSAEDEALSNIAREAEARLAAKRAARAEARDIRMRELERQQKEV
+TGENNPPSSRNSASATTPLSGNSSRRGSGDTSSLIDPDTSLSELRESLSEVEEKYKKAMV
+SNAQLDNEKNNLIYQVDTLKDVIEEQEEQMAEFYRENEEKSKELERQKHMCSVLQHKMDE
+LKEGLRQRDELIEKHGLVIIPDGTPNGDVHQEPAVGAITVVSQEAAQVLESAGEGPLDVR
+LRKLAGEKEELLSQIRKLKLQLEEERQKCSGRDGTAGDLAELQNGSDLQLIEMQRDANRQ
+ISEYKFKLSKAEQDITTLEQSISRLEGQVLRYRTAAENAEKVEDELKAEKRKLQRELRTA
+LDKIEEMEMTNSHLAKRLEKMKANRTALLAQQ
+>tr|A0A3Q1LUH3|A0A3Q1LUH3_BOVIN Thromboxane-A synthase OS=Bos taurus OX=9913 GN=TBXAS1 PE=3 SV=1
+MEVLGLFRLEVSGPMVTVALSVVFLALLKWYSTSAFSRLEKLGIRHPKPSPFIGNLAFFR
+QGFWESHMELRKQYGPLSGYYLGRLMFIVISEPDMIEQVLVEKFSNFTNRMATGLEPKPV
+ADSVLFLRDKRWEEVRSVLTVAFSPEKLSEMTPLISRACDVLLAHLERHAQSGEAFDIQR
+TYCCYTTDVVASVAFGTEVNSQEAPEHPFVEHCRRFFASSIPKPLLVLLLSFPSIMVPLA
+RILPNKNRDELNGFFNKLIRNVIALRDQQAAEERRRDFLQMVQDVRHSAATVGVENFDIV
+RQVFSATKCPANPPRRHLPRPLSKPLSVDEVVGQAFIFLIAGYEIVTNTLSFATYLLATN
+PECQEKLLEEVDCFSKEHLAPEYCSLQEGLPYLDMVIKETLRMYPPAFRFTRVAAQDCEV
+LGQRIPAGAVLETAVGALHYDPEHWPNPENFNPERFTAEAQQRRRPYTYLPFGAGPRSCL
+GVRLGLLELKLTLLHILRKFRFEACPETQVSPARCSGRRGTHRTPTSHSKSLPPVAPPAC
+SGLFPFRNAIPPPSPPPV
+>tr|E1B8E3|E1B8E3_BOVIN Ankyrin repeat domain 23 OS=Bos taurus OX=9913 GN=ANKRD23 PE=4 SV=3
+MLEERSRGVGGGQWSPSSNPAYFCRFHLTLLQVSGERVERTKLECGHGVPDPGGGLRSWR
+LGPQEAEARERQKLDEEKRRRLERFNSSRTNLENLADLEKLVQRRKEKRLKRRVPPKAPQ
+PEVKPQPQAQLEPVGLEVFLKAAAENQEALIDKYLADGGDPNAHDKLHRTALHWACLKGH
+CELVNKLLEAGAAVDTRDLLDRTPVFWACRRGHLDILKQLLNWGAQVNARDKIWSTPLHV
+AVRTGHCDCLDHLIACGARIDAQDKEGDTALHEAVRHGRYRAMKLLLLYGAGLGVHNAAS
+VTPVQLARDWQRGIQEALQAHVRHTRTRC
+>tr|A0A3Q1LW94|A0A3Q1LW94_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MTSGGDRIPVELFQILKDDAVKVLHSICQQIRKTQQWPQDWKRSVFIPIPKKGNAKECSN
+YRTIALISHATKVMLKILQARCQQYMNRELSVVQAGFRNGRGTRDQVANIRWIIEKAREF
+QKTIYFCFIDYTKAFDCVDHNKLWEILKEMGIPDHLTCLLRNLYAGQEATVRTGHGTDWF
+QIGKGVHQGCILSPCLFNLYAEYIMSNARLEEAQAGIKIAGRNINNLRYADDTTLMAERE
+ELKSLLMKVKEESEKVGLKLNIQKTKIMASGPITSWQIDGETVETGADFIFWGSKITADG
+NCSNEIKRCLLLGRKVMTNLDSILKSRDVTLSTKVRLVKAMVFPVVMYGCESWTIKKAEG
+RRIDAEGGNRQNRLHLKSRTPCWTRLWTLSYMPSTYGNDTATGKPDPPDGRTPGLIPRLS
+IA
+>tr|A0A3Q1NLI1|A0A3Q1NLI1_BOVIN FTCD_N domain-containing protein OS=Bos taurus OX=9913 GN=FTCDNL1 PE=4 SV=1
+MSSCRVGLHLAACLLNISEARKKSIVENIAKAALLERNGQRHPEVSVLNVFSDPEYNRSV
+ITIAASIDELGNSVLAACLEAFQSIDMEVQEGIHPCLGAVDLIPIYPLSGVGVEECGAVA
+RSLAENLVLSVPGCSVFLFGEADLPEKRPLVQRRKQLGWFTRRDFSTLKSDLGAAPARRC
+GLTVCSRW
+>tr|A0A3Q1M0Z4|A0A3Q1M0Z4_BOVIN DEP domain containing 5 OS=Bos taurus OX=9913 GN=DEPDC5 PE=4 SV=1
+MRTTKVYKLVIHKKGFGGSDDELVVNPKVFPHIKLGDIVEIAHPNDEYSPLLLQVKSLKE
+DLQKETISVDQTVTQVFRLRPYQDVYVNVVDPKDVTLDLVELTFKDQYIGRGDMWRLKKS
+LVSTCAYITQKVEFAGIRAQAGELWVKNEKVMCGYISEDTRVVFRSTSAMVYIFIQMSCE
+MWDFDIYGDLYFEKAVNGFLADLFTKWKEKNCSHEVTVVLFSRTFYDAKSLDEFPEINRA
+SIRQDHKGRFYEDFYKVVVQNERREEWTSLLVTIKKLFIQYPVLVRLEQAEGFPQGDNAT
+SAQGNYLEAINLSFNVFDKHYINRNFDRTGQMSVVITPGVGVFEVDRLLMILTKQRMIDN
+GIGVDLVCMGEQPLHAVPLFKLHNRSAPHDSRLGDDYNIPHWINHSFYTSKSQLFCNSFT
+PRIKLAGKKPTCEKAKNGRDTSLGTPKESENALPIQVDYDAYDAQVFRLPGPSRAQRLST
+CRSVRERESHSRKSASSCDVSSSPSLPGRTLPTEEVRSQASDDSSLGRSANILVIPHPHL
+HQYEVSSSLGYTSTRDVLENMMEPPQRDSSAPGRFHVGSAESMLHVRPGGYTPQRALINP
+FAPSRMPMKLTSNRRRWMHTFPVGPSGEAIQIHHQTRQNMAELQGSGQRDLTHSSAELLE
+LAYHEAAGRHSTSRQPGDGTSFLSFAGTEELSVSLLRGSGADVSPRTQNKDSPEDSVSTS
+PDPMPGFCCTVGVDWKSLTTPACLPLTTDYFPDRQGLQNDYTEGCYDLLPEADIDRRDEE
+GVQMTAQQVFEEFICQRLMQGYQIIVQPRAQKPSPAGPPPLSSSPLYSRGLVSRNRPEEE
+DQYWLSMGRTFHKVTLKDKMITVTRYLPNLIESLKFWRTRFLLLPACVTATKRITEGEAH
+CDIYGDRPRADEDEWQLLDGFIRFVEGLNRIRRRHRSDRMMRKGATMKGLQMAGPIPTHS
+LESAGPPVGKKGTSALSALLEMEASQKCLGEQQAAAHGVKSSAPPAESGGVAMTPTYVDS
+PRKDGAFFMEFVRSPRTASSAFYPQVSLDQTAAPVLDSSSLGVSTGQSVDRGNSQTFGNL
+QSLGEQGFPSANSSDSGAQQLVASSLTSSSTLVEILEAMKHPSTGVQLLPEQKGLSPSCF
+ISAEVVHWLVNNVEGVQTQAMAIDIMQKMLEEQLITHASGEAWRTFIYGFYFYKIVMDRD
+PERVAVQQPTTWHTTAVDDFASFQRKWFEVAFVAEELLHSEIPAFLLPWLPSRPASYASR
+HSSFSRSFGGRSQAAALLAATVPEQRTVTLDVDVNNRTDRLEWCSCYYHGNFSLNAAFEI
+KLHWMAVTAAVLFEMVQGWHRKATSCGFLLVPVLEGPFALPSYLYGDPLRAQLFIPLNIS
+CLLKEGSEHLFDGFEPETYWDRMHLFQEAIAHRFGFVQDKYSASAFNFPAENKPQYIHVT
+GTVFLQLPYSKRKFSGQPRRRRNSTSSASQTLFCEERVGYNWAYNTMLTKTWRSSATGDE
+RFADRLLKDFTDFCGNRDSRLVAFWASCLEKMHASAP
+>tr|F1N0S1|F1N0S1_BOVIN ArfGAP with SH3 domain, ankyrin repeat and PH domain 3 OS=Bos taurus OX=9913 GN=ASAP3 PE=4 SV=3
+MGPRALGARPRRPHLAPAMPEQLSVAEFLAVTAEDLSSPAGAAAFAAKMPRCRGAALARE
+EMLEGDQAILQRIKKAVRAIHSSGLGHVENEEQYREAVESLGNSHLSQNSHELSTGFLNL
+AVFTREVAALFKNLVQNLNNIVSFPLDTLLKGQLRDGRQDSKKQLEKAWKDYEAKMARLE
+KERDRARVIGGSTGEVAQDTQRERRVFQLHMCEYLLKAGESQMKQGPDFLQSLIKFFHAQ
+HNFFQDGLKAAQSLSPFIEKLAVSVHTLRQAQEEELQKLTQLRDSLRGTLQLESREESLS
+RKNSGGGYSIHQHQGNKQFGTEKVGFLYKKSDGIRRVWQKRKCGVKYGCLTISHSTINRP
+PVKLTLLTCQVRPNPEEKKCFDLVTHNRTYHFQAEDEHECEAWVSVLQNSKDEALSSAFL
+GEPGSGPGPWGAAGLDGEPQDLTKLLIAEVKSRPGNGQCCDCGAADPTWLSTNLGVLTCI
+QCSGVHRELGVRFSRIQSLTLDLLGPSELLLALNIGNTRFNEVMEAQLPSHGGPKPSAES
+DMSARRDYIVAKYVEHRFARRSTPEPQRLCTAICNRDLLSVLEAFANGQDFGQPLPGPEG
+QAPGELALHLAVRVANQASLPLVDFIIQNGGHLDAKAADGNSALHCAVFYNQLDCLKLLL
+KGRASVATVNEAGETALDIARRKQHKECENLLEQAQAGTLTFPLHLDYAWGISTEPGSDS
+EEDEEEKRCALKPPAQARWTSGRLDISNKTYETITSLGPAAPKSQSEDCPPPLPVKNLSR
+TMAQGRVGHASGDRSEIPSLSSESLGASENLSSPASSSSSLTSPVEPGGPNETLPSSEEG
+PCEPQGPSRPSLTSGISPAEMYPPVRFSSESTRSYRRGGGAWKSVAQPGSLYPEGT
+>tr|A0A452DJ63|A0A452DJ63_BOVIN Lysine-rich nucleolar protein 1 OS=Bos taurus OX=9913 GN=KNOP1 PE=4 SV=1
+MDDSLETVPSKRWAVRPTGPGAAMAAVSTATARPKRPRPGRNRLLRGRASESRLTSGSRR
+RGLSRGGGEHWPLGMITKAHKGEVGLGLPEKKKKKKKVVKEPKTQYSVLNSDNYFAEVCP
+RATPPLKGVIQEQAPRMPLVKKKKKKKGHSTICEEHLEPEITLRAGRTERSHSPRTQALG
+LSKSLSAEKRKSMSPGSRVKTSPDPRQDEEVTRVGKKLKKHKKEKKAKEATAFSGRDPWF
+CEAGNTVYTHSVGKDGVREQAALGQKQKQGSPREHSVKMKKKKKIHWEGDPPLGHPECSW
+SLESSPTKGSKKKPVRVEAPEYIPIGDGSRASVKKKVKSKKRVEQADTEEPALKRKKKKK
+KRQQSEVAEEPWEEEPDTDLEVVLEKKGNMDEAHIDQVRRKALQEEIDRESGKTEAYDTK
+KWTGTQFGQWDTAGFENEEQKLKFLKLMGGFKNLPPSFSRPSPTVARPSMALSKKAADTL
+QRNLQQDYDRALSWKYSRGAGLGFSTAPAKVFYIDRNASKSIKFED
+>tr|A0A3Q1MB26|A0A3Q1MB26_BOVIN Abl interactor 2 OS=Bos taurus OX=9913 GN=ABI2 PE=4 SV=1
+MAELQMLLEEEIPGGRRALFDSYTNLERVADYCENNYIQSADKQRALEETKAYTTQSLAS
+VAYLINTLANNVLQMLDIQASQLRRMESSINHISQTVDIHKEKVARREIGILTTNKNTSR
+THKIIAPANLERPVRYIRKPIDYTILDDIGHGVKWLLRFKVSTQNMKMGGLPRTTPPTQK
+PPSPPMSGKGTLGRHSPYRTLEPVRPPVVPNDYVPSPTRNMAPSQQSPVRTASVNQRNRT
+YSSSGSSGGSHPSSRSSSRENSGSGSVGVPIAVPTPSPPSVFPAPAGSAGTPPLPATSAS
+APTPLVPATVPSSTAPDAAAGGAQTLADGFTSPTPPVVSSTPPTGHPVQFYSMNRPAARH
+TPPTIGGSLPYRRPPSITSQTSLQSQMNGGPFYSQNPVSLAPPPPSILQVTPQLPLMGFV
+ARVQENISDAPPPPPPVEEPVFDESPPPPPPPEDYEEEEAAVVEYSDPYAEEDPPWAPRS
+YLEKVVAIYDYTKDKEDELSFQEGAIIYVIKKNDDVLKQKSKLNLNIWIFLPSLILC
+>tr|E1BMY2|E1BMY2_BOVIN Sulfiredoxin 1 OS=Bos taurus OX=9913 GN=SRXN1 PE=4 SV=2
+QSKGRAAKRRVLPTHPRWARPAPSTLCPRHGPESPRNVRHPRPSHSTTCRLTLSQPARPL
+PLPGRRAAEGRRRGAPQRRAEAPRASGSRGRAEQRSRRGARWWAGPPWGQGRETLRAARL
+RPPPPARNGTARPRLKPGGGGAASRDGPGGGGGGMMGLRAGGALSRAGAGRGAPEVPGPS
+GGAQGGSIHSGCITAVHNVPLSVLIRPLPSVLDQAKVQSLVDTIRENPDSVPPIDVLWIK
+GAQGGDYFYSFGGCHRYAAYQQLQRETIPAKLVQSTLSDLRVYLGASTPDLQ
+>tr|A0A3Q1LTV2|A0A3Q1LTV2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MGKKQNKKKVEEVLEEKEEEYVVEKVLDRRVVKAKVEYLLKWKGFSDEDNTWEPEENLDC
+PDLIAEFLQSQKTAHETDKSEGGKRKADSDSEDKGEENKPKKKKEESEKPRSFARGLEPE
+RIIGATDSSGELMFLMKWKNSDEADLVPAKEANVKCPQVVISFYEERLTWHSYPSEDDDK
+KDDKN
+>tr|F1N5M2|F1N5M2_BOVIN Vitamin D-binding protein OS=Bos taurus OX=9913 GN=GC PE=4 SV=2
+MKRILVFLLAVAFVHALERGRDYEKDKVCKDLASLGRDDFTSLSMVLYSRKFPSGTFEQI
+SHLVNEVVSLTVTCCAEGADPDCYDNRTSALSDKSCESNSPFPVHPGTPECCTHEGLEKK
+LCMAALKHQPQEFPTYVEPTNDEICEAFRKDPKDFADRFMYEYSINYGQAPLTLLVGYTK
+SYLSMVGSCCTSPNPTVCFLKERLQLKHFSLLTIMTNRICSQYAAYGKEKSRLSHLIKFA
+QKVPTAHLEDVLPLAEDITTILSKCCDSVSEDCIKELPEYAVKLCDNLSTKNSKFKDCCQ
+EKTPMEIFVCAYFMPASPNPELPDVKLPMNKDVCDEGNTKVLDQYIFELSRKTRIPEVFL
+TKILESTLKSLDECCHSESSTACLNAKGPQLTRELSSFIQKGQELCADYSENTFTEYKKK
+LAERLRGKFPDATETDLQELVAKRSDFASKCCSVNSPPLYCNSEIDAEINTLQS
+>tr|A0A3Q1MJZ6|A0A3Q1MJZ6_BOVIN Urea transporter OS=Bos taurus OX=9913 GN=SLC14A1 PE=3 SV=1
+MDDNPTAVKLDQGGNQAPQGRGRRCLPKALGYITGDMKEFANWLKDKPQALQFVDWVLRG
+ISQVVFVSNPISGILILVGLLVQNPWCALNGCVGTVVSTLTALLLSQDRSAITAGLQGYN
+ATLVGILMAIYSDKGNYFWWLLFPVSAMSMTCPVFSSALNSVLSKWDLPVFTLPFNMALS
+MYLSATGHYNPFFPSTLITPVTSVPNVTWPDLSALQLLKSLPVGVGQIYGCDNPWTGGIF
+LGAILLSSPLMCLHAAIGSLLGIIAGLSLSAPFEDIYAGLWGFNSSLACIAIGGTFMALT
+WQTHLLALACGEYSLNVGKVVGLPSGTWPFCLATLLFLLLTTKNPNIYKMPISKVTYPEE
+NRIFYLQSRKRTVQGPL
+>tr|A0A3Q1MX36|A0A3Q1MX36_BOVIN TATA-box binding protein associated factor, RNA polymerase I subunit A OS=Bos taurus OX=9913 GN=TAF1A PE=4 SV=1
+MSDFSEELIRPTTEDEQGEPCVRSGSGMCFPWLQKHIESIASGGKQEKDFAQTTSACLNF
+IQEALLKHQWQRAAEYMHSYLQVLEDTDSNKRQGAPEIVWRLGSEILYYHPKSSVETFNT
+FADRMKNIGITNYLKISLQHALYLLHHGLLEDANRILTQAETWRYGEKSSSQEVLVNLIQ
+AYKGLLQYYSWYKKKMELSKLDKDDYAYNTASQNMLNHSWKTSINLCTLIQIPGVWDPFV
+KSYIEMLEFYGDQDGAREVLNNYAYDEKFPSNPNAHVYLYNFLKREKAPREKLISVLKIL
+YQIVPSHKLMLEFHRLLRKSDKEEHHKLGLEVLFGILDFAGCTKNITAWKYLAKYLKQTL
+MGSHLAWVQEEWNSRKSWWPSFHFSYFWAKSNWKDDKTLACEKALVAGLLLGKASTEMRL
+VHLVQR
+>tr|E1BCX1|E1BCX1_BOVIN G_PROTEIN_RECEP_F1_2 domain-containing protein OS=Bos taurus OX=9913 GN=LOC788874 PE=3 SV=3
+MARKNYTSLTEFILLGLADTLELRVILFCLFSMIYMLTVVGNVGMILLIRMDSRLHTPMY
+FFLANLSFVDVCYSSTITPKMLVDLLSEKKTISFAGCFLQMYFFIAFATIECILFGLMAH
+DRYVAICNPLLYSLIMSRTVCLKMAAGAFTAGLLNSVVHTGYVSSLSFCSSNVIHHFFCD
+SPPIFKLSCSDTHLYESILSTFAGVNMVGALLVILTSYCYILFSIFHMHSREGRRKAFST
+CVSHLTAIILFYSTSIYTYLRPTSSYSLNQDKVASVFYTVVIPMLNPLIYSLRNKEVKRA
+LWNAITRKMVPLFLGLLP
+>tr|A0A3Q1MY33|A0A3Q1MY33_BOVIN Solute carrier family 36 member 3 OS=Bos taurus OX=9913 GN=SLC36A3 PE=4 SV=1
+MAATPVFLPGKSHGQRSLAGCSPRSHKESNTTEQLSRAQHSVWYIFVESPELAPALDQRL
+QNRSWEQSKMKSPFHLILSIFPPEGAGETKEARAMMQTLIHLLKCNIGTGLLGLPLAMKN
+AGLLVGPFSLLAIGILTVHCMVCIVCLFFRLQKTFVNYGEAMMYSLETCPNAWLRTHSVW
+GRYTVSFLLITTQLGFCSVYFMFMADNLQQMVEEVHVTSKTCEPRKILVLTPNVDIRFYM
+LTILPFLILLVFIQNLRVLSIFSTLANITTLGSMALIFQYIMQEIPDPRNLPLMASWKTF
+LLFFGTAIFTFEGVGMVLPLKNQMKHPQQFSFVLYWGMSLVIVLYICLGTLGYMKFGSNT
+QASITLNLPNCWLYQSVKLMYSIGIFFTYALQFHVPAEIIIPVIISQVSETWALFADLSV
+RTALVCLTCE
+>tr|E1BPW2|E1BPW2_BOVIN ELKS/RAB6-interacting/CAST family member 1 OS=Bos taurus OX=9913 GN=ERC1 PE=4 SV=3
+MYGSARSVGKVEPSTQSPGRSPRLPRSPRLGHRRTNSTGGSSGSSAGGGGGKTLSMENIQ
+SLNAAYATSGPMYLSDHENAGSETPKSTMTLGRSGGRLPYGVRMTAMGSSPNIASSGVAS
+DTIAFGEHHLPPVSMASTVPHSLRQARDNTIMDLQTQLKEVLRENDLLRKDVEVKESKLS
+SSMNSIKTFWSPELKKERALRKDEASKITVWKEQYRVVQEENQHMQMTIQALQDELRIQR
+DLNQLFQQDSSSRTGEPCVAELTEENFQRLHAEHERQAKELFLLRKTLEEMELRIETQKQ
+TLNARDESIKKLLEMLQSKGLSAKATEEDHERTRRLAEAEMHVHHLESLLEQKEKENALL
+REEMHRRFENAPDSAKTKALQTVIEMKDSKISSMERGLRDLEEEIQMLKSNGALSTEERE
+EEMKQMEVYRSHSKFMKNKVEQLKEELSSKEAQGEELKKRAAGLQAEIGQVKQELSRKDT
+ELLALQTKLETLTNQFSDSKQHIEVLKESLTAKEQRAAILQTEVDALRLRLEEKETMLNK
+KTKQIQDMAEEKGTQAGEIHDLKDMLDVKERKVNVLQKKIENLQEQLRDKEKQMSSLKER
+VKSLQADTTNTDTALTTLEEALAEKERTIERLKEQRDRDEREKQEEIDNYKKDLKDLKEK
+VSVLQGDLSEKEASLLDLKEHASSLASSGLKKDSRLKTLEIALEQKKEECLKMESQLKKA
+HEATLEARASPEMSDRIQQLEREIARYKDESSKAQAEVDRLLEILKEVENEKNDKDKKIA
+ELESLTSRQVKDQNKKVASLKHKEQVEKKKSAQMLEEARRREDTLSDSSQQLQDSLRKKD
+DRIEELEEALRESVQITAEREMVLAQEESARTSAEKQVEELLMAMEKVKQELESMKAKLS
+STQQSLAEKETHLTNLRAERRKHLEEVLEMKQEALLAAISEKDANIALLELSSSKKKTQE
+EVAALKREKDRLVQQLKQQTQNRMKLMADNYEDDHFRSSHSGQSNHKPSPDQDEEEGIWA
+>tr|F1MTN0|F1MTN0_BOVIN Calreticulin-3 OS=Bos taurus OX=9913 GN=CALR3 PE=3 SV=2
+MAAARVPLWAICVLRVALATVYFQEEFLDGERWRNRWVHSTNDSQFGHFRLSSGNFYGHK
+EKDKGLQTTQNSRFYAISARFKPFSNKGKTLIIQYTVKHEQKMDCGGGYIKLFPADVDQK
+NLNGKSQYYIMFGPDICGFDIKTVHIILHFKNQYHANKKSIRCKVDSFTHLYTLVLRPDL
+TYEVKIDGQSIESGSIEYDWQLTSLKKMEKASAEAEGWDQAAKDKSQDWEKHFLDASASK
+PSDWKGELDGDWQAAMLQKPPYQDGLKPEGIDKDVWLHQKMKNSYLTEYDLSEFENIGAV
+GLELWQVRSGTIFDNFLITDDEEYAENFGKATWGETKGPEKEMDAIQAKEEVKKAQEEDE
+DDMLMGRFRGRENSFKGFHRRNEF
+>tr|F1MU35|F1MU35_BOVIN Protein kinase C OS=Bos taurus OX=9913 GN=PRKCG PE=3 SV=2
+MATPPPARGSHISAGAGAGAPTTAAARASGSSAPVLSALAPPGGAGAPRGSAPGPARSAP
+GDRQDPGPCYVPGAMSGLGPGGGDSEGGPRPLFCRKGALRQKVVHEVKSHKFTARFFKQP
+TFCSHCTDFIWGIGKQGLQCQVCSFVVHRRCHEFVTFECPGAGKGPQTDDPRNKHKFRLH
+SYSSPTFCDHCGSLLYGLVHQGMKCSCCEMNVHRRCVRSVPSLCGVDHTERRGRLQLEIR
+APTSDEIHVTVGEARNLIPMDPNGLSDPYVKLKLIPDPRNLTKQKTRTVKATLNPVWNET
+FVFNLKPGDVERRLSVEVWDWDRTSRNDFMGAMSFGVSELLKAPVDGWYKLLNQEEGEYY
+NVPVADADNCNLLQKFEACNYPLELYERVRTGPSSSPIPSPSPSPTDSKRCFFGASPGRL
+HISDFSFLMVLGKGSFGKVMLAERRGSDELYAIKILKKDVIVQDDDVDCTLVEKRVLALG
+GRGPGGRPHFLTQLHSTFQTPDRLYFVMEYVTGGDLMYHIQQLGKFKEPHAAFYAAEIAI
+GLFFLHNQGIIYRDLKLDNVMLDAEGHIKITDFGMCKENVFPGSTTRTFCGTPDYIAPEI
+IAYQPYGKSVDWWSFGVLLYEMLAGQPPFDGEDEEELFQAIMEQTVTYPKSLSREAVAIC
+KGFLTKHPAKRLGSGPDGEPTIRAHGFFRWIDWDRLERLEIAPPFRPRPCGRSGENFDKF
+FTRAAPALTPPDRLVLASIDQAEFQGFTYVNPDFVHPDARSPISPTPVPVM
+>tr|F1MXG8|F1MXG8_BOVIN Tyrosine-protein kinase OS=Bos taurus OX=9913 GN=ABL1 PE=3 SV=3
+MLEICLKLVGCKSKKGLSSSSSCYLEEALQRPVASDFEPQGLSEAARWNSKENLLAGPSE
+NDPNLFVALYDFVASGDNTLSITKGEKLRVLGYNHNGEWCEAQTKNGQGWVPSNYITPVN
+SLEKHSWYHGPVSRNAAEYLLSSGINGSFLVRESESSPGQRSISLRYEGRVYHYRINTAS
+DGKLYVSSESRFNTLAELVHHHSTVADGLITTLHYPAPKRNKPTVYGVSPNYDKWEMERT
+DITMKHKLGGGQYGEVYEGVWKKYSLTVAVKTLKEDTMEVEEFLKEAAVMKEIKHPNLVQ
+LLGVCTREPPFYIITEFMTYGNLLDYLRDCNRQEVNAVVLLYMATQISSAMEYLEKKNFI
+HRDLAARNCLVGENHLVKVADFGLSRLMTGDTYTAHAGAKFPIKWTAPESLAYNKFSIKS
+DIWAFGVLLWEIATYGMSPYPGIDLSQVYELLEKDYRMERPEGCPEKVYELMRACWQWNP
+SDRPSFAEIHQAFETMFQESSISDEVEKELGKKGMRGVAGTLLQAPELPTKTRTSRRAAE
+HKDSPDMPETPHSKGPGETDPVDHEPAVSPLLPRKERGPPDGSLNEDERLLPKDKKTNLF
+SALIKKKKKTAPTPPKRSSSFREMDGPPERKAASEEEGREISNGALAPAPPDAVESAKSP
+KPSGGAGVPNGAFRESGGAGFRSPHLWKKSSTLTSSRLAAGEEESGSGASKRFLRSCSAS
+CVPHGAKDTEWRSVTLPRDLQSTGRQFDSSTFGGHKSEKPALPRKRASENRSDQMTRGTV
+TPPPRLVKKTEEAADEVFKDAAEASPGSSPPSLTPKPIRRQGAAAPPSSPPHKEEAGRPS
+AAGMPAEPAPPTSRAGLGASGGPSKAAATAAEEPRGRRHKPTSESPGRDRGKLSKLKPAP
+PPPPPTSAGKAGKSSQSPSQEAAGEAGAGAKVKPAALAADAVNSDTAKSGQLGEGAKKPV
+LPSMPKPPASTKPAGTPTSPAPTPATLPSAPSALAGDQPSSTAFIPLISTRVSLRKTRQP
+PERIASGAITKGMVLDGTEALCLAISKNSEQMASHSAVLEAGKNLYTFCVSYVDSIQQMR
+NKFAFREAINKLENNLRELQICPATAGGGPAATQDFSKLLSSVKEISDIVQR
+>tr|G3N0U1|G3N0U1_BOVIN Glycylpeptide N-tetradecanoyltransferase OS=Bos taurus OX=9913 GN=NMT2 PE=3 SV=2
+MAEDSESAASQQSLELDDQDTCGIDGDNEEETEHAKGSPGGDLGAKKKKKKQKRKKEKPN
+SGGTKSDSASDSQEIKIQPPSKNSTIPVQKLQDIQRAMELLSACQGPARNIDEAAKHRYQ
+FWDTQPVPKLNEVITSHGAIEADKENVRQEPYSLPQGFMWDTLDLGNAEVLRELYTLLNE
+NYVEDDDNMFRFDYSPEFLLWALRPPGWLLQWHCGVRVSSNKKLVGFISAIPANIRIYDS
+VKKMVEINFLCVHKKLRSKRVAPVLIREITRRVNLEGIFQAVYTAGVVLPKPVATCRYWH
+RSLNPRKLVEVKFSHLSRNMTLQRTMKLYRLPDATKTSGLRPMEPRDIKAVQELTNTYLK
+QFHLAPVMDEEEVAHWFLPQEHIIDTFVVEVKIRTLEFMHHPAHKSLKAAYSFYNIHTET
+PLLDLMSDALIIAKLVGDSLLAVLCVRLQIRMSNYISVLYFLPHRRIHLRYFTVDHQSKE
+EF
+>tr|A0A3Q1NEH7|A0A3Q1NEH7_BOVIN Capping protein regulator and myosin 1 linker 1 OS=Bos taurus OX=9913 GN=CARMIL1 PE=1 SV=1
+MAEESSEVPGELIESIKDVLGRKIKIALKKKVKLEVKGDKVENRVLVLTSCRAFLVTARI
+PTKLEVTFSYLEIHGVICSKPAQMVVETEKCSISMKMASPEDVSEVLAHIGTNLRKIFPG
+LSPVRIMKKVFMEPSERLASLQALWDSQTVTEQGPCGGFSQMYACVCDWLGFSYREEVQW
+DVDTIYLTQDTRELNLQDFSHLDHRDLIPIIAALEYNQWFTKLSSKDLKLSTDVCEQILR
+VVSRSNRLEELVLENAGLRIDFAQKLASALAHNPTSGLHTINLAGNPLEDRGVSSLSIQF
+AKLPKGLKHLNLSKTSLSPKGVNSLSQSLSANPLTATTLVHLDLSGNILRGDDLSSMYSF
+LAQPNAIAHLDLSNTECSLDMVCGALLRGCLQYLAVLNLSRTVFSHRKGKEVPPSFKQFF
+SSSLALMQINLSGTKLSPEPLKALLLGLASNHNLKGVSLDLSNCELRSGGAQVLEGCIAE
+IHNISSLDISDNGLESDLSTLIVWLSKNRSIQHLALGKNFNNMKSKNLTPVLDNLVQMIQ
+DEESPLQSLSLADSKLKTGVTIIINALGSNTSLTKVDISGNGMGDMGAKMLAKALQINTK
+LRTVIWDKNNITAQGFQDIAVAMEKNYTLRFMPIPMYDASQALKTNPEKTEEALQKIENY
+LLRNHETRKYLQEQAYRLQQGIVTSTTQQMIDRMCVKVQDHLNSLRSCEGDAVQEDLKSA
+ERLMQDAKNSKTLLPNLYHVGSVSWAGASGLLSSPIQETLESMAGEVTRVVDEQLKALLE
+SMVDAAENLCPNVMRKAHIRQDLIHASTEKISIPRTFVKNVLLEQSGIDILNKISEVKLT
+VASFLSDRIVDEILDALSHCHHKLADHFSRRGKTLLQQDSLEMELAEEKPVKRSIITVEE
+LTEIERLEDLDTCMMTPKSKRKTIHSRMLRPVSRAFEMEFDLDKALEEVPIHIEDPPFPS
+IRQEKRSSGFISDLPSEEGRKLEHFTKLRPKRAKKQQSTHPAGCATSIVSQDGEQNGLMG
+RVDEGVDEFFTKKVTKMDSKRWSARGSESHELSEGGDEKKKRDFRKTGFLNLIKPRNKPE
+RSSTVLTAEELSSPKAGVRSPAVDAPRKDTKPAEHNGSADRVEEIKTPDSLEESQGEDVG
+KVDRSDSKGSPQGGRRYGVQMMGSGLLAEMKAKQEMKAKAACSLKKLGNDAVSQDSSSLT
+LSSTERLDGGGAVPKVHPENRFGLGTPEKNAKADLKAEAVSKPRSFSNRPTSPKPLLQAP
+KPNLAARPTIPQKPRTASRPEDVPDSPSSPGSPKVALLPPVLKKVPSDKERDGQGSPQPS
+PRTFSREVVQRGDYYKRHSDHDSGKPSGRGKRPSKLYSTIAEEEVNAIGRRKSQPTHVSR
+RSWSQQAQECQEQKQWASSKDGQPGSKSSDSGEEAEREYIFV
+>tr|F1MBT8|F1MBT8_BOVIN Septin 7 OS=Bos taurus OX=9913 GN=SEPTIN7 PE=3 SV=2
+MAQQQKNLEGYVGFANLPNQVYRKSVKRGFEFTLMVVGESGLGKSTLINSLFLTDLYSPE
+YPGPSHRIKKTVQVEQSKVLIKEGGVQLLLTIVDTPGFGDAVDNSNCWQPVIDYIDSKFE
+DYLNAESRVNRRQMPDNRVQCCLYFIAPSGHGLKPLDIEFMKRLHEKVNIIPLIAKADTL
+TPEECQQFKKQIMKEIQEHKIKIYEFPETDDEEENKLVKKIKDRLPLAVVGSNTIIEVNG
+KRVRGRQYPWGVAEVENGEHCDFTILRNMLIRTHMQDLKDVTNNVHYENYRSRKLAAVTY
+NGVDNNKNKGQLTKSPLAQMEEERREHVAKMKKMEMEMEQVFEMKVKEKVQKLKDSEAEL
+QRRHEQMKKNLEAQHKELEEKRRQFEDEKANWEAQQRILEQQNSSRTLEKNKKKGKIF
+>tr|A0A3Q1M3R0|A0A3Q1M3R0_BOVIN Clat_adaptor_s domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MCSFLDLYFCCAIEDQDNELITLEIVHRYVELLDKYFGSVCESDTIFNFEKAYFVLDEFL
+LGGEVQEIPKNVLKATEQADLLAVSLE
+>tr|A0A3Q1N9G5|A0A3Q1N9G5_BOVIN Choline/ethanolamine phosphotransferase 1 OS=Bos taurus OX=9913 GN=CEPT1 PE=3 SV=1
+MSGHRSTRKRCGDSHPESPLGFGHMSTPGCVLNKLFQLPTPPLSRHQLKRLEEHRYQSAG
+RSLLEPLMQGYWEWLVGRVPSWIAPNLITIIGLSINICTTVLLVFYCPTATEQAPLWAYI
+ACACGLFIYQSLDAIDGKQARRTNSSSPLGELFDHGCDSLSTVFVVLGTCIAVQLGTNPD
+WMFFCCFAGTFMFYCAHWQTYVSGTLRFGILDVTESQIIIIICQLLTGTLGPWFWNFTIP
+VLNIQMKLFPALCTVAGTIFSCTNYFRVIFTGGVGKNGSTIAGTSVLSPFLHIGSVITLA
+AMIYKKSAVQLFEKHPCLYILTFGFVSAKITNKLVVAHMTKSEMHLHDTAFIGPALLFLD
+QYFNSFIDEYIVLWIALVFSFFDLIRYCVSVCNQIASHLHIHVFRIKVSTAHSNHH
+>tr|A0A3Q1MNP1|A0A3Q1MNP1_BOVIN Growth arrest specific 2 like 2 OS=Bos taurus OX=9913 GN=GAS2L2 PE=4 SV=1
+MPQPRASRRRPGTPGPRVSSIQPFKSSEQYLEAMKEDLAEWLRDLYGVDISAANFLEVLE
+TGLVLCQHANAITEAALAFLAETPDQAQRLPLPRAGVSYNEAAQPRTFQARDNISNFIHW
+CRKEMGIQEVLMFETEDLVLRKNVKNVVLCLLELGRRAWRFGVAAPTLVRLEEEIEEELW
+LERALPPPKPPPPAPPERRPCHSRDLDQLILRNHVMVRVGGGWDTLGHYLDKHDPCRCTS
+LSHKTGSFLQPPAHPVQHEVRVQDGPSQPQPTMTISRSQSPLPAVDWKTYTSSGRKLRPP
+AVSSPRPQCEQRARPGVHRETAPLLRCQEKSLAPSRRQLPAGDNLPRPQSSPTPRDQDPP
+CTSLGKREERHPPEPPRGRTPTSSVHERTDSRGTHARTPTPQRVRAPEATAKGTPARGLS
+PLPRSPSPAKPVGPRQPPWGEVEGASSQLREPAPVCSPSPVKGSTKIPVQLPPACPPTPG
+RGFAGTVSGGPSTELKRGPLPLRATSDLSGSRHEHCSVEEGQEDLKLGVQVTAEAGGPWG
+LGPQHREGRCTPLPSGRTKEQGIHHGLEEELPTNMKLLGMAGARPQGTGSVVIPRSGVYV
+PSLGGWWPDPGGLYDKVIQELIQDPPPLLKVDLGAWKAAPPGSPTPAVTACPGSLKGKLR
+AQESGLRTVANPSAKGISTKAQGGQDCSAPTVSASPESLTLSPSDPSSERAKACPTKGKR
+TLRKPQRIPSIYKLKLRPRIRPRRDHRPEKRPSRIPKPLTCLPLGPVRAPLKGRLVRAAL
+GSKGGEAALVDGASAGEEEDREERKEPAASLESGSPPSEGQGPWQLDPVPLSPEEESWV
+>tr|G3X6D5|G3X6D5_BOVIN Family with sequence similarity 120C OS=Bos taurus OX=9913 GN=FAM120C PE=4 SV=2
+MGVQGFQEFLEKRCPGAVVPVDLLKLARTVSRQQQQHQHRQLPPTAALAPGAPRAARGSA
+PQQPPFPPAALGAYSGGAGPTRHHHPAHHFHHHGQAHPGLHPPPPPPPPPPPLPGARVLV
+DAGSALPRLYGGYQTDWVCGGQWNAMLGYLSALCQACAYPGGDGLELVVMFPGGLGKDRL
+AEWGRRCQAERQTAQLIVGHVGNKGTPPPRAWFLPPACLSHCVRLALIRFRVKVFQSLED
+HHLEVVAFFRENGFHGLLAHDSEYALYNIPSYYSSHALKLSWNGKNLTTNQFLMQEVAKQ
+LGLKRMNFPIFAALLGNHILPDEDLAAFHWSLLGPEHPLASLKVRAHQLVLPPCDVVIKA
+VSEYVSSIKDPSNLDVVGKDVFKQSQSRTEDKIERFKKAVEYYSVTTKLSSLPVGPSFLG
+FRNNRLGNPPIPRNQMGTLSAGKPMFSHHVPQKMKYPPPFPVRPNSSLLFSPHALGESHA
+FSEDSMLQDSPFANWAISYDSSASQFPNYLTSKASTPLGPDSSHSSSSDGDEPEGASSDR
+ITETLQQQPGWEDPNSDRGSWVEPIDAGVSNASLGDGEPHIPSLLSMSTRNHMDITIPPL
+PPVAPEVLRVAEHRHRRGLMYPYIYHVLTKGEIKIPVCIEDECNMELPPAAILFRSARQY
+VYGVLFSLAETQRKMERLAIRRRLPVEVPSVILKEWSAYKGKSPQTPELVSALTFREWTC
+PNLKKLWLGKAVEDKNRRMRAFLACMKSDTPSMLNPANVPTHLLLMCCVLRYMVQWPGGR
+ILHRHELDTFLAQAVSTQLYEPDQLQELKIEKLDARGIQLAALFMSGVDTALFANDACGQ
+PVPWEHCCPWIYFDGKLFQSKLIKAGRERVSLVELCDGQADLATKVEKMRQSILEGVNMN
+HPPPSLLPSPTFVPPMVPSLYPVSLYPRAMGPVPPPPQGRSRGFAGLHPIPPQGGKLEIA
+GMVVGQWAGSRSSRGRGSFGMQVVSVGGPGKGHGKEQTGRGAKGHKKGNKQSSSDGVSKS
+LEPQQGRSSSQVDGNSGTLIKEEKNDHLPSPSQSALSRDNSVCNNGNRYFPGKNGEKNHL
+QEQKLGTVTQQKEE
+>tr|A0A3Q1LLX5|A0A3Q1LLX5_BOVIN Bromodomain adjacent to zinc finger domain 2B OS=Bos taurus OX=9913 GN=BAZ2B PE=4 SV=1
+MESGERLPSSTASSATPTSSSIPSVASSVSKGGLSTGAASLSSTINPCGHLFRAAGDQPF
+NLSTVSSAFPMVSHPVFGLHSASSGHSEFGGLGTLGTPTALAAHPQLASFPGAEWWRTTD
+VHTRAGAAFFPPLLGIPPLFAPPAQNHDSSSFHSRTSGKSNRNGPEKGVNGSINGNSTSS
+VSGINTSVLSTTASSSVGQTKSVSSGGGNRKCNQEQNKTQPLDARADKIKDKKPRKKAME
+SSSNSDSDSGTSSDTSSEGISSSDSDDLEEDEEEDQSIEESEDDDSDSETEAQQKSNNQV
+LLHGVSDPKAEGQKATEKAQEKRIHQPLPLLSESQTHSSFQSQQKQPQVLSQQLPFIFQS
+SQAKEESVNKHTSVIQSTGLVSNVKPLSLVNQAKKETYMKLIVPSPDVLKAGNKNTSEES
+SSLTSELRSKREQYKQTFPAQLKKQESSKSLKKVIAALSNPKPTSSSPAHPKQTLENSNP
+NPFLTNALLGNHQPNGVIQSVIQEAPLALTTKTKMQSKINENIATASSTPFSSPVNLSTS
+GRRTPGSQTTVIPSPSPILHSQGKEKAVSNNVNTVKTQPHSHPAQSLVEQFRGTDSDILS
+SKDSEDSNEDEEEDDEEDEEDEEDDDSDDSQSESDSNSESDTEGSEEDDDDDKDQDESDS
+DTEGEKTSMKLNKTASSVKSPSISLTAHSTPRNLHIAKAPGSAPAALCSESQSPAFLGTP
+SSTLTSSPHSGTSKRRRVTDERELHIPLEYGWQRETRIRNFGGRLQGEVAYYAPCGKKLR
+QYPEVIKGMQWCLLKEEDVIPRIRAMEGRRGRPPNPDRQRAREESRIRRRKGRPPNVGST
+EFLDNTDAKLLRKLQAQEIARQAAQIKLLRKLQKQEQARVAKEAKKQQAIIAAEEKRKQK
+EQIKIMKQQEKIKRIQQIRMEKELRAQQILEAKKKKKEEAANAKLLEAEKRIKEKEMRRQ
+QAVLLKHQELERHRLDMERERRRQHMMLMKAMEARKKAEEKERLKQEKRDEKRLNKERKL
+EQRRLELEMAKELKKPNEDMCLADQKPLPELPRIPGLVLSGSTFSDCLMVVQFLRNFGKV
+LGFDVNIDVPNLSVLQEGLLNIGDSMGEVQDLLVRLLSAAVCDPGLITGYKAKTALGEHL
+LNVGVNRDNVSEILQIFMEAHCGQTELTESLKTKAFQAHTPAQKASVLAFLINELACSKS
+VVSEIDKNIDYMSNLRRDKWVVEGKLRKLRIIHAKKTGKRDTSGGIDLGEEQHPLGTPTP
+GRKRRRKGGDSDYDDDDDDDSDDQADEDDEDEEDKEDKKGKKTEICEDEVIKFRLD
+>tr|A0A3S5ZPE0|A0A3S5ZPE0_BOVIN Importin subunit alpha OS=Bos taurus OX=9913 GN=KPNA4 PE=3 SV=1
+MADNEKLDNQRLKNFKNKGRDLETMRRQRNEVVVELRKNKRDEHLLKRRNVPHEDICEDS
+DIDGDYRVQNTSLEAIVQNASSDNQGIQLSAVQAARKLLSSDRNPPIDDLIKSGILPILV
+HCLERDDNPSLQFEAAWALTNIASGTSEQTQAVVQSNAVPLFLRLLHSPHQNVCEQAVWA
+LGNIIGDGPQCRDYVISLGVVKPLLSFISPSIPITFLRNVTWVMVNLCRHKDPPPPMETI
+QEILPALCVLIHHTDVNILVDTVWALSYLTDAGNEQIQMVIDSGIVPHLVPLLSHQEVKV
+QTAALRAVGNIVTGTDEQTQVVLNCDALSHFPALLTHPKEKINKEAVWFLSNITAGNQQQ
+VQAVIDANLVPMIIHLLDKGDFGTQKEAAWAISNLTISGRKDQVAYLIQQNVIPPFCNLL
+TVKDAQVVQVVLDGLSNILKMAEDEAETIANLIEECGGLEKIEQLQNHENEDIYKLAYEI
+IDQFFSSDDIDEDPSLVPEAIQAKHCRSLVK
+>tr|A0A3Q1MPR5|A0A3Q1MPR5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+GPPLLNLPSWGLVGTPGEPASDFLPGTLPKPTIWAEPGSVVPSGTPVTIWCQGTLEAQVF
+HLDKEGTSVPWDRQKPPGPGDKAQLAIPQMTEQHAGSYRCYYSTPTGWSEGSDRLELVVT
+GSYSKPSLSALPSPVVTLGGSVTLQCGSHQGFNRFLLTKEGEDESSRTLDGQRAPNWQTQ
+ALFSVGPVTPGHGWTFRCYGFYRDTPQVWSAPSDPLELLVPGLSGKPSLLTSQGPVITSG
+QNLTLQCRSDVSYARFALSKEGGQDLPQRPSRRPQEGLSQADFPLGPVSTVHGGRYRCYG
+GHGLSSEWSAPSEPLELLVAGEEPADRPSLSVRPGPTVAPGENVTLLCQSGERTDTFLLF
+KEGTAHRPLRLRSQDQAGRYQAEFSLSPVTSAHGGTYRCYRSLSTDPYLLSQPSEPLVLV
+VSGEQGLSPSHRRPGPGHL
+>tr|A0A3Q1M314|A0A3Q1M314_BOVIN Hephaestin OS=Bos taurus OX=9913 GN=HEPH PE=3 SV=1
+MNMEGFSPAKKLFICLFPRVTWAMESGHLLWALLFMQPLWPQLTDGTTRVYYLGIQDVRW
+NYAPKGRNIITNQPLESDIVAASFLKSDKNRIGNSYKKTIYKEYKDGSYMDEMVQPAWLG
+FLGPVLRAEVGDVILIHLKNFATRPYTIHPHGVFYEKDSEGSLYPDGSSGWLKADDSVPP
+GGSHIYNWTIPEGHAPTDADPACLTWVYHSHVDAPRDIATGLIGPLITCKRGTLDGSFPP
+RRQDVDNDFFLLFSVIDENLSWHINENIATYCSDPASVDKEDEDFQKSNRMHAINGFVFG
+NLPDLSMCAQKRVAWHLFGMGNEVDVHTVFFHGQMLTIRGHRTDVAHIFPATFVSAEMVP
+QEPGTWLISCQVNSHLQDGMQALYKVKSCSMAPPMNLLTGKVRQYFIEAHEIQWDYGPMG
+HDGSTGKNLREPGSGSDKFFQKSSIRIGGTYWKVRYEAFQDETFQEKVQLEEDKHLGILG
+PVIRAEVGDTILVIFYNRASQPFSIQPHGVFYEKDSEGTVYNDDSSHSGLVARPFEKVTY
+RWTVPPHAGPTVQDPACLTWTYFSAADPIRDTNSGLVGPLLVCKAGTLGTDGKQKGVDKE
+FFLLFTVLDENKSWYSNANQAAAMLDSRLLSEDAKGFQDSNRMHAINGFLFSNLPKLDMC
+KGDTVAWHLLGLGTETDVHGVTFQGNTVQLQGMRKSAATLFPHTFVMAIMQPDNPGTFEI
+YCQAGSHREAGMRAIYNVSLCPGHQANPHQRYQAARIYYIMAEEMEWDYCPDRSWELEWH
+NQSEKDSYGHIFLSNKDGLLGSRYKKAVFREYTDGTFRVQRPRTGSEEHLGILGPLIRGE
+VGDILTVVFKNNASRPYSVHAHGVLESSTGWPLAAEPGEVLTYQWNIPERSGPGLNDSAC
+VSWIYYSAVDPIKDMYSGLVGPLAICRKGILEPYGGRRDMNREFALLFLIFDENKSWYLE
+ENVETYGSQDPGRVNLQDETFLESNKMHAINGKLYANLMGLTMYQGERVAWYMMAMGQDI
+DLHTVHFHAESFLYRSGESYRADVVDLFPGTFEVVEMVASNPGTWLLHCHVTDHVHAGME
+TVFTVLSQREHLSTTTTIPEEIGKVAIPRNIGEGSVKMLGMQIPIKDIEMLTSVLIAMGV
+ILLLIALVLGGVVWYQHRQRKLRRNRRSILDDSFKLLSLKQ
+>tr|F1MKW9|F1MKW9_BOVIN Nuclear factor kappa B subunit 1 OS=Bos taurus OX=9913 GN=NFKB1 PE=4 SV=2
+MAEDDPYLGGHEQMFHLDPLNHTMFSPELFQPEMPLPTADGPYLQILEQPKQRGFRFRYV
+CEGPSHGGLPGASSEKNKKSYPQVKICNYVGPAKVIVQLVTNGKNIHLHAHSLVGKHCED
+GVCTVTAGPKDMVVGFANLGILHVTKKKVFETLEARMTDACIRGYNPGLLVHPDLAYLQA
+EGGGDRQLTDREKEIIRQAALQQTKEMDLSVVRLMFTAFLPDSTGSFTRRLEPVVSDAIY
+DSKAPNASNLKIVRMDRTAGCVTGGEEIYLLCDKVQKDDIQIRFYEEEENGGIWEGFGDF
+SPTDVHRQFAIVFKTPKYKDVNITKPASVFVQLRRKSDLETSEPKPFLYYPEIKDKEEVQ
+RKRQKLMPNFSDSFGGGSGAGAGGGGMFGSGGGGGGAGSTGPGYGFPHYGFPTYGGITFH
+TGTTKSNAGMKHGTIDTPSKNDPESCGKSDDREVVNLSEQVTETTEQDGGSCKGGSAANL
+TCSVGVKEENRSFQDNLFLEKAMQLAKQHANALFDYAVTGDVKMLLAVQRHLTAVQDENG
+DSVLHLAIIHLHNQLVRDLLEVTSGLISDEIINMRNDLYQTPLHLAVITRQEAVVEDLLR
+AGADLSLLDRLGNSVLHLAAKEGHDKILGILLKHKKAAQLIDHPNGEGLNAIHVAMMSNS
+LPCLLLLMAAGADVNAQERKSGRTALHLAVEHDNVSLAGCLLLEGDAHVDSTTYDGTTPL
+HIAAGRGSTRLAALLKAAGADPLVENFEPLYDLDDSWDEDGEDEGVVPGTTPLDMASSWQ
+VFDILNGKPYEPEFTSDDLLAQGDMKQLTEDAKLQLYKLLEIPDPDKNWATLAQKLGLGI
+LNNAFRLSPAPSKTLMDNYEVSGGTIKELVEALRQMGYTEAIDVIQAAFCTADTSPAKTT
+SQAHSLPFAPASTRPQIDELRDDSICDSGVETSFRKLSFTESLTSGTSLLTLNKVPHDYG
+QEGPIEGKI
+>tr|A0A3Q1MQ59|A0A3Q1MQ59_BOVIN Solute carrier family 3 member 2 OS=Bos taurus OX=9913 GN=SLC3A2 PE=1 SV=1
+MPTRPRQYQLPPRPTTVKGQGGTMSQDTEVDMKEVELNELEPEKQPMNAASGAAMAVVVA
+GGTEKNGLVKIKVADDEADAAAEAKFTGLSKEELLKVAGSPAWVRTRWALLLLFWLGWLG
+MLAGAVVIIVQAPRCRELPEQRWWHKGALYRIGDLRAFLGQEAGNLADLKERMDYLSTLK
+VKGFVLGPIHKNQEDDLTETNLEQIDPIFGSKEDFESLLHSAKKKSIRVILDLTPNYKGQ
+NPWFQSIQIDTVAIKMKEALRFWLQTGVDGFQVRDVQNLTNPSSFLAEWQNITKSVSEDR
+LLIAGTDSSDLQQILRLLEPTRDLLLTSSYLSHSSLTGNHTNFLVTQYLDAFGSNWCSWS
+VSQAGLLTSFVSPQLLRLYQLLFFTLPGTPVFSYGDEIGLEGAGLPGQPVKAPVMLWDES
+SFPNTSASINISMTVKGQSEDRNSLLSLFRWLSDQRGKERSLLHGDFYDLSSGPDLFSYI
+RQWDQNERFLVVLNFGDVGQLARLRASSLPTGTSLPARVDLLLSTQPGREEGTSLELEHL
+NLQPHEGLLLRFPYVA
+>tr|A0A3Q1LJY0|A0A3Q1LJY0_BOVIN Phospholipase D family member 4 OS=Bos taurus OX=9913 GN=PLD4 PE=4 SV=1
+MSVKGAEGSTEDEEEVARRGSSRLQRGGRWEVIAVTACKLTACVCGEAKMNAKAGPLELQ
+VRGMLVLLCLGAVTLTCLLGLGSSPSAWSQGCPEEGPARPGGLGSRVDWDPRGREARRRH
+QRKDSCRLVLVESIPQDLRSVAGSPAAQPLAQAWMQLLDAARESVHVASFYWSLTGPDIG
+VNDSSSQPGEALLQKLQQLLDRNVSLAVATSTPTPAKNSTDLQVLESRGAQVRHVPMGKL
+TGGVLHSKFWVVDGRHIYVGSANMDWRSLTQVKELGAVIYNCSRLAQDLEKTFQTYWVLG
+TPRAVLPKRWPQNFSSHINRFQPLRDHFDGVPTTVYFSLLASAGHGAAGGGLQQGGARAP
+AGQLLAQHGPQDVPLPAVPAGTQQPSGQRVHGRESLHRARGEPLQHPLQQGEPQQVHGHG
+EGGLHRHVQLVRRLLQQHLRCGPGGQPEGLWRPAGGEHRAGAAAAALRARLELPLRRGPG
+WTGPGPGLCLAGLRFGPSWQRGPGSGVGPPCLAWPPAWTPSSFTRTSPGQGRDCPLQGGH
+KPLALQI
+>tr|F1N3R0|F1N3R0_BOVIN Secernin 2 OS=Bos taurus OX=9913 GN=SCRN2 PE=4 SV=2
+MASWSPDTPCSCDCFVSVPPASALPAVIFAKNSDRPRDEVQEVVFVPAGTHAPGSRLQCT
+YIEVEQVSKTHAVILSRPSWLWGAEMGANEHGVCIGNEAVWTKEPVGEGEALLGMDLLRL
+ALERGSSAREALHVITGLLERYGQGGSCREDPTPFCYHNTFLLADRTEAWVLETAGRLWA
+ARRIQEGVHNISNQLSIGSDISAEHPELRPHAQVQGWWDGQGTFDFAQVFSLSQQPVRME
+AAKARFRAGQELLQQQQGGITAEVMMGILRDKESGICMDSGGFRTTASMVSVLPRDTTQP
+CVHFLTATPDPSRSVFKPFIFGVGAAQPPQVLSPTFGAQDPVRTLPRFQTQVDRRHPLYR
+GHQVALGLMESEQARGQQLRQKQRDLEQEGLVAVRRLLTRECAPPAQELGGLFQAFVEKE
+TQAYS
+>tr|A0A3Q1LYW1|A0A3Q1LYW1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+PELWVLIYSCVGMCTPQIQLPPSLLGSYSKPSLSALPSPVVTLGGSVTLQCGSHQGFNRF
+LLTKEGEDESSRTLDGQRAPNWQTQALFSVGPVTPGHGWTFRCYGFYRDTPQVWSAPSDP
+LELLVPGLSGKPSLLTSQGPVITSGQNLTLQCRSDVSYARFALSKEGGQDLPQRPSRRPQ
+EGLSQADFPLGPVSTVHGGRYRCYGGHGLSSEWSAPSEPLELLVAGEEPAGLTWYLSALI
+GVLVTFVLLFLVLLFLFLQHRGQDRCRKSRE
+>tr|F1MIT3|F1MIT3_BOVIN von Willebrand factor A domain containing 8 OS=Bos taurus OX=9913 GN=VWA8 PE=4 SV=3
+MQSRLLLLGPPGGPPAARRLRLLLRQVVRRQPPHSDARLVHAGAGAGSGDTVNIGDVSYK
+LKNPKNPELVPQNYISDSLAQSVIQHLRWIMQKDLLGQDVFLIGPPGPLRRSIAMQYLEL
+TKKEAEYIALSRDTTETDLKQRREIRAGTAFYIDQCAVRAATEGRTLILEGLEKAERNVL
+PVLNNLLENREMQLEDGRFLMSAERYDKLLQDHTKTELDAWKIVRVNENFRVIALGLPVP
+RYSGNPLDPPLRSRFQARDIYYLPFKDQLKLLYSVGANVPTEKVSQLLSFATTLCSQESS
+TLGLPDFPLDSLPAAVQILDSFPMMSIQHALQWLYPYTVLLGHEGKMAVQGVLKRFALED
+SRRSVLPEKIVRVERTTENNVFQASVTIRIAEKEVTIKVPAGTRPLSQPCTSDRFIQTLS
+HKQLLAEMMQSHMVKDICLIGGKGCGKTVIAKNFADTLGYNIEPIMLYQDMTARDLLQQR
+YTLPNGDTAWRSSPLVNAALEGKLVLLDGIHRVNAGTLAVLQRLIHDRELSLYDGSRLLR
+EDRYLRLKEELQMSDEQLQQRSIFPVHPSFRIIALAEPPVIGSTTQQWLGPEFLTMFFFH
+YMKPLVKSEEIQVIKEMVPDMPPEALDKLLSFTHKLRETQDPTAQSLAASLSTRQLLRIS
+RRLSQYPNENLHNAVTKACLSRFLPSLARSALEKNLADAAIEITTDNSPESELEDYKCEL
+SSGSLRIGTVSAPIYNAHEKMKVPDVLFYDNIQHMIVMEDMLKDFLLGEHLLLVGNQGVG
+KNKIVDRFLHLLNRPREYIQLHRDTTVQTLTLQPSVKDGLIVYEDSPLVKAVKLGHVLVV
+DEADKAPTNVTCILKTLVENGEMILADGRRIVANAALVNGRENVVVIHPDFRMIVLANRP
+GFPFLGNDFFGTLGDIFSCHAVDNPKPYSELRMLRQYGPNVPEPILQKLVAAFGELRNLA
+DQGIINYPYSTREVVNIVKHLQKFPTEGLSSVVRNVFDFDSYNNDMREILTNTLHKYGIP
+VGAKPSNVQLAKELPLPEQTFMGYWTIGQSRNGMQKLLCPAETHHIDVKGPALIHIQEYP
+IERHEGRSQTFTEECSSWRLPLDEMNLICDVATAHENEENTLYVVACNPVSLYFMNMTGK
+SGHFVDLFDIFPRTASGIWHPFVTVAPLGNPLKGQVVLHEQQSNVILLLDPASQALRRLI
+LPSEEFTMKKTSWWNKEEAETYKMCKEFSHKNWLVFYKEKGNTLAVLDVLEGRTHTISLP
+INLQTVFLVAEDKWLLVESKTNQKYLLTTPAHIESKDSGVCQLYVLQEELPSPGFGVMQE
+TDRSIPHTISSDQLSSENLSSAVGQKISSPNRILSDKNSYATVVVGFPDLMSPSEVYSWK
+RPSSLSKSRVTDTTFYGGKKKSGTAKQSNCVTLLDTNQIVRILPPGEVPLQDIYPKDVTP
+PQTAGYIEVTDLQSKRLRYIPIPRSDSLSPYTTWLSTISDTDALLAEWGRGGVVTVDMGG
+RVRLWETGLEHLQRSLMEWRNMIGEGDRHMQITIERESGEDVSSPKHGKEDPDNMPHVGG
+NTWAGGTGGRDTAGLGGKGGPYRLDAGHTVYQVSEAEKDAVPEEVKRAAREMGQRAFQQR
+LKEIQMSEYDAATYERFSGAVRRQVHSLRIILDNLQAKGKERQWLRHQATGELDDAKIID
+GLTGEKAIYKRRGELEPQMGSPQQKPKRLRLVVDVSGSMYRFNGVDGRLERSMEAVCMVM
+EAFENYEEKFKYDVAGHSGDGYNIGLVPINKIPKDNKQRLEILKTMHAHAQFCMSGDHTL
+EGTEHAIKEIVKEEADEYFVIVLSDANLSRYGIHPAKFAQILTSSPQVNAFAIFIGSLGD
+QAARLQRTLPAGRSFVAMDTKDIPQILQQIFTSTMLSSV
+>tr|G3X7C0|G3X7C0_BOVIN Structural maintenance of chromosomes protein OS=Bos taurus OX=9913 GN=SMC1A PE=3 SV=1
+MGFLKLIEIENFKSYKGRQIIGPFQRFTAIIGPNGSGKSNLMDAISFVLGEKTSNLRVKT
+LRDLIHGAPVGKPAANRAFVSMVYSEEGAEDRTFARVIVGGSSEYKINNKVVQLHEYSEE
+LEKLGILIKARNFLVFQGAVESIAMKNPKERTALFEEISRSGELAQEYDKRKKEMVKAEE
+DTQFNYHRKKNIAAERKEAKQEKEEADRYQRLKDEVVRAQVQLQLFKLYHNEVEIEKLNK
+ELASKNKEIEKDKKRMDKVEDELKEKKKELGKMMREQQQIEKEIKEKDSELNQKRPQYIK
+AKENTSHKIKKLEAAKKSLQNAQKHYKKRKGDMDELEKEMLSVEKARQEFEERMEEESQS
+QGRDLTLEENQVKKYHRLKEEASKRAATLAQELEKFNRDQKADQDRLDLEERKKVETEAK
+IKQKLREIEENQKRIEKLEEYITTSKQSLEEQKKLEGELTEEVEMAKRRIDEINKELNQV
+MEQLGDARIDRQESSRQQRKAEIMESIKRLYPGSVYGRLIDLCQPTQKKYQIAVTKVLGK
+NMDAIIVDSEKTGRDCIQYIKEQRGEPETFLPLDYLEVKPTDEKLRELKGAKLVIDVIRY
+EPPHIKKALQYACGNALVCDNVEDARRIAFGGHQRHKTVALDGTLFQKSGVISGGASDLK
+AKARRWDEKAVDKLKEKKERLTEELKEQMKAKRKEAELRQVQSQAHGLQMRLKYSQSDLE
+QTKTRHLALNLQEKSKLESELANFGPRINDIKRIIQSREREMKDLKEKMNQVEDEVFEEF
+CREIGVRNIREFEEEKVKRQNEIAKKRLEFENQKTRLGIQLDFEKNQLKEDQDKVHMWEQ
+TVKKDENEIEKLKKEEQRHMKIIDETMAQLQDLKNQHLAKKSEVNDKNHEMEEIRKKLGG
+ANKEMTHLQKEVTAIETKLEQKRSDRHNLLQACKMQDIKLPLSKGTMDDISQEEGSSQGE
+DSVSGSQRTSNIYAREALIEIDYGDLCEDLKDAQAEEEIKQEMNTLQQKLNEQQSVLQRI
+AAPNMKAMEKLESVRDKFQETSDEFEAARKRAKKAKQAFEQIKKERFDRFNACFESVATN
+IDEIYKALSRNSSAQAFLGPENPEEPYLDGINYNCVAPGKRFRPMDNLSGEKTVAALALL
+FAIHSYKPAPFFVLDEIDAALDNTNIGKVANYIKEQSTCNFQAIVISLKEEFYTKAESLI
+GVYPEQGDCVISKVLTFDLTKYPDANPNPNEQ
+>tr|F1MTY3|F1MTY3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC100848353 PE=4 SV=2
+MSKSESPKEPEQLRKLFIGGLSFETTDESLRSHFEQWGTLTDCVVMRDPNTKRSRGFGFV
+TYATVEEVDAAMNARPHKVDGRVVEPKRAVSREDSQRPGAHLTVKKIFVGGIKEDTEEHH
+LRDYFEQYGKIEVIEIMTDRGSGKKRGFAFVTFDDHDSVDKIVIQKYHTVNGHNCEVRKA
+LSKQEMASASSSQRGRSGSGNFGGGRGGGFGGNDNFGRGGNFSGRGGFGGSRGGGGYGGS
+GDGYNGFGNDGGYGGGGPGYSGGSRGYGSGGQGYGNQGSGYGGSGSYDSYNNGGGGGGFG
+GGSGSNFGGGGSYNDFGNYNNQSSNFGPMKGGNFGGRSSGPYGGGGQYFAKPRNQGGYGG
+SSSSSSYGSGRRF
+>tr|F1MV64|F1MV64_BOVIN Tyrosine-protein kinase receptor OS=Bos taurus OX=9913 GN=INSR PE=3 SV=3
+CLPGGRVTWPRGCPATHSYKPSMGCLLTCLALCLFALPVCPGMDIRNNLTRLHELANCSV
+IEGHLQILLMFKTRPEDFRDLSFPKLIMITDYLLLFRVYGLESLKDLFPNLTVIRGSRLF
+FNYALVIFEMVHLKELGLYNLMNITRGSVRIEKNNELCYLATIDWSRILDSVEDNYIVLN
+KDDNEECGDICPGTAKGKTNCPATVINGQFVERCWTHSHCQKVCPTICKSHGCTSEGLCC
+HSECLGNCSEPDDPTKCVACRNFYLDGRCVETCPPPYYHFQDWRCVNFSFCQDLHNKCKN
+SRRQGCHQYVIHNNKCIPECPSGYTMNSSNLMCTPCLGPCPKVCHLLEGEKTIDSVTSAQ
+ELRGCTIINGSLIINIRGGNNLAAELEANLGLIEEISGYLKIRRSYALVSLSFFRKLRLI
+RGETLEIGNYSFYALDNQNLRQLWDWSKHNLTITQGKLFFHYNPKLCLSEIHKMEEVSGT
+KGRQERNDIALKTNGDQASCENELLKFSYIRTSYDKILLKWEPYWPPDFRDLLGFMLFYK
+EAPYQNVTEFDGQDACGSNSWTVVDIDPPTRSNDPKSQNHPGWLMRGLKPWTQYAIFVKT
+LVTFSDERRTYGAKSDIIYVQTDATNPSVPLDPISVSNSSSQIILKWKPPSDPNGNITHY
+LVFWERQAEDSELYELDYCLKGLKLPSRTWSPPFESEGSQKHNQSEYEESAGECCSCPKT
+DSQILKELEESSFRKTFEDYLHNVVFIPRKSSSGPVAEDARPSRKRRALGDVGNVTAAVP
+TALGLPNTSSTSTPMSSEEHRPFEKVVNKESLVISGLRHFTGYRIELQACNQDSPEERCS
+VAAYVSARTMPEAKADDIVGPVTHEIFENNVVHLMWQEPKEPNGLIVLYEVSYRRYGEEE
+LHLCVSRRHYALERGCRLRGLLPGNYSVRVRATSLAGNGSWTEATYFYVTDYLDVPSNIA
+KIIIGPLIFVFLFSVVIGSICLFLRKRQPDGPLGPLYASSNPEYLSASDVFPCSVYVPDE
+WEVPREKITLLRELGQGSFGMVYEGNARDIVKGEAETRVAVKTVNESASLRERIEFLNEA
+SVMKGFTCHHVVRLLGVVSKGQPTLVVMELMAHGDLKSYLRSLRPEAENNPGRPPPTLQE
+MIQMAAEIADGMAYLNAKKFVHRDLAARNCMVAHDFTVKIGDFGMTRDIYETDYYRKGGK
+GLLPVRWMAPESLKDGVFTTSSDMWSFGVVLWEITSLAEQPYQGLSNEQVLKFVMDGGYL
+DQPDNCPERVTDLMRMCWQFNPKMRPTFLEIVDLLKDDLHPSFPEVSFFHSEENKAPESE
+ELEMEFEDMESVPLDRASHAQREEAGGRDGGSALGLKRNYDEHIPYTHMNGGKKNGRILT
+LPRSNPS
+>tr|E1BBA0|E1BBA0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=ARAP3 PE=4 SV=3
+MEPSHSPAPPAQPTKPVPKPRTVFGGLSGSATTQRPGLGPAVWRPEASKSPEPSLSPRSP
+TVPPRSSSEQPSASNTVEMMPNAIYFGLDLRGGAQTAQDMAPDSSQTAAPTPALRPTTGT
+VHIMDPGCLYYGVQPVGVPGAPDRREGRGVCQERAEHRLSRPDLEAREDAGYASLELPGD
+STLSLPTLDTETNDDLISPYASFSSTADRPTPLLSGWLDKLSPQGNYVFQRRFVQFNGRS
+LMYFGSDKDPFPKGVIPLTAIEMTRSSKDNKFQVITGQRVFVFRTESEAQRDMWCSTLQS
+CLKEQRLLGHPRPPQPPRPLRTGMLELRGHKAKVFAALSPGELALYKSEQAFSLGIGICF
+IELQGCSVRETKSRSFDLLTPHRCFSFTAESGGARQSWAAALQEAVTETLSDYEVAEKIW
+SNRANRHCADCGASRPDWAAVNLGVVICKQCAGQHRALGSGISKVQSLKLDTSVWSNEIV
+QLFIVLGNDRANRFWAGSLPPGEGLHPDTTPGPRGEFISRKYRLGLFRKPHPQYPDHSQL
+LQALCAAVAGPNLLKNMTQLLCVEAFEGEEPWTPSALDGSFPSLLPPDPSPGVYNEVVVP
+ATYSSFLYCGPISNKAGPPPPRRGRDAPPRLWCVLGAALEMFVSESSPEPLHLIQPQDVV
+CLGVNPPPTDPGDLDRFPFSFELILTGGRIQHFGTDGADSLEAWTSALGKWFSPLSCHQL
+LGPGLLRLGRLWLRSPSHTTLAPGLWMSGFGLLRGDHLFLCPASGPGPPAPEDMVHLRRL
+QEISVVSAADTPDKKEHLVLVETGRTLYLQAEGRLDFSAWNTAIGGAAGGGGTGLQEQQM
+SRGDIPIIVDACISFVTQHGLRLEGVYRKGGARARSLKLLAEFRRDARSVKLRPGEHFVE
+DVTDTLKRFFRELDDPVTSARLLPRWREAAELPQKNQRLEKYKEVIGCLPRVNRRTLATL
+IGHLYRVQKCASLNQMCTRNLALLFAPSVFQTDGRGEHEVRVLQELIDGYISVFDIDPDQ
+VAQIDLEVSLITTWKDVQLSQAGDLIMEVFIEQQLPDNCVTLKVSPTLTAEELTNQVLEI
+RGTAAGTDLWVTFEIREHGELERPLHPKERVLEQALQWCQLPEPCSASLLLRKVSLAHAG
+CLFTGIRRESPRVGLLRCREEPPRLLGNRFQERFFLLRGRCLLLLKEKKSSKPEREWPLE
+DAKVYLGIRKKFKPPTPWGFTLMLEKMHLYLSCTDEDEMWDWTTSILKAQHDDQQPVVLR
+RHSSSDLARQKFGTMPLLPIRGDDSGATLLSANQTLRRLHNRRTLSMFFPMKSSQGSVEE
+QEELEEPVYEEPVYEEVGAFPELTEDISTSFSTPRERTAKPETALPSQRSFDQYLLSKAG
+PQAWEERPPEPPPGPPSKSSPQTHGSLEEQLLQELSSLILRKGETTTDLGSPSQPSSPQP
+PSPNGLPTQTPGFPTQTPCTSSLPRSQPLT
+>tr|F1MKU3|F1MKU3_BOVIN B-box and SPRY domain containing OS=Bos taurus OX=9913 GN=BSPRY PE=4 SV=3
+MSAEGAGQGPGPEPGSEPGPICPEHGQALNWFCCSERRLVCAACTGLGGRCRGHRIRRAE
+KRAEELRNKIVDQCERLQLQSATITKYVADVLPGKNQRAVSMASAARELVIQRLGLVRSL
+CESEEQRLLEQVHGEEERAHQSILTQRVHWAEALQKLDTIRGSLVDMLTHLDDLQLIQKE
+QEIFERTEEAEGILDPKESEKLNFNEKCTRSPLLTQLWATAILGSLSGTEDVRMDERTVS
+PFLQLSDDRRTLTFNAKKSKACADGPERFDHWPNALADTSFQAGLHAWMVNVQNSCAYKV
+GVALGQLPRKGSGSDSRLGCNAFSWVFSRYGQDFRFSHDGQHEPLALLRCPAQLGVLLDL
+QAQELLFYEPASGTVLHIHHASFPGPLFPVFAVADQTISIVH
+>tr|E1BDH6|E1BDH6_BOVIN R3H domain containing 1 OS=Bos taurus OX=9913 GN=R3HDM1 PE=4 SV=3
+MRMSDTVTIKNDTETMKDLEAEVKDTTGVENLTKSENYGKILAEKNERCIDNNIDLQEKI
+QIQLTQSFEKEEKPPKDEAEKEKASDKLPRKMLSRDSSQEYTDSTGIDLHEFLVNTLKNN
+PRDRMMLLKLEQEILDFIGNNESPRKKFPPMTSYHRMLLHRVAAYFGLDHNVDQSGKAVI
+VNKTSNTRIPDQKFTEHIKDDKGEDFQKRYILKRDNSSFDKDDNQMRIRLKDDRRSKSIE
+EREEEYQRARDRIFSQDSLCSQENYIIDKRVNKDASGRSTNSHQSSTENDLKYSEPRPWS
+STDSDSSLRNFKPAVTKASSFSGISVLTRGDSSGSSKSIGRLSKTGSESSGSVGSSTGSL
+SHIQQPLPGTALSQSSHGAPVVYPAVSTHSSLSFDGGLNGQVASPSTSFFLLPLEAAGIP
+PGSILINPQTGQPFINPDGSPVVYNPPMTQQPVRTQVPGPPQPPLPPPPPQQQAANHIFS
+QQDNLGSQFSHMSLARQPSADGSDPHATMFQSTVVLQSPQQSGYIMTAAPPPPPPPPPPP
+PPLPPGQPVPAPGYSASGHPVSQPVLQQQGYIQQPSPQMPACYCAPGHYHSNQPQYRPVP
+SVHYNSHLNQPLPQPAQQTGYQVMPNQQQNYQGIVGVQQPQSQSLVGGQPNGIGNQIQGV
+VIPYPSVPSYQVSLPQGSQGIAHHTYQQPVMFPNQSNQGSMPAAGMPVYYSVIPPGQQNN
+LSSSVGYLQHPGSEQVQFPRTTSPCNSQQLQGHQCAVAPPPPGGGMVMMQLSVPNNPQSR
+AHSPPQWKQNKYYCDHQRGQKCVEFSSVDNIVQHSPQLSSPIISPAQSPAPAQLSTLKTV
+RPSGPPLAIIPQFSRPFVSAQGDARYPLLGQTLQYNPPAVLHGHIPAQQGQSGNRHGNRG
+RKQAKKAASTDLGAGEAVVGKVLEITELPDGITRMEAEKLFGELFKIGAKIRWLRDPQSQ
+PQMRRHPLCCGSGDSTVNPERSKPSDLASTYTVLATFPSISAAQNALKKQINSVNKFKLR
+TSKKHYDFHILERASSQ
+>tr|F1N6G1|F1N6G1_BOVIN Histone domain-containing protein OS=Bos taurus OX=9913 PE=3 SV=3
+MDCIVHGVAKSRTLLSDFPFLIEPAKSAPSPKKGSKKAVTKAQKKDGKKRKRSCKESYSM
+YVYKVLKQVHPDISISSKAMAIMNSFINDISECIAGEASCLMHYNKHSTIASREIQTALC
+LLLPGELAKHSVSEGTKAVTKYTSSK
+>tr|F1MCH6|F1MCH6_BOVIN Potassium voltage-gated channel subfamily Q member 2 OS=Bos taurus OX=9913 GN=KCNQ2 PE=3 SV=3
+MGDHAWVPCLRVDCGICSVLICPVTQEAVPLVCSESFGSRQTRDTWWVSWRADEAGHRHG
+ALGGVPCSGRPAWLTALCSVPRFLLVFSCLVLSVFSTIKEYEKSSEGALYILEIVTIVVF
+GVEYFVRIWAAGCCCRYRGWRGRLKFARKPFCVIDIMVLIASIAVLAAGSQGNVFATSAL
+RSLRFLQILRMIRMDRRGGTWKLLGSVVYAHSKELVTAWYIGFLCLILASFLVYLAEKGE
+NDHFDTYADALWWGLITLTTIGYGDKYPQTWNGRLLAATFTLIGVSFFALPAGILGSGFA
+LKVQEQHRQKHFEKRRNPAAGLIQSAWRFYATNLSRTDLHSTWQYYERTVTVPMYSSQTQ
+TYGASRLIPPLNQLELLRNLKSKSGLTFRKEPQPEPSPSKGRRCAESLCGCCPGHASQKV
+SLKDRVFSSPRGVAAKGKGSPQAQTVRRSPSADQSLEDSPSKVPKSWSFGERGRARQAFR
+ARGAASRQNSEEASLPGEDIVDDNKSCNCEFVTEDLTPGLKVSIRAVCVMRFLVSKRKFK
+ESLRPYDVMDVIEQYSAGHLDMLSRIKNLQSRVDQIVGRGPTMADKDRGKGPAEAELPED
+PSMMGRLGKVEKQVLSMEKKLDFLVNIYMQRMGVPPTETEAYFGAKEPEPAPPYHSPEDS
+RDHGGRSGCIIKLVRSTSSVGQKNFAAPPPAPPAQCPPSTSWQQQCYPRPGHGTSPVGDH
+SSLVRIPPPPAHERSLSAYSGGHRASTEFLRPEDAPACRPHEGALRDSDTSISIPSVDHE
+ELERSFSGFSISQSKENLDALNSCYAAVAPCAKVRPYIAEGESDTDSDLCTPCGPPPRSA
+TGEGPFGDMGWAGPRK
+>tr|E1BIJ0|E1BIJ0_BOVIN Sushi domain containing 3 OS=Bos taurus OX=9913 GN=SUSD3 PE=4 SV=1
+MRGAAATLRRRARPGGRKGNAMPTPGNGTGMCVQVQQPLQGTFQVLRGDGTSVGTVIMFH
+CPSGHQMVGSGLLTCAWKGNIAEWSSGTPMCKTVPPYETFGFKVAVISSIISCAIIMLMS
+MAFLTCCFVKCLKRSEQRRSDRANQLWLQLRSEDLETVQAPYLGLKGLNSDSSISGVSRI
+QPVQVHDNHSFTTDLGEGTRELASMARGMDKDFWTASGPTSSPCSQVMVHTANPGQILPP
+SRPTVMLRQPAAYVPG
+>tr|E1B9F9|E1B9F9_BOVIN Large tumor suppressor kinase 2 OS=Bos taurus OX=9913 GN=LATS2 PE=4 SV=3
+MRPKTFPATTYSGNSRQRLQEIREGLKQPPVGPGSDAALDAKVLGGKVLGGKDTARQQQM
+RSAPKFGPYQKALREIRYSLLPFANESGTSAATEVNRQMLQELVDAGCDQEMAGRALKQT
+GSRSIEAALEFISKMGYLEPGKEQIVRVVKQTSPGKGLAPAPGPRRPSFEAPGEPFPPFT
+QVAGPAFEGPAALEEAPRPYPDFLFAGVGPPCRPHPPKGYAAAGEAARMGLAGPGGAHYR
+APLLVPAEPLGYGVQRSPSFQSKATPEAGAYAGLAAKGAAVPVPPPGFPFPAPGAAYASA
+PHPKLPHQGHAGGPRGAAFAGDSAPPGLAAPARTGLGAERFEPGAPAWPGAGLARRDSLP
+KPGPSRTGSFSGAAAPPNAVTAVTAARILHPVRSVRVLRPEPQTAVGPSHPAWAPAPPPD
+GPEPAEELAGGAEARGRPPPYPRHLLRPQARAEPLDVDGLCAGLQQGLRGGRAAESPDGS
+PTSPGATKADRAGRDRKQIQTSPVPVRRGGRDEDRRESRIKSYSPSAFKFFMEQHVENVL
+KTHQQKVARRLQLEQEMAKAGLCEAEQEQMRKILYQKESNYNRLKRAKMDKSMFVKVKTL
+GVGAFGEVCLACKVDTHALYAMKTLRKKDVLNRNQVAHVKAERDILAEADNEWVVKLYYS
+FQDKDSLYFVMDYIPGGDMMSLLIRMEVFPEHLARFYIAELTLAVESVHRMGFIHRDIKP
+DNILIDLDGHIKLTDFGLCTGFRWTHNSKYYQKGSHSRQDSMEPSDLWDDVSNCRCGDRL
+KTLEQRARRQHQRCLAHSLVGTPNYIAPEVLLRKGYTQLCDWWSVGVILFEMLVGQPPFL
+APTPTETQLKVINWESTLHIPAQVELSPEARDLIAKLCCAAEHRLGRNGADDLKAHPFLG
+AIDFSSDIRRQPAPYVPTISHPMDTSNFDPVDEEGPWQDGSEDSSKAWDALGPAGSRHPE
+HAFYEFTFRRFFDDNGHPFRCPKPAVAEAVTEAEQPASVGPALGAQAGGCQPVYV
+>tr|A0A3Q1LZJ7|A0A3Q1LZJ7_BOVIN Protein Churchill OS=Bos taurus OX=9913 GN=CHURC1 PE=4 SV=1
+MRRPSLNSREVSSSRKHRRIFADNGVAMCVDCVEKEYPNRGNICLESGSFLLNFTGCAVC
+NKRDFMLITNKSLKEEDGEEIVTYDHLCKNCHHVIARHEYTFSIMDEFQAGIGLQLLKIH
+RSNSEGEELDSGESHGQTGNPRTHSPVSAPHCLHFQGFRLSYLFPVNYMS
+>tr|G5E5L9|G5E5L9_BOVIN IQ motif and Sec7 domain 3 OS=Bos taurus OX=9913 GN=IQSEC3 PE=4 SV=2
+MESLLENPVRAVLYLKELTAIVQNQQSLIHTQRQRIDELERRLDELSAENRSLWEHQQLL
+QAQPPPGLVPPSPATAGAQEQLQDHGQLPPAAPQPAPEQPPLQHHGQLLAQPQPGPSGRA
+HAPQSPHQHPVAPGAVADKEKERPPSCCAAAGTLLQHKSPAALGKGVLSRRPENETVLHQ
+FCCPAADAEQKPACSDLGPHSDGACAQAGGGTEDSVAAAAAGRPSAHARKAQAQQLQEEE
+ERPGAGGASPRAGPHRAASPGRQQPALATALCSRAPAASDYEISLDLKNKQIEMLEHKYG
+GHLVSRRAACTIQTAFRQYQLSKNFEKIRNSLLESRLPRRISLRKARAPTAESLAAERAL
+LEGCSLAGLPLVRSPSLPPTFAGTLTELEDSFTEQVQSLAKSIDDALSTWSLKTMCSLQE
+SGAYQIHRALRAGAGPPGLEGEAREPEGASPGAGAEPTEPPSPPQGHGSTLMMAFRDVTV
+QIASQNISVSSSTALSVANCLGAQTAPVPADSAVVKAEEGQARAQEAPEGPEAPSAGQGI
+APAEDRSAEVEAGGALSASSPMAAGPAVEEEEEEETEEAAKETEAELEAGDNSEQLSSSS
+TSTKSAKSGSEASASASKEALQAMILSLPRYHCENPASCKSPTLSTDTLRKRLYRIGLNL
+FNINPDKGIQFLISRGFIPDTPIGVAHFLLQRKGLSRQMIGEFLGNSKKQFNRDVLDCVV
+DEMDFSAMELDEALRKFQAHIRVQGEAQKVERLIEAFSQRYCMCNPEVVQQFHNPDTIFI
+LAFAIILLNTDMYSPNIKPDRKMMLEDFIRNLRGVDDGADIPRELVVGIYERIQQKELRS
+NEDHVTYVTKVEKSIVGMKTVLSVPHRRLVCCSRLFEVTDVNKLQKQAAHQREVFLFNDL
+LVILKLCPKKKSSSTYTFCRSVGLLGMQFHLFENEYYSHGITLVTPLLGSEKKQVLHFCA
+LGLDEMQKFVEDLKESIAEVTELEQIRIEWELEKQQGTKTLSFKAGGSQGDPQSKQGSPT
+GEFQTWGPSLGVLGDLGEGDVSIHNRLQVSPHSSGPGAERGAPLPDLQPSPSREQPPPPP
+PPPPPTPPGTLVQCQQIVKVIVLDKPCLARMEPLLSQALSCYAPASSDSCGSTPLGGPDS
+PLKVVHRPPLPPPPPPYNHPHQFCPPGSLLHQRRCSSGSRSLV
+>tr|F1N1V3|F1N1V3_BOVIN Nucleoporin 205 OS=Bos taurus OX=9913 GN=NUP205 PE=4 SV=3
+MILKKHKPDFISLFRNPPKNVQQHEKVQKASTEGVAIQGQQGTRLLPEQLIKEAFILSDL
+FDIGELAAVELLLAGEHQQPHFPGLTRGLVAVLLYWDGKRCIANSLKALIQSRRGKTWTL
+ELSPELVSMTTRFTDELMEQGLTYKVLTLVSQIDVNNEFEKLQRERGLGSEKHRKEVSDL
+IKECRQSLAESLFAWACQSPLGKDDTLLLIGHLERVTVEANGSLDAVNLALLMALLYCFD
+SSFIEESTEERDDMIHQLPLLTERQYIATIHSRLQDSQPWKLPGLQATVRLAWALALRGI
+SQLPDVTALAEFTEADEAMAELAIADNVFLFLTESVVVSENFYQEEFYIRRIHNLITDFL
+ALMPMKVKQLRNRADEDARMIHMSMQMGNDPPISLRRDLEHLMLLIGELYKKNPFNLELA
+LEYWCPSEPLQTSTILGSYLGVAHQRPPQRQVVLSKFVRQMGDLLPPTIYIPYLKMLQGL
+ANGPQCAHYCFSLLKVNGSSHVENIQGAGGSPVSWEHFFHSLMLYHEHLRKDLPSADSVQ
+YRHLPSRGITQKEQDGLIAFLQLTSTIITWSENARLALCEHPQWTPVVVILGLLQCSIPP
+VLKAELLKTLAAFGKSPEIAASLWQSLEYTQILQTVRVPSQRQAIGIEVELNEIESRCEE
+YPLTRAFCQLISILVESSFPSNLGAGLRPPGFDPYLQFLRDSVFLRFRTRAYRRAAEKWE
+VAEVVLEVFYKLLRDYEPQLEDFVDQFVELQGEEIIAYKPPGFSLMYHLLNESPMLELAL
+SLLEEGVKQLDTYAPFPGKKHLEKAVQHCLALLNLTLQKENLFMDLLRESQLALIVSPLE
+QLLQGINPRTKKADNVVNIARYLYHGNTNPELAFESAKILCCISCNSNIQIKLVGDFTHD
+QSVSQKLMAGFVECLDSEDTEEFVRLEEGSELEKKLAGIRHETRIHILNLLITSLERNPP
+NLALYLLGFELKKPVSTTNLQDPGVLGCPRTCLHAILNILEKGTEGRTGPLAVKESPQLA
+ELCYQVIYQLCACSDTSGPTMRYLRTSQDFLFSQLQHLPFSNKEYEISMLNQMSWLMKTA
+SIELRVTSLNRQRSHTQRLLHLLLDDMPVKPYSDGEGGMEDENRSVSGFLHFDTSTKVRR
+KILNILDSIDFSQEIPEPLQLDFFDRAQIEQVIANCEHKNLRGQTVCNVKLLHRVLVAEV
+NALQGMAAIGQRPLLMDEISTILQYVVGRNKLLQCLHAKRHALESWRQLVEIILTACPQD
+LIQAEDRQLIIRDLLQDVHDKILDDEAAQELMPVVAGAVFTLTAHLSQAVRTEQKQPLVL
+GPGEVHCAFSLDSSFTSPPPTENPLVGFASIGDSSLHIILKKLLDFILKTGGGFQRVRTH
+LYGSLLYYLQIAQRPDEPDTLEVAKKTMWERLTAPEDVFSKLQRENIAIIESYGAALMEV
+VCRDACDGHEIGRMLALALLDRIVSVDKQQQWLLYLSNSGYLKVLVDSLVEDDRTLQSLL
+TPQPPLLKALYTYESKMAFLTRVAKIQQGALELLRSGVIVRLAQCQVYDMRPEMDPQGVF
+GMRDPPVFIPTPGDRYRQILLPALRLCQVILTSSMAQHLQAAGQVLQFLISHSDTIQAIL
+RCQDISAGSLQELALLTGIISKAALPGILSELDVDVNEGSLMELQGHIGRFQRQCLGLLS
+RFGGSDKLRQFKCQDDNVEGDRVSRKDEIELAVQQICANVMEYCQSLMLQSSPTFQHAVC
+LFTPSLSETVNRDGPRQDTQVPVVPYWHLPGLGIIVYLLRQSANDFFSYYDSHRRSVNKL
+QNVEQLPPDEIKELCQSVMPAGVDKISTAQKYVLARRRLVKLINNRAKLLSLCSFIIETC
+LFILWRHLEYYLLHCTPTDSQDSLFASRSLFKSRRLQDSFASESNLDFRSGLTTVSQHDI
+DQLLADAISAFGESLQKKLLDIEGLYSKVRSRYSFIQALVRRIRGLLRISRN
+>tr|E1BEU1|E1BEU1_BOVIN Tetratricopeptide repeat domain 12 OS=Bos taurus OX=9913 GN=TTC12 PE=4 SV=3
+MADYQEEDLQKFLKNVDEITSLIQEMNSDDPVIREKAVLETEKRLQFTEGDQEEDECRTT
+VNKTVISPPQAPTKDADEINTEAFLASLEKDAKERAKRRRENKVLADALKEKGNAAFVRG
+DYETAILCYSEGLEKLKDMKVLYTNRAQAYIKLGDYRKALVDCDWALKCDEKCTKAYFHM
+GKAHLALKNYSVSRECYQKILEINPQLQTQVKEYLNQVDLREKADVQEKEAQESLDSGKN
+SAVTTKNLLETLSKPDQIPLFYAGGIEILTEMMKDCTERTLFRTNNGFSIISENEVIRRC
+FSTAGKDAVEETVCLSVLRLWQAVCSENEENQRLLVLRPDTGPLLPSLLASRVPAIRQQS
+LALLLQLAQTENGRSLIIGHLDLIRLLEALVSFLDFSDKKANSAMGLLTDLALQERFQAW
+FQTSLPDVLPVLTGVLKRDPKVTNISALCQCLAIMGNFSAEAAAQRQMSASEDFGAACLG
+LMAKCEEDADLFRDVMYALLGLVMNLCLQSPFVSEVWAMEVSKKCLSLLNSQDGGILTRA
+AGVLSRTLSSSLKIVEEALRAGVVKKMIKFLKAGGQTASRYAVKILAICTNSYQEAREEV
+LRLDKKLGVLMQLLDSEDEILVGNAALCLGNCMEVPRAASSLLSTDIVPVLLKLAGSDAQ
+QTAVQLNAGIALGKLCTAEPRFAALLRELHGMEILNSTMKYVESS
+>tr|F1MBT6|F1MBT6_BOVIN Anillin actin binding protein OS=Bos taurus OX=9913 GN=ANLN PE=4 SV=3
+LDPFIRENLQRKMAERPIPAARSLTHAKRIREPLSEASNQQPLSDGEEKSCTKPSPSKKR
+CSDNTEVEVSDVENEKPVESTSAKPCSPRPVSPQAQPQMSQAPAGVSDSLAAPASAPLLG
+VRSGPNSRLGAAAASSVRTRMQKLAEQRRCWDSDDVTDDIPESSPISAMPSEEKAASPPK
+PSLSDASATPVGRRGRLANLAATICSWEDDVKTSSAKQNSVQEQPGTTCLSKFSSASGAS
+ARINSSSVKQEATCCSQRDGDASLNKASSSSAVGASLINVAISSPGKATSSPVKSSTTSI
+TSAKNCKVQNPELLQKTSVSPLKTEVSKPVEKSAVSRTVRPKEELNREICLRSQPKDKSA
+TPGGSGIKPFLERFGERCQEHSKESPARSTPHRTPIITPNTKAIQERLFRQNASSSTTHL
+AQQLKQERQKELACLRSRFDKGNLWSAEKGENSKSKQLETKQEIHCQSTPLKKQVDPSTP
+SLTVTEKVTENQTPANPSSRETSDFIECEMTKSSPLKITLFLEEDKSLKVTSEPKVEQQT
+EVVREIEMSVDDDDDINSSKVINDIFSDVLEEGGLDVEKNQEELDQADAESSEEQEDALN
+ISSMSLLTPLAQTVGVVSPESLVSSPRWEVKDTSISDESPKPGKFQRTRVPRAESGDSIG
+SEDHDLPYSIDAYRSQRVKETDRPSIKQVIVRKEDVTSKLEEKKNGFPCQVNIKQKMQEL
+NNEINLQQTVIYQASQALNCCVDEEHGKGSLEEAEAERLLLIATEKRTLLIDELNKLKNE
+GPQRKNKAGPISQSEFVPSRGSITLSEIRLPLKADFVCSTAQKPDAANYYFLIILKAGAE
+NMVATPLASTSTSLNGDALTFTTTFTLQDVSNDFEINIEVYSLVNSYSFLKLLMVFMASL
+NAVRTSNFALVGSYTLTLSSVGNTKFALDRVPFLSPLEGHIYLKIKCQVNSSVEERGFLT
+IFEDVSGFGAWHRRWCVLSGNCISYWTYPDDEKRKNPIGRLNLANCTSRQIEPVNREFCA
+RRNTLELITVRPQREDDRETLVSQCRDTLCVTKNWLSADTKEERDLWMQKLNQVLVDIRL
+WQPDACYKPIGKP
+>tr|E1BLR4|E1BLR4_BOVIN Rhomboid 5 homolog 2 OS=Bos taurus OX=9913 GN=RHBDF2 PE=4 SV=1
+MASDDKNGERVSSVSSSRLQSRKPPNLSITIPPPETSAPSEQASMLPQESGGQRHRNPAL
+LKSVSLQEPRGRWQEGSPEKRPGFRRQASLSQSIRKGAAQWFGVSGDWELKRQHWQRRSL
+HHCSVRYGRLKASCQRDLELPSQEVPSFQDTESPKPCKMPKIVDPLARGRAFRHPDEVDR
+PHAPHPPLTPGVLSLTSFTSVRSGYSHLPRRKRISVAHMSFQAAAALLKGRSVLEATGQR
+SRVVKCSFAYPSSLEEDVVDGAETFDSSFFSKEEMSSMPDDVFESPPLSASYFRGIPHSA
+SPVSPDQIPLKESSRAPVPPTKRGKRIASKVKHFAFDRKKRHYGLGVVGNWLNRTYRRSI
+SSTVQRQLESFDSHRPYFTYWLTFVHVIITLLVIGTYGIAPVGFAQHITTQLVLRNKGVY
+ESVKYIQQENFWIGPSSIDLIHLGAKFSPCIRKDQQIEQLVLRERDLERDSGCCVQNDRS
+GCIQTQRKDCSETLATFVKWQDDTGPPMDKSDLGQKRTSGAVCNQDPRTCEEPASSGAHI
+WPDDITKWPICTEQAKSNRSGFPHMDCQIRGRPCCIGTKGSCEITTREYCEFMHGYFHEK
+ATLCSQVHCLDKVCGLLPFLNPEVPDQFYRLWLSLFLHAGVIHCLVSVIFQMTILRDLEK
+LAGWHRISIIFILSGITGNLASALFLPYRAEVGPAGSQFGLLACLFVELFQSWQLLERPW
+KAFLNLSAIVLFLFICGLLPWIDNIAHIFGFLSGLLLAFAFLPYITFGTSDKYRKRALIL
+VSLVVFAGLFASLVIWLYIYPIHWPWVEYLTCFPFTSRFCEKYELDQVLH
+>tr|A0A3Q1NA92|A0A3Q1NA92_BOVIN Mitochondrial fission 1 protein OS=Bos taurus OX=9913 GN=FIS1 PE=1 SV=1
+MKTLRVQGAWVPGDEAGEKFERKFKSEKAAGSVSKSTQFEYAWCLVRSKYNDDIRKGLAL
+LEELLPKGSKEEQRDYVFYLAVGNYRLKEYEKALKYVRGLLQTEPQNNQAKELERLIDKA
+MKKGEGRPLFPSASLHSLPSCFLGPQHPRVPLHSVNFSSVPRAWAFWSLFRWCPPGSSSS
+DPLLSPALRGPHTRTPGRQARLLPRSSRL
+>tr|E1BI71|E1BI71_BOVIN Small integral membrane protein 24 OS=Bos taurus OX=9913 GN=SMIM24 PE=4 SV=3
+MGTLGILLLLMGLLLSSVEAQKERQLKPWLVGLAAVVGFLFIVFILMLASRLWCSKARAE
+DEERPTLRMNPNPYEEVDLRKEDKKGKEEKEEKAEEKKAGKGEKAKKKGKRNFGLELEEN
+EERRDYKKVRNTAM
+>tr|F1MFJ6|F1MFJ6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=TBL1XR1 PE=4 SV=3
+MSISSDEVNFLVYRYLQESGFSHSAFTFGIESHISQSNINGALVPPAALISIIQKGLQYV
+EAEVSINEDGTLFDGRPIESLSLIDAVMPDVVQTRQQAYRDKLAQQQAAAAAAAAAATNQ
+QGSAKNGENTANGEENGAHTIANNHTDMMEVDGDVEIPPNKAVVLRGHESEVFICAWNPV
+SDLLASGSGDSTARIWNLSENSTSGSTQLVLRHCIREGGQDVPSNKDVTSLDWNSEGTLL
+ATGSYDGFARIWTKDGNLASTLGQHKGPIFALKWNKKGNFILSAGVDKTTIIWDAHTGEA
+KQQFPFHSAPALDVDWQSNNTFASCSTDMCIHVCKLGQDRPIKTFQGHTNEVNAIKWDPT
+GNLLASCSDDMTLKIWSMKQDNCVHDLQAHNKEIYTIKWSPTGPGTSNPNANLMLASASF
+DSTVRLWDVDRGICIHTLTKHQEPVYSVAFSPDGRYLASGSFDKCVHIWNTQTGALVHSY
+RGTGGIFEVCWNAAGDKVGASASDGSVCVLDLRK
+>tr|A0A3Q1MMX4|A0A3Q1MMX4_BOVIN Cytoplasmic polyadenylation element binding protein 4 OS=Bos taurus OX=9913 GN=CPEB4 PE=4 SV=1
+MGDYGFGVLVQSNTGNKSAFPVRFHPHLQPPHHHQNATPSPAAFINNNTAANGSSAGSAW
+LFPAPATHNIQDEILGSEKAKSQQQEPQDPLEKQQLSPSPGQEAGILPETEKAKSEENQG
+DNSSENGNGKEKIRIESPVLTGFDYQEATGLGTSTQPLTSSASSLTGFSNWSAAIAPSSS
+TIINEDASFFHQGGVPAASANNGALLFQNFPHHVSPGFGGSFSPQIGPLSQHHPHHPHFQ
+HHHSQHQQQRRSPASPHPPPFTHRNAAFNQLPHLANNLNKPPSPWSSYQSPSPTPSSSWS
+PGGGGYGGWGGSQGRDHRRGLNGGITPLNSISPLKKNFASNHIQLQKYARPSSAFAPKSW
+MEDSLNRADNIFPFPDRPRTFDMHSLESSLIDIMRAENDSIKGQSSLFPMEDGFLDDGRG
+DQPLHSGLGSPHCFTHQNGERVERYSRKVFVGGLPPDIDEDEITASFRRFGPLIVDWPHK
+AESKSYFPPKGYAFLLFQDESSVQALIDACIEEDGKLYLCVSSPTIKDKPVQIRPWNLSD
+SDFVMDGSQPLDPRKTIFVGGVPRPLRAVELAMIMDRLYGGVCYAGIDTDPELKYPKGAG
+RVAFSNQQSYIAAISARFVQLQHGEIDKRVEVKPYVLDDQLCDECQGARCGGKFAPFFCA
+NVTCLQYYCEYCWAAIHSRAGREFHKPLVKEGGDRPRHISFRWN
+>tr|G3MXD1|G3MXD1_BOVIN Cytochrome b-c1 complex subunit Rieske, mitochondrial OS=Bos taurus OX=9913 GN=UQCRFS1 PE=4 SV=1
+MLSVAARSGHSRPSYRPRPAGWRALRPWYRPRATSESPVLDLKRSVLCRESLRGQAAGRP
+LVASVSLNVPASVRYSHTDIKVPDFSDYRRPEVLDSTKSSKESSEARKGFSYLVTATTTV
+GVAYAAKNVVSQFVSSMSASADVLAMSKIEIKLSDIPEGKNMAFKWRGKPLFVRHRTKKE
+IDQEAAVEVSQLRDPQHDLERVKKPEWVILIGVCTHLGCVPIANAGDFGGYYCPCHGSHY
+DASGRIRKGPAPLNLEVPSYEFTSDDMVIVG
+>tr|A0A3Q1M4U6|A0A3Q1M4U6_BOVIN Diacylglycerol kinase OS=Bos taurus OX=9913 GN=DGKD PE=3 SV=1
+MNPFLCFQTVIKEGMLTKQNNSFQRSKRRYFKLRGRTLYYAKTAKSIIFDEVDLTDASVA
+ESSTKNVNNSFTVITPCRKLILCADNRKEMEDWIAALKTVQSREHFEPTQYSMDHFSGTH
+NWYACSHARPTYCNVCREALSGVTSHGLSCEVCKFKAHKRCAVRATSNCKWTTLASIGKD
+IIEDEDGIAMPHQWLEGNLPVSAKCTVCDKTCGSVLRLQDWRCLWCKAMVHTACKDSLVT
+KCPLGLCKVSVIPPTALNSIDSDGFWKATCPPSCTSPLLVFVNSKSGDNQGVKFLRRFKQ
+LLNPAQVFDLMNGGPHLGLRLFQKFDTFRILVCGGDGSVGWVLSEIDSLNLHKQCQLGVL
+PLGTGNDLARVLGWGSACDDDTQLPQILEKLERASTKMLDRWSVMAYETKLPRPVSSSTV
+TEDLSEGSEVQQILFYEDSVAAHLSKILTSDQHSVVISSAKVLCETVKDFVARVGKAYEK
+TTESSEESEVMAKKCSVLKEKLDSLLKTLDDESQASSSLPTPPPTIAEEAEDGDGAGGGC
+GSGSTGAHAVGSACPTRPQIFRPREQLMLRANSLKKAIRQIIEHTEKAVDEQNAQTQEQE
+GFILSLSESEKKDLKSDDRAGHGEGHSASKGRSLRRVSKSPCEKLISKGSLSPGGSASLP
+PQSGSRDGLPALNTKILFPNVRAGMSGTLPGGSVISRLLINADPFNSEPENLEYYTEKCV
+MNNYFGIGLDAKISLDFNNKRDEHPEKCRSRTKNMMWYGVLGTRELLHRTYKNLEQKVLL
+ECDGRPIPLPSLQGIAVLNIPSYAGGTNFWGGTKEDDTFTAPSFDDKILEVVAVFGSMQM
+AVSRVIKLQHHRIAQCRTVKISILGDEGVPVQVDGEAWVQPPGYIRIVHKNRAQTLTRDR
+AFENTLKSWEDKQKCELPRAPSFSLHPEILSEEEATQMDQFGQAAGALIHSIRELAQSHQ
+DVEQELAHAVNASSKSMDRVYGKPRATEGLSCSLVLEMVNNVRALRSETELLLAGRLALQ
+LDPPQKERLTAALAEMDQQLRKLADTPWLCQPMEPGDEENVMLDLSKRSRSGKFRLVTKF
+KKEKNNKNRAARCSLGAPGPRRDQGGPHEADPVRHQGAEPRRRRRRGLASAFSACVPRAP
+RD
+>tr|G3MZF9|G3MZF9_BOVIN Histone domain-containing protein OS=Bos taurus OX=9913 GN=LOC523458 PE=3 SV=1
+MAQPSSDNSEEDPGTNEAGTSKTEPSETEPSETETSESEPSEPEPYDAKPKKAKRKTAKG
+RRHRRRCHQDNFASFATYFRRVLKQVHTGLSLSHEAMNVMHSFVKHMFEQIAEEAGSLAH
+SSKHCTITSGEIQRAVRLLLPGEIGKHAVSEATKSVIRYNTRR
+>tr|A0A3Q1NKU9|A0A3Q1NKU9_BOVIN ANK_REP_REGION domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MVFPVLQDDLTPLLLAISERKQQMVEFLVKKEADIHAVDKMKRTALILAVNYECTDVVSL
+LLQRGADVFSQDVFGRTAEEYAAISGFNIICELISEYKEKRPKTTPQKSNPAAKSSEEDS
+LSRFSNKPGVDSWPTSDDDVLGSETKKKPKPNLAKLMKAFQQSKRNQTEHGIVRRESTNF
+SENNNSDSEIEDVVETLPKPSPGVQGFSHPAFPRPDPLPKPLKTLAGLGLAKVEEEKKHK
+DAADESRLIHQRRSRGNNKQAFPAMENKGSDSSDPGVHRKGVKKKNDDKWTPEERVIAPI
+FEKTDSLTGGLLHVNDDSILREVDQDDGRPARKTAYEKKKVSDSGRKAKGLLRENHMQDE
+IATARLEIDTVKNQKKCFEGIEIVKGENDYPQEAIKLNKEMLTKAIFQHTG
+>tr|F1MNJ3|F1MNJ3_BOVIN Inositol polyphosphate-4-phosphatase type II B OS=Bos taurus OX=9913 GN=INPP4B PE=4 SV=2
+MEIKEEGASEEGQNFLPTPQANDTGDFQFTSIQKTPNEPQLEFILACKDLVAPVRDRKLN
+TLVQISVIHPAEQGLTRYSSTEIVEGTRDPLFLTGVTFPSEYPIYEETKIKLTVYDVKDK
+SHDTVRTSVVPEHKDPPPEVGRSFLGYASFKVGELLKSKEQVLALSLRTSDGGKVVGTIE
+VSVVKMGEIEDGEADNITTDVQGQKCALVCECSAPESVSRKDNLPFLNAVLKNPVCKLYR
+FPTSDNKWMQIREQMSESILSFHIPKELISLQIKEDLCRNQELKELGELSPHWDNLRKNV
+LTHCDQMVNMYQDILTELSKETGSSFKSSSSKGEKTLEFVPINLHLQRMHVHSPHLKDAL
+YDVITVGAPAAHFQGFKNGGLRKLLHRFEAERRNTGYQFIYYSPENTAKAKEVLSNINQL
+QPLIAAHADLLLNSASQHSPDSLKNSLKMLSEKTELFVHAFKDQLVRSALLALYTARPGG
+VLKKPPSPQSGTEESISQDPPLPVRRQDSIPHHSDYDEEEWDRVWANVGKSLNCIIAMVD
+KLIERESGGEGSSTSSKDVEKDSSPVDSIITHPKEDWYEQLYPLILTLKDCMGEVVNRAK
+QSLTFVLLQELAYSLPQCLMLTLRRDIVFSQALAGLVCGFIIKLHTSLYDPGFLEQLHTV
+GLIVQYEGLLSTYSEEIGMLEDMAVGISDLKKVAFKIIEATSNDVLPVITGRREHYVVEV
+KVPARVFESLPLQIKEGQLLHVHPVLFNVGINEQQTLAERFGDVSLQESINQENFELLKE
+YYTVFMEKMPPDYISHFQEQNDLKGLLENLHQNIQAKKRKNVEIMWLAATICRKLNGIRF
+TCCKSAKDRTSMSVTLEQCSILRDEHQLHKDFFIRALDCMRREGCRIENVLKNIKCRKYA
+FNMLQLMAFPKYYRPPEGTYGKADT
+>tr|G3X7N7|G3X7N7_BOVIN COesterase domain-containing protein OS=Bos taurus OX=9913 GN=NLGN4X PE=4 SV=2
+MSRPQGLLWLPLAFTPVCVMVNSNVLLWITALAVKFTVIDSQAQYPVVSTNYGKIRGLRT
+PLPNEILGPVEQYLGVPYASPPTGERRFQPPEPPSSWTGVRNATQFAAVCPQHLDERSLL
+HDMLPVWFTANLDTLMTYMQDQNEDCLYLNIYVPTEDDIHDQNSKKPVMVYIHGGSYMEG
+TGNMIDGSILASYGNVIVITINYRLGILGFLSTGDQAAKGNYGLLDQIQALRWIEENVGA
+FGGDPKRVTIFGSGAGASCVSLLTLSHYSEGLFQKAIIQSGTALSSWAVNYQPAKYTRIL
+ADKVGCNMLDTTDMVECLRNKNYRELIQQTITPATYHISFGPVIDGDVIPDDPQILMEQG
+EFLNYDIMLGVNQGEGLKFVDGIVDHEDGVTPNDFDFSVSNFVDNLYGYPEGKDTLRETI
+KFMYTDWADKENPETRRKTLVALFTDHQWVAPAVATADLHAQYGSPTYFYAFYHHCQSEM
+KPSWADSAHGDEVPYVFGIPMIGPTELFSCNFSKNDVMLSAVVMTYWTNFAKTGDPNQPV
+PQDTKFIHTKPNRFEEVAWSKYNPKDQLYLHIGLKPRVRDHYRATKVAFWLELVPHLHNL
+NEIFQYVSTTTKVPPPDMTSFPYGTRRSPSKIWPTTKRPAITPANSNPKHSKDPHKTGPE
+DTTVLIETKRDYSTELSVTIAVGASLLFLNILAFAALYYKKDKRRHETHRRPSPQRNAAN
+DIAHIQNEEIMSLQMKQLDHECESLQAHDTLRLTCPPDYTLTLRRSPDDIPLMTPNTITM
+IPNTLTGMQPLHTFNTFSGGQNSTNIPHGHSTTRV
+>tr|A0A3Q1LU06|A0A3Q1LU06_BOVIN EMI domain containing 1 OS=Bos taurus OX=9913 GN=EMID1 PE=4 SV=1
+MGGPRAWALLCLGLLLPGGSAAWSVGGAPFSARRNWCSYVVTRTVSCHVQNGTYLQRVLQ
+NCPWPMSCPGNSYRTVVRPTYKVMYKMVTAREWRCCPGHSGPSCEEVTGSPGFVEPGWSG
+SATRRMALRPTGFSGCLNCSKVSELTERLKVLEAKVAVLTVTERAVPPTPGAPEDPAPLW
+GSPAVQGSPGDGGLRGLPGTRENQRPPLLPRDDRVGARGLPGPAGPKGDPGSRGPMGMRG
+PPGLQGPPGSPGQAGAVGIPGERGPPGPPGPPGPPGPPAPVGPPYARISQHGDPILSNTF
+TETSSHWPQGPAGPPGLPGPMGPPGLPGPMGIPGSPGHMGPPGPIGPKGISGHPGEKGER
+GLRGEPGPQGSMGQRGEPGPKGDPGEKSHWNQRGRGQASPAQAPPASCGARGEDTRPATG
+SWPPGAETRETEGGGGPLGRASQASLPSLACASCLWGLPAHIY
+>tr|F1MZI6|F1MZI6_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 GN=LOC107131749 PE=4 SV=3
+MLMEPVASLYFSLPGFLLFFQLLSSFAPMSVQFTVLGPAYPILAVVGEDATLRCHLSPEK
+NAEDMEVRWFRTQFSPAVFVYKGRRERTDEQMEQYRGRTTFVSEAISEGSVGLIIHNVTA
+HENGIYCCYFQEGRSYDEAIMHLTVTGLGSKPVIEMKSPEDGGIRLECTSAGWYPKPHAV
+WRGPHGETMPPLEEAYTVSADGLFLVTMAVIIRDHSVRNMSCSVINTLLDQEKETVIFIP
+ESFIPRTSPWMVALVVILPSLLLLIAGSIYLIKKLHLGKKILSMQKEAENEEKEIARKEL
+GKERVEKEKECQTKEQLQEELRWRRNLLHAGERL
+>tr|G3N214|G3N214_BOVIN Protein phosphatase 6 regulatory subunit 1 OS=Bos taurus OX=9913 GN=PPP6R1 PE=4 SV=2
+MVPAGSSWPPGLSAAALASGGLQEGAMFWKFDLHTSSHLDTLLEREDLSLPELLDEEDVL
+QECKVVNRKLLDFLLRPLHLQAMVAWVTQEPPASGEERLRYKYPSVACEILTSDVPQIND
+ALGADESLLHRLYGFLQSSGSLNPLLASFFSKVMGVLINRKTDQLVSFLRKKDDFVDLLL
+QHIGTSAIMDLLLRLLTCVERPQLRQDVVNWLNEEKIVQRLIEQIHPSKDDNQHSNASQS
+LCDIIRLSREQMIQVQDSPEPDQLLATLEKQETIEQLLSNMLEGERSPSVLVSGIQVLLT
+LLEPRRPRSESMTVNNFFSSVDGQLELLAQATLDSAVSNAGALHALRPRLGCFHQLLLEP
+PELEPLRTTWGSLAPPLGNTRLHVVKLLASALSANDAALTQELLALDVPNTMLDLFFHYV
+FNNFLHAQVEVCVSTMLSSGPPADSGSDTPPENPVVKHLLQRCRLVERILTSWEENDRVQ
+SAGGPRKGYMGHLTSVANALVQNSEKGPNAEQLQQLLKELPQEQRERWEAFVSGPLAETN
+KKNTVDLVNTHHLHSSSDDEDDRLKEFNFPEEAVLQQAFMDFQMQRMTSAFIDHFGFNDE
+EFGEQEESVNAPFDKTASITFSLSADDDNPNANLLEICYKDRIQQFDDDEEDEEEGQGSG
+ESDGEDGAWQGGHLARAGRLGQPQGVRSRGSTDSEEEDDDDDEDEDGRARGRPGPPCYPS
+AGRQPPGPSWTAAFDPVPTDGPAGPQDCRDKEPRGEHPDLPAPSPTGPAAPSTLQLRFQD
+PAPASAPQEATDGSKAAEPAASCQALLSVGDLQASLRGTRSAPSSLDSATRDPATSVPAP
+GAHRPPQTPEGEKSPESLGLPQSQSALALQPLPMPNGSAPGGPAAPGSQ
+>tr|A0A3Q1MR90|A0A3Q1MR90_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=NPAS3 PE=4 SV=1
+CDVRERSLDGFVFALNQEGKFLYISETVSIYLGLSQVELTGSSVFDYVHPGDHVEMAEQL
+GMKLPPGRGLLSQGTAEDGASSASSSSQSETPEPVESTSPSLLTTDNTLERSFFIRMKST
+LTKRGVHIKSSGYKVIHITGRLRLRVSLSHGRTVPSQIMGLVVVAHALPPPTINEVRIDC
+HMFVTRVNMDLNIIYCENRISDYMDLTPVDIVGKRCYHFIHAEDVEGIRHSHLDLLNKGQ
+CVTKYYRWMQKNGGYIWIQSSATIAINAKNANEKNIIWVNYLLSNPEYKDTPMDIAQLPH
+LPEKTSESSETSDSESDSKDTSGITEDNENSKSDEKGNQSENSEDPEPDRKKSGNTCDDD
+MHCNDDGHSCSNPDSRDSDDSFEHSDFENPKAAEDGGGGGFGALGRMQIKVERGYVESES
+DLRLQDCESLSSDSAKDSDSAGEAGAQASSKHQKRKKRRKRQKGGSASRRRLSSASSPGG
+LDAGLVEPPRLLSSPNSASVLKIKTEISEPINFDNDSSIWNYPPNREISRNESPYSMTKP
+PSSEHFPSPPGGGAGAGGLHVAIPDSVLTPPGADGTSSSATATARKTQFGTSAPAALAPV
+ASDPLSPPLSASPRDKHPGGGSGNGNGGGGPGTANSLLYTGDLEALQRLQAGNVVLPLVH
+RVTGTLAATSTAAQRVYTTGTIRYAPAEVTLAMQGNLLPNAHAVNFVDVNSPGFGLDPKT
+PMEMLYHHVHRLNMSGPFGGAVSAAGLTQMPAGNVFTTAEGLFSTLPFPVYSNGIHAAQT
+LERKED
+>tr|A0A3Q1LZK5|A0A3Q1LZK5_BOVIN Proline rich 5 OS=Bos taurus OX=9913 GN=PRR5 PE=4 SV=1
+MSSPSLSDLGKREPAAATAADERGTQQRRACANATWDSIHNGVIAVFQRKGLPDQELFSL
+NEGVRQLLKTELGSFFTEYLQNQLLTKGMVILRDKIRFYEGQKLLDSLAETWDFFFSDVL
+PTLQAVFYPVQVSGRDLEVGAPPLSVGRLRLRTPPPPEMDGRQPPPQDPRKTCAMLSIPH
+EGGSLCVTVTPPSSEEATWGPLSSEQGSSGEESRVDGGLGVSGSVPVSWGVSVTAAAACL
+QGKEPSVRQLALLHFRNTITLGVKLEDALARAHARVPPAIVQMLLVLQGVHESRGVTKDY
+LRLETLIQKVVSPYLGTYGLYSGEGAFTHSCILEKHYLRRSRSGDVLAKNPVVRSKSYNT
+PLLNPVAEHEAEGAAAGGAGVRRHSVSEMTSCPEPQGFADTPGPGPAGAFGTSPSSPPSG
+PCANRLYPPAQPPKPGPGAARGSPASSSPENLVDQILESVDSDSEGIFIDFGRGGGSGTS
+EFDGAGGRQSVV
+>tr|A0A3Q1MMQ7|A0A3Q1MMQ7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MSSCPGLTPILHSTIGLTAGSVEHSPLQVEGFLWWTSPLQVKDFLQVCEYLRQQSHAMPL
+LHLMTFTNPKMDWCNTLYSNYGHNVTFNFGYTLSQFNDYFATYKVNRSRSNGFLPDVYQI
+WDEVIWLTPEKGRLISTASICWKQTEPSPKVSQQLNYSDWKQLGFLSQKTCNVIIPVFSN
+PSSRSPFVWPDTNWDWISQSRWLAPNGTYWICGSYLWAWLPPGWIGRCTLGLAFTHGFIF
+SELPEKPANLPYLKTRWASSVFHWYDYLAAAFVPSLGTTDVMLRVDALTNFTQQALQDSQ
+KAISALNAEQAQIRKVVLQNRLALDILTAAQGGTCAIIHTQCCTYIPDMSTNVTHFTNHM
+NKMIRAMDTPEASIASLWETLTSSPWWTTILITIILVVLFLLFAPCICNCITGFVSSRMK
+AFKLQMVAQTPATAVASSNYYLGTLDQISSI
+>tr|F1N1R7|F1N1R7_BOVIN Ectonucleotide pyrophosphatase/phosphodiesterase 7 OS=Bos taurus OX=9913 GN=ENPP7 PE=4 SV=3
+MGAPAVFLAVALATLLAPASGAPVRQQASRNKLLLVSFDGFRWNYDQDVDTPNLDAMALD
+GVKARYMTPAFITLTSPCHFTLVTGKYVENHGVVHNMFYNTSSKVKWPYHTTLGIQKWWD
+NGSLPIWITAQRQGLKTGSFFYPGGNVTYQGEAVTLSRKEGILHNFKDEAEWRANIDTVM
+TWFTDEGLDLVTLYFGEPDSTGHRYGPESQERKEIVMQVDRTVGYLRDSIRKSGLESSLN
+LLILSDHGMTTVNKTASDLVEFHKFSNFSFKDIEFELLDYGPNGMLLPKEGMLEKVYEAL
+KDAHPRLHVYKKEFFPKSFHYANHSRITPLLMYSDPGYVIHGRVNVQFNNGEHGFDNEVM
+DMKTIFRAVGPSFKKGLEVEPFESVHVYELMCKLLGIVPEANDGLLSTLLPILQETTTTP
+SGVPLGSCRGWGPAES
+>tr|A0A3Q1N3N1|A0A3Q1N3N1_BOVIN Rap guanine nucleotide exchange factor 1 OS=Bos taurus OX=9913 GN=RAPGEF1 PE=4 SV=1
+MSGGLGLRRSPEMSGKIEKADSQRSHLSSFTMKLKDKFHSPKIKRTPSKKGKPAEVSVKI
+PEKPVNKEATDRFLPEGYPIPLDLEQQAVEFMSTSAVASRSQRQKNLSWLEEKEKEVVSA
+LRYFKTIVDKMAIDKKVLEMLPGSASKVLEAILPLVQSDPRIQHSSALSSCYSRVYQSLA
+NLIRWSDQVMLEGVNSEDKEMVTTVKGVIKAVLDGVKELVRLTIEKQGHPSPTSPVKPSS
+PACKPDSQSELPLTDREMEILNKTTSLSQSTEGLQDSMDEEVAPPKPPLPGIRVVDNSPP
+PALPPKKRQSAPSPTRVAVVAPMSRATSGSSLPVGINRQDFDVDCYAQRRLSGGSHSYSG
+ESPRLSPCSSIGKLSKSDEQLSSLDRDSGQCSRNTSCETLDHYDPDYEFLQQDLSNADQI
+PQQVACNLSPLPESLGESGSPFLGHPLQLPLGSCPQPDGSSAPGQQTDVPPALPEKKRRS
+AASQTLDSSGCRASYERHPSQYDNISEDDLQNPASVQSVPFTPFAAILPFQQGGSSASVD
+FMGDFTVSESTGDPEKPPPLPEKKNKHMLAYMQLLEDYSEPQPSMFYQTPQKEHVYQQKN
+KLLMEVYGFNDSFSTGDAAHDLAPPPALPPKQRQLQASCAASSFSSVSYCVQQTKVAFTP
+EDGSAAQGISVSVSNSFLSRHGSLPVPSESPAAKDGHSRDATSASCVPGKEGRDSGDRAR
+KSPDAPEAQSEEEVDELSLIDHNEIMARLTLKQEGDDGPDVRGGSGDILLVHATETDRKD
+LVLYCEAFLTTYRTFITPEELIQKLQYRYEKFSPFADTFKKRVSKNTFFVLVRVVDELCL
+VELTEEILKLLMELVFRLVRSGELSLARVLRKNILDKVDQKKLLRCANSDQPLAARGVAA
+RPGTLHDFHSHEIAEQLTLLDAELFYKIEIPEVLLWAKEQNEEKSPNLTQFTEHFNNMSY
+WVRSIIMLQEKAQDRERLLLKFIKIMKHLRKLNNFNSYLAILSALDSAPIRRLEWQKQTS
+EGLAEYCTLIDSSSSFRAYRAALSEVEPPCIPYLGLILQDLTFVHLGNPDYIDGKVNFSK
+RWQQFNILDSMRCFQQAHYDIRRNDDIINFFNDFSDHLAEEALWELSLKIKPRNITRRKT
+DREEKT
+>tr|A0A3Q1MR95|A0A3Q1MR95_BOVIN Ataxin 2 like OS=Bos taurus OX=9913 GN=ATXN2L PE=4 SV=1
+MLKPQPPQQTSQPQQPPPTQQAVARRPPGGTSPPNGGLPGSLASTSAPPGPPAAASPCLG
+PAAAAGSGLRRGAEGILAPQPPAPQQQHQERPGAAAIGSARGQSTGKGPPQSPVFEGVYN
+NSRMLHFLTAVVGSTCDVKVKNGTTYEGIFKTLSSKFELAVDAVHRKVSEPAGGPRREDI
+VDTMVFKPSDVMLVHFRNVDFNYATKDKFTDSAIAMNSKVNGEHKEKVLQRWEGGDSNSD
+DYDLESDMSNGWDPNEMFKFNEENYGVKTTYDSSLSSYTVPLEKDNSEEFRQRELRAAQL
+AREIESSPQYRLRIAMENDDGRTEEEKHSAVQRQGSGRESPSLAAREGKYIPLPQRVREG
+PRGGVRCSSSRGGRPGLSSLPPRGPHHLDNSSPGPGSEARGINGGPSRMSPKAQRPLRGA
+KTLSSPSSRPSGEASVPPPPAVGRMYPPRSPKSAAPAPISASCPEPPMGSAVPTSSASIP
+VTSAVGDPGVGSVSPASPKISLAPTDVKELPAKEPGRTLESQELSRIAAKVPGLQNEQKR
+FQLEELRKFGAQFKLQPSSSPETSLDPFPPRILKEEAKGKEKEVDGLLASEPLGSPVSSK
+AESVSDKEDKLPLPAAGGAEGPEQPPAPCPSQTGSPPVGLIKGDDKDEGPVAEQVKKSTL
+NPNAKEFNPTKPLLSVNKSTSTPTSPGPRTHSTPSIPVLTAGQSGLYSPQYISYIPQIHM
+GPAVQAPQMYPYPVSNSVPGQQGKYRGAKGSLPPQRSDQHQPASAPPMMQAAAAAAGPPL
+VAATPYSSYIPYNPQQFPGQPAMMQPMAHYPSQPVFAPMLQSNPRMLTSGSHPQAIVSSS
+TPQYPSAEQPTPQALYATVHQSYPHHATQLHAHQPQPATTPTGSQPPSQHAAPSPVQHQA
+GQAPHLGSGQPQQNLYHPGALTGTPPSLPPGPSAQSPQSSFPQPAAVYAIHAHQQLPHGF
+TNMAHVTQAHVQTGITAAPPPHPGAPHPPQVMLLHPPQSHGGPPQGAVPQSGVPALSAST
+PSPYPYIGHPQGEQPGQAPGFPGGADDRILCRVGRSHSRRRQGLAPGSVLCFPPSSLSCD
+PAAPLPTASPALSDPDCLLT
+>tr|A0A3Q1LTB8|A0A3Q1LTB8_BOVIN Peptidase S1 domain-containing protein OS=Bos taurus OX=9913 GN=LOC505326 PE=3 SV=1
+MVLFLLLVALLSPTGEAGKIIGGHEAKPHSRPYMVFLQFKISGEPQRCGGFLVREDFVLT
+AAHCLGSSINVTLGAHNIMDRERTQQFIPVRRPIPYPRYNKTWANDIMLLQLMRKANVTT
+AVSPISLPRDWDTVNPGMLCSVAGWGRLDVDMSRTKKLQEVELEVQRAEKCTSRYKYYST
+TTQICVGDPRKRKSSFKGDSGGPLVCNGVAQGIVSYGKKDGTPPRVFTRISSFLPWIQKT
+MKQTTQPSS
+>tr|F1MF93|F1MF93_BOVIN RNA binding motif protein 20 OS=Bos taurus OX=9913 GN=RBM20 PE=4 SV=3
+MVLAAAMSQDAEPSGPEQPDRDARSVPGAPAPPAPPAPPGPRGMQPPPPPPPPPPQASLP
+QIIQNAAKLLDKTPFSVSNPNPLLPSPASLQLAQLQAQLTLHRLKLAQTAVTNNTAAATV
+LNQVLSKVAMSQPLFNQLRHPSMISAPHGHTGVPQHAATVPSTRFPSNALAFSPPGQTRG
+PGPTVSLPSQPPNAMVMHPFMPQTPTQPAVILGLGKTGPAPAAAGFYEYGKASSGQAYGS
+ETDGQPGFLPASAATSGSVTYEGHYSHSGQDGQAAFPKDFYGPSAQGSQVAGGFVAEPAG
+ALKGEVGPLLQGPNSQWESPHGFSGQSKPDLAAAPSLWPPPPGQPYELYDPEEPTPDRTP
+PSFGGRLNNSKQGFSGARRRAKEEQAVLSLRPLQAHELNDFHGVAPLHLPHVCSICDKKV
+FDLKDWELHVKGKLHAQKCLLFSENAGVRCVLGPAEGTLCGSPNSTAVYNPAGTEDYSSN
+LGTSYAAIPARSFTQSSPAFPSASPGTNFAQRKTGAGRVVHICNLPEGSCTENDVINLGL
+PFGKVTNYILMKSTNQAFLEMAYTEAAQAMVQYYREKSAMINGEKLLIRMSKRYKELQLK
+KPGKTVAAIIQDIHSQRERDMFREAERYAPERPRSRSPVSRSLSPRSHTPSFTSCSSSHS
+PPGPSRADWGNGRDSWEHSPYARRENGEDKRDRTDTWAHDRKHYPRQLDKAELDERLEVG
+RGYREKHPRSGSPNPLHSASGYKSREDGYHRKESKGKSDKYLKPQQDAPGRSRRKDEARL
+REGRHPHADDAGREDGLEPKGTKSKQSEKNRAKRSDRDQEGADDRKERMAENEAGKEEQD
+SMEESPPSVGRQEKETESSDTENRRARKEQDSESGSEAEGESWYPTNMEELVTVDEVGEE
+EDFIMEPDIPELEEIVPIDQKDRISAEICPCVTTALDLDFTKGFAKEGVKTIGNGAGEIS
+LKSPEELPSASTGCPSDMDVEMPGLNLDAERKPAECETGLSLEGSDCYEKPAKGGESSDV
+RLAPPLLQMSSPKPAEERAWQPGPFLDDCKARGTPEDGAGEGSPLEEKAGPPTETDLQSQ
+ACQGASTPENSRYSEMKSLDVRSLEDAEVELKQPLSLPSWEPEDVFSELSIPLGVEFVVP
+RTGFYCKLCGLFYTSEEMAKMSHCRSAVHYRNLQKYLSQLAEEGLKETERAGSPRPQDSG
+IVPHFERKKL
+>tr|F1MP97|F1MP97_BOVIN FAST kinase domain-containing protein 4 OS=Bos taurus OX=9913 GN=TBRG4 PE=4 SV=3
+MAARLVRRCTCLLREAARLAPAVSPVGQLRLPQGTQKPLTSSATSPSSRLPGLLSEFVEK
+EQVFTPYTGRQEVEQLIEKATQPEELLELLGSGHCLQQNHAALALIQLSRLLSEKPKDKA
+ALIQDARFWQLLHLVNSQITVVWHGTLVKLLRSLYTLGLPATSKDLRSVEQEVRWRMRRL
+KYKHLAFLAESSATYMQEQGSRELLAELLVHLERRWAEIEDRRMVVAMMMKTGHLSESLM
+NRLEDKCLELVEQFGPEELRKVLVTLAAQNRRSVPLLRAISYHLVQKPFPLTKSILLDLA
+YAYGKLGFHQTQVFQRLAADLLPHTPSMTSSEVARCTKSFAFLKWLNLPLFEAFVQHVLD
+RAQSITLPHLCNMLLAFARVNFRPEREDPFFSLVHEKLGSELVGLEPALQVDVVWALCVL
+QQVREAELQAVLRPEFHTQFLGGKSPKDQSTFQKLLHINATAQLEHPEYTGPLLPTSALV
+PSPSALEQKMTPLQKELQETLKGLLGSADKGSFMVPTQYGWVLDAEVLLDTESQLLPLRD
+FVAPHLTPPSGSQPLPPGAKRLAFLRWEFPNFNSRSKDLLGRFMLARRHLLAAGFLLVDV
+PYYEWMELKSEWQKSAYLKDKMRKAVAEELAK
+>tr|A0A3Q1MMU0|A0A3Q1MMU0_BOVIN Tyrosine-protein kinase receptor OS=Bos taurus OX=9913 GN=INSR PE=3 SV=1
+MGCLLTCLALCLFALPVCPGMDIRNNLTRLHELANCSVIEGHLQILLMFKTRPEDFRDLS
+FPKLIMITDYLLLFRVYGLESLKDLFPNLTVIRGSRLFFNYALVIFEMVHLKELGLYNLM
+NITRGSVRIEKNNELCYLATIDWSRILDSVEDNYIVLNKDDNEECGDICPGTAKGKTNCP
+ATVINGQFVERCWTHSHCQKVCPTICKSHGCTSEGLCCHSECLGNCSEPDDPTKCVACRN
+FYLDGRCVETCPPPYYHFQDWRCVNFSFCQDLHNKCKNSRRQGCHQYVIHNNKCIPECPS
+GYTMNSSNLMCTPCLGPCPKVCHLLEGEKTIDSVTSAQELRGCTIINGSLIINIRGGNNL
+AAELEANLGLIEEISGYLKIRRSYALVSLSFFRKLRLIRGETLEIGNYSFYALDNQNLRQ
+LWDWSKHNLTITQGKLFFHYNPKLCLSEIHKMEEVSGTKGRQERNDIALKTNGDQASCEN
+ELLKFSYIRTSYDKILLKWEPYWPPDFRDLLGFMLFYKEAPYQNVTEFDGQDACGSNSWT
+VVDIDPPTRSNDPKSQNHPGWLMRGLKPWTQYAIFVKTLVTFSDERRTYGAKSDIIYVQT
+DATNPSVPLDPISVSNSSSQIILKWKPPSDPNGNITHYLVFWERQAEDSELYELDYCLKG
+LKLPSRTWSPPFESEGSQKHNQSEYEESAGECCSCPKTDSQILKELEESSFRKTFEDYLH
+NVVFIPRPSRKRRALGDVGNVTAAVPTALGLPNTSSTSTPMSSEEHRPFEKVVNKESLVI
+SGLRHFTGYRIELQACNQDSPEERCSVAAYVSARTMPEAKADDIVGPVTHEIFENNVVHL
+MWQEPKEPNGLIVLYEVSYRRYGEEELHLCVSRRHYALERGCRLRGLLPGNYSVRVRATS
+LAGNGSWTEATYFYVTDYCKSARPLRLVESVLGAGPNTHRVLQPDGPLGPLYASSNPEYL
+MFPCSVYVPDEWEVPREKITLLRELGQGSFGMVYEGNARDIVKGEAETRVAVKTVNESAS
+LRERIEFLNEASVMKGFTCHHVVRLLGVVSKGQPTLVVMELMAHGDLKSYLRSLRPEAEN
+NPGRPPPTLQEMIQMAAEIADGMAYLNAKKFVHRDLAARNCMVAHDFTVKIGDFGMTRDI
+YETDYYRKGGKGLLPVRWMAPESLKDGVFTTSSDMWSFGVVLWEITSLAEQPYQGLSNEQ
+VLKFVMDGGYLDQPDNCPERVTDLMRMCWQFNPKMRPTFLEIVDLLKDDLHPSFPEVSFF
+HSEENKAPESEELEMEFEDMESVPLDRASHAQREEAGGRDGGSALGLKRNYDEHIPYTHM
+NGGKKNGRILTLPRSNPS
+>tr|A0A3Q1MWR0|A0A3Q1MWR0_BOVIN Ectonucleoside triphosphate diphosphohydrolase 5 OS=Bos taurus OX=9913 GN=ENTPD5 PE=3 SV=1
+MALYQGAAFFMLVASCVCSTVFHREQQTWFEGVFLSSMCPVNVSAGTLYGIMFDAGSTGT
+RIHVYTFVQKVPGQLPVLEGEIFDSVKPGLSAFVDQPKQGAETVQELLEVAKDSIPPSHW
+KRTPVVLKATAGLRLLPEEKAEALLFEVKEIFKKSPFLVPDDSVSIMDGSYEGILAWVTV
+NFLTGQLHGHNQETVGTLDLGGASTQITFLPQFEKTLEQTPRDYLTSFEMFNSTYKLYTH
+SYLGFGLKAARLATLGALETAGIDGYTFRSACLPRWLEAEWIFGGVKYQYGGNQEGEVGF
+EPCYAEVLRVVQGKLHQPDEVQRGSFYAFSYYYDRAVDTDMIDYEKGGVLKVEDFERKAR
+EVCDNLENFTSGSPFLCMDLSYITALLKDGFGFASSTVLQVRERTLVPRNSPLTPQLGRK
+S
+>tr|A0A3Q1N2J5|A0A3Q1N2J5_BOVIN Eukaryotic translation initiation factor 4B OS=Bos taurus OX=9913 GN=EIF4B PE=1 SV=1
+MAASAKKKNKKGKTISLTDFLAEDGGTGGGSTYVPKPVSWADETDDLEGDVSTTWHSNDD
+DVYRAPPIDRSILPTAPRAAREPNIDRSRLPKSPPYTAFLGNLPYDVTEDSIKEFFRGLN
+ISAVRLPREPSNPERLKGFGYAEFEDLDSLLSALSLNEESLGNRRIRVDVADQAQDKDRD
+DRSFGRDRNRDSDKTDTDWRARPAADSFDDYPPRRGDDSFGDKYRDRYDSDRYRDGYRDS
+YRDGPRRDMDRYGGRDRYDDRGGRDYDRGYDSRIGSGRRAFGSGYRRDDDYRGGGDRYED
+RYDRRDDRSWSSRDDYSRDDYRRDDRGPPQRPKLNLKPRSTPKEDDSSASTSQSSRAASI
+FGGAKPVDTAAREREVEERLQKEQEKLQRQLDEPKLERRPRERHPSWRSEETQERERSRT
+GSESSQTGTSATSGRNARRRESEKSLENETPNKEEDCQSPTSKPPKPEQPLKVMPAPPPK
+ENAWVKRSSNPPARSQSSDTEQQSPTSGGGKVVPAQLSEEGSARKDENKVDGVSAPKGQS
+GSSSRGPGDGGNKDHWKEADRYACPFISSLCPDA
+>tr|A0A3Q1MKN0|A0A3Q1MKN0_BOVIN Protein yippee-like OS=Bos taurus OX=9913 GN=YPEL2 PE=3 SV=1
+MVKMTRSKTFQAYLPSCHRTYSCIHCRAHLANHDELISKSFQGSQGRAYLFNSVVNVGCG
+PAEERVLLTGLHAVADIYCENCKTTLGWKYVSTEGSVGGERAVKKLMRVTGMHSPTCFPG
+LVGLSAMSMGNRVQRAVPGIQASSLH
+>tr|A0A3Q1N6D4|A0A3Q1N6D4_BOVIN Sideroflexin-1 OS=Bos taurus OX=9913 GN=SFXN1 PE=1 SV=1
+MSGELPPNINIKEPRWDQSTFIGRAKHFFTVTDPRNILLTNEQLEAARKVVHDYRQGIIP
+SGLTENELWRAKYIYDSAFHPDTGEKMILIGRMSAQVPMNMTITGCMMTFYRTTPAVLFW
+QWINQSFNAVVNYTNRSGDAPLTVNELGTAYVSATTGAVATALGLNALTKHVSPLIGRFV
+PFAAVAAANCINIPLMRQRELKVGIPVTDENGNRLGESANAAKQAITQVVVSRILMAAPG
+MGEENLGTCSCTWQVSVEQALVCHSMSVTSLEAELQARIRETYPELRRVYFNKGL
+>tr|A0A3Q1LRA1|A0A3Q1LRA1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MGFSKLPLFLVLSMLIIHQAGMLQAAPFSLYDPLGPTTLTEEESYFLLATMVKYYVQKAS
+ELEYETEDFGIIAQERTSNAATGMTHKMAGFLGKSGSKIKRNIMSTNVAPKPLAGTTGIF
+RTK
+>tr|A0A3Q1MIL3|A0A3Q1MIL3_BOVIN Prostate androgen-regulated mucin-like protein 1 OS=Bos taurus OX=9913 GN=PARM1 PE=4 SV=1
+MVRGLRLQSVSASIPSTDSLLAETTTPTAIWTSSPQSPPASPTSGPSNSSVLLDPTPVPT
+SLPTKNISTEPREEQSTSPASNWEGTDPSPTSGGVHLTPTPEEHSSDTPEAGVPTTGSQP
+PAESPTLTSPQGPASSPLPPSTSPPEVPSASISTSHSSAETSTEPTGAPTTPESHTEEHS
+STLTPTSHASSESVPTEATPQATVPPKVTCILIDMETTTTSPGVIMQEVEHALSSGSIAA
+ITVTVIAVVLLVFGVAAYLKIRHSSYGRLLDDHDYGSWGNYNNPLYDDS
+>tr|F1N7F9|F1N7F9_BOVIN Calcium voltage-gated channel auxiliary subunit alpha2delta 1 OS=Bos taurus OX=9913 GN=CACNA2D1 PE=4 SV=3
+MAAGCLLALTLTLFQSLLIGPSSEEPFPSAVTIKSWVDKMQEDLVTLAKTASGVNQLVDI
+YEKYQDLYTVEPNNARQLVEIAARDIEKLLSNRSKALVRLALEAEKVQAAHQWREDFASN
+EVVYYNAKDDLDPEKNDSEPGSQRIKPVFIDDANFGRQISYQHAAVHIPTDIYEGSTIVL
+NELNWTSALDEVFKKNREEDPSLLWQVFGSATGLARYYPASPWVDNSRTPNKIDLYDVRR
+RPWYIQGAASPKDMLILVDVSGSVSGLTLKLIRTSVSEMLETLSDDDFVNVASFNSNAQD
+VSCFQHLVQANVRNKKVLKDAVNNITAKGITDYKKGFSFAFEQLLNYNVSRANCNKIIML
+FTDGGEERAQEIFTKYNKDKKVRVFTFSVGQHNYDRGPIQWMACENKGYYYEIPSIGAIR
+INTQEYLDVLGRPMVLAGDKAKQVQWTNVYLDALELGLVITGTLPVFNITGQNENKTNLK
+NQLILGVMGVDVSLEDIKRLTPRFTLCPNGYYFAIDPNGYVLLHPNLQPKPIGLGIPTIN
+LRKRRPNVQNPKSQEPVTLDFLDAELENDIKVEIRNKMIDGESGEKTFRTLVKSQDERYI
+DKGNRTYTWTPVNGTDYSLALVLPTYSFYYIKAKIEETITQARYSETLKPDNFEESGYTF
+IAPRDYCNDLKISDNNTEFLLNFNEFIDRKTPNNPSCNTDLINRVLLDAGFTNELVQNYW
+SKQKNIKGVKARFVVTDGGITRVYPKEAGENWQENPETYEDSFYKRSLDNDNYVFTAPYF
+NKSGPGAYESGIMVSKAVEIYIQGKLLKPAVVGIKIDLNSWIENFTKTSIRDPCAGPVCD
+CKRNSDVMDCVILDDGGFLLMANHDDYTNQIGRFFGEIDPSLMRHLVNISVYAYNKSYDY
+QSVCEPGAAPKQGAGHRSAYVPSIADVLHIGWWATAAAWSILQQFLLSLTFPRLLEAVEM
+EDDDFTASLSKQSCITEQTQYFFDNDSKSFSGVLDCGNCSRIFHVEKLMNTNLIFIMVES
+KGTCPCDTRLLIQAEQTSDGPDPCDMVKQPRYRKGPDVCFDNNALEDYTDCGGVSGLNPS
+LWSIIGIQCVLLWLLSGSRHHQL
+>tr|A0A3Q1LW30|A0A3Q1LW30_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC112441543 PE=4 SV=1
+SVNSGTQVSTTVLGPEQKMPDESASGDHGVSVSLGAINPARSNSSIPQSPEVYTEEPFTT
+YFDEKIAVPEEKHSCFSFRKLWAFTGPGFLMSIVDPGNIECDLQSGALAGFKVPRILLWL
+MVELAIIGVDMQEVIGSAIAINLLSVGRIPLWGGVLITIADTLIFLFLDKYGLRKLEAFF
+GFLITIMALTFGYEYVTVKPSQTQVLQGMFLPSCSGCRTPQIIQAVAIVGAVITPHNIYL
+HSALVKSRQVDRANKQEVREANKYFFIDSCIALFVSFIINIFVISVFAEAFFEKTNKQVV
+AVCKNSSSAHAHLFPDDNSTLTVDIYKGGVVLGCYFGPAALYIWAVGILAAGHSSTMTGT
+YSGQFVMEGFLNLKWSRFARVSLTRSIAIIPALLIAVFQDVEHLTGMNDFLNVLQSLQLP
+FALIPILTFTSLRPVMSEFANGLGWRIIGGIVVLVICFINMYFVVVYVQGLGHVALYVVA
+AVVSVAYLSFVFYLGWQCFIALGMSFLDCGHTVSVSKVLLTKETTSGCTK
+>tr|F6Q2A1|F6Q2A1_BOVIN Olfactomedin 1 OS=Bos taurus OX=9913 GN=OLFM1 PE=4 SV=1
+MQPARKLLSLLFLVLMGTELTQVRAAHQPEESWQVYSSAQDSEGRCICTVVAPQQTMCSR
+DARTKQLRQLLEKVQNMSQSIEVLDRRTQRDLQYVEKMENQMKGLESKFRQVEESHKQHL
+ARQFKG
+>tr|A0A3Q1NN77|A0A3Q1NN77_BOVIN Arfaptin-2 OS=Bos taurus OX=9913 GN=ARFIP2 PE=1 SV=1
+MTDGILGKAATMEIPIHGNGEAGQLPEDDGLEQDLQQVMVSGPNLNETSIVSGGYGGSGD
+GLIPTGSGRHPSHSATPAGPGDEVARGIAGEKFDIVKKWGINTYKCTKQLLSERFGRGSR
+TVDLELELQIELLRETKRKYESVLQLGRALTAHLYSLLQTQHALGDAFADLSQKSPELQP
+RGRTPPGWAQPRPQQHSPVEAA
+>tr|A0A3Q1NFE8|A0A3Q1NFE8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+WTGSPVDEGKPKTTEPTSCEPMLSKGASLQELMQGGPGDSQLGQTKDQDGPLEMQEDHFV
+PGINPQREKLHEKISPEHGSLRTAGGGGAVHDRDTCGSGKDPLIHEEESLFKCNECGKVF
+NKKHLLAGHEKIHSGVKPYECTECGKTFIKSTHLLQHHMIHTGERPYECMECGKAFNRKS
+YLTQHQRIHSGEKPYKCSECGKAFTHRSNFVLHKRRHNGEKSFVCKECGQVFKHKSYLMW
+HQQTHTGEKPYECSECGKAFCETNEMQNPPPPKKNIRIIGVPEEEDKKKDHEKILEEIIV
+ENFPKMGKEIITQVQETQRVPNRINPRRNTPRHILIKLTKIKHKEQILKAAREKQQITHK
+GIPIRITADLSIETLQARREWQDILKMMKENNL
+>tr|A0A3Q1M8N3|A0A3Q1M8N3_BOVIN Transient receptor potential cation channel subfamily C member 7 OS=Bos taurus OX=9913 GN=TRPC7 PE=3 SV=1
+MQRRHTTLREKGRRQAIRGPAYMFNEKGTSLTPEEERFLDSAEYGNIPVVRKMLEESKTL
+NFNCVDYMGQNALQLAVGNEHLEVTELLLKKENLARVGDALLLAISKGYVRIVEAILSHP
+AFAQGQRLALSPLEQELRDDDFYAYDEDGTRFSHDITPIILAAHCQEYEIVHILLLKGAR
+IERPHDYFCKCNECTEKQRKDSFSHSRSRMNAYKGLASAAYLSLSSEDPVLTALELSNEL
+ARLANIETEFKNDYRKLSMQCKDFVVGVLDLCRDTEEVEAILNGDVNFQVWSDHHRPSLS
+RIKLAIKYEVKKLGRTLRSPFMKFVAHAVSFTIFLGLLVVNASDRFEGVKTLPNETFTDY
+PKQIFRVKTTQFSWTEMLIMKWVLGMIWSECKEIWEEGPREYVLHLWNLLDFGMLSIFVA
+SFTARFMAFLKASEAQLYVDQHVQDDTLHNVSLPPEVAYFTYARDKWWPSDPQIISEGLY
+AIAVVLSFSRIAYILPANESFGPLQISLGRTVKDIFKFMVIFIMVFVAFMIGMFNLYSYY
+RGAKYNPAFTTVEESFKTLFWSIFGLSEVISVVLKYDHKFIENIGYVLYGVYNVTMVVVL
+LNMLIAMINNSYQEIEEDADVEWKFARAKLWLSYFDEGRTLPAPFNLVPSPKSFYYLIMR
+IKMCLIKLCKSKAKSCENDLEMGMLNSKFRVGSVGLKGLEGQNSENLTANNTYSKPTRYQ
+KIMKRLIKRYVLKAQVDRENDEVNEGELKEIKQDISSLRYELLEEKSQATGELADLIQHR
+SHPNLNPANQRTPCP
+>tr|A0A3Q1LYA4|A0A3Q1LYA4_BOVIN Collagen type XXVIII alpha 1 chain OS=Bos taurus OX=9913 GN=COL28A1 PE=4 SV=1
+MWNRRFVLYLLLLPAFMSQAIYGQRKKGSKTNLLARKNLQDSTCFIDLVFIVDSSESSKI
+FLFDKQKDFVGSLSDKIFQLTPVGSLKYDIKLAALQFSSSVQIDPPFSSWKDLQTFKQRV
+KSMNFIGQGTFSYYAIANATRLLKREGRKDGMKVAVLMTDGIDHPKNPDVQSISEDARTA
+GILFITIGLSAVVNETKLRLISGDLPSVPILLLNDSTLVDKIQDRLVELPNKYAGVDLTS
+HCFFLQGEPGPPGPYGPPGAPGIGQQGVKVTILTLIHHIARLAKCERMRLAVFLNFWLIS
+TQGDMGPVGPQGLMGIPGIGSQGEQGIQGPIGPPGPQGPPGQGSPGPKGEVGQMGPTGPR
+GPVGLGVQGPKGEPGSIGLPGQPGVPGEDGAAGKKGEAGLPGARGPEGPPGKGQPGLKGD
+PGIMGPVGMPGISIPGPPGPKGDRGGPGMPGFKGEPGIAIRGPKGAQGPQGPVGAPGLKG
+DGYPGVPVSVNAAVISSLPPSLPPVSFLSFLSSLPPFLLFPSLPSLLFLSLFSSLLPLLL
+IMSSQGPRGIPGPPGSRGLPGVGDTGAKDVNKMPPIFSYSIFIPTRTKSLQEVPQLQRNE
+TSEFSLFGFNIHNLSLSFHCAVKGEHGERGDVGKKGDKGEIGDPGPQGEQGLQGPKGDQG
+LTVSIHPRGELRGCGRKCKETPLELLFVIDSSESVGLKNFQIIKNFVKTLTDRVALDLAT
+TRVGIINYSHKVEEVAHLTQFSSKDDLKRAVDNMQYLGEGTYTATALHAANRMFEAAKPG
+VKKVALVITDGQTDTRDEKNLTEVVKNASDINVEIFVIGVVKRNDPNFHIFHQEMNLIAT
+DPDSEHVYLFDDFITLEDTLKQKLFTKSCEDFEAYLFHILGSSPLQPGFGISGEERHEST
+PEPQKEISESVHVSRAQDRENEPSERTWAASPATTPSPEAATIPGPLPRPPEDQAKGLET
+RAPSSTSNLEPENFVHKDPRCLEPLQPGNCSEYVVRWYYDKQVNSCARFWFSGCKGSGNR
+FNSEKECEEICIQG
+>tr|A0A3Q1ML42|A0A3Q1ML42_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MGSIKDRNGMDITEAEDIKKRWQEYTEELYKKELHDPDNHDGVITHLEPDILECEVQWAL
+ESITMNKASGGDGIPVELFQILKDAAVKVLHSTCQQIWKTQQWPQDWKRSVFIPIPKKGN
+AKECSNYHTIALISHASKVMLKILQARLQQYMNRELPDVQAGFRKGRGTRDQIATIRWIM
+EKAREFQKNIYFCFIDHAKAFDYVDHNKLWKILKEMGIPDHLTYLLRNVYAGQEETVRTG
+HGTTDWFQIGKGVRQGCMLSPCLFNFYAEYIMRNAGLEEAQAGIKTARRNINLRYADDTI
+LMAESEEELKSLLMKVKVESEKVGLKLNIQKMKIMASGPITSWDIDGETVETVSDFVFWG
+SKITADGDCSHEIKRLLLLGRKVMTNLDRILKSRDITLPTKVHLVKAMVFPVVMYGCESW
+TVKKAEHRRIDAFELWCWRRLLRVPWTARRSNQSILKEISPGCSLEGLMMKLKLQYFGHL
+MRRVDSLEKTLMLGGIGGRRRRGRQRMRWLDGITDSMHMSLSELRELVMDREACRAAIHG
+VTKSRTRLSD
+>tr|A0A3S5ZPW0|A0A3S5ZPW0_BOVIN Cell cycle checkpoint control protein OS=Bos taurus OX=9913 GN=RAD9A PE=3 SV=1
+MKCLVTGSNVKVLGKAVHSLSRIGDELYLEPLEDGLSLRTVNSSRSAYACFLFAPLFFQQ
+YQAATPGQDQLRCKILMKSFLSVFRSLAMLEKTVEKCCISLNDRSSRLVVQLHCKYGVRK
+THNLSFQDCESLQAVFDPALCPHVLRAPARVLVEAVLPFPPALAEVTLGIGHGRRVILRS
+YQEEEADSAIKAMVTEMSIGEEDFQQLQAQEGVAITFCLKEFRGLLSFAESANLSLSIHF
+DAPGRPAIFAIEDSLLDGHFVLATLSESDSHPQTLHAQEELQRPEPGPQAHSTPHLDLDD
+FAVDDMDSYMIAMETTTGSEGSRALPSISLSPGLQRPCSSSPHSEEEDDDMEPSTVPGTP
+PPKKFRSLFFGSILAPAHSPQGPSPVLAEDSEGEG
+>tr|A0A3Q1LVL2|A0A3Q1LVL2_BOVIN Heme oxygenase 1 OS=Bos taurus OX=9913 GN=HMOX1 PE=4 SV=1
+MTLVWEVDAEAEILPKRDCFFDMPQDLSEALKEATKEVHTQAENAEFMKNFQKGELTQEG
+FKLVMASLYHIYVALEEEIERNKENPVYTPLYFPEELHRRASLEQDMAFWYGPRWQEAIP
+YTQATKRYVQRLQEVGRTEPELLVAHAYTRYLGDLSGGQVLKKIAQKALNLPSSGEGLAF
+FTFPNIASATKFKQLYRSRMNTLEMTPEVRQRVLDEAKTAFLLNIQLFEELQGLLTQKAK
+DHDPLQAPELHRRAGSKVQDLAPTKASRGKPQPSVLSQAPLLRWVLTLSFLVATVAVGLY
+AM
+>tr|A0A3Q1NNM9|A0A3Q1NNM9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+LRLLRLNHTLENMESPFFLAALTMSDKNQIAARENFEDLGHHLTVLETLHVTPEHLQETR
+VVRAVYRVLKNCPTGALKQKAKRLLSEWRALYKDTLCKPEGSPKLFPLGGSQEENQGLPP
+NQEEEVRGGSRYNFLFTTPDVAGAVEMIMPEDSCSGVEPKAVPFSAWDPQSTDLAVSGQL
+DPIVPVRARCTELLYKALTASSPGQSRAHVWPNLAQEIEAHVFALHPKNLQKYKTCIRSK
+MANLKNPCNSHLQQNLLSGTMSPREFAKMTAMEIASQELKQLRASYMKSALQEHYLPQVV
+EGTPTRKIKYEGCEKFNCQVTVVPRGTLFLPSWVRNPGPDEEMMTYVICNDGKQWYHSKW
+VCL
+>tr|A0A3Q1M731|A0A3Q1M731_BOVIN Receptor protein-tyrosine kinase OS=Bos taurus OX=9913 GN=EGFR PE=3 SV=1
+MKTFVIAFILLCTEETLQKHAPTPICQGTSNKLTQLGTFEDHFLSLQRMFNNCEVVLGNL
+EITYMQSSYNLSFLKTIQEVAGYVLIALNTVEKIPLENLQIIRGNVLYENTHALAVLSNY
+GANKTGLRELPLRNLQEILQGAVRFSNNPVLCNVETIQWRDIVNPDFLSNMTGDFQNQQG
+NCPKCDPACLNRSCWGAGEENCQKLTKIICAQQCSGRCRGRSPSDCCHNQCAAGCTGPRE
+SDCLVCRRFRDEATCKDTCPPLMLYDPTTYEMKVNPLGKYSFGATCVKKCPRNYVVTDHG
+SCVRACSSDSQEVEEDGVRKCKKCDGPCGKVCNGIGIGEFKDTLSINATNIKHFRNCTSI
+SGDLHILPVAFRGDSFTRTAPLDPKELDILRTVKEITGFLLIQAWPENRTDLHAFENLEI
+IRGRTKQHGQFSLAVVGLDITSLGLRSLKEISDGDVIISGNRNLCYADTIRWKKLFGTST
+QKTKILNNRSEKQCKAAGHICHPLCSSEGCWGPGPKYCMSCQNFSRGKECVGKCNILEGE
+PREFVENSECVQCHPECLPQAMNVTCTGRGPGNCVKCAHYIDGPHCVKTCPAGVAGENGT
+LIWKFADANHVCLLCHPNCTYGCEGPGLEGCPQKGPKIPSIATGIVGGLLLVVVLALSVG
+LFMRRRHIVRKRTLRRLLQERELVEPLTPSGEAPNQALLRILKETEFKKVKVLGSGAFGT
+VYKGLWIPEGEKVKIPVAIKELREATSPKANKEILDEAYVMASVDNPHVCRLLGICLTST
+VQLITQLMPFGCLLDYVREHKDNVGSQYLLNWCVQIAKGMNYLEDRRLVHRDLAARNVLV
+KTPQHVKITDFGLAKLLGAEEKEYHAEGGKVPIKWMALESILHRIYTHQSDVWSYGVTVW
+ELMTFGSKPYDGIPASEISTVLEKGERLPQPPICTIDVYMIMVKCWMIDADSRPKFRELI
+LEFSKMARDPQRYLVIQGDERMHLPSPTDSNFYRALMDEEDMEDVVDADEYLVPQQGFFH
+SPTTSRTPLLSSLSTSSNTPTVTCVDRNGSYPLKEDSFLQRYSSDPTGALIEDSMDDTFL
+PVPEYVNQSVPKRPAGSVQNPVYHNQPLYPAPGRDPQYQNSLSNAVDNPEYLNTTHPACI
+NGVLDGPALWAQKGSHQFSLDNPDYQQAFFPKEAKSNGIFKGPAAENAEYLRAAPAGSDF
+TGA
+>tr|G3X6L5|G3X6L5_BOVIN ADP ribosylation factor like GTPase 8A OS=Bos taurus OX=9913 GN=ARL8A PE=3 SV=2
+MIALFNKLLDWFKALFWKEEMELTLVGLQYSGKTTFVNVIASGQFNEDMIPTVGFNMRKI
+TKGNVTIKLWDIGGQPRFRSMWERYCRGVSAIVYMVDAADQEKIEASKNELHNLLDKPQL
+QGIPVLVLGNKRDLPGALDEKELIEKMNLSAIQDREICCYSISCKEKDNIDITLQWLIQH
+SKSRRS
+>tr|G3N2H0|G3N2H0_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC516273 PE=3 SV=1
+MKGTNASTLAGFILLGFSDQPHLEMVLLLVVSIIYILTLMGNTAIILVSYFNSKLHTPMY
+FFLSNLSFLDLCFTTSVVPQMLWNLKGPDKTISYTGCVIQLYVALGLGSTECVLLTVMAY
+DRFNAICRPLHYGVIMHPKLLQQLAALAWISGFVESMVQTILVFQLPLCSHHMVDDLMCE
+EPALIKIACVNTTFLENELSIALFLYVVIPLGLILASYGCIVRSVLKIKSTEGRRKAFGT
+CGSHLIVVVLFFGTIISVYIQPKNKYTQNYSKFLSLFYTVVTPSLNPLIYTLRNKEVKWA
+LSRLVGRDSS
+>tr|A0A3Q1MBN5|A0A3Q1MBN5_BOVIN Histone H2B OS=Bos taurus OX=9913 PE=3 SV=1
+MPEPAKSAPAPKKGSKKAVTKAQKKNGKKRKRSRKESYSIYVYKVLKQVHPDTGISSKAM
+GIMNSFVNDIFERIAGEASRLAHYNKRSTITSREIQTAVRLLLPGELAKHAVSEGTKAVT
+KYTSSK
+>tr|E1BK02|E1BK02_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC112446982 PE=4 SV=3
+MCCNYYGNSCGYGCGKSYSCGFSPYYGCGYGSRYGCRYGSRYGCGYGSGYGCGYGTGYGC
+GFSPYYGCGYGTRYGCGYGSGYSSYWPVCYRRCYSCW
+>tr|F6QAM6|F6QAM6_BOVIN Signal transducing adaptor family member 2 OS=Bos taurus OX=9913 GN=STAP2 PE=4 SV=1
+MALALSPPRVPKPKSALPLHYYESFLEKKGPRDRDYKKFWAGLQGLTLYFYNSNRDTKHV
+EKLDLGGFVKLTDEAPWGNSHDPSTHFCLVLRNQEIKFKVESLESREMWKGFILTVVELR
+VPSNLTLLPGHLYMMSEALAKEEARRVLEMPSALLVESLRAWFSRRTLPGAGSFLKVESF
+EGLVPRREPRQDVGWLGLGPSWGRGPGEGRALPCPQPGHALAPYPVRTPMVRHYKVKREG
+AKYVIDVEEPFSCTSLEAVVNYFVSYSNKALVPFLLDEDYDKVIGPAPPKGGPKQLPPTS
+ITPAPSLDKLPPLPDQDNNYVIPIGDTPAASYMNEDVPSPSQLVVPKPRRLVKLQAKLPK
+PPTVPKSEPKGLNSGPAKKLAASSAQAFSPTTGLADVTAELEEKLQKRRALE
+>tr|A0A3Q1MJ70|A0A3Q1MJ70_BOVIN Brefeldin A-inhibited guanine nucleotide-exchange protein 1 OS=Bos taurus OX=9913 GN=ARFGEF1 PE=4 SV=1
+MYEGKKTKNMFLTRALEKILADKEVKKAHHSQLRKACEVALEEIKAETEKQSPPHGEAKA
+GSSTLPPVKSKTNFIEADKYFLPFELACQSKCPRIVSTSLDCLQKLIAYGHLTGNAPDST
+TPGKKLIDRIIETICGCFQGPQTDEGVQLQIIKALLTAVTSQHIEIHEGTVLQAVRTCYN
+IYLASKNLINQTTAKATLTQMLNVIFARMENQALQEAKQMEKERHRQHHHLLQSPVSHHE
+PESPQLRYLPPQTVDHIPQEHEGDLDPQTNDVDKSLQDDTEPENGSDISSAENEQTEADQ
+ATAAETLSKNDILYDGENHDCEEKPQDIVQSIVEEMVNIVVGDTGERTTINVSADGNNGT
+IEDGSDSENIQANGIPGTPISVAYTPSLPDDRLSVSSNDTQESGNSSGPSPGAKFSHILQ
+KDAFLVFRSLCKLSMKPLSDGPPDPKSHELRSKILSLQLLLSILQNAGPIFRTNEMFINA
+IKQYLCVALSKNGVSSVPEVFELSLSIFLTLLSNFKTHLKMQIEVFFKEIFLYILETSTS
+SFDHKWMVIQTLTRICADAQSVVDIYVNYDCDLNAANIFERLVNDLSKIAQGRGSQELGM
+SNVQELSLRKKGLECLVSILKCMVEWSKDQYVNPNSQTTLGQEKPSEQETSEMKHPETIN
+RYGSLNSLESTSSSGIGSYSTQMSGTDNPEQFEVLKQQKEIIEQGIDLFTKKPKRGIQYL
+QEQGMLGTTPEDIAQFLHQEERLDSTQVGEFLGDNDKFNKEVMYAYVDQHDFSGKDFVSA
+LRMFLEGFRLPGEAQKIDRLMEKFAARYLECNQGQTLFASADTAYVLAYSIIMLTTDLHS
+PQVKNKMTKEQYIKMNRGINDSKDLPEEYLSAIYNEIAGKKISMKETKELTIPAKSSKQN
+VASEKQRRLLYNLEMEQMAKTAKALMEAVSHVQAPFTSATHLEHVRPMFKLAWTPFLAAF
+SVGLQDCDDTEVASLCLEGIRCAIRIACIFSIQLERDAYVQALARFTLLTVSSGITEMKQ
+KNIDTIKTLITVAHTDGNYLGNSWHEILKCISQLELAQLIGTGVKPRYISGTVRGREGSL
+TGAKDQAPDEFVGLGLVGGNVDWKQIASIQESIGETSSQSVVVAVDRIFTGSTRLDGNAI
+VDFVRWLCAVSMDELLSTTHPRMFSLQKIVEISYYNMGRIRLQWSRIWEVIGDHFNKVGC
+NPNEDVAIFAVDSLRQLSMKFLEKGELANFRFQKDFLRPFEHIMKRNRSPTIRDMVVRCI
+AQMVNSQAANIRSGWKNIFSVFHLAASDQDESIVELAFQTTGHIVTLVFEKHFPATIDSF
+QDAVKCLSEFACNAAFPDTSMEAIRLIRHCAKYVSDRPQAFKEYTSDDMNVAPEDRVWVR
+GWFPILFELSCIINRCKLDVRTRGLTVMFEIMKTYGYTYEKHWWQDLFRIVFRIFDNMKL
+PEQQTEKAEWMTTTCNHALYAICDVFTQYLEVLSDVLLDDIFAQLYWCVQQDNEQLARSG
+TNCLENVVILNGEKFTLEIWDKTCNCTLDIFKTTIPHALLTWRPISGETAPPTPSPVSEN
+QLDTISQKSVDIHDSIQPRSADNRQQAPLASVSTVNEEISKIKPTAKFPEQKLFAALLIK
+CVVQLELIQTIDNIVFFPATSRKEDAENLAAAQRDAVDFDVRVDTQDQGMYRFLTSQQLF
+KLLDCLLESHRFAKAFNSNNEQRTALWKAGFKGKSKPNLLKQETSSLACGLRILFRMYTD
+ESRASAWEEVQQRLLNVCSEALSYFLTLTSESHREAWTNLLLLFLTKVLKISDNRINTLQ
+RKCGSYPLALILQATKRLQPTV
+>tr|F1N2L9|F1N2L9_BOVIN 4-trimethylaminobutyraldehyde dehydrogenase OS=Bos taurus OX=9913 GN=ALDH9A1 PE=3 SV=3
+MFLRAGLAALCTLSRTLRPASARIAAMSTGTFVVSQPLNYRGGARVEPVDASGTEKAFEP
+ATGRVIATFTCSGEKEVNLAVQDAKAAFKIWSQKSGMERCRILLEAARIIRERRDEIATM
+ETINNGKSIFEARWDIDTSWQCLEYYAGLAGSMAGEHIQLPGGSFGYTRREPLGVCVGIG
+AWNYPFQIACWKSAPALACGNAMVFKPSPFTPVSVLLLAEIYTEAGVPPGLFNVVQGGAA
+TGQFLCQHRDVAKVSFTGSVPTGSKIMEMSAKGIKPVTLELGGKSPLIIFSDCDMKNAVK
+GALMANFLTQGEVCCNGTRVFVQKEILDQFTEEVVKQTQRIKIGDPLLEDTRMGPLINRP
+HLERVLGFVKVAKEQGAKVLCGGDVFVPEDPKLKDGYYMRPCVLTNCRDDMTCVKEEIFG
+PVMSILSFDTEAEVLERANDTTFGLAAGVFTRDIQRAHRVVAELQAGMCFINNYNVSPVE
+LPFGGYKKSGFGRENGRVTIEYYSQLKTVCVEMGDVESAF
+>tr|A0A3Q1LWR0|A0A3Q1LWR0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=MYO9A PE=3 SV=1
+MNINDGGRRRFEDNEHTLRIYPGTISEETIYCPIPARKNSTAAEVIDSLINKLHLDKTKC
+YVLAEVKEFGGEEWILNPTDCPVQRMMLWPRMALENRLSGEDYRFLLREKNLDGSIHYGS
+LQSWLRVTEERRRMMERGFLPQPQQKDFDDLCSLPDLNEKTLLENLRNRFKHEKIYTYVG
+SILIAINPFKFLPIYNPKYVKMYDNHQLGKLEPHIYAVADVAYHAMLQRKKNQCIVISGE
+SGSGKTQSTNFLIHHLTALSQKGFASGVEQIILGAGPVLEAFGNAKTAHNNNSSRFGKFI
+QVNYQETGTVLGAYVEKYLLEKSRLVYQEHNERNYHVFYYLLAGASEEERLAFHLKQPEE
+YHYLNQDCFTVEGEDLRHDFERLQLAMEMVGFLPKTRRQIFSLLSAILHLGNICYKKKTY
+RDDSIDICNPEVLPVVSELLEVKEEMLFEALVTRKTVTVGEKLILPYKLAEAVTVRNSMA
+KSLYSALFDWIVFRINHALMNSKDLEQNTKTLSIGVLDIFGFEDYENNSFEQFCINFANE
+RLQHYFNQHIFKLEQEEYRTEGISWHNIDYIDNTCCINLISKKPTGLLHLLDEESNFPQA
+TNQTLLDKFKHQHEENSYIEFPAVMEPAFIIKHYAGKVKYGVKDFREKNTDHMRPDIVAL
+LRSSKNAFISGMIGIDPVAIFRWSVLRAFFRAMVAFREAGKRHIQRKTGNYKLNTKKNQP
+IRMNVDFLFWVFLFVRSIYVKNLIFPPVFQHLLEVKSLKHLTSLTIQDRITKSLLHLHKK
+KKPPSISAQFQVSLSKLMETLGQAEPYFVKCIRSNAEKLPLRFNDALVLRQLRYTGMLET
+VRIRQSGYSCKYSFQDFVSHFHVLLPRNIIPSKFNIQDFFRKINLNPDNYQVGKSMVFLK
+EQERQHLQDLLHQEVLRRIVLLQRWFRVLLCRQHFLHLRQASIVIQRRWREHCRRRHLAA
+VCIQARWKGYRESKRYQEQRNKIILLQSLCRGFRNCLGVAERNSKRTKSLLQRARRKRSV
+KISSVALESVHWQNDSVQIIASASDLKSMDEFLLKKVFRPLSSFDCGMLLYFFIQVEEHN
+GHIFKATQYSIPTYCEYCSSLIWIMDRASVLCKYACHKKCCLKTTAKCSKKVRKLSSRQF
+GVELSRLTSEDRTVPLVVEKLINYIEMHGLYTEGIYRKSGSTNKIKELRQGLDTDAENVN
+LDDYNIHVIASVFKQWLRDLPNPLMTFELYEEFLRAMGLQERKETIRGVYSVIDQLSRTH
+LNTLERLIFHLVRIALQEDTNRMSANALAIVFAPCILRCPDTIDPLQSVQDISKTTTCVE
+LIVVEQMNKYKARLKDISSLEFAENKAKTRLSLILKNQLKCGSFEELQRKKILRCGLDSG
+KFYSSHSGDLTYKELDPQNEDFLRI
+>tr|A0A3Q1LU82|A0A3Q1LU82_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC504548 PE=4 SV=1
+MPATLLVTVHSEKWKPMTFPAHPGDRVNKINERVRSRTKVPVPDQVLQLGSKTLKPKRTL
+SSYGIDKEKSIHLTLKVVKPSDEELPLVLVEPGEGGQRHELQVRRSSSVTQVKEMIKMKT
+AIPPKNQIVNCNGKKLEDGKIMGDYGIKKGHLLFLTYPCIGG
+>tr|A0A3Q1LNU2|A0A3Q1LNU2_BOVIN Dual specificity tyrosine phosphorylation regulated kinase 1A OS=Bos taurus OX=9913 GN=DYRK1A PE=4 SV=1
+MHTGGETSACKPSSVRLAPSFSFHAAGLQMAGQMPHSHQYSDRRQPNISDQQVSALSYSD
+QIQQPLTNQVMPDIVMLQRRMPQTFRDPATAPLRKLSVDLIKTYKHINEVYYAKKKRRHQ
+QGQGDDSSHKKERKVYNDGYDDDNYDYIVKNGEKWMDRYEIDSLIGKGSFGQVVKAYDRV
+EQEWVAIKIIKNKKAFLNQAQIEVRLLELMNKHDTEMKYYIVHLKRHFMFRNHLCLVFEM
+LSYNLYDLLRNTNFRGVSLNLTRKFAQQMCTALLFLATPELSIIHCDLKPENILLCNPKR
+SAIKIVDFGSSCQLGQRIYQYIQSRFYRSPEVLLGMPYDLAIDMWSLGCILVEMHTGEPL
+FSGANEVDQMNKIVEVLGIPPAHILDQAPKARKFFEKLPDGTWNLKKTKDGKREYKPPGT
+RKLHNILGVETGGPGGRRAGESGHTVADYLKFKDLILRMLDYDPKSRIQPYYALQHSFFK
+KTADEGTNTSNSVSTSPAMEQSQSSGTTSSTSSSSGGSSGTSNSGRARSDPTHQHRHSGG
+HFTAAVQAMDCETHSPQVRQQFPAPLGWSGTEAPTQVTVETHPVQETTFHVAPQQNALHH
+HHGNSSHHHHHHHHHHHHHGQQALGNRTRPRVYNSPTNSSSTQDSMEVGHSHHSMTSLSS
+STTSSSTSSSSTGNQGNQAYQNRPVAANTLDFGQNGAMDVNLTVYSNPRQETGIAGHPAY
+QFSANTGPAHYMTEGHLAMRQGADREESPMTGVCVQQSPVASS
+>tr|A0A3Q1NGK6|A0A3Q1NGK6_BOVIN Methylenetetrahydrofolate reductase OS=Bos taurus OX=9913 GN=MTHFR PE=3 SV=1
+MDCPKPKVFPVGHCCPSLGMRASEAGSGRLSVPPSISRNSAMVNEPRGNGSPGPRWEGSS
+SGSESSKDSSRCSTPGLDPERCERLREKMKRRMDSGDKWFSLEFFPPRTAQGAVNLISRF
+DRMGAGGPLFVDVTWHPAGDPGSDKETSSMVIASTAVNYCGLETILHMTCCHQSREEITG
+HLNKAKQLGLKNILALRGDPIGDQWEEEEGGFNYATDLVKHIRNEFGDYFDVCVAGYPKG
+HPEGESFEADLKHLKEKVAAGADFIITQLFFEAETFFRFVKACSEIGITCPILPGIFPIQ
+GYHSLRQLVKLSKLEVPQQIKDVIEPIKDNDAAIRNYGIEQAVSLCQELLASGLVPGLHF
+YTLNREVATIEVLKRLGLWIEDPRRPLPWALSAHPKRRVEDVRPIFWASRPKSYIYRTQE
+WDEFPNGRWGNSSSPAFGELKDYYLFYLKSKSPKEELLKMWGEELTSEESVFQVFAHYLS
+GEPNQNGYKVTCLPWNDEPLAAETSLMKEELLRVNRRGILTINSQPNINGKPSSDPIVGW
+GPSGGYVFQKAYLEFFTSRETVEALLQVLKKYELRVNYHIVDVKGENITNAPELQPNAVT
+WGIFPGREIIQPTVVDPVSFMFWKDEAFALWIEQWGKLYEEESPSRMIIQYIHDNYFLVN
+LVDNEFPLDNCLWQVVEDTFELLSRPPQDKRETEAL
+>tr|A0A3Q1NMQ3|A0A3Q1NMQ3_BOVIN SPG11, spatacsin vesicle trafficking associated OS=Bos taurus OX=9913 GN=SPG11 PE=4 SV=1
+MKCSFGISDFLEEISSLSHSVVFLYFFALTAEEGAGRGTRHAGRKGRWATMAAEQGALPV
+LLVPVPAEAVGRLGSRAQLHREQAALGSLTAAGSLHVLPLAPGGRGGGDCRLEGCFRQFV
+WEDSHHSGTPNNKPRLLALTENYELLICEFNLEHGRCDATILYSYSEETLQKLVEDQNIS
+ISLLSLRILSFHNNTSLLFINKCVVLHVMFPERDAEIRVLSCCTLPLPAQAVDRIIDTQL
+CRGILFILSSLGWIYIFDIVDGKQVAHVDLALAQEDMCNEQQQESAKSSSFTSLKVSQDL
+DVVVVVGFSNTAVALNLTLYFRQYPGHLLCEKTLQDSPIEGPKGIDEDDPVNSDYNMKLT
+KFSFRIDRSWKAQLSSLNETIKSSKLGGSCCAPWFQDISHLESPESGNRSTSVSDWAFIP
+QDVTCSPCNFPQKGPARTSDPGRVWKRMHIREQEEPLELTCVSVTGFTALFTWAGESTGW
+TIVLWDLETQSTQCFSLGKKCIPVDSGGVQQLCLVLTESGLSLILFGLTQEEFLNRLMIH
+GSASTVDSLCHLNGWGRCSIPIHALEAGIENRQLDTVDFFLKSKENLLTPSSKSSVPDQF
+DHFASHLYLKHVEELTPALDLLCSAIRENDSETQSKHFSEQLLNLTLSFLNKQIKELFIH
+IEELDEHLQKGVDILTSYIDELRTFMIKFPWKLTDAIDEYDVNENVPKVKESNIWEKLSV
+EEVIANAILNNKIPEAQTFFRINSHSAQRLEELIRIGLDLVFDSLKKNNMEEASELLKNM
+GFNVKDQLLKICFYTADKSVRDFLVEIFKEKNYFSEKEKRTVDFVHQVEKFYSGHLQENI
+QIQSLPRYWIKEQDFSKHKSVLDSFLKYDHKDKLNKQDHRIVLNWAQWWNQPTQESILLP
+WINPEGYNSYSPEGLWRYLTARHDWPSIILWIGEFQTQSSYASLEQNKWPPLTVDVVDQN
+TCCNNYMRNEILDKLARNGIFLTSELEDFELFLVRLNRVGGVMQDALAVQNYRSTEGWGF
+HSHFILYCLEHSLQYLLYVYLDHYKLSPPNCPFLGKKELHEAHPWFEFLVQCRQVSSNLT
+DPRLIFQASLANAQILIPSNQASVSSMLLEGHTLLALATTMYAPGGVSQVVQNGENENSL
+KKVDPQLLKMALTPYPKLKAALFPQYTAPSVLPPDITLYHLIQSLLPFDPSRLFGWQSAN
+TLAIGDAASQLPHFSSPDLVNKYAVVERLNFAYYLHHGRPSFAFGTFLVQELTKSKTPKQ
+LIQQVGHEAYVLGLSCFHISSIGAACVCFLELLGLDSLKLRVDMKVANIILNYKCGNEDA
+PYNSIRESLTEKLSKLALGERATIEELLVLLEEGIWSNIQQQQIQRLSSESSSQWALVVQ
+FCRLHNIKPSTSYLRECARANDWLQFIIHSQLHNHHPEEVKYLLQYFSPVLQDHLRLAFE
+NLPSVSNSRMDSDQVCNRSSQELQRHKEEMTDFFQILLQCSEVPDSWCWLLGEAVRQKAP
+ILSVLASCLQDASALSCLCVWIIASVEPHVAAEAVGPLQDSAEGHVWGLEDLSVIWRTLL
+TRQKIRTLIRGFQLFFKDSPLLLMMEMYELCMFFKNYKEAEAKLVEFQQSLETLETAATR
+VPAAIPAPWLKEHVCFLLKLMLQQCSTQYELGRLLQLFVGIEHLFSDGPDVKKLCVLSQI
+LKDTPIAINRAIITSYSLENFQRECRSVLEKLETDGHFALARRVAELAELPVDDLVIEEI
+TQEMQTLKHIDQWSLKQARIDFWKKCHENFKKNSVSSRAASSFFSAQALWAGECPAAGGP
+SSAEERHLLLTLAGHWLAREDPVPLEDLEGLEKQIWLCRVAQHTLRGSQEEGGPRAPQQA
+SAGGELSFDGLASEFSFSNLAVLNTSKYLELTGFPSRDICDNELNWKEQASLNCLIGRLL
+DDGCVHEASRACRYFRFYHQDVALVLHCRALASGEASVDDLHPEILALLRSTELPEEEEE
+AGIPLRKVQSTSSLDSWSLVMLPPADEVVTNLETLTSKCLRGKNYCRQVLCLYELAKELG
+CSYADVAAQDGEAVLRAILASQQPNRCKRAQAFISTQGLRPDTVAELVAEEVTRELLTPS
+EGTGHRQVFAPAEESQTFLQLTALCQDRTLVGMKLLEKISSVPHGELSCTTELLILAHHC
+FTLTCHMEGIMRVLQAARLLTDNHLAPSEEYGLVVRLLTGIGRYNEMTYIFDLLHKKHYF
+EVLMRKKLDPSGTLKTALLDYIKRCRPGDSEKHNMIALCFSMCREIGENHEAAACIQLKL
+IESQPWGNSKGY
+>tr|F1N737|F1N737_BOVIN Zinc finger B-box domain containing OS=Bos taurus OX=9913 GN=ZBBX PE=4 SV=3
+DYDFSIFFKVCLECGEDYCSGCFAKIHQKGALKLHRTTLLQAKSQLLSNVLDAAHRFIKE
+VNPDEPKWKNCSTKEISKSQQKTKSPILQGSSSQVEDPTTKKEECANPRDKPLSEVPFNE
+EASAQSFQKVLSQWRTGHHDDKETQNLHAAKSDSLEECEVQTNLKIWTEPLTIEFKEDSL
+SYMEKLWLKKHRRTPLEQLQNMLPDTFTPQSKTTSEAQCSQNENDEDSDVEDIKIQPPAL
+FLPIEELNIERPEPSLKIVELDDVSA
+>tr|A0A3Q1N008|A0A3Q1N008_BOVIN Breast carcinoma amplified sequence 1 OS=Bos taurus OX=9913 GN=BCAS1 PE=4 SV=1
+MGNQMSVPQRVEDQENDPEADTHKVTSGDACGQNGLPVITSARTVQLYDEVDLGISMQED
+NVAASSPKTMETSAAAEANGESLGKDAKPQAPAAKSRFFLTLSRPVPGRTGDQATDSSSG
+SVRLDVSSDKAPGNKDPSEPMALPVAAAPGHGPDKTPGQTPAQAEGLPATPGPEHPPSES
+GAAAAAKPKDSSFFDKLFKLDKGRDKAPVDLPLEAESTEHPHPAAEAPGLSKQSEDGPAE
+RDLVDGKGKAGQEVPTVSCSVPGDPEQLEIAKEDAQAENSSIMSFFKTLVSPHKAETKKD
+PEDTGEEKSAAPSANLKSDKANVTLQEPQGAAKNSTPTETAKEKGGPSSLPLGKLFWKKS
+VKEDSVPTGAEENVVCESPVEAVKSEEVESALQTVDLGEEGERTPDPAEEAPRREERRAP
+RPSLMALLRQMTSDPTEKVVAPPQPEAAAAAAGQKGKEGPSKDKKAAAETNKQKGNRQEA
+KEPALGVEPAAAEANSLPNGDKPQKRPEKRRQSLGGFFKGLGPKRMLDAQVQTDPVSIGP
+AGKSK
+>tr|A0A3Q1ML59|A0A3Q1ML59_BOVIN Hexokinase 3 OS=Bos taurus OX=9913 GN=HK3 PE=1 SV=1
+MSMRKKSSDLRRVTNCCFSQVQECLQQFKVTGAQLRQIQTSLLGSMEQALRGQVGPAPAV
+RMLPTYVGSTPHGTEKGDFVVLELGATGASLRVLWVTLTGIEGHKVEPRSQEFVIPQEVM
+LGPGQQLFDFAARCLSEFLDVLPVDNQGLQLGFSFSFPCHQTGLDKSTLISWTKGFKCSD
+VEGQDVVQLLRDAIQRQGAYSIDVVAVVNDTVGTMMGCEPGVGPCEVGLVVDTGTNACYM
+EEARHVAVLDEDRGRVCISIEWGSFSDEGALGPVQTIFDHTLDHESLNPGAQRFEKMIGG
+LYLGELVRLVLVHLAQRGVLFGGHTSPVLRSQGSILLEHVAEMEDPSAGAARVHAVLQDL
+GLNPKASDAEWVQCVCMAVCTRAAQLCAAALAAVLSRLQHSREQQALQIAVATGGRVFER
+HPRFLSVLRETVMLLAPNCDVSFIPSVDGGGRGVAMVTAVAARLAAHRRLLEETLAPFRL
+TREQLAEVQAQMREAMAKGLQGEASSLRMLPTYVRATPDGSERGDFLALDLGGTNFRVLL
+VRVTTGGVQISSQIYSIPECVAQGSGQQLFDHIVDCIVDFQQKQGLSGQSLPLGFTFSFP
+CRQMGLDQGILLNWTKGFNASDCEGQDVVCLLREAIRRRQAVELNVVAIVNDTVGTMMSC
+GYEDPHCEVGLIVGTGTNVCYMEELRNVASVDGDSGQMCINTEWGAFGDDGSLSMLSTRF
+DASVDQASINPGKQRFEKMISGMYLGEIVRHILLHLTSLGVLFRGQQTQRLQTRDIFKTK
+FLSEIESDSLALRQVRAILEDLGLPLTSDDALMVLEVCQAVSQRAAQLCGAGVAAVVEKI
+RENRGLEELTISVGVDGTLYKLHPHFSSLVAATVRELAPRCVVTFLQSEDGSGKGAALVT
+AVACRLAQRTRI
+>tr|A0A3Q1MFI0|A0A3Q1MFI0_BOVIN Caprin family member 2 OS=Bos taurus OX=9913 GN=CAPRIN2 PE=4 SV=1
+MVQLSSSPFGYQSPSGHSEEGKQRTMKSAKPQVNHSQHGESQRAMSPLQSALSSAASPSQ
+AYETYIDNGLICLKHKIRNIEKKKLKLEDYKDRLKNGEHLNPDQLEAVEKYEEVLHNLEF
+AKELQKTFSGLSQDLLKAQKKAQRREHMLKLEAEKKKLRTILQVQYVLQNLMQEHVQKDF
+KGGLNGAVYLPLKELDYLIKFSKLTCPERNESLSVEDQMEQSSLYFWDLLEGSEKAVVGT
+TYKHMKDLLSKLLNSGYFESIPVPKHAKEKEVSLEEEMLIKSEKKKQLLKTESVKESESL
+MELAQPEIQPQEFLNRRYMTEVDYSSKQDEEQSWEADYARKPNLPKCWDMLTEPDGQEKK
+QETFKSWESSVKHQEVSKPVVSLEQRKQEIPKLRSTLQEEQKKQDVSKTKPTPGQWKQEA
+SKSKPGYIQEEQKKQETLKPWPVQPQKEQEPKKQTSKSWTPSVQSDQDITKSWTSPTCEE
+QDSRHPETPKSWENNVESQKHPLTPQSQISPKSWGVAAASLIPNDQLLPRKFNTESKDVP
+KPMHQPVGSSSTLPKDPVLRKEKLQDLMTQIQGTCNFMQESILDFDKPSSAIPSSQPPSA
+TPGSPVASTEQNLSNQSDFLQEPLQAAVSPSKQPSSLASPNPPMSKGSEQGFQSPPASSS
+SVTINTAPFQAMQTVFNVNAPLPPRKEQEIKESPYSPGYNQSFTTASTQTPPQCQLPAIH
+VEQTVLSQDTAASFPDGTIQVSNGSLAFYPAQTNVFPRPSQPFVNSRGSVRGCTRGGRLL
+TNSYRSPGGYKGFDNYRGPPSISNGNYSQLQFQAREYPGTPYSQRDNFQQCYKRGGTSAG
+PRANSRAGWSDSSQVSSPERDNETFNSGDSGQGDSRSMTPVDVPVTNPAATILPVHVYPL
+PQQMRVAFSAARTSNLAPGTLDQPIVFDLLLNNLGETFDLQLGRFNCPVNGTYVFIFHML
+KLAVNVPLYVNLMKNEEVLVSAYANDGAPDHETASNHAILQLFQGDQIWLRLHRGAIYGS
+SWKYSTFSGYLLYQD
+>tr|A0A3Q1MBM9|A0A3Q1MBM9_BOVIN Choline transporter-like protein 4 OS=Bos taurus OX=9913 GN=SLC44A4 PE=3 SV=1
+ISYSPHSSPCPSGKPAKYDPSFRGPIRNRSCTDIICCVLFFLFILGYIAVGILAWVYGDP
+KQVLYPRNSTGAYCGIGENKEKPYLLYFNIFSCVLNTNIIAIAQNGLKCPTPQVCVSSCP
+EASWTVEPRQFSQTVEQVFYAANRNFCLPGVPGNMHQVGNPLPFHLCPTPLSHSEPHLTL
+SLSNSGLLDSLNARDISVKIFEDFAQSWYWILIALGLALVLSLLFILLLRLVAGPLVFVL
+IIGVLGVLAYGIYHCWEEYRVLRDKGASISQLGFTTNLSAYRNVQETWLAALIILAVLEG
+VLLLMLIFLRQRICIAIALLKEASRAVGYIMSTMFYPLVTFALLLVCIAYWAIIALYPLP
+TWLTPPEYVFWAPNSSLPGCEKVPMNTSCDPMEQVNSSCPGLMCVFQGYQSTGLAQRSLF
+NLQIYAVLGLFWTINWVLALGQCVLAGAFASFYWAFHKPRDIPTFPLGSAFLRTLRYHTG
+SLAFGALILTLVQIARVILEYIDHKLRGAQNPLTRCILCCFKCCLWCLEKFIKFLNRNAY
+IMIAIYGKNFCVSAKNAFMLLMRNIVRVVVLDKVTDLLLFFGKLLVVGGVGVLSFFFFTG
+RIPSLGKTFENPQLNYYWLPIMVSILGAYLIASGFFSVFGMCVDTLFLCFLEDLERNDGS
+ADRPYYMSKSLLKILGKKNKGTPGDKKRKK
+>tr|A0A3Q1LKA0|A0A3Q1LKA0_BOVIN Complement factor H OS=Bos taurus OX=9913 GN=CFH PE=4 SV=1
+LLLIMPSLCKTLFLLFLFQGKPCSYPVIKHGRLYYSYRGYFPARVNQQFVYSCDHHFVPP
+SQRSWDHLTCTAEGWSPEEPCLRQCIFNYLENGHTQHREEKYLQGETVRVRCYEGYSLQN
+DQNTMTCTESGWSPPPRCIRVKKIGCSQPPQIDHGTINSSSSAEERREIHEQRLYAHGTK
+LSYTCEEGFEISENNVIICHMGKWSSPPQYCISIKSKYQSEERARYECIGNYDLFGEMEV
+VCLNGTWTEPPQCKDSQGKCGPPPPIDNGDITSLLQSVYPPGMIVEYRCQAYYELRGNKN
+VVCRNGEWSQLPKCLEACVISEETMRKHHIQLRWKHDKKIYSKTEDTIEFMCQHGYRPLT
+PKHTFRATCREGKVVYPRCG
+>tr|A0A3Q1M6F2|A0A3Q1M6F2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+GALPLEHVEAVIGEPITLQCKVDGTPEIRISWYKEHTKLRSAPAYKMQFKNNVASLVINK
+VDHSDVGEYTCKAENIVGAVASSSVLVIKERKLPPSFARKLKDVQETLGFPVAFECRING
+SEPLQVSWYKDGVLLKDDANLQTSFVHNVATLQILQTDQSHVGQYNCSASNPLGTASSSA
+KLVLLEHEVPPFFDLKPVSVDLALGESGSFKCHVTGTAPMKITWAKDNREIRPGGNYKMT
+LVENTATLTVLKIGKGDAGQYTCYASNVAGKDSCSAHLGVQEPPRFIKKLEPSRIVKQDE
+STRYECKIGGSPEIKVLWYKGETEIQESSKFRMSFVDSVAVLEMHGLSVEDSGDYTCEAC
+NAAGSASSTTSLKVKEPPIFRKKPHPVETLKGADVHLECELQGTPPFQVSWHKDKRELRS
+GKKYKIMSENFLTSIHILSVDAADVGEYQCKATNDVGSDTCVGSITLKAPPRFVKKPSDV
+STIVGEEVQLQATVEGAEPISVVWFKDKGEIVRESDNIWISYSENTATLQFSRAETANAG
+KYTCQIKNDAGMQECSATVSILG
+>tr|A0A3Q1LWY5|A0A3Q1LWY5_BOVIN Oxysterol-binding protein OS=Bos taurus OX=9913 GN=OSBPL5 PE=3 SV=1
+MAARGGHWAAPEALLERSHPRHPSRSEGACGGLRLVWGVRTQSGYPQKPPCAHAMKEEAF
+LRRRFSLCPPSSTPQKLSRNLLVGGEHEVDVGPGKDMEPHGPSPTRDEGPPTPGSATKVP
+PAEYRLCNGSDRECVSPTAKVSKKEALKAQKESYRQEKKRATRQLLSALTDPSVVIMADS
+LKIRGTLKSWTKLWCVLKPGVLLIYKTPKVGQWVGTVLLHCCELIERPSKKDGFCFKLFH
+PLDQSVWAVKGPKGESVGSITQPLPSSYLIFRAASESDGRCWLDALELALRCSSLLRLST
+CKQGRDGEPGSSPDGSPSSLCGLPASGAIHDQDLFPLNGSSLENDAFSDKSERENAEESD
+NETHDHSRKTESGSDQSEAPVGPGHRGTTYVVQDHEELGERGEASQVETVSEENKSLVWV
+LLKQLRPGMDLSRVALPTFVLEPRSFLNKLSDYYCHADLLSRAALEEDAYSRIKLVLRWY
+LSGFYKKPKGIKKPYNPILGETFRCCWFHPQTNSHTFYIAEQVSHHPPVSAFHVSNRKDG
+FCISGSITAKSRFYGNSLSALLDGKATLTFLNRAEDYSLTMPYAHCKGILYGTMTMELGG
+RVTIECEKNSLQAELEFKLKPFFGGSTSINQISGKITSGEEVLARLTGHWDREVFIKEEG
+RGGPELFWNPSEEVRRQRLKRYTVLLEEQTELESERLWQHVTRAISEGDQHKATQEKFSL
+EEAQRQRARERQQNLVPWTPQLFHLDPATQEWRYRYENHSPWDPLKDIAQFEQDGVLHTL
+QRETMAGQTAFLGSPGPRHQGSTPNPRLRKPSDQPSGHSQVTESSGSTPESCPELSDEEE
+EDGDIVPGCESPCPRCGKEARRLQVLHEAILSIREAQQELHRHLSAMLSSTARARQAPAP
+GPLHSPRSWFLLCVFLACQLLINYILK
+>tr|G5E510|G5E510_BOVIN TLE family member 2, transcriptional corepressor OS=Bos taurus OX=9913 GN=TLE2 PE=4 SV=2
+MGESQSHHCGNWPRRGPWVSLLGGKESGIPMFHPLPSLKLECEKLASEKTEMQRHYVMAE
+IVKRLSGICAQIIPFLTQEHQQQVLQAVERAKQVTVGELNSLIGQQLQPLAHHAPAVPLT
+PRPAGLVGGSATGLLALSGALAAQAQLAAVTKEERAGVEAEGSRVERVPSRSVSPSPPES
+VVEEERPSGLGGNGKQRAEEKDLSGPYESDEDKSDYNLVVDEDQPSEPPSPATTPCGKAP
+TCIPARRDLVDSPASLASSLGSPLPRAKELVLNDLPASTPASKSCDSSPPQDASTPGPSS
+VSHLRQLAAKPAPSTDSIALRSPLTLSSPFSTSFSLGSHSVLNGDLSVPSSYVSLHLSPQ
+ASGSVVYGRSPMMAFESHPHLRGSSISSSLPTIPGGKPAYSFHVSADGQMQPVPFPSDAL
+VGAGIPRHARQLHTLAHGEVVCAVTISGSTQHVYTGGKGCVKVWDVGQPGAKMPVAQLDC
+LNRDNYIRSCKLLPDGRSLIVGGEASTLSIWDLAAPTPRIKAELTSSAPACYALAVSPDA
+KVCFSCCSDGNIVVWDLQNQTMVRQFQGHTDGASCIDISDYGTRLWTGGLDNTVRCWDLR
+EGRQLQQHDFSSQIFSLGHCPNQDWLAVGMESSSVELLHVRKPEKYQLHLHESCVLSLKF
+ASCGRWFVSTGKDNLLNAWRTPYGASIFQSKESSSVLSCDISGNNKYIVTGSGDKKATVY
+EVVY
+>tr|A0A3Q1MB13|A0A3Q1MB13_BOVIN Solute carrier family 37 member 4 OS=Bos taurus OX=9913 GN=SLC37A4 PE=4 SV=1
+MAARGYGYYRTVIFSAMFGGYSLYYFNRKTFSFVMPSLVEEIPLDKDDLGLITSSQSAAY
+AISKFVSGVLSDQMSARWLFSSGLLLVGLVNIVFSWSSAVPVFAALWFLNGLAQGLGWPP
+CGKVLRKWFEPSQFGTWWAILSTSMNLAGGLGPILATILAQSYSWRATLALSGALCVAVS
+FLCLLLIRNEPADVGLQNLDPTPSKGKKGSSKEESTLQELLLTPYLWVLSTGYLVVFGVK
+TCCTDWGQFFLIQERGQSALVGSSYMSALEVGGLVGSIAAGYLSDRAMAKAGLSIYGNPR
+HGLLLFMMAGMTASMYLFRATVTSDSPKDTAFWTPALHPLAEITGFTENELWILVLGAVF
+GFSSYGPIALFGVIANECAPPNLCGTSHAIVGLMANEPTLVNAFLQPDPSHVSDLFGSAY
+LSVSGSSAV
+>tr|A0A3Q1N6H6|A0A3Q1N6H6_BOVIN ATPase family, AAA domain containing 2 OS=Bos taurus OX=9913 GN=ATAD2 PE=4 SV=1
+MFLLPSSVMISVYLFRRRHAIHSSDSTSSSSSEDEQQFERRRKRSRNRAINRCLPLNFRK
+DELKGIYKDRMKIGASLADVDPMQLDSSVRFDSVGGLSNHIAALKEMVVFPLLYPEVFEK
+FKIQPPRGCLFYGPPGTGKTLVARALANECSQGDKRVAFFMRKGADCLSKWVGESERQLR
+LLFDQAYQMRPSIIFFDEIDGLAPVRSSRQDQIHSSIVSTLLALMDGLDSRGEIVVIGAT
+NRLDSIDPALRRPGRFDREFLFSLPDKDARKEILKIHTRDWNPKPLDMFLEELAENSVGY
+CGADIKSICSEAALCALRRRYPQIYTTSEKLQLDLSSINISAKDFEVAMQKMIPASQRAV
+TSPGQALSTIVKPLLQSTVHKILEALQRVFPHAETRTNKFIIDNWERNLSFFSLKHRLLL
+ISFRNACYQPMSFRPRMLIVGEPGFGQGSHLAPAVIHALEKFTVYTLDIPVLFGVSATSP
+EETCAQVIREAKRTAPSIVYVPHIHLWWEIVGPTLKATFTTLLQNIPSFAPVLLLATSDK
+PHSTLPEEVQELFIHDYGEIFNVQLPSKEERTQFFEDLILKQAAKPPISKKKAVLQALEV
+LPVAPPPEPRPLTAEELKRLEEQEEDTFRELRIFLRNVTHRLAIDKRFRIFTKPVDPDEV
+PDYVTVIKQPMDLSSVISKIDLHKYLTVKDYLSDIDLICSNALEYNPDRDPGDRLIRHRA
+CALRDTAYAIIKEELDEDFEQLCEEIQESRKKRVVECSLILFLKFFEAQLKRKVRKKSKW
+YLGTITKRRKISQAKDDSQNVTGDKIESDTEENQDTSVDHNETGNTGESSMEENASESKI
+ELENNNSSPCIENEPEESGKTTACTELRKDKINLLKIVVKKSREYNIFQLENLYAVISQC
+IYQHRRDYDKTALIQTF
+>tr|G3N3L0|G3N3L0_BOVIN Noggin OS=Bos taurus OX=9913 GN=NOG PE=3 SV=1
+MERCPSLGVTLYALVVVLGLRVAPAGGQHYLHIRPAPSDNLPLVDLIEHPDPIFDPKEKD
+LNETLLRSLLGGHYDPGFMATSPPEDRPGGGGVAAGGAEDLAELDQLLRQRPSGAMPSEI
+KALEFSEGLAPGKKQRLSKKLRRKLQMWLWSQTFCPVLYAWNDLGSRFWPRYVKVGSCFS
+KRSCSVPEGMVCKPSKSVHLTVLRWRCQRRGGQRCGWIPIQYPIISECKCSC
+>tr|F1MYQ2|F1MYQ2_BOVIN Galectin OS=Bos taurus OX=9913 GN=LGALS12 PE=4 SV=2
+MAPGEKLDPLPDTFILQPPVFQPVIPFVTTIFGGLRAGKMVMLQGAVPLNAHRFQVDFQC
+GCSLHPRPDIAIHFNPRFHTTKPHVICNSLYRGRWQVEARWPHVALQRGASFLILFLFGN
+EEMKVSVNGQHFLHYRYRLPLSRVDTLGIFGDISVTAVGFLNINPFVEGGSEYPVGHPFL
+LRSPGLEVPCFHALPRGLWPGQVIIVRGLVLPEPKDFTLSLRDEAAHVPVTLRASFADRT
+LAWVSRWGRKKLILAPFLFYPQRFFEVLLLCQEGGLKLALNGQGVGATSLGQQVLEQLRE
+LRISGSVQLYCVHY
+>tr|A0A3Q1LRQ3|A0A3Q1LRQ3_BOVIN Protein tyrosine phosphatase, receptor type O OS=Bos taurus OX=9913 GN=PTPRO PE=4 SV=1
+TVATFVKDDATTFHVTVRDDNSIAVSLEASDIMSPSSVYVVKITGESKNYFFEFEEFNST
+LPPPIIFKANYHGLYYIITLVVVNGNVVTKPSRSITVLTKPLPVTSVSIYDYKPSPETGV
+LFEIHYPEKYNVFTRVNISYWEGKDFRTMLYKDFFKGKTVFNHWLPGICYSNITFQLVSE
+ANFNKSTLVEYSGVSHEPKQHRTAPYPPQNISVRIVNLNKNNWEEESGSFPEESFMRSQD
+TTGKGKVFHFTDDTPEIPSGNISSGWPDFNSSDFETTSQPYLWDSASATPESEDEFVSVL
+PTEYKNNSTLSDMEKSASSSFSSFPVQMILSWLPPKPPTAFDGFHIHIEREENFTEYLTV
+DEEAHEFVADLKEPGKYKLSVTTFSSSGSCETRNSQSAKSLSFYISPSGEWIEELTEKPQ
+HVSVHVLSSTTALMSWTSPQENYNGTIVSVVSLTCQKQKESQRLEKQYCTQVNSSKSIIE
+NLVPGAQYQVVMYLRKGPLIGPPSDPVTFAIVPTGIKDLMLYPLGPTAVVLSWTRPYLGV
+FRKYVVEMFYFNPTTMTSEWTTYYEIAATVSLTASVRIANLLPAWYYNFRVTMVTWGDPE
+LSCCDSSTISFITAPVAPEITSVEYFNSLLYISWTYGDDTTDLSHSRMLHWMVVAEGKKK
+IKKSVTRNVMTAVLSLPPGDIYNLSVTACTERGSNTSMLRLVKLEPAPPKSLFAVNKTQT
+SVTLLWVEEGVADFFEVFCQQVGSSQEAKLQEPISVSSHVVTISSLLPATAYNCSVTSFS
+HDSPSVPTFIAISTMVTEMNPNVVVISVLAILSTLLIGLLLVTLIILRRKHLQMARECGA
+GTFINFASLERDGKLPYNWRRSIFAFLTLLPSCLWTDYLLAFYINPCPVQLDDFDAYIKD
+MAKDSDYKFSLQFEELKLIGLDIPHFAADLPLNRCKNRYTNILPYDFSRVRLISMNEEEG
+ADYINANYIPGYNSPQEYIATQGPLPETRNDFWKMVLQQKSQIIVMLTQCNEKRRVKCDH
+YWPFTEEPIAYGDITVEMMSEEEQDDWACRHFRINYADEMQDVMHFNYTAWPDHGVPTAN
+AAESILQFVHKVRQQAMKSKGPMIVHCSAGVGRTGTFIALDRLLQHIRDHEFVDILGLVS
+EMRSYRMSMVQTEEQYIFIHQCVQLMWMKKKQQFCISDVIYENVSNS
+>tr|A0A3Q1M5G6|A0A3Q1M5G6_BOVIN Inositol hexakisphosphate and diphosphoinositol-pentakisphosphate kinase OS=Bos taurus OX=9913 GN=PPIP5K2 PE=3 SV=1
+MSNEIKMSEAPRFFVGPEDTEINAGNYRHFFHHADEDEEEEDESPPERQIVVGICSMAKK
+SKSKPMKEILERISLFKYITVVVFEEDVILNEPVENWPLCDCLISFHSKGFPLDKAVAYA
+KLRNPFVINDLNMQYLIQDRREVYSILQAEGILLPRYAILNRDPNNPKECNLIEGEDHVE
+VNGEVFQKPFVEKPVSAEDHNVYIYYPTSAGGGSQRLFRKIGSRSSVYSPESNVRKTGSY
+IYEEFMPTDGTDVKVYTVGPDYAHAEARKSPALDGKVERDSEGKEVRYPVILNAREKLIA
+WKVCLAFKQTVCGFDLLRANGQSYVCDVNGFSFVKNSMKYYDDCAKILGNIVMRELAPQF
+HIPWSIPLEAEDIPIVPTTSGTMMELRCVIAVIRHGDRTPKQKMKMEVRHQKFFDLFEKC
+DGYKSGKLKLKKPKQLQEVLDIARQLLMELGQNNDSEIEENKSKLEQLKTVLEMYGHFSG
+INRKVQLTYLPHGCPKTSSEEEDSRREEPSLLLVLKWGGELTPAGRVQAEELGRAFRCMY
+PGGQGDYAGFPGCGLLRLHSTYRHDLKIYASDEGRVQMTAAAFAKGLLALEGELTPILVQ
+MVKSANMNGLLDSDSDSLSSCQQRVKARLHEILQRDRDFTAEDYEKLTPSGSISLIKSMH
+LIKNPVKTCDTVYSLIQSLTSQIRHRMEDPKSSDIQLYHSETLELMLRRWSKLEKDFKTK
+NGRYDISKIPDIYDCIKYDVQHNGSLKLEDTMELYRLSKALADIVIPQEYGITKAEKLEI
+AKGYCTPLVRKIRSDLQRTQDDDTVNKLHPVYSRGVLSPERHVRTRLYFTSESHVHSLLS
+ILRYGALCDESKDEQWKRAMDYLNVVNELNYMTQIVIMLYEDPNKDLSSEERFHVELHFS
+PGAKGCEEDKNLPSGYGYRPASRENEGRRAFKIDSDDEPHTSKKDEVDRAVILFKPMVSE
+PIHIHRKSPLPRSRKMATNEEESPLSVSSPEGTGTWLHYTSGVGTGRRRRRSGEQITSSP
+VSPKSLAFTSSIFGSWQQVVSENANYLRTPRTLVEQKQNPTVGSHCASLFSTSVLGGSSS
+APNLQDYARTHRKKLTSSGCIDDATRGSAVKRFSISFARHPTNVQGTW
+>tr|A0A3Q1M8S2|A0A3Q1M8S2_BOVIN Echinoderm microtubule associated protein like 4 OS=Bos taurus OX=9913 GN=EML4 PE=4 SV=1
+MLWSSPDSCLWVVVRVEANSGLCDQDDSISAASTSDVQDRLSALELRVQQQEDEITVLKA
+ALADVLRRLAISEDHVASVKKSVPSKGQPGLREAISMSCITNGSGTNRKPSHTSSVSIAR
+KETLSSAAKSGTEKKKEKPQGQREKKEDSHSNDQSPQIRASPSPQPSSQPLQIHRQTQES
+KNSTPTKSIKRPSTAEKSHNSWENSDDSRNKLLKAVSTSKLISKVIKNTDKHKDVIINQE
+GEYIKMFMRGRPITMFIPSDVENYDDIRTELPPEKLKLEWVYGYRGKDCRANVYLLPTGE
+IVYFIASVVVLFNYEERTQRHYLGHTDCVKCLAIHPDKIRIATGQIAGVDKDGRPLQPHV
+RVWDSVSLSTLQIIGLGTFERGVGCLDFSKADSGVHLCVIDDSNEHMLTVWDWQKKSKGA
+EIKTTNEVVLAVGFHPTDANIIITCGKSHIFFWTWSGNSLTKKQGVFGKYEKPKFVQCLA
+FLGNGDVLAGDSGGVILIWSKTTVEPTPGKGPKGVYQISKQIKAHDGSVFTLCQMRNGML
+LTGGGKDRKIILWDHDLNPEREIEVPDQYGTIRAVAEGKADQFLVGTSRNFILRGTFNDG
+FQIEVQGHTDELWGLATHPFKDLLLTCAQDRQVCMWNSVEHRLEWTRLLDEPGHCADFHP
+SGTVVAIGTHSGRWFVLDAETRDLVSIHTDGNEQLSVMRYSIDGTLLAVGSHDNFIYLYA
+VSENGRKYSRHGKCTGHSSYITHLDWSPDNKYIMSNSGDYEILYWDIEHGCKLMRNRSEC
+KDINWTTYTCVLGFQVFGVWPEGSDGTDINALVRSHNRKVIAVADDFCKVHLFQYPCSKA
+KAPSHKYSAHSSHVTNVSFTHNDSHLISTGGKDMSIIQWKLVEKLPLPQNETLADPTLTK
+APPSSIESVVQSDTPTPPPSQPLNETAEEESRISSSPTLLENSLEQTVEPSEDHSEDQSE
+GGSEDLGEPVYEELANELSEEQSKAALPGDQQDPSSLS
+>tr|E1B8U6|E1B8U6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC527083 PE=3 SV=1
+MELGGAFTIFLALCLSCLLILIAWKRMSKGGKLPPGPTPIPFLGNVLQVRTDATFQSFMK
+LKEKYGPVFTVYMGPRPVVVLCGHEAVKEALVDRADEFSGRGELASVERNFQGHGVALAN
+GERWRILRRFSLTILRDFGMGKRSIEERIQEEAGFLLVELRKTRGARIEPTFFLSRTVSN
+VISSVVFGSRFDYEDQQFLKLLQMINQSFIEMSTSWAQLYDMYSGIMQYLPGRHNRIYYL
+IEELKDFIASKVKINEASLDPQNPRDFIDCFLIKMHQDKNNPHTEFNLKNLVLTTLNLFF
+AGTETVSSTLRYGLLLMMKHPEVEAKIHEEIDQVIGPHRIPSVDDRAKMPYTDAVIHEIQ
+RLTDIVPMGVPHNVIRDTHFRGYLLPKGTDVFPLLGSVLKDPKYFRYPDAFYPQHFLDEQ
+GHFKKNEAFVPFSSGKRICLGEAMARMELFLYFTSILQNFSLRSLVPPADIDITPKVSGF
+GNIPPTYELCFMVR
+>tr|A0A3Q1LPR5|A0A3Q1LPR5_BOVIN WD repeat and FYVE domain containing 3 OS=Bos taurus OX=9913 GN=WDFY3 PE=4 SV=1
+MNMVKRIMGRPRQEECSPQDNALGLMHLRRLFTELCHPPRHMTQKEQEEKLYMMLPVFNR
+VFGNAPPNTMTEKFSDLLQFTTQVSRLMVTEIRRRASNKSTEAASRAIVQFLEINQSEEA
+SRGWMLLTTINLLASSGQKTVDCMTTMSVPSTLVKCLYLFFDLPHVPEAVGGAQNELPLA
+ERRGLLQKVFVQILVKLCSFVSPAEELAQKDDLQLLFSAITSWCPPYNLPWRKSAGEVLM
+TISRHGLSVNVVKYIHEKECLSTCVQNMQQSDDLSPLEIVEMFAGLSCFLKDSSDVSQTL
+LDDFRIWQGYNFLCDLLLRLEQAKEAESKDALKDLVNLITSLTTYGVNELKPAGITTGAP
+FLLPGFAVPQPAGKGHSVRNIQAFAVLQNAFLKAKTNFLAHIILDAITNIYMADNANYFI
+LESQHTLSQFAEKISKLPEVQNKYFEMLEFVVFSLNYIPCKELISVSILLKSSSSYHCSI
+IAMKTLLKFTRHDYIFKDVFREVGLLEVMVNLLHKYAALLKDPTQALNEQGDSRNNSSFE
+DQKHLALLVMETLTVLLQGSNTNAGIFREFGGARCAHNIVRYPQCRQHALMMIQQLVLSP
+NGDDDMGTLLGLMHSAPPTELQLKTDILRALLSVLRESHRSRTVFRKVGGFVYVTSLLVA
+MERSLSAPPKNGWEKVSQGHVFELLHTVFCTLTAAMRYEPANSHFFKTEIQYEKLADAVR
+FLGCFSDLRKISPMNVFPSNTQPFQRLLEEDVISMDSVSPTLRHCSKLFIYLYRVATDSF
+DSRAEQIPPCLTSESSLPSPWGTPALSRKRHAYHSVSTPPVYPPKNVADLKPHVATSSLS
+SSDAVIIHPGAMLAMLDLLASVGSVTQPEHALDLQLAVANILQSLVHTERNQQVMCEAGL
+HARLLQRCSAALADEDHSLHPPLQRMFERLASQALEPMVLREFLRLASPLNCGAWDKKLL
+KQYRVHKPSSLSFEPEMRSSMITSMEGLGSDNVFSLHEDNHYRISKSLVKSAEGSTVPLT
+RVKCLVSMTTPHDIRLHGSSVTPAFVEFDTSLEGFGCLFLPSLAPHNAPTNNAVTTGLID
+GAVVSGIGSGERFFPPPSGLSYSSWFCIEHFSSPPSNHPVRLLTVVRRANSSEHHYVCLA
+IVLSAKDRSLIVSTKEELLQNYVDDFSEESSFYEILPCCARFRCGELIVEGQWHHLVLVM
+SKGMLKNSTATLYIDGQLVSTVKLHYVHSTPGGSGSANPPVVSTVYAYIGTPPAQRQIAS
+LVWRLGPTHFLEEVLPPSNVTTIYELGPNYVGSFQAVCMPCKDAKSEGVVPSPVSLVPEE
+KVSFGLYALSVSALTVARIRKVYNKLDSKAIAKQLGISSHENATPVKLIHNSAGHLNGPA
+RTIGASLIGYLGVRTFVPKPVATTLQYVGLYAAVKALVCVVKSNPLASKEMERIRGYQLL
+AMLFKKKRSLLNSHILHLTFSLVGTVDSGHETSIIPNSTAFQDLLCDFEVWLHAPYELHL
+SLFEHFIELLTESSEASKNAKLMREFQLIPKLLLTLRDMSLSQPTIAAISNVLSFLLQGF
+PNSNDLLRFGQFISSTLPTFAVCEKFVVMEINHEEKPDTGTEEEFGGLVSANLILLRNRL
+LDILLKLVYTSKEKTSINLQACEELVRTLGFDWIMMFMEEHLHSTTVTAAMRILVVLLSN
+QSILIKFKEGLSGGGWLEQTDSVLTNKIGTVLGFNVGRSAGGRSTVREINRDACHFPGFP
+VLQSFLPKHTNVPALYFLLMALFLQQPVSELPENLQFDLDSIWTFIFGVPASSGTVVSSI
+HNVCTEAAFLLLGMLRSMLNSPWQSEEEGSWLREYPVTLMQFFRYLYHNVPDLASMWMNP
+DFLCALAATVFPFNIRPYSEMVTDLDDEVGSPAEEFKAFAADTGMNRSQSEYCNVGVKTY
+LTNHPAKKFVFDFMRVLIIDNLCLTPASKQTPLIDLLLEASPERSTRTQQKEFQTYILDS
+VMDHLLAADVLLGEDASLPITSGGSYQVLVNNVFYFTQRVVDKLWQGMFNKESKLLIDFI
+IQLIAQSKRRSQGLSLDAVYHCLNRTILYQFSRAHKTVPQQVALLDSLRVLTVNRNLILG
+PGNHDQEFISCLAHCLINLHVGSNVDGFGLEAEARMTTWHIMIPSDIEPDGGYSQDISEG
+RQLLIKAVNRVWTELIHSKKQVLEELFKVTLPVNERGHVDIAIARPLIEEASLKCWQNHL
+AHEKKCISRGEALMPTTQSKLSRVSSGFGLSKLTGSRRNRKESGLHKHSLSTQEISQWMF
+THIAVVRDLVDTQYKEYQERQQNALKYVTEEWCQIEYELLRERGLWGPPIGSHLDKWMLE
+MTEGPCRMRKKMVRNDMFYNHYPYHFPFADAIVESSEGEAAQQEPEHGEDTIAKVKGLVK
+PPLKRSRSAPDGGDEETQEQLQDQTAEGSSIEEEEKTDNATLLRLLEEGEKIQHMYRCAR
+VQGLDTSEGLLLFGKEHFYVIDGFTMTATREIRDIETLPPNMHEPIIPRGARQGPSQLKR
+TCSIFAYEDIKEVHKRRYLLQPIAVEVFSGDGRNYLLAFQKGIRNKVYQRFLAVVPSLTD
+SSESVSGQRPNTSVEQGSGLLSTLVGEKSVTQRWERGEISNFQYLMHLNTLAGRSYNDLM
+QYPVFPWILADYDSEEVDLTNPKTFRNLAKPMGAQTDERLAQYKKRYKDWEDPNGETPAY
+HYGTHYSSAMIVASYLVRMEPFTQIFLRLQGGHFDLADRMFHSVREAWYSASKHNMADVK
+ELIPEFFYLPEFLFNSNNFDLGCKQNGTKLGDVILPPWAKGDPREFIRVHREALECDYVS
+AHLHEWIDLIFGYKQQGPAAVEAVNVFHHLFYEGQVDIYNINDPLKETATIGFINNFGQI
+PKQLFKKPHPPKRVRSRLNGDNAGASVPPGSASDKIFFHHLDNLRPSLTPVKELKEPVGQ
+IVCTDKGILAVEQNKVLIPPTWNKTFAWGYADLSCRLGTYESDKAVTVYECLSEWGQILC
+AICPNPKLVITGGTSTVVCVWEMSTSKEKAKTLTLKQALLGHTDTVTCATASLAYHIIVS
+GSRDRTCIIWDLNKLSFLTQLRGHRAPVSALCINELTGDIVSCAGTYIHVWSINGNPVVS
+VNTFTGRSQQIVCCCVSEMNEWDTQNVIVTGHSDGVVRFWRMEFLQVPETPAPEPAEVLE
+MQEDCPEAQIGQEAQDEDSSDSEADEQSVSQDPKDTPSQPSSTSHRPRAASCRATATWYN
+DSGSDDSRRWSDQLSLDEKDGFIFVNYSEGQSRPHLQTPLNHPHPNPIEARNYSRLKPGY
+RWERQLVFRSKLTMHTAFDRKDNAHPAEITALGVSKDHSRILVGDSRGRVFSWSVSDQPG
+RSAADHWVKDEGGDSCSGCSVRFSLTERRHHCRNCGQLFCQKCSRFQSEIKRLKISSPVR
+VCQNCYYNLQHERSSEDGPRNC
+>tr|G5E570|G5E570_BOVIN G1/S-specific cyclin-E2 OS=Bos taurus OX=9913 GN=CCNE2 PE=3 SV=1
+NCWPPVLSGGISPCIIIETPHKEIGTSDFSRFTNYRFKNLFINPSPLPDLSWGCSQDVWL
+NMLKKETRYVHDKHFEVLHSELEPQMRSILLDWLLEVCEVYTLHRETFYLAQDFFDRFML
+TQKDINKNMLQLIGITSLFIASKLEEIYAPKLQEFAYVTDGACSEEDILRMELAILKALK
+WELCPVTVISWLNLFLQVDALKDAPKVLLPQYSQEKFIQIAQLLDLCILAIDSLEFQYRI
+LAAAALCHFTSIEVVKKASGLEWDNISECVDWMVPFVSVVKSTSPAKLKIFKKISMEDRH
+NIQTHTNYLAMLDEVNYVNTFRKEGQLSPVCNGGIMTPPKSTEKPPGKH
+>tr|F1MFV9|F1MFV9_BOVIN PLAG1 like zinc finger 2 OS=Bos taurus OX=9913 GN=PLAGL2 PE=4 SV=3
+MTTFFTSVPPWIQDAKQEEEVGWKLVPRPRGREAENQVKCQCEISGTPFSNGEKLRPHSL
+PHPEQRPYSCPQLHCGKAFASKYKLYRHMATHSAQKPHQCMYCDKMFHRKDHLRNHLQTH
+DPNKEALHCSECGKNYNTKLGYRRHLAMHAASSGDLSCKVCLQTFESTQALLEHLKAHSR
+RVAGGAKEKKHPCDHCDRRFYTRKDVRRHLVVHTGRKDFLCQYCAQRFGRKDHLTRHVKK
+SHSQELLKIKTEPVDMLGLLSCSSTVNVKEELSPVLCMASRDVMGAKAFPGMLPMGMYGA
+HIPTMPSAGVPHSLVHNTLPMGMSYPLESSPISSPAQLPPKYQLGSTSYLPDKLPKVEVD
+SFLGELSGSLSLSSAEPQPTSPQPAAAAALLDEALLTKSPANLSEALCAANVDFSHLLGF
+LPLNLPPCNPSGATGGLVMGYSQAEAQPLLTTLQAQPQDSPGAGGPLNFGPLHSLPPVFT
+SGLSTTTLPRFHQAFQ
+>tr|A0A3Q1MLY6|A0A3Q1MLY6_BOVIN Transporter OS=Bos taurus OX=9913 GN=SLC6A6 PE=3 SV=1
+MLTFSCSPAVAALSHHLVALEAATAAAAAPTFANLTVLDTNAVHPESEEEDMATKEKLQC
+LKDFHKDILKPSPGKSPGTRPEDEAEGKPPQREKWASRIDFVLSVAGGFVGLGNVWRFPY
+LCYKNGGGAFLIPYFIFLFGGGLPVFFLEVIIGQYTSEGGITCWEKICPLFAGIGYASIV
+IVSLLNIYYIIILAWAMYYLFQSFQSELPWAKCNHSWNTPHCLEDTLRRNRSLWISNSTA
+NFTSPVTEFWERKVLSLSSGIDEPGALKWDLALCLLLVWLVCFFCIWKGVKSTGKVVYFT
+ATFPFAMLLVLLVRGLTLPGAGAGIKFYLYPDISRLEDPQVWIDAGTQIFFSYAICLGAM
+TSLGSYNKYKYNSYRDCMLLGCLNSGTSFVSGFAIFSILGFMAQEQGVDIADVAESGPGL
+AFIAYPKAVTMMPLPTFWSILFFIMLLLLGLDSQFVEVEGQITSLVDLHPSLLRKGFHRE
+IFIASICCVSYLLGLTMVTEGGMYVFQLFDYYAASGVCLLWVAFFECFAIAWIYGSDNFY
+DGIEDMIGYRPGPWMKYCWAVVTPLLCTGCFIFSLVKYVPLTYNKVYTYPTWAIGLGWCL
+ALSSMVCVPLVMVIRLAQTEGPFLVRLKYLLTPREPNRWAVEHEGAMPYSSRMAVNNTLR
+KPTHIIVETMM
+>tr|E1BBN5|E1BBN5_BOVIN Mindbomb E3 ubiquitin protein ligase 2 OS=Bos taurus OX=9913 GN=MIB2 PE=4 SV=3
+MDPDPQAGVQVGMRVVRGVDWKWGQQDGGEGGVGTVVELGRHGSPSTPDRTVVVQWDHGT
+RTNYRAGYQGAHDLLLYDNAQIGVRHPNIICDCCKKHGLRGMRWKCRICFDYDLCTQCYM
+HNKHDLAHAFERYETAHSRPVMLSPRQGLPRIPLRGIFQGAKVVRGPDWEWGSQDGGEGK
+PGRVVDIRGWDVETGRSVASVTWADGTTNVYRVGHKGKVDLKCVGEAAGGFYYRDHLPRL
+GKPAELQRRVSADGQPFQRGDKVKCLLDADVLREMQEGHGGWNPRMAKFIGQTGTVHRIT
+DRGDVRVQFSHKTRWTFHPGALTKQNSCLVGEVVRVIDDLDTVKRLQAGHGEWTDDMAPA
+LGRIGRVLKVFGDGNVGVLVSGKLWTFSPSCLVAYRPEEDANLDVAGRARENKSSLSVVL
+DKLRAQKSDLEHPGKLVVEVALGNVARALDLLRRHPEQVDTKNQGRTALQMAAYLGQVEL
+VRLLLQAQAGVDLPDDEGNTALHYAALGNQPEAARVLLSSGCGANALNSTRSSALHVAVQ
+RGFLEVVKVLCERGCDVNLPDAHADTPLHCAISAGTGASGIVEVLTEVPGIDVTATNSQG
+FTLLHHASLKGHTLAVRRILARARQLVDAKKEDGFTALHLAAFNNHGEVAQVLIQEGHCD
+VNARNRKLQSPLHLAVQQAHVGLVPLLVDAGCSVNAEDEEGDTALHVALQRHQLLPLAAD
+GSAGDPGPLQLLSRLQASGLPSSAELTAGAAMAYYLALEGADLSYANHRGRSPLDLAAEG
+RLLKALQGCAQRFRERQAGGSGSGGSAQGPRLVLSAPNTVTNLHVAAPTGPEAAECLVCS
+ELALLVLFSPCQHRTVCEECARRMKKCIRCQVVISKKLRPDGTEVVSAAPAPGPPRQLVE
+ELQSRYRQMEERITCPICIDSHIRLVFQCGHGACAPCGAALSACPICRQPIRDRIQIFV
+>tr|F1MSB6|F1MSB6_BOVIN Ubiquitin carboxyl-terminal hydrolase OS=Bos taurus OX=9913 GN=USP30 PE=3 SV=3
+MLSSRASAAMTAADRAIQRFLRTGAAVRYKVMKNWGVIGGIAAALAAGIYVIWGPITERK
+KRRKGLVPGLVNLGNTCFMNSLLQGLSACPTFIKWLEEFTTQYTGGHKEPPQHQYLSLTL
+LHLLKALSCQEVTDEEVLDASCLLDVLRMYRWQISSFEEQDAHELFHVITSSLEDERDRQ
+PRVTHLFDVHSLEQQTEITPRQITCRTRGSPHPTSNHWKSQHPFHGRLTSNMVCKHCEHQ
+SPVRFDTFDSLSLSIPASTWGHPLTLDHCLHHFISSESVRDVVCDNCTKIEAKGTLNGEK
+VEHQRTTFVKQLKLGKLPQCLCIHLQRLSWSSHGTPLKRHEHVQFGEFLMMDIYKYHLLG
+HKPGHRSPEQCEKAGPALELQDGPAAPKSVRNHPGGPKTQIFMNGACSPSLLPALPTPMP
+FPLPAVPDYSSSTYLFQLMAVVVHHGDMHSGHFVTYRRSPPSAKNPVSASSQWLWVSDDT
+VRKASLQEVLSSSAYLLFYERVLSKMQPQGREYKSEE
+>tr|A0A3Q1LLD8|A0A3Q1LLD8_BOVIN E3 ubiquitin-protein ligase RNF126 OS=Bos taurus OX=9913 GN=RNF126 PE=4 SV=1
+MAEASPQPGRYFCHCCSVEIVPRLPDYICPRCESGFIEELPEETRMWTSPCSRCRRAMGT
+LLSASLTTASRSPRSPLGHRLMIAGTPRAGGSESSTPGTGTAPGSPAPASLRGGPPAGTK
+ASPRWKGSSSSWSTASSPQPPSPTWAWAPGEGVLHSNPMDYAWGANGLDAIITQLLNQFE
+NTGPPPADKEKIQALPTVPVTEEHVGSGLECPVCKDDYGLGEHVRQLPCNHLFHDGCIVP
+WLEQHDSCPVCRKSLTGQNTATDPPGLAGVSFSSSSSSSSSSPGNENPASSS
+>tr|A0A3Q1LTW1|A0A3Q1LTW1_BOVIN COMM domain-containing protein 6 OS=Bos taurus OX=9913 GN=COMMD6 PE=4 SV=1
+MEESSEPQLDAKSKVRPGLIDFEWKLGMAVSSDSCRSLKYPYVAVMLKVADHSGQVKNKS
+FEMTIPQFQNFYRQFKEIAAIIETV
+>tr|A0A3Q1MKG9|A0A3Q1MKG9_BOVIN Branched-chain-amino-acid aminotransferase OS=Bos taurus OX=9913 GN=BCAT2 PE=3 SV=1
+MKLHVPGASGTTDPSSLWFSAPGVPGSCSFSDWKLWSDSRMPIFSQAADLQLEMTQEPHK
+KPDPSQPLLFGKTFTDHMLMVEWNQEKGWGQPRIQPFQNLTLHPACSALHYSLQLFEGMK
+AFKGGDQRVRLFRPWLNMERMLRSALRLCLPSFDKIELLECIRRLVEVDQDWVPGSMGTS
+LYVRPVLIGNEPSLGVGHPTRALLFVILSPVGAYFPGDALKPVSLLADPSFIRAWVGGVG
+NYKLGGNYGPTVLVQQEAQKKGCEQVLWLYGPDHELTEVGTMNIFVFWTYEDGVLELVTP
+PLDGIILPGIVRQSLLDLARTWGEFRVVERKITMKEFLRALKDGRVREVFGSGTACQVCP
+VHQILYQGKHFHIPTMENGPQLILRFHKELKAIQYGSKAHEWMLPV
+>tr|A0A3Q1MWE7|A0A3Q1MWE7_BOVIN Thyroid hormone receptor interactor 13 OS=Bos taurus OX=9913 GN=TRIP13 PE=3 SV=1
+MDEAVGDLKQALPCVAEAPTVHVEVHQRSGSTAKKEDIKLSVRKLLNRHNIVFGDYTWSE
+FDEPFLTRNVQSVSIVDTELKAKDPQPIDLSACNIALHIFQLNEDGPSSENLEEETENII
+AANHWVLPAAEFHGLWDSLVYDMEVKSHLLDYVMTTLLFSDKNVDSNLITWNRVVLLHGP
+PGTGKTSLCKALAQKLTIRLSSRYRYGQLVEINSHSLFSKWFSESGKLVTRMFQKIQDLI
+DDKDALVFVLIDEVESLTASRNACRAGTEPSDAIRVVNAVLTQIDQIKRHSNVVILTTSN
+ITERIDVAFVDRADIRQYIGPPSAAAIFKIYLSCLEELMRCQIIYPRQQLLTLRELEMIG
+FIENNVSKLSLLLSEISRKSEGLSGRVLRKLPFLAHALYIQVSACALGPCRPLCLQGWPQ
+GESRPPPWGFRLLLCF
+>tr|F1N0Z8|F1N0Z8_BOVIN Solute carrier family 22 member 9 OS=Bos taurus OX=9913 GN=SLC22A9 PE=4 SV=2
+MAFQDLLDQVGGLGRFQILQMLLLCISSLITYPHILLENFTAAVPGHQCWVHILNNNTDS
+ANATGTLSPDALLRISIPLDSNLRPEKCRRFSRPQWQLLNLNRTFPNITDLDTESCVDGW
+VYDQSIFTSTIVTEWDLVCESQSLKSMAKFLFMAGMLVGSIVYGHLSDRFGRRLIFRCCL
+LQLAITDTSAAFAPTYLIYCSLRFLAGFSTMSILTNCTVLIVEWTVPRFQVMGMTLSICA
+ACLGQIILGGLAFAIRDWHTLQLVFSVPLFVLFLSSRWLAESARWLIITNRPEEGLKELK
+KAAHRNGRKNAGDALTMEVNR
+>tr|G5E6J4|G5E6J4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=2
+LDPPSLQRPPSRGPRTPGLRRWLLTFCATQRPSPKPGQADRTSEDSLQLDIQKLKEKKDM
+LDKEISQLLSEGYSVDELEDHISQLHEYNDIKDAAQMLLGRLAVIRGVTTKELYPEFGLD
+MND
+>tr|F1N3X0|F1N3X0_BOVIN Phosphotransferase OS=Bos taurus OX=9913 GN=HK3 PE=1 SV=1
+MDSIGSLGLQQGEGAPSCPQEGLPCPSNSSEMVQECLQQFKVTGAQLRQIQTSLLGSMEQ
+ALRGQVGPAPAVRMLPTYVGSTPHGTEKGDFVVLELGAFGDDGSLSMLSTRFDASVDQAS
+INPGKQRFEKMISGMYLGEIVRHILLHLTSLGVLFRGQQTQRLQTRDIFKTKFLSEIESD
+SLALRQVRAILEDLGLPLTSDDALMVLEVCQAVSQRAAQLCGAGVAAVVEKIRENRGLEE
+LTISVGVDGTLYKLHPHFSSLVAATVRELAPRCVVTFLQSEDGSGKGAALVTAVACRLAQ
+RTRI
+>tr|G5E6J0|G5E6J0_BOVIN Transmembrane protein 200B OS=Bos taurus OX=9913 GN=TMEM200B PE=4 SV=1
+MTAGSPGDCGEVRRSPEGRVSRLGRRLGRRRRARSPPEPLRVRARLRLRSPSGAFAALGA
+LVVLVGMGIAVAGYWPHRAGVPGPRAANSSAPPLSELRREGRGAGRAHGPHERLRLLGPV
+VMGVGLFVFICANTLLYENRDLETRRLRQGVLRAQALRPPDGPGWDCALLPSPGPRTPRA
+VGCVEPESWDLSPRRGTSPVPSVRSLRSEPANPRLGLPALLNSYPLKGSGLHPPWGPRPQ
+AGHVIITVQPSGSCIEHSKSLDLGLGELLLGAPAARDCAHRSWPRLDRLSLGGYAKLGAG
+GDLGARV
+>tr|A0A3Q1LMV6|A0A3Q1LMV6_BOVIN Huntingtin associated protein 1 OS=Bos taurus OX=9913 GN=HAP1 PE=4 SV=1
+MRPKVSGPGSAGHRLGPGDPAAVAITPLSATNPTLEPSAVPEPASAQAPAAGQRAGSGST
+SVPNNWDASRTRFIFQGPPTGLGTGKVTSILKTPAAYIGQRPGVSGPERAAFIRELEEVL
+CPDLRPPVKKITQEEVKMMLSLLEERERDLNTAACIGQSLVKQNSVLLEENSKLEAMLDS
+AKEEILHLRQQVNLRDDLLQLYSDSDEEKDEDEEEEEEEEEEEEEEEEEGDEEEEQRPEH
+PCEASETPVTESESHHCPLPGPFLSPSLQVSQLDNLEEEEQIFILDCVEQFSEASQQMAK
+LSEVLALRMENYEQQQREVAQLQGQVTKLQRCLGKASRLGVPGSHQSLWAGSQLQDLQEK
+YSECGDMLMEAQEKVKTLRQQAPASAGSVTHYTYTVPLEALPDFQETLTEELRMSIRRII
+SDPVFFMERYELRYREERAQEQGLEAGEGLTPTEDFVPVEELVPEEQLGVIEEAVPAEER
+VTEEEELVSEEAEAWEDLEPEVDETTQMNVVTSALEASGLGPLRLDIKYVLQQLANWQDA
+RFRQQLRQKMLQKGECSRGGLPLQQAKTNMGAGILEPGVPNQDLRRLEEEMANHTPKAWE
+EGATACLWFSPGRKPQDTAQ
+>tr|A0A3Q1MFV7|A0A3Q1MFV7_BOVIN Multiple EGF like domains 8 OS=Bos taurus OX=9913 GN=MEGF8 PE=4 SV=1
+MALGRALAVALAVSLAVLGPLSPGAQAGDCKGQRQVLREAPGFVTDGAGNYSVNGNCEWL
+IEAPSSQHRILLDFLFLDTECTYDYLFVYDGDSPRGPLLASLSGSTRPPPIEASSGKMLL
+HLFSDANYNLLGFNASFRFSLCPGGCRSHGQCQPPGVCVCEPGWGGPDCSLQECSAYCGS
+HGTCTSPLGPCRCEPGFLGRACDLHLWENQGAGWWHNVSAGDPAFSARIGAAGAFLSPLG
+LLAVFGGQDLNRALGDLVLYNFSANTWERWDLSPAPAARHSHVAVAWAGSLVLMGGELAD
+GTLTSDIWAFSPMGGGRWELLAPPASSPSGPPGLAGHAAALVDNTWLYVSGGRTQHDLFS
+SGLFRFRLDGTSGGYWEQVMPAGGRPPAATGHSMVFHAASRALLVHGGHRPSTARFSVRV
+NSTELFHVDRRVWTTLKGREGLHGPRERAFHTASVLGNYMLVYGGNVHTHYQEEKCYEDG
+IFFYHLGCHQWVSGAELAPPGTPEGRAAPPSGRYSHVAAVLGGSVLLVAGGYSGRPRGDL
+MAYKVPPFVFQAPAPDYHLDYCSMYTDHSVCSRDPECSWCQGACQAAPPPGTPSGACPAA
+SCLGLSRLLGDCQACLAFSSSAAPPQGPGALGWCVHNESCLPRPEQARCRGEQISGTVGW
+WGPAPVFVTSLEACVTQSFLPGLHLLTFQQPPNASQPDKVLIVRSTTITLTPSSETDVSL
+VYRGFIHPLLPGGPGGSGAEDVAVWARAQRLHVLARMARGPDTENMEEVGRWVAQQEKET
+RRLQRPGSARLFPLPGRGHKYAVEIRGQLNGSAGPGHSELTLLWDRTGVPGGSEISFFFL
+EPYRSSACASYSSCLGCLADQGCGWCLASATCHLRQSGAHCRDAGAGGSLLVLVPALCPL
+CEEHRDCHACTQDPFCEWHQSTSRKGDAACSRRGRGRGALKSPEECPPLCSQRLTCDDCL
+ANSSQCAWCQSTRTCFLFAAYLARYPHGGCRGWDDSVHSEPRCRSCDRFPSCHECLQSHE
+CGWCGNEDNPTLGRCLQGDFSGPLSGGNCSLWVGEGLGLSVALPARWAYARCPDVDECRL
+GLARCHLRATCLNTPLSYECHCQRGYQGDGVTYCNRTCLEDCGHGVCSGPPDFTCVCDLG
+WTSDLPLPTPAPGPPAPRCSRDCGCNFHSHCHKRGPGFCDECQDWTWGEHCERCRPGSFG
+NATGSGGCRPCQCNGHGDPRRGHCDNLSGLCFCQDHTEGAHCQLCSPGYYGDPRAGGSCF
+RECGGRALLTNVSSVALGSRRFGGLLPAGGGAARAGPGLSYCVWVVSATEVLQPCAPGTL
+CPPLTLTFSPDSSTPCTLSYVLAFDGFPRFLDTGVVQSDRSLIAAFCGQRRDRPLTVQAL
+SGLLVLHWEANGSSSWGFNASVGSARCGSGGPGSCPVPQECVPQDGVPGAGLCRCPQGWA
+GPHCRMAVCPENCNAHNGAGTCNQSLGVCVCAEGFGGPDCATKLDGGQLVWETLMDSRLS
+ADTASRFLHRLGHTMVEGPDATLWMFGGLGLPQGLLGNLYRYSVSERRWTQMLAGAEDGG
+PGPSPRSFHAAAYVPAGRGAMYLLGGLTAGGIARDFWVLNLTTLQWRQEKAPQTVELPAV
+AGHTLTARRGLSLLLVGGYSPENGFNQQLLEYQLATGTWVSGAQSGTPPTGLYGHSAVYH
+EATDSLYVFGGFRFHVELAAPSPELYSLHCPDRTWSLLAPSQGAKRGEGPSFPSAAGSGS
+TLDSYSPRPRLFHASALLGDTMVVLGGRSDPDEFSSDVLLYQVNCNTWLLPDLIGPASVG
+PPMEESVAHAVAAVGGHLFISGGFGGVALGRLLALTLPPDPCRLLPSPEACNQTGACTWC
+HGACLSGDQAHRLGCGGTPCSPMPHSPEECRRLRTCSECLARHPRTLQPGDGEASAPRCK
+WCTNCPEGACIGRNGSCTSENDCRINQREVFWAGNCSEAVCGAADCEQCTREGKCMWTRQ
+FKRTGETRRILSVQPTYDWTCFSHSLLNVSPMPVESSPPLPCPTPCHLLPNCTSCLDSKG
+ADGGWQHCVWSSSLQQCLSPSYLPLRCMAGGCGRLLRGPESCSLGCAQATQCALCLRRPH
+CGWCTWGGQDGGGRCLEGGLSGPRDGLTCGRPGASWAFLSCPPEDECANGHHDCNETQNC
+HDRPHGYECSCKAGYTMDNVTGLCRPVCAQGCVNGSCVEPDHCRCHFGFVGRNCSTECRC
+NRHSDCAGVGARDHCLLCHNHTKGSHCEQCLPLFVGSAVGGGTCRPCHAFCRGNSHVCVS
+RKELEIARREPEKYSLDPDEIEHWATEGPSEDEAVCVNCQNNSYGDKCESCLHGYFLLDG
+KCTKCQCNGHADTCNEQDGTGCPCQNNTETGTCQGSSPSDRRDCYKYQCAKCRESFHGSP
+LGGQQCYRLISVEQEYCLDPTSQTNCFHEPKRRALGPGRTVLFGVQPKFTNVDIRLTLDV
+TFGAVDLYVSTSYDTFVVRVAPDTGVHTVHIQPPPPPPPPPPPADGGPRGPGDLGGPGAG
+GGPATPAEPRVREVWPRGLITYVTVTEPSAVLVVRGVRDRLVITYPHEHHTLKSSRFYLL
+LLGVGDPSGPSANGSADSQGLLFFRQDQAHIDLFVFFSVFFSCFFLFLSLCVLLWKAKQA
+LDHRQEQRRHLQEMTKMASRPFAKVTVCFPPDPAAPAPTWKPAGLPPPAFRRSEPFLAPL
+LLTGAGGPWGPVGGGCCPPAIPTTTAGLRAGPITLEPTEDGMAGVATLLLQLPGGPHAPN
+GACLGSALVTLRHRLHEYCGGGGGAGGSGHGAGAGRKGLLSQDNLTSMSL
+>tr|A0A3Q1M7D1|A0A3Q1M7D1_BOVIN Dynein heavy chain 12, axonemal OS=Bos taurus OX=9913 GN=DNAH12 PE=4 SV=1
+MSDPNKAAIAAEKEALNLKLPPIVRPPEDIGVDTPAQSKLLSYRRSKEQQKTINQLVIEG
+AKRNLDRTLGKRTPSSPEPDYPPTMTSEIKKKAFNYIYLKQCVESSPIVPIQQEWLDHML
+MLVPESLKEGKEQEELVQSLINEVSRDFEKSMKRYLVRSVLVRPDINWLEDEGGPIPESP
+VGLDYSKPWHSNYLQTRNQILANLHIVHPSMKMLLDLGYTTFANTVLLDLTGIRGKGPID
+CESLRNDLSIQARKAEEKIMNTWYPKVINLFTKKEALEGIKPEKLDAFYNCVSTLMSNQL
+KDLLKRTVEGFVKLFDPEDQRRLPIFKMELTLDDDKMEFYPTFQDLEDVVFGLVERIAEA
+LQNVQTVPSWLSGTSTPVNLDTELPEHVLQWALDKLKVAVHCNLEGARKHYETYVEKYSW
+LIDGTAVKLIETFQAEDHTFDEYTKFIGKFFNLASEIMLLPQWVHFPMVRLDCEDLKTGL
+TNKARTFANMLLNDIASKHRKENENICSEFEAVKEHALKVPETTEEMMELISYVEKARTV
+GIQELVSRIKESKRRMSYFLDVFLFPPEDLALNSAVLMWPWKINPIFDENDELIENAKHR
+KENELIAKREKLILEVEKESRRMEEFAEFAELDRMQQYVTDVRQLQKRIQESEEAVQFIN
+KEEELFKWELTKYPELDKLKVNIEPYQKFFNLVLKWQRTEKRWMDGGFLDLNGEAMETDV
+EEFSREIFKTLKFFQTKQKKELQEKRKAAKRRSLEEEKLEEEPKENPTITMCTTVMEQIK
+VFKDYIPTVSILCNPGMRARHWKQLSEIVGYDLTPDSGTTLRKILKLNLAPYLDKFEVIS
+AGASKEFSLEKAMHTMMGTWDDIAFHISLYRDTGVCILSSVDEIQALLDDQIIKTQTMRG
+SPFIKPFEKEIKAWEDRLIRIQETIDEWLKVQAHWLYLEPIFCSEDIMQQMPEEGRQFQT
+VDRHWRDIMKFCAKDPKVLAATSLTGLLEKLQNCNELLEKIMKGLNAYLEKKRLFFPRFF
+FLSNDEMLEILSETKDPLRVQPHLKKCFEGIAKLEFLPNLDIKAMYSSEGERVELIALIS
+TSAARGAVEKWLIQVEDLMLRSIHDVIAAARLAYPESARRDWVREWPGQVVLCVSQMFWT
+SETQEVISGGTEGLKKYYKELQNQLNDIVELVRGKLSKQTRITLGALVTIDVHARDVVMD
+MIDMGVSHDTDFQWLAQLRYYWEYDNARVRIINCNVKYAYEYLGNSPRLVITPLTDRCYR
+TLIGAFYLNLGGAPEGPAGTGKTETTKDLAKALAVQCVVFNCSDGLDYLAMGKFFKGLAS
+SGAWACFDEFNRIELEVLSVVAQQILCIQRAIQQKLEVFTFEGTELKLNPNCFVAITMNP
+GYAGRSELPDNLKVLFRTVAMMVPNYALIAEISLYSYGFLNAKPLSVKIVMTYRLCSEQL
+SSQFHYDYGMRAVKAVLIAAGNLKLKFPNENEDILLLRSIKDVNEPKFLSHDIPLFNGIT
+SDLFPGVKLPEADYHEFLECAHEACKVHNLQPVKFFLEKMIQTYEMMIVRHGFMLVGEPF
+AGKTKVLHMLADTLTLMNERGCGEEEKVIYRTVNPKSITMGQLFGQFDPVSHEWTDGIVA
+NTFREFALSETPDRKWVVFDGPIDTLWIESMNTVLDDNKKLCLMSGEIIQMSPQMSLIFE
+TMDLSQASPATVSRCGMIYLEPSQLGWKPLVSSWLNSLKGPLQEPDHQALLRGLFDWLIK
+PTLKLRKKKCKELIPTSDSNVVVSLTRLFEVLLCNAVENDPTSKHIRVWIMACFIFSLIW
+SIGGSCDTDGRIVFDVYIRIVLMGKDENNPIPDSVGKWECNFDEKGLVYDYMYELKNRGR
+WIHWNELIKSTSLGNRRVKIQDIIVPTMDTIRYTFLMDLSITYAKPLLFVGPTGTGKSVY
+VKDKLMNHLEKDLYFPFYVNFSARTSANQVQNIIMARLDKRRKGVFGPPMGKKCIIFIDD
+MNMPALEKYGAQPPIELLRQFFDCGNWYDLKDTSKITLVDIQLIAAMGPPGGGRNPVTPR
+FIRHFNICTINTFSDETMVRIFSSIVAFYLRTHDFPPEYFLVGNQIVSGTMEIYKQSMEN
+LLPTPTKSHYTFNLRDFSRVIQGCLLIERDAVEGKHTMIRLFVHEVLRVFYDRLINDSDR
+FWLFTLTKNVVKDHFKESFDSIFSHLRKGNAPISEEELRNLMFGDYLNPDLEGDDRVYIE
+IPNIHHFSDVVDQCLDEYNQTHKTRMNLVIFRYVLEHLSRICRILKQSGGNALLVGLGGS
+GRQSLTRLATSMAKMQIFQPEISKSYGMNEWREDLKALLRNVGMKGQKTVFLITDTQIKE
+EAFLEDIDSVLNTGEVPNIFAADEKQEVMEGVRPVAQAGNKHGELSPLALFAFFVNRCKE
+NLHVVVAFSPIGDAFRNRLRQFPSLINCCTIDWFQPWPEDALERVAVKFLETLELTDVER
+REIVPICKHFHTSIMELSERFLQELGRHNYVTATSYLELIASFRQLLTKRRQAIMEAKQR
+YVNGLDKLAFAGSQVGEMKTELVQLQPKLEEAKVENANMMQLIEIESAQVEAKRKFVKFD
+EEIASGKAEEAQTLKNECESDLAEAIPALEAALSALDTLKPADITIVKSMKNPPSGVKLV
+MAAVCVMKDIKPEKISDPSGTGGKILDYWGPSKKLLGDMNFLRDLREYDKDNIPVTVMQK
+IRGEYLTNPEFDPPKVAKASSAAEGLCKWIMAMEVYDRVAKVVAPKKARLTEAQKSLAET
+MELLNQKREELAEVEHHLENLERIFLEKTEEKARLEDQVELCAKKLERASKLIGGLGGEK
+SRWSQAADDLQIVYENLTGDVLISAGVIAYLGAFTSGFRQTCTEDWSMLCKEKKIPCSEE
+FSLSKTLGDPVTIRAWNIAGLPTDTFSVDNGVIVNNSRRWPLMIDPQGQANKWIKNSEKE
+NQLSVIKLSDADYMRTLENCIQFGTPLLLENVGEELDPSLEPLLLRQTFKQGGIDCIRLG
+EVIIEYSFDFKFYITTKLRNPHYMPELATKVSLLNFMITPEGLEDQLLGIVVAKERPELE
+EERNALILQSAANKKQLKDIEKKILETLSSSEGNILEDESAIKILDSAKLMSNEITKKQQ
+IAEKTELKIAESREGYRPIAKHSSVLFFSIADLANIDPMYQYSLIWFVNLYINSIHDSNK
+SKILEKRLRYLNDHFTYNLYCNICRSLFEKDKLLFSFLLCANLLLAKKEIEYQELMFLLT
+GGVSLKSAEKNPDPTWLQDKSWEEICRASEFPAFRGLRYLDHFYDNVSEWREIYDSKEPH
+NAKFPAPMDTRLNELQKIIILRCLRPDKITPAITNYVTDKLGKKFVEPPPFDLTKSYLDS
+NCTIPLIFVLSPGADPMASLLKFANDKAMSGNKFQAISLGQGQGPIATKMVKAAIEEGTW
+VCLQNCHLAVSWMPMLEKICEDFSPEACNSSFRLWLTSYPSPKFPVTILQNGVKMTNEPP
+TGLRLNLLQSYLTDPVSDPEFFSGCEGKELAWEKLLFGVCFFHALVQERKKFGPLGWNIP
+YGFNESDLRISIRQLQLFINEYDTIPFEAISYLTGECNYGGRVTDDWDRRLLLTMLADFY
+NPHIIENSHYKFSPSGNYFAPPKGTYNEYIEFIKKLPFTQHPEIFGLHENVDISKDLQQT
+KVLFESLLLTQGGSKQTGSSGSTDQILLEITKDILNKLPSDFDIESALLKYPVRYEESMN
+TVLVQEMERFNNLIKTIRNTLRDLEKAIRGVVVMDSALEALSGSLLVGKVPEIWAQRSYP
+SLKPLGSYITDFLARLNFLQDWYNSGKPCVFWLSGFFFTQAFLTGAMQNYARKYTIPIDL
+LGYEFEVIPSDTSKEAPEDGVYIHGLYLDGARWDRTSGLLAEQYPKLLFDLMPIIWIKPT
+IKSKIVKSNAYVCPLYKTSERKGTLSTTGHSTNFVIAMLLKTDQPTQHWIKRGVALLCQL
+DD
+>tr|A0A3Q1LKF9|A0A3Q1LKF9_BOVIN DAB2, clathrin adaptor protein OS=Bos taurus OX=9913 GN=DAB2 PE=4 SV=1
+MSNEVETSATNGQPDQQAAPKAPSKKEKKKGTEKTDEYLLARFKGDGVKYKAKLIGIDDV
+PDARGDKMSQDSMMKLKGMAAAARSQGQHKQRIWVNISLSGIKIIDEKTGVIEHEHPVNK
+ISFIARDVTDNRAFGYVCGGEGQHQFFAIKTGQQAEPLVIDLKDLFQVIYNTKKKEEEKK
+KMEEANKTVENGSDALMNLDDPPCKLKLGVDQMDLFGDMSTPPDLSSPTSPAKDLLAADI
+FAPPISEPPGQSPGQPATLQTNPLDLFKTSAPAPLGPLPGLGGVPVLSAQAAPWSPAPLV
+FSQSPSMVPGAMMAGQPSGFSQPIVFTTSPSVPGWNQPSSFAVSVSSPPPVAWGTSASIT
+PNTWSANSPLGNPFQSNVFPAPAVSTPPPSMLSSLLATPPQPPPRTGPPKDVPSDAFIAL
+DPLGDREVKEVKEMFKDFQLRQPPTVPARKGEQNSSGTSSAFSNYFNSKVGIPQENADHD
+DLDANQLLRKINDLPKPAPRHSAPPVTKSADNAFENPFSKDSFHSSPASMASLQPTSSDI
+YRDPFGNPFA
+>tr|A0A3Q1LKK2|A0A3Q1LKK2_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 GN=LOC100139209 PE=4 SV=1
+MAPALPALLCLGEMGGPPFDFLPGTLPKPTIWAEPGSVISWGSPVTIWCQGPPGAQEFHL
+DKEGNRVFWDREKPPGPGDKARFSIHYMGQDHAGSYRCYYGTRTGWSERSDPLELVVTRP
+YVKPSLSALPSPVVMSGGNVTLQCGSRRGFNRFLLTKEGEDESSRALDGQRMLDGQTQAL
+FPVGPVTPGHRWTFRCYSFYRQSPRVWSAPSDPLELLVSGRLRDRPSLSVRPGPSVAPGE
+TVTLLCQSGDRTDTFLLSKEGASHRPLRLRSQDQDGRYQAEFSLSPVTSAHGGTYRCYGS
+LSTDPYLLSQPSEPLALVVAGEAQSVLSNGAGADLCALTPDYTVQNLTRMGLAASVLLLL
+GILLCQAWHDHGGAEMQPGAEHRDPAPPRAQEPGTRASGVGASG
+>tr|A0A3Q1LMP1|A0A3Q1LMP1_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC783812 PE=3 SV=1
+METKNATEVREFILTGLTHQPEWQIPLFLLFFMIYLITVMGNTGLIALIYNDPQLHIPMY
+SFLGNLAFVDTWLSSTVTPKMLVNFLGKSKTISLSECKVQFFSFAISVTTECFLLATMAY
+DRYAAICKPLLYPVIMTNRLCMQLLVSSFVGGLIHALIHTGFLFRLTFCNSNIILHFYCD
+VMPLIKISCTDPSINVLMIFIFSGSIQVFTILIVLVSYTLVLFTILKKKSLQGLRKAFST
+CGAHLLSVSLYYGPLLFMYVRPGSTQADDQDMMDSLFYTVIIPFLNPIIYSLRNKKVIDS
+LTKMLKRDGCIS
+>tr|E1B7E6|E1B7E6_BOVIN Piwi like RNA-mediated gene silencing 2 OS=Bos taurus OX=9913 GN=PIWIL2 PE=3 SV=3
+MDPVRPRFRGLSPVHPSQSVRMPGSWPQAPKPLDPALSRAGPAGRGHVFGKQKEPSPQSG
+PVQKESVGLVSMFRGLGLETASQTPLKREVPPLGRGILGLGLSSSMACKDKEEPHPTFLD
+PSSLAAGDSKMAEASVGWNRWVKRGTLDASLLPVGRAAGLGRGIYKAPGALSPPYQDPAQ
+LSPLPALPQAPLYSLDTPPLGTAERKKELFVKQGSKGTPQSLGLNLIKIQCHNEAVYQYH
+VTFSPNVECKSMRFGMLKDHQAVTGNVTAFDGSILYLPVRLQQVLELKSQRKTDSAEITI
+KIQLTKILEPCSDLCIPFYNVVFRRVMKLLDMKLVGRNFYDPTSAMVLQQHRLQIWPGYA
+ASIRRTDGGLFLLADVSHKVIRNDSVLDIMHTMYLQNKENFQDECTKLLVGNIVITRYNN
+RTYRIDDVDWNKTPKDSFIMSDGTEITFLDYYSKNYGITVKEEDQPLLIHRPSERQNNQG
+MLLKSEILLLPELSFMTGIPEKMKKDFRAMKDLTQQINLSPKQHHSALECLLQRISKNET
+ANNELTRWGLYLQKDVHKIEGRVLPMERINLRNISFITSPELNWIKEVTRDLSILTVPMH
+FWALFYPKRAMDQARELVNMLEKIAGPIGMRMSPPAWVELKDDRIETYVRTIQSMLGVEG
+KIQMVVCIIMGTRDDLYGAIKKLCCVQTPVPSQVINVRTIGQPTRLRSVAQKILLQINCK
+LGGELWGVDIPLKQLMVIGMDVYHDPSRGMRSVVGFVASINLTLTKWYSRVVFQMPHQEI
+VDSLKLCLVGSLKKFYEVNHCLPDKIVVYRDGVSDGQLKTVASYEIPQLQKCFEAFENYH
+PKMVVFVVQKKISTNLYLAATENFATPSPGTVVDHTITSCEWVDFYLLAHHVRQGCGIPT
+HYVCVLNTANLSPDHMQRLTFKLCHMYWNWPGTIRVPAPCKYAHKLAFLSGQILHHEPAI
+QLCENLFFL
+>tr|A0A3Q1LYE1|A0A3Q1LYE1_BOVIN Required for excision 1-B domain-containing protein OS=Bos taurus OX=9913 GN=REX1BD PE=4 SV=1
+MITAEAASESTVPAVPGDTAATGVPEHEELVWPWKDAPIRELVQRIHQLQAERAQAFRRL
+EEGHRQYLSSGPPYDFPRYRSTVHEVTQVFAAASREVLAVEAELAGPRAQPLLASHVRSL
+QQLEETRLTTVALLQLMGTPELTGQEDSLQMHQLKMKTQLHTSHPSILLTWLDSEL
+>tr|G3MWS2|G3MWS2_BOVIN Olfactory receptor OS=Bos taurus OX=9913 PE=3 SV=2
+GEFVLDGFGGGPQTQALLFTLFLVLYLVDVLGNLTMIVVITLDARLHSPMYFFLKNLSFL
+DLCYSSVIYPKALFDLMSSTKFFFFSTMITTEGFLLAVMAYDRFMAICNPLRYPISMRPS
+VCALLMLGCYCGGSFNSIMQTSFTFSLPFCSSNHIDHFFCDMPPLLKLACADTTINELVM
+FSICGLIIVGTILVVLISYGYITVTILRIRSGGGRHKLFSTCGSHMTAVFLLYGTIFVMY
+AQPGAVQSMEQGKVVSVFYTLVIPMLNPLIYSLRNKDVKDALWRLGQKHTAT
+>tr|A0A3Q1LHB1|A0A3Q1LHB1_BOVIN DEAD-box helicase 6 OS=Bos taurus OX=9913 GN=DDX6 PE=1 SV=1
+MSTARTENPVIMGLSSQNGQLRGPVKPSGGPGGGGTQTQQQMNQLKNTNTINNGTQQQAQ
+SMTTTIKYFLKHGNEFEDYCLKRELLMGIFEMGWEKPSPIQEESIPIALSGRDILARAKN
+GTGKSGAYLIPLLERLDLKKDNIQAMVIVPTRELALQVSQICIQVSKHMGGAKVMATTGG
+TNLRDDIMRLDDTVHVVIATPGRILDLIKKGVAKVDHVQMIVLDEADKLLSQDFVQIMED
+IILTLPKNRQILLYSATFPLSVQKFMNSHLQKPYEINLMEELTLKGVTQYYAYVTERQKV
+HCLNTLFSRLQINQSIIFCNSSQRVELLAKKISQLGYSCFYIHAKMRQEHRNRVFHDFRN
+GLCRNLVCTDLFTRGIDIQAVNVVINFDFPKLAETYLHRIGRSGRFGHLGLAINLITYDD
+RFNLKSIEEQLGTEIKPIPSNIDKSLYVAEYHSEPVEDEKA
+>tr|G5E597|G5E597_BOVIN N-acetylglucosamine-1-phosphodiester alpha-N-acetylglucosaminidase OS=Bos taurus OX=9913 GN=NAGPA PE=4 SV=2
+MASSMGRFLLFFIALRGFLLEASGDFGSGASRDDDVLLPYSRARARLARDCTRVHAGRLE
+HHESWPPAAQTAGAHRPSVRTFVSYFADRAVPGHLTRAPEPLRTFSVLEPGGPGGCASKR
+RATVEETARPSGCTVAQNGGFFRMETGECLGNVVSGGRRVSSAGGLQNAQFGIRRDGTLV
+TGYLSEEEVLDTENPFVQLLSGVVWLIRNGSIYINESQAAECEETQETGSFNRFVNVISA
+RTAVGHDRKGQLVLLHVDGQTEQRGINLWEMAEFLLKQDVVNAINLDGGGSATFVLNGTL
+ASYPSDHCQDNMWRCPRRVSTVVCVHEPRCQPPDCSGHGTCMEGRCQCTGHFWRGAACDK
+LDCGPANCSQHGLCTETGCRCEAGWTGSNCSEACTSGFFGEDCAQKCQCHNGATCDPVQG
+TCACPPGFTGDTCVQECPLGWYGPGCQSPCKCEHQCPCDPQTGNCSVNWSPTLSSLFSRV
+KECFPPPEVTVQAEELSLLTRTTWLAITLALAFLLLISTAANVSLFLGSRAARRRHLDGA
+YVYHPLQEVNGEHPAAEKEQLGDSSNPFKD
+>tr|A0A3Q1MNZ9|A0A3Q1MNZ9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MGQKECRQEERPGASGPGGRELGTPRSLKVARRTARRVRGHLRLTLPDRRLLSCLLLAHL
+PCGSALPPPPPPPPPSDPHLHPHPHPHPHPLQRPTVPLPHRLQLHRSQCIYFP
+>tr|F1N377|F1N377_BOVIN DENN domain containing 4B OS=Bos taurus OX=9913 GN=DENND4B PE=4 SV=3
+MEADAVSEGGAMAEERPPRLVDYFVIAGLAGNGAPIPEETWIPEPSGPLRPPRPAEPITD
+VAVIARALGEEVPQGYTCIQASAGGHPLELSAGLLGGTQPVICYRRGRDKPPLVELGVLY
+EGKERPKPGFQVLDTTPYSHSANLAPPGPGHPRTYLTYRRAAEGAGLHALGITDLCLVLP
+SKGEGTPHTYCRLPRNLNPGMWGPAVYLCYKVGLAKANTLVYEAELLGRYPEEDNEAFPL
+PESVPVFCLPMGATIECWPAQTKYPVPVFSTFVLTGAAGDKVYGAALQFYEAFPRARLSE
+RQARALGLLSAVERGRALGGRAVRSRRAIAVLSRWPAFPAFRAFLTFLYRYSVSGPHRLP
+LEAHISHFIHNVPFPSPQRPRILVQMSPYDNLLLCQPVSSPLPLSGASFLQLLQTLGPEL
+AITLLLAVLTEHKLLVHSLRPDLLTSVCEALVSMIFPLHWQCPYIPLCPLVLADVLSAPV
+PFIVGIHSSYFDLHDPPVDVICVDLDTNTLFQTEEKKPLSPRTLPRRPYKVLLATLTHLY
+QQLDQTYTGPEEEASLEFLLTDYEAVCGRRARLEREVQGAFLRFMACLLKGYRDFLRPLT
+QAPSEGSRDVDNLFFLQGFLKSRERSSHKLYSQLLHTQMFSQFIEECSFGSARHAALEFF
+DSCVDKVHPEQEKPEPVPLVELEELSGSELTVFIMPPEEPPAPEGSESTPQYCYDGFPEL
+RAELFEAPQEQAGALPVPGPSRSAPSSPAPRRTKQEMKVAQRMAQKSAAVPELWARCLLG
+HCYGLWFLCLPAYVRSAPSRVRALQTAYQVLRQMESRKVVLPDEVCYRVLMQLCSHYGQP
+VLSVRVMLDMRRAGIVPNTITYGYYNKAVLESKWPSGTPGGRLRWAKLRNVVLGAAQFRQ
+PLREQRQRQQKREEVETAAQEAGSSKTEPYLERPSPTRPLQRQTTWAGRSLRDPASPMGR
+LVKSGSLGSARGAQPTVEAGVAHMIEALGVLEPRGSPIPWHDGSLSDLSLTGEESAPGGS
+PGDSGSALSTQSTETLEGPSGRVPKAGGRQDEASTPRRGLGARLQQLLTPSRRSPTSRAP
+PSELPPDLPPSARRSPMDSLLHPRERPGSTASESSASLGSEWDLSESSLSSVSLRRSSER
+LSDTPGSFQPPSLEILLSSCSLCRACDSLVYDEEIMAGWAPDDSNLNTTCPFCACPFVPL
+LSVQTLDSRPSAPSPKPAPAGASGSSNAPVPGGPGPVLSDRRLCLALDEPQLCNGHMGTA
+SRRVESGAWAYLSPLVLRKELESLVENEGSEVLALPELPAAHPIIFWNLLWYFQRLRLPS
+ILPCLVLASRDGPPPHQAPAPWIMPDPASVQVRLLWDVLTPDPDSCPPLYVLWRVHSQIP
+QRVVWPGPVPASLSLALLESVLRHVGLNEVHKAIGLLLETLGPPPTGLHLQRGIYREILF
+LTLAALGKDHMDIVAFDKKYKSAFNKLASTMGKEELRQRRAQMPTPKAIDCRKCFGAPLE
+C
+>tr|A0A3Q1N0M4|A0A3Q1N0M4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC100848850 PE=4 SV=1
+MTHSCCSPCCQPICRTTCCESSCCKPCCPPTCCQTTCCRTTCCKPTCVTTCCQPSCCSKP
+CCQPTCCESICCQPSCPPTCYQASETTCCRTTCRKPTCVTTCCQPTCCGSSSCGQTFSGS
+SCGQPCCQPVCCAPVYCHRICYHPTCCCLPGCQDQSCGSSCCQPCSGPVCCQTTCCRTTC
+CRPSCVSSCCPSSC
+>tr|A0A3Q1LRX6|A0A3Q1LRX6_BOVIN Prostaglandin E2 receptor EP3 subtype OS=Bos taurus OX=9913 GN=PTGER3 PE=4 SV=1
+MKATRDHASAPFCTRFNHSDPGIWAAERAVEAPNNLTLPPEPSEDCGSVSVAFSMTMMIT
+GFVGNALAITLVSKSYRRREGKRKKSFLLCIGWLALTDMVGQLLTSPVVIVLYLSHQRWE
+QLDPSGRLCTFFGLTMTVFGLSSLFIASAMAVERALATRAPHWYSSHMKTSVTRAVLLGV
+WLAVLAFALLPVLGVGQYTIQWPGTWCFISTGPGGNGTNSRQNWGNVFFASAFAILGLSA
+LVVTFACNLATIKALVSRCRAKATASQSSAQWGRITTETAIQLMGIMCVLSVCWSPLLIM
+MLKMIFNHTSVEHCKTYTENQDECNFFLIAVRLASLNQILDPWVYLLLRKILLQKFCQKY
+KFIFKCSASYCFITKYIICINNLRNYYSQVVIEITVKETSLFIQPQKFIKTKQPKTHA
+>tr|F1N4N6|F1N4N6_BOVIN SWI/SNF related, matrix associated, actin dependent regulator of chromatin subfamily c member 2 OS=Bos taurus OX=9913 GN=SMARCC2 PE=4 SV=3
+MAVRKKDGGPNVKYYEAADTVTQFDNVRLWLGKNYKKYIQAEPPTNKSLSSLVVQLLQFQ
+EEVFGKHVSNAPLTKLPIKCFLDFKAGGSLCHILAAAYKFKSDQGWRRYDFQNPSRMDRN
+VEMFMTIEKSLVQNNCLSRPNIFLCPEIEPKLLGKLKDIIKRHQGTVTEDKNSASHVVYP
+VPGNLEEEEWVRPVMKRDKQVLLHWGYYPDSYDTWIPASEIEASVEDAPTPEKPRKVHAK
+WILDTDTFNEWMNEEDYEVNDDKNPVSRRKKISAKTLTDEVNSPDSDRRDKKGGNYKKRK
+RSPSPSPTPEAKKKNAKKGPSTPYTKSKRGHREEEQEDLTKDMDEPSPVPNVEEVTLPKT
+VNTKKDSESAPVKGGTMTDLDEQEDESMETTGKDEDESSTGNKGEQTKNPDLHEDNVTEQ
+THHIIIPSYAAWFDYNSVHAIERRALPEFFNGKNKSKTPEIYLAYRNFMIDTYRLNPQEY
+LTSTACRRNLAGDVCAIMRVHAFLEQWGLINYQVDAESRPTPMGPPPTSHFHVLADTPSG
+LVPLQPKTPQGRQVDADTKAGRKGKELDDLVPETAKGKPELQNSASQQMLNFPDKGKEKP
+TDMQNFGLRTDMYTKKNVPSKSKAAASATREWTEQETLLLLEALEMYKDDWNKVSEHVGS
+RTQDECILHFLRLPIEDPYLEDSEASLGPLAYQPIPFSQSGNPVMSTVAFLASVVDPRVA
+SAAAKSALEEFSKMKEEVPTALVEAHVRKVEEAAKVTGKADPAFGLESSGIAGTTSDEPE
+RIEESGTDEARAEGQATEDKKEPKEPREGVGTVEEEAKEKTSEAPKKDDEKGKDGDSEKE
+SEKSDGDPTADPEKEKEPKEGQEEVLKEVVESEGERKTKVERDIGEGNLSTAAAAALAAA
+AVKAKHLAAVEERKIKSLVALLVETQMKKLEIKLRHFEELETIMDREREALEYQRQQLLA
+DRQAFHMEQLKYAEMRARQQHFQQMHQQQQQPPPALPPGSQPIPPPGAAGPPTVHGLAMA
+PASVAPAPAGSGAPPGSLGPSEQLGQAGSTVGPQQQPPAGAPQPGAVPPGVPPPGPHGPS
+PFPNQQTPPSMMPGAVPGSGHPGVAAQSPAIVAAVQGNLLPSASPLPDPGTPLPPDPTAP
+SPGTVTPVPPPQ
+>tr|A0A3Q1LTJ3|A0A3Q1LTJ3_BOVIN Endoplasmic reticulum membrane sensor NFE2L1 OS=Bos taurus OX=9913 GN=NFE2L1 PE=3 SV=1
+MLSLKKYLTEGLLQFTILLSLIGVRVDVDTYLTSQLPPLREIILGPSSAYTQTQFHNLRN
+TLDGYGIHPKSIDLDNYFTARRLLNQVRALDRFQVPTTEVNAWLVHRDPEGSVSGSQPSS
+GLALESSSGLQDVTGPDNGVRESETEQGFSEDLEDLGAVAPPVSGDLTKEDIDLIDILWR
+QDIDLGAGREIFDYSHRQKEQDVDKELRDGAEQEDTWPGEGAEALARNLLVDGETGESFP
+AQFPADISSITEAVPSESEPPGLQNNLLSPLLTGTESPFDLEQQWQDLMSIMEMQAMEVN
+TSTSEVLYNAPPGDPLSTNYSLAPNTPINQNVSLHQASLGGCSQDFSLFSPEVESLPVAS
+SSTLLPLVPSNSTSLNSTFGSTNLAGLFFPPQLNGTANDTAGPELPDPLGGLLDEAMLDE
+ISLMDLAIEEGFNPVQASQLEEEFDSDSGLSLDSSHSPSSLSSSEGSSSSSSSSSSSSSS
+SASSSASSSFSEEGAVGYSSDSETLDLEEAEGAVGYQPEYSKFCRMSYQDPSQLSCLPYL
+EHVGHNHTYNMAPSALDSADLPPPSTLKKGSKEKQADFLDKQMSRDEHRARAMKIPFTND
+KIINLPVEEFNELLSKYQLSEAQLSLIRDIRRRGKNKMAAQNCRKRKLDTILNLERDVED
+LQRDKARLLREKVEFLRSLRQMKQKVQSLYQEVFGRLRDENGRPYSPSQYALQYAGDGSV
+LLIPRTLADQQARRQERKPKDRRK
+>tr|A0A3Q1LV49|A0A3Q1LV49_BOVIN RING-type domain-containing protein OS=Bos taurus OX=9913 GN=LOC509283 PE=4 SV=1
+MECPSCRHISKEEAPKFCSECGQRLLPAAPEGSNLKETSVPEVEMECGQELREESSPSLA
+LGSGDWQESPDEPCSNASWQTKRVSGVVSKGFVDAADGTSTSDELEADSYSAYKRRKEDA
+ILFEVTDTCSPESERSKRKKRNSKNASTSAELDSLSPSSLEPCSLSSLANSGLQDTASCQ
+SPAQQGSPTHQPGLPPSVDKIPLEAMGHPLQGEAAGEAEAAIGTESLSSLAPQDQTLGGA
+LPEGNDHQSPSPSTGEDLPTSASEGSPREKDTAQELLFPEPKADTSKPRKELQTTEQLAR
+APASGASAAAAEPAGSVERAKKDVKDKTEEMKEPPTSVPASRNHRQEIATKDQMADPGGK
+TNENEKAKPKNLKSPEGNNGNNAAPVKNEKEQRNQSTQRAKESPLSSTEGIMVYFHAIIS
+KHFGFNPDQHKVYVRGGKELGKPAWNHDVCEMHYSKDLHENGSLIEGSTTISKQHVDKPI
+PYKYVICRGKNSVEYEFIYKEQPKKGEHVNRCLRVKSSLLDSGDWHQYDDIICMRPPGTL
+QKWINHITDGTRKELVKGKQFSAAVMLDRIFSILETWNAINLKNFFTQFQQFYNVIRVPM
+IYEGEEQPWIALQYEEKKVRKHLWECLTKKMAPFLKTGGDPLPEDCPVKSKLRMGMIVLL
+LVEKFNFFLLEKDLASLCHLLHSDATSPDAFCRDLKHILETSQSWRQSLVNLCQMCMDKK
+VDFWVCALPVLHHCMDLSPPAQGSVMQPEDTWAALEGISFSENQLLQLMEKNRYLLNVDK
+YLFRSWFSLLPLSNLTPYMEHLIDYLSQCPPRVLDCLLGTWYRLHGLQEISQRNLENTFK
+MLLYLLDIYQEKILEEPLIQSYLTVCLKLHETTCRISKAHTFYEMPALSAEIVRRIIILK
+PVVDSAAGLGNETGKKNSVKTVFQGTLAATKSWLRKMFTMSIFQTGSSVTFTYSKEIEVW
+RRLVEINFPVEYDWKESLLGDMAGRLKQEMPLSRILAFCSSHWVAAGAEDSVAKCFEMCA
+IEAVGSVCQSQTSILGKISYNDLQKCGNLVSAVITKSWPTSRGEFVEDMGGVLNHLLTWP
+DIKHLFKLYGTNKEILANITKEGKKLMATAGSVFTEVTNDLISGTILVGHLELILEHMDR
+FLDIWQLKSKSSLAQDKKHPMEKVLNLRKIELFTLKKERTNVDSLLKLCGRVKDVIKVDF
+GEIAERHSEDLSGKKLNQVVTVTLSATPVDSKWTTHYNLSPEVQEMAKNAYLLKDSHIFQ
+IFWEEAAQELSEPEENLESEIFQPEDVYECLYCPCFKRFTKLYQDLKSGEVTFGEIDDIF
+KDFVNKYSDLTEDLQTMSALYPREQKDWIKERVQQIKEYHHLHQAVDSAKVILEVKENLG
+LTGDFSVLHTLLSFTVLDNFRHKKLDRINQQIVRAKKLLQDIDETRCQCLKELSRRKEFI
+SWVQEALRGITELKVFVDLASISAGENDIDVDRVACFHDAVQGYAPLLYELDTSAGFDEF
+MQHLKDLWKALDNDHNLPTKLHDSARNLEWLKTVKESHGSVELSSLSLATAINSRGIYVI
+KAPTDGQKISPDTVLHLILPEGQGGHEEKRDYSLEELKELLNKLMLMSGKKEHSNAEVVE
+VFSEVFCNVQRLVQSFINLYSAGNMLFRAWSAEVYCTPLKGASIQLDFCLGLMRPLVEGG
+PVVQLLEALCRQLEDFLGRWERFVAEKRAEHFYLNYYTAEQLVYLGLELGRETPSDAALT
+MLSFIKGNCTPRDVSEACRGPSGRANTHQERTVVEDLPLLPFSESRLVDKLRVIMKCSMT
+CMRVFLPHCLDLEALGHCLAHLARMAGPPVQRELSHGLQEGQPNLIVCGHSEVLPAALAI
+YMQTPHQPLPTYDEVLICTPETTFEEVALLLRRCLTPGSQGLGVYSLLYADQLSYEVACR
+AEALFRRLCTQPHREHYRLVMVCDCEREHCYLPSAFSQHKVLVTPQAPLKDIQAYLAHHF
+RVPEETPSAASVFQDRMCVGIVASERAGVGRSLFVKRLHGQLRMQFNGAKVPLKVIRLID
+PQVDENEVLRSLLPFLDAPYQRRPIIFHFDMTSSVRTGIWVFLFKLLILQYLMDINGKMW
+LRNPCHLYIIEILEGNSTLPRRPSKLNALVPQFSFLDVFPKVTCRSPKEVINMELDPQQS
+YKEPGMDQREFCSEIFQRPYQYLRRFHQKKNLDTFQYQKGSVEGSPGECIQHLLIYCGVI
+NPSWSELQNFARFLNYQLRDCEASLFCDPTFVGDTLMGFKNFVVTFMIFMARDFATPTLH
+TSDQSPGKYTFTMDGVKEEDLAPFTLRKRWESEPHPYVFFNSDHTSMTFIGFHFQSNQNG
+GVDAIDHLSGKVIKKDVMTVQLYQGLLLQRVPFNIDFDQLPRHEKIEKLCLALGIQWATD
+PDETYELTTDNMLKILAIEMRFRCGIPVIIMGETGCGKTRLIKFLSDLRRGGADAETMKL
+VKVHGGTTADMIYSKVREAEELARFNKAQYQLDTILFFDEANTTEAISCIKEVLCDHTVG
+GQPLAKNSGLHIIAACNPYRKHTQEMICRLESAGLGYRVSAEETAERLGSIPLRQLVYRV
+HALPPSLIPLVWDFGQLNDSAEKLYIHQIVQRLVKSIKVVQSETHVITEVLSASQGFMRE
+RQNECSFVSLRDVERCVKVFKWFYDHSEMLLSKLDSFLSETEVIQNDFERDPVLWSLVLA
+IGVCYHASLEKKESYRKAICKFFPAPYNDSRVILDEITRTQDLFLNGVSLRKTIARNLAL
+KENVFMMVICIELKIPLFLVGKPGSSKSLAKTIVADAMQGQAARSDLFRHLKEVHLVSFQ
+CSPHSTPQGIIGTFKQCARFQQGKDLEQYVSVVVLDEVGLAEDSPKMPLKALHPLLEDGC
+IEDDPAPHKKVGFIGISNWALDPAKMNRGIFVSRGSPDKGELIESARGICASDRLVQARI
+QGYFTSFAKAYETVCRRQDKEFFGLRDYYSLIKMVFAAAKASNKEPSPQDIAQAVLRNFS
+GKDDIHALDIFSANLPEAKYTEEVSTMQLIHQNIYGHLQKMHGRELDDSESRYLLVLTRN
+YVALRILQQKFFITDQPEIIFGSSFPKDQEYTQICRNINRVKICMETGKMVVLLNLQNLY
+ESLYDALNQYYVYLGGQKYVDLGLGTHRVKCRVHSDFRLIVIEEKDVVYKHFPIPLINRL
+EKHYLDINTVLEEWQKNIVEELKVWVEKFIDVKAEQFLARPKYSPSDVFIGYHSDTCASV
+VLQVLEQLGHEALTDELYQKVSEQAKSVLLDCATPDAVVRLNASSLGQFTAQSLSEEYYY
+KQHHNSFADFLQAHLCTMDSERHAIFTEITTFSRLLTSHDCELLESEVKDWAVKLTILSL
+QQFDTECSFLKEVRNCLAHTASCKILVIQTDFEDGSQSAQLIASAKYSAINEINKIQGDK
+NYILVYFITKLSRMGSGTSYVGFHGGLWHSVHIDDLRRSTVMVSDITKLQDVAISQLFKP
+EDAPKAQEDGEEAMETEAVTSQQVADVEMETESPEKNTSPTSGPEHDMQILDTTRLLRSC
+IQGAVGMLRDQNEGCQRSVRRVSILLRLLDEDGEYRATFLLVAKMRLYVLLMKQEENAIC
+NVKDWVVREASNQDALQEAGTFRQTLWKRVQGAVTPLLASVISCVDRDGNLELLVSPHSP
+SWARDLWMFIFRDVKLLNIPLVTIDTRSKGEMSYIVVQNYMNLPEKASNSVPFSWRIKDY
+LEELWVHTQYITGDAGLAEKLLELFQKTPLGSFLAQLTVDQRQELLQCYLKDFLLLTMRV
+STWEQLNVLQMALWSCINQLNAGRPEEEVSLPLVHLAYHRFRSRLQNFSRILTIHPPILL
+SLTKGTQNHTWAGREMSLDVLAAVACAEMLTENLLKPSPQAWLQTVKNLSMPLELLCSEG
+YMQDCGMMTRTLIRDIRTHWNRIFSISLFVEHVLLETESQIPELRKLVTNYVSLLNKCLL
+ENSDMKTHRPFMAVMTTLRECKDQVSESFTRFGVQPCPICLGDAQDPVCLPCDHVFCLRC
+IEVNLRLGHMGCPLCLTDLPDGYSPTVSQEHREVIEKHARFRQMCNSFFVDLVSTMCFKD
+NSPPQKEVVKDLLSLLFVEKELLREAPQRRREHTKSLSPFDDVVDKTPVIRSVVLKLLLK
+YSFCDVKEYIQIYLSELEKKPFLAEDKTELYMLFSNCLEDSIHEKNNALFIRDEFKYLRE
+EGQFLRTYQPVGCSRWLAGEASVEHLQKMARIRLCLDKASEILAELQDGSEVAEEKHRYL
+QQVERFCARVQNDWYRVYLVRKLTSQQGMEFVQSLSKEGHPAHWVFPKEVIAQQVRRRDH
+PGQMDRYLVHGNDYKVVRDAVGKAILESKPLAVEAALEACRSATSQEAVYLLLALFREVA
+TLYRSHNVDLHPKPQQCEAMKKFIEKSNTLSPDISAFAISLVNNELPLLRTGPGVSNLEG
+TVIEMAVHAATVLLCGQSQVLGPLKNLAFFPHLMVNAFLPTMPEDLLAQARNWKGLEGVT
+WYTCPNGHVCSVGECGKPMQQSFCIDCRAPIGGINHLPKEGFRVIKDTMDRTQTGHVLGN
+PPPRGAPVVSDRQMSPVVFLLIRLLTHLAMLLGATQSPQDLMNIIKPLVWDPKGFLQQHI
+QRDLEQLMNTLGRSADETASVVHLVLCRLLREQGHRSLNFDAQLSTRIQRNSWENLVQTL
+ILRELEHLDKTLLAVNAQISQDERISSNPVAKIVYGDPVTFLPHLPKNSMVHCSKMWSCR
+KRITIEYLQHIVEQKNGKESVPILWKFLQKEAELRLVKFLPEILALQRNLVKRFQNVSEA
+EYRSIQSFISSHDSDGLKKLTRSRITIFLSTWNKLRRSLETNGEIKLPKDYCSSDLDLDT
+DLEVILPRRKGRGLCSTALVSYLIKLHNEIVYAVEKFSREDNSYSVDASEIADLHVISYE
+VERDLIPLILSNCQYQVEQGGETLQEFDLEKIQRQIISRFLQGKPRLTLKGLPTLVYRRD
+WNYEHLFMDIKNKMPQSPLPNSAITALRGQLQSYSDACEALSAIEVTLGFLSTAGGDPDM
+HLNVYIQDMLKMGDLTSLVSKALNRCKLKHTIALWQFLSAYKSEQLLRLGKKPFGEIDSR
+YKADLSPENAKLLNTFLNQIGLDAFLLELHEMMILKLKNPKIAESFNPEWSLRDTLVSYM
+ETKDSEIPPEMESQFPEEILLSNCVAVWKMAAELKRNRQMR
+>tr|F1MRZ1|F1MRZ1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC505479 PE=4 SV=3
+LSDASEDRSAQFLLKPQKPIQPYICSTLGDFEEERDFLASYIFPQLNELCNSRGTYFKAV
+DLRWSGLEAQVPSLSNLFRQHFCLQSQHLKLCLDYVNSCFPFFICLLGQTYGDFLRNYSP
+VVFSKATDLSSLSRVEQNLYVAAKNGYPWVLEYPTCSLMELEVIQAAFLNESQFQYFYFR
+RGTMPLKTWDEEKEEMLSSGCPTDDEERLKIGMLKAKIISKGLPVRLYRDLHELGELILK
+DWLVVIEKLCPATVMTENTDFKHNFERFYHEEFTEKCKEVFVISKESSRIFEILERFALK
+DMESDFNSPAAGSSLDSVLRINPLPAYKSILLLSGERGCGKSTLLANWVNCFKTKYPNMV
+LIPHFVGSTCESSDIMSVIHYFITELQYKHYGTQLETDILNEDANILAFSLLLDVFIASI
+NVKPCILVLDGIEELAGIYGISGEKVKDISWLPGSLSPHCKLIVSTVSSSLSYKSLCARP
+DVRTVELVSAVDKEAQLHIFREYLSFTSRDPFRQSRYSLRKKTNLSPLKLTILANEYQEC
+RIYRNEFQCLKEYLGVASIQELWALILKRWVEDYSWTLKQKKANSDTVASGEGLDGWVSD
+TLCLLSISHCGLAEDEIYQLLDMLGYKGHYKVTTLHWAAFRNATRHWLQEKPNGLLYFRH
+QSLRSAVEHKLLGVITPVRESSPYSFQDPTNHKKTHFHRILVKYFQRQTDFWRVYQELPW
+HLKMSGCWEDLSSFLSSPSITDFITKTQSPSFWTRLHLIHYWNVLSEVGYDATEAYLLTA
+AKIKADQCQKMKKRCTLSVLECRLFKVTAADKCRLIFFIARFLKFLGKTSEAEELFLSIE
+NMLLQSQSMTKMLPRVQNAIGELCLEVGMTQEGFQYFQKAWFNLLEFSSRNLKDNQDLVK
+QKGRVLNNLAKSASEEYLKENHILQCATEISSLLDSNPCDQATMKYTEGVLTFAAGNACL
+AKMKLQECLNIRRNLFGEKSILVGEIMEFLADLLFFPLRHSERFQRKQAIEYYKQVIKIK
+ENAGTLANSSLVRNQLSISLSDTLCKLAGQLLVSDSCHQVMMEAVGYLYKSLDLRATYLG
+YSHSSIPGILHLLREIERTRGRRYWPQGLSQQSSEGPRHGASFLEHLLKLNYHSAQSSDT
+VSSAMCTKADKLQGAKSLDLVAETISDKSKHASGQGKKLLRPIVSISAVEKTRWKTQSNV
+EIWNGPEKAAVKKKKDYSSKTLPLGKMDGVVKLSWQQILSAKSESEKGLINANYQHPLVE
+SLSANNPWKSVSELISEKWLFHSPDYSSVSHKCFLQRRSQFETKLMEDLK
+>tr|F1MJV5|F1MJV5_BOVIN Ubiquitin carboxyl-terminal hydrolase OS=Bos taurus OX=9913 GN=USP14 PE=3 SV=2
+MPLYSVTVKWGKEKFEGVELNTDEPPMVFKAQLFALTGVQPARQKVMVKGGTLKDDDWGN
+IKMKNGMTVLMMGSADALPEEPSAKTVFVEDMTEEQLASAMELPCGLTNLGNTCYMNATV
+QCIRSVPELKDALKRYAGALRASGEMASAQYITAALRDLFDSMDKTSSSIPPIILLQFLH
+MAFPQFAEKGEQGQYLQQDANECWVQMMRVLQQKLEAIEDDTVKETDSSSASAVTPSKKK
+SLIDQFFGVEFETTMKCTESEEEEVTKGKESQLQLSCFINQEVKYLFTGLKLRLQEEITK
+QSPTLQRNALYIKSSKISRLPAYLTIQMVRFFYKEKESVNAKVLKDVKFPLMLDVYELCT
+PELQEKMVSFRSKFKDLEDKKVNQQPKTGDKDSSPQKEVKYEPFSFADDIGSNNCGYYDL
+QAVLTHQGRSSSSGHYVSWVKRXXDEWIKFDDDKVSIVTPEDILRLSGGGDWHIAYVLLY
+GPRRVEIMEEESEQ
+>tr|A0A3Q1LRW9|A0A3Q1LRW9_BOVIN SH3 and multiple ankyrin repeat domains 2 OS=Bos taurus OX=9913 GN=SHANK2 PE=4 SV=1
+MPRSPTSSEDEMAQSYSDSSEESDSDSSKEETIYDTIRATAQKPGGARAEEGQAHTLVLR
+IVIEDLQQTKCIRFNPDATVWVAKQRILCSLNQSLKDVLNYGLFQPASNGRDGKFLDEER
+LLREYPQPVGKGIPSLEFRYKKRVYRQTNLDEKQLAKLHTKTNLKKCMDHIQHRSVEKIV
+KMLDRGLDPNFHDLETGETPLTLAAQLDDPEEIIKALRNGGAHLDFRAKDGMTALHKAAR
+TRNLLALKTLLELGASPDYKDSYGLTPLYHTAVVGGDPCCCELLLHEHAAVSCKDENGWH
+EIHQACRYGHVQHLEHLLFYGADMGAQNASGNTALHICALYNQDSCARVLLFRGGDKELK
+NYNSQTPFQVAIIAGNFELAEYIKNHKETDVVPLVPTRRTVPAAPPLSHRPAGGWAQSCI
+LEEPSGLFPPAPGLHRTCSGEQAHWTSSVPFREAPAYSNRRRRPPSTLAAPRLLLRSNSD
+NNLHAGAPDWAVCAATSRLSLSPQLLQQTPGKADGAAKTLGSYTPGPRSRSPSLNRLGGA
+GEDAKRPQQPWHVGPAFPPGANKDVLSAFEYPGPRRKLYSAVPGRLFVVVKPYQPQVDGE
+IPLHRGDRVKVLSIGEGGFWEGSARGHIGWFPAECVEEVHCKPRDSQAETRADRSKKLFR
+HYTVGSYDSFDASSDCVIEEKTVVLQKKDNEGFGFVLRGAKADTPIEEFTPTPAFPALQY
+LESVDEGGVAWQAGLRTGDFLIEVNNENVVKVGHRQVVTMIRQGGNHLVLKVVTVTRSLD
+PDDTARKKAPPPPKRAPTTALTLRSKSMTSELEELDKPEEIIPASKPSRAAESVALESRV
+ATIRQRPTSRCFPSVADMNSVYERQGIAVMTPTVPGSPKGPFLGLPRGTMRRQKSIGITE
+EERQFLAPPMLKFTRSLSMPDTSEDIPPPPQSVPPSPPPPSPTAYNCPKSPTPRVYGTIK
+PAFNQNPATKVSPAARSDTVATIVREKGLYHRREVDRYSLDSEDLYSRGTGPQANFRGKR
+GQMPENPYSEVGKIASKAVYVPAKPARRKGMLVKQSNVEDSPEKTCSIPIPTIIVKEPST
+SSSGKSSQGSSVETDPQASEQPGQLRPDDSLTVSSPFAAAIAGAVRDREKRLEARRNSPA
+FLSTDLGDEDVGLGPPAPRARPSKFPEEGGFGEEDGAEPLSSPAPQEPENHFVGAGEAGA
+QGEAGRLPNAPGQAKVPEGSPAATPRSINIASPENYVHPLTGRLLDPSSPLALALSARDR
+ALKESQQGPKGEAPKADLNKPLYIDTKMRPSGEAGFPPVGRQSGRGPLRRQETENKYETD
+PGKERRDDKKGVLVSIVDASQQKSAGLLMVHTVDAARPEDFLQEEDEKADPETQPDHLPS
+EAPEGAPETEGAFPTPAGPEPTAAAATAPGRTIVAAGSVEEAVILPFRIPPPPLASVDLD
+EDFIFTEPLPPPLEFANSFDIPDDRVASVPALSDLVKQKKNDTSQSPSLNSSQPTNSADS
+KKPAGLSNCLPASFLPPPESFDAVTDSGIEEVDSRGSSDHHLETTSTISTVSSISTLSSE
+GGENADTCTVYADGQAFMVDKPPVPPKPKMKPIIHKGNTLYHDALLEEDVDSFVVPPPAP
+PPPPGGAQPGPTKVIQPRTSRLWGDVSEVKSPILSGPKANVISELNSILQQMNREKSAKP
+GEGLDPTPGTKPAGLAPRGPEVISTVSGTRSTTVTFTVRPGTSQPITLQSRPPDYESRNS
+GPRRAPSPVVSPTELNKEVLPAPLPAATAAPSPTLSDVFSLPSQPPPGDLFGLNPVGRSR
+SPSPSILQQPISNKPFTTKPVHLWTKPDVADWLESLNLGEHKEAFMDNEIDGSHLPNLQK
+EDLIDLGVTRVGHRMNIERALKQLLDR
+>tr|A0A3Q1MLQ5|A0A3Q1MLQ5_BOVIN Solute carrier family 2, facilitated glucose transporter member 1 OS=Bos taurus OX=9913 GN=SLC2A1 PE=3 SV=1
+MDPTKLTGRLMLAVGGAVLGSLQFGYNTGVINAPQKVIEEFYNQTWVQRYGEPIPPATLT
+TLWSLSVAIFSVGGMIGSFSVGLFVNRFGRRNSMLMMNLLAFVSAVLMGFSKLGKSFEML
+ILGRFIIGVYCGLTTGFVPMYVGEVSPTELRGALGTLHQLGIVVGILIAQVFGLDSIMGN
+QELWPLLLSVIFIPALLQCILLPFCPESPRFLLINRNEENRAKSVLKKLRGTADVTRDLQ
+EMKEESRQMMREKKVTILELFRSAAYRQPILIAVVLQLSQQLSGINAVFYYSTSIFEKAG
+VQQPVYATIGSGIVNTAFTVVSLFVVERAGRRTLHLIGLAGMAGCAVLMTIALALLERLP
+WMSYLSIVAIFGFVAFFEVGPGPIPWFIVAELFSQGPRPAAIAVAGFSNWTSNFIVGMCF
+QYVEQLCGPYVFIIFTVLLVLFFIFTYFKVPETKGRTFDEIASGFRQGGASQSDKTPEEL
+FHPLGADSQV
+>tr|G3X6B9|G3X6B9_BOVIN Pro-FMRFamide-related neuropeptide FF OS=Bos taurus OX=9913 GN=NPFF PE=4 SV=1
+MDARQAAALLLVLLLVTDWSHAEGPGGRDGGDQIFMEEDSGAHPAQDAQTPRSLLRSLLQ
+AMQRPGRSPAFLFQPQRFGRNTRGSWSNKRLSPRAGEGLSSPFWSLA
+>tr|A0A452DI74|A0A452DI74_BOVIN Calcium-binding and coiled-coil domain-containing protein 2 OS=Bos taurus OX=9913 GN=CALCOCO2 PE=4 SV=1
+MTCGRGQTGQLVPGFLAPPLFPSVPCCCSAAADCNPWTPTMEETVDDPPTSAVLLDHCHF
+SQVIFNSVEKFYIPGGDITCYYTLTQHFIPRRKDWIGIFRVGWKTTREYYTFMWVTLPVD
+LNSESAKQQEVQFKAYYLPKDDEYYQFCYVDQDGVVRGASIPFQFRPENEEDILVVTTQS
+EVEEIEQHNKELCKENRELKDSCVSLQKQNSDMQATLQKKQEELETLKSINKKLEQTMKE
+QKDCWEIELLQLKEQNQKMSSENEKMGVRVDQLQAQLSNQGREMEKLVQGVQDKTEQLEH
+LKEENGQLFLSLTEQREHQKKLEQTVEEMKQKETTAAKKQQELTDQNMDLSKRLSENMII
+HDVLQREKEKMEKENDYLKRENNRLLSYMGLDCDSLSYQVPTSNQGGTRQDPGLVFGNPY
+SGIQESSAPSLLSIKKCPTCKSDFAADVFDHNLALEQHLQTLSLNCPICDKTFPAKEKQI
+FEDHVFCHTL
+>tr|A0A3Q1LPQ1|A0A3Q1LPQ1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MSLNRSQKPHGLKHIGLDQFWDDLRAGIQQAYTRWSTAKAARFCIRINPLAM
+>tr|A0A3Q1LT52|A0A3Q1LT52_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MAVSRLDRLFILLDTGTTPVTRKAAAQQLGEVVKLHPHELNNLLSKVLIYLRSTNWDTRI
+AAGQAVEAIVKNVPEWNPVPRTKQETTSESAMEDSSTTDRLNFDRFDICRLLQHGASLLG
+SAGAEFEVQDEKSGEVDPKERIARQRKLLQKKLGLNMGEAIGMSTEELFNDEDLDYTPTS
+AALVNKQPVGKTFGCLTASNNTGDLLLF
+>tr|A0A3Q1MKZ3|A0A3Q1MKZ3_BOVIN Vitamin K-dependent protein S OS=Bos taurus OX=9913 GN=PROS1 PE=4 SV=1
+MRVLGGRTGTLLACLALVLPVLEANFLSRQHASQVLIRRRRANTLLEETKKGNLERECIE
+ELCNKEEAREIFENNPETVRTHEKWSVSCLGSFRAGLFTAARLSTNAYPDLRSCVNAISD
+QCNPLPCNEDGFMTCKDGQATFTCICKSGWQGEKCESDINECKDPVNINGGCSQICENTP
+GSYHCSCKNGFVMLSNKKDCKDVDECVLKPSICGTAVCKNIPGDFECECAEGYKYNPVSK
+SCDDVDECAENLCAQLCVNYPGGYSCYCDGKKGFKLAQDQKSCEAVPVCLPLDLDKNYEL
+LYLAEQFVGVVLYLKFRLPETTRFSAEFDFRTYDSEGVILYAESSDHSAWFLIALREGKI
+EIQFKNEKTTKMTTGGKVINDGLWHMVSVEELEQSISVKIAKEAVMNINKPGSLFKPTNG
+FLETKVYFAGVPRKMENALIRPINPRLDGCIRGWNLMNQGTSGVKEIIQEKQNKHCLVNV
+EKGSYYPGTGVAQFSINYKNESNPEAWQINVSLNIRPSAGTGVMLALVSDNTVPFALSLV
+DSATEKLQDILVSVESMVIGRIEAISLCSDQQTFLEIRVNRNNLELSTQLRKDSFHSEDF
+QRQFAILDEAMKGTVVTYLGGLPDVPFSATPVNAFYQGCMEVNINGVQVDLDEAISKHND
+IRAHSCPSVWQKTKHT
+>tr|A0A3Q1LTL6|A0A3Q1LTL6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=MIA2 PE=4 SV=1
+MELKDLEEEAVAALPEDMRQSNLYGFPWELVICATIVGFFAVLLFLWRSFQSYESLESSL
+KDASYEKESTEAQSLEFVEGSQISEAFYEKLTESKSELEDEILILEKELKEEKSKHSKQD
+ELMSEISKRIQSLEDESKSLKSQVAEAKTTFKIFQMNEERLKIAIKDALSENSQLQESQK
+QLLQEAEEWREQVNELNKQRMTFEDSKVHVEQVLCDKENQIKSLTERLRKMKDWTAVLGE
+EIADDDNLEWEMKSDSENDAHLDNQPKGALKKLIHAAKLRASLKTLEGERNQIYTQLSEV
+DKTKEELTECIKNLQTEQASLQSENTQFENETQKLQQKLKVMTELYQENEMILHRKLTME
+ENYRLEKEEKLSKADEKINHAAEELETYRKRAKDLEEELERTIHSYQGQIISHEKKAHDN
+WLAARTAERNLNDLRKENAHNRQKLTETEFKIELLEKDPYALDVPNTAFGREHSPYGPSP
+LGRPSPEMRAFLSPPTLLEGPLRLSPLLPGGGGRGSRGPGNPLDHPITNERGESNSDRLT
+DPHRASSDTGSLSPPWEQDRRMMIPPSGQPYPDPVLLPQRQDRFYSNSGRLSGPAELRSF
+NMPSLDKTDGPVSSEMESSRNESKDDLGNLNVPDSSLPIENEATGPGFVPPPRPPVRVPL
+FPMDTRGPFIRRGPPFPPPPPGSMYGGPRDYFPPRDFPGPPPPPFAMRNVYPPRGFPHYL
+PPRAGFFPPPPHPESRSEFPSGLVPPSNEPTTEHPEPPQEP
+>tr|A0A140T842|A0A140T842_BOVIN Lon protease homolog, mitochondrial OS=Bos taurus OX=9913 GN=LONP1 PE=3 SV=2
+MRRGILKVSSSAACRPRLHSSMSRPRSHLFPATPPAGTQTRAGRHVRPSRARGNDASLTR
+RQDGRAMAGGTGCVRLWGAARCWTLRRPLLAAAGGRVPTAARAWLPRGRRACDASPPWAL
+WGQSPAAAGHWRGLWEANNRSGGGAFSGGEDASEGGAEDGASGVGGSAGGGEGPVITALT
+PMMIPDVFPHLPLIAVTRNPVFPRFIKIVEVKNKKLVELLRRKVRLAQPYAGVFLKKDDN
+NESDVVENLDEIYHTGTFVQIHEMQDLGDKLRMIVMGHRRVHINRQLEVEPEEPEGENKQ
+KLRKKPKRGKKEAEEDGATKRPLEVVVGPGPSPAGEVLMVEVENVAHEDFQVTEEVKALT
+AEIVKTIRDIIALNPLYRESVLQMMQAGHRVVDNPIYLSDMGAALTGAESHELQEVLEET
+NIPKRLYKALSLLKKEFELSKLQQRLGREVEEKIKQTHRKYLLQEQLKIIKKELGLEKDD
+KDAIEEKFRERLKELVVPKHVMDVVDEELSKLGLLDNHSSEFNVTRNYLDWLTSIPWGKH
+SDENLDLARAQAVLEEDHYGMEDVKKRILEFIAVSQLRGSTQGKILCFYGPPGVGKTSIA
+RSIARALNREYFRFSVGGMTDVAEIKGHRRTYVGAMPGKIIQCLKKTKTENPLVLIDEVD
+KIGRGYQGDPSSALLELLDPEQNANFLDHYLDVPVDLSKVLFICTANITETIPEPLRDRM
+EMINVSGYVAQEKLAIAERYLVPQARALCGLDESKAKLSSDVLTLLIKQYCRESGVRNLQ
+KQVEKVLRKSAYKIVSGEAEFVEVTPENLQDFVGKPVFTVERMYDVTPPGVVMGLAWTAM
+GGSTLFVETSLRRPRDRDSDKGDKDGSLEVTGQLGEVMKESARIAYTFARAFLMQHDSAN
+KFLVTSHIHLHVPEGATPKDGPSAGCTIVTALLSLALDRPVRQNLAMTGEVSLTGKVLPV
+GGIKEKTIAAKRAGVTCIVLPAENKKDFYDLAAFITEGLEVHFVEHYREIFDIAFPEERA
+EALAVER
+>tr|E1BGM5|E1BGM5_BOVIN Otoancorin OS=Bos taurus OX=9913 GN=OTOA PE=4 SV=3
+MSQEPWRCSLWLFLFLSHGVASYSVPDSQGKSRGRSHLSRSQVWTSDLSHRVLAYLNSRN
+VAFTIPSLKAAMENLLEQRLYQPQKLLEDLRGTDAQQFHTAMKYLLEDKKDRLLDDIIID
+LGEVRKQALQSPGVNRSLFLITLERCFQVLNPLDCVEILGRVLRGSSGRFLQPDITEMLP
+RDLREDAFKNLSALFKDLYDQTSAHAQRAVYCWMTGVLKTSSNVTDDSFSWVSAENLWIL
+GRYMVHLPFEEIMRISPMEIGLFISYDNATKQLDTVYDVTPELAQAFLERISSSNFDMRN
+TSTIHRLGLLVCFYDDLELLDAAVAQVLLHQMIKCSHLRGFQAGVQKLKAELLEIATENQ
+TLNETLGSLSDAVVGLTHSQLESLSPEAVHSAISTLNQVSGWARSQVIILSAKYLAHQKV
+LSFYNISQMGVLLAGVGTQAFHTMDRRDLMQLLRSATSLHVSDLSPAQQHAVLSKVMEAG
+DTASGIVKIQGALFKEVSLFDLWREPGFNSTVLKEKEIRRSQALFLFELLSKTTRRPEEL
+LSAGQLLKGVTCPHIDAMSADSFLAHFQYFENNFSLLSPYQVNCLAWKYWEVSRSSMPPF
+LLAALPARYLASIPASQCVPFLISLGKSQLDSLVLDSHKKNSILKKVQQCLSHTIADEYT
+VDILGNLLCHLPAAVIRRGLSPRAWATALRGLRDCLDLSPQQKAALRLRLLEQHGLPQNW
+TAETAKDLESFLVIFSGDELSSVATKFPDILQQTASKMAGTLPPKEFLQAVFESVRNSSA
+EKPSRDPSPGCHGIMAPSSNDIIKLAEANACWAPEDLLCLEEDTFLRSVELLGAVRSFSL
+AQLRKLKEKAVQVWDMPSSWREYHIVSLGRIALALNESELEQLDLSSIDTVASLSQQTEW
+TPGQAKSILQGFLEDSGYRVQDLKSFHLVGLGAALCAMNITEISLIKILEFRVVVARIGT
+LLCSTQVLAEFKRKAEVVFGEPTEWSSFVLQELGTIAAGLTKEELRMLDKDLMPYFQPSA
+IKCLPDEIFKELSAEQIASLGPENAAAVTPAQRRQLSMLQLQSLQRAIDGAKARSWLDAP
+PSASPARTPSSLSPPGLKPFQWFLCSSILLTVQD
+>tr|G5E5N5|G5E5N5_BOVIN NADH-cytochrome b5 reductase OS=Bos taurus OX=9913 GN=CYB5R1 PE=3 SV=1
+CLQSPVLLASLGVGLLTLLGVALGAYLVRRSRRPPVTLLDPNEKYQLRLLDKTTVNHNTK
+RFRFALPTAHHVLGLPVGKHVYLSARIDGSLVIRPYTPVTSDEDQGYVDLVIKVYLKGVH
+PKFPEGGKMSQYLDSLKIGDVVEFRGPSGLLTYAGKGKFNIQPNKKAPPEARVARNLGMI
+AGGTGITPMLQLIRAILKDPEDPTQCFLLFANQTEKDIILREDLEELQARHPGRFKLWFT
+LDHPPEGWAYSKGFVSVDMIREHLPAPGEDVLLLLCGPPPMVQLACHPSLDKLGYSPKMR
+FTY
+>tr|A0A3Q1MC61|A0A3Q1MC61_BOVIN Outer dense fiber protein 2 OS=Bos taurus OX=9913 GN=ODF2 PE=4 SV=1
+MKDRSSTPPLHVHVDENTPVHVHIKKLSKTSATNSQKSHKRGMKGDTVNVRRSVRVKTKV
+PWMPPGKSSARHVGCNWENPPHCLEITPPSSEKLVSVMRLSDLSTEDDDSGHCKMNRYDK
+KIDSLMNAVGCLKSEVKMQKGERQMAKRFLEERKEELEEVAQELAETEHENTVLRHNIER
+IKEEKDYTMLQKKHLQQEKECLMSKLVEAEMDGAAAAKQVMALKDTIGKLKSEKQMTCSD
+INTLTRQKELLLQKLSTFEETNRTLRDLLREQHCKEDSERLMEQQGTLLKRLAEADSEKA
+RLLLLLQDKDKEVEDLLQEIQCEKAQAKTASELSKSMETMRGHLQAQLRCKEAENSRLCM
+QIKNLERSGNQHKAEVEAIMEQLKELKQKGERDKESLKKAIRAQKERAEKSEEYAEQLHV
+QLADKDLYVAEALSTLESWRSRYNQVVKDKGDLELEIIVLNDRVTDLVNQQQTLEEKMRE
+DRDSLVERLHRQTAEYSAFKLENERLKASFAPMEDKLNQAHIEVQQLKASVKNYEGMIDN
+YKSQVMKTRLEADEVAAQLERCDKENKILKDEMNKEIEAARRQFQSQLADLQQLPDILKI
+TEAKLAECQDQLQGYERKNIDLTAIISDLRSRIEHQGDKLEMAREKHQASQKENKQLSLK
+VDELERKLEATSAQNIEFLQVIAKREEAIHQSQLRLEEKTRECGTLARQLESAIEDARRQ
+VEQTKEHAASKERAAQNKILDLETQLSRTKTELSQLRRSRDDADRRYQSRLQDLKDRLEQ
+SESTSRSMQNYVQFLKSSYANVFGDGPYASYLTSSPIRSRSPPT
+>tr|F1MG37|F1MG37_BOVIN Hexosyltransferase OS=Bos taurus OX=9913 GN=B3GNT7 PE=3 SV=3
+MSLWKRTVYKSVCLSLALLVAVTVFQRSLTPSQFLQEPPPASLRQQKAQKPSGLLVNPDS
+FWKSAKEVVTPTPVVSRRPQAWDVNTTNCSANVNLTHQSWFQGLEPHFQQFLLYRHCRYF
+PMLLNHPEKCSGDVYLLVVVKSIIAQHDRREAIRQTWGREQESAGRGRGAVRTLFLLGKA
+SKPEEQSHYQQLLAYEDRIYGDILQWDFLDSFFNLTLKEIHFLKWLDIYCPDVRFVFKGD
+DDVFVNPTNLLEFLADRRPQEDLFVGDILHHARPIRRKDSKYYIPGILYNQNSYPPYAGG
+GGFLMAGGLAQRLHHSCDTLELYPIDDVFLGMCLEVLGVRPMAHEGFKTFGISRNRNSRM
+NKEPCFFRSMLVVHKLLPTELLAMWELVHGNLTCSRKLQVL
+>tr|A0A3Q1MYZ2|A0A3Q1MYZ2_BOVIN FCP1 homology domain-containing protein OS=Bos taurus OX=9913 GN=CTDSPL PE=4 SV=1
+MDGPAIITQVTNPKEDEGRAPGAGEKASQCNVSLKKQRSRSILSSFFCCFRDYNVDAPPG
+SSPGVLPPLVEENGGLQKGDQRQIIPIPSPPAKYLLPEVTVLDYGKKCVVIDLDETLVHS
+SFKPISNADFIVPVEIDGTIHQVYVLKRPHVDEFLQRMGQLFECVLFTASLAKYADPVAD
+LLDRWGVFRARLFRESCVFHRGNYVKDLSRLGRELSKVIIVDNSPASYIFHPENAVPVQS
+WFDDMTDTELLDLIPFFEGLSQEDDVYSMLHRLCSR
+>tr|F1MRA0|F1MRA0_BOVIN Lamin tail domain containing 1 OS=Bos taurus OX=9913 GN=LMNTD1 PE=4 SV=3
+MTVNASYSDSTTLACSRSFSDEIPLSYCLSSPQVTLGPFTLLSTLYLVSLKGLNVVKIPK
+KHSIPVLAGEPAVIGEGEDYFLSLFGDKRKVVQSFHEKSWKHFSMILEEVGQSRSSAVGD
+IKIAEVNVKGLFVKLINSSPDKELEIGNHTLQQNVGGQTISLYRFLPGIIMQANSTVTVW
+TAASEVKHQSPSDFLWKEQNRFQTGPNCTTILCKPNGEAVAWYTPIHWKQAWEKLETDIE
+FDRCSIISATPQRHMFHWPAVVTTSKEKQDQCKRDSSKCQVEQVQAFLKR
+>tr|A0A3Q1MA67|A0A3Q1MA67_BOVIN Cysteine protease OS=Bos taurus OX=9913 GN=ATG4A PE=3 SV=1
+MCAYLYCMILQYADVSGKGSLMNSHSFSSILPTGGTGPSSDAGWGCMLRCGQMMLAQALI
+CRHLGRDWNWEKQKEQPKEYQRILQCFLDRKDCCYSIHQMAQMGVGEGKSIGEWFGPNTV
+AQVLKKLALFDEWNSLAVYVSMDNTVVIEDIKKMCRTLSLSADTPAERPLESLTASNQSK
+GPSACCTAWKPLLLIVPLRLGINQINPVYVDAFKECFKMPQSLGALGGKPNNAYYFIGFL
+GDELIFLDPHTTQTFVDTEENGTVDDQTFHCLQPPQRMNILNLDPSVALGFFCKEEKDFD
+SWCSLVQKEILKENLRMFELVQKHPSHWPPFVPPAKPEVTTTGAGKLLFRSSLASRPLDS
+SCFMRQKQLWVMLSVE
+>tr|F6Q889|F6Q889_BOVIN Oligodendrocyte myelin glycoprotein OS=Bos taurus OX=9913 GN=OMG PE=4 SV=1
+MALMEYQILKMSPSLFILLFLTPGILCICPLQCICTERHRHVDCSGRNLTTLPSGLQENI
+IHLNLSYNHFTDLHNQLTQYTNLRTLDISNNRLESLPAQLPRSLWNMSAANNNIKLLEKS
+DTAYQWNLKYLDVSKNMLEKVVLIKNTLRSLEVLNLSSNKLWTVPTNMPSKLHTVDLSNN
+SLTQILPGTLINLTNLTHLYLHNNKFTFIPDQAFDQLFQLQEITLYNNRWSCDHHQNITY
+LLKWMMETKAHVIGTPCSSQISSLKEHSIYPTPSGFTSSLFTVSGMQTVDTINSLSTVTQ
+SKVTKIPKQYRTKETTFGATLSKDTTLTSTDKAFVPYPEDTSTETINSREAAAATLTIHL
+QDGMVTNTSLTSSTKSSPTPMTLSITSGMPNDFSEMPQQSTTLNLRREETTTDGKTRLPS
+VASAWKVNASFLLMLNAVVMLAV
+>tr|F1MYR5|F1MYR5_BOVIN Nitric oxide synthase OS=Bos taurus OX=9913 GN=NOS2 PE=3 SV=2
+MACPWQFLFKIKSQKVDLATELDINNNVGKFYQPPSSPVTQDDPKRHSPGKHGNESPQPL
+TGTVKTSPESLSKLDAPPSACPRHVRIKNWGSGVTFQDTLHQKAKGDLSCKSKSCLASIM
+NPKSLTIGPRDKPTPPDELLPQAIEFVNQYYGSFKEAKIEEHLARVEAVTKEIETTGTYQ
+LTGDELIFATKQAWRNAPRCIGRIQWSNLQVFDARSCSTAQEMFEHICRHVRYATNNGNI
+RSAITVFPQRSDGKHDFRVWNAQLIRYAGYQMPDGSIRGDPANVEFTQLCIDLGWKPKYG
+RFDVLPLVLQADGRDPELFEIPPDLVLEVPMEHPRYEWFRELELKWYALPAVANMLLEVG
+GLEFPGCPFNGWYMGTEVGVRDFCDAQRYNILEEVGRRMGLETHKVASLWKDRAVVEINV
+AVLHSFQKQNVTIMDHHSAAESFMKYMQNEYRSRGGCPADWIWLVPPISGSITPVFHQEM
+LNYVLSPFYYYQVEPWKTHVWQDERRRPQRREIRFKVLVKAVFFASVLMHKTMASRVRAT
+ILFATETGRSETLAQDLGALFSCAFNPKVLCMDQYQLSHLEEEQLLLVVTSTFGNGDSPG
+NGEKLKKSLLMLKELTNTFRYAVFGLGSSMYPQFCAFAHDIDQKLSQLGASQLVPTGEGD
+ELSGQEEAFRSWAVQTFKAACETFDVSGKHHIEIPKLYTSNVTWDPQHYRLVQDSEPLDL
+NKALSSMHAKHVFTMRLKSQQNLQSPKSSRTTLLVELSCEGSQAPSYLPGEHLGVFPCNQ
+PALVQGILERVVDGPAPHQPVRLETLCENGSYWVKDKRLPPCSLSQALTYFLDITTPPTQ
+LLLRKLAQLATEEAEKQRLETLCQPSDYNKWKFTNSPTFLEVLEEFPSLRVSASFLLSQL
+PILKPRYYSISSSRDLTPTEIHLTVAVLTYRTRDGQGPLHHGVCSTWLSSLKPQDPVPCF
+VRSASGFQLPEDRSRPCILIGPGTGIAPFRSFWQQRLHEAEHKGLQGGRMTLVFGCRRPE
+EDHLYWEEMLEMARKGVLHEVHTAYSRLPDQPKVYVQDILRQRLAGEVLRVLHEEQGHLY
+VCGDVRMARDVARTLKQLMATALSLNEEQVEDYFFQLKNQKRYHEDIFGAVFPYEVKKDG
+AAGLPSNPRAPGAHRS
+>tr|G5E6G2|G5E6G2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=2
+MLGMIKNSLFGSVETWPWQVLSKGSKGDVFYEERACEGGKFATVEVTDKPVDEALREAMP
+KVMKYVGGSNDKGLGMGMTVPISFAVFPSDDGDLQKKLKVWFWIPNKFQSDPPAPSDDSI
+KIEDREGITVYSTQFGGYAKAADYAAQAIQLRSALESTAKYQTDFYFCTGYDPPMKPYGH
+RNEVWLVKSSE
+>tr|E1BGI2|E1BGI2_BOVIN Centrosomal protein 170 OS=Bos taurus OX=9913 GN=CEP170 PE=4 SV=3
+MSLTSWFLVSSGGTRHRLPREMIFVGRDDCELMLQSRSVDKQHAVINYDASTDEHLVKDL
+GSLNGTFVNDVRIPEQTYITLKLEDKLRFGYDTNLFTVVRGEMRVPEEALKHEKFTIQLQ
+LSQKSSESELSKSACAKSIDSKVADSATEVQHKPTEALKSEEKVVDTSAMPRGTPLYGQP
+SWWGDDEADEQRPFKSNGKPEEKNHETGTSGCSIDAKQVEEQSAAASEEVLFPFCREPSY
+FEIPTKEFQQPSQITESTIHEIPTKDTPSSHTTGAGHASFTIEFDDSTPGKVTIRDHVTK
+FTSDQRHKSKKSSPGTQDLPGIQTGMMAPENKVADWLAQNNPPQMVWERTEEDSKSIKSD
+VPVYLKRLKGNKHDDGTQSDSENAGAHRRCSKRATLEEHLRRHHSEQKKLQKAQATEKHQ
+DQAVTSSAHHRGGHGVPHGKLLKQKSEEPSVSIPFLQTALLRSSGSLGHRPSQEMDKMLK
+NQATSAASEKDNDDDQSDKGTYTIELENPNSEEVEARKMIDKVFGVDDNQDYNRPIINEK
+HKDLVKDWALSSAAVVMEERKPLSTPGFHNSEEGTSSSGNKRWVSQWASLAANHTRHDQE
+ERIMELSVPVPLEHDTDISESGISLRSTGSAASLASQGERRRRTLPQLPSEEKSLESSRA
+KVLAQRSEIGEKQDTELQEKEAPAQVYQKDKQDTDRALSKINRAVNGETLKNGGDSKTLL
+HLGSSYSGKEKSETDKETSLVKQTLAKIQQQEQKEQAQWTPTKLSSSKNIAGQIDRCREE
+SFKQESQPQEKIPGLSAGKGERAVQNEGKRRKAEEILKSQTSKGGDKKESSKSLVRQGSF
+TIEKPSPNIPIELIPHINKQPSSTPPSLALTTASRIRERSDSMDTDSSMDTTLILKDTEA
+VMAFLEAKLREDTKTDEGPDTPSYNRDNSISPESDVDTASTISLVTGETERKSTQKRKSF
+TSLYKDRCSTGSPSKDVAKSSSSGAREKIEKKTKSRSADIGSRAEGRKFVQSSGRIRQPS
+VDLTDDDQTSSVPHSAISDVMSSDQETYSCKSHGRTPFTSTDEHAHSKLEGSKVTKSKTS
+PGAPGSSSKSTTLPRPRPTRTSLLRRARLGEASDSELADADKASVASEVSTTSSTSKPPT
+GRRNISRIDLLAQPRRTRLGSLSARSDSEATISRSSASSRTAEAIIRSGARLVPSDKFSP
+RIRANSISRLSDSKVKSMTSAHGSPSVNSRWRRFPTDYASTSEDEFGSNRNSPKHTRLRT
+SPALKTTRLQSSGSAMPTSSSFKHRIKEQEDYIRDWTAHREEIARISQDLALIAREINDV
+AGEIDSVTSSGTAPSTTVSTAATTPGSAIDTREELVDRVFDESLNFRKIPPLVHSKTPEG
+NNCRSSDPRPQPAEPPDHLTITRRRTWSRDEVMGDNLLLSSVFQFSKKIRQSIDKTAGKI
+RILFKDKDRNWDEIESKLRAESEVPIVKTSSMEISSILQELKRVEKQLQAINAMIDPDGT
+LEALNNMGFPSAILPSPPKQKSSPVNDHSSPGQTPTLCQPEARVLHPAAAML
+>tr|F1N4S1|F1N4S1_BOVIN Adenosine deaminase domain containing 2 OS=Bos taurus OX=9913 GN=ADAD2 PE=4 SV=3
+MLPGRQELKDPPLGQAVASLTQYAANLGVSLIFRESQTADPCSPFSVSVELDGVVCPPGT
+ANSKLEAKQQAALSALHYIRRQLEGPAPPKTPNQPPLAPLSIENIVTHEQRCAAVVSAGF
+DRLVDASSPYRACKGTVAAVILEREVPGARGHAKETYQLVALGTGGGSCTGWLDFSGRQL
+HDCHGLVVARRALLRFLFRQLLLATQGGPTGKEKSVLAPKPGPGPPFALKPRIFLHLYVS
+NTPKGAAYDIYLPPSLEGSLLHGPPLRLQAHIQGELKPVCYVAPALRDTHVGCLSASDKL
+ARWAVLGLGGALLAHFLPPLYTTSLVLADTCHDPPTLSRAIHTRPSLDRLVGPGLPAPYT
+RTTLHLFAGPSVAPSNLTPNTCQGLSLNWSLGDPDIEVVDVATGRVKADTAPGPPSRLCK
+AAFLRAFRQAAHALGKSHLLALQTYEAAKAGPYQEARQQLSVLLDQQGLGAWPSKPLVGK
+FKN
+>tr|F1MPC7|F1MPC7_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=OR1N1 PE=3 SV=2
+MENQTSISEFFLRGIPGSPEQQQLFFGIFLCMYLVTLMGNVLIILAISSDPHLHTPKYFF
+LANLSYVDMGLTSSTVTKMLVNIQTQLHTISYVGCLTQMYFFLMFGDLDSFFLAVMAYDR
+YVAICRPLHYCTVMSLRVCSLLLALCWILTHIVALTHTLLMARLSFCVVGEIAHFFCDII
+PVLKLSCSDTRINELMVFALGGTVLMVPFICIVISYIHIVSAVLRVRTPGGGSKAFSTCS
+SHLCVVCVFYGTLFSAYLCPPSIASEDKDIAAAAVYTLVTPMLNPFIYSLRNKDMKVALK
+RLLSHRRITAS
+>tr|A0A3Q1N7G0|A0A3Q1N7G0_BOVIN Ryanodine receptor 1 OS=Bos taurus OX=9913 GN=RYR1 PE=4 SV=1
+KSPGEGNDNSLQYSCLENLMDRGVVLQCNATVLKEQLKLCLAAEGFGNRLCFLEPTSNAQ
+NVPPDLAICCFVLEQSLSVRALQEMLANTVESSQGGGHRTLLYGHAILLRHAHSGMYLSC
+LTTSRSMTDKLAFDVGLQEDAIGEACWWTTHPASKQRSEGEKVRVGDDLILVSVSSERYL
+HLSTASGELQVDASFMQTLWNMNPICSGCEEGYVTGGHVLRLFHGHMDECLTISPADSDD
+QRRLVYYEGGSVCTHARSLWRLEPLRISWSGSHLRWGQPLRIRHVTTGRYLALVEDQGLM
+VVDASKAHTKATSFCFRVSKEKLDTAPKRDVEGMGPPEIKYGESLCFVQHVASGLWLTYA
+APDPKALRLGVLKKKAILHQEGHMDDALSLTRCQHEESQAARMIYSTAGLYNHFIKGLDS
+FSGKPRGSGSPAGTALPLEGVILSLQDLIGYFEPPSEELQHEEKQSKLRSLRNRQSLFQE
+EGMLSLVLNCIDRLNVYTTAAHFAEFAGEEAAESWKEIVNLLYEILASLIRGNRANCALF
+SNNLDWLVSKLDRLEASSGILEVLYCVLIESPEVLNIIQENHIKSIISLLDKHGRNHKVL
+DVLCSLCVCNGVAVRSNQDLITENLLPGRELLLQTNLINYVTSIRPNIFVGRAEGTTQYL
+KWYFEVMVDEVVPFLTAQATHLRVGWALTEGYSPYPGGGEGWGGNGVGDDLYSYGFDGLH
+LWTGHVPRLVTYPGQHLLAPEDVVSCCLDLSVPSISFRINGCPVQGVFEAFNLDGLFFPV
+VSFSAGVKVRFLLGGRHGEFKFLPPPGYAPCHEAVLPRERLHLEPIKEYRREGPRGPHLV
+GPSRCLSHTDFVPCPVDTVQIVLPPHLERIREKLAENIHELWALTRIEQGWTYGPVRDDN
+KRLHPCLVDFHSLPEPERNYNLQMSGETLKTLLALGCHVGMADEKAEDNLKKTKLPKTYM
+MSNGYKPAPLDLSHVRLTPAQTTLVDRLAENGHNVWARDRVAQGWSYSAVQDIPARRNPR
+LVPYRLLDEATKRSNRDSLCQAVRTLLGYGYNIEPPDQEPSECQVESQSRWDRVRIFRAE
+KSYVVQSGRWYFEFEAVTTGEMRVGWARPELRPDVELGADDLSYVFNGHRGQRWHLGSEP
+FGRPWQSGDVVGCMIDLTENTIIFTLNGEVLMSDSGSETAFRDIEIGDGFLPVCSLGPGQ
+VGHLNLGQDVSSLRFFAICGLQEGFEPFAINMQRPVTTWFSKGLPQFEAVPPEHPHYEVT
+RVDGTVDTPPCLRLTHRTWGSQNSLVEMLFLRLSLPVQFHQHFRCTAGATPLAPPGLQPP
+AEDEARAAEPDPDYENLRRSAGHWAEAEGNKEGTAKEGTPGSPAQAGVEAQPARAENEKD
+ATTEKNKKRGFLFKAKKAAMMTQPPATPTLPRLPHEVVPADDRDDPEIILNTTTYYYSVR
+VFAGQEPSCVWVGWVTPDYHQHDMNFDLSKVRAVTVTMGDEQGNIHSSLKCSNCYMVWGG
+DFVSPGQQGRISHTDLVIGCLVDLATGLMTFTANGKESNTFFQVEPNTKLFPAVFVLPTH
+QNVIQFELGKQKNIMPLSAAMFLSERKNPTPQCPPRLEMQMLMPVSWSRMPNHFLQVETR
+RAGERLGWAVQCQEPLTMMALHVPEENRCMDILELSERLDLQRFHSHTLRLYRAVCALGN
+NRVAHALCSHVDQAQLLHALEDAHLPGPLRAGYYDLLISIHLESACRSRRSMLSEYIVPL
+TPETRAITLFPPGKRADNGPRRYGLPGVGVTTSLRPPHHFSAPCFVAALPAAGAAEAPAR
+LSPSIPLEALRDKALRMLGEAVRDGGQHARDPVGGSVEFQFVPVLKLVSTLLVMGIFGDE
+DVKQILKMIEPEVFTEEEEEEEEEEEGEEEEEDEEEKEEDEEEEAREKEDEEKEEEETAE
+GEKEDLEEGLLQMKLPESVKLQMCNLLEYFCDQELQHRVESLAAFAERYVDKLQANQRDR
+YAILIKAFTMTAAETARRTREFRSPPQEQINMLLHFKTAEDEEDCPLPEEVRQDLLEFHR
+DLLTHCGIQLEGEEEEPEEEATLGSRLMSLLEKVRLVKKKEEKSEEEPPAEERKPQSLQE
+LVSHTVVRWAQEDFVQSPELVRAMFSLLHRQYDGLGELLRALPRAYTISPSSVEDTMSLL
+ECLGQIRSLLIVQMGPQEENLMIQSIGNIMNNKVFYQHPNLMRALGMHETVMEVMVNVLG
+GGESKEIRFPKMVTSCCRFLCYFCRISRQNQRSMFDHLSYLLENSGIGLGMQGSTPLDVA
+AASVIDNNELALALQEQDLEKVVSYLAGCGLQSCPMLLAKGYPDIGWNPCGGERYLDFLR
+FAVFVNGESVEENANVVVRLLIRKPECFGPALRGEGGSGLLATIEEAIRISEDPARDGPG
+VRRDRRRDFGEEPPEENRVHLGHAIMSFYAALIDLLGRCAPEMHLIQAGKGEALRIRAIL
+RSLVPLDDLVGIISLPLQIPTLGKDGALVQPKMSASFVPDHKASMVLFLDRVYGIENQDF
+LLHVLDVGFLPDMRAAASLDTATFSTTEMALALNRYLCLAVLPLITKCAPLFAGTEHRAI
+MVDSMLHTVYRLSRGRSLTKAQRDVIEECLMALCRYIRPSMLQHLLRRLVFDVPILNEFA
+KMPLKLLTNHYERCWKYYCLPTGWANFGVTSEEELHLTRKLFWGIFDSLAHKKYDQELYR
+MAMPCLCAIAGALPPDYVDASYSSKAEKKATVDAEGNFDPRPVETLNVIIPEKLDSFINK
+FAEYTHEKWAFDKIQNNWSYGENIDEELKTHPMLRPYKTFSEKDKEIYRWPIKESLKAMI
+AWEWTIEKAREGEEEKTEKKKTRKISQSAQTYDAREGYNPQPPDLSGVTLSRELQAMAEQ
+LAENYHNTWGRKKKQELEAKGGGTHPLLVPYDTLTAKEKARDREKAQELLKFLQMNGYAV
+TRGLKDMELDTSSIEKRFAFGFLQQLLRWMDISQEFIAHLEAVVSSGRVEKSPHEQEIKF
+FAKILLPLINQYFTNHCLYFLSTPAKVLGSGGHASNKEKEMITRCAHLPPPGTDAPAVVN
+CLHILARSLDARWGHGTVMKSGPEIVKAGLRSFFESASEDIEKMVENLRLGKVSQARTQV
+KGVGQNLTYTTVALLPVLTTLFQHIAQHQFGDDVILDDVQVSCYRTLCSIYSLGTTRNPY
+VEKLRPALGECLARLAAAMPVAFLEPQLNEYNACSVYTTKSPRERAILGLPNSVEEMCPD
+IPVLERLMADIGGLAESGARYTEMPHVIEITLPMLCSYLPRWWERGPEAPPPALPAGAPP
+PCTAVTSDHLNSLLGNILRIIVNNLGIDEATWMKRLAVFAQPIVSRARPELLHSHFIPTI
+GRLRKRAGKVVAEEEQLRLEAKAEAEEGELLVRDEFSVLCRDLYALYPLLIRYVDNNRAH
+WLTEPNPSAEELFRMVGEIFIYWSKSHNFKREEQNFVVQNEINNMSFLTADNKSKMAKSG
+GSDQERTKKKRRGDRYSVQTSLIVATLKKMLPIGLNMCAPTDQELITLAKTRYALKDTDE
+EVREYLQNNLHLQGKVEGSPSLRWQMALYRGLPGREEDADDPEKIVRRVQEVSAVLYHLE
+QIEHPYKSKKAVWHKLLSKQRRRAVVACFRMTPLYNLPTHRACNMFLESYKAAWILTEDH
+SFEDRMIDDLSKAGEQEEEEEEVEEKKPDPLHQLVLHFSRTALTEKSKLDEDYLYIAYAD
+IMAKVRPCPHLGQAGLVGLDSLSNVGRTLLQEKEMEKQRLLYQQARLHTRGAAEMVLQMI
+SACKGETGAMVSSTLKLGISILNGGNADVQQKMLDYLKDKKEVGFFQSIQALMQTCSVLD
+LNAFERQNKAEGLGMVNEDGTGEKVMADDEFTQDLFRFLQLLCEGHNNDFQNYLRTQTGN
+TTTINIIICTVDYLLRLQESISDFYWYYSGKDVIEEQGKRNFSKAMSVAKQVFNSLTEYI
+QGPCTGNQQSLAHSRLWDAVVGFLHVFAHMMMKLAQDSSQIELLKELLDLQKDMVVMLLS
+LLEGNVVNGMIARQMVDMLVESSSNVEMILKFFDMFLKLKDIVGSEAFQDYVTDPRGLIS
+KKDFQKAMDSQKQFTGPEIQFLLSCSEADENEMINCEEFANRFQEPARDIGFNVAVLLTN
+LSEHVPHDPRLRNFLELAESILEYFRPYLGRIEIMGASRRIERIYFEISETNRAQWEMPQ
+VKESKRQFIFDVVNEGGESEKMELFVSFCEDTIFEMQIAAQISEPEGEPEEDEDEGVGAA
+EAGAESAEEGAAGPEGAAATAAAGATARLVAAANRAMRGLSYRSLRRRVRRLRRLTAREA
+ATAVAALLWAVLARAGAAGAGAAAGALRLLWGSLFGGGLVEGAKKVTVTELLAGMPDPTS
+DEVHGEQPAGSGGDADGEGAGEGAGDAAEGAGDEEVADQEDPGVADGTVAVADGGPFRPE
+GAGLGDMGDTTPAEPPTPEGSPIIKRKLGVRVRAGNYLSRNFYTLRFLALFLAFAINFIL
+LFYKVSDSPPGEDDMEGSAAGGLSGAGSGGGSGWGSGAGEEAEGDEDENMVYYFLEESTG
+YMEPALRCLSLLHTLVAFLCIIGYNCLKVPLVIFKREKELARKLEFDGLYITEQPEDDDV
+KGQWDRLVGWGISMLPMQVLDKHGDIYGRERIAELLGMDLATLEITAHNERKPEPPPGLL
+TWLMSIDVKYQIWKFGVIFTDNSFLYLGWYMVMSLLGHYNNFFFAAHLLDIAMGVKTLRT
+ILSSVTHNGKQLVMTVGLLAVVVYLYTVVAFNFFRKFYNKSEDEDEPDMKCDDMMTCYLF
+HMYVGVRAGGGIGDEIEDPAGDEYELYRVVFDITFFFFVIVILLAIIQGLIIDAFGELRD
+QQEQVKEDMETKCFICGIGSDYFDTTPHGFETHTLEEHNLANYMFFLMYLINKDETEHTG
+QESYVWKMYQERCWDFFPAGDCFRKQYEDQLS
+>tr|A0A3Q1M1C0|A0A3Q1M1C0_BOVIN Rubicon autophagy regulator OS=Bos taurus OX=9913 GN=RUBCN PE=4 SV=1
+MLTFPVSVPLLSRHTQNIFHGTSYLKTSPSFGRGVCCRQTDYWQFVKDIRWLSPHSAFHV
+EKFISLHENGQSSTDGVSERAVAELWLQHSLQCHCLSAQLRPLLGDRQYIRKFYTDTAFL
+LSDAHVTAMLQCLEAVEQNNPRLLAQIDASMFARKHESPLLVTKSQSLTALPGSAYTPST
+NSGQHSYFGSFSSLHQIPHHSLERRSTSFSLSGPPRKPQESRGPVSAAEDQTVLDPLLPI
+TALAKDSPSTPNEMSSSTLTSPLEASWVSSQNDSPSDASEGPEYLAIGNQDPRGRTASCQ
+SHSSNTESSSSNLFSSSSSQKPDSAASSLGDQEGGRQSQLSSVLRRSSFSEGQTLTVTGG
+TKKSHTRSHSDTNIASRGAPESCSDKSKLRGPLSYSGQSSEVSTPSSLYVEYEGSQYLCS
+GEGMFRRPSEGQSLISYLSEQDFGSCADLEKENAHFSISESLIAAIELMKCNMMSQCLEE
+EEGEEDSDREIQELKQKIRLRRQQIRTKNLLPGYQEMEHGSFRLTSSSSQFSSRDSAQFS
+DSGSADDEVDEFEIQDADIRRSTPSNSKSFISSQSFSHCFLHSTSAEAVAMGLLKQFEGM
+QLPAASELEWLVPEHDAPQKLLPIPDSLPISPDDGQHADIYKLRIRVRGNLEWAPPRPQI
+IFNVHPAPTRKIAVAKQNYRCAGCGIRTDPDYIKRLRYCEYLGKYFCQCCHENAQMVIPS
+RILRKWDFSKYYVSNFSKDLLIKIWNDPLFNVQDINSALYRKVKLLNHVRLLRIQLYHMK
+NMFKTCRLAKELLDAFDTVPGHLTEDLHLYSLNDLTATRKGELGPRLAELTRAGAAHVER
+CVLCQAKGFICEFCQNEDDIIFPFELHKCRICEECKACYHKTCFKSGSCPRCERLQARRE
+LLAKQSLESYMSDYEEEPTEALALEATDLETT
+>tr|F1MDY7|F1MDY7_BOVIN G_PROTEIN_RECEP_F1_2 domain-containing protein OS=Bos taurus OX=9913 GN=LOC519916 PE=3 SV=3
+MEKNQTMVTEFILLGFCLGPRIHLFPFGLFSLFYVLTLLGNGVILGLTSLDPRLHTPMYF
+FLSHLAIADMAYACSMVPQMLVNLLSPAKPISFAGCITQTFLFLSFAHTECLLLVVMSYD
+WCVAICHPLRYSVIVSCRVGISLVGTSWACGSLLALVHVGLVLRLPYCGPHEINYFFCEI
+LSVLKLACADTKLNQLVIFAASVFVFVGPLCLLLGSYAHILAAILRIQSAEGCRKAFSTC
+ASHLCMVGLFFGSAIIMSMAPKSLHPEKQQKNLFLFYSLLNPMMNLLIYSLRNKEVKGAL
+RRALNWTARTSN
+>tr|F1MX73|F1MX73_BOVIN Mitochondrial intermediate peptidase OS=Bos taurus OX=9913 GN=MIPEP PE=3 SV=2
+MLCARRLGGLRAGLRVRRVSTGWSPVGAAFTVQPQGRRLDWFGERGGLFGVPELSAPEGF
+RVAQEKALRRTEQLVQRVCATPPGPQTVLIFDELSDSLCRVADLADFVKIAHPEAAFREA
+AEEACRSIGTMVEKLNTNVELYQSLQRLLADKKLVDSLDPETRRVAELFMFDFEISGIHL
+DREKRKRAVDLNVKILDLSSAFLMGTHLPNTIEKRLLPEGIRHHFELAGDHAVVDSLRAE
+APDDLVREAAYKIFLYPNAGQLKRLEELLSSRDLLAKLVGYPTYSHRALQGTIAQSPETV
+MEFLEKLSDKLSERTMKDFEMIRGMKMKLNPQNSELMPWDPPYYSGLIRAERFNIEPGLY
+CPFFSLGACMEGLSLLFNRLLGVSLYGEQPVKGEVWSEDVRKLAVVHESEGLLGYIYCDF
+FQRADKPHQDCHFTIRGGRLKEDGDYQLPVVVLMLNLPHSSRNLPTLLTPGMMENLFHEM
+GHAMHSMLGRTRYQHVTGTRCPTDFAEVPSILMEYFANDYRVVHQFARHYQTGQSLPRNM
+VSRLCESKKVCAAADMQLQVFYAALDQIYHGKHPLRSSTTDILRDTQERFYGLPYVPNTA
+WQLRFSHLVGYGAKYYSYLMSRAVASMVWRECFRQDPFNRAAGERYRREMLAHGGGREPM
+LMVQGMLQKRPSIDDFVNTLVSDLDLDFETFLMDST
+>tr|F6QRH3|F6QRH3_BOVIN Tumor protein p63-regulated gene 1-like protein OS=Bos taurus OX=9913 GN=TPRG1L PE=4 SV=2
+MLQLRDSVDSAGTSPTALLAAGEEVGPGSGGGGGGGRPGVGTPLRQTLWPLSVHDPTRRA
+RVKEYFVFRPGTIEQAVEEIRVVVRPVEDGDIQGVWLLTEVDHWNNEKERLVLITDQALL
+ICKYDFISLQCQQVVRVALNAVDTISYGEFQFPPKSLNKREGFGIRIQWDKQSRPSFISR
+WNPWSTSVPYTTFIEHPMAGVDEKTASLCQLDGFKALLIQAVKKAQKESPLPGQEGGVLV
+LECPLLIETYVGLMSFINNEAKLGYSMTRGKIGF
+>tr|A0A3Q1MCY0|A0A3Q1MCY0_BOVIN Abhydrolase_3 domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MGKTSLGFLILEIFLAAYIYKPLPDVEEPWKIMLLDTSLQTSRYLALFAETLGLSHVMEA
+ILFFSSIQCVSPTSDKNITTKSLRRGLFYVHGGGWCLGSNDCYTYDLLSRWTADRVDAVI
+ISTNYRLAPKHHFPVQFEDVYIALKWFLDPQILESYGVDPERIGISGDSAGGNLAAAVTQ
+QVRCSAFSLTMYSLKSEKC
+>tr|A0A3Q1M7K1|A0A3Q1M7K1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=TMBIM7 PE=3 SV=1
+MDLEVSEPIDFSSGDHQHLVRKAADPYTGGKSARSYGTAPSTQEPRQAHGNPHSRADRRA
+DTYVVQISPETTTDDNNPGPFSETAVRRAFIVKVLFLLSIQLLITGAIVSLFVFWKALRS
+WVVKNPWFTYTLLPAFFVVFIVLACCGNLRRQVPANYILLGLFIYFHPIIPFFEVLWATA
+ATALVTLSLSLFALQTKWDFTLLNGMLFVLLFVLIIYGIILIFIRAYWLHLLYAGLGTVI
+FSLYLVMDVQLMVGGRHHHSDLDPEEYVFAALNIYMDIINLFLFILQLIGMGR
+>tr|A0A3Q1MCG5|A0A3Q1MCG5_BOVIN Non-specific serine/threonine protein kinase OS=Bos taurus OX=9913 GN=STK38 PE=3 SV=1
+MAMTGSTPCSILRKSSHGFGILLLYHESHLSAHARKETEFLRLKRTRLGLEDFESLKVIG
+RGAFGEVRLVQKKDTGHVYAMKILRKADMLEKEQVGHIRAERDILVEADSLWVVKMFYSF
+QDKLNLYLIMEFLPGGDMMTLLMKKDTLTEEETQFYIAETVLAIDSIHQLGFIHRDIKPD
+NLLLDSKGHVKLSDFGLCTGLKKAHRTEFYRNLNHSLPSDFTFQNMNSKRKAETWKRNRR
+QLAFSTVGTPDYIAPEVFMQTGYNKLCDWWSLGVIMYEMLIGYPPFCSETPQETYKKVMN
+WKETLTFPPEVPISEKAKDLILRFCCEWEHRIGAPGVEEIKNNSFFEGVDWEHIRERPAA
+ISIEIKSIDDTSNFDEFPESDILKPTVATSNHPDTDYKNKDWVFINYTYKRFEGLTARGA
+IPSYMKAAK
+>tr|E1BCW2|E1BCW2_BOVIN Adhesion G protein-coupled receptor A1 OS=Bos taurus OX=9913 GN=ADGRA1 PE=3 SV=3
+MDLRTVLSLPPSPGELLHPVVYACTAVMLLCLLASAATYIVHQSAIRISRPGRHTLLNFC
+LHAALTFSAFAGGINRTRFPTLCQAVGILLHYSTLSTLLWIGVTARNIYKQVTKKAPLCP
+GAGQPPYPKQPLLRFYLVGGGAPLVICGVTAATNIRNYGMEAEDAAYCWMAWEPSLGAFY
+GPVAFIALVTCVYFLGTYVQLRRHPERRYELRERTEEQQRLAGPEGGPGAPGGTPPAPDG
+LAASLLQNEHSFKAQLRAAAFTLFLFVATWTFGALAVSQGHVLDMVFSCLYGAFCVTLGL
+FVLIHHCAKRDDVWHCWWSCCPTRRDPHPAKLSTRPALDANGDALGQVACLQDSPCPSKA
+LGFGHPSASHCKMTNLQATQGHVSCLSPATPCCAQMHCEQLMEDAAHVHEGDAFEHDTHL
+HTCVQGRTKPHYFSRHRAAAAEQEYAYHIPSSLDGSPHSSRSESPPSSLEGPVGPHALAC
+CAQGDPFPLVSQPEGSAPSPVLYSCPPRPGREAARGPARLEMLRRTQSLPFGGPGQNGLL
+KGDVRDAAPFGSDSTGNIRTGPWRNETTV
+>tr|E1BGF8|E1BGF8_BOVIN Glycerol-3-phosphate acyltransferase 3 OS=Bos taurus OX=9913 GN=GPAT3 PE=4 SV=1
+MDGAELAGQILYTWLTLVLGLILLPSAFGVSLGISEIYMKILVKTLEWATLRIETGVAKQ
+SVLKNSASVGIIQRDESPMEKGLSGLRGRDFELSDVFYFSKKGLEAIVEDEVTQRFSSEE
+LVSWNLLTRTNVNFQYVSPRLTMVWVLGVIVRYCVLLPLRLTLAVIGISLFVIGTTLVGQ
+LPRNRLKNFLSEVVHLTCCRICVRALSGTIHYHNRQYRPQKGGICVANHTSPIDVLILTT
+DGCYTMVGQVHGGLMGIIQRSMVKACPHIWFERSEMKDRHLVIRRLKEHIALKEELPILI
+FPEGTCINNTSVMMFKKGSFEIGGTIHPVAIKYNPRFGDAFWNSSKYNIVSYLLRVMTSW
+AIVCDVWYMPPMTREEGEDAVQFANRVKSAIAVQGGLTELPWDGGLKRAKVKDTFKEEQQ
+KNYSKMIVGNGSLKSELD
+>tr|A0A3Q1LMG4|A0A3Q1LMG4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MAFQHQDLILYCTLNTPKVDMGKLLGAQTGLEDFIFAHIKWIKKEVNVYKSEDSFGLTIT
+DNGAGCVFIKRIKDGSITD
+>tr|F1MU45|F1MU45_BOVIN PCF11, cleavage and polyadenylation factor subunit OS=Bos taurus OX=9913 GN=PCF11 PE=4 SV=3
+MSEQTPAEAGTAGAREDACRDYQSSLEDLTFNSKPHINMLTILAEENLPFAKEIVSLIEA
+QTAKAPSSEKLPVMYLMDSIVKNVGREYLTAFTKNLVATFICVFEKVDENTRKSLFKLRS
+TWDEIFPLKKLYALDVRVNSLDPAWPIKPLPPNVNTSSIHVNPKFLNKSPEESSTPGTVV
+SSPSISTPPIVPDIQKNLTQEQLIRQQLLAKQKQLLELQQKKLELELEQAKAQLAVSLSV
+QQETSSLGPGSAPSKLHVSQIPPMAVKAPHQVPVQPEKSRPGPSLQIPDTKGTNRDPRLN
+RMSQHSSHGKDQSHRKEFLMNTLNQSDIKTSKTVPSEKLNSSKQEKSKSGEKITKKELDQ
+LDSKSKSKSKSPSPLKNKLSHTKDLKNQEAESTRVSDMSKRDPRLKKHLQDKTDSKDDDT
+KDKRKTAEKKDKDEHMKSSEHRLVGSRNKIINGIVQKQDTITEESEKPGTKPGRSSTRKR
+SRSRSPKSRSPIIHSPKRRDRRSPKRRQRSMSPTSTPKAGKIRQSGVKQSHMEEFTPSRE
+ERSAKRSTKQDVRDPRRIKKTEEERPQETAGQHSTKSSTEPKENVENWPSSKSTKRWKSG
+WEENKSLQQGDEHSKAPHLRHRESWSSTKGILSPRAPKPQHRLSVDANLQIPKELTVASK
+RELLQKTSERLASGEITQDEFLVVVHQIRQLFQYQEGKHRCSVRDSPTEENKGGLKKKPL
+LSDAELTYYEHKAKLKRTQVQHSFPRLDLLDPDIFDYPLTDALLSGIECEPSKSKHASRN
+SGAQFDRKEQFSERARRLSPISGSRTYAENLSPHEGRRRHDEQVSSKGVREEQRSPFNDR
+FPLKRPRYEDSDKPFVDSPASRFAGLDTNQRLTALAEDRPLYDGPSRPSVARDGPAKMIF
+EGPNKLSPRIDGPPAPGSLRFDGSPGQMGGGGPLRFEGPQGQLGGGCPLRFEGPPGPVGT
+PLRFEGPIGQAGGGGFRFEGSPGLRFEGSAGGLRFEGPGGQPVGGLRFEGHRGQPVGGLR
+FEGPHGQPVGGLRFENPRGQPVGGLRFEGGHGPSGAAMRFDGPHGQPSGGIRFEGPLLQQ
+GVGMRFEGPHGQSVAGMRFEGQHNQLGGNLRFEGPHGQPGVGIRFEGPLVQQGGGMRFEG
+PSVPGGGLRIEGPLGQGGPRFEGCHPLRFEGQPGQSSLLPRFDGLHGQPGPRFERTGQPG
+PQRFDGPPGQQVQPRFDGVPQRFEGPQHQQASRFDIPLGLQGTRFDNHPSQRLESVSFNQ
+TGPYNDPPGNAFNAPSQGLQFQRHEQMFDSPQGPNFNGPHGPGNQSFSNPLNRASGHYFD
+EKNLQSSQFGNFGNLPAPITVGNIQASQQVLTGVSQPVAFGQGQQFLPVHPQNPGAFVQN
+PSGALPKAYPDNHLSQVDVNELFSKLLKTGILKLSQPDSATTQVNEVAAQPPAEEEEDQN
+EDQDVPDLTNFTIEELKQRYDSVINRLYTGIQCYSCGMRFTTSQTDVYADHLDWHYRQNR
+TEKDVSRKVTHRRWYYSLTDWIEFEEIADLEERAKSQFFEKVHEEVVLKTQEAAKEKEFQ
+SVPAGPAGAVESCEICQEQFEQYWDEEEEEWHLKNAIRVDGKIYHPSCYEDYQNTSSFDC
+TPSPSKTPVENPLNIMLNIVKNELQEPCESPKVKEERTDTPPACTEESIATATEIKTEND
+TVESV
+>tr|E1BC69|E1BC69_BOVIN Homeodomain interacting protein kinase 3 OS=Bos taurus OX=9913 GN=HIPK3 PE=4 SV=3
+MASQVLVYPPYIYQTQSSAFCSVKKLRVEPSSCVFQERNYPRTYVNGRNVGNSHPPTKGS
+AFQTKIPFNRPRGHNLSLQTSAVVIKNPAGATKVIAAQAPQTQVEAPQIGAWRHRFSFLE
+GPQRCGLKRKSEELDNHSSAMQIVDEVSILPAMLQTNMGNPVTVVTTTTGTKQNCTTGEG
+DYQLVQHEVLCSMKNTYEVLDFLGRGTFGQVVKCWKRGTNEIVAIKILKNHPSYARQGQI
+EVSILARLSTENADEYNFVRAYECFQHRNHTCLVFEMLEQNLYDFLKQNKFSPLPLKVIR
+PILQQVATALKKLKSLGLIHADLKPENIMLVDPVRQPYRVKVIDFGSASHVSKTVCSTYL
+QSRYYRAPEIILGLPFCEAIDMWSLGCVIAELFLGWPLYPGALEYDQIRYISQTQGLPGE
+QLLNVGTKSTRFFCRETDLSHSGWRLKTLEEHEAETGMKSKEARKYIFNSLDDIVHVNTV
+MDLEGSDLLAEKADRREFVGLLKKMLLIDADLRITPAETLNHAFVNMKHLLDFPHSNHVK
+SCFHIMDICKSHPNSCDANNHNKTSLLRPVAPSSTTNLAANFTKIGTLRSQALTTSAHSV
+VHHGIPLQAGTAHFGCGDAFQQTLIICPPAIQGIPATHGKPTSYSIRVDNTVPLVTQAPA
+VQPLQIRPGVLSQTWSGRTQQMLIPAWQQVTPLAPATTALASEGVAGSQRLGDWGKMISH
+SNHYSSMMPQPLLTNQITLSAPQPISVGIAHVVWPQPATTKKNKLCQNRGILVKLMEWEP
+GREEINAFSWSNSLQNTNIPQSAFISPKTVTGKDVQEVSCIETQDNRNSEGEAGNCCDTS
+IRQDSDSSVSDKQRQTIIIADSPSPAVSVITISSDTDEEETSSQRHSLRECKGNLDCEAC
+QSTLNIDRMCSLSSPDSTLSTSSSGQSSPSPCKRPNSMSDEEQESGCDTVDGSPTSDSSG
+HDSPFAESSFVEDTHQNAELVTSTDTETKPAVCTVVVPPMGLENGLNADEHMANTDSICQ
+PLIKGRSAPGRLNQPSTVGNRQQKSTSAFQQQHLNFSQVQHFGSGHQEWNGNFGHRRQQA
+YIPTSVTSNPFTLSHGSPNHTAVHAHLAASAHLGGQPTLLPYPSSAPLSSAAPVAHLLAS
+PCTSRPMLQHPTYNISHPSGIVHQVPVGINPRLLPSPTIHQTQYKPIFPPHSYIAASPAY
+TGFPLSPTKLSQYPYM
+>tr|A0A3Q1N1E2|A0A3Q1N1E2_BOVIN KRAB domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MAAAALRGPPQACVTFEDVAIYFSQDEWSLLNEAQRRQYRDVMLQVFALISSLGKACTIT
+LCDLACPSVAQRRSQPG
+>tr|F1MS27|F1MS27_BOVIN Phosphodiesterase OS=Bos taurus OX=9913 GN=PDE4D PE=3 SV=3
+MPEANYLLSVSWGYIKFKRMLNRELTHLSEMSRSGNQVSEYISNTFLDKQHEVEIPSPTQ
+KEKEKKKRPMSQISGVKKLMHSSSLTNSSIPRFGVKTEQEDVLAKELEDVNKWGLHVFRI
+AELSGNRPLTVIMHTIFQERDLLKTFKIPVDTLITYLMTLEDHYHADVAYHNNIHAADVV
+QSTHVLLSTPALEAVFTDLEILAAIFASAIHDVDHPGVSNQFLINTNSELALMYNDSSVL
+ENHHLAVGFKLLQEENCDIFQNLTKKQRQSLRKMVIDIVLATDMSKHMNLLADLKTMVET
+KKVTSSGVLLLDNYSDRIQVLQNMVHCADLSNPTKPLQLYRQWTDRIMEEFFRQGDRERE
+RGMEISPMCDKHNASVEKSQVGFIDYIVHPLWETWADLVHPDAQDILDTLEDNREWYQST
+IPQSPSPAPDDQEEGRQGQTEKFQFELTLEEDGESDTEKDSGSQVEEDTSCSDSKTLCTQ
+DSESTEIPLDEQVEEEAVADEESQPEACVIGDPSPDT
+>tr|E1B9B6|E1B9B6_BOVIN NUAK family kinase 1 OS=Bos taurus OX=9913 GN=NUAK1 PE=4 SV=1
+MEGAAAPAAGPDLGPGAPGSPPEAGASAAPSAATPEPRKPHGVKRHHHKHNLKHRYELQE
+TLGKGTYGKVKRATERFSGRVVAIKSIRKDKIKDEQDMVHIRREIEIMSSLNHPHIISIY
+EVFENKDKIVIIMEYASKGELYDYISERRRLSERETRHFFRQIVSAVHYCHKNGVVHRDL
+KLENILLDDNCNIKIADFGLSNLYQKDKFLQTFCGSPLYASPEIVNGRPYRGPEVDSWAL
+GVLLYTLVYGTMPFDGFDHKNLIRQISSGEYREPTQPSDARGLIRWMLMVNPDRRATIED
+IANHWWVNWGYKSSVCDCDALHSSESPLLARIIDWHHRSTGLQAEAEAKVKGLAKPGAPE
+VVLERQRSLKKSKKENDFPQSGQDSVPESPSKLSSKRPKGILKKRSNSEHRSHSTGFIEG
+VVSPALPPTFKLEQDLCRTAVPLPSPPEAEVPGKLSPKQSATMPKKGILKKTQQRESGYY
+SSPERSESSELLDSNDGLGSSIPSPSPPDPARVPSHSLSCRRKGILKHNSKYSAGTMDPA
+LASPEMPTLESLLEPGVPSEGLSRSYSRPSSVISDDSVLSSDSFDLLDLQENRPTRQRIR
+SCVSAENFLQIQDFEGLQNRPRPQYLKRYRNRLADSSFSLLTDMDDVTQVYKKALEICNK
+LN
+>tr|E1BHU8|E1BHU8_BOVIN G_PROTEIN_RECEP_F1_2 domain-containing protein OS=Bos taurus OX=9913 GN=OR2D2 PE=3 SV=3
+MRQTNQTQVTEFLLLGLSDDQHTQKLLFTLFLGVYLVTVLGNLLLMFLIQVDSQLHTPMY
+FFLCNLSLADLCFSTNIVPQALVHLLSRKKVISFTRCAAQLLLFLIFGCTQCALLAVMSC
+DRYVAICNPLHYPSTMTWRVCIQLAVGSWTSGILVSAVDTTFTLRLPYQGSNNVAHFFCE
+APSILILASTDTHTSEMAIFLMGVVILLIPVSLILVSYGHIIVTVVRMRSAEGKLKAFST
+CGSHLVVVILFYGSGIITYMTPKSYKEQKKLVSVFYAMVTPMLNPLIYSLRNKDVKGALR
+KVVIRNFPCRFGVFH
+>tr|A0A3Q1LJE5|A0A3Q1LJE5_BOVIN Protein CASC1 OS=Bos taurus OX=9913 GN=CASC1 PE=4 SV=1
+MLITFLKVVTFIKSGSKKKKITKADRLKQILEEEEKRQKEEEEARVKYEKEEMERLEIQR
+IENEKLQKLEAKDLERRNEELEELYLLEACFPEAEKLKRDNRFLSQWKHYIECDGSPDPS
+IAQEINTFITLWKEETNETLEEVIEKSKLVLNLIEKLKLILLETPPYDLQGKNIIQYQES
+ILELQELLHLKFNRATEILLRQASTLADLDSGNMEKVIQDENVTLYIWANLKKNPRHRSI
+RFSETQTGFEIPRILATSDIALRLLHTHYDHVTPLSPVLTPSQEHISIVTDFVKEEVKSV
+QTAVSKDLQEENKQENESNSVHEEETKAEGQGDVEEQMCPVQEEPEATKYEMEMKLLSET
+VSAAQELLLENASEKPYFLEENEVDLCQFTTLGGVYHLDILELPPQCKPMKGWMIVEILK
+EGLQKYTYPPETTEDLEAENVFPPIEVTLEVHENVIFFENPMVARWDAEGKQWKTDGISN
+VSYKSEERLITFNLETFCPVTLIQDAHINMPYQSWELRPLDVNKVLLTITTVFTELQIQI
+KENLCMLASIKVNNKNHSSILEEKWMTPVSFIIALKKAGLNIFPTGHSHLYVVINYKHPS
+VEVKAYRQMALLSSAFAFCWSKWNTACESKKTVFQVREHLLKEEPIRNPNWTLLMFSGDR
+AQSLKINESSDAFSEALKEETEFHSTLYHMVKDFASQEAMRAVRRSNCQFVDSVCHMLLS
+TRLLSYS
+>tr|A0A3Q1MHW1|A0A3Q1MHW1_BOVIN Zona pellucida sperm-binding protein 2 OS=Bos taurus OX=9913 GN=ZP2 PE=4 SV=1
+MQAERRQRETLKLVPCRLEVFLGAERRGQNALSAEVHSSLTFSPMSSCTYRSFFLSFTLL
+TSVNSIDVNQLDPAFPGTVTCYENRMVVEFKRTLGNKIQHASVVDSLGLKMLNCTYVLDP
+EKLTLKAPYESCTKRVLGQHQMTITFMNDNTAHRQKTVLYHVSCPVMQAGRHDQHSGSTI
+CSKDFMSFTFHFFPGLADDTAGPKPQMGWTVTVGDGERAQNLTLQEALTQGYNLLIENQK
+MSIQVLFHATGVTHYSQGNSHLYMVPLKLTHVSPGQTIILSSRLLCASDPVTCNATHMTL
+TIPEFPGKLKSVSFENKNIAVNQLHNSGIVMEIANGLRLHFSKTLLKTKFSEKCLPYQFY
+LSSLKLTFYTQLETVSMVIYPECVCESTVSIVSGELCTQDGFMDVEVYHHQTKPALNLDT
+LRVGDSSCQPTIKAPFQGLVKFHIPLNGCGTRHKFENGKVIYENEIHALWADLPPSTISR
+DSEFRMTVRCYYSSSNMLINTNVESLPPPVASVKPGPLALTLQTYPDNSYLQPYGDKDYP
+VVRYLRQPIYLEVRVLNRTDPNIKLVLDDCWATSTMDPASLPQWNIIVDGCEYNLDNHRT
+TFHPVGSSVAYPNHYQRFAVKTFAFVSEDPAFSHLVYFHCSALICDQLSSNFPLCSASCL
+VSSRSRRATGATEEEKMIVSLPGPILLLSDGSSFRDAVDSKGHGTSGYAAFKTMVAVVAL
+AGVVATLSLISYLRKKRITVLNH
+>tr|A0A3Q1MRP5|A0A3Q1MRP5_BOVIN Ubiquitin carboxyl-terminal hydrolase OS=Bos taurus OX=9913 GN=USP10 PE=3 SV=1
+MANHFSILYIFGDFSPDEFNQFFVTPRASVELPPYGGTVLCGAQAADDLPDGHDYQRIEF
+GVNEVIEPSDTLPRTPNYSISSTLNPQAPEFILSCTTSKKLPDDIDKEVNYSSANCQYPG
+PALALDGGSPAEAEALENDGVSGGLGQRERKKKKKRPPGYYSYLKDGGEGGPSAEALVNG
+HAGPAVSNSVGAEDTDLMGDVPTAGTPRTWGSPQDATDFVSDAGPAGAFPGALDGGARTA
+GQLEGCPGADSEASCLPAEAGRDTLLRTAVAQPSVGTDTTENLGVTNGQILESLGEGTAA
+NGVELHTVESSDSDPAKAESAPPPADAPASAAGTVPASQPAKSWASLFHDSKPSSSSLPV
+VSVETKYSPPATSPLVSEKQAEVKEGLVPVSEDPVAIKIAELLENVTLIHKPVSLQPRGL
+INKGNWCYINATLQALVACPPMYHLMKLIPLYSKVQRPCTSTPMIDSFVRLMNEFTNMPV
+PPKPRQALGDKIVRDIRPGAAFEPTYIYRLLTVIKSSLSEKGRQEDAEEYLGFILNGLHE
+EMLNLKKLLSPNNDKLTISNGPKSHSVNEDEQEEPGEGSEDEWEQVGPRNKTSVTRQADF
+VQTPITGIFGGHIRSVVYQQSSKESATLQPFFTLQLDIQSDKIRTVQDALESLVARESVQ
+GYTTKTRQEVEISRRVTLEKLPPVLVLHLKRFVYEKTGGCQKLIKNIEYPVDLEISKELL
+SPGVKNKNFKCHRTYRLFAVVYHHGSSATGGHYTTDVFQIGLNGWLRIDDQTVKVVSQQQ
+VVRPAAERTAYLLYYRRVDLL
+>tr|E1B8I6|E1B8I6_BOVIN LIM homeobox 6 OS=Bos taurus OX=9913 GN=LHX6 PE=4 SV=2
+MYWKHENAAPALPEGCRLPAEGGPATDQVMAQPGSGCKATTRCLEGTAPPAMAQSDAEAL
+AGGLDKDEGRASPCTPSTPSVCSPPSAASSVPSAGKNICSSCGLEILDRYLLKVNNLIWH
+VRCLECSVCRTSLRQQNSCYIKNKEIFCKMDYFSRFGTKCARCGRQIYASDWVRRARGNA
+YHLACFACFSCKRQLSTGEEFGLVEEKVLCRIHYDTMIENLKRAAENGNGLTLEGAVPSE
+QDSQPKPAKRARTSFTAEQLQVMQAQFAQDNNPDAQTLQKLADMTGLSRRVIQVWFQNCR
+ARHKKHTPQHPVPPSGAQPSRLPSALSDDIHYSPFSSPERARMVTLHGYIESQVQCGQVH
+CRLPYTAPPVHLKADMDGPLSNRGEKVILFQY
+>tr|F1MS53|F1MS53_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=RAPGEF6 PE=4 SV=3
+MNSPVDPGARQALKKKPPERTPEDLNTIYSYLHGMEILSNLREHQLRLMSARARYERYSG
+NQVLFCSETIARCWYILLSGSVLMKDSMVLPPCSFGKQFGGKRGCDCLVLEPSEMIVVEN
+SKDNEDNILQREIPARQSRRRFRKINCKGERQTITDDVDINSYLSLPADLTKMHIADNPH
+PQVTHVSSSQSGCSIASDSGSSSLSDIYQATESEVGDVDLTRLPEGPVDSEDEEEEDEDI
+DRTDPLQGRDLVRECLEKEPADKTDDDIEQLLEFMHQLPAFANMTMSVRRELCSVMIFEV
+VEQAGAVILEDGQELDSWYVILNGTVEISHPDGKVDNLFMGNSFGITPTLDKQYMHGVVR
+TKVDDCQFVCIAQQDYWRILNHVEKNTHKVEEEGEIVMVHEHRELDRSGTRKGHIVIKGT
+PERLIMHLIEEHSIVDPTYIEDFLLTYRTFLASPLDVGTKLLEWFKIDSLRDKVTRIVLL
+WVNNHFNDFEGDPAMTRFLEEFEKNLEDTKMNGHLRLLNIACAAKAKWRQVVLQKASRES
+PLHFSLSGGSEKGFGIFVESVEPSSKAADAGLKRGDQVMEVNGQNFENITFVKALEILRN
+NTHLALTVKTNIFVFKELLSRTEQEKSGVPHIPKIAEKKSNRHSIQDVPGDLEQTSQEKG
+NKKIKANTVSGGRNKIRKILDKTRFSILPPKLFSDGGLSQSQDDSIVGTRHCRHSLAIMP
+IPGTLSSSSPDLLQPTTSMLDFSNPSDIPDQVIRVFKADQQSCYIIISKDTTAKEVVCQA
+IHEFGLTGASDTYSLCEVSVTPEGVIKQRRLPDQFSKLADRIQLNGRYYLKNNMETETLC
+SDEDAQELVKESQLSMLQLSTIEVATQLSMRDFDLFRNIEPTEYIDDLFKLESKTGNTHL
+KEFEDIVNQETFWVASEILTESNQLKRMKIIKHFIKIALHCRECKNFNSMFAIISGLNLA
+SVARLRGTWEKLPSKYDKHFQDLQDLFDPSRNMAKYRNILSSQSMQPPIIPLFPVVKKDM
+TFLHEGNDSKVDGLVNFEKLRMIAKEIRQVVRMTSANMDPAMMFRQRKKRWRSLGSLSQG
+STNSNMLDVQGGAHKKRARRSSLLNAKKLYEDAQMARKVKQYLSSLDVETDEEKFQMMSL
+QWEPAYGTLTKNLNEKKSMKSSEMSPVPLRSAGQTTKAHLHQPHRGSQVLQVPAVNLHPI
+RKKGQGKDPATLSTGLPQKILGTAEEVSGGKKHTEDTVSVASSLHSSPPVSPQGSPRKGY
+TLIPSAKSDNLSDSSHSEISSRSSIVSNCSVDSMSTALQDERCSSQAVAVPESTGALEKT
+EHSSGIGDHSQLGPGWTLSKPSLINKSLAVSSSVSNEEISHEHIIIETADSGRGSWTSCS
+SSSHDNFQSLPNPKGWEFLNSYRPTHLDGPIAEVEPTDCEHYSCPKGCSRTCGQCKGSLE
+TNQMRQSWASSSSLSDTYEPNYGTVKRRVLENTPAESSEGLDHKEGIDPVYKTVTSSTEK
+GLIVYCVTSPKKEDRYREPPPTPPGYMGISLADLKEGPHLHLKPPEYSVAVQRSKMMHNS
+LSRLPPASLSSSPVACVPSKIVIQPQRHKLDVTDGDSEADGMLTNYLNSSQINSLTL
+>tr|E1B6Y0|E1B6Y0_BOVIN Calpain 15 OS=Bos taurus OX=9913 GN=CAPN15 PE=3 SV=2
+MGSSASSLAAETESDHGFPRGPPCPGPPAAAGWGRSGPGGPAWGDSLGTRQHPPPRPRAR
+SHTHSPGPMATVGEWACARCTFLNPAGQRQCSICEAPRHKPDLDRILRLSVEEQKWPCAR
+CTFRNLLGRGACEMCGFTPEPGPGASPLPIINGVPPEPPGSCRDEAGPLRTAGPVAVEPA
+GGRPAEAEGQEGGAAAPGSGWACQRCTLHNTPVASSCSACGGPRKLSLPRIPPEALVVPE
+VMAPAGFPGTSAPAQPGEGAEANTPSAVDQEPPRGPPFSPFSPTLQNNPVPRSRREVPPQ
+LQPPVPEAAQPSPSASSKGPPQGLGRPTTGASRLAELLSGKRLSFLEEEAAEGGPTLRPP
+GPASPAHCEAGSSTPGSDVIDLGGDSVRYTPASPSSPDFTTWSCARCTLRNPTATPRCTA
+CGCSKLHGFQEHGDTTARCPDCSADRPGPCPAHKAGRPLPAAPSERPGQWACPACTLLNA
+PRAKHCATCHTPQLMAAQRRGVAPLRRRESVLVEKRRQTDEGEAKALWEDIVAFCRENSV
+NFVDDSFPPGPASVGFPVGDSVQQRVRQWLRPHEINCSVFRDHSTSWSVFHTLRPSDILQ
+GLLGNCWFLSALAVLAERPDLVERVMVTRSLCAEGAYQVRLCKDGTWTTVLVDDMLPCDE
+AGFLLFSQAQRKQLWVALIEKALAKLHGSYFALQAGRAIEGLATLTGAPCESLALQVSST
+NPREEPVDTDLIWAKMLSSKEAGFLMGASCGGGNMKVDDAAYESLGLRPRHAYSILDVRD
+VQGSRLLRLRNPWGRFSWNGSWSDEWPHWPGHLRSELMPHGSSEGVFWMEYSDFVRYFDS
+VDICKVHSDWQEARVQGSFPSSASGPVGVTALTVLERASLEFALFQEGSRRADSVDSHLL
+DLCVLVFRASFGSGGRLSLGRLLAHSKRAVKKFVNCDVMLEPGEYAVVCCAFNHWGPVPG
+PPALQASSPSAGAPRNTPEAPGHVLAVYSSRLVMVEPVEAQPTTLADAIILLTESRGERH
+EGREGMTCYYLTHGWAGLIVVVENRHPKSYLHVQCDCSDSFNVVSTRGSLRTQDSVPPLH
+RQVLVILSQLEGNAGFSITHRLAHRKAAQAFLSDWTTSKGSHSPPLTPEVAGLHGPRPL
+>tr|A0A3Q1LJH7|A0A3Q1LJH7_BOVIN Rhophilin Rho GTPase binding protein 1 OS=Bos taurus OX=9913 GN=RHPN1 PE=4 SV=1
+PGATWPPQPEGILIGWALQNAPWDRCRQNWRSQDSCRVPDSCSEGSAGWGLPPLVRTCAE
+KTLRFWGTLWGLPSPCSQASGKVPHPLPQESAGRWQVVLGSWELIRVRGSSRDIPESLGP
+ASVVGVPSLWGFSHVGLGGSREVPRPPSPPPPQELICRHFGEDGASYEAEIRELEDLRQA
+TRTPSRSKAGLELLTAYYNQLCFLEARFVTPARSLGLLFHWYDSLTGLPAQQRALAFEKG
+SVLFNIGALHTQIGARQNRSCPEGIGRAVEAFQRAAGEAARPHSCAFAVLTFRLEGQSRG
+RGDRRGGPLARGARHTQALCEGLRARAGQPPLSPIFPAGAFSLLRENFSHAPSPDMSPTS
+LSMLEQLMRAQAQECVFEGLLLQAPRAAHDCLAQLRLAQEAAQVAAEYRLVHRTMAQPPV
+RGYVPFPWITLVHVKAEHFHALAHYHAALGLCDGACECPAPRAHVPCCQGPVWRLTALSD
+LQRWLSRSSLSSRRCSWPRLRPGARRCPRSARNAASWVRP
+>tr|E1BJW8|E1BJW8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=AFF3 PE=4 SV=3
+MDSFDLALLQEWDLESLCVYEPDRNVLRRKERERRNQEAQQDDGAFNSSYSLFSEPYKTN
+KGDELSNRLQNTLGNYDEMKDFLTDRSNQSHLVGVPKPGVPQAPVTKIDEHFVADSRAQT
+QPASVCSTTSSTPAAVPGQQSKRGAMGWQKAGHPPSDGQQRATQQGTLRTLLGDGVGRQQ
+PRTKQVCNVEVGLQTQDRPPAMGAKHSGNGHCVQNFPPSLASKPSLVQQKPTAYVRPMDG
+QDQAPDESPKLKSSAETGVHCLYRGVPATKSESARAKAKLSKFSIPKQGEESRSGETNSC
+VEEIIREMTWPPALAAIQPPGKVEPSKFPFPNKDSQLASSGHNNPKKGDAEPESPDNGTS
+NTSMLEDDLKLSSDEEENEQQAAQRTALHALSDSAGLQPADCRASAPSSKGSSSGSSSSG
+SSSSSDSESSSGSDSETESSSSESEGSKPPHYSSPEAEPASSNKWQLDKWLNKVNHHKPP
+VLIQNESHHGPESSQYYTPVKEDTQDCGKLPDVCPPGLRDKDIKGACKEEQRPRTANKAP
+GSKGVKQKSPPAAVAVAVTAAAAPPPAVPGAPAESAPAPARRSAGKKPTRRTERTSAGDS
+GHRPEEPVAAEALGASTGVPPEPPKPRPCGNSRTNHRKELRSAVTCEKRRTRGLSRIVPK
+SKEFIETESSSSSSSSDSDLESEQEDYPLSKAVAAAAAAAAASGNDPRLKEAPSSISGGG
+PRAPVGSINARTTSDIAKELEEQFYTLVPFGRNELLSPLKDSDEVRSLWVKIDLTLLSRI
+PEHLPQEPGVLSAPAAKDSESAPPSHASEAPVEKTLPKSKRKRKCDNEDDYREIKKAPGE
+RESASRLSASANSTLSANHCSMNINSLAIPINKNEKVLRSPISPLSDASKHKFSGEDLTS
+SSRSNSNGLFTSTSSSKKHKAESQLQSHAADLTKAAHNNSENILHKSRPQTEPWSPGSNG
+HRDCKRQKLIFDDMPRSADYFMQEAKRMKHKADAMVEKFGKALNYAEAALSFIECGNAME
+QGPMESKSPYTMYSETVELIRYAMRLKTHSGPNATPEDKQLAALCYRCLALLYWRMFRLK
+RDHAVKYSKALIDYFKNSSKAAQAPSPWGASGKSTGTPSPMSPNPSPTGSVGSQGSLSNS
+NALSPSTIVSIPQRIHQMAANHVSITNSILHSYDYWEMADNLAKENREFFHDLDLLMGPV
+TLHSSMEHLVQYSQQGLHWLRNSAHLA
+>tr|F1MGS3|F1MGS3_BOVIN Coiled-coil domain containing 36 OS=Bos taurus OX=9913 GN=CCDC36 PE=4 SV=2
+MNFNVWNIKDMFSIPSGSGATKSSNWNNNQTDYSSLSDSQFLFGSQFCPEGSQTLSTPVD
+SEVHLRHPKQSQQNSLDSEPSIFTKYQTKPQLLGGDPKDGGLFPLPLSLGKPKGLLEQFE
+EKKKSAKDKCDSETLYNFISHIKESIHKLQTSVEESEEHLSSRSQSILDSLETVAKTWQE
+TAKAQSGLMLEIVQDKGNVDQAILELQKRLEARQAEFTEMKSDLKHLEVLVIQQSKDFQQ
+LCEHLGQLNMPSVLAELKRLTSIALTPKHVKDSASQTSPPLAQSLSFPRQDKYTSEKPVT
+WQAQALPAACSLSVGSPRPREFAVWGEGSKRDALQEEAVQPAVGTGKRNRQIKGRAVKTN
+CQNSVTKTGSENCGSAILGHKVPKDRDPVFQGDSQLISRGYKDLNNSATSIKNTSQKWQA
+KGAFSCHPCEQRLVTEQKGVTVERGKKDKQQPRKAPRRRSLRRKQEQMPSKTCVSNSKYP
+RPPVSSPQSSPWGQQETLAQPLQLWGPRSPTNLVCSAQGGTVMPSKTTRAEQGNLVQCSG
+HSSQDNSLLFPSFQGDHKMSWFSDLNDLNPRAESLQSQESGKNILYDLGFDSSDDGF
+>tr|A0A3Q1LG62|A0A3Q1LG62_BOVIN Endothelial cell-specific chemotaxis regulator OS=Bos taurus OX=9913 GN=ECSCR PE=4 SV=1
+MDPCRGEERGCGARSPSLAFVPRSWRRPRGPSQGHKEPGRRRCAVWALHPQLGCLTEQGP
+WSQSQSVSFYSLTGSSWRASPHPPPRPSSPSLFSTMDRGCLPVADQADMGSVRETQLRWA
+ILGFLLLQGAFSSQSSTTQPASPETSPSTEANSLSPLSGTWTTAASETPSQFSTEAMTLS
+SSTVADHLPSSPGPTWSQSQKHTSGLSADVPSSGRSSDSMSGDTSHNVTSTSPNMSFRTT
+ADSTVPPSPTSETVLTVAAFGVISFIAILVVVVIVLVSVVSLRFKCRKNKESEDPQKPGS
+SGLSESGSTANGEKESITLISMKNINMNNSKGCPSAEKVL
+>tr|A0A3Q1M8B0|A0A3Q1M8B0_BOVIN 26S proteasome regulatory subunit 10B OS=Bos taurus OX=9913 GN=PSMC6 PE=3 SV=1
+MADPRDKALQDYRKKLLEHKEIDGRLKELREQLKELTKQYEKSENDLKALQSVGQIVGEV
+LKQLTEEKCKCIRLLLDKSKLKPGTRVALDMTTLTIMRYLPREVDPLVYNMSHEDPGNVS
+YSEIGGLSEQIRELRELLKIYLIFPQIYITFQKLLLFLFPGTGKTLLARAVASQLDCNFL
+KVVSSSIVDKYIGESARLIREMFNYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRT
+LMELLNQMDGFDTLHRVKMIMATNRPDTLDPALLRPGRLDRKIHIDLPNEQARLDILKIH
+AGPITKHGEIDYEAIVKLSDGFNGADLRNVCTEAGMFAIRADHDFVVQEDFMKAVRKVAD
+SKKLESKLDYKPV
+>tr|F1MI76|F1MI76_BOVIN Epithelial stromal interaction 1 OS=Bos taurus OX=9913 GN=EPSTI1 PE=4 SV=3
+MYTRTGVQGSGFGAPAASRPTRDPPALRGELGPPQAPKQDLEAAPERPSRESTEAAGPRF
+TGAYTLIAPNEKRRNEIQQMAAKELEELERWKKQQREKSINLPPMTLGGSQSEAEVRQRQ
+QLQLIQSKYQKKLKKEECVRIKKEAEEAEVQKMRAAQREKSNKLEEKKRLQENLRRETFR
+EYQQYKTAEFLRRFEADLPRRGACPVAGDDPQPSAWARRQAYMDTLKEEENQKLQKMKEE
+QHQKGELLEFKRQQQEEERTKSHQAEHRRVNNAFLDRLQGKSQPGGLQHFGGYWNMNSGN
+SWDT
+>tr|A0A3Q1LI07|A0A3Q1LI07_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MSFEKDVRVTASQAALKTMYLLQMGVGSLANVLLFFYHISPILFGHKKRPTDMILTHIAV
+ANLLVLLSSGIPHIMAAFISRKPLSPLGCKCVNLMQVLTWGI
+>tr|A0A452DI82|A0A452DI82_BOVIN cAMP-dependent protein kinase inhibitor alpha OS=Bos taurus OX=9913 GN=PKIA PE=4 SV=1
+MTQCHYKNHLSSFIDPTSLRIHLCGRFNLFNIGDPLLCGYLLAMTDVETTYADFIASGRT
+GRRNAIHDILVSSASGNSNELALKLAGLDINKTEGEEDAQRNSTEQSGEAQGEAAKSES
+>tr|A0A3S5ZPD5|A0A3S5ZPD5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=GSTT2 PE=1 SV=1
+MGGEGVAGLGYQELRTSLCWVTGGTRPLPFLSWVLPAVPAPAMGLELYLDLLSQPCRAVY
+IFAKKNSIPFELRTVDLLKGQNHSDDFVQVNPLRKVPALKDGDFTLAESVAILLYLARKY
+EAPDHWYPQDLQARARVDEYLSWQHTALRTSCTRTMWQKMMFPIFLGQRVPPETLANTLA
+ELDRCLQLLEDKFLKDQDFLAGPHMSVADLVAITELMHPVSAGCDIFKSRPKLAAWRQRV
+EAAVGEDLFREAHAVLMKAKDLPPADTAMKERLKPLAQLFL
+>tr|F1MY83|F1MY83_BOVIN Zinc finger protein 132 OS=Bos taurus OX=9913 GN=ZNF132 PE=4 SV=3
+TAPPSPQAVTGLAESPMDPRQPTYWPPGLAVSSWGSLVAFEEVAMHFSREEWELLDEAQR
+QLYHTVMLETLELVTSLGCWPVVEDEEVPSEKNASGEVVSQVKTPSVPPSMQKADSCDTC
+EPLLKDILQLDNHQRHTGETSCTCLAYGREFWFGVNLHQHPEYNGEKPFQWHRDRDLFVK
+SSTGSLSEKPITCGLGGQDVSDGHDLLQPPSTDGSGDSGQLEEVQSSQKPFNCSDSGKAF
+QKTSVLLSHRSTRSEETPFRCTRAENSLEEKSALISDQWFHTGETSHVCKECGKAFSHPY
+QLRKHQKFHTGVKDYACSDCGKTFSHKLTLIHHQRIHTGERPYECSECGKAFNNRSHLAR
+HEKVHTGERPFKCSKCGRAFSQSSNFLRHQKVHTHIKPYECSQCGKAFSRSSALMQHWRV
+HTGERPFECGECGRAFNNNSNLAQHQKVHTGERPFGCSECGRDFSQSSHLLRHQKVHTGE
+RPFACGECGKTFSNSSTLIQHQKVHTGQRPYRCSECRKAFSRNSSLVQHWRTHTGEKPYE
+CSECGKAFAHSSSLIEHWRVHTRERPYECNECGKFFSQKSILTKHQKVHTGERPYECTKC
+GKFFSRKSSLIYHWRVHTGERPYECSACGRAFSSNSHLIRHQRVHTQERPYECSQCGKAF
+SERSTLVRHQIVHTRERTYECGHCGKIFSRLCNLAQHRRIHS
+>tr|E1BFA7|E1BFA7_BOVIN Kinesin-like protein OS=Bos taurus OX=9913 GN=KIFC3 PE=3 SV=3
+MVPSRRTWNLGATPSLRGLWRVGRAGEPDPGMARPAPASPAARPFPHTGPGRLRTGRGKD
+SPAGGDEDSGTRSAARPALAQCRALSVDWAGPGSPHRLYLTLQVEHLKEKLISQAQEVSR
+LRSELGGTDLEKHRDLLMVENERLRQEMRRCEAELQELRAKPAAPCTGCEHSQESAQLRD
+RLSQLQLEVAENKGLLSELNLEVQQKTDRLAEVELRLKDCLAEKAQEEERLSRRLRDSHE
+TIASLRAQSPPIKYVIKTVEVESSKTKQALSESQARNQHLQEQVAMQRQVLKEMEQQLQS
+SHQLTAQLRAQIAMYESELERAHGQMLEEMQSLEEDKNRAIEEAFARAQVEMKAVHENLA
+GVRTNLLTLQPALRTLTNDYNGLKRQVRGFPLLLQEALKSVKAEIGQAIEEVNSNNQELL
+RKYRRELQLRKKCHNELVRLKGNIRVIARVRPVTKEDGEGPEATNAVTFDPDDDSIIHLL
+HKGKPVSFELDKVFSSQASQQDVFQEVQALITSCIDGFNVCIFAYGQTGAGKTYTMEGTP
+ENPGINQRALRLLFSEVQEKASDWEYTITVSAAEIYNEVLRDLLGQEPQEKLEIRLCPDG
+SGQLYVPGLTEFQVQSVEDINKVFEFGHTNRTTEFTNLNEHSSRSHALLIVTVRGVDCST
+GLRTTGKLNLVDLAGSERVGKSGAEGSRLREAQHINKSLSALGDVIAALRSRQGHVPFRN
+SKLTYLLQDSLSGDSKTLMVVQVSPVEKNTSETLYSLKFAERVRSVELGPGSRRTELGSW
+SSQEHLEWESACQTPQPSARAHSAPGSGTTSRPGSIRRKLQPSA
+>tr|A0A3Q1LKK0|A0A3Q1LKK0_BOVIN RAR related orphan receptor B OS=Bos taurus OX=9913 GN=RORB PE=3 SV=1
+MCENELKTKADATAQIEVIPCKICGDKSSGIHYGVITCEGCKGFFRRSQQNNASYSCPRQ
+RNCLIDRTNRNRCQHCRLQKCLALGMSRDAVKFGRMSKKQRDSLYAEVQKHQQRLQEQRQ
+QQSGEAEALARVYGSSISNGLSNLNNEASGTYANGHVIDLPKSEGYYNVDSGQPSPDQSG
+LDMTGIKQIKQEPIYDLTSVPNLFTYSSFNNGQLAPGITMSEIDRIAQNIIKSHLETCQY
+TMEELHQLAWQTHTYEEIKAYQSKSREALWQQCAIQITHAIQYVVEFAKRITGFMELCQN
+DQILLLKSGCLEVVLVRMCRAFNPLNNTVLFEGKYGGMQMFKALGSDDLVNEAFDFAKNL
+CSLQLTEEEIALFSSAVLISPDRAWLIEPRKVQKLQEKIYFALQHVIQKNHLDDETLAKL
+IAKIPTITAVCNLHGEKLQVFKQSHPDIVNTLFPPLYKELFNPDCATVCK
+>tr|A0A3S5ZPE4|A0A3S5ZPE4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC534967 PE=3 SV=1
+MLELSLSWLGLGPVAASPWLLLLLVGASWILARILAWIYAFYDNCCRLRCFPQPPKRSWF
+WGHLGLAQSNEESMRLVEELGHYFRDVHLWWMGPFFPILRLVHPNFVAPLLQASATIIPK
+DMFFYMKGMILGAQEKVVLLTPHGCLLGSPSPNLALFCVQAKWQRLALEGSTRLDMFEHI
+SLMTLDSLQKCVFSYDSNCQEKPSEYIAAILELSALVMKRIKHIFLHVDFLYYLTRDGQR
+FYRACRLVHDFTDAIIQKRRRTLISQGSQEFLKTKTKAKTLDFIDVLLLAKDEDGKGLPD
+EDIRAEADTFMFEGHDTTASGLSWILYNLAKHPEYQERCRQEVQELLRDREPKEIEWEDL
+AQLPFLTMCIKESLRLHPPVAVISRLCTHDVVLPDGRVIPKGNICVISIFGIHHNPSVWP
+DPEVFNPFRFDPEAPKRSPLAFIPFSAGPRNCIGQTFAMNEMKVALALTLLRFRILPDEE
+EPRRKPELILRAEGGLWLQVEPLNTGQ
+>tr|A0A3Q1M118|A0A3Q1M118_BOVIN F-box and WD repeat domain containing 11 OS=Bos taurus OX=9913 GN=FBXW11 PE=4 SV=1
+MEPDSVIEDKTIELMCSVPRSLWLGCANLVESMCALSCLQSMPSVRCLQNTSVMEDQNED
+ESPKKSTLWQISNGTSSVIVSRKRPSEGNYQKEKDLCIKYFDQWSESDQVEFVEHLISRM
+CHYQHGHINSYLKPMLQRDFITALPEQGLDHIAENILSYLDARSLCAAELVCKEWQRVIS
+EGMLWKKLIERMVRTDPLWKGLSERRGWDQYLFKNRPTDGPPNSFYRSLYPKIIQDIETI
+ESNWRCGRHNLQRIQCRSENSKGVYCLQYDDEKIISGLRDNSIKIWDKTSLECLKVLTGH
+TGSVLCLQYDERVIVTGSSDSTVRVWDVNTGEVLNTLIHHNEAVLHLRFSNGLMVTCSKD
+RSIAVWDMASATDITLRRVLVGHRAAVNVVDFDDKYIVSASGDRTIKVWSTSTCEFVRTL
+NGHKRGIACLQYRDRLVVSGSSDNTIRLWDIECGACLRVLEGHEELVRCIRFDNKRIVSG
+AYDGKIKVWDLQAALDPRAPASTLCLRTLVEHSGRVFRLQFDEFQIISSSHDDTILIWDF
+LNVPPSAQNETRSPSRTYTYISR
+>tr|F1MQN3|F1MQN3_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC528722 PE=3 SV=3
+MVRNEGNQSSVSMFILLGFSEYPRLQAPLFLVFLTIYTITLMGNLGIIVVIRTSPKLHTP
+MYFFLSHLSVLDISSSSVFTPKLLGILVVEDRVISFKGCMAQFFFGCAFVITEMSMLAVM
+AYDRFVAVCNPLLYTVAVSPKLCSLLVAGTYMWGGMCSLTITWSLLELSFCDSNVIHHFG
+CEYSAIISTSCSDTHFSQLTCFIISTLNEVGSLLIILTSYAFIVVTIIKMPSAGGLRKAF
+STCASHLTAITIFHGTVLLLYCVPNSKSSWLLIKVATVFFTVMIPMLNPLIYSLRNKDVK
+ETVRRLITMKLLCPSI
+>tr|A0A3Q1LLI1|A0A3Q1LLI1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=MCTP1 PE=4 SV=1
+MLYSCKLKGACNLPVICNKKINTAGTSNADVPLAEPGMYQLDITLRRGQSLAARDRGGTS
+DPYVKFKIGRKEVFRSKIIHKNLNPVWEEKACLLVDHLREPLYIKVFDYDFGLQDDFMGS
+AFLDLTQLELNRPTDVTLTLKDPHYPDHDLGIILLSVILTPKEGEHVTMLMRKSWKRSSK
+ELSENEVLGSYFSVKSFFWRTCGRPALPVLGFCRAELQSTHYQNAQFQTQSLRLSDVHRK
+SHLWRGIVSITLIEGRDLKAMDSNGLSDPYVKFRLGHQKYKSKIMPKTLNPQWREQFDFH
+LYEERGGIIDITAWDKDAGKRDDFIGRCQIDLSALSREQTHKLELQLEEGEGHLVLLVTL
+TASATVSISDLSVNSLEDRKEREEILKRYSPLRIFHNLKDVGFLQVKVIRAEGLMAADVT
+GKSDPFCVVELNNDRLLTHTVYKNLNPEWNKVFTFNIKDIHSVLEVTVYDEDRDRSADFL
+GKVAIPLLSIQNGEQKAYVLKNKQLTGPTKGVIYLEIDVIFNAVKASLRTLIPKEQKYIE
+EENRLSKQLLLRNFIRTKRCVMVLVNAAYYVNSCFDWDSPPRSLAAFVLFLFVVWNFELY
+MIPLVLLLLLTWNYFLIISGKDNRQRDTVVEDMLEDEEEEDDKDDKDSEKKGFINKIYAI
+QEVCISVQNILDEVASFGERIKNTFNWTVPFLSWLAIVALCAFTVILYFIPLRYIVLVWG
+INKFTKKLRNPYAIDNNELLDFLSRVPSDVQVVQYQELKPDPSHSPCKRKKNNLG
+>tr|G3N3B3|G3N3B3_BOVIN PPM-type phosphatase domain-containing protein OS=Bos taurus OX=9913 GN=PPM1N PE=4 SV=1
+MAALARLLERLLWPARKEEEAEEEEEGCRSPNRPQSLLDAPRCAQRPHGGAAAAWGLRFG
+ASAVQGWRAHMEDAHCACLALPGLPPGWAFFAVLDGHGGARAALFGARHLKGQVLEALGP
+EPSEPQGVCEALRRAFLSADARLRALWPRGEPGGSTAVALLVSPRFLYLAHCGDSRAVLS
+RAGAVAFSTEDHRPLRPRERERIHDAGGTISRRRLEGSLAVSRALGDFAYKEAPGRPPEQ
+QLVSAEPEVTALARQAEDEFMLLASDGVWDAMSGSALVGLVASRLCLGLAPELLCAQLLD
+TCLCKGSLDNMTCLLVCFPGAPRPCEEAIRKEVLLDAALGRRVAELCASASEPPSLNTVF
+RTLASEDILDLPPGGGLYCKATVIAEAYSQFCQASRQRCVKGQKGAEEPTGALSVSALHL
+EA
+>tr|A0A3Q1LJA4|A0A3Q1LJA4_BOVIN Dynactin subunit 1 OS=Bos taurus OX=9913 GN=DCTN1 PE=1 SV=1
+MAQSKRHVYSRTPSGSRMSAEASARPLRVGSRVEVIGKGHRGTVAYVGATLFATGKWVGV
+ILDEAKGKNDGTVQGRKYFTCDEGHGIFVRQSQIQVFEDGADTTSPETPDSSASKVLRRE
+GADSNPKTSKLPTRPASTGVAGASGSLGPSGSASAGELSSSEPSTPAQTPLAAPIIPTPA
+LTSPGAALPLPSPSKEEEGLRAQVRDLEEKLETLRLKRAEDKAKLKELEKHKIQLEQVQE
+WKSKMQEQQADLQRRLKEARKEAKEALEAKERYMEEMADTADAIEMATLDKEMAEERAES
+LQQEAEVLRERVEELTTDLEILKAEIEEKGSDGAASSYQLKQLEEQNARLKDALVRMRDL
+SSSEKQEHVKLQKLMEKKNQELEVVRQQRERLQEELSQAERTIDELKEQVDAALGAEEMV
+ETLTDRNLDLEEKVRKLKETVGDLEAINEMNDELQENARETELELREQLDMAGAQVRDAQ
+KRVEAAQETVADYQQTIKKYRQLTAHLQDVNRALTNQQEASVERQQQPPPETFDFKIKFA
+ETKAHAKAIEMELRQMEVAQANRHMSLLTAFMPDSFLRPGGDHDCVLVLLLMPRLICKAE
+LIRKQAQEKFELSESCSERPGLRGAAGEQLSFAAGLVYSLSLLQATLHRYEHALSQCSVD
+VYKKVGSLYPEMSAHERSLDFLIELLHKDQLDETVNVEPLTKAIKYYQHLYSIHLAEQPE
+DSTMQLADHIKFTQSALDCMSVEVGRLRAFLQGGQEASDIALLLRDLETSCSDTRQFCKK
+IRRRMPGTDAPGIPAALAFGPQVSDTLLDCRKHLTWVVAVLQEVAAAAAQLIAPLAENEG
+LPVAALEELAFKASEQIYGTPSSNPYECLRQSCSLLISTMNKLATAMQEGEYDAERPPSK
+PPPVELRAAALRAEITDAEGLGLKLEDRETVIKELKKSLKIKGEELSEANVRLSLLEKKL
+DSAAKDADERIEKVQTRLEETQTLLRKKEKEFEETMDALQADIDQLEAEKAELKQRLNSQ
+SKRTIEGLRGPPPSGIATLVSGIAGGGAPGQAPGSVPGPGLVKDSPLLLQQISAMRLHIS
+QLQHENSTLKGAQMKASLAALPPLHVAKLSLPPHEGPGSKLAAGALYRKTNQLLETLNQL
+SACTHVVDITRSNPAAKSPSAQLLEQVAQLKSLSDTIEKLKDEVLKETVSQRPEATVPTD
+FATFPSSAFLRAKEEQQDDTVYMGKVTFSCAAGLGQRHRLVLTQEQLHQLHDRLIS
+>tr|A0A3Q1NCN2|A0A3Q1NCN2_BOVIN Ribosomal RNA processing 9, U3 small nucleolar RNA binding protein OS=Bos taurus OX=9913 GN=RRP9 PE=4 SV=1
+MSATRAARKRGKPASGAGAGAEAFKRRRKGDSSGDKVKSKGGGKMNEEISSDSESESLAL
+RRTEEEEEEELEETAQEKKLRLAKVYLEQLRQQEEEKAEAREFEEDQVAGRLKEDVLEQR
+GRLQKSVAKEIQAPDPADIRILRGHQLSITCLVVTPDDLAIFSAAKDCTIIKWSVESGRK
+LHVIPRAKKGTEGQPPGHSTHVLCMAISSDGKYLASGDRSKLILIWEAQSCQHLYTFTGH
+RDAVSGLAFRRGTHQLYSTSHDRSVKVWNVAENSYVETLFGHQDAVAALDALSRECCVTA
+GGRDGTVRVWKIPEESQLVFYGHQGSIDSIQLINEEHMVSGADDGSVALWGLSKKRPLAL
+QREAHGLRGEPGLEQPFWVSSVAALLNTDLVATGSHNNSVRLWQCGEGFRQLDLLYDIPL
+VGFVNSLKFSSAGDFLVAGVGQEHRYEACLGGRGWGRWHWLGGNRGGCCGGLGLASAASL
+PPAGLAGGGGSKRPGTLSASSLSAGPPGPRLLAPDTHIPFI
+>tr|F1N6F5|F1N6F5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=CYP27C1 PE=3 SV=2
+MALLARILKARPSPAAGRTGLLGGWDAGQPRLASSGLSARARAEDKGAGRPGASQAGGRA
+EGPRSLAAMPGPRTLANLVEFFGKDGFSRIHEIQQKHTREYGKIFKSHFGPQFVVSVADR
+DLVAQVLRAEGASPQRANMGSWQEYRDLRGRSTGLISAEGEQWLKMRSVLRQRILKPKDV
+AIFAGEINQVIADLIKRIYFLKSQAEDGDTVTNINDLFFKYSMEGVATILYESRLGCLGN
+SIPQLTADYIEALALMFCTFKTSMYAGAIPRWLRLLIPKPWQEFCRSWDGLFEFSQIHVD
+NKLRDIRCQMERGERVRGGLLTYLFLSQELTLEEIYANMTEMLLAGVDTTSFTLSWAVYL
+LARHPEVQQALYREIVRNLGERHVPTAADVPKVPLVRALLKETLRLFPVLPGNGRVTQED
+LIVGGYLIPRGTQLALCHYATSYEDENFPRAKEFRPERWLRQGNLRRVDNFGSIPFGYGA
+RSCIGRRIAELEIHLLVIQLLQHFEIKTSPWTKTVHAKTHGLLMPGEPIHVRFVNRK
+>tr|F1MMK6|F1MMK6_BOVIN Adhesion G protein-coupled receptor L3 OS=Bos taurus OX=9913 GN=ADGRL3 PE=3 SV=2
+VFLCPGLLKGVYQSEHLFESDHQSGAWCKDPLQASDKIYYMPWTPYRTDTLTEYSSKDDF
+IAGRPTTTYKLPHRVDGTGFVVYDGALFFNKERTRNIVKFDLRTRIKSGEAIIANANYHD
+TSPYRWGGKSDIDLAVDENGLWVIYATEQNNGKIVISQLNPYTLRIEGTWDTAYDKRSAS
+NAFMICGILYVVKSVYEDDDNEATGNKIDYIYNTDQSKDSLVDVPFPNSYQYIAAVDYNP
+RDNLLYVWNNYHVVKYSLDFGPLDSRSGQAHHGQVSYISPPIHLDSDLERPPVREISTTG
+PLGTGSTTTSTTLRTTTWSPGRSTTPSVSGRRNRSTSTPSPAIEVLNDITTHVPSASPQI
+PALEESCEAVEAREIMWFKTRQGQMAKQPCPAGTIGVSTYLCLAPDGIWDPQGPDLSNCS
+SPWVNHITQKLKSGETAANIARELAEQTRNHLNAGDITYSVRAMDQLVGLLDVQLRNLTP
+GGKDSAARSLNKLQKRERSCRAYVQAMVETVNNLLQPQALNAWRDLTTSDQLRAATMLLD
+TVEESAFVLADNLLKTDIVRENTDNIQLEVARLSTEGNLEDLKFPENTGHGSTIQLSANT
+LKQNGRNGEIRVAFVLYNNLGPYLSTENASMKLGTEAMSTNHSVIVNSPVITAAINKEFS
+NKVYLADPVVFTVKHIKQSEENFNPNCSFWSYSKRTMTGYWSTQGCRLLTTNKTHTTCSC
+NHLTNFAVLMAHVEVKHSDAVHDLLLDVITWVGILLSLVCLLICIFTFCFFRGLQSDRNT
+IHKNLCISLFVAELLFLIGINRTDQPIACAVFAALLHFFFLAAFTWMFLEGVQLYIMLVE
+VFESEHSRRKYFYLVGYGMPALIVAVSAAVDYRSYGTDKVCWLRLDTYFIWSFIGPATLI
+IMLNVIFLGIALYKMFHHTAILKPESGCLDNIKSWVIGAIALLCLLGLTWAFGLMYINES
+TVIMAYLFTIFNSLQGMFIFIFHCVLQKKVRKEYGKCLRTHCCSGRSTESSIGSGKTSGS
+RTPGRYSTGSQSRIRRMWNDTVRKQSESSFITGDINSSASLNRGAMANHLISNALLRPHG
+TNNPYNTLLGEPAVCNNPSVSMYNAQEGLLNNARDTSVMDTLPLNGNHGNSYSIASGEYL
+SNCVQIIDRGYNHNETALEKKILKELTSNYIPSYLNNHERSSEQNRNLMNKLVNNLGSGS
+EDDAIVLDDATSFNHEESLGLELIHEESDAPLLPPRVYSTENHQLHHYTRRRIPQDHSES
+FFPLLTNEHTEDLQSPHRDSLYTSMPALAGVPTAESVTTSTQTEPPPAKCGDAEDVYYKS
+MPNLGSRNHVHQLHTYYQLGRGSSDGFIVPPNKDGTPPEGSSKGPAHLVTSL
+>tr|A0A3Q1MCL7|A0A3Q1MCL7_BOVIN Ligand dependent nuclear receptor corepressor like OS=Bos taurus OX=9913 GN=LCORL PE=4 SV=1
+MDKGRERMAAAAAAAAAAAAAAAQCRSPRCAAERRGFRRELDSWRHRLMHCVGFESILEG
+LYGPRLRRDLSLFEDCEPEELTDWSMDEKCSFCNLQREAVSDCIPSLDSSQSTPTEELSS
+QGQSNTEKIECQAENYLNALFRKKDLPQNCDPNIPLVAQELMKKMIRQFAIEYISKSGKI
+QENRNGSIGPSLICKSIQMNQAENSLQEEQEGPLDLTVNRMQEQNTQQGDGVLDLSTKKT
+SIKSEESSICDPSSENSVAGMLQVKTDEKLNLSDGNTASCPLSPIKMCLNHPIEWNLKLT
+AASLASCTVHNQNLKSEEK
+>tr|A0A3Q1MCP5|A0A3Q1MCP5_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MGKTRDLFKKIRDTKGTFHAKMGSIKDRNGMDLTEAEDIKKRWQEYTEKLYKKDLHDQDN
+HDGVITHLEPDILKCEVKWALESITTNKASGGDGIPVELFQILKDDAVKVPHSICQQIWK
+TQQWPQDWKRSVFILIPKKGNAKECSNYRTIALISHASKVMLKILQARLQQYMNRELPDV
+QAGFRKGRGTRGQIANIRWIMEKAREFQKNIYFCFIDYAKALDCVDHNKVWKILKEMGIP
+DHLTCLLRNLYAGQEATVRTGHGTTDWFQKGKGVRQGCILSPCLFNFYAEYIMRNAGLEE
+TQTGIKVAGRNINNLRYADDTTLMAESEEELKSLLMKVKEESEKVGLKLNIQKKKIMASG
+PITSWEIDGETVETVSDFIFLGSKITADGDCSHEIKRRLFLGRKVMTNLDSVLKSRDITL
+PTKVRLVKAMVFPVVMYGCESWTVKKAEC
+>tr|F1MQ89|F1MQ89_BOVIN Component of oligomeric golgi complex 2 OS=Bos taurus OX=9913 GN=COG2 PE=4 SV=1
+MEKSRMNLPKGPDTLCFDKDEFMKEDFDVDHFVSDCRKRVQLEALRDDLELYYKLLKTAM
+VELINKDYADFVNLSTNLVGMDKALSQLSVPLGQLREEVLSLRSSVSEGIRAVDERLSKQ
+EDIRKKKICVLRLIQVIRSVEKIEKILNSQHSKETSALEASSPLLTGQILERIATEFNQL
+QFHAVQSKGLPLLDKVRPRIAGITAMLQQSLEGLLLEGLQTSSVDIIRHCLRTYATIDKT
+RDAEALVGQVLVKPYVDEVILEQIVDSHLSDLQLMYDKLLEFVPHHCRLLREVTGGAISS
+EKGNTVPGYDFLVNSVWPEIVRGLEEKLPSLFNPGDPDAFHQKYTISMDFVRTFERQCGS
+QASVKRLRAHPAYHSFNNKWNLPVYFQIRFREIAGSLEAALTDILEDAPAGSPYRLLASH
+RTWSSLQRCWSDKMFLPLLAHRLWRLTLQILARYSIFVKELLLRPISNESAKDVKKPLVT
+GSKDLSITQGNCEDQASGPSETKPIVSVSSTQLVYVVTDLHRLQEQLPELLETIKPKLEV
+MGFKNFSSISAALEDSQLSLSACVPALSEKITQDLSESCFSHLKSALEVPRLYRRTNKEV
+PVTASSYVDSALKPLYQLQSRHKDKLKQATIRQWLEATLSESTHKYYETVSDVLNSVRKM
+EESLKRLKQARRTTPASPAGLSGGGMSDDDKIRRQLALDVAYLGEQIEKMGLRTKDIRSF
+PALAELVAAAKDQATAEQP
+>tr|A0A3Q1LHX7|A0A3Q1LHX7_BOVIN Outer dense fiber protein 2 OS=Bos taurus OX=9913 GN=ODF2 PE=4 SV=1
+MSASSSGGSPRFPSCGKNGVTSLTQKKVLRTPCGAPSVTVTKSHKRGMKGDTVNVRRSVR
+VKTKVPWMPPGKSSARHVGCNWENPPHCLEITPPSSEKLVSVMRLSDLSTEDDDSGHCKM
+NRYDKKIDSLMNAVGCLKSEVKMQKGERQMAKRFLEERKEELEEVAQELAETEHENTVLR
+HNIERIKEEKDYTMLQKKHLQQEKECLMSKLVEAEMDGAAAAKQVMALKDTIGKLKSEKQ
+MTCSDINTLTRQKELLLQKLSTFEETNRTLRDLLREQHCKEDSERLMEQQGTLLKRLAEA
+DSEKARLLLLLQDKDKEVEDLLQEIQCEKAQAKTASELSKSMETMRGHLQAQLRCKEAEN
+SRLCMQIKNLERSGNQHKAEVEAIMEQLKELKQKGERDKESLKKAIRAQKERAEKSEEYA
+EQLHVQLADKDLYVAEALSTLESWRSRYNQVVKDKGDLELEIIVLNDRVTDLVNQQQTLE
+EKMREDRDSLVERLHRQTAEYSAFKLENERLKASFAPMEDKLNQAHIEVQQLKASVKNYE
+GMIDNYKSQVMKTRLEADEVAAQLERCDKENKILKDEMNKEIEAARRQFQSQLADLQQLP
+DILKITEAKLAECQDQLQGYERKNIDLTAIISDLRSRVRDWQKGSHELARAGARLPR
+>tr|E1BKN2|E1BKN2_BOVIN Tenascin R OS=Bos taurus OX=9913 GN=TNR PE=4 SV=1
+MGADRETVVLKNVLIGINLILLGSLLEPSECQLEVTMGRVQRPAVEEEGGAASYNASGKE
+QPVVFNHVYNINVPLDSLCSSGLEASAEHEVSTEDEVLTEYTGQTSDHESQVTFTHRINL
+PKKACPCASSAQVLQELLSRIEMLEREVSVLRDQCTSNCCPESAATGQLDYIPHCSGHGN
+FSLESCGCICDQGWFGKNCSEPYCPLGCSSRGVCVDGQCVCDSEYSGDDCSELRCPTDCS
+SRGLCVDGECVCEEAYTGEDCSELRCPGDCSGKGICANGTCLCQEGYVGEDCGQRRCPNA
+CSGRGHCQEGLCLCEEGFQGPDCSAVAPPEDLRVAGISDRSIELEWDGPMAVTEYVISYQ
+PTALGGLQLQQRVPGDWSGVTISELEPGLTYNISVYAVISNILSLPITAKVATHLSTPQG
+LRFKTITETTVEVQWEPFSFSFDGWEISFIPKNNEGGVIAQLPSDVTSFNQTGLKPGEEY
+IVNVVALKEQARSPPTSASVSTVIDGPTHILVRDVSDTVAFVEWTPPRAKVDFILLKYGL
+VGGEGGKTTFRLQPPLSQYSVQALRPGSRYEVWVSAVRGTNESEATATQFTTEIDAPKNL
+RVGSRTATSLELEWDNSEAEVQEYKVEYSTLAGEQYHELLVPKTIGPTSRATLTDLVPGT
+EYGIGISAIMNSQQSVPATMNARTELDSPRDLMVTASSETSISLIWTKASGPIDHYRITF
+TPSSGIASEVTVPKDRTSYTLTDLEPGAEYIISITAERGRQQSLESTVDAFTGFRPISHL
+HFSHVTSSSVNITWSDPSPPADRLILNYSPRDEEEEMMEVSLDATKRHAVLMGLQPATEY
+IVNLVAVHGTVSSEPIVGSITTGIDPPKDITISNVTKDSVMVSWSPPVASFDYYRVSYRP
+TQVGRLDSSVVPNTVTEFTITKLYPATEYEISLNSVRGREESERICTLVHTAMDNPADLT
+ATNITPTEALLQWKAPMSEVENYVIVLTHFAVAGETILVDGDSEEFQLVDLLPRTHYTVT
+MYATSGPLTSGTVSTNFSTLLDAPANLTASEVTRQSALISWQPPRAEIENYILTYKSTDG
+SRKELIVDAEDTWIRLEGLSESTDYTVLLQAAQDTERSSITSTAFVTGGRVFPHPQDCAQ
+HLMNGDTLSGVYTIFLNGELSQKLQVYCDMTTDGGGWIVFQRRQNGQTDFFRKWAEYRVG
+FGNLEDEFWLGLDNIHRITSQGRYELRVDMRDGQETAFASYDRFSVEDNRSLYKLRLGGY
+NGTAGDSLSYHQGRPFSTEDRDNDIAVTNCAMSYKGAWWYKNCHRTNLNGKYGESRHSQG
+INWYHWKGHEFSIPFVEMKMRPYSHRLVAGRKRRSLQF
+>tr|F1MTI1|F1MTI1_BOVIN Zinc finger SWIM-type containing 3 OS=Bos taurus OX=9913 GN=ZSWIM3 PE=4 SV=3
+MELGSCFKTYEDFKECFSAYKKENKCSFILRDCISVRYHNLNHGTSIREDILYVQVKFVC
+IRTQSNRKRAAEADMCPAYLLLRYNEKLDRLFISELNTQHIHIDPKTTGPRGDATGKSQK
+KLLSAQPAVNKDLGTAAKSPVEPLFCLDEVQIPAKPEQEDIAPSDLAKIAKVMKNFLKVD
+VGSMASFSVGSSQDLDRLSFQSSKMSDLFVRFPENLLLHRVENAQGHILYAFLVESKERE
+GRVVHFAVLKAETATSVAKMLSIFTEFNSDWPKVKVVFVDPSFPHRAILQEVFPGARTLL
+SVYHTTRLLEKKLHRSSADPSFKRLMKEALREAVFVTSETSLQNLCQMSRTLLDEQLFSF
+LQAHWFSCELLWYMHVRKGLHACSTYMDSLDVVTSKVSSLFREQQSLLDCILHFVDYIDF
+FNTKGVKNFPTAPPKLKRARSANTAPKSKKPSGICGGSLSRPPVQEAKPEQVRGPPRQPP
+QGQPLQGGMLASLRQSGSDLAYKLCHNEWVVVQSSTHLVDVAGCAVDVQLLEDSHQVSKD
+GCSCSCYFQQRYHLPCRHILALLHTSQRPVSEAMVCRRWQKRYQHLLGPCGELRDPILIP
+ADAGQPGEPGRNDMIQDLSRELANLLMQSEGPELEERCSTLRKIVDIWAAPRQPPEPSHQ
+PRDFRDVGCLPFLWGKQEEGEGLAPAGTTIHG
+>tr|A0A3Q1LWG9|A0A3Q1LWG9_BOVIN V-set pre-B cell surrogate light chain 1 OS=Bos taurus OX=9913 GN=VPREB1 PE=4 SV=1
+MSWALVLLGLLLHRTGCSPQPVLSQPPSVASFLGATVRLACTLSSDHDVNLHSIYWYQQR
+PGHRPRFLLRYFSPSDKRQGHKVPPRFSGSKDLAKNTGYLSIAELQAEDEAVYFCAVGTP
+VMGRRRKIQRERAERELATLGSPGPRATLPLH
+>tr|A0A3Q1LMP0|A0A3Q1LMP0_BOVIN Sortilin related receptor 1 OS=Bos taurus OX=9913 GN=SORL1 PE=4 SV=1
+MYCQIEFVSVEGWRTSCGTLNEEFLSRVSLNDSHNQMVVHWAGEKSNVIVALARDSLALA
+KPKSSDVYVSYDYGRSFKRISEKLNFGEGNSSEAVIAQFYHSPADNKRYIFTDAYAQYLW
+TTFDFCNTIQGFSIPFRAADLLLHSKASNLLLGFDRSHPNKQLWKSDDFGQTWIMIQEHV
+KSVSWGIDPYDKPNTIYVERHEPSGYSTVFRSTDFFQSRENLEVILEEVRDFQLRDKYMF
+ATKVVHLFGSPQPSSVQLWVSFGRKPMRAAQFVTRHPINEYYIADASEDQVFVCVSHSNN
+RTNLYISEAEGLKFSLSLENVLYYSPAGAGSDTLVRYFANEPFADFHRVEGLQGVYIATL
+ISGSMNEENMRSVITFDKGGTWEFLQAPAFTEYGEKINCELSQGCSLHLAQRLSQLLSLQ
+LRRMPILSKESAPGLIIATGSVGKNLASKTNVYISSSAGARWREALPGPHYYTWGDHGGI
+IMAIAQGMETNELKYSTNEGETWKTFVFSEEPVFVYGLLTEPGEKSTVFTIFGSNKENIH
+SWLILQVNATSALGVPCTENDYKLWSPSDERGNECLLGHKTVFKRRTPHATCFNGEDFDR
+PVVVSNCSCTREDYECDFGFKMSEDLSLEVCVPDPEFSGNSFAPPVPCPVGSTYRRTRGY
+RKISGDTCSGGDVEMRLEGELVPCPLAEENEFILYAMRKSIHRYDLASGATEQLPLTGLR
+SAVALDFDYDRNCLYWSDLALDVIQRLCLNGSTGQEVIVNSDLETVEALAFEPLSQLLYW
+VDSGFKKIEVGHPDGDFRLTIVNSSVLDRPRALVLVPQDGVMFWTDWGDLRPGIYRSNMD
+GSAAYRLVSEDVKWPNGIAVDEQWIYWTDAYLDCIERITFSGQQRSVILDNLPHPYAIAV
+FKNEIYWDDWSQLSIFRASKYSGSDMAILASRLTGPMDLKIFYRGKTTGSNACASRPCSL
+LCLPKADGSRSCRCPDGVSSSVLPSGDLMCECPPGYQQKNHKCVKEENTCLRNQYRCSNG
+KCINSIWWCDFDNDCGDMSDERNCPTTVCDLDTQFRCHESGTCIPLSYKCDLEDDCGDNS
+DESHCEMHQCRGDEYSCSSGMCIRSSWVCDGDNDCRDWSDEANCTAIYHTCEASNFQCRN
+GHCIPQRWACDGDMDCQDGSDEDPLNCEKKCNGFRCPNGTCIPSSKHCDGLHDCSDGSDE
+QHCEPLCTRFMDFVCKNRQQCLFQSMVCDGIIQCRDGSDEDPEFAGCSRDPEFHKVCDEF
+SFQCQNGVCISLIWKCDGMDDCGDDSDEANCENPTEAPTCSRYFQFQCENGHCIPNRWKC
+DRENDCGDWSDEKDCGDSHILPSPTPGPSTCLPNYYRCSSGACVMDSWVCDGYRDCIDGS
+DEEACPSPANVTAASTPTQLGRCDRFEFECRQPKKCIPNWRRCDGHQDCQDGQDEANCPT
+HSTLTCTALEFQCQDGEACIMLSERCDGFLDCSDESDEHACSEELNVYKIQNLQWTADFS
+GDVTLTWIRPKKMPSASCVYNVYYRVVGESIWNTLETHSNKTSTVLKVLKPDTTYQVKVQ
+VQCLSKVHSTNDLVTLRTPEGLPDAPQSLQLSLHREVEGVIMGHWAPPVHTHGLIREYIV
+EYSRSGSKMWASQRALSNFTEIKNLLVNTQYTVRVAAVTSRGIGNWSDSKSITTIKGQVI
+PTPDIRIDSYGENFLSFTLSMDTDIKVNGYVVNLFWSFDTHRQEKRTLNFRGIVSSQKVG
+NLTAHTPYEISAWAKTDLGDSPLAFKRVTTRGVRPPAPSLKAKAINQTAVECTWTGPRNV
+VYGIFYATSFLDLYRNPKSLTTSQHNRTVLVSGDEQYLFLVRVVVPYQGPSSDYVVVRMI
+PDSRLPPRHLHVVRMGKTSAVIKWESPYDSPDQDLLYAIAVKDLIRKSERSYKVKSRNST
+VEYTLNKLEPGGKYHIIVQLGNMSKDSSIKITTVSLLAPDALKIITENDHVLLFWKSLAL
+KEKYFNESRGYEIHMFDSVMNISAYLGNTTDNFFKISNLKLGHNYTFTVQARCLFGSQIC
+GEPAVLLYDELGSGGDASTIQAARSTDVAAVVVPILFLILLSLGVGFAILYTKHRRLQNS
+FTAFANSHYSSRLGSAIFSSGDDLGEDDEDAPMITGFSDDVPMVIA
+>tr|A0A140T899|A0A140T899_BOVIN Translocator protein OS=Bos taurus OX=9913 GN=TSPO PE=4 SV=1
+MAPPWVPAVGFTLLPSLGGFLGAQYTRGEGFRWYASLQKPPWHPPRWILAPIWGTLYSAM
+GYGSYMIWKELGGFSKEAVVPLGLYAGQLALNWAWPPLFFGTRQMGWALVDLLLTGGMAA
+ATAMAWHQVSPPAACLLYPYLAWLAFAATLNYRMWQDNQVRRSGRRLSE
+>tr|F1MSV4|F1MSV4_BOVIN Non-specific serine/threonine protein kinase OS=Bos taurus OX=9913 GN=SGK3 PE=3 SV=2
+MDYKESCPSVSIPSSDEHREKKKRFTVYKVLVSVGRSEWFVFRRYAEFDKLYNTLRKQFP
+AMALKIPAKRIFGDNFDPDFIKQRRAGLNEFIQNLVRHPELYNHPDVRAFLQMDSPKHQS
+DPSEDEDERSTQKLLSTSQNINLGPSGNPHAKPTDFDFLKVIGKGSFGKVLLAKRKLDGK
+FYAVKVLQKKIVLNRKEQKHIMAERNVLLKNVKHPFLVGLHYSFQTTEKLYFVLDFVNGG
+ELFFHLQRERSFPEHRARFYAAEIASALGYLHSIKIVYRDLKPENILLDSIGHVVLTDFG
+LCKEGIAISDTTTTFCGTPEYLAPEVIRKQPYDNTVDWWCLGAVLYEMLYGLPPFYCRDV
+AEMYDNILHKPLNLRPGVSLTAWSILEELLEKDRQNRLGAKEDFLEIQNHPFFESLSWTD
+LVQKKIPPPFNPNVVGPDDIRNFDAVFTEETVPYSVCVSSDYSIVNASVLEADDAFVGFS
+YAPPSEDLFL
+>tr|A0A3Q1NLX2|A0A3Q1NLX2_BOVIN Fatty acid amide hydrolase OS=Bos taurus OX=9913 GN=FAAH PE=4 SV=1
+MVLDEPWAALSGVSGAALACCFVAAALALRWSSRRTARASAVRARRRQKAALDTMDKAAQ
+RFRLQNPDLDSEALLALPLPQLVQKLHSGDLYPEAVLFTYIGKAWEVNKGTNCVTTYLAD
+CETQLCKVPRQGLLYGVPVSLKECFSYKGQDSTLGLSLNQGVPAEGDCVVVQVLKLQGAL
+PFVHTNVPQSMFSFDCSNPLFGPTMNPWNSSKSPGGSSGGEGALIAAGGSPLGLGTDIGG
+SIRFPSAFCGICGLKPTGNRLSKSGLKGCVYGQVAVQLSVGPMARDVESLALCMRALLCE
+DMFRLDPTVPPLPFREEIYRSSQPLRVGYYETDNYTMPTPAMKRALLETKERLEVAGHTL
+VPFLPSNIPHALETLGTGGLFSDGGTTFLQNFKGDFVDSCLGDLISILRLPGWLKGLLAF
+MMKPLVRAQGMEGSAGKLWELHHETEVYRHSVMAQWRALELDVLLTPMMGPALDLNGPGK
+ATGAVSYTLLYNCLDFPAGVVPVTMVTAEDEAQLQLYKGYFGDIWDKTLQKVVRKSVGLP
+VAVQCVALPWQEELCLRFMREVEQLMNPDKQLS
+>tr|A0A3Q1LMU0|A0A3Q1LMU0_BOVIN G_PROTEIN_RECEP_F1_2 domain-containing protein OS=Bos taurus OX=9913 PE=3 SV=1
+SRWVVASNKDGMMDERNQSAGITFILSGFSEYPQLQVPLFLVFFAVYTVSVVGNLGVIVT
+IRINPKLHTPMYFFLSHLFFLDFCYSSITTPKLLEILVFFFGCTLMITEMFMLAVMAYDQ
+FVAVCNPLLYIVAVSPKLCSVLVTGTYTWGGTWSLTITCSLLELSFCGSNVIHHFGCEYS
+AIVSVSCSDSYFSQMTCFIISTLNEVCCLLIILTSYVFIIVTIIKMPSAGGLRKAFSTCA
+SHLTAITIFHGAILLLYSVPNSRSSCSDPHAESLIYSLRNNDVKETIRKLVNTKMFSHLM
+>tr|A0A3Q1M7P3|A0A3Q1M7P3_BOVIN Kinase suppressor of ras 1 OS=Bos taurus OX=9913 GN=KSR1 PE=4 SV=1
+RPRLDPWIGKVPWRRGWQPTPEILRELTLDALLDMNEAKVKETLRRCGASAEECGRLQYA
+LTCLRKVTGLGGEHKEDSGWSSSDARRESGSGPPADTLSPASLPWPPGSSQLGRLGSSAQ
+GPRSVSVSALPASDSPNPGPGEGLSDTFISLHASGRLTPRALPSFITPPTTPQLRRHTKL
+KPPRTPPPPSRKVFQLLPSFPTLTRSKSHESQLGNRIDEPADLASLPADLPHGSPQMVRR
+DIGLSVTHRFSTKSWLSQVCHVCQKSMMFGVKCKHCRLKCHNKCTKEAPACRISFLPLPK
+LRRTESVPSDINNPVDRAAEPHFGTLPKALTKKEHPPAMNHLDSSSNPSSTTSSTPSSPA
+PFPASSNPSSATTPPNPSPGQRDGRFNFPGTTPWGFLGPEGWGCMLDDQPKADVLEDHEV
+EAEEPEAGKSEAEDDEDEVDDLPTSRRPWRGPISRKASQTSVYLQEWDIPFEQVELGEPI
+GQGRWGRVHRGRWHGEVAIRLLEMDGHNQDHLKLFKKEVMNYRQTRHENVVLFMGACMNP
+PHLAIITSFCKGRTLHSFVRDPKTSLDINKTRQIAQEIIKGMGYLHAKGIVHKDLKSKNV
+FYDNGKVVITDFGLFGISGVVREGRRENQLKLSHDWLCYLAPEIVREMTPGKDEDQLPFS
+KAADVYAFGTVWYELQARDWPFKNQAAEALIWQIGSGEGMKRVLASISLGKEVTEILSAC
+WAFDLQERPSFPLLMDMLEKLPKLNRRLSHPGHFWKSAERWRSRYYGKGRSGHPDFRNNC
+PVLEEYINSSKVVPRFERFGLGILESSNPKM
+>tr|A0A3Q1M6Y0|A0A3Q1M6Y0_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC112445551 PE=3 SV=1
+MNMENASSVTEFILMGLTEQPKLQLPLFFLFLLNYLVTGLGNLCLMILICLKSHLHTPMY
+FFLFNLSFIDLCYSSVFNPKMLMNFISKRNVISFTGCMSQLFFFCFFVGSECYVLTAMAY
+DRYVAICKPLLYTMAMSPQVCSLLMSGSYVMGFAGATVHTGCMMRLIFCGSSIISHYMCD
+SLPLLQLSCSSTYANEIVVSAVVGTVVIVSSLIILISYALILFNILHIPSSKGWSKALST
+CGSHITTVGLFYGFGLLTHVRPPSSSFVEQGKFFSVLYTNVVPMLNPLIYSLRNKDVKIA
+VKKTLKRITK
+>tr|E1B8U8|E1B8U8_BOVIN Coiled-coil and C2 domain containing 2A OS=Bos taurus OX=9913 GN=CC2D2A PE=4 SV=3
+SEKDVVLKQFSCIVLGLPPIPSASRTGFAEFSMRERMREKLQAARSKAESALLQEIPTPR
+PRRLRSPTEKESETEFGTELDREVQRTQQDHDSQGHSRVKFHDSVRKIKSKPPVPPGFPS
+AEEAYNFFTFNFDPEPEESEEKPKAKNRHGANREEKEGEEEEPPVQEGVSKTDEEEWLDG
+KDVEDLLLGLDHTAEDFVAVRPADYQSVHVRLQKEKELLFVPSRRTVPTYKKLPENVQPR
+YLEDEGLYIGARPEVPRTNQNIMENRLLTQEPGRRWFGDDGRILALPNPIKPVPSRPPML
+TQEQDIKAELETLYKKAVKYIHRSQHLIGSGDAPGNFQLDIDISGLIFTHHPCFSREHVL
+AAKLAQLYDQYLARRQRNKAKFLTDKLHALRSAVQMGLNPAKTPKTLETTPKTINEYKSE
+IRQTRKLRDAEQEKDRTLLKTIIKVWKEMKSLREFQRFTNTPLKLVLRKEKVDQKADEEA
+YEAEIQAEINELLEEHTEEYEQRMEVYRVTLQQWKAWKKAQRAKKKKKKQEAEEHPADEE
+REEPGPGEEPEKPAPPEPVDRAVIEQQVRERAAQSRRRPGEPTLVPELSLAGSVTPNDQC
+PRVEVMRREDIKRRSLFLKVTFNNKEVSRTESRPLGADFRVHFGQIFNLQIFNWPESLTL
+QVYETVGHSGTTLLAEVFLPIPETTVVSGRAPIEEVEFSSNQHVTLDHEGVGSGVPFSFE
+ADGGNQLILMTSGKVSNSVAWAIGENGIPLIPPLSQQNIGFRSALKRADAISSIGTSGLT
+DMKKLAKWAAESKLDPNDPSNGPLMQLISVATSGESYIPDFFRLEQLQQEFNFVSDEELN
+RSKRFRLLLLRSQEVPEFRHYKQIPLYDREIMEKVFQDYEKRLRDRNVIETKDHIDTHRA
+TVAKYLQQVRESVINRFLIAKHHFLLSDLVVEEEVPNISSEGSGILGLSLFKLAEQKRPL
+RPRRKGRKKVTAQNLSDGDIKLLVNIIRAYDIPVRKPTASKFHQTSRSSRTFTEKHAASP
+TTHSPAHSADYPLGQVLVRPFVEVSFQRTICHTTTAEGPNPSWNEELELPFRAPNGDYST
+GSLQSVKDDVFINIFDEVLYDVLEDDRERGSGIHTRIERHWLGCVKIPFSTIYFQARIDG
+TFKIDIPPVLLGYSKERSMMMDRGFDSVRSLSEGSYITLFITLEPQLVPGESVREKMGDM
+LKKFDSQEDEKLLQATEKFRAECALKFPNRQCLTTVVDVSGKTVFVTRYLKPLNPPQELL
+DAYPNNPQATAELVARYVSLIPFLPDTVSFAGVCDLWSTSDQFLDLLAGDEEEHAVLLCN
+YFLSMGKKAWLVTGNAIPEGPTAYVLTREQSHYLIWNPCSGHFYGQFDTFCPLKSVSCLI
+GPDNIWFNIQQYDSPLRINFDVTKPKLWKSFFSRSLPYPGLSSVQPEELIYQRTDKVAAA
+ELQDRIEKILKEKIMDWRPRHLTRWNRYCTSMLRHFLPLLERNQEDVEDDHRAELLKQLG
+DYRFSGFPLHMPYSEVKPLIEAVYSTGVHNIDAPNVEFALAVYVHPYPRNVLSVWIYVAS
+LIRNR
+>tr|A0A3Q1LZI9|A0A3Q1LZI9_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MQAILFKKIRDTKGTFHAKMGSIKDRNGMDLTEAEDIKRRWQEYTEELYKKELHDPDNHD
+GVITHLEPDILECEVKWALESITMNKASGGDGIPVELFQILKDDAVKVLHSICQQIWKTQ
+QWPQDWKRSVFIPISKKGNAKECSNYRTIALISHASKVMLKIPQARLQQYMNRELPDVQA
+GFKKGRGIRDQIANIRWIMEKAREFQKNIYFCFIDYAKAFDCVDHNRLWKILKEMGIPDH
+LTCLLRNLYAGQEATVRTGHGTTDWFQIGKGVRQGCILSPCLFNLYAEYIMTNAGLEETQ
+AGIKTAKRNINNLRYADDTTLMTETEKELKSLLMKVKVESEKVGSKLNIQKTKIMASGPT
+TS
+>tr|A0A3Q1N2X5|A0A3Q1N2X5_BOVIN Histone acetyltransferase OS=Bos taurus OX=9913 GN=KAT2B PE=3 SV=1
+MSEAGGPAPGAPPLPPAPPQGPPGAAAGGAGSCGPAAAGAAAGAAEGPGGGCSARIAVKK
+AQLRSAPRAKKLEKLGVYSACKAEESCKCNGWKNPNPSPTPPRADLQQIIVSLTESCRSC
+SHALAAHVSHLENVSEEEMNRLLGIVLDVEYLFTCVHREEDADTKQVYFYLFKLLRKSIL
+QRGKPVVEGSLEKKPPFEKPSIEQGVNNFVQYKFSHLPPKERQTIVELAKMFLNRINYWH
+LEAPSQRRLRSPNDDISGYKENYTRWLCYCNVPQFCDSLPRYETAQVFGRTLLRSVFTVM
+RRQLLEQARQEKDKLPLEKRTLILTHFPKFLSMLEEEVYSQNSPIWDQDFLSASSRTSQL
+GIQTVGLVGTCFVFEITHRLPFCFDHQGEKRKMNESHVLEETKKPRVMGDIPLELIHEVM
+STITDPTAMLGPETNFLSAHSARDEAARLEERRGVIEFHVVGNSLSQKPNKKVLMWLVGL
+QNVFSHQLPRMPKEYITRLVFDPKHKTLALIKDGRVIGGICFRMFPSQGFTEIVFCAVTS
+NEQVKGYGTHLMNHLKEYHIKHDILNFLTYADEYAIGYFKKQGFSKEIKIPKTKYVGYIK
+DYEGATLMGCELNPRIPYTEFSVIIKKQKEIIKKLIERKQAQIRKVYPGLSCFKDGVRQI
+PIESIPGIRETGWKPSGRERSKEARDPDQLYSTLRSILQQVKSHQSAWPFMEPVKRTEAP
+GYYEVIRFPMDLKTMSERLKNRYYVSKKLFMADLQRVFTNCKEYNPPESEYYKCASILEK
+FFFSKIKEAGLIDK
+>tr|A0A3Q1MBP7|A0A3Q1MBP7_BOVIN Signal peptide peptidase like 2A OS=Bos taurus OX=9913 GN=SPPL2A PE=4 SV=1
+MGRQSPLPPAAAALLLCFLLPLTAAHEAILHASGNGRPSPSKDYCMLYNPHWTSLPSTLE
+NATSMSLMNLTTTPLCNVSDIPPDGIKNKAVVVQWGTCHFLEKAKIAQTGGAEALLVANN
+SVLFPPSGNKSEFLDVKILIAFINHKDFKDMKQTLGDNIMVKMYSPSWPNFDYTMVVIFV
+IAVFTVALGGYWSGLIELENMKAMTNTEDREMRKKKEEYFTFSPLTVIIFVVICCIMMVL
+LYFFYKWLVYVMIAIFCIASAMSLYNCLAALVRKIPCGQCTITCRGKSIEVRLIFLSGLC
+IAVAVVWAVFRNEDRWAWILQDILGIAFCLNLIKTLKLPNFKSCVILLGLLLLYDVFFVF
+ITPFITKNGESIMVELAAGPFGNNEKNDGNLVEATAQPSAPHEKLPVVIRVPKLAYFSVM
+SVCLMPVSILGFGDIIVPGLLIAYCRRFDVEIGSSVYYVSSTIAYAIGMILTFVVLVLMK
+KGQPALLYLVPCTLITASLVAWRRKEMKKFWKGSSYQYSFKGAVVYYKHLSSFLFLCCFL
+RRKEMLGKTGLQPKDAFIFPMNKCSALDHLNFTLKNCDFEMMILLHSSYYKV
+>tr|F1MSM9|F1MSM9_BOVIN Formin binding protein 1 like OS=Bos taurus OX=9913 GN=FNBP1L PE=4 SV=3
+MSWGTELWDQFDSLDKHTQWGIDFLERYAKFVKERIEIEQNYAKQLRNLVKKYCPKRSSK
+DEEPRFTSCIAFFNILNELNDYAGQREVVAEEMAHRVYGELMRYAHDLKTERKMHLQEGR
+KAQQYLDMCWKQMDNSKKKFERECREAEKAQQSYERLDNDTNATKADVEKAKQQLNLRTH
+MADENKNEYAAQLQNFNGEQHKHFYVVIPQIYKQLQEMDERRTIKLSECYRGFADSERKV
+IPIISKCLEGMILAAKSVDERRDSQMVVDSFKSGFEPPGDFPFEDYSQHIYRTISDGTIS
+ASKQESGKVDAKTTVGKAKGKLWLFGKKPKGPALEDFSHLPPEQRRKKLQQRIDELNREL
+QKESDQKEALNKMKDVYEKNPQMGDPGSLQPKLAETMNNIDRLRMEIHKNEAWLSEVEGK
+TGGRGDRRHSSDINHLVTQGRESPEGSYTDDANQEVRGPPQQHGHHSEFDDEFEDDDPLP
+AIGHCKAIYPFDGHNEGTLAMKEGEVLYIIEEDKGDGWTRARRQNGEEGYVPTSYIDVTL
+EKNSKGFASVGGRFLHVE
+>tr|A0A3Q1LJL0|A0A3Q1LJL0_BOVIN Spermatogenesis-associated protein 5-like protein 1 OS=Bos taurus OX=9913 GN=SPATA5L1 PE=3 SV=1
+MAPDSGSFPEGPPLKLLPVDTRDRGTQRCRLGPTALRALGAHLGSAVKISLPDGGSCLCT
+AWPRRDGADGFVQLDPQCTSPGSAVGAPGSGGIINLNRLRLVPCPPLRSLAVWPELREQA
+GASGAPSPAAVLEAVQELLRNRPVSQGYVVAAPPGAPGPVAALHIVSGAPSPNPAGLVTP
+RTHISLSEVPPSEEEPQPEVPLGGLSEAADSLRELLRLPLRYPRALASLGLEVPRGVLLA
+GPPGVGKTQLVRAVARETGAELLAVSAPALQGARPGETEENVRRIFKRARELASRRPTLL
+FLDEVDALCPRRGGPHQAPESRVVAQVLTLLDGIGEDREVVVVGSTNRPDALDPALRRPG
+RFDREVVIGTPTLRQRKAILQVITSKMPISGQVDLNLLAEMTVGYVGADLTALCREAAMQ
+ALLHSEKNQDNPTIDETDFLEAFKKIQPSSFRSVIGVTDIKPVGWEQIGGLEDVKLKLKQ
+SIEWPLKFPREFVRMGLTQPKGVLLYGPPGCAKTTLVRALATSCRCSFVSVSGADLFSPF
+VGDSEKILSQLEFQEIFNSNVIVVAATNRPDVLDDALLRPGRLDKIIYIPPPDEKGRLSI
+LKVCTKNTPMGPDVSLEKVAAETCFFSGADLGNLCKEAALLALQENGLEVTTVKQEHFLE
+SLKTVKPSLSHKDLTLYKNLFQKKEFSILEDI
+>tr|A0A3Q1N1A4|A0A3Q1N1A4_BOVIN Lysine methyltransferase 5C OS=Bos taurus OX=9913 GN=KMT5C PE=4 SV=1
+METNGAKIVSTRAWKKNEKLELLVGCIAELREADEELLRAGENDFSIMYSTRKRSAQLWL
+GPAAFINHDCKPNCKFVPADGNAACVKVLRDIEPGDEVTCFYGEGFFGEKNEHCECYTCE
+RRGEGAFRLRPREPLPPRPLDKYELRETKRRLQQGLDGPRSCAHPPPPRRDPFCGEHGVG
+AVRGPVPCPVFALFLSSLLPRHSLGLSPPTTRKSLGGGQPEQELCALAGALLTQLPFPLP
+SPGPPQPPASPCAPHPAAPDLMPHPSGSTGCLSPSSGCVPGDADACACSPGKPCRLQFSQ
+LPASPCTGGEAAAPTAAYGRRPGWPWFRPPAPAGPPSRTGTGPGATGCLLWSVWI
+>tr|A0A3Q1MAJ2|A0A3Q1MAJ2_BOVIN Cingulin like 1 OS=Bos taurus OX=9913 GN=CGNL1 PE=4 SV=1
+MELYFGEYQHVQQEYGVHLRLASDETRKSRNSQHAKAGSYGVSIRVQGIDGHPYIVLNNT
+EQCLAGTSFSENGPSFPAPMINNLPLHPSKGSVVEESSAEELQLPENPYAPPGPVRNLKQ
+PSVFEGKNGALERKEEPRKASPMLNFERHPELLQPYDPEKNELSLKNHQPPETSWLKALR
+EGTNNKKAWTCMPKPSLSQPTSPPSEDPARSNVTAIRLCSSVVIDDPKKQTSVCMNVQSC
+SKECLAEEALAPSGRPLPTPSLQAHLEAKKTRPDVLPFRRQDSAGPVLDGARSRRSSSSS
+TTPTSANSLYRFLLDDQECAIHADNVNRHENRRYIPFLPGTGRDIDTGSIPGVDQLIEKF
+DQKPGMQRRGRSGKRNRINPEDRKRSRSVDSAFPFGLQGNSEYLTEFSRNLGKSSEHLLR
+PSQVCPPRAQEHRGRQGGGRAFARLLGAQPRPPLQNKDGKVPENKSAQESPTGRTSSLPA
+QTKKEEEIKTVTATLMLQNPALATSPDSGTKRISVKTFTSTSNTQASNSSSEVKDLLEQK
+NKLTTEVAELQTQLQLEVKNQQNIKEERDRMKADLEVLQSQHDSKVEESTVLQRRLEESE
+GALRRHLEELFQVKMEREQHQTEIRDLQDQLSEMHDELDSAKQSEDREKGALIEELLQAK
+QDLQHLLIAKEEQEDLLRKRERELTALKGALKEEVSSHDREMDKLKEQYDAELLALRESV
+EEATKNVEVLASRSSSAEQTQVGAEMRVKALQEENEKLRGSVEELERTVARLQRQLADLQ
+DDEAKAKETLKKCEGETRQLEEALLHARKEEKEATSAKRALQTELEEAQRNLSRATQEQK
+QLSERLKDEAEQKEQLRRLKNEMENERWHLDKTIEKLQKEMADIVEVSRTSTLELQNQLD
+EYKEKNRRELAEMQRQLKEKTLEAEKSHLTAMKLQDEMRLMEEELRDYQRAQEEALTKRQ
+LLEQTLKDLEYELEAKSHLKDDRGRLVKQMEDKVSQLEMELEEERNNSDLLSERITRSRE
+QMEQMRNELLQERAMKQDLECDKISLERQNKDLKSRIIHLEGSYRSSKEGLVVQMEARIA
+ELEDRLESEERDRASLQLSNRRLERKVKELVMQVDDEHLSLTDQKDQLSLRLKAMKRQVE
+EAEEEIDRLESSKKKLQRELEEQMDVNEQLQGQLNSMKKDLRLKKLPSKVLDDVDDDDDL
+STDGGSLYEAPLSYTFPKDSTVVSQI
+>tr|F1MHG9|F1MHG9_BOVIN Pleckstrin homology domain-containing family A member 2 OS=Bos taurus OX=9913 GN=PLEKHA2 PE=4 SV=2
+MPYVDRQNRICGFLDIEENENSGKFLRRYFILDTQANCLLWYMDNPQNLAIGAGAVGSLQ
+LTYISKVMSAWSSGTSWFVIINALSQRYFLQANDQKDLKDWVEALNQASKITVPKAGNLP
+LTTEVLKSLATPLALEKKPQVAYKTEIIGGVVVHTPINQNGGDGQEVGEPGSHAILRRSQ
+SYIPTTGCRGPTGPPLIKSGYCVKQGNVRKSWKRRFFALDDFTICYFKCEQDREPLRTIF
+LKDVLKTHECLVKSGDLLMRDNLFEIITSSRTFYVQADSPEDMHSWIKEIGAAVQALKCH
+PREMSFSRSISLTRPGSSSLSGGPNSILCRGRAPGEERKTLCKAPSLASSWQPWTPVPQA
+GEKLLPTEETAEDSLFTPRLGESSTSAVLPSSRIRHRSEPQHPKEKPFVFNLDDENIRTS
+DV
+>tr|A0A3Q1N5S9|A0A3Q1N5S9_BOVIN NAC-A/B domain-containing protein OS=Bos taurus OX=9913 GN=NACAD PE=4 SV=1
+MPGEAARAELLLPEAGGPGPRTDLSCDAAEATTPKGDRLEHCALTSGPSTLALTFLHGKP
+GARPPPEGASWDAGPGRAPSAWTVQAEGGPSPGPAEVRPTEGPLPASLEPRIVMGEETCQ
+AAPLPRATMPELRDWEGGHANLNPPPEFCSQGDPPVPFPAPDSDSYFTPPSTPTKTASTL
+LPGPGPHRDAQDAQAELGDSPPASPTGSYITADGDSWASSPSCSLSLQALAEGLDVPSGW
+GFSPSGSVVDERELPPAGTPDSSSPESSLSADSSSSWGQEGHFFELDFLANDPMIPAYLL
+PFQGSLIFQVEAVEVTPLPHEEEEVEEEEQEEEQEVPLPRGDLAGEGEDDSTFASSLQSL
+SDLSITEGVDEAFAFRDDTSAASSDPDSASYTGADDERLYSGEPHAQPATLLQDSPGEAA
+SWGPELALGVSKGEAGQAAKNQEPISEIMRVGPAAAQVSSAMAPHIPQESLDLTGVSPQA
+QGEEPGSTMGPVPVAPIMSQPLQEGDTATLGPEPWILKREADLNSLQTLKEDTGQGFAAA
+TSPEPQPEVDPAAFPPFQDAGIPWVQESASETSPEPQLEEEELTASSHLLPLVQGSASEA
+SPEPQSEEDLTASLPLKDEGLPLVQGSASEASPELQSEEEDLTASSTPQDAGLPLVQGSA
+SEASPELQSEEKDLTASSTPQDAGLPLVQGSASEASPESQSEEEDLTASSTPQDAGLPLV
+QGSASEASPESQSEEDLTASSTPQDAGLPLVQGSASEASPESQSEEDLTASSTPQDAGLP
+LVQESASEVSPEPQSEEDLTASLPLKDESLPLVQGSASKASPEPQSEDLTASSTPQDAGL
+PLVQGSASEASPESQSEEDLTASSTPQDAGLPLVQGSASEASPESQSEEDLTASSTPQDA
+GLPLVQESASEVSPEPQSEEDLTASLPLKDESLPLVQGSASEASPEPQSEDLTASLPLKD
+EGLPLVQGSASEASPEPQSEEDLTASPPLQDTDLPLVQGSVSEASPEPQSEEDLTASPPL
+QDAGLPLVQGSASDASPESQSEDLRASLHLQDAGLRLVQGSVSEVSPEPQSEEEDLTASP
+PLQDAGLPLVQGSASEASPDHQSDLKASPPLQDAGLPLVHGPASEASPEPQSEEEELTAS
+LPLQDTGLHLVQGSAFKASPELQSEEEVTASSPLQDTGLHLVQGSASKASPEPQSEEEEL
+TASPPLQDTGLPLVHGSASEASPELQSEEVTASPPLQDTGLPLVQGSASDASSEPQSEEE
+ELTASSHLQDAGLPLVQGSVSEASTEPQSEEEELTASLHLQDTGFSLVQGSASDASSEPQ
+SEEEELTASSHLQDAGLPLVHGSASEASPELQSEEVTASPPLQDTGLPLVQGSASDASSE
+PQSEEELTASPPLQDAGLPLVQGSASKVSPEPQSEEEDLTASPPVKDAGLPLVQGSASDA
+SSEPQSEEEELTASPTLQDAGLPLVQGSASEASSEPHSEEELTASPPLQDAGLPLVQGSA
+SDASSEPQSEEEELTASPPLQDAGLPLVQGSASEASSEPQSEEEELTASPTLQDAGLPLV
+QGSASEASSEPHSEEELTASPPLQDAGLPFVQGSASEASSEPQSEEELTASPPLQDAGLP
+LVQGSASEASSEPQSEEEELTASPTLQDAGLPLVQGSASEASSEPHSEEELTASPPLQDA
+GLPFVQGSASEASSEPQSEEELTASPTLQDAGLPLVQGSASKASPELQSEVTASPPLQVA
+GLPLVQGSASDASSEPQSEEEELTASSHLQDAGLPLVQGSASEASPESQSEEDLTASSPL
+KDAGLPLVQGSAFEASLEPHSEEEDLTASPPLQDTGLPLVQGSAFKASPEPHSEEEDLTA
+SLPLQDAGLPFVQGSASEASSEPQSEEELTALPTLQDAGLPLVQGSASKASPEPQSEDLT
+ASPPLQDAGLPFVQGSASETSPEPHSEEELTASPPLQDTGLPLVQGSASEASLEPHSEEE
+LTASSPLQDAGLPLVQGSASEASPEPHSEEEELIAFPPQQDAGLPSSQVSATSASPQALM
+TDTGCTQKTEPTTTAAHRKGRKTLGLRPAPEERDPDHTGGSDSLALDQIHLGGPDLPADA
+RTPLEGDAGPSKPATEIPDTPKPFTAAQGPPKPDSSGEEVAEGILAPEQEACHDICAHGG
+DGAESSSPPKVALGVEHQGHEALKPVVHGPGVCPTASLEVGQLGPPSPVEEGRATLGHRL
+PMAVGSEAGLGSCSQSPSRAVPRLGGHCAKDPAPTSPLPLRQPKPVLGPGKGERAQAALG
+VLGPSPLQPPESPIGGLPSAPQDRIQGPEPPAPGILMEAVPTPLASPAPCPCRGPREDLV
+EGAEPLGSPSHPPPRPRAQRAVAASSGITNPPGAGQVSLPPHPTLLSPKAAPKRGTHAKD
+PASRLSPPRQVPPGSGPRSPAGPRGLPATEQQDDGDSLEEDSPRALGSGQHSDSHGESSA
+ELEEQDLPGPQTAQCPAQAPAGSGSEETVAKAKQSRSEKKARKAMSKLGLRQIQGVTRIT
+IQKSKNILFVIAKPDVFKSPASDTYVVFGEAKIEDLSQQVHRAAAEKFKVPSEPSALVPE
+SAPGPRVRPECEEEEEEEDEEEVRPTGPQLSLVEGSSFAWGPLTGGGGHSSTSLHIPLQV
+DEAGLELRDIELVMAQANVSRAKAVRALRDNQSDIVNAIMVSGWPLRKCPAAVSCQGLPC
+PST
+>tr|F1N7G5|F1N7G5_BOVIN Methyl-CpG binding domain protein 1 OS=Bos taurus OX=9913 GN=MBD1 PE=4 SV=3
+MYSQRFGIVQREVKGPTPKVVIVRAKPPKGQGAEHHLQRIQHSHQKHHAILASIKSIERD
+RLKTEWDQHNDCKFVDSLVKARVKDAMQGFIINTEERRNKLRELLASEENEYFTEMQLKE
+ETIEEKKDRMRDKIRLLREKKEKERQDFVAEKLDQQFRERCQELRAELFCIHQKAVCEER
+KAQIAFNEELKRQKVVEEQMFSKLWEEDRLAKERREAKEERRQKELVENTRLGLNAQVTS
+IQAQRQAAQRLKEEEALLVENENAQVKLENEQDKLKKQKTKQEIRAALQKALQEKMERMQ
+QEYREEQDLNMKLMQNALQSLQEETDKKKQKKEDMRREQKIYYQYLAQRHEEEKAQEKEL
+DRMLEKEKEKKFAEKDKELRLEKEARKQLLNEVMCTRKLQVQEKLQRKAKEQEERTMEQE
+RINEGLKELNCEERENFIRRCSLAQEYRKQLQMQICSQQQAREAEEEEERREFEAGIAAE
+KSFQDKIQGILSTHQVVPRNIHPMRRACSTKLPP
+>tr|A0A3Q1MLH0|A0A3Q1MLH0_BOVIN Glucosamine 6-phosphate N-acetyltransferase OS=Bos taurus OX=9913 GN=GNPNAT1 PE=3 SV=1
+MKPDETPMFDPSLLKEVDWSQNTATFSPAISPTHPGEGLVLRPLCTADLNRESFEHMKKS
+GDYYVTVVEDVTLGQIVATATLIIEHKFIHSCAKRGRVEDVVVSDECRGKQLGKLLLSTL
+TLLSKKLNCYKITLECLPQNVGFYKKFGYTVSEENYMCRRFLK
+>tr|A0A3Q1M3B6|A0A3Q1M3B6_BOVIN RRM domain-containing protein OS=Bos taurus OX=9913 GN=RBMX2 PE=4 SV=1
+MRLAASPGSCFLGSEPEPAEMNPLTKVKLINELNEREVELGVAEKVSWHNEYKDSAWIFL
+GGLPYELTEGDIICVFSQYGEIVNINLVRDKKTGKSKGFCFLCYEDQRSTVLAVDNFNGI
+KIKGRTIRVDHVSNYRLPEDSEEMDEVTRELQERGCGTHTPPPSSSEGSEDDKPTKKHKK
+DKKEKKKKKKDKEKTGREVQAEQPASSSLPRSKMIKEKDDPGSKKHSGKHSETGEKGQKS
+ESREVRKSHPSSPEVRMTCRSGTEDQEREPRKEKSKHEHKSSSRREEREDRHRERDRGRS
+SDIHSRRHDRRSEGRHDRGSEGRSHRSRSRSRDKSHRHKKSRHSRDRESSNPRELRYH
+>tr|E1BA93|E1BA93_BOVIN Synaptopodin OS=Bos taurus OX=9913 GN=SYNPO PE=4 SV=3
+MLGPHLPPLPPAPSEGGSTPCAFGVPDGSYRCLALEAEESGGEEGLQGEAGLTDLEEDQV
+ASRSGDKTCRATQGPPQLPRALGTQPPSCSREARGVSQLDNRASQAGDPVKFRQVMTASL
+SPSPGPRVAQKPALGRSTSLTEKDLKEAKARSQQIAAQLTTPPSSNSRGVQLFNRRRQRV
+NEFTLESHGQRGPKSSQEPLRVPPASPTGHAPGLSLSSTSLPEPGPPRNPACQSADTGVP
+SHSMEGCSEEASLLRHLEKMASEEEEVPLVVYLKENAALLTANGLHLSQNREAQQSPPTP
+PPEEVHSPAADANQNLPSTGTTLVTPASNGNHSLPATDVNQNLSAAITPQSLPLSSVQQN
+SSEVQLPPNDVVPDFKPSTQCAGGQPQEPAVEVRSSTLLIDKVSAPPTATSTFSREVTPI
+SSSRPLGPDFMSSSLLIDVQLGAPVVSTEQEMSGRTTATTPTKLYSEVHLTLAKPPSVVN
+RTARPFGIQAPGSTGQMERSPMVERRHRGEKTPVPQPPSVADRSPRPQRHVISHSPMLER
+RPVAQRSPALERRPLGNFTPPPTYAETLSTAPLTSGVRSPPSYSALYPSSDSKPSHLKGQ
+AVPASKTGILEESMARRGSRKSMFTFVEKPKVTPNPDLLDLVQTADEKRRQRDQGEAGLE
+EEPFALGAEASNFQQEPAPRDRASPADAEETIPEWASCLKSPRIQAKPKPKPNQNLSEAS
+GKGAELYARRQSRMEKYVIESSGHAELARCPSPTMSLPSSWKYSTNTPGGTFRVASRSPA
+RTPPASLFHGYLPENGVLRPEPTKQPPYQLRPSLFVLSPIKEPAKPSPRAASPAKPSSLD
+LVPSLPKAALPPSPALPRPSRSYTTTGQDGLQPTAVSPTYSSDISPVSPSRAWSPRAKQA
+PRPSFSTRNAGIEAQDRRESLPTSPPWTPGASRPPSSLDGWVSPGPWEPGRGSSMSSPPP
+LPPPPPMSPSWSERSVSPLRSETEVRPPSRQLQALLARNIINAARRKSASPRPAGAESLR
+PFSPPRAPPPPPPPPPPPPRMRSPQPSRPGPAAAPGATFAPIPRSPLPAPPRPFPYRRSP
+TDSDVSLDSEDSGAKSPGILGYNICPRGWNGSLRLKRGNLPTEASCTT
+>tr|A0A3Q1M8X4|A0A3Q1M8X4_BOVIN Olfactory receptor OS=Bos taurus OX=9913 PE=3 SV=1
+MTIYVFIFFQSSFLLPKVSKLHRTGNLTSVSEFFLQGLSDDPELQPLLFTLFLSMYLVTM
+LGNLLIILAVTSDPHLHTPMYFFLSNLSLADIGFTSTTVPKMIVNIQTHGRVISYAGCLT
+QMSIFILFGCMDGMLLSVMSYDRFVAICHPLHYPVIMNPHLCCSLVLVSFFGSLMDSQVH
+NLIMLQLTCFKDVEISNFFCDPSLLLKLACSDIFTKNIVIYFIGAIFAFLPFSGIFYSYY
+KILSSILRVRSSGGRYKAFSTCGSHLAVVCLFYGTGLGVYLSSAISQSPRKDAVASVVYT
+VVTPMLNPFIYSLRNRDFKRAFCRFLNKTI
+>tr|F1MKM2|F1MKM2_BOVIN G_PROTEIN_RECEP_F1_2 domain-containing protein OS=Bos taurus OX=9913 GN=LOC112444755 PE=3 SV=3
+ASQTRQRMMIVRDTERTVGVKNESTIQEFVLEAFPAAQHLGGLLFLVHLLAYLASIMGNT
+VIILITWADHRLQTPMYVLLSTFSFCECCFITTVIPKLLSIFLSGRQTIPFPACLTQAFF
+FLFIGAGIFFLMAVMSLDRYLAICKPLHYASIMNLRVSFLLIFLCYSLSFIFFTGLMLRV
+SQLSFCGPNIISHFFCDLGSLIHLSCSDTSSVETYFFFVTSFVILLSLIVTITAYSNIVI
+TILRLPSAKERQKAFSTCSSHLIVLSLMYGSCVFIYLNPKQVDRLDSNKEAALVNTVVTP
+VLNPVIYTLRNKQVHQALRDTLSRVRWQK
+>tr|E1BEH8|E1BEH8_BOVIN Solute carrier family 35 member B4 OS=Bos taurus OX=9913 GN=SLC35B4 PE=4 SV=1
+MRPAFAVGLVFAGCCSNVIFLELLARKHPGCGNIVTFAQFLFIAVEGFLFEADLGRKRPA
+IPIRYYAVMVTMFFTVSVVNNYALNLNIAMPLHMIFRSGSLIANMILGIIILKKRYSIFK
+YTSIALVSVGIFVCTFMSAKQVTSQSSSTENDGFQAFAWWLLGIGALTFALLMSARMGIF
+QETLYKQFGKHSKEALFYNHALPLPGFIFLASDIYDHAVLFNNSELYQVPVVGVTVPIMW
+FYLLMNVITQYVCIRGVFILTTECASLTVTLVVTLRKFVSLIFSILYFQNPFTLWHWLGT
+LFVFIGTLMYTEVWNNLGATKGQPQKEEKKN
+>tr|A0A3Q1LW96|A0A3Q1LW96_BOVIN Inter-alpha-trypsin inhibitor heavy chain H1 OS=Bos taurus OX=9913 GN=ITIH1 PE=1 SV=1
+MGLRGLLCVCLVSLLALQAVAAQGSPTRNPKGGKKRMAVDAAVDGVVIRSLKVNCKVTSR
+FAHYIITSQVVNSADTAKEVSFNVEIPKTAFISDFAITADENAFTGDIKDKVTAWKQYRK
+AAISGENAGLVRASGRTMEQFSIHIIVGPRSKATFRLTYEEVLRRKLMQYDIVIKVKPQQ
+LVQHFEIDVDIFEPQGIRKLDVEASFLPKELAAQLIKKSFSGKKGHVLFRPTVSQQQTCP
+TCSTTLLNGDFKVTYDVNRDDACDLLVANNYFAHFFAPQNLKKLNKNVVFVIDISSSMEG
+QKLKQTKEALHKILGDMRPGDYFDLVLFGSAVQSWKGSLVQASPANLEAARNFVQQFSLA
+GATNLNGGLLRGIEILNKAQQSLPELSNHASILIMLTDGEPTEGVMDRTQILKNVRDGIK
+GRFPLYNLGFGHDVDLNFLEVMSLENNGRVQRIYEDHDATQQLQGFYEQVANPLLRDVEL
+LYPREAVSDLTQHRHKQYYEGSEIMVAGRIADHKLSSFKADVRAHGEGQEFMTTCLVDKE
+EMKKLLRERGHMLENHVERLWAYLTIQELLAKRMKLEGQEKANVSAKALQMSLAYQFVTP
+LTSMTVRGMTDQDGLEPIIDKPLDGMEMVGPRKTFMLQASQPAPTHSSLDIKKLPDQVTG
+VDTDPHFLIHVPQKEDTLCFNINEEPGVVLSLVQDPDTGFSVNGQLIGNEAGSPGKHEGT
+YFGRLGIANPATDFQLEVTPQNITLNPGSGGPVFSWRDQAFLRQNEVLVTINRKRNLVVS
+VEDGGTFEVVLHRVWRGSAVRQDFLGFYVLDSHRMSARTHGLLGQFFHPFDYKVSNLHPG
+SDPTKTDATMVVKNRRLTVTRGLQKDYRKDPRHGAEVTCWFIHNNGDGLIDGIHTDYIVP
+DIF
+>tr|A0A3Q1LYF3|A0A3Q1LYF3_BOVIN PBC domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+LYLHSLMHSNAGIRMQKKKGLNQGVSDGAGKRRGKGGLRRQTIGERLCKISTRSDQEADE
+WQERKSALVCHRLKPALWNRLCEIKKKKVLCLRKAGEEKETDPKLMRIENMLCAEGRAGK
+ERGWGSSAFARSKLSEAGSASDAAPGQTDGRQELSEIRKFYHTVIHHYSQSCTKNTEHVT
+EVLRAQSRTRPISPQRIIRTVSVLHRKITALNTFLKSTTCTYITVKHSEFLSTTRKKRKG
+FRKITNQILNKLFYKHMSSVLKNHEAKEKVAEKC
+>tr|A0A3Q1MJJ5|A0A3Q1MJJ5_BOVIN Metallophosphoesterase 1 OS=Bos taurus OX=9913 GN=MPPE1 PE=4 SV=1
+MASSQLGARGQCLRLLKTRGFLLLKLSAAILAVLLFCEFLIYYLVIFRCSWPELKTPEGA
+GTLGAPKAPEPVLRAMFLADTHLLGAVRGHWLDKLRREWQMERAFQTAVWLLQPEVVFIL
+GDIFDEGKWSSPEAWADDVGRFWKVFRHPPHVQLRAVAGNHDIGFHYQMDTYRIKRFEKV
+FNPERLFSWKGINFVMVNSVALEGDGCDICSRAEAELLEISHWLNCSREHFPLYRRNDAN
+CSGEDAAPPDEKYTPFKERYDVLSWEVSRKLLWWLRPRLILSGHTHSACEVQHRAGVLEV
+SVPSFSWRNRNNPSFIMGSITPMDYALAKCYLPREDMVLTTYCVAAGCLMLITLIPTGLV
+SAPFHFGQRVLRKFKTM
+>tr|A0A3Q1MHK3|A0A3Q1MHK3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MSATSVDQRPKGQGNKVSVQNGSIHQKDAVNDDDFEPYLSTQTNQGLRRLSNKEVD
+>tr|A0A3Q1LWJ8|A0A3Q1LWJ8_BOVIN Mitogen-activated protein kinase OS=Bos taurus OX=9913 GN=MAPK8 PE=4 SV=1
+MSRSKRDSNFYSVEIGDSTFTVLKRYQNLKPIGSGAQGIVCAAYDAILERNVAIKKLSRP
+FQNQTHAKRAYRELVLMKCVNHKNIIGLLNVFTPQKSLEEFQDVYIVMELMDANLCQVIQ
+MELDHERMSYLLYQMLCGIKHLHSAGIIHRDLKPSNIVVKSDCTLKILDFGLARTAGTSF
+MMTPYVVTRYYRAPEVILGMGYKENVDLWSVGCIMGEMVCHKILFPGRDYIDQWNKVIEQ
+LGTPCPEFMKKLQPTVRTYVENRPKYAGYSFEKLFPDVLFPADSEHNKLKASQARDLLSR
+MLVIDASQRISVDEALQHPYINVWYDPSEAEAPPPKIPDKQLDEREHTIEEWKGNIYDIL
+WNFNRPPVVKHTIIYHQERETQCQLYCTIKLTEKKLIYKEVMDLEERTKNGVIRGQPSPL
+GWLQYKLSYDCSLLLVS
+>tr|G3X6C2|G3X6C2_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC616125 PE=3 SV=1
+MEPENQTAVSKFLLLGLSEKPEHQIFLFGLFLPMYLVTIFGNLLIILAIITDSHLHTPMY
+FFLCNLSLVDIFFSSTTVPKMLVNLWTQSKAIPFAGCLAQMYAFHLFGTMDSFLLAVMAI
+DRFMAIVHPLHYLAIMSPRVCGLLVVGSWLITNLQSIVHTSLMAQLTFCAASEIPHFFCD
+LMPLLKLSCSDTHTNELVIFAFGIIMGISPLTCILLSYICIFCAVFKIPSAQGKWKAFST
+CGSHLTMVTLFYGTIFAVYLQPASPTSLKKDKVAALMCGVVIPMLNPFIYSLRNKNMKAA
+LRKLFSKAATSQS
+>tr|F1MCG5|F1MCG5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC618733 PE=4 SV=2
+MRSPDLRPWASLLLADLALLWLLQGPLGALLPLGFPGLWLEGALRLGGLWGLLRLGGLLE
+GVGALLPILCLVNPLFLSLRALVLGALSAPLVRVAAATWAWLLLGYGAVGLSRALWAVLS
+PSGAKAEGQGQENRVLMWRLLKLSWPDLPFLVAAFSFLSLAVLGETVIPYYFGRVIDILG
+GDFDPDAFASAIFFVCLFSVGSSLCAGCRGSIFIFTMSRINLRIREMLFSSLLHQDLPFF
+QETKTGELNSRLSSDTKLMSNWLPYNTNVLSRSLVKVLGLYGFMLNLSPRLTFLSLFEVP
+LMIAAEKVYNARRQAVLWEIQDATAKAGQVVRESVGGLQTVRSFGAEEQEVCRYKEALER
+CRRLWWRRDLERALYLLLRRMLHLAMKVLLLNCALQQILAGDLTRGGLLSFLLYQEDMGN
+YMHTLVYMFGDMLSNVGAAEKVFRYLDRKPDLPPPGTLAPPTLQGRVNFQNVSFAYPSRP
+DQPALQGLTFTLSPGQMTALVGPNGSGKSTVAALLQNLYQPTEGQVLLDGKPVSEYEHHY
+LHRQVVLVGQEPVLFSGSVRDNITYGLKGCSDEKVLAAARAARAEEFIRELELGLDTEVG
+EKGSQLAVGQKQRLAIARALVRDPRVLILDEATSALDVECEQALQDWRAQGTRTVLVIAH
+RLQTVQSADQVLVLRQGRLQGLEQLMDGQDLYSWLVQQNRSVETPDTAGPAQGHLSDPE
+>tr|F1N5R9|F1N5R9_BOVIN Homeobox protein engrailed-like OS=Bos taurus OX=9913 GN=EN1 PE=3 SV=3
+MEEQQPEPKSQRDSGLGAAAAVAAAPGSLTLSLSPGASGSSGSDGDSVPVSPQPAPPSPP
+AAPCLPPLAHHPHLPPHPPPPPPPPPQQHLAAPAHQPQPAAQLHRTTNFFIDNILRPDFG
+CKKEQPPPQLLVAAAAAAGGGTGGGRVERDRGQTGSGRDPVHPLGTRAPGAASLLCTADG
+NCGPPDGSPPATAGGAGASKAGNPAAAAAAAAAAAVAAAAAAAAAAAKPSDSGGGSGGGV
+GSPGAQGAKYPEHSNPAILLMGSANGGPVVKTDSQQPLVWPAWVYCTRYSDRPSSGPRTR
+KLKKKKNEKEDKRPRTAFTAEQLQRLKAEFQANRYITEQRRQTLAQELSLNESQIKIWFQ
+NKRAKIKKATGIKNGLALHLMAQGLYNHSTTTVQDKDESE
+>tr|G5E5M4|G5E5M4_BOVIN Sine oculis-binding protein homolog OS=Bos taurus OX=9913 GN=SOBP PE=4 SV=1
+MAEMEKEGRPPENKRSRKPAHPVKREINEEMKNFAENTMNELLGWYGYDKVELKDGEDIE
+FRSYPTDGESRQHISVLKENSLPKPKLPEDSVISPYNISTGYSGLATGNGLSDSPAGSKD
+HGNVPIIVPLIPPPFIKPPAEDDVSNVQIMCAWCQKVGIKRYSLSMGSEVKSFCSEKCFA
+ACRRAYFKRNKARDEDGHAENFPQQHYAKETPRLAFKNNCELLVCDWCKHIRHTKEYLDF
+GDGERRLQFCSAKCLNQYKMDIFYKETQANLPAGLCSTLHPPMENKTEGTGVQLLTPDSW
+NIPLTDARRKAPSPVAAAGQSQGPGPSASTTVSPSDTANCSVTKIPTPVPKSMPISETPN
+IPPVSVQPPASIGPPLGVPPRSPPMVMTNRGPVPLPIFMEQQIMQQLRPPFIRGPPHHAS
+NPNSPLSNPMLPGIGPPPGGPRNLGPTSSPMHRPLLSPHIHPPSTPTMPGNPPGLLPPPP
+PGAPLPSLPFPPVSMMPNGPMPVPQMMNFGLPSLAPLVPPPTLLVPYPVIVPLPVPIPIP
+IPVPHVSDSKPPNGFSSNGENFIPSAPGDSSAAGGKPGGHSLSPRDSKQGSSKSADSPPG
+CSGQALSLAPAPAEHGRSEVVDLTRRAGSPPGAGGQLGFPGGVLQGPQDGVIDLTVGHRA
+RLHNVIHRALHAHVKAEREPGAAERRTCGGCRDGHCSPPAAGDPGPGAPAGPEAAAACNV
+IVNGTRGAAEGAKGAEPPPEQPPPPPPPPPAPPKKLLSPEEPAVSELESVKENNCASNCH
+LDGEAAKKLMGEEALAGGDKSDPNFNNPADEDHAYALRMLPKTGCVIQPVPKPAEKAAMA
+PCIISSPMLSAGPEDLEPPLKRRCLRIRNQNK
+>tr|E1BI07|E1BI07_BOVIN LHFPL tetraspan subfamily member 1 OS=Bos taurus OX=9913 GN=LHFPL1 PE=4 SV=2
+MTTFCIWRCEPTHLPIPTEKPGTMRSSLTLVGTLWAFLSLVTAVASSTSYFLPYWLFGSQ
+LGKPVSFSTFRRCNYPVRGEGQSLIMVEECGRYASFSAIPSLAWQMCTVVTGAGCALLLL
+VALAAVLGCCMEELISRMMGRCMGAAQFVGGLLISSGCALYPLGWNSPEIMQTCGNVSNQ
+FQLGTCRLGWAYYCAGGGAAAAMLICTWLSCFAGRNPKPVMLVESIMRNTNSYTMELDHC
+LKP
+>tr|A0A3Q1LL54|A0A3Q1LL54_BOVIN Fer-1 like family member 5 OS=Bos taurus OX=9913 GN=FER1L5 PE=4 SV=1
+MLRLIMQSAKISPPLSPPPRPCVSVYFRGKSQDSQEATCVQCKLDPLTLIWHLWNHPLQK
+DSFLQITLRDMGSVKKERFIGMATVLLKPLVKKPRKILFVNDLTLLNHSMMPTECTVTLQ
+AALMTYKDIEKIGDEDLLAITAQEVAKQKLMFPSATVHKALSPKPQHFQVRVQVFEARQL
+MGNDIKPVVKVVIGGHQHHSRIKIGNNPFFNEIFFQNFYEAPMKFFDEIILIQVRSHTLL
+RKWLGLCQPNERNSGVRGYLKVTICALGVGDQAPVDQKLIYRVDDTDTRIFKSSVVPISI
+AYLQFFIYCAEDLHLKKHYSVSPMLEVELIGEKLKTHVQTQTESPIWNQILTFRIQLPCL
+SSYIKFRVLDWRKSEPGWGLYLCNDEIGTVSLSLNQISSTGAEIEGMYSGFLPCFGPSFL
+TLRGGKKAPFRMKEEDPNIPNIVKDGLAYRGRVFLELITHIKSRQEAKMKDLSLDVISVE
+KHQNRQKYGLCVIFLSCTMMPDFKDLIQFEVSIGHYGNKMDLSYKPLVSTTQYSPVIYDG
+NIYHYVPWYNTKPVVAVTSFWEDVGFRMNCLNLLQFTRDRLKANLDTLKSMRNPRDPALL
+PQWEKLLRELVEDCKRPLPCMTSQPKATDLDKNRWKLHRLLLQELAQKAKQAPPRDMVAT
+AEGWLYRLNAVLPEPQMNLPDVMIWLMAREQRAAYAQVPAHSILFSPHGARHSGRFCGKT
+QTLFLQYPEGEGEKDTLPAQLRVCMWLGNVTDSRDLELLRQGEVVVYAETVSGGENQAKF
+KDQWGQQFLQHRPNFSDVTGHKALPKEDFREPPGWHWAGPWTVEPQRRLLLDTDINKSQV
+LEEVYENQRRDAVGAWVPAAIPNTDVNGEPVEAREIVKCPQGWHVKQTWAVELNHAVDNE
+GWEYGVGIPPSGLPQVWNSVEKTYYSRRRRRWARVRYRDHGQLSHEQETLSFLQLHHPGL
+AEDEAGWEYGVFGSKFHLNPEPQSRFRRRCWHRRLAPNKVKGIAPIFLLEGSLVKPQVTW
+AWAGGDKKGTQEPQGTPSLPFIYCVFNKPHYYQLFCYIYQARNLMSSHVQTFQGPFIRLV
+FLNHSQCTQTLKSSTAPTWAQTLIFQHLLLYENPQDTRESPPCVVLELWQRDPQGNETLW
+GRSIWHPVVWLDAQDRILPPMRWHPLVKGLEDEEGEILASCELILETEVLGEGQLPMLSV
+PWKNGIYILPKSIQPTLRKMAIEVTKCGAGQAGGRGTSCPQSKAPPVPVLTRCPSRQMLV
+WGLRNMKQVRSPQLLVECWEESLQTEPIRDFQTNPNFAQSALLLTLYMPTEETFALPLVL
+KVVDNQDFGQETVVGQANVHSLQPYFCDPWAEDYVPPRPPTLSVKKYQELLGYLYEKFWF
+KSSKAEDEYDQEVDWWSKLFWATGDAPQTLKYKYKDYHTLKVYDCELEAVPAFQGLQDFC
+QTFKLYQEWPRLDSPVVGEFKGQFRVYPFPENPEAPKPPRQFPLWPKKEDFPQQCLVRVY
+IVRAFHLQPQDFNGLCDPYVILKLGQTMLGNRDKYQPNTLDPIFGVMFELSCTIPLEKDL
+EIQLYDFDLFSPDDMIGTTVIDLEDRLLSGFGARCGLSKSYCQSGPFRWRDQMPPSLLLE
+HHAKRKGLPPPLFSPEGDSVYYNGKKFELQSFELKPPRARFLGSKKERLALYLLHTQGLV
+PEHVETRTLYSDSQPGIDQGKVQMWVDIFPKKLGPPGPPVNIGPRKPKRYELRCVIWKTT
+LVDPKEKSLSYDRINDIYVKGWLFGLEKDMQKTDVHYRSLTGEGIFNWRFIFTLDYLAAE
+HVCVLSEKDYIWSLDPTVTKFPARLIIQVWDNNIISTDDFIGVLELDLSDMPLPAPHARL
+CSVRMLEADPKWPHFLQHKHSSLFKMKNVAGWWPCQVLDGGKWRLSGKVKMTLEILTEKE
+ALIKAAGRGQSEPNQYPTLHPPLRTHTLFMWFRSPIGKFIRVFWRRYRFRIIAAAIILLI
+GLLLFNFIYSAPNYLAMSWIKPELRLNAPIQIYANIINAPNASSTNSSNLTTPQQSLKPT
+TALRLKHLQGHVTHLRDILPALPAP
+>tr|E1BDU0|E1BDU0_BOVIN Nuclear pore complex protein OS=Bos taurus OX=9913 GN=NUP107 PE=3 SV=3
+MDRSGFGEMSSPVIREAEVTRTARKQSAQKRALLRAAQDEIFSNTTPRNQVMPRTPSSFR
+QPFTPPSRSLLRQPDISCILATGGRSPWLTQSSGFLGNLSMVTNLDDSNWATAFSSQRAG
+LFTNAEPHSVTEDVTLSAVMLREDDPGEAASMSMFSDFLQSFLKHSSTTVFDLVEEYENI
+CSSQVNILNKIVSRATPGLQKFSKTASMLWLLQQEMVTWRLLASLYRDRIQCALEDESMF
+AITALNASEKAVVEALFQRDSLVRQSQLVVDWLESIAKDEIGDFSDNIEFYAKSVYWENT
+LHTLKQRQLSSYIGSVRPLVTELDPDAPIRQKMPLDDLDREDEVRLLKYLFTLIRAGMTE
+EAQRLCKRCGQAWRAATLEGWKLYHDPNVNGGTELEPVEGNPYRCIWKISCWRMAEDELF
+NRYERAIYAALSGNLKQLLPVCDSWEDTVWAYFRVMVDSLVEQEIRTSVMTLDETEELPR
+EYLEANWTLEKVFEELQATDKKRVLEENQEHYHIVQKFLILGDIDGLMDEFSKWLSKSRN
+SLPGHLLRFMTHLILFFRTLGLQTKEEISIDVLKTYIQLLINEKHTNLIAFYTCHLPQDL
+AVAQYALFLEGVTEFEQRHHCLELAKEADLDIAAITKTVVENIRKKDDGEFSHHDLSPAL
+DTGTTEEDRLKIDVIDWLVFDPAQRAEALKQGNAIMRKFLASKKHEAAKEVFVKIPQDSI
+AEIYNQWEEQGMDSPLPAEDDNAIREHLCIRAYLEAHETFNEWFKHMNSAPQKPTLTTQA
+TFTEKVAHEHKEKKYEMDYGIWKGHLDALTADVKEKMYNVLLFVDGGWMVDVREDAEEDH
+ERIHQMVLLRKLCLPMLCFLLHTILHSTGQYQECLQLADMVSSERHKLYLVFSKEELRKL
+LQKLRESSLMLLDQGLDPLGYEIQS
+>tr|F1MKK3|F1MKK3_BOVIN Chromosome 29 C11orf95 homolog OS=Bos taurus OX=9913 GN=C29H11orf95 PE=4 SV=3
+MDYDPRGNRLVCMACGRALPSLQLDDIRAHVLEVHPSCLGLRGPQRRAPQRSALLQASGG
+QPEALSELTQSSPDDDLVPQDLTSKSRDPAPAAGAQSSQDLSLPDVKEEAGWVPERVPGP
+AGEEEPEEGERVGVPGRSARGRDPRRYCPERWRLEYLLELDGGRRGLVCLACGGALASLK
+MSTIKRHIRRRHPGSARLGGPVGALIAQEWSEKAAHLLAMGLPCPESPGDPAAPSTAAAS
+EEGGGAEKEQPEEEEEWWGELGQSRWRGRGARGRRRVGTVEGRRGDGRLAAPEGTGRGVT
+RPGRSSAVLCSVLGDVPLSPGEPSERQAEEEEDDEDGPDPGALAFPPPPPPPPPPPPPRS
+REQRRNYQPRWRGEYLMDYDGSRRGLVCMVCGGALATLKVSTIKRHILQVHPFSMDFTPE
+ERQTILEAYEEAALRCYGHEGFGPPAPAAPRDGGADLKAGAVCRA
+>tr|A0A3Q1N515|A0A3Q1N515_BOVIN Serine/threonine-protein phosphatase 2A 55 kDa regulatory subunit B OS=Bos taurus OX=9913 GN=PPP2R2B PE=3 SV=1
+MVLQPAERHCGDWSHRRLGPWCSPTGSPAPLSCETGCGEGTWILVCRLLVPTQVSLLSME
+EDIDTRKINNSFLRDHSYATEADIISTVEFNHTGELLATGDKGGRVVIFQREQESKNQVH
+RRGEYNVYSTFQSHEPEFDYLKSLEIEEKINKIRWLPQQNAAYFLLSTNDKTVKLWKVSE
+RDKRPEGYNLKDEEGRLRDPATITTLRVPVLRPMDLMVEATPRRVFANAHTYHINSISVN
+SDYETYMSADDLRINLWNFEITNQSFNIVDIKPANMEELTEVITAAEFHPHHCNTFVYSS
+SKGTIRLCDMRASALCDRHTKFFEEPEDPSNRSFFSEIISSISDVKFSHSGRYIMTRDYL
+TVKVWDLNMENRPIETYQVHDYLRSKLCSLYENDCIFDKFECVWNGSDSVIMTGSYNNFF
+RMFDRNTKRDVTLEASRENSKPRAILKPRKVCVGGKRRKDEISVDSLDFSKKILHTAWHP
+SENIIAVAATNNLYIFQDKVN
+>tr|A0A3Q1MC92|A0A3Q1MC92_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MIPAFPANSQWTWFQRISQEEVSRRCWLCFYLSYAVTLALLLGGVFITLSSDHPPFALVT
+TMIATGIVFFILTGLMYLCEANKVSAFTQEKFSRRRIAQDPCKALERKTTNGCHLTVISS
+>tr|E1BKU1|E1BKU1_BOVIN Neurturin OS=Bos taurus OX=9913 GN=NRTN PE=3 SV=1
+MQRWKAAALASVLCSSVLSIWMCREGLLLGHRLGPALAPLRRPPRTLDARIARLAQYRAL
+LQGAPDAVELRELTPWAGRSPGPRRRPGPRRRRARARSGTRPCGLRELEVRVSELGLGYA
+SEETVLFRYCAGACEAAARVYDLGLRRLRQRRRVRRERVRAQPCCRPTAYEDEVSFLDTH
+SRYHTVHELSARECACV
+>tr|F1MLR9|F1MLR9_BOVIN Myosin VIIB OS=Bos taurus OX=9913 GN=MYO7B PE=3 SV=3
+MSGLRLGDHVWLNPTPADKTRVAIGGIIKETKPGKILVEDDEGKEHWIQAEDLGTLSSMH
+PNSAQGVDDMIRLGDLNEAGMVHNLLIRYQQHKIYTYTGSILVAVNPFQVLPLYTVEQVQ
+LYYRHHVGELPPHIFAIANSCYFNMKKNKRDQCCIISGESGAGKTETTKLILQFLATISG
+QHSWIEQQVLEANPILEAFGNAKTIRNDNSSRFGKYIDIYFNASGVIEGARIEQFLLEKS
+RVCRQAPEERNYHIFYCMLLGMSAEEKKLLCLGTPSEYHYLTMGNCTSCEGRNDAKDYAH
+VRSAMKILMFSDSENWDLSKLLATILHLGNVEFMAAVFENLDSSDVMETPAFPTVMKLLE
+VKDQALRDCLIKHSIIIRGEFVTRPLNITQAADRRDAFVKGIYGHLFLWIVKKINAAIFT
+PPAQDPKNVQRTIGLLDIFGFENFQKNSFEQLCINLANEHLQQLFVQHVFTVEQEEYRAE
+SIAWDYIHYTDNRPTLDLLALKPMSVISLLDEESRFPQGTDTTLLQKLKSVHANNKGFLQ
+PKNIHDAQFGIAHFAGEVHYHVEGFLEKNRDVLNRDILALVHSSQNKFLREIFGLESEES
+RRGPGSIVRVKSSSQQFKSADSSKRPSTLAGQFKQSLDQLMKILTNCQPYFIRCIKPNDY
+KKPLLFDRELCIQQLRYSGMMETVHIRKSGFPIRYSFEEFSQRFRVLLPSAVRTELRNKF
+RQMTLRIAERWLGTDKEWKMGKTKIFLKENQDTLLEVQRSQALDEAAIRIQRVLRGYTHR
+KEFLRQRRAAVTLQAWWRGHYSQQNFKLILLGFERLQAIARSHLLAKQYQALRQRMVRLQ
+ALCRGYLVRQQVQAKRRAVVVIQAHARGMAARRNFRQRKANVPLVIPAEEQKSPSAVPKR
+KRKSIYDTITDTEMVEQVFGFLPSLTGGQDSPATPDIEDLKEMTQKLPEVDLDTVPMMEE
+PEDDLAEYTFPKFAVTYFQKSASHTHIRRPLRYPLLYHEDDTDYSAALAIWSIILRFMGD
+LPEPVLFTRNTLRGGSVMRQIHDALGKEGSTQPPQHSRSAQRPSRRDRGTKDISSMKLKR
+SSWVTGQVANQLNIGEEAFEEDSPLSDRPMSNLEKVQFIVGYAILRPDLRDEIYCQICKQ
+LSENYKTSSLARGWILLGLCLGCFPPSERFLKYLLNFIGQGPVGYGPFCAERLRRTCANG
+VRTEPPSWLELQAVKSKKHIPIHISLATGESLMVMVDSASTSREVCLHIARKQGLSDHLG
+FSLQVAVYDKFWSLGSGRDHVMDALAQCEQLARERGESERQAPWRIYFRKEFFTPWHDSQ
+EDPVSTHLIYRQVLHGVWLGEYPFEKEEELVELLAQHCYVQLGASAGSQAIRELLPSCVP
+SKLYRTKTPEKWASLVTTTYAKAPYTQKRARPLTVQEQVVDTACLKWPLLFSRLFEVTTL
+SGPQLPKTQLILAVNWKGLYFLDQKEKVLLELSFIEVMSLITNREARGGQRLMLSTLHEE
+EYEFRSPSSVAVAELVAMFLEGLKERSVFAMALQDRKATEDSTLLPFKKGDLLILTKKQG
+LLASENWTLAQNDRTEKTGLVPTSCLYTIPTVTKPSAQLLSLLAMSPEKRKLAAQEGRPT
+EAPPEEQPEENLHTLEEFSYEFFRVPEKETVSRAVLPLARTRGQLWAHSAEPLRQPLLRR
+VHANAELRDAACQIFIAILRYMGDYPSRQAWTSLELTDQIFSSALQEAVLQDEVYCQILK
+QLTHNTKRYSEERGWQLLWLCTGLFPPGKALLPHAQKFIDTRRRKVLAPDCSRRIQRVLR
+TGPRKQPPHQVEVEAAEQNVSRICHKVYLPNDTSEMLEVGANTRVRDACDSIAARLQLAS
+WEGCSLFIKIADKVISQKEGDFFFDSLRQVSDWVKKNKPQKEGAPVTIPYQVYFMRKLWL
+NVAPGKDVKADTILHYHQELPKYLRGFHKCSREDAIHLASLIYKARFGDDRPQLASIPKI
+LRELVPENLTRLMSPEEWKKNILLACNKHKDKTVEEAKVAFLKWICRWPTFGSAFFEVKQ
+TSEPSHPDIILIAINRHGVLLIHPKTKDLLTTYPFTKIASWSSGSTYFHMVLGGLGRGSR
+LLCETSLGYKMDDLLTSYVQQLISAVNRQRAPRGSVPSHP
+>tr|A0A3Q1LUM6|A0A3Q1LUM6_BOVIN Ras-related protein Rab-7a OS=Bos taurus OX=9913 GN=RAB7A PE=1 SV=1
+MSVPGERASALGDLEASPHWEGQALFSCETDSPSRLHTSELVCSANLPDLLWFFSNFRVG
+KTSLMNQYVNKKFSNQYKATIGADFLTKEVMVDDRLVTMQIWDTAGQERFQSLGVAFYRG
+ADCCVLVFDVTAPNTFKTLDSWRDEFLIQASPRDPENFPFVVLGNKIDLENRQVATKRAQ
+AWCYSKNNIPYFETSAKEAINVEQAFQTIARNALKQVGVCSIGASGFGPSACTSPRGLSV
+QPSAPPGPEPRPGRLGLHLSPQALCAARGGGGPLRAALSRRP
+>tr|E1BKJ3|E1BKJ3_BOVIN Calpain 8 OS=Bos taurus OX=9913 GN=CAPN8 PE=3 SV=3
+MAALAARVTRQRAATEGLGSSQKAVRYLGQDFETLRQQCLDSGLLFKDPEFPACPSALGY
+KDLGPCSPRTQGVVWKRPMELCPSPQFIVGGATRTDICQGALGDCWLLAAIASLTLNREL
+LYRVVPRDQSFQENYAGIFRFQFWQYGEWVEVVVDDRLPTKNGKLLFLHSEEGSEFWSAL
+LEKAYAKLNGSYEALTGGSTVEGFEDFTGGISEFYNLKKPPASLFQIIQKALRSGSLLAC
+SIDISSAAETEAITSQKLVKGHAYSVTGVEEVDFRGCPERLIRLRNPWGEVEWKGAWSDD
+APEWNYIDPRQKEQLGKKAEDGEFWMSFSDFLRQFSRLEICNLSLDSLSSEEAHKWSLVL
+FNGRWTRGSTAGGCQNYPATYWTNPQFKIHLDEVDDHQEEGVSEPCCTVLLGLMQKNRRR
+QKRMGQGMLSIGYAVYKIPKELESHTDAHLGRDFFLGRPPAARSSTYVNLREVSARVQLP
+PGEYVVVPATFEPFQDGDFCLRVFSEKKAKALEIGDVVVGNPHEPRPSEVEQEDGQLRSL
+FEKFAGKDSEIRANELRTALNEVFSKRTDIKFDGFDINTCREMISLMDSNGTGSLELVEF
+KTLWLKIRKYLDIFRETDHNHSGTIDAHEMRTALKKAGFTLSDQVQQTIAMRYACSKLTM
+DFDSFIACMIRLETLFKLFRLLDKDQNGIVQLSLAEEAEWTQTEAK
+>tr|A0A3Q1LMB1|A0A3Q1LMB1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MDPNCSCPHWQLLQLCWLLHLRGLQMPLLQEELLFLLPRGLCRVCPELHLQRGLRQVQLL
+HLIPGRACLSCR
+>tr|E1BN42|E1BN42_BOVIN Kelch domain containing 9 OS=Bos taurus OX=9913 GN=KLHDC9 PE=4 SV=1
+MAGAVGEARGSGWTWRPVAQDPLLARAFHSCTELRGRFYLVGGLLAGGAREPSSETVVFD
+PAGGQAVRVAARGGPRRSHHDAAPVGGRWLCVVGGWDGSHRSATVTALDTDRGVWEAWTA
+GSGSCPPAGLSSHTCTRISDRELRVAGREGGTRTQRRYGSIYTLRLDPGARTYCFKEEGC
+HTASRSGHCAALLQSPGPHPGHQLLLFGGCNSAEPEVAGHWSHGKIKEEPPVAPHLMEKL
+SRLVSSGQGSRQGPRGLRHHSCSVVGPFAVLFGGETLTRARDTICNDLYIYDTRKSPALW
+FHFPCADHRLKRVGHRTCLWNDQLYLVGGFGEDGRTASPQVCILDLFI
+>tr|G3N208|G3N208_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=BTNL9 PE=4 SV=2
+MDFSVFLNSYQRGRLPSSLFFLTHLLLLLQPRVLCSEEIRVVGHEEPILAHVGDEVEFSC
+HLSPYRDAQHMEILWFWSQASNVVHLYREQQEFYGWQMKQFQNRTQLIRDDIIDGSVTLR
+LHLVVPADQGLYGCRFLSSDFTGEATWELEVAGLGSDPHISIEGFKEGGIQLRCHSNGWY
+PKPQAQWRDRHGRCLPPETENITEDVQGLFSLETSVVVQEGAHSNVSCSIQNHLLGQKKE
+FVVHIADVFLPGTSPWKRAFLGTLVGLPLLLALLMMLVLYFFRKQRKSQEKLKKQAERDK
+GKFAYQGRGQGCGWGQNRPWRALTLCLCAVDVTLDPGSAHPSLEVSEDGKSVSSLRTAPG
+SENPQWLSEQTCVLSRELFSTGRHYWEVHVGRRSRWFLGVCLAAVPRAGHARMSPATGYW
+VMGLWNSCEYFVLDQHRVPLSVRVPPRCVGIFLDIEAGKLSFFNVSDGSHIFTFTDTFPG
+MLCAYFRPRAHDGSEHPDPLTICPLPVGEKHVLEEEDSDAWLQPYESSNTDLGL
+>tr|A0A3Q1M756|A0A3Q1M756_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+WILIQISSWKLAYFLTCTFLPTGQEVVTPPGDSQNNANPTDCEILTLTPPPATRNPVTRI
+QPITRTPRCPFHFFPPRWLRVYIRFPYRPYPPPTWNHHFQFHPYFCPYSRLPPYYNYFPR
+RRLWRGSSSEESREKREAPNVLK
+>tr|F1MFP6|F1MFP6_BOVIN Protein shisa-5 OS=Bos taurus OX=9913 GN=SHISA5 PE=4 SV=3
+MAAPAPAPRILVLLLLLLPAPEGAQSELCMISHGRKVDPWVCPDFCCGNCNDQYCCSDVL
+KQVMWIEEDCHAPEARFGTVIAIGVTLFVIAVVTVIVCCTCSCCCLYKMCRRPQPVVTTT
+MATTVTHTPYLQPPSYPGPTYQGYHSVVPQPGMPTAPYPTQPTGPPAYHETMAGGAALPY
+PASQPPYNPAYMEPPKAVP
+>tr|G3N1W5|G3N1W5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=ARL13A PE=4 SV=2
+MFRLLTSCWCHLKTTEKTQRHVAIIIIGLHNSGKTDLVEAFRRQLPSRSRMDSCSSSEPT
+TLLVDNYEVSIYDLKGDMQGRKIWPNYYAQAHGLVFVLDSSDLERMQEVKVILPNMLSDE
+RVAGKPILLLANKQDKMDALPPGDIIEYLLLERLMNENKSPCRVEPCSVVKELQRRRQPI
+IDGLHWLLSAIGEKYEELCTRQQPLPPTIAASSSTRGFEERSPADRYRAVSVSKVGQNRN
+IEKKNKKRSFATQMGMSKENRQHVGQHSMEPKPLKSILLKEGVIIRPKKNVSVTFALDEP
+MEEGEYSGENGSHNTAGLRNTSGLRYGPSDDFHPPAPYTEDDLFEEPRAKRRMGTWDSED
+MLLDNP
+>tr|A0A3Q1NMI8|A0A3Q1NMI8_BOVIN Protein unc-50 homolog OS=Bos taurus OX=9913 GN=UNC50 PE=4 SV=1
+MGGPCKSVIMKVQKATGQPFPEVQWAVLQLPNQPQNQIYSESCLFSGIGAKMKMLPSTSV
+NSPAQGNGVLSSRDAARHTAGAKRYKYLRRLFRFRQMDFEFAAWQMLYLFTSPQRVYRNF
+HYRKQTKDQWARDDPAFLVLLSIWLCVSTIGFGFVLDMGFFETIKLLLWVVFIDCVGVGL
+LISTLMWFISNKYLVKRQSRDYDVEWGYAFDVHLNAFYPLLVILHFIQLFFINPDVILTD
+TFIGYLVGNTLWLVAVGYYIYVTFLGYSALPFLKNTVILLYPFAPLILLYGLSLALGWNF
+THTLCSFYKYRVK
+>tr|F1MEW3|F1MEW3_BOVIN Microtubule-associated protein OS=Bos taurus OX=9913 GN=MAP2 PE=4 SV=2
+MADDRKDEAKAPHWTSAQLTEASAQPHPPEIKDQGGAGEGLVRSANGFPYREDEEGAFGE
+HGSQGTYSNTKENGINGELTSTDRETAEEVSARIVQVVTAEAVAVLKGEQEKEAQPKDQP
+PALPLAAEETANLPPSPPPSPASEQTVPVEEEEETLESPVAEEEKPATLPEKECGAAKVS
+DQPKGLSEGQVESSAEAQVVPADSASAGAPQEKSVKEVTVTEVSPEVKTPSSAGEGLLTA
+SKMEFHDQQELTPSPAEPLDKKEKEPETESKPGEDLKHAALVSELETTKTSPDKKDIQGT
+EEEKAPPTLFGHTLGASLEDTKPKTEPSLVVPDIGLPAEPTAAKEQKDWFIQMPTEAKKD
+EWGLVAPVSPGPLTPMKEKDVLEDIPKWEGKQFDSPMPSPFQGGSFTLPFDISKHEIVAA
+AASFAPALLQPDDQKSLEETGSPATAKDSSKVEEPQKDQPDKIAEAPASEAVAAPKDAHV
+SIVEECVPEKVSGEEKGMIKEESVQIKETPTLSEQESTLAEKEPHLKLEEKPTISDKEAM
+PKESEPPKLIDEETNIIQPSTEHTYSKKEEKGQEPPTDILKQDSFPVSLEQAVTDSAMTT
+QTLEKVMTEPTALSEKIATQELFEEKVADKDHKVEGVGVVTSAELDMPFYEDKSGMSKYF
+ETSALKEEVTKSIQPGSDYYELSDTRESVQEAFDTVSPMHKDGDKALPEEEPQPSAPAQE
+AGYSTLAQSHPSDLPEEPSSPQERMFTIDPKVYGEKRDLHSKNKDDLTLSRSLGLGGRSA
+IEQRSMSINLPMSCLDSIALGFNFGRGHDLSPLASDILTNTSGSMDEGDDYLPATTPAVD
+KAPCFPTESKEEKEQAKEEKDTGEENARVETSCESPFLAKDYYKNGTVMAPDLPEMLDLA
+GTRSRLASVSADTDVARRKSVPSETVVEESSTGLPPVTDENHIIVKTDSQLEDLGYCVFN
+KYTVPLPSPVQDSENLSGESGSFYEGTDDKARRDLATDLSLIEVKLAAAGRVKDEVSAEK
+EASPPISGDKSGLSREFDQEKKASDKLDTVLEKSEEHADSKEHAKETEEAGDEVETLGLG
+VTQEQASARELTVAKDASPVMAEKAEKGLSSVPEVAEVEPSKKAEPELDLAVKKAEQGQL
+DIKISDFGQMASGLHVDAGEAAELKLEATQDLAPSSTAPPEVDAFMGVESSHLKEGTKVS
+ETEVKEKVAKPDLVHQEAVDKEESYESSGEHESLTMESLKADEGKKETSPESSLIQDEIA
+IKLSVEIPCAPVVSEADVAADERADVQMEFIQPPKEESQETPDISITPSDVTEPLPEAAR
+AEPAEAQSEEEEIEAQGEYDKLLFRSDTLQITDLGAPGVREEFVETCPGEHKGVIESVVT
+IEDDFITVVQTTTDEGESGSHSVRFAALEQPEVERRPSPHAEEELEVEEAAEAQAEPKDG
+SPEAPASPEREEVGLSEYKTETYEDYKDETTIDDSIMDADSLWVDTQDDDRSIMTEQLET
+IPKEEKAEKEARRSSLEKHRKEKPFKTGRGRISTPERKIAKKEPSTVSRDEVRRKKAVYK
+KAELAKKTEVQAHSPSRKFILKPAIKYTRPTHLSCVKRKTTAAGAESAQAPSVFKQAKDK
+VSNSTLSKIPALQGSTKSPRCSSACPSATKRATFSDSLFIQPTSAGSTDRLPYSESGNKD
+GVTKSPEKRSSLPRPSSILPPRRGVSGDRDENSFSLNSSISSARRTTRSEPIRRAGKSGT
+STPTTPGSTAITPGTPPSYSSRTPGTPGTPSYPRTPHTPGTPKSAILVPSEKKVAIIRTP
+PKSPATPKQLRLINQPLPDLKNVKSKIGSTDNIKYQPKGGQVRILNKKIDFSKVQSRCGS
+KDNIKHSAGGGNVQIVTKKIDLSHVTSKCGSLKNIRHRPGGGRVKIESVKLDFKEKAQAK
+VGSLDNAHHVPGGGNVKIDSQKLNFREHAKARVDHGAEIITQSPGRSSVASPRRLSNVSS
+SGSINLLESPQLATLAEDVTAALAKQGL
+>tr|E1BCS5|E1BCS5_BOVIN Zinc finger and BTB domain containing 47 OS=Bos taurus OX=9913 GN=ZBTB47 PE=4 SV=3
+MGGTSWLLLVEKTTDSPAAEFSLVEDVALHFACLMGRLNEQRLFQPDLCDVDLVLVPQRS
+VFPAHKGVLAAYSQFFHSLFTQNKQLQRVELSLEALAPGGLQQILNFIYTSKLLVNAANV
+HEVLSAASLLQMADIAASCQELLDARSLGPPGPGAVALAQPAAGCTPAAPTYYCDIKQEA
+DAPGLPKIYAREGPDPYSVRVEDGAGATGGTVPAALGPAQPFFKEEKEGGVEEAGGPPAS
+LCKLEGGEELEEELGGSGTYSRREQSQIIVEVNLNNQTLHVSTGPEGKPGAATGPATMVL
+GREDGLQGEDEEDEEEEGHSEQEEEEEEEEEGHSEQDQESSEEEEDEEDGEAGGRQGGLG
+RRSSRAEPPAHSRMATRSARRRGPPEPEEAGRRGGKRPKPPAGVAPAPGSRGPQAADGLG
+AKVKLEEKQHHPCQKCPRVFNNRWYLEKHMNVTHSRMQICDQCGKRFLLESELLLHRQTD
+CERNIQCVTCGKAFKKLWSLHEHNKIVHGYAEKKFSCEICEKKFYTMAHVRKHMVAHTKD
+MPFTCETCGKSFKRSMSLKVHSLQHSGEKPFRCEWCGKDFNMKQYFDEHMKTHTGTAVQR
+PGLPDSHGEKPYICEICGKSFTSRPNMKRHRRTHTGEKPYPCDVCGQRFRFSNMLKAHKE
+KCFRVSHPMASDGAPAAPGLPPAPPQQQSDVTQHGFLENL
+>tr|A0A3Q1MDI3|A0A3Q1MDI3_BOVIN 26S proteasome non-ATPase regulatory subunit 11 OS=Bos taurus OX=9913 GN=PSMD11 PE=4 SV=1
+MAAAAVVEFQRAQSLLSTDREASIDILHSIVKRDIQENDEEAVQVKEQSILELGSLLAKT
+GQAAELGGLLKYVRPFLNSISKAKAARLVRSLLDLFLDMEAATGQEVELCLECIEWAKSE
+KRTFLRQALEARLVSLYFDTKRYQEALHLGSQLLRELKKMDDKALLVEVQLLESKTYHAL
+SNLPKARAALTSARTTANAIYCPPKLQATLDMQSGIIHAAEEKDWKTAYSYFYEAFEGYD
+SIDSPKAITSLKYMLLCKIMLNTPEDVQALVSGKLALRYAGRQTEALKCVAQASKNRSLA
+DFEKALTDYRAELRDDPIISTHLAKLYDNLLEQNLIRVIEPFSRVQIADPLNHMILDKKF
+HGILDQGEGVLIIFDEPPVDKTYEAALETIQNMSKVVDSLYNKAKKLT
+>tr|G3MW12|G3MW12_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC515333 PE=4 SV=2
+MQPVYPEVKPNPLRNANLCSRIFFWWLNPLFKIGHKRRLEEDDMYSVLPEDRSQHLGEEL
+QGYWDQEVLRAEKDAREPSLTKAIIKCYWKSYVVLGIFTLIEALRLSNSAMGKTTTGQIV
+NLLSNDVNKFDQVTIFLHFLWAGPLQAIVVTALLWMEIGISCLAGMAVLIILLPLQSCIG
+KLFSSLRSKTAAFTDTRIRTMNEVITGIRIIKMYAWEKSFADLITNLRRKEISKILRSSY
+LRGMNLASFFVASKIIVFVTFTTYVFLGNVITASRVFVAVSLYGAVRLTVTLFFPSAVEK
+VSEAFVSIRRIKNFLLLDEITQLHSQLPSDGKMIVNVQDFTAFWDKASDTPTLQSLSFTV
+RPGELLAVVGPVGAGKSSLLSAVLGELPPNQGQVSVHGRIAYVSQQPWVFSGTVRSNILF
+GKKYEKERYEKVIKACALKKDLQLLEDGDLTMIGDRGTTLSGGQKARVNLARAVYQDADI
+YLLDDPLSAVDAEVSRHLFELCICQALHEKIRILVTHQLQYLKAASQILILKDGQMVQKG
+TYTEFLKSGIDFGSLLKKENEEAEPSPVPGSPTLRNRTFSESSVWSQQSSRPSLKEATPE
+GQDTENIQVTLTEESRSEGKVGFKAYKNYFTAGAHWFIIIFLILVNLAAQVSYILQDWWL
+SYWANQQSALNVTVNGQGNVTEKLDLNWYLGIYSGLTASTVLFGIVRSLLVFFVLVSSSQ
+TLHNQMFESILRAPVLFFDRNPIGRILNRFSKDIGHMDDLLPLTYLDFIQTFLQVIGVVG
+VAVAVIPWIAIPLVPLGIVFFVLRRYFLETSRDVKRLESTTRSPVFSHLSSSLQGLWTIR
+AYKAEQRFQELFDSHQDLHSALDAGQVGLALSYALTLMGMFQWCVRQSAEVENMMISVER
+VIEYTDLEKEAPWEYQKRPLPSWPHEGVIIFDNVNFSYSLDGPLVLKHLTALIKSKEKVG
+IVGRTGAGKSSLIAALFRLSEPEGKIWIDKILTTEIGLHDLRKKMSIIPQEPVLFTGTMR
+KNLDPFNEHSDEELWNALEEVQLKEAIEDLPGKMDTELAESGSNFSVGQRQLVCLARAIL
+RKNRILIIDEATANVDPRTDELIQKKIREKFAHCTVLTIAHRLNTIIDSDKIMVLDSGRL
+KEYDEPYVLLQNRDSLFYKMVQQLGKAEAAALTETAKQVYFKRNYPDITHNGHVVMNASS
+GQPSAFTIFETAL
+>tr|A0A3Q1MTU2|A0A3Q1MTU2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=NOTCH2 PE=4 SV=1
+MPALRPAPLWALLALWLCRAAPARALQCRDGYEPCVNEGICVTYHNGTGYCKCPEGFLGE
+YCQHRDPCEKNRCQNGGTCVAQAMLGKATCRCAPGFTGEDCQHSTTHPCFVSHPCLNGGT
+CHVLSRDTYECTCQVGFTGKLCQWTDACLSHPCANGSTCTTMANQFSCTCLAGFTGQKCE
+TDVNECDIPGQCQHGGTCLNLPGSYQCQCPQGFTGQHCDSPYVPCAPSPCVNGGTCRQTG
+DFTFECSCLPGFEGVTCERNIDDCPNHKCQNGGVCVDGVNTYNCRCPPQWTGQFCTEDVD
+ECLLQPNACQNGGTCTNRNGGYGCVCVNGWSGDDCSENIDDCAFASCTPGSTCIDRVASF
+SCMCPEGKAGLLCHLDDACISNPCHKGALCDTNPLNGQYICTCPQGYKGSDCTEDVDECA
+MANSNPCEHAGKCVNTDGAFHCECLKGYAGPRCEMDINECHSDPCKNDATCLDKIGGFTC
+LCMPGFKGVHCELEINECQSNPCVNNGQCVDKVNRFQCLCPPGFTGPVCQIDIDDCSSTP
+CLNGAKCIDHPNGYECQCATGFTGVLCEENIDNCDPDPCHHGQCQDGIDSYTCICNPGYM
+GAICSDQIDECYSSPCLNEGRCIDLVNGYQCNCQPGTSGVNCEINFDDCASNPCVHGVCM
+DGVNRYSCVCSPGFTGQRCNIDIDECASNPCRKGATCINDVNGFRCICPEGPHHPSCYSQ
+VNECLSNPCVHGNCTGGLTGYKCLCDAGWVGINCEVDKNECLSNPCQNGGTCDNLVNGYR
+CTCKKGFKGYNCQVNIDECASNPCLNQGTCLDDVSGYTCHCVLPYTGKNCQTVLAPCSPN
+PCENAGVCKEAPNFESYSCLCAPGWQGQRCTIDIDECVSKPCMNHGLCHNTQGSYMCECP
+PGFSGMDCEEDIDDCLANPCQNGGSCVDGVNTFSCLCLPGFTGDKCQTDMNECLSEPCKN
+GGTCSDYVNSYTCKCPAGFDGVHCENNIDECTESSCFNGGTCIDGINSFSCLCPVGFTGS
+FCLHEINECNSHPCLNEGVCVDGLGTYRCICPLGYTGKNCQTLVNLCSRSPCKNKGTCIQ
+DKAESRCLCPSGWAGAYCDVPSVSCEVAASHRGVPVDRLCQHSGVCISAGNSHHCQCPLG
+YTGSYCEDQLDECSSNPCQHGATCRDFIGGYRCECVPGYQGVNCEYEVDECQNQPCQNGG
+TCIDLVNHFKCSCPPGTRGLLCEENVDDCARGPHCLNGGQCVDRIGGYSCRCLPGFAGER
+CEGDINECLSNPCSSEGSLDCIQLTNDYLCVCRSTFTGRHCETFVDVCPQMPCLNGGTCA
+VASNMPDGFICRCPPGFSGARCQSSCGQVKCRRGEQCVHTASGPRCFCPNPQDCDSGCAS
+SPCQHGGSCYPQRQPPYYSCQCAPPFRGSHCELYTAPTSTPPATCLSQYCADKARDGICD
+DVCNSHACQWDGGDCSLTMEDPWANCSSPLPCWEYINNQCDELCNTAECLFDNFECQKSS
+KTCKYDKYCADHYEDNHCDQGCNSEECGWDGLDCAADRPENLAEGTLVIVVLMPPEQLLQ
+DARRFLRALGTLLHTNLRIKRSAQGELMVYPYYGGKSAAMKKQRLTRRSLPGDEEQEVAG
+SQVFLEIDNRQCVQDSDQCFKNTDAAAALLASHAIQGTLSYPLVSVVSESLTPKRTQLLY
+LLAVAVVIILFIILLGVIMAKRKRKHGSLWLPEGFTLRRDSSNHKRREPVGQDAVGLKNL
+SVQVSEANLIGSGTSEHWVDDEGPQPKKAKAEDEALLSEEDDPIDRRPWTQQHLEAADIR
+RTPSLALTPPQAEQEVDVLDVNVRGPDGCTPLMLASLRGGSSDMSDEDEDAEDSSANIIT
+DLVYQGASLQAQTDRTGEMALHLAARYSRADAAKRLLDAGADANAQDNMGRCPLHAAVAA
+DAQGVFQILIRNRVTDLDARMNDGTTPLILAARLAVEGMVAELINCQADVNAVDDHGKSA
+LHWAAAVNNVEATLLLLKNGANRDMQDNKEETPLFLAAREGSYEAAKILLDHFANRDITD
+HMDRLPRDVARDRMHHDIVRLLDEYNVTPSPPGTVLTSALSPVICGPNRPFLSLKHTPMG
+KKSRRPNTKSTVPTSLPNLAKEAKDAKGNRRKKSLSDKGQLSESSVTLSPVDSLESPHTY
+VSDTTSSPMITSPGILQASPNPMLATAAPTAPVHAQHALSFSNLHEMQPLAHGANTVLPS
+VSQLLSHRHIVPPGSSTAGSLGRLHSVTVPADWMNRVEMNESQYNEMFGMVLAPAEGTHP
+GIAPQSRPPEGKHLTTPREPLPPIVTFQLIPKGSIAQPTGAAQPPSNCPPAVAGTLPTMY
+QIPEMARLPSMAFPTAMMPQQDGQVAQTILPAYHAFPASVGKYPTPPSQHSYASSNAAER
+TPSHSGHLQGEHPYLTPSPESPDQWSSSSPHSASDWSDVTTSPTPGGAGGGQRGPGTHMS
+EPPRSNMQVYA
+>tr|F1MT46|F1MT46_BOVIN Solute carrier family 5 member 5 OS=Bos taurus OX=9913 GN=SLC5A5 PE=3 SV=3
+MATVEAKERATFGAWDYGVFALMLLVSTGIGLWVGVARGGQRSAEDFFTGGRRLSALPVG
+LSLAASFMSAVQVLGVPAEAYRYGLKFLWMCLGQLLNSLLTALLFLPVFYRLGLTSTYEY
+LEMRFSRAVRLCGTLQYLVATMLYTGIVIYAPALILNQVTGLDIWASLLSTGIICTFYTT
+VGGMKAVIWTDVFQVLVMLSGFWVVLARGTALVGGPGQVLELAKNHSRINLMDFDLDPRS
+RYTFWTFVVGGTLVWLSMYGVNQAQVQRYVACRTEKQAKLAVLINQLGLFLIVSSAAACG
+VVMFTFYLDCDPLLAGRISAPDQYMPLLVLDIFEDLPGVPGLFLACAYSGTLSTASTSIN
+AMAAVTVEDLIKPRLPSLAPRRLVIISKGLSLIYGSACLTVAALSSLLGGGVLQGSFTVM
+GVISGPLLGAFILGMFVPTCNTPGVLSGLAVGLALSLWVAVGATLYPPSAQSMGVLPSSA
+SGCAVPSANASGLLDPLLTANASSRVSSLEMDPDQQTLADNFYAISYLYYGALGTVCTVL
+CGALVSCLTGPTKRSALGPGLLWWDLMRQTASVAPKEEVATLDDGLMKVSLRAGIPEQGE
+GQ
+>tr|G3MZE7|G3MZE7_BOVIN Mitochondrial coiled-coil domain 1 OS=Bos taurus OX=9913 GN=MCCD1 PE=4 SV=2
+MGLPLAWLSQRCRHLLLPSWAPILQGSWRCCSQGPRSTAETSSTRNRKMSPSRPRPTAEL
+AQAEELLEQQLELYQALVEGQEGAWEAQALVLKTQKLKEQMRRQREPGRSGGGKKTKGSG
+SQSENMKQTPGKSWQQ
+>tr|F1MWV7|F1MWV7_BOVIN FA complementation group F OS=Bos taurus OX=9913 GN=FANCF PE=4 SV=1
+MDSLLEQLDRFSEVLAVSRTTHVSTWDPATVRRALQWAGYLRHIHRRFGRHARIRKALEQ
+RLQNQWKQEGDSGPAPAPDLANFQALGHCDQLLSLRLLANPALGDASFHCLLQQLFPGPG
+VPDAEEEALQGSLARFARCRAAAHMLRFHAYSENPVLQKDSVMKTQAELLLRRLHEVGEA
+EAEGPGRLLSRLWERLPQDNFLKVVAAALLLPQASPRLQEEELGVGSPKTPGDGRQELLR
+WLLGKSDIVVAFCRNLPAELLTSVVGRHPELSPVYLGLLTNWGRQLHYDLPKGLWIGTEP
+QDVPWEELFSRFQSLCQAPPPLKDEVLTALKSYKAQDGDFEVPGLSIWTDLLLALGSRV
+>tr|A0A3Q1MRZ5|A0A3Q1MRZ5_BOVIN Phospholipase D family member 5 OS=Bos taurus OX=9913 GN=PLD5 PE=4 SV=1
+MSQQKCIVIFALVCCFAILVALIFSAVDIMGEDEDGLSEKNCQNKCRIALVENIPEGLNY
+SENAPFHLSLFQGWMNLLSMAKKSVDIVSSHWDLNHSHPSACQGQRLFEKLLQLTSQSIE
+IKLVSDVTADSKVLEALKSKGAEVTYMNMTAYNKGRLQSSFWIVDKQHVYIGSAGLDWRS
+LGQMKELGVIFYNCSCLVLDLQRIFALYSSLKFKSRVPQTWSKRLYGVYDNEKKLQLQLN
+ETKSQAFVSNSPKLFCPKNRSFDIDAIYSVIDDAKQYVYIAVMDYLPISSTSTKRTYWPD
+LDGKIREALVLRSVKVRLLISFWKETDPLTFNFISSLKAICTEIANCSLKVKFFDLEREN
+ACIRKEQRNHTFPRLNRNKYMVTDGAAYIGNFDWVGNDFTQNAGTGLVINQADVRNNTSI
+IKQLKDVFERDWYSPYARTLQPTKQPNCSSLFRLRLPSNKTAMYNASGKDP
+>tr|A0A3Q1LZZ9|A0A3Q1LZZ9_BOVIN Leucine rich repeats and calponin homology domain containing 3 OS=Bos taurus OX=9913 GN=LRCH3 PE=4 SV=1
+MAAAGLVAVAAAAEYSCPGASGGNLSAVNCGPNSGAGPGPGPGSWSRSLDRALEEAAVTG
+VLSLSGRKLREFPRGAANHDLTDTTRADLSRNRLSEIPIEACHFVSLENLNLYQNCIRYV
+PEAILNLQALTFLNISRNQLSTLPVHLCELPLKVLIASNNKLVSLPEEIGHLRHLTELDV
+SCNEIQTIPSQIGNLEALRDLNVRRNHLVRLPEELAELPLIRLDFSCNKITTIPVCYRNL
+RHLQMITLDNNPLQSPPAQICIKGKIHIFKYLNMQACKIAPDLPDYDRRPMGFGSCHEEL
+YSGRPYGALDSGFNSVDSGDKRWSGNEPTDEFSDLPLRVAEITKEQRLRRENQYQENHSS
+LVVTNGGVEHELDQIDYIDSCTAEEDEDEVRPHKGLDSDSLSSQFMAYIEQRRISHEGSP
+IKPIPMREFQKTEDMRRYSHQNRVPAEPSSLLSVSPSHNQTDLELHRRREQLVERTRREA
+QLAALQYEEEKIRTKQIQRDAVLDFVKQKASQSPQKQHTPLVGENDDRSNAVSNSPTTET
+AHHSPAYSFPAAIQRNQPQRPESFLFRGAVRTETNKGHASPLLSSSVPTTDLKDPVTRQN
+SRQREEELELIDQLRKHIEYRLKVSLPCDLGAALTDGVVLCHLANHVRPRSVPSIHVPSP
+AVPKLTMAKCRRNVENFLEACRKIGVPQEQLCLPLHILEEKGLSQVAMTVQALLELAPPK
+QQQQQHQLSAV
+>tr|A0A3Q1LHS4|A0A3Q1LHS4_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC112446047 PE=3 SV=1
+SLLRVPQRRAMQPPKNGNLSETPLLEFVLEGFEGGPQTQALLFALFLALYVVAVLGNLTM
+IVVITLDAHLHSPMYFFLKNLSFLDLCYSSIIAPKALANFLSSSKVITFKGCATQFFFFS
+MMGTTEAFLLAVMAYDRFMAICSPLLYPITMYPSVCACLVLGSYCGGCFNSILQTSFTFS
+LPFCSSNHINHFFCDVVPLLQLTCANTAINKLVMFGLCGLIIVGTTLMVLVSYGYITLTI
+LRMHSGGGRHKLFSTCGSHMTAVSLFYGTVFVMYAQPGAVQSMEQGKVVSVFYTLVIPML
+NPLIYSLRNKDVKDALRRLIQKHIAT
+>tr|G3MXK1|G3MXK1_BOVIN ITPRIP like 2 OS=Bos taurus OX=9913 GN=ITPRIPL2 PE=4 SV=1
+MSVHYTLNLRVFWPLVTGLCTALVCLYHVLRGNAGARTEPPDGADGGFPLLKVAVLLLLG
+YILLRCRHAVRQRFLPGPPRMGGHSTFSAKHFPEPSLDILLESYYEHEVRLSPHVLGHSK
+AHVSRIVGELVRAGRARGSPGPIPGGALALAFRGDFIQVGSAYEQHKIRRPDGFDVLVPL
+RLPPLVALEPRSLGSEPELAPAFHGCFVCALKAPPGASGGHWLRDCKPFSDGFCVDVRGR
+RLLSATLVLRWFQAHLQRSLATVRYSLEGRCRVSLTPGGLEQPPTLHILPCRTDYGCCRL
+SMAVRLIPAVHLGDSVFLVAPPPPPSPAGPLSELPEGLRADALWGLNTARQEQKLLSWLQ
+ERAPPGACYLKCLQLLKALRDLGARGLDPTAAAQWGRILSSYVLKSALLAVLQREGAPAP
+GWDEAHLGDRLEELVQFLRDCLLRRRTLFHCVLGPGGAATEVGPLPKVLREAAPVDLLAA
+FDRQVRELAAARLLSTWRRLPQLLRAYGGPRYLVRCPPPRSQRTQGFPEDEP
+>tr|A0A3Q1MKA3|A0A3Q1MKA3_BOVIN Caseinolytic mitochondrial matrix peptidase chaperone subunit OS=Bos taurus OX=9913 GN=CLPX PE=4 SV=1
+QPFHCPLRLSLSIPCRYHNNTLLDPSLYKHDSKLVLRNLRRDQAGEYFCKAQSDAGAAKS
+RIAQLTVIGISCSRIHIPVLGRLGTFETQLLRRAPFRAFTETPAYFASRDGISKDGSGDG
+NKKSASEGSSKKSGSGNSGKGGNQLRCPKCGDLCTHVETFVSSTRFVKCEKCHHFFVVLS
+EADSKKSIIKEPESAAEAVKLAFQQKPPPPPKKIYNYLDKYVVGQSFAKKVLSVAVYNHY
+KRIYNNIPANLRQQAEVEKQTSLTPRELEIRRREDEYRFTKLLQIAGISPHGNALGASVQ
+QQVNQQIPQEKRGGEVLDSSHDDIKLEKSNILLLGPTGSGKTLLAQTLAKCLDVPFAICD
+CTTLTQAGYVGEDIESVIAKLLQDANYNVEKAQQGIVFLDEVDKIGSVPGIHQLRDVGGE
+GVQQGLLKLLEGTIVNVPEKNSRKLRGETVQVDTTNILFVASGAFNGLDRIISRRKNEKY
+LGFGTPSNLGKGRRAAAAADLANRSGESNTHQDIEEKDRLLRHVEARDLIEFGMIPEFVG
+RLPVVVPLHSLDEKTLVQILTEPRNAVIPQYQALFSMDKCELNVTEDALKAIARLALERK
+TGARGLRSIMEKLLLEPMFEVPNSDIVCVEVDKEVVEGKKEPGYIRAPTKESSEEEYDSG
+VEEEGWPRQADAANS
+>tr|G3X6R1|G3X6R1_BOVIN Protein yippee-like OS=Bos taurus OX=9913 GN=YPEL3 PE=3 SV=2
+RPPPPPAAAAAAAGLGVNKSRRLRTRAGGDGAHQERPPLPGQATPADRIPARPGEAYLDD
+CHRRYSCAHCRAHLANHDDLISKSFQGSQGRAYLFNSVVNVGCGPAEERVLLTGLHAVAD
+IHCENCKTTLGWKYEQAFESSQKYKEGKYIIELNHMIKDNGWD
+>tr|A0A3Q1M6E1|A0A3Q1M6E1_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC785082 PE=3 SV=1
+MEGANQSVVSEFLFLGLTNSWEIQLLLFVFSSTFYVASMMGNSLIILTVTCDPHLHSPMY
+FLLANLSLIDLGVSSVTSPKMIYDLFRKHKVISFGGCIAQIFFIHVIGGVEMVLLIAMAF
+DRYVAICKPLHYLTIMSPRMCVFFIVAAWMIGLTHSMVQLAFVVKLPFCGPNVLDTFYCD
+LPRFIKLACIDTYQLKSMITANSGFISVGSFFILIISYIIIILTLQKQSSAGSSKALSTL
+SAHITVVVLFFGPLVFVYTWPSPSIPLDKFLAIFDAVLTPLLNPVIYTFRNQEMKVAMRR
+VCRQLVYQHLVGDSLSEN
+>tr|A0A3Q1M2F9|A0A3Q1M2F9_BOVIN CS domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MGLEEGPGQCISTLAVLKFTEEKTSTSRPLERLTHPLVSAPALFTIQPASPKWYNQRNYV
+FIEFCVEDSQDVNVNFENSKLTFSCLGGIDHFKHLNEIDLLHCIDPNNSKHKRTDRSILY
+LADMSNFNRFSEMMNNMGGDENVDLPEVHGAGDDSQDSDEKKMPDLE
+>tr|A0A3Q1MDM9|A0A3Q1MDM9_BOVIN Protein N-lysine methyltransferase METTL21A OS=Bos taurus OX=9913 GN=METTL21A PE=4 SV=1
+PFGRGRKETGSRLTALATTPHFPLRSHERANSLIRTSLCLEGTESWRGRDGPGALYGDRG
+DGAAEIPQASGHLLFCKPHDTDPAGLEATGSRSGGLGRGAHVTITDRKVALEFLKSNVQA
+NLPPHIQPKAVVKELTWGQNLGRFSPGEFDLILGADIIYLEETFTDLLQTLEHLCSNHSV
+VLLACRIRYERDYNFLAMLERQFTVSKVHYDSEKDVHIYKAQRRCLREDL
+>tr|F1MU85|F1MU85_BOVIN BRO1 domain and CAAX motif containing OS=Bos taurus OX=9913 GN=BROX PE=4 SV=2
+MTHWFHRNPLKATAPVSFNYYGVVTGPAASKICSDLRSSRARLLELFTDLSCNPEMMKNA
+ADSYFSLLQGFINSLDESTQESKLRYIQNFKWTDTLQGQVPSAQQDAVFELISMGFNVAL
+WYTKYASRLAGKENITEDEAKEVHRSLKIAAGIFKHLKESHIPKLITPAEKGRDLEARLI
+EAYIIQCQAEAQEVTIARAIELKHAPGLIAALAYETANFYQKADHALSSLEPAYSAKWRK
+YLHLKMCFYTAYAYCYHGQTLLASDKCGEAIRSLQEAEKFYAKAEALCKEYGETKGPGPT
+VKPSGHLFFRKLGNLVKNTLEKCQRENGFIYFQKVPTEAPQLELKANYGLVEPVPFEFPP
+PSAHWTPETLAAFDLTKRPKDDSAKPKPEEVVKPVKEPDIKPQKDTGCYIS
+>tr|F1MLT0|F1MLT0_BOVIN Bone morphogenetic protein 7 OS=Bos taurus OX=9913 GN=BMP7 PE=3 SV=1
+MHMRSLRAAAPHSFVALWAPLFLLRSALADFSLDNEVHSSFIHRRLRSQERREMQREILS
+ILGLPHRPRPHLQGKHNSAPMFMLDLYNAMAVEEGGGPDGQGFSYPYKAVFSTQGPPLAS
+LQDSHFLTDADMVMSFVNLVEHDKEFFHPRYHHREFRFDLSKIPEGEAVTAAEFRIYKDY
+IREHFHNETFRISVYQVLQEHLGRESDLFLLDSRTLWASEEGWLVFDITATSNHWVVNPR
+HNLGLQLSVETLDGQSINPKLAGLIGRQGPQNKQPFMVAFFKATEVHLRSTRSTGGKQRS
+QNRSKTPKNQEALRVANVAENSSSDQRQACKKHELYVSFRDLGWQDWIIAPEGYAAYYCE
+GECAFPLNSYMNATNHAIVQTLVHFINPETVPKPCCAPTQLNAISVLYFDDSSNVILKKY
+RNMVVRACGCH
+>tr|A0A3Q1MIV2|A0A3Q1MIV2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=ADGRB3 PE=3 SV=1
+RQSIIYIYASLHSECLKRSDEHRKWLPALWRLLVPPPLPPFLAEGWTESVPVSAPPALDS
+SLHAAPPPACFLQTLSLCLTGDASKLRPIQQQVDFFFSFFLYGNYSRRFSQLNCICFFTE
+LAVRRIYPDLPPTLCRNDSLGTWSTQGCKTVLTDASHTKCLCDRLSTFAILAQQPREIIM
+ESSSTPSVTLIVGSGLSCLALVTLAVVYAALWRYIRSERSIILINFCLSIISSNILILVG
+QTQTHNKSICTTTTAFLHFFFLASFCWVLTEAWQSYMAVTGKIRTRLIRKRFLCLGWGLP
+ALVVATSVGFTRTKGYGTDHYCWLSLEGGLLYAFVGPAAAVVLVNMVIGILVFNKLVSRD
+GILDKKLKHRAGASLWSSCVVLPLLALTWMSAVLAMTDKRSILFQILFAVFDSLQGFVIV
+MVHCILRREVQDAFRCRLRNCQDPINADSSSSFPNGHAQIMTDFEKDVDIACRSVLHKDI
+GPCRAATITGTLSRISLNDDEEEKGTNTEALSYSTLPGNVISKVIIQQPTGLHMPMGMNE
+LGNQCLKKENSELRRTVYLCTDDNLRGADMDIVHPQERMMESDYIVMPRSSVNTQPSMKE
+ESKMNIGMETLPHERLLHYKVNPEFNMNPPVMDQFNMNLEQHLAPQEHMQNLPFEPRTAV
+KNFMASELDENAGLSRSETGSTISMSSLEPSYFLLQKVMHTRKRHMELFQELNQKFQTLD
+RFRDIPNTSSLENPAPNKNPWDTFKNPSEYQHYTTINVLDTEAKDALELRPAEWEKCLSL
+PLDVQEGDFQTEV
+>tr|A0A3Q1M4H6|A0A3Q1M4H6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+VCAFLQGAFLDFCCIREAQGQVRVRRRPLWLQDMYRPLNATRKKNMAQKLHDKESSEEDE
+IFNKNAG
+>tr|G3N176|G3N176_BOVIN Desumoylating isopeptidase 1 OS=Bos taurus OX=9913 GN=DESI1 PE=4 SV=1
+MEPPNLYPVKLYVYDLSKGLARRLSPIMLGKQLEGIWHTSIVVHKDEFFFGSGGISSCPP
+GRTLLGPPDSVVDVGSTEVTEEIFLEYLSSLGESLFRSEAYNIFENNCNTFSNEVAQFLT
+GRKIPSYITDLPSEILSTPFGQALRPFLDSIAIQPPGGSPVGRPNGQS
+>tr|A0A3Q1M799|A0A3Q1M799_BOVIN Kinesin family member 13B OS=Bos taurus OX=9913 GN=KIF13B PE=3 SV=1
+GRKIDLHTKCVVDVDANKVILNPVNTDLSKGDVFAYDHCFWSMDESVRDKYAGQDDVFKC
+LGENILQNAFDGYNACVFAYGQTGSGKSYTMMGTADQPGLIPRLCSGLFERTQKEENEEQ
+SFKVEVSYMEIYNEKVRDLLDPKGSRQTLKVREHSVLGPYVDGLSKLAVTSYKDIESLMS
+EGNKSRTVAATNMNEESSRSHAVFKITLTHTLYDVKSGTSGEKVGKLSLVDLAGSERATK
+TGAAGDRLKEGSNINKSLTTLGLVISALADQGAGKSKNKFVPYRDSVLTWLLKDSLGGNS
+KTAMVATVSPAADNYDETLSTLRYADRAKHIVNHAVVNEDPNARIIRDLREEVEKLREQL
+TKAEAMKSPELKDRLEESEKLIQEMTVTWEEKLRKTEEIAQERQKQLESLGISLQSSGIK
+VGDDKCFLVNLNADPALNELLVYYLKEHTLIGSANSQDIQLCGMGILPEHCIIDITPEGQ
+VMLTPQKNTRTFVNGSSVSSPIQLHHGDRILWGNNHFFRLNLPKKKKNADRDDEEQDISM
+KNDTSSEQLDVDGDSSSEVSSEINFNYEYAQMEVTMKALGSNDPMQSILNSLEQQHEEEK
+RSALERQRLMYEHELEQLRRRLSPEKQSGRTSDRFSFHSPSAQQRLRQWTEEREATLNNS
+LMRLREQIVKANLLVREASYIAEELDKRTEYKVTLQIPASSLDANRKRGSLLSEPAIQVR
+RKGKGKQIWSLEKLDNRLLDMRDLYQEWKECEEDTPVIRSYFRRADPFYDEQENHSLIGV
+ANVFLESLFYDVKLQYAVPIINQKGEVAGRLHVEVMRLSGDVGERIAGGDEPVDASSDKE
+PQENRLVCMVKILQATGLPQHLSHFVFCKYDFWDQQEPVTVAPEVDTSSSPVSKEPQCMV
+VFDHCSEFSVSITEDFIEHLSEGALAIEVYGHKMNDPRKNPALWDLGIIQAKTRSLRDRW
+SEVTRKVEFWVQILEQNENGEYCPVEVIPAKDVPTGGIFQLRQGQSRRVQVEVKSVQESG
+TLPLMEERILSVGIGCVRVRAPRSPKTHETVHEEEEDMDSYQDRDLERLRRKWLNALTKR
+QEYLDQQLQKLVSKHDKTEDDADREAQLLEMRLTLTEERNAVMVPSAGSGIPGAPAEWTP
+VPGMETHIPVIFLDLNADDFSSQDNLDDPEAGGWDATLTGEEEDEFFELQIVRQHDGEVK
+AEASWDSAVHGCPQLSKGTPVDERVFLIVRVTVQLSHPADMQLVLRKRICVNVHGRQGFA
+QSLLKKMSHRSSIPGCGVTFEIVSNIPEDAQGVEERETLARMAANVENTASADSEAYIEK
+YLRSVLAVENLLTLDRLRQEVAVKEQLTGKGKLSRRSISSPNVNRLSGSRQDLIPPSSLG
+SNKGRWESQQDVSQIAVSKGITPALRSPQSNHSPDPGHLAASYLNPVKSLVPQMPKLLKS
+LFPVRDEKRGKQLSPLVHQVKALGGRTCSQRVAGPAQQENGGLRVAPSMPVALPATPAPR
+TCDGPPRVTPTSPAPEGGDGPPSPLSEASSGYFSHSVSTATLSDACGPGLDAMASTPPGP
+GLAALEPPAASVEGKTVGSGAALAPAGASPPAPTSPFRIKKVRTSELKSFTRMLGGDPGG
+PLGTAEDPLASRDLGDGSAGAQALGKLEVSSDSEEASEVPEWLKEGEYVTVGTNKTGIVR
+YVGPTDFQEGTWVGVELDLPSGKNDGSIGGKQYFKCNPGYGLLVRPGRVRRAAGAGRRRS
+AGLRLQGAPEPRRSGPLSSSATNLASLTAALAKTEGTALRAERGHGNPENRKSWAS
+>tr|F1MNI5|F1MNI5_BOVIN Prostaglandin G/H synthase 2 OS=Bos taurus OX=9913 GN=PTGS2 PE=4 SV=1
+MLARALLLCAAVALSGAANPCCSHPCQNRGVCMSVGFDQYKCDCTRTGFYGENCTTPEFL
+TRIKLLLKPTPNTVHYILTHFKGVWNIVNKISFLRNMIMRYVLTSRSHLIESPPTYNVHY
+SYKSWEAFSNLSYYTRALPPVPDDCPTPMGVKGRKELPDSKEVVKKVLLRRKFIPDPQGT
+NLMFAFFAQHFTHQFFKTDFERGPAFTKGKNHGVDLSHIYGESLERQHKLRLFKDGKMKY
+QMINGEMYPPTVKDTQVEMIYPPHVPEHLKFAVGQEVFGLVPGLMMYATIWLREHNRVCD
+VLKQEHPEWGDEQLFQTSRLILIGETIKIVIEDYVQHLSGYHFKLKFDPELLFNQQFQYQ
+NRIAAEFNTLYHWHPLLPDVFQIDGQEYNYQQFIYNNSVLLEHGLTQFVESFTRQRAGRV
+AGGRNLPVAVEKVSKASIDQSREMKYQSFNEYRKRFLLKPYESFEELTGEKEMAAELEAL
+YGDIDAMEFYPALLVEKPRPDAIFGETMVEAGAPFSLKGLMGNPICSPEYWKPSTFGGEV
+GFKIINTASIQSLICSNVKGCPFTSFSVQDTHLTKTVTINASSSHSGLDDINPTVLLKER
+STEL
+>tr|G3N2X6|G3N2X6_BOVIN G protein-coupled receptor 45 OS=Bos taurus OX=9913 GN=GPR45 PE=4 SV=1
+MACNQSSIETYEYLLPNGSHEGDSRVPPPPATLRMSLAVLMMLMVVVGFLGNAVVCIIVY
+QRPTMRSAINLLLATLAFSDIMLCLCCMPFTAVTLVTVHWHFGDSFCRLSAALYWFFVLE
+GVSILLIVSVDRFLIIAQRQDRLNPRRAKVIIAASWALSFCVSAPALAGWTLVEVPARAP
+QCVLGYTELPAGRAYVLTLVGAAFFIPFAVMLGAHLGVLHAVRKNAVRVHNQSERLDLRR
+LPRACLRRLRRQQQQASLDLSFKTKAFTTILILFVGFSLCWLPHSVYSLLSVFSRRFYCG
+PSFYATSSCVLGLSYLKSVFNPIVYCWRIKKFREACLELLPPTFQILPKVPERIRRRIQP
+STVYACTENQSAV
+>tr|A0A3Q1N6F8|A0A3Q1N6F8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=CFAP54 PE=4 SV=1
+MEDIDVVMVAEITLRLSEILESLGNPRRKFKKFLDVSLKRGTSEIFGGSKGSIDILPIIK
+KKPEEQLFMAYELLDKAIDGINLNCMLTALPNGSSVIDHCYATHNHYIDGDMCKPVTPNN
+FIMDLHLELIQAQHRIAVVLLDQLQVLQTPTVSKNTSTKGTEKLKKPASPDCFTELSVMN
+KIRKNKLSKAIYLMQKTLLIFEKDATCSSLQNYLTEAYSLIEKTESEQSIQYSYQKYVET
+AKRKKSRVPPPPILLSRTYRSVTLKPAPFVSDVKVCWYCILGRKAEGSYGKVRLNDNHLP
+NSGEAIPADGKSVFEVKGLETNEKYVFAVAAYCSKGKLIGDAIGETTKPILVYPPLSAVT
+TRMLLTQVAYQTGNYDLAKKVFSPVWDYFVASPPQGDQSIICLSNIMTITQRRLHSDILA
+ESSSILLYLFLRNIFVTSDIKIKEENFFCDNIKGNEIFPSQQIARLIECERVLVALELSN
+YLNDSSYALQAVTQCYGLLAPIIYHNIVLVPVVQILIKCVVVLQGLPNVIHSKKHLASFE
+SVQHMIACCIFYITKILRSWKEYDLAVMIINYGKKVLDISSGCKSLFGTTDLEEMQEEGS
+SKKSCKNKKPQQVLVPEKINEQLVLLETHLLKLTKQYITTELSGAEDPIFLYPIVLNWSV
+KGAVKEVMKFKQRPRFLEFFTQVMQKCMHDEKFQPMVEITNPVYDFLKRRNESLLGIKKL
+KYKDTLSKKVIKPVKKYRAAVVEIGKTTEMKQKKKAKRKETLREFLNKNPFISEMPEHER
+NKRADVRKVAHRFLVENLNPLILSYVKKKRFHQIFLEEMPWKAQMNLYLASAHFNLLLTK
+LGERTKIKFGSSPIMVSFRSCDPTMFSLYNSGTVLPTGRMTLENYKVMLDFLLTAKKRKA
+HLPSDAEEFLAFLNSKMSGENVSKTQTVYESDSQSGLSSKEKDRSANVGLLDHFMKIFLY
+CRRAMVLAHRGGYWTLLQNCCRALWNFTQELQILHKQAVDLYKTFPISQDSFLCISVLPF
+YLGAELLIDMLIELQNTDSIKTIDEKGEFSVPSCYGNIKNDNGGSSLTFEHPLDDVNVVD
+LKWIHDFVLKSLELVYQVEKWETLVSLAIQFNAVSHERYTEQVTPLLVYAQRQLLLQISK
+CKGPDISQQACVRYETEYKQKITCRNFIGIQLKINPPTNKGTAIGQRADVLRKLIYSEYS
+RAKELLCVPVDVTDTLRCFRETLEKSKYHNRSIRHSRKLLSLFLAQTQGDKGGVNNLKFA
+TGKVEFSMGTEEMHMPTPPDLSQEHFRVFSSVEKSKLPSSQLGLVISSYYETINVLQTSN
+QRSLHVQALHELGNLLIFAQKKRAAFKCWSQALDEIFRKEDVLHTWKEIGSSLTSATDGW
+SPPGSKDYSEELLSKGGIWGCLQGAVISAKIAQFIQTLDVEKRTNCCLLSALLFQGLLRT
+TLPHPKAERCYAQYEITQLLPGIELFSDRYRADICSVIASLYYVIRELHFAKQNLVILPL
+LALYQYFVSVICQDLVKNLEARILKIEVLTDLGFFSEAFHELSQIFYAKNTPSSVPTSCK
+PTGKMKLFQSFDSGKPLISKENLQALDDLINKGMPVILTTVSQQHLLNKFLVVKAYFFIN
+VAATINCVPEQTMKPVGHGVMNEKSKPNLPNLKEMCLKDEGGSLCHLTKLKENFALSTLK
+SILLTEAEDRLNTLLSEMDHLSHKDLSQCSAGELEIVVEVRLQLAAIALQRHRAAYSAAI
+VFSTLKLLQDSKLFKKKVVEDDSENCTSSESYATESKDDNFLDPISLNSREYFNIHLWLR
+CRLALVTAFVAQIRGIGIVKENDITDYVSLINEVCVEAKAAGDRELQAEFLMQAVIIGLQ
+EKHLKADIIKSLQEVIQLLEGHDFISPRSHLTLVRSMLLLDDLTKAEKFKETPFSKIEKL
+YLLTQSHNILIEQMITFGETIELPSSNTDYASPLLPLKNIYLPHVMLLAKTKMRIGHTVA
+KQVYYTSKKKDSSKWLPALHFFEIALKLARTSGTEEHEVEAEIFFQKGKIECQILMEEKP
+PTMQLESLFEAIQLSLRNDQNSGLIRDSYLEIALLFLHMRKLKSKLSVSPLMSIKALPRR
+HSSIKEPVVNKHEMYSLFAWIAIRAAAQVSEAVLAINLLIGKKNARTDTVSQGALSNIPE
+FASMDLLSSYTDYLLDNYQVVFQTGCTFSYVSEEIHEDVDSQKKNPIKVDITWILLLRYY
+IHLQRINNMSKLLASLKPGSGMSLPDDTLLTSLFNSGLILRQKEMHFFLKRFLQLYSSSC
+IDEFPKELFQVMEHPPVLEKVLYDSASKTGVTNASLCSDLSLKTVSSTLYGDVVPPVVAT
+QALNKELCFQWYIPPLEKPPKETEPMVLLLYAYNMKPLNISDVASAACNCVYVGSFWVPL
+KRVISVHEKLSNLTQIAELSLPTTPKVTSNENLHEVEETEEKSIDAEMENMIIVCCNEIV
+SLFLGDEMATPLSEVPFDVSLPSIFSLERLFDLASGCIVSGGSLFNWVVSIIP
+>tr|A0A3Q1MRW8|A0A3Q1MRW8_BOVIN Neurexophilin and PC-esterase domain family member 2 OS=Bos taurus OX=9913 GN=NXPE2 PE=4 SV=1
+LVGVVGPRQLLEFLSGSSQYQIVPVVLSYMYSLLSLRNSISLNHWNIFRNSTYLEAPPNP
+EISPTETELRIQRIMEKLDQLVPPRPFTNVSSTTSATHSRATVLSPRDTYCRGDRLDVLL
+EMRDHLGRRKEYGGDFLRARIFSPGLKAGASGKVTDFSNGTYLVSFTLFWEGQVSLSVLL
+IHPSEGVSALWRARNQGYDRVNFTGQFANGPSPVFSKCGLTLHTSAELCEYLDDRDQEAF
+YCLKPPRVPCEALTHVTTRSANISYIRQKEWALFHRSNVGVEMMKNFASIKVLACGSNKN
+IEAKCRLGMKSPFPSGYTVKRKWIAAFCKQIELNETKTINDCLKRKLIYLMGDSTLRQWI
+QYLPKMVKTLKDFDLHGVGPFKTHMLLDAERHTLIQWKKHGHPFVTQSLFSVKDDNYIPR
+EIDRIAGDKDTAIVITLGQHLRPFPIKIFIRRAINVQKAIERLFLRSPETKVILKTENTR
+RMFDNAEMFSDFHGYIQNLIMRDIFMDLNVGIIDAWDMTIADSTNNIHPPDYVIENQINM
+FLNYIC
+>tr|A0A3Q1LPX5|A0A3Q1LPX5_BOVIN Embryonal Fyn-associated substrate OS=Bos taurus OX=9913 GN=EFS PE=4 SV=1
+TDCPKSPSSKAAEPGLKTQAQLARALYDNTAESPQELSFRRGDVLRVLQREGAGGLDGWC
+LCSLHGQQGIVPANRVKLLPAGPAPKPGLSQVPPTQPGSPHPAPEHGSEDQELYVVPPPA
+RLCPTSRPPTGPCPPSPDPIYKVPRGGGTQLAAPGAALEVYDVPPTALRVPSNGPYDSPA
+SFARPLAQVAPQSLGEDEAPYDVPLAPKSPSDLELDLEWEGGREPGPPLYAAPSNLKRAS
+ALLNLYEAPEELLADGEGGGADEGIYDVPLLGPETPPSPEPLGASASSDLDTLALLLARS
+PPPPHRPRLPSAESLSRRPLPALPVPEAPSPSPAPSPAPGRKGSIQDRPLPPPPPRLPGY
+GGPKVEGDPEGREVEDHPAGHHNEYEGIPVAEEYDYVHLKGMDKVQEARPPDKASPGDPE
+QLEREPPEQQEALSPGESLVLPTGDLQLLHFYAGQCQGHYSTLQAAVAALMSSTQAKQPP
+HLFVPHGKRALVAAHRLVFVGDTLGRLAASAPLRAQVGAAGTALGQALRATVLAIKGAAL
+GYPSSPAAEEMAQCVADLAGQALQFTTLLTSLAP
+>tr|A0A3Q1LWK6|A0A3Q1LWK6_BOVIN Phosphodiesterase OS=Bos taurus OX=9913 GN=PDE1C PE=3 SV=1
+FCMMEIHKLRSLVKQLERGEASVVDLKKNLEYAASVLESVYIDETRRLLDTEDELSDIQS
+DAVPSEVRDWLASTFTRQMGMMLRRSEEKPRFKSIVHAVQAGIFVERMYRRTSNMVGLSY
+PPAVIEALKDVDKWSFDVFSLNEASGDHALKFIFYELLTRYDLISRFKIPISALVSFVEA
+LEVGYSKHKNPYHNLMHAADVTQTVHYLLYKTGVANWLTELEIFAIIFSAAIHDYEHTGT
+TNNFHIQTRSDPAILYNDRSVLENHHLSAAYRLLQEDEEMNILINLSKDDWREFRTLVIE
+MVMATDMSCHFQQIKAMKTALQQPEAIEKPKALSLMLHTADISHPAKAWELHHRWTMSLL
+EEFFRQGDREAELGLPFSPLCDRKSTMVAQSQVGFIDFIVEPTFTVLTDMTEKIVSPLID
+EPSQTGGTGQRRSSLNNISSTDAKRSGVKSSGSEGSAPINNPVIPVDYKSFKATWTEVVH
+INRERWRAKVPKGKMMGNLVHTTQTHSPSKMLPPLI
+>tr|F1N592|F1N592_BOVIN Kelch like family member 25 OS=Bos taurus OX=9913 GN=KLHL25 PE=4 SV=2
+MSVSVHETRKSRSSTGSMNITLFHKASHPDCVLAHLNTLRKHRMFTDVTLWAGDRAFPCH
+RAVLAASSRYFEAMFSHGLRESHDDTVNFQDNLHPEVLELLLDFAYSSRIVINEENAESL
+LEAGDMLQFHDVRDAAAEFLEKNLFPSNCLGMMLLSDAHQCRRLYEFSWRMCLVHFEAVR
+QSDDFNSLSKDTLLDLVSSDELEAEDERVVFEAVLQWVRHDLEQRKAHLPELLRGVRLAL
+LPSDCLKEAASGDALLMADERTRLLVDEALRCKTRILQNDGVVTSPCARPRRAGHTLLIL
+GGQTFMCDKIYQVDHKAKEIIPKADLPSPRKEFSASAIGCKVYVTGGRGSENGVSKDVWV
+YNTVHEEWSKAAPMLIARFGHGSAELENCLYVVGGHTSLAGVFPASPSVSLKQVEKYDPG
+ANKWTMVAPLRDGVSNAAVVSAKLKLFVFGGTSIHRDMVSKVQCYDPSENRWSIKAECPQ
+PWRYTAAAVLGSQIFIMGGDTEFTAASAYRFDCESNQWTRIGDMTAKRMSCHALASGNKL
+YVVGGYFGTQRCKTLDCYDPTSDTWNCVTTVPYSLIPTAFVSTWKHLPA
+>tr|E1BB83|E1BB83_BOVIN CLLAC domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=2
+MKRKQRDQWIFLYSYYKDQIINGINLSCNICYHCVLAEMFTFHDPVQATAKPRSPWKTFL
+LCLLACLIATALVVLLFYFVHLGKPAAGTTIVIHADGKSNHVACIPGAAPSPGPSSLPVS
+QSTLPPTPGTNHSSSTPGLPTPTETTMSSTLVHEVEIEDEE
+>tr|E1BN86|E1BN86_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC104968488 PE=3 SV=2
+MSNVTEFILLGLTQNPGLQTLLFAVFLIIYLITLAGNLLIPITVFTSPALGSPMYYFLSY
+LSMIDAFYSSSIAPKLVFDLVSGKNTISFSGCMTQVFAEHFFAGAEIVLLAVMAYDRYVA
+ICKPLHYMTIMSRPVCVLLVGMAGALGFLHGGIQILFMVQLPFCGPNVIDHFMCDLIPLL
+ELACMDTHSLGPLISSNSGSLCLLTFLMLVASYVVILRSLRTHSSEGRRKALSTCASHVT
+VVILFFVPCSFLYLRPTTSFSIDKAVTVFCTIVTPMLNPLIYTLRNAEVKNVMKKLWDK
+>tr|A0A3Q1LT45|A0A3Q1LT45_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+PACTPGMKKAEGDAKEDKAKVKDDPQRRSARLSTKPAPPMPESKPKKDPARKGPKLKKRK
+ADKDGNQFSSPADNGDAQIDQAWKADGAGDARGSVCISDNCVLLLIVQLEILFFKSSFIK
+MQNFILLFFKLGC
+>tr|A0A3Q1M9V8|A0A3Q1M9V8_BOVIN WD repeat and SOCS box containing 1 OS=Bos taurus OX=9913 GN=WSB1 PE=4 SV=1
+MASFPPRVNEKEIVRSRTIGELLAPAAPFDKKCGRENWTVAFAPDGSYFAWSQGHRTVKL
+VPWSQCLKNFLLHGTKNITNSSSLRLSRQNSDGGQKNKPREHIIDCGDIVWSLAFGSSVP
+EKQSRCVNIEWHRFRFGQDQLLLATGLNNGRIKIWDVYTGKLLLNLVDHTEVVRDLTFAP
+DGSLILVSASRDKTLRVWDLKDDGNMMKVLRGHQNWVYSCAFSPDSSMLCSVGASKAVVA
+AILV
+>tr|G1K1S9|G1K1S9_BOVIN NADH dehydrogenase [ubiquinone] 1 beta subcomplex subunit 4 OS=Bos taurus OX=9913 GN=NDUFB4 PE=4 SV=2
+MSFRKYEASRLSSLPTTLDPAEYDISSETRKAQAERLAIRSRLKREYQLQYYDPSRRGVI
+EDPALVRWTYARSANIYPNFRPNTKTSLLGALFGIGPLVFWYYVFKTDRDRKEKLIQEGK
+LDRTFNISY
+>tr|A0A3Q1LJW9|A0A3Q1LJW9_BOVIN Tr-type G domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MGKEKTHINIVVIGHVDSGKSTTTGHLIYKCGGIDKRTIEKFEKEAAEMGKGSFKYAWVL
+DKLKAERERGITIDISLWKFETSKYYQWTRRQLELARSPSLPRKLRRLNEYYPQHLPPQS
+>tr|A0A3Q1MB77|A0A3Q1MB77_BOVIN Transforming acidic coiled-coil containing protein 1 OS=Bos taurus OX=9913 GN=TACC1 PE=4 SV=1
+MTAGAALEADPGAGSPRPEPGAGRSRLRKPRPVPLRKKALGSELSEATPALEDMRPVGED
+GKAGSQKCAPEMMETPGGDAHDSGAEQPEDARSPPLQCEFDFAEDTETVESRRALPRKPG
+RKPGSRVMPQVHGAKAPPEPGPGASPTLRPRGGPLARQHSPPLSAQGSYRFDPDLFDESS
+DPFKPSMTFASGDFDSPAGNQVNEILESPKKAKSRLITSGCKVKKYEAQSLALDGCSQDE
+GAVISQISDISNRDGHATDEEKLASTSSVQKPTGAEGKGSEKDPCQKMEKDGSTVPALLE
+SPVEKTPVSVACGVESPLDGICLSESDKTAVLTLIREEIITKEIEANEWKKKYEETRQEV
+LEMRKIVAEYEKTIAQMIEDEQRTSMSSQKSFQQLTMEKEQALADLNSVERSLSDLFRRY
+ENLKGVLEGFKKNEEALKKCAQDYLARVKQEEQRYQALKIHAEEKLDKANEEIAQVRTKA
+KAESAALHAGLRKEQMKVESLERALQQKVRGVGCHAQETFGFPPPPWRPHLGSG
+>tr|A0A3Q1M7Q8|A0A3Q1M7Q8_BOVIN Glutamate ionotropic receptor kainate type subunit 2 OS=Bos taurus OX=9913 GN=GRIK2 PE=3 SV=1
+MGAEELAFRFAVNTINRNRTLLPNTTLTYDTQKINLYDSFEASKKACDQLSLGVAAIFGP
+SHSSSANAVQSICNALGVPHIQTRWKHQVSDNKDSFYVSLYPDFSSLSRAILDLVQFFKW
+KTVTVVYDDSTGLIRLQELIKAPSRYNLRLKIRQLPADTKDAKPLLKEMKRGKEFHVIFD
+CSHEMAAGILKQALAMGMMTEYYHYIFTTLDLFALDVEPYRYSGVNMTGFRILNTENTQV
+SSIIEKWSMERLQAPPKPDSGLLDGFMTTDAALMYDAVHVVSVGVQQFPQMTVSSLQCNR
+HKPWRFGTRFMSLIKEAHWEGLTGRITFNKTNGLRTDFDLDVISLKEEGLEKIGTWDPAS
+GLNMTESQKGKPANITDSLSNRSLIVTTILEEPYVLFKKSDKPLYGNDRFEGYCIDLLRE
+LSTILGFTYEIRLVEDGKYGAQDDANGQWNGMVRELIDHKADLAVAPLAITYVREKVIDF
+SKPFMTLGISILYRKPNGTNPGVFSFLNPLSPDIWMYILLAYLGVSCVLFVIARFSPYEW
+YNPHPCNPDSDVVENNFTLLNSFWFGVGALMQQGSELMPKALSTRIVGGIWWFFTLIIIS
+SYTANLAAFLTVERMESPIDSADDLAKQTKIEYGAVEDGATMTFFKKSKISTYDKMWAFM
+SSRRQSVLVKSNEEGIQRVLTSDYAFLMESTTIEFVTQRNCNLTQIGGLIDSKGYGVGTP
+MGSPYRDKITIAILQLQEEGKLHMMKEKWWRGNGCPEEESKEASALGVQNIGGIFIVLAA
+GLVLSVFVAVGEFLYKSKKNAQLEKRTKTKLPQDYVFLPLLESDFSISTVLSSSPSSSSF
+SSCS
+>tr|A0A3Q1M6L0|A0A3Q1M6L0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=PALM2 PE=1 SV=1
+MIATWMFFSLFKKPPELSEDDTRLKHERDNCSAKALESITSSLPPDHKNMEIEVSVAECK
+SVTGITSTPHSMDHPSPFYSTPHNGLLADHHESLDNDVAREIRYLDEVLEANCCDSAVDG
+TYNGTSSPEPGAAILAGSPSPPTPAAVQPEVTETAAGRQAPPHLELHQSDSDTMAEGRRA
+NGHPPEQPRDVLGDSLQVPVSPSSSTSSRCSSRDGEVTLTTLKKEAKFELRAFHEDKKPS
+KLFEDDESEKEQYRVRKVRPSEEMLELEKERRELIRSQAVKKNPGIAAKWWNPPQEKTIE
+EQLDEEHLESHKKYKERKERRAQQEQLLMQQQQQQPPPQLCTAPAPSREHPSVTDHPKED
+IVTEQIDFSAARKQFQQMENSRQTVARGQSTPRLFSIKPFYKPLGSINSDKTLTISRPAS
+VGAPPEDSSAAKGQKAHCAPESQSSGGGGQGSTAPQGKEGPYSEPSKRGPLSKLWAEDGE
+FTSARAVLTVVKDDDPGILDQFSRSVNVSLTQEELDSGLDELSVRSQDTTVLETLSNDFS
+MDNISDSGASNETTNALQENSLADFSLPQTPQTDNPSEGRGEGVSKSFSDHGFYSPLSTL
+GDSASVDDPLEYQAGLLVQNAIQQAIAEQIDRAGSETTKGGAEQQGPQVSQEKAGPRAPS
+SEKPQSMFEPPQVSSPVQEKRDVLPKILSTEDRVLRERGPSQPLPAVQPSGPINMEETRP
+EGSYFSKYSEAAELRSTASLLATQESDVMVGPFKLRSRKQRTLSMIEEEIPSSPGKGRGA
+EAAEASLAEYPEPQGKECPITALPSIVLQNCSRSLRPHGLIEERAHWLCAGRRGSMPTKR
+KKTMNNQLPSTQEASLVLGLPRNQEINSQSILIEYLLKCKRTQQSLCRPEVIIYSEKAVH
+PPNSNSWESKGKGLCVCVCV
+>tr|G3N3S1|G3N3S1_BOVIN GS homeobox 2 OS=Bos taurus OX=9913 GN=GSX2 PE=4 SV=1
+MSRSFYVDSLIIKDSSRPAPSLPEPHPGPDFFIPLGMPSPLVMSMSGPGCASRKSGAFCV
+CPLCVTSHLHSSRGPASSGGGNAGAGTAGAAGGGAAGAAGALPLLKSQFSSGPGDAQFCP
+RVSHAHHHHHPPQHHHHHHQPQQPGSAAAAAAAAAAAAAAAAALGHPQHHAPVCTATTYN
+VADPRRFHCLTMGGSDTSQVPNGKRMRTAFTSTQLLELEREFSSNMYLSRLRRIEIATYL
+NLSEKQVKIWFQNRRVKHKKEGKGTQRNSHAGCKCVGSQAHFARSEDEDSLSPASANDDK
+EISPL
+>tr|G1K1R6|G1K1R6_BOVIN Galactokinase OS=Bos taurus OX=9913 GN=GALK1 PE=1 SV=2
+MAASEQPQAGELLAKARRAFLEEFGAEPELAVSAPGRVNLIGEHTDYNRGLVLPMSLELV
+TVLVGSPRVDGLVSLLTTSEDADEPRRLQFPLPTSQRPLEPGTPHWANYVKGVIQHYPAA
+PLPGFSAVVVSSVPLGGGLSSSASLEVATYTFLQQLCPDSGTIAARAQVCQRAEHSFAGV
+PCGIMDQLIALLGQRGHALLIDCRSLETSLVPLSDPKLAVLITNSNVRHSLGSSEYPLRR
+RQCEEVARALGKESLREVQLEELEAGRDLMSTEAFRRARHVVGEIQRTAQAAAALRRGDY
+RAFGRLMVESHHSLRDDYEVSCPELDQLVEAALSAPGVYGSRMTGGGFGGCTVTLLEASA
+APRVMQHIQEQYHGTATFYLSQAADGAKVLHF
+>tr|A0A3Q1M6L6|A0A3Q1M6L6_BOVIN Protein Mdm4 OS=Bos taurus OX=9913 GN=MDM4 PE=3 SV=1
+MTSFSTSAPCSAPDSARRISPEQTNQVRPKLPLLKILQAAGAQGEMFTVKEVMHYLGQYI
+MVKQLYDQQEQHMVYCGGDLLGELLGRQSFSVKDPRFSFCFTCYPDAAQTLAIAQEHSMD
+IPSQDHLKQSVEESSNSRKRTEEGNIPTLPTSQYKCKNSREDEDLVANLTQEETSRLDLG
+FEEWDVAGLPWWFLGNLRNNYTPRSNGSTDLQTNQDIGTAIVSDTTDDLWFLNESVSEQF
+GVGKKVEAADPEQTSEEVGKLIDKKVTEVGKNDDLEDPKSISDDTDIEVTSEDEWQCTEC
+KKFNSPSKRYCFRCWALRKDWYSDCSKLTHSLSTSDITAIPEKQESEGVDVPDCRRTVSA
+PVVRPKDTYVKEESSKHFDPCNSVEFLDLAHSSESQETISSMGEQSDNLFEQRKDTENME
+DCQNLLKPCSLCEKRPRNGNIIHGRTGHLVTCFHCARRLKKAGASCPICKKEIQLVIKVF
+VA
+>tr|A0A3Q1MA06|A0A3Q1MA06_BOVIN Protein NDRG1 OS=Bos taurus OX=9913 GN=NDRG1 PE=4 SV=1
+MSRELQDVDLAEVKPLVEKGETITGLLQEFDVQEQDIETLHGSIHVTLCGTPKGNRPVIL
+TYHDIGMNHKTCYNPLFNSEDMQEITQHFAVCHVDAPGQQDGAASFPTGYMYPSMDQLAE
+MLPGVLQQFGLKSIIGMGTGAGAYILTRFALNNPEMVEGLVLINVNPCAEGWMDWAASKI
+SGWTQALPDMVVSHLFGKEEMQNNVEVVHAYRHHVMNDMNPGNLQLFINAYNSRRDLEIE
+RPMPGAHTVTLQCPALLVVGDSSPAVDAVPAKLAEAFKYFVQGMGYMPSASMTRLMRSRT
+ASGSSVTSLEGARSRSHTSEGTRSRSHTSEGTRLDIIPNSGGPGSSAGPNSTEVSC
+>tr|G3N3Q7|G3N3Q7_BOVIN Chromosome 28 C10orf105 homolog OS=Bos taurus OX=9913 GN=C28H10orf105 PE=4 SV=1
+MNTEGPSPLAFLTAPATPGRLSEAVDPIPVLIALACIFLLLATCLLFMTLCKPRALDPSR
+RRAHECMPHHPGSPSEPQLRLWKRLGSLRRSLHSFRRGRPAPRRPLPAREDNHDYDCTES
+TKM
+>tr|G3N272|G3N272_BOVIN Alpha kinase 1 OS=Bos taurus OX=9913 GN=ALPK1 PE=4 SV=2
+MNNQKAVATLLQECKQVLDQLLLEASDVSEEDKREDQRCRASLPSELRTLIQEAKEMKWP
+FVPEKWQYKQAVGPEDKTNLQDVIGASLQQLLASLKASILARDCATAAAIVFLSDRLLYG
+LDVSGQLLQVAKALHRLQPATPIAPQVVIRQARISMHAGKLLKAEYILSSLISNNGATGM
+WYEAAELIWASIMGYLTLPQPDKKGISTSLGILADIFVSMSKKDYEKFKSNPDINLGLLK
+EFDHHLLSAAEACKLAAAFSPYTPLFVLTAMNIRGTCLLSYSSSEDCPPGMKNSYLYEAK
+EAFEIGLLTKKRDEPVTGRQELHSFLKAAFGLTTVHQRLYGEMETVRTASQLCSEAMGKL
+YTFSNSSRSQEREAVSQEIMSVITRVKEQLQVQSFSNLDDKSYVPEGFKRGLEKPILHGQ
+VDFPKILEAYSQHHTSVCELFESTCGNNKNKQKGMKTGVCITDLKTETQNTDTVSATEDK
+AHFEKGRVISSSRMAMNSQEKLRRAGRRNWAGSDAFRVSVDEDVETEAESTDHSNGGGAV
+LNKSLSDSLSSSSWSQLSGYKSSTSWEEVNYHVDDMSAGRELSKEGHLVDTQCSTALSDE
+QEVNGVSRAEHPLSSELHGLSLQVPRDDRLESSQCQLHKSTPLATFPPHSTTGTSLASGG
+GWFKEGMQEVENVGSRNTSAHSRPLFCSASWTSDSGWPKNMTTYPSIQEEETFETIGEFQ
+EINGDAKDGDEEEKREEIKGGTGPPFKDSPCWVDPEGKIAERAEDVPVGFHSVVDESRDK
+SCMVACNSYTPHWLVENPNSGKNGLSVKEQGIDPDASTVDEESPLLGSADVHTTSTRGSP
+RPCTWKQLHVQGAQIPSSSVSRKTSTPVLSEDCTTTEEANEPGNLLNCSQNSSLSSSWWL
+KSRAISSGSSEGENPWSFLNSSGSSFVSLPGMTKQAILEARTLQPDDFEKLLAGVRHDWL
+FQRLEHTGLFKSRQLHGAHSALLLKYSKKSELWTAQETVVYLGDYLHVTKKGRQRNAFWV
+HHLHQEETLGRYVGKEYKEQKGLWHHFTDVERQMTAQHYVTEFNKRLYEQKIHTQIFYIP
+SSVLLILEGKTIKGCVSVEPYMLGEFVKLSNNTKVVKTEYKATEYGLAYGHFSYEFSNHR
+DVVVDLQGWVTGNGKGLIYLTDPQIHSVDQKDVTTNFGKKGIFYFFNNQHVECNEICHRL
+SLTRPSIEKPNNS
+>tr|F1MW13|F1MW13_BOVIN Deoxyribonuclease OS=Bos taurus OX=9913 GN=DNASE1L1 PE=3 SV=3
+LHSSGGFQKAIHGHALLLLLLLASGAETFRICAFNAQRLTLAKVAREPVLDTLVKILARC
+DIMVLQEVVDSSDTAIPLLLRELNRFGDSGPYASHSSLLLGRSTYKEKYVYLYRSHEAEV
+RDSYMYDDQDDLFTREPFVCWFSLRSKVLPSLVLVPLHTTPKAVETELNALYDVFLDASG
+RWQTKDVILLGDFNADCTSLTKKRLDDLVLRTQAGFHWAIADGVDTTVRASTHCTYDRIV
+LHGEHLQSLLRGAAAFDFPQSFGLTEQEALNISDHYPVEVDLALSWAVHGVQPPCLATLW
+LSLLLPLLAPQLGLVA
+>tr|A0A3Q1LFE0|A0A3Q1LFE0_BOVIN WD repeat domain 78 OS=Bos taurus OX=9913 GN=WDR78 PE=4 SV=1
+MPISPVNGRRQPNVGVNVGLGPRKSISFVGPGKGALMKGGYAGANQSRMAMSKNMMILPE
+AKQMEKMTISSAKTVTQVTDIHGVDVTPKPLYRPDPYSGTGKPNKLLTSQDGSHTSDFIA
+SYSLYQNTINPSMLGQFTRSVLGSSTVSKSSVSTSESMAEDLEEPSFKRERLSSFTDLRV
+MRTAPGKIITKEDLEKTVEIILTETETMNFLNLPTVMFSVESEEAEKVSQRNKNYETLCR
+NRLGNDLYVERMMQTINGAPKNKDVQCDKILKEDKEPEPEEPGDFLVDGKLEVVEEEAKK
+EEEEDLEISSEQSTIPANLERLWSFSCDLTKGLNVSSLAWNKANPDLLAVGYGHFGFKEQ
+KRGLACCWSIKNPMWPERTYQSPYGVTAVDFSIGSPNLLAVGYHNGTIAIYNVQNNSNLP
+VLDSSESPQKHLGPVWQLQWIEQDRGTTGDDKREILVSISADGRISKWVIRKGLDCHDLM
+RLRRTTASTSKKGGEKEKKGEALISRQAPGMCFAFHPKDTNIYLAGTEEGHIHKCSCSYN
+EQYLDTYRGHKGPVYKIAWNPFCHDVFLSCSADWGVIIWQHENLKPFLSFYPTTYVVYDI
+AWSPKSSYIFAAANESRVEIWDLHISTLDPLIVNVANPGIKFTTVLFAKQTDCLLVGDSD
+GQVAVYELKNMPTTLDSNRGDVIVTLLGPKSSQSIIHHQ
+>tr|A0A3Q1LTU7|A0A3Q1LTU7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC618737 PE=4 SV=1
+MHYRPVPTNTEEDISELVMPIDKKTLCDRKLPLGVGVLLLLLAVGLLVPMIYFTVTANSK
+ACVDGLQAQKECQEVNQHVQRQLTQAQEFSHKKEAEAATCNHTMVTLRESLKKEQAQVAE
+FQGKLKILNQNLKDALAEVERLRRQSETCSKNNASSCSSFLFVVIAVLVLNALLT
+>tr|A0A3Q1MCP6|A0A3Q1MCP6_BOVIN Androgen dependent TFPI regulating protein OS=Bos taurus OX=9913 GN=ADTRP PE=4 SV=1
+MTRTSTCVYHLFVLSWYIFLNFYISREGEDPRKSQIFLNGGQWKYLTFLNLVLQAIFFGV
+ACLEDVLKRTKGKKDIKFVTAFRDLLFTTLAFPISTFVFLSFWILFLYDRELVYPKALDN
+IFPVWLNHGMHTFILPFSLAEVILRPHCYPLRKKGLTLLAAACLAYVSRVLWIYSETGTW
+VYPVFAKLSPGGLAAFFSLSYIFTIGIYLFGEKLNHWKWAKRHLA
+>tr|A0A3Q1MM21|A0A3Q1MM21_BOVIN Endo/exonuclease/phosphatase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MPCGATQDGRVMVERSDRMWSTGEGNGKPLQYSCFENPMNSMKRQNDRILKEELPRWVGT
+QYATGDQWRNNSRKNEGMEPKQKQYPVVDVTGDRSKVRCCKEQYCIGTWNVRSMSQGKLE
+VVKQEMARVNVDILRISELKWTGMGEFNSDDHYIYYCGQESLRRNGVAIMVNKRVRNAVL
+GCNLKNDRMISVHFQGKPFNITVIQVYAPTSNAEEAEVEWFYEDLQDLLELTPKKDVLFI
+IGDWNAKVGNRETPGVTGKFGLGIWNEAGQRLIEFCQENALVIANTLFQQHKRRLYTWTS
+PDSQHRNQTDYILSSQRWRSSIQSAKTRPGADCGSDHELLIAKFRLKLKKVGKTTRPFRY
+DLNQIPYDYTVEVRNRFKGLDLIDRVPDELWTEGGS
+>tr|A0A3Q1M9Y2|A0A3Q1M9Y2_BOVIN Taxilin beta OS=Bos taurus OX=9913 GN=TXLNB PE=4 SV=1
+MESNQPGQLSGEPPSTPVRDSSALSSQNGLEKQNDLDRSTPLPAPEQAAGIQLERGPHDI
+SEELNRQLEDIINTYGSTAGAAGKEGTTMVKEQPENTDPPDNEDGDCEEAPEEVEREPAA
+TGEPSTAKEPASNKEQKLEKKILKGLGKEANLLMQNLNKLQTPEEKFDFLFKKYAELLDE
+HRTEQRKLKLLQKKQAQIQKEKDQLQGEHSRAILARSKLESLCRELQRHNKTLKEETLQR
+AREEEEKRKEITSHFQNTLTDIQAQIEQQSERNMKLCQENTELAEKLKSIIDQYELREEH
+LDKIFKHRELQQKLVDAKLEQAQEMMKEAEERHKREKEYLLNQAAEWKLQAKVLKEQETV
+LQAQTTKKMKKLEKDTATWKARFENCNKALLDMIEEKALRAKEYECFVMKIGRLENLCRA
+LQEERNELYKKIREAKMPKKDDQGQHTSDDEPNTSVNEEADAEEANNVHKAVQNLAAAFM
+IMHCPESTLDVSKEIHPEVDSPPGDCDTALRGLEQAPLSPACHSEIPLPPPNPQAEGKRV
+SETEPTLRAETGAEAQDDGLPGAAPADQQNRKPEAAASSQAPKAPAKPSLPVVKANEQPW
+KPEAASGQAQEPTAEASLPVMEVNGQSRKPEAATSGQALEPPAETFLCVMEAKCSAPPPA
+VGAHLPARESGCEPMTQPPPTAEGLPGGASGGPPLPKVADTNLEGVD
+>tr|F1MJR4|F1MJR4_BOVIN Interleukin-22 receptor subunit alpha-1 OS=Bos taurus OX=9913 GN=IL22RA1 PE=4 SV=2
+MRTLLTILAAGSLLAHITEDTSDLLQHVKFQSSNFENILTWDGRPESAPDTVYSVQYKTY
+GEEEWLEKEGCQRITQKSCNLTMETSNLTELYYARVTATDGAGRSATKMTNRFSSLQHTS
+IKPPDVTCIPKVRSIQMIVHPTYTPIRAQNGHQLTLENIFQDLLYHLKLRINHTYQMHLE
+GKQREFEFVGLTPDTEFLGTIMICIPNLFKESAPYMCRVKTLPDRTWTYSFSGAFLFSLG
+FLVAGLCYLSYRYITKPPPPPSSLNVQHILPFRPLQFIQEHTLIPVFDLSGSGGLAQPVQ
+YSEVKVSNPTEPPGPPPRHSLPEIAYLGQPDLPVLRPSGGPPHQALPVLSYAPQAAPEGR
+PSSYAPQGALEAKPPSYTPQAVSETQLPSYTPRATPDNWPPSYGMCGEGSGRDSPPVTRS
+GPKHLGTKGQLQKEVPAGSCSPTGLSLQEVTPLAMEDPQEAKSSHQCLRVHTDSDSDSDT
+IGQREPGTRSSLKGQLPLLSSVQIEGHPGCLPLQTPSLPCSPTDKGPSPWGLLESLVCPS
+DEDPVSKTEAESPGLQAPDLESPTELDSLFRGLALTVQWES
+>tr|A0A3Q1M3R7|A0A3Q1M3R7_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC529518 PE=3 SV=1
+MEQKNGSSFTGFILLGFSDRPQLELVLFVVLLIFYVFTLLGNTTIIALSYLDPRLHTPMY
+FFLSNLSFLDMCYTTSIVPQFLFNLSGEDKSISFGGCVVQMYISLALGCTECILLGVMAF
+DRYAAVCRPLHYTVIMHPRLCALMASASWVTGFAISLLQTVLIFLLPLCGRNKLAHFFCE
+IPPFLKLACVDTTMNVYATFFASIIILLTPVSLIMFSYGRIVRAVLRIKSTTGRRKAFGT
+CGSHFTVVSLFFGTAIYVYFQPSSSDNQDQEKFMSLFYTVIIPMTNPLIYTLRNRDVKGA
+MKKVSCTHFNFQ
+>tr|F1MD39|F1MD39_BOVIN Retinol dehydrogenase 12 OS=Bos taurus OX=9913 GN=RDH12 PE=3 SV=2
+MLVVLGLLTSFLSFLYVIAPSIRKFFAGGVCRTDVQLFGKVVVITGANTGIGKETARELA
+RRGARVYIACRDVLKGESAASEIQADTKNSQVLVRKLDLSDTKSIRAFAEGFLAEEKQLH
+ILINNAGVMLCPYSKTADGFETHLAVNHLGHFLLTHLLLGRLKESAPARVVNLSSVAHHL
+GKIRFHDLQGDKYYNLGFAYCHSKLANVLFTRELAKRLKGTGVTTYAVHPGIVRSKLVRH
+SFLLCLLWRLFSPFLKTTWEGAQTSLHCALAEGLEPLSGKYFSDCKKTWVSPRARNNKTA
+ERLWNVSCELLGIQQE
+>tr|F1MRA9|F1MRA9_BOVIN p21-activated protein kinase-interacting protein 1 OS=Bos taurus OX=9913 GN=PAK1IP1 PE=4 SV=3
+MEVVAGCYEQVLFGFAVHPEPVGDGHREQRWAPVADFTHHAHTASLSAVAVNSRFVVTGS
+KDETIHIYDMKKKVDHGALMHHNGTITCLKFHGNRHLISGAEDGLICVWDARRWECLKSI
+RAHKGHVTFLSIHPSGKLALSVGTDKTLRTWNLVEGRSAFIKNIKQSAHIVEWSPKGEKY
+VVVILNRIDVYQLDTASVSGTITNERRVSSVTFLSESVLTVAGDEEVVRFFDCDSLTCLS
+EFKAHENRVKDMFSFETPEHHVLVTASSDGFIKMWKLKQDKKVSPSLLCEINTNARLTCL
+GVWLDRRTDTKESPPAAAEPAPVSKEQSRRNKEESGHAVQEEEKQPKPDAEKCSLTGDSN
+KPTRGNSLVSAKKRKTVEMLEKKRKKKKIRMMQ
+>tr|F1N0Y7|F1N0Y7_BOVIN BMP/retinoic acid inducible neural specific 3 OS=Bos taurus OX=9913 GN=BRINP3 PE=4 SV=2
+MIWRSRAGAELFSLMAPWEWIALSLHCWVLAVAAVSDQHATSPFDWLLSDKGPFHRSQEY
+TDFVDRSRQGFSTRYKIYREFGRWKVNNLAVERRNFLGSPLPLAPEFFRNIRLLGRRPTL
+QQITENLIKKYGTHFLLSATLGGEESLTIFVDKRKLSKRSEGSDPSANSSSVTLETLHQL
+AASYFIDRDSTLRRLHHIQIASTAIKVTETRTGPLGCSNYDNLDSVSSVLVQSPENKIQL
+QGLQVLLPDYLQERFVQAALSYIACNSEGEFICKDNDCWCHCGPKFPECNCPSMDIQAME
+ENLLRITETWKAYNIDFEESDEFKFFMKRLPMNYFLNTSTVMHLWTMDSNFQRRYEQLEN
+SMKQLFLKAQKIVHKLFSLSKRCHKQPLISLPRQRTSTYWLTRIQSFLYCNENGLLGSFS
+EETHSCTCPNDQVVCTAFLPCTVGDAAACLSCAPDNRTRCGTCNTGYMLSQGFCKPEVAE
+STDHYIGFETDLQDLEMKYLLQKTDRRLEVHAIFISNDMRLNSWFDPSWRKRMLLTLKSN
+KYKSSLVHMILGLSLQICLTKNSTLEPVLAVYINPFGGSHSESWFMPVNENSFPDWERTK
+LDLPLQCYNWSLTLGNKWKTFFETVHIYLRSRIKSNGPNGNESIYYEPLEFIDPSRNLGY
+MKINNIQVFGYSMHFDPEAIRDLILQLDYPYTQGSQDSALLQLLEIRDRVNKLSPPGQRR
+LDLFSCLLRHRLKLSTSEVVRIQSALQAFNAKLPNTVDYDTTKLCS
+>tr|A0A3Q1MBU7|A0A3Q1MBU7_BOVIN AP complex subunit sigma OS=Bos taurus OX=9913 GN=AP1S2 PE=3 SV=1
+MQFMLLFSRQGKLRLQKWYVPLSDKEKKKITRELVQTVLARKPKMCSFLEWRDLKIVYKR
+YASLYFCCAIEDQDNELITLEIIHRYVELLDKYFGSVCELDIIFNFEKAYFILDEFLLGG
+EVQETSKKNVLKAIEQADLLQESQNEEWGGLSEDIL
+>tr|A0A3Q1LTP9|A0A3Q1LTP9_BOVIN Gephyrin OS=Bos taurus OX=9913 GN=GPHN PE=4 SV=1
+MATEGMILTNHDHQIRVGVLTVSDSCFRNLAEDRSGINLKDLVQDPSLLGGTISAYKIVP
+DEIEEIKETLIDWCDEKELNLILTTGGTGFAPRDVTPEATKEVIEREAPGMALAMLMGSL
+NVTPLGMLSRPVCGIRGKTLIINLPGSKKGSQECFQFILPALPHAIDLLRDAIVKVKEVH
+DELEDLPSPPPPLSPPPTTSPHKQTEDKGVQCEEEEEEKKDSGVASTEDSSSSHITAAAI
+AAKKHPFYTSPAVIMAHGEQPIPGLISYSHDATGSAEEPIPDSIISRGVQVLPRDTASLS
+TTPSESPRAQATSRLSTASCPTPKQIRRPDESKGVASRVGSLKLHRKLEELRDHLEGNVK
+GYSLRVNVQSRCSSKENILRASHSAVDITKVARRHRMSPFPLTSMDKAFITVLEMTPVLG
+TEIINYRDGMGRVLAQDVYAKDNLPPFPASVKDGYAVRAADGPGDRFIIGESQAGEQPTQ
+TVMPGQVMRVTTGAPIPCGADAVVQVEDTELIRESDDGTEELEVRILVQARPGQDIRPIG
+HDIKRGECVLAKGTHMGPSEIGLLATVGVTEVEVNKFPVVAVMSTGNELLNPEDDLLPGK
+IRDSNRSTLLATIQEHGYPTINLGIVGDNPDDLLNALNEGISRADVIITSGGVSMGEKDY
+LKQVLDIDLHAQIHFGRVFMKPGLPTTFATLDIDGVRKIIFALPGNPVSAVVTCNLFVVP
+ALRKMQGILDPRPTIIKARLSCDVKLDPRPEYHRCILTWHHQEPLPWAQSTGNQMSSRLM
+SMRSANGLLMLPPKTEQYVELHKGEVVDVMVIGRL
+>tr|A0A3Q1LSC0|A0A3Q1LSC0_BOVIN FYVE, RhoGEF and PH domain containing 4 OS=Bos taurus OX=9913 GN=FGD4 PE=4 SV=1
+MQATPPWVRSPLRCPPSSRSRLDEGGSGRRAANESGQMRGEGDYNFRRVAIRRKSNHSYL
+PFGTPRPWSRPSSHLAWAESATSRGQASADAPGSSICPKITIVPPCLKSSTTALIDQNAS
+DEEAQGINGKTPAKHLAASPKPQVPPKPLHLQNLPSTSIHQTPRHKALSSAKPRMEEVKP
+ASACVSKEKPSKISDLISHFEGGSTLSNYSDLKKDPAVNLNAPRTPGRHGLTDTPQQKLL
+SQHSPQKQGNGTDQSQGEQTCVANGMVAAQNQAECEEDKAATLSPDTSIQTSEPLPDTNL
+VNGEKQETTIGPASPTTNNCHEDASDSSCRTLRASPVLPLEEERTDTEAKVQEMEGEESP
+LELEQLDQHHEMKETDEEKLHKIANELLLTERAYVSRLALLDQVFYCKLLEEANRGSFSA
+ELVNKIFSNISSINTFHSRFLLPELEKRMQEWETTPRIGDILQKLAPFLKMYGEYVKGFD
+NAMELVKNMTERIPQFKSVVEEIQKQKVCGSLTLQHHMLEPVQRIPRYEMLLKDYLRKLP
+PDSPDWNDAKKSLEIISTAASHSNSAIRKMENLKKLLEIYEMLGEEEDIVNPSNELIKDG
+QILKLAARNTSAQERYLFLFNNMLLYCVPKFSLVGSKFTVRTRVGIDGMKIIETHNEEYP
+HTFQISGKERTLELQASSEQDKEEWIKALQETIDAFHQRHETFRNAIAKDNEIHSEVSTA
+ELGKRAPKWIRDNEVTMCMKCKESFNALTRRRHHCRACGHVVCWKCSDYKAQLEYDGGKL
+SKVCKDCYQIISGFTDSEEKKRKGILEIESAEVSGNSVVCSFLQYTEKSKPWQKAWCVIP
+KQDPLVLYMYGAPQDVRAQATIPLLGYVVDDMPRSADLPHSFKLTQSKSVHSFAADSEEL
+KQKWLKIILLAVTEMLASEENFCLSNPVFTFHMFFCQAQREAMKQLCFH
+>tr|A0A3Q1LLN1|A0A3Q1LLN1_BOVIN S-arrestin OS=Bos taurus OX=9913 GN=SAG PE=4 SV=1
+MKANKPAPNHVIFKKISRDKSVTIYLGKRDYIDHVERVEPVDGVVLVDPELVKGKRVYVS
+LTCAFRYGQEDIDVMGLSFRRDLYFSQVQVFPPVGASGATTRLQESLIKKLGANTYPFLL
+TFPDYLPCSVMLQPAPQDVGKSCGVDFEIKAFATHSTDVEEDKIPKKSSVRLLIRKVQHA
+PRDMGPQPRAEASWQFFMSDKPLRLAVSLSKEIYYHGEPIPVTVAVTNSTEKTVKKIKVL
+VEQVTNVVLYSSDYYIKTVAAEEAQEKVPPNSSLTKTLTLVPLLANNRERRGIALDGKIK
+HEDTNLASSTIIKEGIDKTVMGILVSYQIKVKLTVSGEVATEVPFRLMHPQPEDPGQSRL
+VLAFSSPVPPTDFLLSHC
+>tr|A0A3Q1MPN4|A0A3Q1MPN4_BOVIN MDM2 proto-oncogene OS=Bos taurus OX=9913 GN=MDM2 PE=4 SV=1
+MCNTNMSVSTDGAVSTSQIPASEQETLVRPKPLLLKLLKSVGAQKDTFTMKEDLGIHGSQ
+VKNFCTRDT
+>tr|A0A3Q1MQC4|A0A3Q1MQC4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC112448008 PE=4 SV=1
+MCDTSCPVSCQPAYCVPSSCQEICCVPSSCQPACPAQVAFYCEPSPCQASCCVPVSCQPT
+VCVAPACQPSCPALICRPLPCGTPSCY
+>tr|G5E5B7|G5E5B7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+EAELRRLRKMNVAFEEQNAVLQRHTQSMSSARERLEQELALEERRTLALQQQLQAVRQAL
+TASFASLPVPGTGETPTLSTLDFYMARLHGAIERDPAQHEKLIVRIKEILAQVASEHL
+>tr|A0A3Q1MGZ2|A0A3Q1MGZ2_BOVIN Tensin 3 OS=Bos taurus OX=9913 GN=TNS3 PE=4 SV=1
+MTFMHCHQALCFLVNLALVMQRSFCSFLRDLFTAPVSGLVSMAGSSTQVRRLWEAGGREV
+SSGPAKFLAPGASSTPASLCCDKPFRPAGLSPAMEDGRELDLTYITERIIAVSFPAGCSE
+ESYLHNLQEVTRMLRSKHGDNYLVLNLSEKRYDLTKLNPKILDVGWPELHAPPLDKVCTI
+CKAQEAWLNSDPQHVVVIHCRGGKGRIGVVISSYMHFTNVSASADQALDRFAMKKFYDDK
+LAALMQPSQKRYVQFLSGLLSGTVKMNASPLFLHFVILHGTPNFDSGGVCRPFLKLYQAM
+QPVYTSGIYNVGPENQSRIYIAIEPAQLLKGDIMVKCYHKKYRSATRDVIFRLQFHTGAV
+QGYRLVFAKEDLDNASKDDRFPDNGKIELVFSATPEKIQGCEHLQNDHRVIVDFNTADPL
+IRWDSYENLSADGEVLHTQGPVDGSLYAKVRKKSTSDPSVPGGSPAVPAASSPDHSDHTL
+SVSSDSGHSTASVRTDRTEEHLTPGSKRGLSPQEKAELDQLLSGFGLEDSGSPLKDMSDA
+RSKYSGTRHVVPAQVHVNGDTTPKDRETDILDDEMPSHDLHSVDSIGTLSSSEGHPSAHL
+SPFTCHQSSQNSLLSDGFGSTAGEDQHGALAPDLGLAAEPLFERERAFGSCEPKQPQPVL
+RKPSVPAQTQAYGPSSYSTQTWVRQQQMVAAHQYSFAPDGEARLSGRGAADSSGLLQTQP
+RVPLTPTRGASSRVAVQRGIGPGPHPPDIQRPPPGKAAFKPRIPDVKVVNGAGPELGTDL
+SPGSPTLDIDQSIEQLNRLILELDPTFEPIPTHMNMSSSQANGPMPPDGMAGGLWASGRL
+QDTGDGPGRAPVRQGDEPLGGRFQKLSLEQYDNEAGEQPTFSKCSWGKTTSSEQTPGLAP
+FLSPDNTKEAVITTYPPDLDVIDGRIFSPTNSGSESISPTPAFPVSPETPYGTTSPRYSP
+FSPSVPQMGSPSALYKGAHEPRGCPEILSHSVGMSESPVGPKPTMLRADMPTAPSFQRAF
+TSSCTISGNSPSQRRGSPSSAEHQWVETSPKSTLTLLGGSRPGKDSPARPHFPPADLQTS
+FHGPELSLAEPPEALGPPSNQAFLSFSTAPMAGGGLPAGEDPGALLANSHGAAQAPGNPL
+TAAEAADNSFLSHSFLTVAPGHSGHHSPVLQGPGLALPGQPPLPEKKRTSEGDRSFGSVS
+PSSSGFSSPHSGSTMSIPFPNIIPDFSKAAEGAAPSPDNPGDKHVSVNFVQDTSKFWYKA
+DISREQAIAMLKDKEPGSFIVRDSHSFRGAYGLAMKVATPPPSVLQMNKKAGDLANELVR
+HFLIECTPKGVRLKGCSNEPYFDPLEEIAENPQQTAANSAAELLKQGAACNVWYLNSVEM
+ESLTGHQAIQKALSMTLVQEPPPLSTVVHFKVSAQGITLTDNQRKLFFRRHYPVSSVIFC
+ALDPQDRKWVTDGPCSRVFGFVARKQGSATDNVCHLFAEHDPEQPASAIVNFVSKVMIGS
+PKKI
+>tr|A0A3Q1N2V4|A0A3Q1N2V4_BOVIN DNA (cytosine-5)-methyltransferase OS=Bos taurus OX=9913 GN=DNMT1 PE=3 SV=1
+MPARTAPARVPALASRAFSLPDDVRRRLKDLERDSLTEKECVKEKLNLLHEFLRTEIKNQ
+LCDLETKLHKEELSEEGYLAKVKSLLNKDLSLENGAHAFSREANGCLENGSQTSGEDCRV
+VMAEKGKPPKPVSRLYTPRRSKSDGETKSEVSSSPRITRKTTRQTTITSHFPRGPAKRKP
+EEEPEKVKSDDSVDEEKDQEEKRRRVTSRERVAGLLPAEEPGRVRPGTHMEEEGRDDKEE
+KRLRSQTKEPTPKHKAKEEPDRDVRPGGAQAEMNEGEDKVNTDSYHLSLTDPSSKRRPEE
+KEPERVKPQVSDEKDEDEKVSKCTPGNKSRCVTLRDWLRAGSLSAVCVLSPQTNPPKCTE
+CLQYLDDPELRYEQHPPDAVEEIQILTNERLSIFDANESGFESYEDLPQHKLTCFSVYCK
+RGHLCPIDTGLIEKDVELLFSGSAKPIYEDDPSPEGGINGKNFGPINEWWIAGFDGGEKA
+LLGFSTSFAEYILMDPSPEYAPLFSVMQEKIYISKIVVEFLQSNPDSTYEDLINKIETTV
+PPCMLNLNRFTEDSLLRHAQFVVEQVESYDRAGDSDEQPIFLSPCMRDLIKLAGVTLGKR
+RAERRQTIRQPAKEKDKGPTKATTTKLVYQIFDTFFAEQIEKDDKEDKENAFKRRRCGVC
+EICQQPECGKCKACKDMVKFGGSGRSKQACQKRRCPNMAMKEADDDEEVDDNIPEMPSPK
+KMHQGKKKKQNKNRISWVGDAVKTDGKKSYYKKVCIDSETLEVGDCVSVIPDDSSKPLYL
+ARVTALWEDSSNGQMFHAHWFCAGTDTVLGATSDPLELFLVDECEDMQLSYIHSKVQVIY
+KAPSENWAMEGGVDPEALMSEDDGKTYFYQLWYDQDYARFESPPKTQPTEDNKYKFCASC
+ARLAEMRQKEIPRVVEQLQDLEGRVLYSLATKNGVQYRVGDGVYLPPEAFTFNIKLSSPV
+KRPRKEPVDEALYPEHYRKYSDYIKGSNLDAPEPYRIGRIKEIFCSKKSNGRPNETDIKI
+RVNKFYRPENTHKSTPASYHADINLLYWSDEEAVVDFKAVQGRCTVEYGEDLPQCLQDFS
+AGGPDRFYFLEAYNAKSKSFEDPPNHARSTGNKGKGKGKGKNRTKSQTCEPSELETEIKL
+PKLRTLDVFSGCGGLSEGFHQAGISETLWAIEMWDPAAQAFRLNNPGSTVFTEDCNVLLK
+LVMAGEVTNSRGQKLPQKGDVEMLCGGPPCQGFSGMNRFNSRTYSKFKNSLVVSFLSYCD
+YYRPRYFLLENVRNFVSFKRSMVLKLTLRCLVRMGYQCTFGVLQAGQYGVAQTRRRAIIL
+AAAPGEPLPLFPEPLHVFAPRACQLSVVVDDKKFVSNITRLSSGPFRTITVRDTMSDLPE
+IRNGASALEISYNGEPQSWFQRQLRGSQYQPILRDHICKDMSALVAARMRHIPLAPGSDW
+RDLPNIEVRLSDGTLARKLRYNYHDKKNGCSSSGALRGVCSCVEGKPCEPAARQFNTLIP
+WCLPHTGNRHNHWAGLYGRLEWDGFFSTTVTNPEPMGKQGRVLHPEQHRVVSVRECARSQ
+GFPDTYRLFGNILDKHRQVGNAVPPPLAKAIGLEIKRCMLAKARESASGMVGADSARV
+>tr|A0A3Q1MSX9|A0A3Q1MSX9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MFASSPLSPRRKFTCHLCDRSFTEKWALNNHMKLHTGEKPFKCTWPTCHYSFLTASAMKD
+HYRTHTGEKSFLCDLCGFAGGTRHALTKHRRQHTGEKPFKCDECNFASTTQSHLTRHKRV
+HTGEKPYRCPWCDYRSNCAENIRKHILHTGKHEGVKMYNCPKCDYGTNIPVEFRNHLKEQ
+HPDIENPDLAYLHAGRKRKLLCETLCSSQGLSGCLCISSYLNMAACVTVSASRLIKKYTC
+SCPLDCESRSFPFYSIRSKYGEIISPQLLRANIPNAVGLLWVSELHILPDDKVFNIFNKC
+L
+>tr|A0A452DIY4|A0A452DIY4_BOVIN Proteasome activator complex subunit 4 OS=Bos taurus OX=9913 GN=PSME4 PE=4 SV=1
+MEPAERAGGRDPLEPGGRPGPDPQGFVPQKEIVYNKLLPYAERLDAESDLQLAQIKSNLG
+RAVQLQELWPGGLFWTRKLSTYIRLYGRKFSKEDHVLFIKLLYELVSIPKLEISMMQGFA
+RLLINLLKKKELLSRDDLELPWRPLYDMVERILYSKTEHLGLNWFPNSVENVLKTLVKSC
+RPYFPADATAEMLEEWRPLMCPFDVTMQKAITYFEIFLPTSLPPELHHKGFKLWFDELIG
+LWVSVQNLPQWEGQLVNLFARLATDNIGYIDWDPYVPKVFTRILRSLNLPVGSSQVLVPR
+FLTNAYDIGHAVVWITAMMGGPSKLVQKHLAGLFNSITSFYHPSNNGRWLNKLMKLLQRL
+PNSVVRRLHRERYKKPSWLTPVPDSHKLTDQDVTDFVQCIIQPVLLAMFSKTGSLEAAQA
+LQNLALMRPELVIPPVLERTYPALETLTEPHQLTATLSCVIGVARSLVSGGRWFPEGPTH
+MLPLLMRALPGVDPNDFSKCMITFQFIATFSTLVPLVDCSSVLQERNDLTEVERELCSAT
+AEFEDFVLQFMDRCFGLIESSTLEQTREETETEKMTHLESLVELGLSSTFSTILTQCSKE
+IFMVALQKVFNFSISHIFETRVAGRMVADMCRAAVKCCPEESLKLFVPHCCGVITQLTMN
+DDVLNEEELDKELLWNLQLLSEITRVDGKKLLLYREQLVKILQRTLHLTCKQGYTLSCNL
+LHHLLRSTTLIYPTEYCSVPGGFDKPPSEYFPIKDWGKPGDLWNLGIQWHVPSSEEVAFA
+FYLLDSFLQPELIKLQRCGDGELEMSRDDVLQSLTIVHNCLIGSGNLLPPLKGEPVTNLV
+PSMVSLEETKLYTGLEYDLSRENYRETIARVIRKLLNHILNNSEDDTKSLFLIIKIIGDL
+LQFQGSHKHEFDSRWKSFNLVKKSMENRLHGKKQHIRALLIDRVMLQHELRTLTVEGCEY
+KKIHQEMIRDLLRLSTSSYSQVRNKAQQTFFAALGAYNFCCRDIIPLVLGFLRPDRQDVT
+QQQFKGALYCLLGNHSGVCLANLHDWDCIVQTWPAIVSSGLSQAMSLEKPSIVRLFDDLA
+EKIHRQYETIGLDFTIPESCVGIAELLQQSKNPSINQTMLSSEEIKEGLKRQQGRNVDAL
+RNYENLVNTLLDGVEQRNLPWKFEHIGIGLLSLLLRDDRVLPLRAIRFFVENLNHDAIVV
+RKMAISAVAGILKQLKRTHKKLTISPYEISGYPKPTQIVAGDRPDNHWLHYDSKSIPRTK
+KEWESSCFVEKTHWGYYTWPQNMVVYAGVEEQPKLGRSREDLTEAEQIIFDHFSDPKFVE
+QLITFLSLEDRKGKDKFNPRRFCLFKGIFRNFDDAFLPVLKPHLERLVADSHESTQRCVA
+EIIAGLIRGSKHWTFEKVEKLWELLCPLLRTALSNITVETYNDWGTCIATSCESRDPRKL
+HWLFELLLESPLSGEGGSFVDACRLYVLQGGLAQQEWRVPELLHRLLKYLEPKLTQVYKN
+VRERIGSVLTYIFMIDVSLPNTAPTASPRVPEFTARILEKLKPLMDVDEEIQNHVMEENG
+IGEEDERTQGIKLLKTILKWLMASAGRSFSTAVAEQLQLLPLFFKIAPVENDNSYDELKR
+DAKLCLSLMSQGLLYPHQVPLVLQVLNQTARSSSWHARYTVLTYLQTMVFYNLFIFLNNE
+DAVKDIRWLVISLLEDEQLEVREMAATTLSGLLQCNFLTMDSPMQIHFEQLCKTKLPKKR
+KRDPGFVGDTIPSAELVKRHAGVLGLGACVLSSPYDVPTWMPQLLMNLSAHLNDPQPIEM
+TVKKTLSNFRRTHHDNWQEHKQQFTDDQLLVLTDLLVSPCYYA
+>tr|A0A3Q1LVX0|A0A3Q1LVX0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=GOLGA2 PE=4 SV=1
+MWPPLPPPRPGMSEETRQSKLAAAKKKLREYQQKNSPGVPAGAKKKRKIKNGSSPETTTS
+GDCPSPEDIQDILEVLVSDLNRSNGVAIPPLDKWKAPKDRAAPVTPSADDTVSPGGVPSP
+SASPPRVTSMASTQNHDADNGSGPIDESTSFSSTEGLRQLSQQLNGLVSESSSYINGEGL
+ASPANIKNLESRYQELSVALDSSNLTNKQLSSKIEELEKKEFEQKLAKEQGALREQLQVH
+IQTIGILVSEKTELQTALAHTQQAARQKAGESEDLANRLQSSRQRVGELERTLSAVSTQQ
+KQADRYNKELTRERDALKLELYKNNKNNEDLKQHNSELEEKLRLLVIEKSAMKLGIEELQ
+KKLEMSELLLQQFSSQPAPDSSQQLQQALEERAQLETHMEQLKDSLRQLQAERDQYATNL
+KEENAIWQQKMQQVLEQMSKLKEEKERSVCQAQELETSLAELRNQIAAPPPQEPPAGPSE
+AEQRLQAETERLQKELESLAGQLQAQVKDNESLSHLNQEQEQRLLELEREAECWGEQAEE
+RKQILETMQSDRTTISRALSQNRELKEQLAELQNGFVRLSNENMEVTSALQSEQHIKKEL
+AKKLGQLQEKLGELKETVEVKGQEVQDLQQQRDEYLNHLQYYVAAYQLHMAACQQLASDK
+EALHKQVLLQTQLMDRLQHEEVQGKVAMEAARQELQETQERLEAANQQNQQLQAQLTLMA
+VPGEGDGLESEEQDEEAPRPKLSVPEELESREALVEFFHSALASAEDEQARLRRQLKEQK
+LHCQRLCHLAATAQDGVEKEAPAPRTGGDSVPAEAHQALQVAMDKLQGRFTELMQEKVDL
+KERVEELEHRCIQLSGETDTIGEYIALYQSQRAVLKARHQEKEEYISRLAQDKEEMKVKL
+LELQELVLCLVGERNEWYGKFLAAQNPAGEPTTAPHTCQEPSTADSEGGLQEVSLADTVE
+PQQGTLPGQTTPENPTAQQIMQLLREIQNPQEHPGLGSNPCIPFFYRADDNDEVKIMVI
+>tr|E1BFX4|E1BFX4_BOVIN N-sulfoglucosamine sulfohydrolase OS=Bos taurus OX=9913 GN=SGSH PE=4 SV=1
+MRSSGQGCWVLLISVGLCCVHRARPRNVLLILADDGGFESGAYNNSAISTPHLDALARRS
+LVFRNAFTSVSSCSPSRASLLTGLPQHQNGMYGLHQDVHHFNSFDRVQSLPLLLGRAGIH
+TGIIGKKHVGPEMVYPFDFAYTEENGSVLQVGRNITRIKLLVRKFLQTRGDRPFFLYVAF
+HDPHRCGHSQPQYGAFCEKFGNGESGMGRIPDWTPQTYNPKDVQVPYFVPDTPAARADLA
+AQYTTIGRMDQGIGLVLQELRGAGVLNDTLVIFTSDNGIPFPSGRTNLYWPGTAEPMLVS
+SPEHPKRWGQVSEAYVSLLDLTPTILDWFSIPYPSYAIFGTKTVQLTGRSLLPVLEAEPL
+WTTVFGSQSYHEVTMSYPMRSVHHQNFHLVHNLHFKMPFPIDQDFYISPTFQDLLNRTTA
+GQPTGWYKDLHQYYYRERWELYDRNQDPHETHNLAADPRYTQVLELLQTQLVKWQWETHD
+PWVCAPDGVLEEKLAPQCRPLHNEL
+>tr|A0A3Q1M2G0|A0A3Q1M2G0_BOVIN Calcium and integrin binding family member 4 OS=Bos taurus OX=9913 GN=CIB4 PE=4 SV=1
+MMSISLWNQKNLFLCPLRDSGRMGRRWNAGYHGNRLLGAQLALTFLTRNEILCIHDSFLK
+LCPPGKYYKEATLTVDQVSSLPALRVNPFRDRICRVFSHNNVFSFEDVLGMASVFSEQAC
+PSLKIEYAFRIYDFNENGFIDEEDLQRIILRLLNSDDMSEDLLTDLTSHVCAQGCGGRGA
+GGGGSGHHRKPLDGRQMRGSGGCTLARKNYLQFLSFLQDHASLPGSPEQ
+>tr|A0A3Q1LJM7|A0A3Q1LJM7_BOVIN Ribosomal_L7Ae domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MDLTRFVKWPRYIRLQWQRAILYKWLKVPPTINQFTQALDRQTAIQLLKLAHKYRPETKQ
+EKKQRLLARAEKKAAGKGNIPTKRPPVLLAGVNTVTTLVENKKAQLLVIAHDVDPIELVV
+FLPALCHKMGVPYCIIKGKARLGRLVHRKTCTTIAFTQVNSKDKSALAKLVEAIRTNYNG
+RYNEIRCHWGGMSWGRSQWLALPSWKSRGTVNIYFVFQNRKPKSCHS
+>tr|A0A0A0MPA5|A0A0A0MPA5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MAKNKLRGQKSRNVFHIASQKSFKVKNKAKPVTINLKKINIVNDEQVNRVNKAFIDIQKE
+LANFSKGLSLEPLQKQLVPQQCHENVTVNVDEATRLMAQL
+>tr|A0A3Q1MTW6|A0A3Q1MTW6_BOVIN Vascular endothelial growth factor D OS=Bos taurus OX=9913 GN=VEGFD PE=3 SV=1
+MYRQWAVVNIFMMSSLQLVQGSSYERGPVKRASRSMLERSEQQIRAASGLEELLQITHFE
+DWKLWRCRLKLKSLTSTDSRSASHRSTRFAATFYDMETLKVIDEEWQRTQCSPRETCVEV
+ASELGSSTDTFFKPPCVNVFRCGGCCNEESLVCVNTSTSYVSKQLFEISVPLTSVPELVP
+VKVANHTGCKCFPTAPRHPFSIIRRSIQIPEEDRLSYTMLALALLKLCVENPSLITESSP
+LLQELALCGQHMKFDEDRCECVCKTPCPRDLIQHPENCSCIECRESLESCCQKHKIFHPD
+TCSCEDRCPFRPRTCANGKPACPKHCRFPKEKRATHGLQDRENP
+>tr|A0A3Q1M8N5|A0A3Q1M8N5_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MLKILQARLQQHVNRELPDVQAGFRKGRGTRDQIANIRWIIEKAREFQKNIHFCFIDYAK
+AFDCVDHNKLWKILREMGIPDHLTCLLRNLYAGQEATVRTGHGTTDWLQTGKGVRQGCIL
+SPCLFNLYAKYIMRNAGLEEAQAGIKIAGRNINNLRYADDTTLMAESEEELKSLLMKVKE
+ESEKVGLKLNIQKTKIMASGPIISWQIDGETVSDFIFGGSKITADGDCNHEIKRCLLLGR
+KVMTNLDSILKSRDITLPTKVCLVKAMVFPVVKYGCESWTVKKAERQRIDAFELWCWRRL
+LRVPWTARRSNQSILKEMSPECSLEGLMLKLKL
+>tr|A0A3Q1MP35|A0A3Q1MP35_BOVIN Gamma-soluble NSF attachment protein OS=Bos taurus OX=9913 GN=NAPG PE=4 SV=1
+MAAQKINEGLEHLAKAEKYLKTGFLKWKPDYDSAASEYGKAAVAFKNAKQFEQAKDACLK
+EAVAHENNRNLYSLCRAYEQAGMMLKEMQKLPEAVQLIEKASMMYLENGTPDTAAMALER
+AGKLIENVDPEKAVQLYQQTANVFENEERLRQAVELLGKASRLLVRGRRFDEAAISIQKE
+KNIYKEIENYPTCYKKTIAQVLVHLHRNDYVAAERCVRESYSIPGFNGSEDCAALEQLLE
+GYDQQDQDQVAEVCNSPLFKYMDNDYAKLGLSLVVPGGGVKKKAAAPPQAKPEGTAAPAA
+EEEEDEYAGGLC
+>tr|A0A3Q1LQM0|A0A3Q1LQM0_BOVIN DM1 protein kinase OS=Bos taurus OX=9913 GN=DMPK PE=4 SV=1
+MSAEVRLRRLQQLVLDPGFLGLEPLLDLLLGVHQELGASDLAQDKYVADFLEWVEPIAAR
+LKEVRLQRDDFEILKVIGRGAFSEVAVVKMKQTGQVYAMKIMNKWDMLKRGEVSCFREER
+DVLVNGDQRWITQLHFAFQDENYLYLVMEYYVGGDLLTLLSKFGERIPAEMARFYLAEIV
+MAIDSVHRLGYVHRDIKPDNILLDRCGHIRLADFGSCLKLRADGTVRSLVAVGTPDYLSP
+EILQAVGGGPGTGSYGPECDWWALGVFAYEMFYGQTPFYADSTAETYGKIVHYREHLSLP
+LADTGVPEEARDLIQQLLCPPEVRLGRNGAGDFRKHPFFFGLEWDSLRDSVPPFTPDFEG
+ATDTCNFDMVEDGLTAMVSGGGETLSDMQEGMPLGVHLPFVGYSYSCMALRDDEILGSTP
+MELEAEPLPEPLQEPSLEPTVPPLEETAEAAVPETIPEAVAEPEVTLRELQEALEEEVLT
+RQSLSQELAAIHKANQNFASQLREAQARNRDLEAHVRQLQERMELLQAGGAAAVTGVPSP
+RATDPPSHLDGPPAVALGQCPLVGPGPMHRRHLLLPARAPGPQHPNPRFGCTETPTFLDI
+YCLSPPRTPTPDPRE
+>tr|A0A3Q1LSM4|A0A3Q1LSM4_BOVIN Potassium voltage-gated channel subfamily H member 7 OS=Bos taurus OX=9913 GN=KCNH7 PE=4 SV=1
+MMFIINFEYVRNEENAASPERVNPILPVKTVNRKLFGFKFPGLRVLTYRKQSLPQEDPDV
+VVIESSKHSDDSVAMKHLKSPTKESCSPSEADDTKALIQPSKCSPLVNISGPLDHSSPKR
+QWDRLYPDMLQSSSQLTHSRSKESICSIRRASSVHDIEGFSVHPKNIFRDRHASEGPFNH
+IKSSLLGSTSDSNLNKYSTINKIPQLTLNFSDVKAEKKNASPPSSDKTIIAPKVKDRTHN
+VTEKVTQVLSLGADVLPEYKLQTPRINKFTILHYSPFKAVWDWLILLLVIYTAIFTPYSA
+AFLLNDREEQKRRECGYSCSPLNVVDLIVDIMFIIDILINFRTTYVNQNEEVVSDPAKIA
+IHYFKGWFLIDMVAAIPFDLLIFGSGSDETTTLIGLLKTARLLRLVRVARKLDRYSEYGA
+AVLMLLMCIFALIAHWLACIWYAIGNVERPYLTDKIGWLDSLGQQIGKRYNDSDSSSGPS
+IKDKYVTALYFTFSSLTSVGFGNVSPNTNSEKIFSICVMLIGSLMYASIFGNVSAIIQRL
+YSGTARYHMQMLRVKEFIRFHQIPNPLRQRLEEYFQHAWTYTNGIDMNMVLKGFPECLQA
+DICLHLNQTLLQNCKAFRGASKGCLRALAMKFKTTHAPPGDTLVHCGDVLTALYFLSRGS
+IEILKDDIVVAILGKNDIFGEMVHLYAKPGKSNADVRALTYCDLHKIQREDLLEVLDMYP
+EFSDHFLTNLELTFNLRHESAKADLLLRSQSVNDSEADHCKLRRRLSFDSEEDKDFGKGS
+TTNDPEDSVDTIRHYQSSKKHFEEKKSRSSSFISSIDDEQKPLFSGLADSPPGIGKATGL
+DLEETVPTSGRIHIDKRSHSCKDITDTRSWERENAGTQADESSPSALQRAAWGVSETESD
+LTYGEVEQRLDLLQEQLNRLESQMTADIQTILQLLQKQTTVVPPAYSMVTAGGEYQRPTI
+RLMRPRHPVASIKTDRTFSPSSQCPEFLDLEKSKLKSKESLSSGVHLNTASEDNLTSLLK
+QDSDLSLELHPPRQRKTCLHPIRHPSLTDSSLSTVGVLGLHRHVSDPGLPGK
+>tr|A0A3Q1LXF8|A0A3Q1LXF8_BOVIN Neuron navigator 2 OS=Bos taurus OX=9913 GN=NAV2 PE=4 SV=1
+MPAILVASKMKSGLPKPVHSAAPILHVPPARAGPQPCYLKLGSKVEVSKTAYPSQIPLKS
+QGLQEPAGEGLPLRMSGSVENGFDTQIYTDWANHYLAKSGHKRLIKDLQQDVTDGVLLAQ
+IIQVVANEKIEDINGCPKNRSQMIENIDACLNFLAAKGINIQGLSAEEIRNGNLKAILGL
+FFSLSRYKQQQQQQPPPPQKPHLPSPLPPPGSQVAGAPSQCQAGPPQQQVPATPQAPCQP
+HQPAPHQPSKAQAEMQSSASSKDSSQSKIIRFTLGQKKISRLPGPTARVSAAGSEAKTRG
+GSATANNRRSQSFNNYDKSKPVTSPPPPSSSHEKEPLASPASSHPAMSEDAPAALEGGGG
+CGPASCGASSAIPQPGSASKPWRSKSVSVKHSAAAAALSVKPPTPEPPRPAPEALKPAPN
+NQRSMLEKLKLFNSKGASKAGEGPGSRDTSCERLEILPSFEESEEMEAGGRPLSAAGPPS
+NSPKIALKGIAQRTFSRALTNKKSSPKGSEKEKEKQQREKEKSKDLTKRASVTERPDLRE
+GPREDPSGAALTEMPKKSSKIASFIPKGGKLNSAKKEAPAPSHSGIPKPGMKSVPGKSPS
+APTPSKDGERARGGKPSSGLPQQKPPLDGRHSSSSSSLASSEGKGPGGTGLNHSISSQTV
+SASVGTTQTTGSNTVSVQLPQPQQQYSHPNTATVAPFLYRSQTDTEGNVTAESSSGGVTM
+EPSHYTKSGQPALEELTGEDPEARRLRTVKNIADLRQNLEETMSSLRGTQVTHSTLETTF
+DTNVTTEISGRSILSLTGRPTPLAWRLGQSSPRLQAGDAPSMGNGYPPRANASRFINTES
+GRYVYSAPLRRQLASRGSSVCHVDVSDKAGDEMDLEAISMDAPGYMSDGDVLSKNMRADD
+ITSGYMTDGGLGLYTRRLNRLPDGMAVVRETLQRNTSLGLGDADSWDDSSSVSSGISDTI
+DNLSTDDINTSSSISSYANTPASSRKNLDVQTDAEKHSQVERSSLWSSEDVKKSDGGSDS
+GIKMEPGSKWRRNPSDVSDESDKSTSGKKNPVISQTGSWRRGMTAQVGITMPRTKPAAPT
+GTLKTPGTGKTDDAKVSEKGRLSPKAAQVKRSPSDAGRSSGDESKKPLPSSSRTPTANAN
+SFGFKKQSGSAAGLAMITASGATVTSRSATLGKIPKSSALVGRSTGRKTSMDGAQNQDDG
+YLSLSSRTNLQYRSLPRPSKSTSRNGAGNRSSTSSIDSNISSKSAGLPVPKLREPSKTAL
+GSSLPGLVNQTDKEKGISSDNESVASCNSVKVNPAPQPVSSVAQATLQPGAKYPDVASPT
+LRRLFGGKPTKQVPIATAENMKNSVVISNPHATLSQQGNLESPSGSGVLSSGSSSPLYSK
+NVDSTQSPLASSPSSAHSGPSNSLTWGTNASSSSAVSKDGLGFQSVSSLHTSCESIDISL
+GSGGALSHNSSTGLIAASKDDALTPFVRTNSVKTTLSERYTPTSQLRTQEDAKEWLRSHS
+AGGLQDTTANSPFSSGSSVTSPSGTRFNFSQLASPTTVTQMSLSNPTMLRTHSLSNADGQ
+YDPYTDTRFRNSSMSLDEKSRTMSRSGSFRDGFEEVHGSSLSLVSSTSSIYSTPEEKCQS
+EIRKLRRELDASQEKVSALTTQLTANAHLVAAFEQSLGNMTIRLQSLTMTAEQKDSELNE
+LRKTIELLKKQNAAAQAAINGVINTPELNCKGNGSSQATDLRIRRQHSSDSVSSINSATS
+HSSVGSNIETDSKKKKRKNWLRSSFKQAFGKKKSPKSASSHSDIEEMTDSSLPSSPKLPH
+NGSTGSTPLLRNSHSNSLISECMDSEAETVMQLRNELRDKEMKLTDIRLEALSSAHQLDQ
+LREAMNRMQSEIEKLKAENDRLKSESQGSGCSRAPSQVSLSASPRQSLGLSQHSLNLAES
+SSLDMLLDDAGECSARKEGGRHVKIVVSFQEEMKWKEDSRPHLFLIGCIGVSGKTKWDVL
+DGVVRRLFKEYIIHIDPVSQLGLNSDSVLGYSIGDVKRSNTSETPELLPCGYLVGENTTI
+SVAVKGLAENSLDSLVFESLIPKPILQRYVSLLVEHRRIILSGPSGTGKTYLANRLSEYL
+VLREGRELTDGVVATFNVDHKSSKELRQYLSNLADQCASENNAMDMPLVIILDNLHHVSS
+LGEIFNGLLNCKDHKCPYIIGTMNQATSSTPNLQLHHNFRWVLCANHTEPVKGFLGRFLR
+RKLMETEISGRMRNVELVKIIDWIPKVWHHLNRFLEAHSSSDVTIGPRLFLSCPIDVDGS
+RVWFTDLWNYSIIPYLLEAVREGLQLYGRRAPWEDPAKWVMDTYPWAASPQQHEWPPLLQ
+LRPEDVGFDGYSMPREGSTSKQMPPSAAEGDPLMNMLMRLQEAANYSSPQSYDSDSNSTS
+HQDDILDSSLESTL
+>tr|A0A3Q1LP35|A0A3Q1LP35_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MRLISASSHTCYQFGVTLLQAPIHPARHPEGSRSSQLWTGREAGDGVAAGKRDERAPLCP
+EFTGAPGSVGAPGTAGAPGSAGAPGSVGTAGSVGAPGSAGAPGSVGAPGFVGAPGSAGAP
+GSAGAPGTAGAPGSVGAPGSAGAPGSAGAPGSACVAGSAGAPGSAGAPVSAGAPGSACAA
+GSVGAPGTAGAPGSAGAPCSVGAPGSVGAPGSAGAPGAPGNAGAAGSVGAPGSAGAPGSA
+GAPGSAGAPGSAGAPGSACAAGSAGAPGSAGAPGSAGAPGTAGAPGSAGAPCSVGAPCSV
+GAPGSVGAPGSAGAPGSVGAPGSAGAPGSAGAPGNAGAAGSAGAPGSAGAPGSAGVAGSA
+GVAGSAGAPGTTGTQTTTLHIRYSGAEAFEPSRVQNSWQTVLESGGQTLCLGRQDGLAHV
+VVTRWSHRQDPTWVGSTPDFTRSGAKGRWECDSRQRKTGRSGLWRDSQEVRKAESELKGR
+GQGLLAPQGHRVSQETCADSGGERYAEPQPRDPAGPPEASVVLTDRVASPESSSRGSSRG
+STGEPGLDLPSQDALGSISITGPRPPAGQGAWELHAAGHLHQGFRLCGSCGEDISSHQIS
+SVLDSMGSRASQHGGPTLGPRSLGYRRAQL
+>tr|A0A3Q1M041|A0A3Q1M041_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MGLYLLRAGVRLPLAVALLAVCCGGEALVQIGLGVGEDHLLSLPAAGVVLSCLAAATWLV
+LRLRLGVLMIALTSAVRTVALISLERFKVAWRPYLAYLAGVLGILLARYVEQILPQYSGA
+APREHFGSQLIAGTKEEIPVFKRRRRSSSVVSAEMSGCSSKSHRRTSLPCIPREQVRTCS
+PPLTRGRGFRQARVSDTGMKKHSLYL
+>tr|A0A3Q1LRW3|A0A3Q1LRW3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=PATE1 PE=4 SV=1
+MDKSLLLGLPVLLCCFRAVSHLLPYSLAQIIEIIQCRMCHIQFPRQKCSRGRGLCIAVKE
+EACTTGRIFKYDGTLWLTFRGCLKNCANVNNIKWSVYLVNFRCCRSHDLCNEDI
+>tr|F1N6T1|F1N6T1_BOVIN VRK serine/threonine kinase 2 OS=Bos taurus OX=9913 GN=VRK2 PE=4 SV=2
+MPPRRKEKYKLPIPLPEGTVLDDMEGKQWVLGKLVGSGGFGLIYLAFPTNKPDKDARHVI
+KVEYQENGPLFSELKFYQRAAKKDCIKKWIELKKLDYLGIPLFYGSGITEFKGKSYRFMV
+MERLGIDLQKISDQNGTFKKSTVLQLGIRMLDVLEYIHENEYVHGDIKAANLLLGYRNPD
+RVYLADYGLSYRYCPNGNHKQYQENPKKGHNGTIEFTSLDAHKGVALSRRSDLEILGYCL
+LRWLCGRLPWERNLQDPVAVQAAKTNLLDELPESVLQWAPSGSSCREIAQYLVCAHNLAY
+DEKPDYQMLKKILNPSGIPLGPLEFYTKGDSLNTHAPDNQKVRRGDPEEIPLVQGKEQRL
+RFAGAALKRYPTSKVDSRKAEAKQAYQVQNMLVGKKGHSDRSAESRTPRRKVQEEEKLTG
+VRNSETVQVVF
+>tr|F1MYJ9|F1MYJ9_BOVIN Ubiquitin-like protein OS=Bos taurus OX=9913 GN=UBL3 PE=4 SV=1
+LSCNRPYRMINLRLILVSGKTKEFLFSPNDSASDIAKHVYDNWPMDWEEEQVSSPNILRL
+IYQGRFLHGNVTLGALKLPFGKTTVMHLVARETLPEPNSQGQRNREKTGESNCCVIL
+>tr|A0A3Q1NHU3|A0A3Q1NHU3_BOVIN CUB and Sushi multiple domains 3 OS=Bos taurus OX=9913 GN=CSMD3 PE=4 SV=1
+MGNRWGNSGNRFIYTCGGTLKGLNGTIESPGFPYGYPNGANCTWVIIAEERNRIQIVFQS
+FALEEEYDYLSLYDGHPHPTNFRTRLTGFHLPPPVTSTKSVFSLRLTSDFAVSAHGFKVY
+YEELQSSSCGNPGVPPKGVLYGTRFDVGDKIRYSCVTGYILDGHPQLTCIANSVNTASWD
+FPVPICRAEDACGGTMRGSSGIISSPSFPNEYHNNADCTWTIVAEPGDTISLIFTDFQME
+EKYDYLEIEGSELSGMNIPPPIISNKNWLRLHFVTDSNHRYRGFSAPYQVNEGGIKTASN
+LCPDPGEPENGKRIGSDFSLGSTVQFSCDEDYVLQGAKSITCQRIAEVFAAWSDHRPVCK
+VKTCGSNLQGPSGTFTSPNFPFQYDSNAQCVWVITAVNTNKVIQINFEEFDLEIGYDTLT
+IGDGGEVGDPRTVLQVLTGSFVPDLIVSMSSQMWLHLQTDESVGSVGFKVNYKEIEKESC
+GDPGTPLYGIREGDGFSNRDVLRFECQFGFELIGEKSIVCQENNQWSANIPICIFPCLSN
+FTAPMGTVLSPDYPEGYGNNLNCIWTIISDPGSRIHLSFNDFDLESQFDFLAVKDGDSPD
+SPVLGTFTGAEVPSHLTSNSHILRLEFQADHSMSGRGFNITYNTFGHNECPDPGIPINAR
+RFGDNFQLGSSISVICEEGFIKTQGTETITCILMDGKVMWSGPIPRCGAPCGGHFSAPSG
+LILSPGWPGYYKDSLNCEWVIEAEPGHSIKITFERFQTELNYDVLEVHDGPNLLSPLLGS
+YNGTQVPQFLFSSSNFIYLLFTTDNSRSNNGFKIHYEMNTYSCLDPGIPVHGRRYGHDFS
+IGSTVSFSCDPGYRLSHEEPLLCEKNHWWSHSLPTCDALCGGDVRGPSGTILSPGYPEFY
+PNSLNCTWTVDVTHGKGVQFNFHTFHLEDHHDYLLITENGSFTQPLARLTGSELPSTINA
+GLYGNFRAQLRFISDFSISYEGFNITFSEYNLEPCEDPGIPQYGNRIGFTFGVGDTLTFS
+CSSGYRLEGTSEIICLGGGRRVWSAPLPRCVAECGASATNNEGILLSPNYPLNYENNHEC
+IYSIQVQAGKGINISARTFHLAQGDVLKIYDGKDKTTHLLGAFTGASMRGLTLSSTSNQL
+WLEFNSDSEGTDEGFQLVYTSFELSHCEDPGIPQFGYKISDQGHFAGSTIIYGCNPGYTL
+HGSSLLKCMTGERRAWDYPLPSCIAECGGRFKGESSGRILSPGYPFPYDNNLRCMWMIEV
+DPGNIVSLQFLAFDTEASHDILRVWDGPPENEMLLKEISGSLIPEGIHSTLNVVTIQFDT
+DFYISKSGFAIQFSSSVATACRDPGVPMNGTRNGDGREPGDTVVFQCDPGYELQGEERIT
+CIQVENRYFWQPSPPVCIAPCGGNLTGSSGFILSPNFPHPYPHSRDCDWTITVNADYVIS
+LAFISFSIEPNYDFLYIYDGPDSNSPLIGSFQDSKLPERIESSSNNMHLAFRSDGSVSYT
+GFHLEYKAKLRESCFDPGNIMNGTRLGMDYKLGSTVTYYCDAGYILQGYSTLTCIMGDDG
+RPGWNRVLPSCHAPCGSRSTGSEGTVLSPNYPKNYSVGHNCVYSIAVPKEFVVFGQFVFF
+QTSLHDVVEVYDGPTQQSSLLSSLSGSHSGESLPLSSGNQITIRFTSVGPITAKGFHFVY
+QAVPRTSSTQCSSVPEPRFGRRIGNEFAVGSLVLFECNPGYILHGSIAIRCDTVPNSLAQ
+WNDSLPTCIVPCGGILTKRKGTILSPGYPEPYDNNLNCVWKITVPEGAGIQVQVVSFATE
+HNWDSLDFYDGGDNNAPRLGSYSGTTIPHLLNSTSNNLYLNFQSDISVSAAGFHLEYTAI
+GLDSCPEPQTPSSGIKIGDRYMVGDVVSFQCDQGYSLQGHSHITCMPGPVRRWNYPIPIC
+LAQCGGAMSDFSGVILSPGFPGNYPSSLDCTWTIKLPIGFGVHLQFVNFSTETIHDYLEV
+RSGSSETSTVIGRLSGPQIPSSLFSTTHETSLYFHSDYSQNKQGFHIVYQAYQLQSCPDP
+RPFRNGFVIGNDFTVGQTISFECFPGYTLIGNSALTCLHGVSRNWNHPLPRCEALCGGNI
+TAMNGTIYSPGYPDEYPNFQDCFWLVKVPPGNGIYINFTVLQTEPIYDFITVWDGPDQNS
+PQIGQFSGNTALESVYSTSNQILIKFHSDFTTSGFFVLSYHAYQLRVCQPPPPVPNAEIL
+TEDDEFEIGDIIRYQCLPGFTLVGNAILTCRLGERLQMDGAPPVCQVLCPANELRLDSTG
+VILSPGYPDSYPNLQMCAWSISVEKGYNISMFVEFFQTEKEFDVLQVYDGPNIQSPVLVS
+LSGDYSSAFNITSNGHEVFLQWSADHGNNKKGFRIRYIAFYCSTPESPPHGYIISQTGGQ
+LNSVVRWACDRGFRLVGKSSAVCRKSSYGYHAWDAPVPACQAISCGIPKAPTNGGILTTD
+YLVGTRVTYFCNDGYKLSSKELTTAICQSDGTWSNHNKTPRCVVVTCPSINSFTLEHGRW
+RIVNGSHYEYKTKVVFSCDPGYHGLGPASIECLPNGTWSWRNERPYCQIISCGELPTPPN
+GNKIGTQTSYGSTAIFTCDSGFMLVGSAVRECLSSGLWSGSDTRCLAGHCGIPELIVNGQ
+VIGENYGYRDTVVYQCNPGFRLIGSSVRICQQDHNWSGQLPACVPVSCGHPGSPIYGRTS
+GNGFNFNDVVTFSCNIGYLMQGPTKAQCQANRQWSHPPPVCKVVNCSDPGIPANSKRESK
+IEHGNFTYGTVVFYDCNPGYFLFGSSVLICQPNGQWDKPLPECIMIDCGHPGVPPNAVLS
+GEKYTFGSTVHYSCTGKRTLLGQSSRTCQLNGHWSGSQPHCSGDATGTCGDPGTPGHGSR
+QESDFRTKSSVRFACDTGYILHGSEERTCLPNGSWTGRQPECKGKCGNPGTTANGKVFRI
+DGTTFSSSVIYSCVEGYILSGPSVRQCTANGTWSGTLPNCTIISCGDPGIPANGLRYGDD
+YVVGQNVSYMCQPGYTMELNGSRIRTCTTNGTWSGVMPTCRAVTCPTPPQISNGRLEGTN
+FDWGFSISYICSPGYELSFPAVLTCVGNGTWSGEVPQCLPKFCGDPGIPAQGKREGKSFI
+YQSEVSFSCNSPFILVGSSTRICQADGTWSGSSPHCIEPTRTSCDNPGVPRHGSQNNTFG
+FQVGSVVQFHCKKGHLLQGSTTRTCLPDLTWSGIQPECIPHSCKQPETPAHANVVGMDLP
+SHGYTLVYTCQPGFFLAGGTEHRVCRSDNTWTGKVPVCEGQSPSGQDIMIPDDVFAQNYI
+WKGSYNYKGKKQPMTLTVTSFNASTGRVNATLSNSNMELLLSGITLKKRNFIPNFLFVCY
+FFPSIGLNMSEGSNSSNQPHGTNSSSVAIAILVPFFALIFAGFGFYLYKQRTAPKTQYTG
+CSVHENNNGQAAFENPMYDTNTKSVEGKAVRFDPNLNTVCTMV
+>tr|A0A3Q1N6K8|A0A3Q1N6K8_BOVIN Myocardin OS=Bos taurus OX=9913 GN=MYOCD PE=4 SV=1
+MTLLGSEHSLLIRTKFRSVLQLRLQQRRTQEQLANQGIVPPLKSPPEFHEQRKRLDSDKA
+EDTLKRKARSRSDRAAVVKMHILQASTAERSVPTAQMKLKRARLADDLNEKIALRPGPLE
+LVEKNILPVDSAVKEAIKGNQVTFSKSADAFAFEEDSSSDGLSPDQTRGEDLQGSAGSPP
+DTKAMETPLAGPRGTVQDSENERSDPAPHPGNQPDPGKQGLGSLGTPITGHTAVKSKSLS
+DSKNRHKKPKDPKPKVKKLKYHQYIPPDQKAEKSPPPMDSAYARLLQQQQLFLQLQILSQ
+QQQHRFSYPGLHAAPPKEPNEQMARNPNASSAPLSNTPLSPAKNSFSGQTGVSSLKPGPL
+PSNLDDLKVSELRQQLRIRGLPVSGTKTALMDRLRPFQDCSGNPVPNFGDITTVTFPVTP
+SNALPSYQSSPSTGALSNGFYHFGSTSSSPPISPASSDLSVAGSLPDTFNDASPSFGLHP
+SPVPACAEETLMGSLNGGSMLPELDGLDSEKDKMLVEKQKVINELTWKLQQEQRQVEELR
+LQLQKHKRSGCPDKKPPPFPAAPIKQEDAVSSCPFSSRQTAMKRQSTGSEGQPPPPREDT
+QLLPLGSTHCAEPSGQTNVLSSTFLSPQCSPQHSPLGAVKSPQHISLPPSPNNHYFLPPS
+SGAQGEEHRVSSPVSSQVCTAQMAGLHSSDKAGPKFPIPSPTFSKSTSAVSEITQPPSYE
+DAVKQQMTRSQQMDELLDVLIESGEMPADAREDHSCLHRVPKIPGSSRSPTGALPKSSAA
+FEPASAGGQLSFDHFGAESNEHLEVLLNSQSPLGKVSDTTLLKIGSEEPPFDGMMDGFSG
+KAAEDLFNAHEILPGPLSPMQTQFSPSSVDSSGLPLSFTESPWESMEWLDLTPPSSTPSF
+SSLSTGGPSIFNIDFLDVTDLNLNSPMDLHLQQW
+>tr|A0A3Q1M5Y5|A0A3Q1M5Y5_BOVIN SAM domain-containing protein OS=Bos taurus OX=9913 GN=L3MBTL1 PE=4 SV=1
+MEGHAEMEMLRTLKGPSTGEVSVHLVARDSPGSGSHLPTAAFIIPGGSAALGLPSSALDV
+SCFPREPIHVGTPERAAGSVPVTATVLPQLSTGPAASPSASTVRLLEWTEAAAPPSGSGL
+RFRISEYAPPNMVGAEQPPSPELRREGVAEYEDGEAPDPPEGPPQDPPEDDGTCPCQACG
+PQQGAGPDPSSSNDGCPQRFQERSVIVENSSGQNNCTSASELLKPVKKRKHREYQSPSEN
+ESEPEAMKQEEGKDPEGQPTTNTLESDEWNSSQPASEEKKPGWSWESYLEEQKAIAAPIS
+LFQDYQTVTHNKNGFRLGMKLEGIDPQHPSMYFILTVAEVCGYRLRLHFDGYSECHDFWI
+NANSPDIHPAGWFEKTGHKLQGLGYKEEEFSWSQYLRSTRAQAAPKHLFVSQSHSPPPLG
+FQVGMKLEAVDRMNPSLVCVASVTDVVDSRFLVHFDNWDDTYDYWCDSSSPYIHPVGWCQ
+KQGKPLTPPQDYPDPDSFCWEKYLEETGASAVPAWAFKVRPPHSFLVNMKLEAVDRRNPA
+LIRVASVEDVEDHRIKLHFDGWSHTYDFWIDADHPDIHPAGWCSKTGHPLQPPLQSREPS
+AASPTGCPPLSYRSLPHTRTSKYSFHHRKCPTPGCDGSGHVTGKFTAHHCLSGCPLAERN
+QSRLKAELSDTEASARKRNLSGFSLRKKPRHHGRIGRPPKYRKIPQEALTADVTHQSLFM
+SALSAHPDRSLSVCWEQHCKLLPGVAGISASAVAKWTIDEVFGFVQTLTGCEDQARLFKD
+EMIDGEAFLLLTQADIVKIMSVKLGPALKIYNAILMFKNADDTLK
+>tr|A0A3Q1M0M4|A0A3Q1M0M4_BOVIN Ecotropic viral integration site 5 OS=Bos taurus OX=9913 GN=EVI5 PE=4 SV=1
+MASQVASPSASLHTTSSSTTLSTPALSPSSPSQLSPDDLELLAKLEEQNRLLETDSKSLR
+SVNGSRRNSGSSLVSSSSASSNLSHLEEDSWILWGRVVNEWEDVRKKKEKQVKELVRKGI
+PHHFRAIVWQLLCSAQSMPIKDQYSELLKMTSPCEKLIRRDIARTYPEHNFFKEKDSLGQ
+EVLFNVMKAYSLVDREVGYCQGSAFIVGLLLMQMPEEEAFCVFVKLMQDYRLRELFKPSM
+AELGLCMYQFECMIQEHLPELFVHFQSQSFHTSMYASSWFLTIFLTTFPLPIATRIFDIF
+MSEGLEIVFRVGLALLQMNQAELMQLDMEGMLQHFQKVIPHQFDGGPDKLIQAAYQVKYN
+SKKMKKLEKEYTTIKTKEMEEQVEIKRLRTENRLLKQRIETLEKESASLADRLIQHKCSS
+NYNEDFVLQLEKELVQARLSEAESQCALKEMQDKVLDIEKRNNSFPDENNIARLQEELIA
+VKLREAEAIMGLKELRQQVKDLEEHWQRHLARTTGRWKDPPKKNAMIELQDELMTIRLRE
+AETQAEIREIKQRMMEMETQNQINSNHLRRAEQEVINLQEKVQYLSAQNKGLLTQLSEAK
+RKQAEIECKNKEEVMAVRLREADSIAAVAELQQHIAELEIQKEEGKLQGQLNKSDSNQYI
+RELKDQIAELNHELRCLKGQRGFSSQPPFDGIHIVNHLIGDDESFHSSDEEFIDNSLQES
+GIGFPLHRKSGPMSLDPTLADGSESEAEDSVLETRDGSRVAQKEQPLRRASYSTTV
+>tr|A0A3Q1NMF6|A0A3Q1NMF6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MAVCLPSLSDLRAERTLTEINQELRLQLAKYKQDFRDLTEKFLISQATSYSLANQLQKYS
+KSSRS
+>tr|F1MNR0|F1MNR0_BOVIN Glycine receptor alpha 3 OS=Bos taurus OX=9913 GN=GLRA3 PE=3 SV=3
+RFRMWGARVYLWSIHYDVLVATKETDSARSRSAPMPPSDFLDKLMGRTSGYDARIRPNFK
+GPAVNVTCNIFINSFGSIAETTMDYRVNIFLRQKWNDPRLAYSEYPDDSLDLDPSMLDSI
+WKPDLFFANEKGANFHEVTTDNKLLRIFKNGNVLYSIRLTLTLSCPMDLKNFPMDVQTCI
+MQLESFGYTMNDLIFEWQDEAPVQVAEGLTLPQFLLKEEKDLRYCTKHYNTGKFTCIEVR
+FHLERQMGYYLIQMYIPSLLIVILSWVSFWINMDAAPARVALGITTVLTMTTQSSGSRAS
+LPKVSYVKAIDIWMAVCLLFVFSALLEYAAVNFVSRQHKELLRFRRKRRSKRSPIINIYD
+DEIRESRFSFTAYGMGPCLQTKEGVTPKGPNHPVQVMPKSPDEMRKVFIDRAKKIDTISR
+ACFPLAFLIFNIFYWVIYKILRHEDIHQQ
+>tr|A0A3Q1MAL0|A0A3Q1MAL0_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=OR10A6 PE=3 SV=1
+MKRQNQSSVVEFILLGFSNFPELQGQLFGIFLIIYLLTLIGNVVIIVVICLEQSLHVPMY
+LFLQNLSVVDVSISAVIMPEMLVVLSNEKTSISFVSCFAQMYFILFFGGTECFLLGAMAY
+DRFAAICSPLSYPMIMNKRVCMKLVTGSWTLGFMLGTVQTTWVSSFPFCGPSEINHISCE
+TPAVLELACADTFLFEIYAFTGTILIIMVPFMLIILSYIRILFAILKMPSTTGRQKAFST
+CASHLTSVTLFYGTASMTYLQPKSGYSPEAKKLMSLSYSLLTPLLNPLIYSLRNSELKRA
+LIKLWRRKVDLHTF
+>tr|A0A3Q1LVJ4|A0A3Q1LVJ4_BOVIN F-box and WD repeat domain containing 11 OS=Bos taurus OX=9913 GN=FBXW11 PE=4 SV=1
+MEPDSVIEDKTIELMNTSVMEDQNEDESPKKSTLWQISNGTSSVIVSRKRPSEGNYQKEK
+DLCIKYFDQWSESDQVEFVEHLISRMCHYQHGHINSYLKPMLQRDFITALPEQGLDHIAE
+NILSYLDARSLCAAELVCKEWQRVISEGMLWKKLIERMVRTDPLWKGLSERRGWDQYLFK
+NRPTDGPPNSFYRSLYPKIIQDIETIESNWRCGRHNLQRIQCRSENSKGVYCLQYDDEKI
+ISGLRDNSIKIWDKTSLECLKVLTGHTGSVLCLQYDERVIVTGSSDSTVRVWDVNTGEVL
+NTLIHHNEAVLHLRFSNGLMVTCSKDRSIAVWDMASATDITLRRVLVGHRAAVNVVDFDD
+KYIVSASGDRTIKVWSTSTCEFVRTLNGHKRGIACLQYRDRLVVSGSSDNTIRLWDIECG
+ACLRVLEGHEELVRCIRFDNKRIVSGAYDGKIKVWDLQAALDPRAPASTLCLRTLVEHSG
+RVFRLQFDEFQIISSSHDDTILIWDFLNVPPSAQNETRSPSRTYTYISR
+>tr|F1N308|F1N308_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=CASTOR2 PE=4 SV=3
+MELHILEHRLQVASVAKESIPLFTYGLIKLAFLSSKTRCKFFSLTETPEDYTIIVDEEGF
+LELPSSEHLSVADATWLALNVVSGGGSFSSSQPIGVTKIAKSVIAPLADQNISVFMLSTY
+QTDFILVRERDLPFVTHTLSSEFTILRVVNGETVAAENLGITNGFVKPKMVQRPVIHPLS
+SPSNRFCVTSLDPDTLPTVATLLMDVMFYSNGVKDPLVSGDDCDHIRFFSFSLIEGYISL
+VMDVQTQQRFPSNLLFTSASGELWKMVRIGGQPLGFDECGIVAQISEPLAAADIPAYYIS
+TFKFDHALVPEENINGVISALKVSQAEKH
+>tr|F1MPI7|F1MPI7_BOVIN Deltex E3 ubiquitin ligase 1 OS=Bos taurus OX=9913 GN=DTX1 PE=4 SV=2
+MSRPGQGVMMPVNGLGFPPQNVARVVVWEWLNEHSRWRPYTATVCHHIENVLKEDARGSV
+VLGQVDAQLVPYIIDLQSMHQFRQDTGTMRPVRRNFYDPSSAPGKGIVWEWENDGGAWTA
+YDMDICITIQNAYEKQHPWLDLSSLGFCYLIYFNSMSQMNRQTRRRRRLRRRLDLAYPLT
+VGSIPKSQSWPVGASSGQPCSCQQCLLVNSTRAASNAILASQRRKAPPAPPPPQPPPGGP
+AGTLAVRPSATFAGAALWAAPAAGPAEPAQPPGALPRSPSAPGGAPTPGQNNLNRPGPQR
+ATSVSARASIPPGVPALPVKNLNGTGPVHPALAGMTGILLCAAGLPVCLTRAPKPILHPP
+PVSKSDVKPVPGVPGVCRKTKKKHLKKSKNPEDVVRRYMQKVKNPPDEDCTICMERLVTA
+SGYEGVLRHKGVRPELVGRLGRCGHMYHLLCLVAMYSNGNKDGSLQCPTCKAIYGEKTGT
+QPPGKMEFHLIPHSLPGFADTQTIRIVYDIPTGIQGPEHPNPGKKFTARGFPRHCYLPNN
+EKGRKVLRLLITAWERRLIFTIGTSNTTGESDTVVWNEIHHKTEFGSNLTGHGYPDASYL
+DNVLAELTAQGVSEAVAKA
+>tr|G3X8B6|G3X8B6_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC784595 PE=3 SV=2
+VHRNQANQSAKVTFILLGFSEYPQLQLPQFLVFLTIYTVTLLGNLGMILIMKSSSRLHMP
+RNFFLSHLSFVDSCYSTVVTPMLLENLVVEDRTISFTGCLIQFFVCIFVVTETFLLAVMA
+YDRFVAICNPLLYAVVMSQKLCSLRLSALYSWSIACSLIYTYVLSTLSFCGTKFINNFVC
+EHAAIVAVSFSDPYINQEIILVSATFNEVSSLMIIRTSYVFIFITVLRMLSTGGCHKVFS
+TCASHLTTITIFHGTILFLLLEWGAIAFSILFLYCVPNSRSSSLMVKVASVFYTVVIPML
+NPVIYSLRNKDVKKSVKKLINIKLFCDKMQS
+>tr|A0A3Q1LRH2|A0A3Q1LRH2_BOVIN Modulator of macroautophagy TMEM150B OS=Bos taurus OX=9913 GN=TMEM150B PE=4 SV=1
+MFSAGSPSPPTCSLAVGNWEASVGRIRAPGSRPGPAASRQKRHPAEWAPVGLGSHSLRRF
+SLAVVNKAVNLTDGFPYISVCGNVPPQSCIFSQVLNIGAASAAWICILRYYQLRDWGVRK
+WHNQVILWTGLLCALGTSIVGNFQEKNQRATHLTGAFLAFFVGIVYFWLQLFLSWRMKNL
+PQPGAPWIGPLRLVLCSACFILEVAMVVLHSWSMRSVSAICEWVAAMLLFILFGLLAVDF
+SRLDSCTLCLQPGSGSLRPPPDSPTSLHVQL
+>tr|G3X7I1|G3X7I1_BOVIN Alpha-actinin-3 OS=Bos taurus OX=9913 GN=ACTN3 PE=4 SV=1
+MMMVLQPEGLGTGEGPFAGGRGGGEYMEQEEDWDRDLLLDPAWEKQQRKTFTAWCNSHLR
+KAGTQIENIEEDFRNGLKLMLLLEVISGERLPRPDKGKMRFHKIANVNKALDFIASKGVK
+LVSIGAEGEEVAGGGRWGWGRGTTICTSQEQLIYQVETSAKEGLLLWCQRKTAPYRNVNV
+QNFHTSWKDGLALCALIHRHRPDLIDYAKLRKDDPIGNLNTAFEVAEKYLDIPKMLDAED
+IVNTPKPDEKAIMTYVSCFYHAFAGAEQAETAANRICKVLAVNQENEKLMEEYEKLASEL
+LEWIRRTVPWLENRVGEPSMSAMQRKLEDFRDYRRLHKPPRVQEKCQLEINFNTLQTKLR
+LSHRPAFMPSEGKLVSDIANAWRGLEQAEKGYEDWLLSEIRRLQRLQHLAEKFQQKASLH
+EAWTRGKEDMLSQRDYETASLQEVRALLRRHEAFESDLAAHQDRVEHIAALAQELNELDY
+HEAASVNSRCQAICDQWDNLGTLTQKRRDALERMEKLLETIDQLQLEFARRAAPFNNWLD
+GAVEDLQDVWLVHSVEETQSLVTAHDQFKATLPEADRERGAILGIQGEIQKICQTYGLRP
+SSTNPYITLTPQDINTKWDTVRKLVPSRDQMLQEELTRQQVNERLRRQFAAQANAIGPWI
+QGKVEEVGRLAAGMAGSLEEQMAGLRQQEQNIINYKSNIDRLEGDHQLLQESLVFDNKHT
+VYSMEHIRVGWEQLLTSIARTINEVENQVLTRDAKGLSQEQLNEFRASFNHFDRKRNGMM
+EPDDFRACLISMGYDLGEVEFARIMTMVDPNAAGVVTFQAFIDFMTRETAETDTAEQVVA
+SFKILAGDKNYITAEELRRELPAEQAEYCIRRMAPYKGAGAPAGALDYVAFSSALYGESD
+L
+>tr|A0A3Q1LP87|A0A3Q1LP87_BOVIN RRM domain-containing protein OS=Bos taurus OX=9913 GN=ENOX2 PE=4 SV=1
+MTLPMSDPTAWATAMNNLGMAPLGIAGQPILPDFDPALGMMTGIPPITPMMPGLGIVPPP
+IPPDMPVVKEIIHCKSCTLFPPNPNLPPPATRERPPGCKTVFVGGLPENGTEQIIVEVFE
+QCGEIIAIRKSKKNFCHIRFAEEYMVDKALYLSGYRIRLGSSTDKKDTGRLHVDFAQARD
+DLYEWECKQRMLAREERHRRRMEEERLRPPSPPPVVHYSDHECSIVAEKLKDDSKFSEAV
+QTLLTWIERGEVNRRSANNFYSMIQSANSHVRRLVNEKAAHEKDMEEAKEKFKQALSGIL
+IQFEQIVAVYHSASKQKAWDHFTKAQRKNISVWCKQAEEIRNIHNDELMGIRREEEMEMS
+DDEIEETTETKETEESALVSQAEALKEENDSLRWQLDAYRNEVELLKQEQGKAHREDDPN
+KEQQLKLLQQALQGMQQHLLKVQEEYKRKEAELEKIKDDKLQVEKMLENLKEKESCASRL
+CASSQDSDYPLEKTLNSCPVKSEREALLVGIISTFLHVHPFGASIEYICSYLHRLDNKIC
+TSDVECLMSRLQHTFKQEMTGVGASLEKRWKFCGFEGLKLT
+>tr|A0A3Q1N5Z7|A0A3Q1N5Z7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=DNAH11 PE=4 SV=1
+MESVITEISRTWATMEFSYEVHYRTGIPLLKFDELLFETLEHNQVQLQTLLQSKYVEYFI
+EQVISWQNKLNTADSVIFTWIEVQCTWSHLESIFVCSEDIRIQLVKDAQRFDRVDAEFKE
+LMFRTAKIKNVLEATCELHLYEKLKDLQYRLSLCEKALAQYLETKRLAFPRFYFISSTDL
+LDILSKGTQPKQVTRHLSKLFDSIADLQFEDDQDVSAHRAAGMYSKDKEYVPFPAACECI
+GHVETWLLQLERTMQETVRHSITEAIAVYEDKPRELWIFDFPAQVALTSSQIWWTTDVGI
+AFSRLEEGYETALKDFHKKQISQLNTLIALLLGELLPGDRQKIMTICTIDVHARDVVAKL
+ISQKVVTPEAFAWLSQLRHRWEDTQKHCLVNICDAQFQYFYEYLGNSPRLVITPLTDRCY
+ITLTQSLHLTMSGAPAGPAGTGKTETTKDLGRALGMMVYVFNCSEQMDYKSIGSIYKGLV
+QTGAWGCFDEFNRISVEVLSVVSVQVKMIHDAIRNRKERFVFLGEAIILKPSVGIFITMN
+PGYAGRTELPENLKALFRPCAMVAPDIELICEILLVAEGFVDARSLACKFITLYTLCQEL
+LSKQDHYDWGLRAIKSVLVVAGSLKRGDKNRPEDQVLMRALRDFNMPKIVTDDTSAFLGL
+IGDLFPSLDVPRRRAPHFEQMVRQSTVELRLQPEESFILKVVQLEELLAVRHSVFVVGNA
+GTGKSKILRTLNRTYVNMKQKPIWNDLNPKAVTTDELFGFIHHATREWKDGLFSSILREQ
+ANLRHDGPKWIVLDGDIDPMWIESLNTVMDDNKVLTLASNERIALTPSMKLLFEIHHLRT
+ATPATVSRAGILYVNPQDLGWNPYVASWIDRRRHQSEKANLTILFDKYVPACLEKLRTSF
+KTITSIPESSLVQTICTLLECLLTPENVPSDSPKEVYEAYFVFACIWAFGGTLLQDQLSG
+CQAEFSQWWHKEMKAVKFPSQGTIFDYYLDHKSKKFLPWADKIPQFTMDPEIPLQRALVH
+TTETTRLRYFVELLLEKGQPLMLVGNAGVGKTVFVGDMLAGLSEAYIVSRVPFNYCTTSA
+ALQRILEKPLEKKAGRNYGPGGNKKLVYFIDDMNMPAVDSYGTVQPHTLIRQHIDYGHWY
+DRQKVMLKEIHSCQYVACMNPMVGSFTINPRLQRHFTVFAFNFPSLDALNTIYSQILSFH
+FQQQAFGPSVLRSGPTLIQATIAFHQMMAHTFLPTAIKFHYLFNLRDLSNVFQGILFTSP
+ECLKCPNDLIRLWLHESSRVYGDKLIDTKDCDLFHKKLLETISTGEDDIHPWQPLIYCHF
+AKGSQDPCYMPVKDWEVLKTFLTEALDDYNELNAAMHLVLFEDAMQHVCRISRILQSPQG
+YALLIGVGGSGKQSLSRLAAYVCSLEVFQITLTQGFGIQELRVDLANLYIRAGAKNMPTA
+FLLTDAQVLDESFLVLINDLLASGEIPDLFSDEDVDKIISGVRDEVRGLGMVDSRENCWK
+FFLFRVRRQLKIILCFSPVGHTLRSRARKFPALVNCTAVDWFHAWPQEALVTVSRRFIEE
+TKGTEPQDKDSISLFMAHVHTSVHEMSTRYYQNERRHNYTTPKSFLEQISLFKNLLKKKQ
+EAVSQKKEHLVNGVQKLRTTASQVGELKARLASQEAELQLRNQDAEALIAKMGLQTEKLS
+REKAIADAEERKVTAIQTEVSQKQRECEADLLKAEPALVAATAALNTLNRRAERPGLESV
+CAFLQVNLTELKAFPNPPNAVTNVTAAVMVLLAPRGRVPKDRSWKAAKVFMGKVDDFLQA
+LINYDKEHIPENCLKVVKEQYLRDPEFNPNLIRTKSFAAAGLCAWVINIMKFYEVYCDVE
+PKRQALAQANLELAAAAEKLGAIRKKLADLDRNLSRLTDSFEKAIAEKVRCQEEVNQTNK
+TIELANRLVRELESEKIRWGQSIKSFEAQEKTLCGDVLLTAAYVSYVGSFTQQYRQELVD
+CMWVPFLQEKVSSVPNLGVDVIAMLTDDATTATWNNEGLPSDRMSTENAAILTHCERWPL
+LIDPQQQGIKWIKNKYGTDLKVTHLGQKGFLNDIETALAFGDVILIENLEETIDPVLDPL
+LGRNTIKKGKYIKIGDKECEFNHNFRLILHTKLVNPHYKPELQAQTTLLNFTVTEDGLEA
+QLLAEVVNIERPDLEKLKLVLTKHQNDFKIELKYLEDDLLLRLSAAEGSFLDDTKLVERL
+ERAKATAAEIECKVGKAKENERKINEARECYRPVAARASLLYFVINDLRKINPIYQFSLK
+AFNMLFHRAIEQTDKVEDMPGRISALTESITHAVFLYTSQALFEKDKLTFLSQMAFQILL
+RNKEIDHLELDFLLRFTVEHTYQSPVDFLTNQSWSAIKVCWEQFRGLDRDVEGSAKQWRK
+WAESECPEKEKLPQEWKKKSLIQKLIILRAMRPDRMTYALRNFVEEKLGAKYVERTRLDL
+VKAFEESSPATPIFFILSPGVDALKDLEILGKRLGFTIDSGKFHNVSLGQGQEMVAETAL
+EKASREGHWVILQNVHLVAKWLRTLEKLLERFSQGSHRDYRVFMSAESASIPSEHVIPQG
+LLENSIKITNEPPTGMLANLHAALYNFDQDTLEVCSKEQEFKSILFSLCYFHACVAGRLR
+FGPQGWSRRYPFSPGDLTICASVLYNYLEANPNVPWEDLRYLFGEIMYGGHITDDWDRKL
+CCTYLEEFMNPSLIDDELMLAPGFAAPPSLDCSGYHQYIEETLPPESPVLYGLHPNAEIE
+FLTVTSNMLFRTLLEMQPRNAVSSEELGQSTEDKVKHVLDDILEKLPEEFNMTEIMQKKT
+NRSPYALVCFQECERMNILLREIRVSLQQLELGLKGELTLSPDMEGQQSALSFDTVPETW
+SKLAYPSTYGLAQWFNDLLLRCRELDTWTQDLALPAVVWLSGFFNPQAFLTAIMQTTARK
+NEWPLDKTCLTVDVTKKTKEDYGHPPREGAYLHGLLMEGARWDSQSGTIVEARLKELRSA
+MPVIFAKAIPVDRQETTHTYECPVYKTKRRGPHYVWTFRLKSKEKAAKWVLAGVALLLEA
+>tr|A0A3Q1LNN8|A0A3Q1LNN8_BOVIN Growth factor receptor bound protein 10 OS=Bos taurus OX=9913 GN=GRB10 PE=4 SV=1
+MWFEDRGEEPMALAGGPDSFLHHPYHQDKVEQTPPQRPGELAGPGFPAPPDRLASHQEDD
+VDLEALVNDMDASLESLCAASETVPLLHNGQHSRGPVPRARVLQPQAAPRQRVQRSQPVS
+ILAVRRLQEEDLHLRTSSLPAIPNPFPELCGPGSPPALTPGSLPPGPAAAKQDVKVFSED
+GTSKVVEILADMTARDLCQLLVYRSHCVDDNSWALVEHHPHLGLERCLEDHELVVQVEST
+MASESKFLFRKNYAKYEFFKNPMNFFPEQMVTWCQQSNGSHTQLLQNFLNSSSCPEIQGF
+LHVKELGRKSWKKLYVCLRRSGLYCSTKGASKEPRHLQLLADLEDSSIFSLIAGRKQYSA
+PTDYGFCIKPNRVRNEAKELRLLCAEDEQSRTCWMTACRLLKYGMLLYQNYRIPQQRKAM
+LSHFSTPVRSVSENSLVAMDFSGQTGRVIENPAEAQSAALEEGHAWRKRSTRMNILGSQS
+PLHPSALSTVIHRTQHWFHGRISREESHRIIKQQGLVDGLFLLRDSQSNPKAFVLTLCHH
+QKIKNFQILPCEDDGQTFFSLDDGNTKFSDLIQLVDFYQLNKGVLPCRLKHHCIRVAL
+>tr|A0A3Q1LXH1|A0A3Q1LXH1_BOVIN Plectin OS=Bos taurus OX=9913 GN=PLEC PE=1 SV=1
+MVAGMFMPLDQLRAIYEVLFREGVMVAKKDRRPRSLHPHVPGVTNLQVMRAMASLRARGL
+VRETFAWRHFYWYLTNEGIAHLRQYLHLPPEIVPASLQRVRRPVAMVMPARRTPHVQAVQ
+GPLGCPPKRGPPPTEDPAREERCVYRRKEPEEGAPEPPVVPAATPGTLARQGLEPAPPTD
+ERDRVQKKTFTKWVNKHLIKAQRHISDLYEDLRDGHNLISLLEVLSGDSLPREKGRMRFH
+KLQNVQIALDYLRHRQVKLVNIRNDDIADGNPKLTLGLIWTIILHFQISDIQVSGQSEDM
+TAKEKLLLWSQRMVEGYQGLRCDNFTSSWRDGRLFNAIIHRHKPMLIDMNKVYRQTNLEN
+LDQAFSVAERDLGVTRLLDPEDVDVPQPDEKSIITYVSSLYDAMPRVPDVQDGVKANELQ
+LRWQEYRELVLLLLQWIRAHTAAFEERRFPSSFEEIEILWCQFLKFKETELPAKEADKNR
+SKGIYQSLEGAVQAGQLKVPPGYHPLDVEKEWGKLHVAILEREKQLRSEFERLERLQRIV
+SKLQMEAGLCEEQLNQADALLQSDVRLLAAGKAPQRAGEVERDLDKADGMIRLLFNDVQA
+LKDGRHPQGEQMYRRVYRLHERLVAIRTEYNLRLRGTPRHPELEDSTLRYLQDLLAWVEE
+NQRRLDGAEWGVDLPSVEAQLGSHRGLHQSVEEFRAKIERARTDEGQLSPATRGAYRDCL
+GRLDLQYAKLLNSSKARLRSLESLHGFVAAATKELMWLSEKEEEEVGFDWSERNSNMAAK
+KEAYSALMRELELKEKKIKEIQSTGDRLLREDHPARPTVESFQAALQTQWSWMLQLCCCI
+EAHLKENTAYFQFFSDVREAEEQLRKLQETLHRKYTCDRSITVTRLEDLLQDAQDEKDQL
+NEYRGHLSGLAKRAKAIVQLTPRNPTQPTRGRVPLLAVCDYKQVEATVHKGDECQMLGPA
+QPFHWKVLSGSGSEAAVPSVCFLVPPPNQEALEAVARLEAQHQALVTLWHQLHTDMKSLL
+AWQSLSRDVQLIRSWSLVTFRTLKPEEQRQALRSLELHYQAFLRDSQDAGGFGPEDRLQA
+EREYGSCSRHYQQLLQSLEQGKCGQCGGWWDARGGPPAVGRRANWMCSPGEQEESRCQRC
+ISELKDIRLQLEACETRTVHRLRLPLDKEPARECAQRIAEQQKAQAEVEGLGKGVARLSA
+EAEKVLALPEPSPAAPTLRSELELTLGKLEQVRSLSAIYLEKLKTISLVIRSTQGAEEAL
+KAHEEQLKEAQAVPAALPELEATKAAMKKLRAQAEAQQPVFDALRDELRGAQEVGERLQQ
+RHGERDVEVERWRERVTQLLERWQAVLAQTDVRQRELEQLGRQLRYYRESADPLGAWLQD
+ARRRQEQIQAVPLADSQAVREQLRQEKALLEEIERHAEKVEECQRFAKQYINAIKDYELQ
+LVTYKAQLEPVASPAKKPKVQSGSESVIQEYVDLRTRYSELSTLTSQYIRFISETLRRME
+EEERLAEQQRAEERERLAEVEAALEKQRQLAEAHAQAKAQAEREAQELQRRMQEEVARRE
+EVVVDAQQQKRSIQEELQQLRQSSEAEIQAKARQVEAAERSRLRIEEEIRVVRLQLETTE
+RQRGGAEGELQALRARAEEAEAQKRQAQEEAERLRRQVQDETQRKRQAEAELGLRVKAEA
+EAAREKQRALQALEELRLQAEEAERRLRQAEAERARQVQVALETAQRSAQAELQSKHASF
+AEKTAQLERTLEEEHVTVVQLREEATRREQQQAEAERAREEAERELERWQLKANEALRLR
+LQAEEVAQQKSLAQAEAEKQKEAAEREARRRGKAEEQAVRQRELAEQELERQRQLAEGTA
+QQRLAAEQELIRLRAETEQGEQQRQLLEEELARLQSEAAAATQKRQELEAELAKVRAEME
+VLLASKARAEEESRSSSEKSKQRLEAEAGRFRELAEEAARLRALAEEAKRQRQLAEEDAA
+RQRAEAERVLSEKLAAISEATRLKTEAEIALKEKEAENERLRRLAEDEAFQRRRLEEQAA
+QHKADIEERLAQLRKASESELERQKGLVEDTLRQRRQVEEEILALKASFEKAAAGKAELE
+LELGRIRGNAEDTLRSKEQAEQEAARQRQLAAEEERRRREAEERVQKSLAAEEEAARQRK
+AALEEVERLKAKVEEARRLRERAEQESARQLQLAQEAAQKRLQAEEKAHAFAVQQKEQEL
+QQTLQQEQSMLERLRGEAEAARRAAEEAEEARERAEGEAAQSRQRVEEAERLKQAAEEQA
+QAQAQAQAAAEKLRKEAEQEAARRAQAEQAALRQKQAADAEMEKHKKFAEQTLRQKAQVE
+QELTALRLKLEETDHQKSILDQELQRLKAEVTEAARQRSQVEEELFSVRVQMEELGKLKA
+RIEAENRALILRDKDNTQRLLQEEAEKMKQVAEEAARLSVAAQEAARLRQLAEEDLAQQR
+ALAEKMLKEKMQAVQEATRLKAEAELLQQQKELAQEQARRLQEDKEQMAQQLAQETQGFQ
+RTLETERQRQLEMSAEAERLRLRVAEMSRAQARAEEDAQRFRKQAEEISAKLHRTELATQ
+EKVTLVQTLETQRQQSDRDADRLREAIAELEREKDKLKKEAELLQLKSEEMQTVQQEQLL
+QETQALQQSFLSEKDSLLQRERFIEEEKAKLERLFQDEVAKAQKLREEQQRQQQQMQQEK
+QQLLASMEEARRRQREAEEGVRRKQEELQLLEQQRQQQEQLLAEENRRLRERLEHLEEEH
+RAALAHSEEITAAQAAATRALPNGQDATDGPAAEPEHAFEGLRQKVPAQQLQEAGILSTE
+EVQRLVQGHTTVAELTQREDVRRYLQGHSSIAGLLLKPANEKLTIYAALRRQLLSPGTAV
+ILLEAQAASGFLLDPVRNRRLTVNEAVKEGVVGPELHHKLLSAERAVTGYKDPYTGEQIS
+LFQAMKKDLIVREHGIRLLEAQIATGGVIDPVHSHRVPVDVAYQRGYFDEEMNRVLQDPS
+DDTKGFFDPNTHENLTYLQLLERCVEDPETGLRLLPLTDQAAKGGELVYTDSEARDVFEK
+ATVSAPFGKFQGKTVTIWELINSEYFTAEQRRDLLRQFRTGKVTVEKIIKIVITVIEEHE
+QKGQLCFQGLRALVPAAELLESGVIDWDLFRQLQLGERSVQEVAEVEAVRRALRGSGVIA
+GVWLEEARQKLSIYEALKKELLQPEAAVALLEAQAGTGHVIDPATSARLTVDEAVRAGLV
+GPELHEKLLSAEKAVTGYKDPYSGQSVSLFQALKKGLIPREQGLRLLDAQLSTGGIVDPS
+KSHRLPLDVACARGYLDKETSTALTAPRDDAKTYYNPRTWEPATYSQLQQQCRPDPLTGL
+SLLPLSEEAARARQQELYSEVQAREAFQKATVEVPVGSFQGRAVTIWELINSEYFTAEQR
+QELLRQFRTGKVTVEKIIKIIITIVEEVETTRRERLSFSGLRAPVPASELLASGILSSSQ
+FEQLKDGKTSVKDLSELDSVRTLLQGSGCLAGIYLEESKEKVTIYEAMRRGLLRPSTAIL
+LLEAQAATGFLVDPVRNQRLYVHEAVKAGVVGPELHEKLLSAEKAVTGYKDPYSGSTISL
+FQAMKKGLVVREHGIRLLEAQIATGGIIDPVHSHRVPVDVAYQRGYFDKEMNRVLEDPSD
+DTKGFFDPNTRENLTYRQLLERCVEDPETGLRLLPLKGPEKAEVVETTRVYTEEETRRAF
+EETQIDIPGGGSHGGSTMSLWEVMQSDLIPEEQRAQLMADFQAGRVTKERMIIIIIEIIE
+KTEIVRQQNLASYDYVRRRLTAEDLHEARVISRESYSLLREGTRSLREVLEAESAWRYLY
+GTGCVAGVYLPGSRQTLTIYQALKKGLLSAEVARLLLEAQAATGFLLDPVKGDRLTVDEA
+VRKGLVGPELHDRLLSAERAVTGYRDPYTEQTISLFQAMKKDLIPAEEALRLLDAQLATG
+GIVDPRLGFHLPLEVAYQRGYLNKDTHDQLSEPSEVRSYIDPSTDERLSYTQLLRRCRRD
+EASGLFLLPLSDARKLTFRGLRKQITVEELVRSHVMDEATAQRLQEGLTSIEEVSKNLQK
+FLEGTSSIAGVLVDATKERLSVYQAMKKGIIRPGTAFELLEAQAATGYVIDPIKGLKLTV
+EEAVRMGIVGPEFKDKLLSAERAVTGYKDPYSGKLISLFQAMKKGLILKDHGIRLLEAQI
+ATGGIIDPEESHRLPVDVAYQRGLFDEEMNEILTDPSDDTKGFFDPNTEENLTYLQLMER
+CVTDPQTGLRLLPLKEKKRERKTSSKSSVRKRRVVIVDPETGKEMSVYEAYRKGLIDHQT
+YLELSEQECEWEEITISSSDGVVKSMIIDRRSGRQYDIDEAIAKSLIDRSALDQYRAGTL
+SITEFADMLSGNAGGFRSRSSSVGSSSSYPISPAVSRTQLASWSDPTEETGPVAGILDTE
+TLEKVSITEAMHRNLVDNITGQRLLEAQACTGGIIDPNTGERFPVTEAVNKGLVDKIMVD
+RINLAQKAFCGFEDPRTKTKMSAAQALKKGWLYYEAGQRFLEVQYLTGGLIEPDTPGRVP
+LDEALQRGTVDARTAQKLRDVSAYSKYLTCPKTKLKISYKDALDRSMVEEGTGLRLLEAA
+TQSSKGYYSPYSVSGSGSTTGSRSGSRTGSRAGSRRGSFDATGSGFSMTFSSSSYSSSGY
+GRRYASGPTSSLGGPESTAA
+>tr|A0A3Q1LSR7|A0A3Q1LSR7_BOVIN Raftlin family member 2 OS=Bos taurus OX=9913 GN=RFTN2 PE=4 SV=1
+MCTSPNPEVIKINSILDIVTKVEDYYLKGYIVGAIHPVIQPVGQQKHLPASYLYRVVLSR
+LKLSTKHSTAPSGQRRPRLVIEECPLTYETQTNDVTKELIEKVNVAAKRGMRFVGFISQH
+YPPLKFCNGTNLDGDIESTLHVRRSPEENCKNWNEGTLSGQSSESGIEEELHRESGQCQM
+ERDGSPSFSKSRKGEDNKLYTVFNVFDDESTCWNYQEGILSMKVTRKGSVISTLDADWLE
+LTTFYYKQGLSLIDSFVCWETSKGDQLSKSLEGVFIYEEEGSGVPGFSRKGNDAIVVEQW
+TVIEGCEIKTDYGPLLHTLAEFGWLLTSVLPTPILRHDSEGNLATKQVVFLQRPVMWNSA
+AQTPERKGSRHVKGEDRSKVPSRSVSLDTDLLQPAESRSPPEECLLAPSRECWMKKRPAQ
+PSSFSGFSNSDSVLRELDDGQFDQEDGVTQVTCM
+>tr|E1B6Y2|E1B6Y2_BOVIN Zinc finger protein 276 OS=Bos taurus OX=9913 GN=ZNF276 PE=4 SV=1
+MKRDRLGRFLSPAASRQRGSSGRGSCGGGRVRGRPARSGPDVAEAAAVVAARLGWGPARP
+CGDAGEDGADEAGTARALAMGHCRLCHGKFSSRSLRSISGRAPGESSERPPPGDRVFIRD
+FQRLLGVAVHQDPALSQFICKNCHAQFYQCHGLLTSFLQRVNVPPTGRRKPCAKVGVQPR
+MGPEEGACVVDLIASSPQGLRGLVGWVHRHAAGCGALPSLQRTLSSEYCGVIRAMWGCDQ
+GHDYTMDADSSCGALLLDSALGVKWTWDKDSAPRLPQHRGFNPTGAAPQSSQGKAAAAGA
+ETETLPSMDTSRLPSDGDPVGPGPGPPSQPSLPPGGAPGQLGEKQVPSPTSDDRVKDEFS
+DLSEGDFLSEDENDKKQNTQSSDESFEPYPGKKVSGRKSESKEAKKSEEPKIRKKPGPKP
+GWKGKPRCEREELPTIYKCPHQGCTAVYRGADGMKKHVKEHHEEVRERPCPHPGCNKVFM
+IDRYLQRHVKLIHTEVRNYICDECGQTFKQRKHLLVHQMRHSGAKPLQCEVCGFQCRQRA
+SLKYHMTKHKAETELDFACDQCGRRFEKAHNLNVHMSMVHPLTQAPEAPPRPAAGQVVKA
+EPT
+>tr|F1MYL5|F1MYL5_BOVIN Contactin associated protein like 5 OS=Bos taurus OX=9913 GN=CNTNAP5 PE=4 SV=3
+TPWTVAHQAPLSISSDLTGTHSPAQLNRRVGTGGWSPADSNAQQWLQMDLGNRVEITAVA
+TQGRYGSSDWVTSYSLMFSDTGRNWKQYKQEDSIWTFAGNMNADSVMHHKLLHSVRARFI
+RFVPLEWNPSGKIGMRVEVYGCSYKSDVADFDGRSSLLYRFNQKLMSTLKDVISLKFKSM
+QGDGVLFHGEGQRGDHITLELQRGRLALHLNLDDSKPRLSSSPPSATLGSLLDDQHWHSV
+LLERVGKHVNFTVDRHTQHFRTKGEADALDIDYELSFGGIPVPGKPGTFLKKNFHGCIEN
+LYYNGVNIIDLAKRRKHQIYTGNVTFSCSEPQIVPITFVNSSSSYLLLPGTPQIDGLSVS
+FQFRTWNKDGLLLSTRLSEGSGTLLLSLEDGTLRLVIQKMTERAAEILTGRDLNDGLWHS
+VSINARRNRITLTLDNDAASPAQDTSRMQIYSGNRYYFGGCPDNLTDSQCLNPIKAFQGC
+MRLIFIDNQPKDLISVQQGSLGNFSDLHIDLCSIKDRCLPNYCEHGGSCSQSWTTFYCNC
+TDTGYVGATCHNSLYEQSCEVYRHQGNTAGFFYIDSDGSGPLGPFQVYCNITEDKIWTLM
+QHNNTELTHVQGANPEKPYSMTLDYGGSLEQLEAVIDGSEHCEQEVAYHCKRSRLLNTPD
+GTPLTWWIGRSNERQPYWGGAPPGVQTNDTGFLSFKDHLPVTQIVITDTDRTNSEAAWRI
+GPLCFIPLSFSPAVSPRHFWNAVSFYTEASYLHFPTFHAEFSADISFFFKTTALSGVFLE
+NLGIKDFIRLEISSPSEITFAIDVGNGPIELIVQSPSSLNDNQWHYVRAERNLKETSLQV
+DNLPRMTRETSEEGHFRLQLNSQLFVGGTSSRQKGFLGCIRSLHLNGQKLDLEERAKVTS
+GVRPGCPGHCSSYGSICHNGGKCVEKHSGYFCDCTSSPYEGPFCKKEVSAVFEAGTSVTY
+MFQEPYPVTKNVSLSSSAIYADAALSKENIALSFVTAQAPSLLLYINSSSQDFLAVLLCK
+NGSLQVRSQLNKEETHVFTINTENFANRRLHHLKINREGRGLTIQMDQQLRLSYNFSPEV
+EFRAMRSLTLGKVTENLGLDAEVAKANALGFVGCLASVQYNHITPLKAALRHSTTAPITI
+QGALIESSCASLMDSDVNAVTTVHSSSDLFGKTDEREPLTNAVRSDSAIIGGVIAVVIFI
+IFSIIGIMSRFLYQHKQSHRTNQMKEKEYPENLDSSFRNDIDLQNTVSECKREYFI
+>tr|A0A452DJ36|A0A452DJ36_BOVIN Probable G-protein-coupled receptor 158 OS=Bos taurus OX=9913 GN=GPR158 PE=4 SV=1
+MGVMAYPFLFCLLLVHFGLGAIGASREAPSRPDPPRERTLRAKQHAQQPARASASDPSAP
+WSRSTDGTILAQKLAEEVPMDVASYLYTGDSHKLKRANCSSRYELAGLPGKSPALASSHP
+SLHGALDTLTHATNFLNMMLQSNKSREQNLQDDLEWYQALVRSLLEGEPSISRAAITFST
+ESLSAPAPQVFLQATREESRILLQDLSSSAHHLANATLETEWFHGLRRKWRTHLHRRGSN
+QGPRGLGHSWRRRDGLSGDKSHVKWSPPYLECENGSYKPGWLVTLSAAFYGLQPNLVPEF
+RGVMKVDINLQKVDIDQCSSDGWFSGTHKCHLNNSECMPIKGLGFVLGAYQCICKAGFYH
+PRDFSFNNFQRRGPDHHFVESAKDVSEEVHVCLPCSEGCPYCADDSPCFVQEDKYLRLAI
+ISFQALCMLLDFLSMLVVYRFRKAKSIRASGLILLETILFGSLLLYFPVVILYFEPSTFR
+CILLRWVRLLGFATVYGTVTLKLHRVLKVFLSRTAQRIPYMTGGRVMRMLAVILLVVFWF
+LVGWTSSVCQNLERHISLIGQGRTSDHLIFSMCLVERWDYMTAAAEFLFLLWGVYLCYAV
+RTVPSAFHEPRYMAVAVHNELIISAIFHTIRFVLASRLQSDWMLMLYFAHTHLTVTVTIG
+LLLIPKFSHSSNNPRDDIATEAYEDELDMGRSGSYLNSSINSAWSEHSLDPEDIRDELKK
+LYAQLEIYKRKKMITNNPHLQKKRCSKKGLGRSIMRRITEIPETVSRQCSKEDKDGGEHG
+SAKGSSAGRKNPQETAGGSGKPKEESLRSRVFSLKKSHSTYEHVREQPGGPGSPPAQSRE
+EEEATDNSVLGSPVGANRPRPLQEDSQAVSTESVPLVCKSASAHNLSSEKKPGPTRTSVL
+QKSLSVIASAKEKTLGLAGKTQAACVEERAKAQKALPRERETNRKYSNSDNAETQDSAPP
+NSSHSEEPRKPQKLGIMKQQRANPTTANSDLSPGATHMKDNFDIGEVCPWEIYDLAPGPG
+PLESKVQKHVSIAASEMERNPTFSLKEKSHPKPKAADLCQQSNPKSVDKAEVCPWESQGQ
+SLFEEEKYLMSKTQVPLGRAQGENSGQHCATGVCAGQCEELPPKAVASKVENENLNQLGE
+QEKKTSSSERNVPDSHNSSNNFQPPLMSRAEVCPWEFETPDKPNAERSVAFPASSALSAN
+KIAGPRK
+>tr|A0A3Q1MD28|A0A3Q1MD28_BOVIN Chloride intracellular channel protein 5 OS=Bos taurus OX=9913 GN=CLIC5 PE=4 SV=1
+MTDAATANGDDRDPEIELFVKAGIDGESIGNCPFSQRLFMILWLKGVVFNVTTVDLKRKP
+ADLHNLAPGTHPPFLTFNGDVKTDVNKIEEFLEETLTPEKYPRLAAKHRESNTAGIDIFA
+KFSAYIKNTKQQSNAALERGLTKALKKLDDYLNTPLPEEIDADTRGDDEKGSRRKFLDGD
+ELTLADCNLLPKLHVVKVRALYLWVPPRSTSSKARVSCEDETRTGPPADGESEFENLVNR
+DPKSTSAACWLCSPSELQLHRHVLLNQGCWETKCGLKMPAML
+>tr|E1BEP7|E1BEP7_BOVIN Elongator acetyltransferase complex subunit 2 OS=Bos taurus OX=9913 GN=ELP2 PE=4 SV=1
+MVAPMLETSHVFCCPNRVRGALSWSPGPGGLLAFGTSCSVVLYDPQKRVVITNLNGHTAR
+VNCLQWICKQDGSPSTELVSGGSDNQVIHWEIENNQLLQAVHVSGHEGPVYAVHAVYQRM
+APGIALHTLIVSAASDSTVRVWSKQGSEVTCLQTLNFGNGFALALCLSFLPNIDVPVLAC
+GDDDCKIHLFVQQNNQFQKMLFLSGHEDWIRGVEWAAFGRDLFLASCSQDCLIRIWRLYV
+KSTSLETQEDDDNIKLKENTFNVENESIKITFAVTLETVLAGHENWVNAVHWQPSFYKDG
+ILQQPMRLLSASMDKTMILWAPDEESGVWLEQVRVGEVGGNTLGFYDCQFNEDGSMIIAH
+AFHGALHLWKQNATNPKEWTPEIVISGHFDGVQDLTWDPEGEFIITVGTDQTTRLFAPWK
+RKDQSQVTWHEIARPQIHGYDLKCLAMISRFQFVSGADEKVLRVFSAPRNFVENFCAITG
+QSMNHVLCDQDGDLPEGATVPALGLSNKAVFQGDMASQHSDEEELFSSAGFEYHQVAFQP
+SLLTEPPTEDHLLQNTLWPEVQKLYGHGYEIFCVACSNSKTLLASACKAAKKEHAAIILW
+NTASWKQVQNLIFHSLTVTQMAFSPDDKFLLAVSRDRTWSLWKRQDTIPPELDPVFSLFA
+FTNKVTAVHSRIIWSCDWSPDGKYFFTGSRDRKVVVWGECDSSDDAVEHSIGPCSSVLDV
+GGAVTAVSVCPVLNLSQRYVVAVGLECGKICLYSWEKTDQVPEINDWIRCVETSQSQSHT
+LAIKKLCWKNCNGKTEQNEGEGAEWLQFASCGEDHTVKIHRVNRCAL
+>tr|G3MX68|G3MX68_BOVIN CD302 antigen OS=Bos taurus OX=9913 GN=CD302 PE=4 SV=2
+HLILCRPLLLLYLTVFLFFHIDCPSSTWVQFQDSCYIFLQEAIKVESIEDVRNQCTNHGA
+DMISIHNEEENAFILDTLKKQWKDPADILLGMFFDTDDASFKWFDNSNMTFNKWSDQEDD
+EELVDTCAFLHTKTGDWKKGNCEVSSVEGTLCKAAIPYEKKYLSDNRILISALVIASTVI
+LTVLGAVVWFLYKRSLDSGFTTVFSAAHQSPYNDDCVLVVAEENEYDIQFN
+>tr|F1MDG6|F1MDG6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=DIAPH2 PE=4 SV=1
+MEQPGAAAASGVGGSGEEPGGGRSNKRSAGNRAANEEETKNKPKLNIQIKTLADDVRDRI
+TSFRKSTVKKEKLLIQHPLDSQVAMNEFPAAQPLYDERSLNLSEKEVLDLFEKMMTLHLM
+LGRRRKR
+>tr|A0A3Q1N424|A0A3Q1N424_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MNASGPGYPLASLYVGDLHPDVTEAMLYEKFSPAGPILSIRVCRDVATRRSLGYAYINFQ
+QPADAERALDTMNFEVIKGQPIRIMWSQRDPGLRKSGVGNIFIKNLEDSIDNKALYDTFS
+TFGNILSCKVVCDEHGSRGFGFVHFETHEAAQNAISTMNGMLLNDRKV
+>tr|A0A3Q1MIT0|A0A3Q1MIT0_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MIKGSIQEEDITIINIYAPKTGAPQYVRQMLTSMKGEINNNTIIVGDFNTPLTPMDRSTK
+QKINKETQTLNDTIDQLDLIDIYRSFHPKTMNFTFFSSAHGTFSRIDHILGHKASLGKFK
+KIEIIPSIFSDHNAVRLDLNYRRKTIKNSNIWRLNNTLLNNQQITEEIKNEIKICIETNE
+NENTTTQNLWDTVKAVLRGKFIAIQAHLKKQEKSQINNLTLHLKQLEKEEMKNPRVSRRK
+EILKIRAEINAKETKETIAKINKTKSWFFERINKIDKPLARFIKKQREKNQINKIRNENG
+EITTDNTELQRIIRDYYQQLYANKMDNVEEMDKFLEKYNFPKLDQEEIENLNRPITSMEI
+ETVIKNLPANKSPGPDGFTAEFYQKFREELTPILLKLFQKIAEEGKLPNSFYEATITLIP
+KPDKDPTKKENYRPISLMNIDAKILNKILAIRIQQHIKKIIHHDQVGFIPGMQGFFNIRK
+SINVIHHINKLKNKNHMIISIDAEKAFDKIQHPFMIKTLQKAGIEGTYLNIIKAIYDKPT
+ANIILNGEKLKAFPLKSGTRQGCPLSPLLFNIVLEVLATAIRAEKEIKGIQIGKEEVKLS
+LFADDMILYIENPKESTRKLLEIINDYSKVAGYKINTQKSLAFLYTNNEKTEREIKETIP
+FTIATERIKYLGIYLPKETKDLYIETIKHW
+>tr|F1MUB2|F1MUB2_BOVIN Non-structural maintenance of chromosomes element 1 homolog OS=Bos taurus OX=9913 GN=NSMCE1 PE=4 SV=3
+MGGLDLRWQPMGAPYPRSPRLEGGAEPSFLPSSSSVWTALGRCAPPSMQGNTRRTGVMTD
+VHRRFLQLLMTHGVLEECDVKRLQKHCYKVHDCNATIEKLEDFINTINSVLESLYIEIKK
+GVTEDDGRPIYALVNLATTSVSKMASDFAENELDLFRKALELIIDSDTGFASSTNILNLV
+DQLKGKKMRKKEAEHVLQKFVQNKWLIEKEGEFTLHGRAILEMDQYIRETYPDAVKVCNI
+CRSLLIQGQSCETCGIRMHLPCVAKYFQSSSEPHCPHCNDYWPHEVPEVFDPEKERETGM
+SRSNKRPSRSRQH
+>tr|G3MWZ8|G3MWZ8_BOVIN Ferritin OS=Bos taurus OX=9913 PE=3 SV=1
+MQPAPPSQVRQNYHPECEAAVNSHATLELHASFQCLAVAFYLDRDDVALKHFSRFFLLHS
+HEHNKRAESLMFLQNQRGGRVSFHNIRKLETQEWESGLKAMQDALNVEEHINQSLLDLHQ
+LATEKRDPHLCHFLETGYLNQQAEFTKELAGHVSILSKMGSPEDGLAEYLFDKLTLGDGD
+KKN
+>tr|A0A3Q1ME26|A0A3Q1ME26_BOVIN Nardilysin convertase OS=Bos taurus OX=9913 GN=NRDC PE=3 SV=1
+MLRRVAVAAVCATGRKLCEAGRELAALSRIEARGRCEDSAAARHFPTLTMPGRNKAKSTC
+SCPDLQPNGQDLGESGRVARLGADESEEEGRRGPVNNAGDPEIIKSPSDPKQYRYIKLRN
+GLQALLISDLSNMEGKMGDATDDEEEEEEEEEDDDDDDDDDDDDDDEDSGAEIEDDDEEG
+FDDEDEFEDDDDEHDDDLDAEDNELEELEERAEARKKTTEKQSAAALCVGVGSFADPDDL
+PGLAHFLEHMVFMGSLKYPDENGFDAFLKKHGGSDNASTDCERTVFQFDVQRKYFKEALD
+RWAQFFIHPLMIRDAIDREVEAVDSEYQLARPSDANRKEMLFGSLARPGHPMGKFFWGNA
+ETLKHEPKRNNTDTHARLREFWLRYYSAHYMTLVVQSKETLDTLEKWVTEIFSQIPNNGL
+PKPNFGHLTDPFDTPAFNKLYRVVPIRKIHALTITWALPPQQQHYRVKPLHYISWLVGHE
+GKGSILSYLRKKCWALALFGGNGETGFEQNSTYSVFSISITLTDEGYEHFYEVAHTVFQY
+LKMLQKLGPEKRIFEEIQKIEDNEFHYQEQTDPVEYVENMCENMQLYPLQDFLTGDQLLF
+EYKPEVIAEALNQLVPQKANLVLLSGANEGKCDLKEKWFGTQYSMEDIENSWAELWKSDF
+ELNPDLHLPAENKYIATDFMLKAFDCPETEYPVKIVNTPQGCLWYKKDNKFKIPKAYIRF
+HLISPLIQKSAANVVLFDIFVNILTHNLAEPAYEADVAQLEYKLVAGEHGLIIRVKGFNH
+KLPLLFQLIIDYLAEFSSTPAVFTMITEQLKKTYFNILIKPETLAKDVRLLILEYSRWSM
+IDKYRALMDGLSLESLLSFVREFKAQLFVEGLVQGNVTSTESTDFLKYVVDKLNFMPLEQ
+EMPVQFQVVELPSGHHLCKVRALNRGDANSEVTVYYQSGARSLKEYTLMELLVMHMEEPC
+FDFLRTKQTLGYHVYPTCRNTSGILGFSVTVGTQATKYNSEVVDKKIEEFLSSFEEKIEN
+LTEDAFNTQVTALIKLKECEDTHLGEEVDRNWNEVVTRQYLFDRLAHEIEALKSFSKSDL
+VNWFKAHRGPGSKMLSVHVVGFGKYELEEDGTPSGEDSNSSCDVMQLTYLPASPLLVDCT
+VPIVDIRGFTSRLNLLPYHKIVK
+>tr|A0A3Q1M6W5|A0A3Q1M6W5_BOVIN Formin like 1 OS=Bos taurus OX=9913 GN=FMNL1 PE=4 SV=1
+MGNAAGSAEQPASPAALAPKQPAAPKQPMPAAGELEERFNRVLNCMNLPPDKVQLLSQYD
+NEKKWELICDQERFQVKNPPAAYIQKLKSYLETGGVSRKVAADWMPNLGFKRRVQESTQV
+LRELEISLRTNHIGWVQEFLNEENRGLDVLLDYLAFAQCSVAYNMETTDNGAPGSEKSKP
+LEQSVEDLSKGPPSSLPPPPKSRHLTIKLTPAHSKKALRNSRIVSQKDDVHVCIMCLRAI
+MNYQSGFSLVMNHPACVNEIALSLNNKNPRTKALVLELLAAVCLVRGGHDIILSAFDNFK
+EVCGEQHRFEKLMEYFRNEDSNIDFMVACMQFINIVVHSVENMNFRVFLQYEFTHLGLDL
+YLERLRLTESDKLQVQIQAYLDNIFDVGALLEDTETKNAVLEHMEELQEQVALLTERLRD
+AENESMAKIAELEKQLSQARKELETLRERFSESTLMGTSRRPSEPEKVPAPVPARPSALE
+LKVEELEEKGLIRILRGPGDAVSIEILPVAVATPSGSDAPTPGAPTGSPSPAEPAPGAAP
+PPPPPPPPPPPPPLPPLPGLPSQQEAPPLAPPLAPPLPGSPEPPPPPPLPGDQPPPPPPP
+PPPPGADGQVPPPPPPPPGGPTDAQAGPDSEMGPGVKAKKPIQTKFRMPLLNWVALKPNQ
+ITGTVFTELNDEKVLQELDMSDFEEQFKTKSQGPSVDLSALKSKAAQKAPSKATLIEANR
+AKNLAITLRKGNLGADRICQAIETYDLQTLGLDFLELLTRFLPTEYERSLITRFEREQRP
+IEELSEEDRFMLRFSRIPRLPERMNTLTFLGNFPDTAQMLMPQLNAIIAASMSIKSSDKL
+RQILEIVLAFGNYMNSSKRGAAYGFRLQSLDALLEMKSTDRKQTLLHYLVKVIAEKYPQL
+TGFHSDLHFLDKAGSVSLDSVLGDVRSLQRGLELTQREFVRQDDCVVLKEFLRVNSPVMD
+KLLADSKTAQEAYESVVEYFGENPKTTSPSMFFSLFSRFIKAYKKAEQEVEQWKKEAAAQ
+EAGTDTAGKGEPQAPKSPPKVRRQQMDLISELKRKQQKEPLIYEGDRDGAIEDIITDLRN
+QPYIRADTGRRSARRRPPGPPLQVTSDLSL
+>tr|A0A3Q1M5V2|A0A3Q1M5V2_BOVIN Kell metallo-endopeptidase OS=Bos taurus OX=9913 GN=KEL PE=4 SV=1
+MWTEQASGSGTRRRRERSPEEELHTAQDGWWQMTFRALRTLLLFSIILGLSLLLWGYVFQ
+GCGPSPCKTSVCQDLLDLYLASGNTSVAPCTDFFSFACGNINRTGSPFQALAEENKRRVR
+RILETPGSWRLAPGEEKAFEFYNSCMNMSAIEAAGASPFRQVIEEIDQPELDVLLKQKQE
+PNYAQILREYLSYLNRLVTLLGGDPTKVGNDASYSLFINSQLNQFLRPGEQQQAQGKVFE
+MVTIDQLQRVAPAIDWLSCLQATFAPMSLSPSYCVAVHDLEYLKNMSQLIEKDLMKHRDF
+LQSHIIFGLVKTLSPALDSQFQEAHRSLSQKVGELTGRPPMPVHPRWMTCVEKTEAFFEP
+TLATLFIKETFKPSTHSAAMELFTTIKDTFISHLHTVPWMDRETRAEAQDRLTQLQVKMG
+PSEWALKPDPARQEYDDVQLGPSFLQTFLSCIRSRQARVIQGFLQPFSSHRWQVLPWGLK
+ASYSIPDHVMVFPAGLLQPPFFHPGYPRAVNFGAAGSIMARELLHIFDQLLLPGGCPACD
+TRDLQKALLCLERLYAALPSPKGTSFNVSRTLLEDAADAGALAIALKAYKKRLVLFRGET
+ILPNLDLSPRQLFFRSYAQVMCRDPSTQDPQDIRSPPTLRVHGPLSDSPAFTRHFRCPQG
+ALLNPPSRCQLW
+>tr|A0A3Q1MUH0|A0A3Q1MUH0_BOVIN SH3 domain-containing protein OS=Bos taurus OX=9913 GN=MIA2 PE=4 SV=1
+MADLKIHRIFLLVISLTKCLESTKLLADFKKCGDLECEALISRVFAIRDYRGPDCRYLNF
+TKGEEISVYVKLSGKREDLWAGSKGKDFGYFPRDAVQIEEVFISEEVQIPTKESDFFCLL
+GISYIFENEASELNSDNSENMYPYEEDNDPKSSVYESDFQIEPGFYSTSESSLFEDQFPA
+SEAPEDIRSTSELEDWEAEAESIKQYLIPEEDHVPPSSAVPEVKGWFGFGKDQAEEKPFE
+SVTDPQEESSFLSRQIAVEDENDPEELNSGEPQTEHKQELELEFDSVPKEQSELLVSELE
+HSLNPQDTGWFGGGFTSYLGFGGKDTELELSSKESNPPLEDVPSSISSEEESTVPCTEIL
+TEKEDIITNESSILKPSWFDFGFAMLGFAYANEDKMISDDGKNEEGSEEDKHRHPSTSEF
+DPDKEQEIKLIKVTETENQIGKERVLEKTEDSDTVPYFKKLLYNFDNRWRFQNTPKEMEL
+TFPKQTLDESNVGENDETEEFSAEKYPTDNIEDTLKSGYSQPDMDSEIEFPMRIIEEAHF
+KTLSSENSDEKLKISLDTERPTQVEMDTSMENTLSNSQILLTDYSLSSQNYIAKKEDASW
+RQLLEYLFQIDVYDFLNSAFSPIVILIEGAVAALPEDMRQSNLYGFPWELVICATIVGFF
+AVLLFLWRSFQSYESLESSLKDASYEKESTEAQSLEFVEGSQISEAFYEKLTESKSELED
+EILILEKELKEEKSKHSKQDELMSEISKRIQSLEDESKSLKSQVAEAKTTFKIFQMNEER
+LKIAIKDALSENSQLQESQKQLLQEAEEWREQVNELNKQRMTFEDSKVHVEQVLCDKENQ
+IKSLTERLRKMKDWTAVLGEEIADDDNLEWEMKSDSENDAHLDNQPKGALKKLIHAAKLR
+ASLKTLEGERNQIYTQLSEVDKTKEELTECIKNLQTEQASLQSENTQFENETQKLQQKLK
+VMTELYQENEMILHRKLTMEENYRLEKEEKLSKADEKINHAAEELETYRKRAKDLEEELE
+RTIHSYQGQIISHEKKAHDNWLAARTAERNLNDLRKENAHNRQKLTETEFKIELLEKDPY
+ALDVPNTAFGRGSRGPGNPLDHPITNERGESNSDRLTDPHRASSDTGSLSPPWEQDRRMM
+IPPSGQPYPDPVLLPQRQDRFYSNSGRLSGPAELRSFNMPSLDKTDGPVSSEMESSRNES
+KDDLGNLNVPDSSLPIENEATGPGFVPPPRPPVRVPLFPMDTRGPFIRRGPPFPPPPPGS
+MYGGPRDYFPPRDFPGPPPPPFAMRNVYPPRGFPHYLPPRAGFFPPPPHPESRSEFPSGL
+VPPSNEPTTEHPEPPQEP
+>tr|E1BF77|E1BF77_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC518027 PE=4 SV=2
+MAEQLSPGKTTDQVCTFLFRKPGRKGGAGRRKRLICDQESGGGGGGGGGSSSSSSGDEGN
+RVVRPEKKRAIHTPMIQKTWGGGKQKGASGNRSSEEEVEEPESLGVVYKSTRSAKPVGPE
+DMGATAVYELDTEKERDAQAIFERSRKIREELRGKEDDKIYRGMNNYQKYMRPKDASAGS
+DSSGMARKGPIRAPEHLRATVRWDYQPDICKDYKETGFCGFGDSCKFLHDRSDYKHGWQI
+QRELDEGRYGVDEDENYEVARDEEELPFKCFICRQTFQNPVVTKCRHYFCEGCALQHFRT
+TSRCYVCDQQTNGVFNPAKELIAKLEKRRAAEEGGASNFPEDPDKGPIPIT
+>tr|F6PXW3|F6PXW3_BOVIN Actin related protein T3 OS=Bos taurus OX=9913 GN=ACTRT3 PE=3 SV=1
+MRLGKEIDKVLFQEFEKHEPCPALYDTFLVQISAPFVDFKGASDNFPQEPGQASRSQGSP
+SSRETGGGGGGMSYYQLPVVIDNGSGVIKAGLAGSREPQFVYSNIVGRTKGLTCGVEVCV
+GDQAEQRRSSLSISYPVERGLITSWGDMEIMWKHIYDDNLRLKPCDGPVLITESALNPLA
+NRQRVTEVFFEYLEVPAFFMSVQGVLALFAAGFTTGFVLNSGAGVTQCVPIFEGYCLPHG
+VQQLDLAGLDLTNYLMMLLKENGIMLLRTSERKIITDIKETYCYVAMNFEEEKAKKADCI
+EKIYQLPDGKRFKLHNQLFYCPEALFSPSLMHLETPGIDKMCFSSIMKCDADLRNAFFSN
+IILAGGSTSFPGLEKRLVKDIAKMVPANTPVQVIAPPERKISVWMGGSILASLSAFQDMW
+ITAAEYKEVGSNIVHQRCF
+>tr|F1MF32|F1MF32_BOVIN MAS related GPR family member F OS=Bos taurus OX=9913 GN=MRGPRF PE=4 SV=2
+MAGNCSWEAHPTNWNKMCPGVSEAPELYSRGFLTIEQITMLPPPAIMNYIFLLLCLCGLV
+GNGLVLWFFGFSIKRSPFSVYFLHLASADVGYLFSKAVFSILNTGGFLGPFADYVRAVSR
+IAGLCMVLTGVSLLPAISSERCSRSSFPPGIGAVGPSACRPWCARCSGPCRCWSPVSTTT
+SACSWAARRPGRAARTWTPSWASCSSWSSARSWCCPAWRSSCTWSAGPGGASARPSSTTS
+SWPWSRCSSCPPSTWASTGSSSGSSGSRRPSRSTSPTCASASTAAPSPWSTSWPAGTSRS
+ACGSPSGWSSSGPCETGLSRGRQEAAHPTRSPWRCNVPQGTPPERPGTCRRGGAHVTSRD
+P
+>tr|A0A3Q1N5N7|A0A3Q1N5N7_BOVIN Par-3 family cell polarity regulator OS=Bos taurus OX=9913 GN=PARD3 PE=4 SV=1
+MWWCCSLPRRTLRSEGYAAFECRGHRVRLDGCMPRLLKRRPQDPSYWIQVHRLEHGDGGI
+LDLDDILCDVADDKDRLVAVFDEQDPHHGGDGTSASSTGTQSPEIFGSELGTSNVSAFQP
+YQATSEIEVTPSVLRANMPLHVRRSSDPALIGLSTSVSDNNFSEEPSRKNPTRWSTTAGF
+LKQNTAGSPAACDRKKDENYRSLPRDTSNWSNQFQRDNARSSLSASHPMVDKWLEKQEQD
+EDVAEEDSSRVEPVGHADTGVENIPNFSLDDMVKLVQVPNDGGPLGIHVVPFSARGGRTL
+GLLVKRLEKGGKAEQENLFHENDCIVRINDGDLRNRRFEQAQHMFRQAMRTSIIWFHVVP
+AANKEQYEQLSQSERNNYYSSRFSPDSQHVDHRGVTSAGPQALPRASRLNPPPEHADPHP
+RLLPQSAQPSGKPPAAPALAGHHVFSPSSGYNTKKIGKKLNIQLKKGTEGLGFSITSRDV
+TIGGSAPIYVKNILPRGAAIQDGRLKAGDRLIEVNGVDLAGKSQEEVVSLLRSTKMEGTV
+SLLVFRQEDAFHPRELNAEPSQMQIPKETKAEDEDTVLTPDGTREFLTFEVPLNDSGSAG
+LGVSVKGNRSKENHADLGIFVKSIINGGAASKDGRLRVNDQLIAVNGESLLGKTNQDAME
+TLRRSMSTEGNKRGMIQLIVARRISKCTELKSPGSPSGPELPIETVLDDRERRISHSLYS
+GLEGLDESPTRNAALSRIMGKYQLSPTVNMPQDDTVIIEDDRLPVLPPHLSDQSSSSSHD
+DVGFVTTDAGTWAKVGISDSADCSLSPDVDPVLAFQREGFGRQSMSEKRTKQFSDASQLD
+FVKTRKSKSMDLGIADETKLNTVDDQKTGSPSRDVGPSLGLKKSSSLESLQTAVAEVTLN
+GDIPFHRPRPRIIRGRGCNESFRAAIDKSYDKPAVDDDDEGMETLEEDTEESSRSGRESV
+STASDQPSHSLERQMNGNQDRGEKPDRRKEKIGKEKKKDRDKEKEKMKAKKGKLRGLGDM
+FRFGKHRKDDKIEKTGKIKIQDSLTSEEERVRMKQEQERIQAKTREFRERQARERDYAEI
+QDFHRTFGCDDELMYGGISSYEGSMALSTRPQSPREGHMMDALYAQVKKPRNSKPLPADS
+NRSTPSNHDRIQRLRQEFQQAKQDEDVEDRRRTYSFEQPWPNSRPSAQSGRHSVSVEVQM
+QRQRQEERESFQQAQRQYSSLPRQSRKNASSVSQDSWEQNYPPGEGFQSAKENPRYSSYQ
+GSRNGYLGGHGFNARVMLETQELLRQEQRRKEQQMKKQPPAPEGLNNYDSYKKVQDPSHI
+SAKGPFRQDVPPSPSQVARLNRLQTPEKGRPFYS
+>tr|G3MZ41|G3MZ41_BOVIN Zinc finger CCHC-type containing 13 OS=Bos taurus OX=9913 GN=ZCCHC13 PE=4 SV=2
+MSSKECFKCGRVGHWAPACSKGGGARGRGARGRGHGAQCSSTTLPVICYRCGKFGHYAKD
+CDLLDDICYNCGKSGHIAKDCAEPKREGERCCYTCGRPGHLARDCDRQEERKCYSCGKSG
+HIQKYCTQVKCYRCGEIGHVAINCRKMNEVNCYRCGESGHLTRECPIEANT
+>tr|F1N6T8|F1N6T8_BOVIN Vav guanine nucleotide exchange factor 2 OS=Bos taurus OX=9913 GN=VAV2 PE=4 SV=3
+MTLPSLLQFLCLKNIRTFLKVCHDKFGLRNSDLFDPFDLFDVRDFGKVISAVSRLSLHSL
+AQNKGIRPFPSEETTENDEDVYRSLEELADEHGVGEDIYDCVPCEDDGDDIYEDIIKVEV
+QQPMKMGMTEDDKRNCCLLEIQETEAKYYRTLEDIEKNYVTPLRLVLSPVDMAAIFINLE
+DLIKVHHSFLRAIDVSMMAGGSSLAKVFLDFKERLLIYGEYCSHMEHAQNTLNQLLASRE
+DFRQKVEECTLKVQDGKFKLQDLLVVPMQRVLKYHLLLKELLSHSTDRPERQQLKEALEA
+MQDLAMYINEVKRDKETLKKISEFQSSIENLQVKLEEFGRPKIDGELKVRSIVNHTKQDR
+YLFLFDKVVIVCKRRGYSYELKEIIELLFHKMTDDPMNNKDVKKWSYGFYLIHLQGKQGF
+QFFCKTEDMKRKWMEQFEMAMSNIKPDKANANHHSFQMYTFDKTTNCKACRMFLRGTFYQ
+GYLCTKCGVGAHKECLEVTPPCKISSPADPDASGAGPGPKMVAVQNYHGNPAPPTKPALT
+FQTGDVIELLRGDPESQWWEGRLVQTRKSGYFPSSSVKPCPVDGRPPISRPLSREMDYTA
+YPWFAGNMERQQTDNLLKPHASGTYLIRERPAEAERFAISIKFNDEVKHIKVVEKDSWVH
+ITEAKKFESLLELVEYYQYHSLKESFKQLDTTLKYPYKAWERTASRASSRSPASCASYNF
+SFLSPQGLGLASQGSSTPFWSAVFTPRVIGTAVARYNFAARDLRELSLREGDVVKIYSRI
+GGDQGWWKGETSGRVGWFPSTYVEEEGVQ
+>tr|A0A3S5ZP51|A0A3S5ZP51_BOVIN Solute carrier organic anion transporter family member OS=Bos taurus OX=9913 GN=SLCO2B1 PE=3 SV=1
+MAPRIGPVGEELQVPDKDAKAMVGTEDTPGSKASPNPQKLRPSVFHSIKFFVLCHSLLQL
+AQLMISGYLKSSISTVEKRFGLSSQTSGLLAAFNEVGNTALIVFVSYFGSRVHRPRLIGC
+GAILVTLAGILMTVPHFISEPYRYDRTSPDMPQDFEASLCLPSTKALASASSNSSCSSYT
+EARHLAVVGIMFTAQTLLGVGGVPIQPFGISYIDDFSHNSNSPLYLGILFAVTMMGPGMA
+YGLGSLMLRLYVDIDRMPEGGINLTSKDPRWVGAWWLGFLISAGAVALAAIPYFFFPKEM
+PKEKQELRFRRKGLAVSDPPVSKGEDSSSEQSPADSPEKKDNLAQIAPDLTVIQFIKVFP
+RVLLRTLRHPIFLLVVLSQVCMSSMAAGMATFLPKFLERQFSVTASYANLLIGCLTIPLA
+IVGIVVGGILVKRLRLGPVHCGTLCLLSALCCLVLSTPFFMGCSSHQIAGISHQPGAQPG
+LELFPGCMESCSCPSDDFNPVCDSSSRVEYITPCHAGCRSRVVQEAPDKSQVFYTNCSCV
+AGGGPVPAGSCDSACGHLVLPFMILVSLGAALASVTHTPSFMLILRGVKKEDKTLAVGIQ
+FMLMRVLAWMPSPVIHGSAIDTTCVYWAHSCGRQAVCHYYDHDLLRNRFIGLQFFFKTGS
+LACFALILAILRQQDKEERTKATIPNPGLQQQLLASEAKKEPEESRV
+>tr|F1N2A3|F1N2A3_BOVIN Tyrosine aminotransferase OS=Bos taurus OX=9913 GN=TAT PE=4 SV=1
+MQDHGSLPSVLDVHVNVAGRSSVLGKVKSRKARWSVRPSDMSNKTFNPIRAIVDNMKVKP
+NPNKTMIALSIGDPTVFGNLPTDPEVTQAMKDALDSGKFNGYVPSIGYLSSREEVASYYH
+CPEAPLEAKDVILTSGCSQAIELCLAVLANPGQNILVPRPGFSLYRTLAESMGIEVKLYN
+LLPEKNWEIDLKQLESLIDEKTVCLIVNNPSNPCGSVFSRRHLQKILAVAARQCVPILAD
+EIYGDMVFSDSKFEPLATLSSKVPILSCGGLAKRWLVPGWRMGWILIHDRRDIFGNEIRD
+GLTKLSQRILGPCTLVQGALKSILCRTPRVFYHNTLSFLKSNADLCYGALAAIPGLRPIR
+PSGAMYLMVGIEMEHFPEFENDVEFTEQLVAEQSVHCLPATCFEYPNFFRVVITVPEVMM
+LEACSRIQEFCEQHYHCAEGSQEECDK
+>tr|A0A3Q1MTU4|A0A3Q1MTU4_BOVIN MFS domain-containing protein OS=Bos taurus OX=9913 GN=SPNS2 PE=4 SV=1
+MMCLECASAAAGGAEEEEADAERRRRRRGAQRGVGGGGCCGARAAGAAGVATADDEVQTL
+SGSVRRAPSGPPSTPSTPGCAAAVKGPGAQQPKPASLGRGRGAAAAILSLGNVLNYLDRY
+TVAGVLLDIQQHFGVKDRGAGLLQSVFICSFMVAAPIFGYLGDRFNRKVILSCGIFFWSA
+VTFSSSFIPQQHFWLLVLSRGLVGIGEASYSTIAPTIIGDLFTKNTRTLMLSVFYFAIPL
+GSGLGYITGSSVKQAAGDWHWALRVSPILGMITGTLILVLVPATKRGHADQLGGQLKART
+SWLRDMKALIRNRSYVFSSLATSAVSFATGALGMWIPLYLHRAQVVQKTAETCSSPPCGA
+KDSLIFGAITCFTGFLGVVTGAGATRWCRLRTQRADPLVCAVGMLGSAIFICLIFVAAKS
+SIVGAYICIFVGETLLFSNWAITADILMYVVIPTRRATAVALQSFTSHLLGDAGSPYLIG
+FISDLIRQSTKDSPLWEFLSLGYALMLCPFVVVLGGMFFLATALFFLSDRAKAEQQVNQL
+VMPPTSMKV
+>tr|A0A3Q1MHD0|A0A3Q1MHD0_BOVIN Astrotactin 1 OS=Bos taurus OX=9913 GN=ASTN1 PE=4 SV=1
+MALAGLCALLACCWGPATVLATAAGDVDPSKELECKLKSITVSALPFLRENDLNIMHSPS
+ASEPKLLFSVRNDFPGEMVVVDDLENTELPYFVLEISGNTEDIPLVRWRQQWLENGTLLF
+HIHHQDGAPSLPGQDPTEEPQHESAEEELRILHISVMGGMIALLLSILCLVMILYTRRRW
+CKRRRVPQPQKSASAEAANEIHYIPSVLIGGHGRESLRNARVQGHNSSGTLSIRETPILD
+GYEYDITDLRHHLQRECMNGGEDFASQVTRTLDSLQGCNEKAGMDLTPGSDNAKLSLMNK
+YKDNIIATSPVDSNHQQATLLSHTSSSQRKRINNKARAGSAFLNPEGDSSTEAENDPQLT
+FYTDPSRSRRRSRVGSPRSPVNKTTLTLISITSCVIGLVCSSHVSCPLVVRITLHVPEHL
+IADGSRFILLEGSQLDASDWLNPAQVVLFSQQNSSGPWAMDLCARRLLDPCEHQCDPETG
+ECLCYEGYMKDPVHKHLCIRNEWGTNQGPWPYTIFQRGFDLVLGEQPSDKIFRFTYTLGE
+GMWLPLSKSFVIPPAELAINPSAKCKTDMTVMEDAVEVREELMTSSSFDSLEVLLDSFGP
+VRDCSKDNGGCSKNFRCISDRKLDSTGCVCPSGLSPMKDSSGCYDRHIGVDCSDGFNGGC
+EQLCLQQMAPFPDDPAVYNILMFCGCIEDYKLGVDGRSCQLITETCPEGGDCGESRELPM
+NQTLFGEMFFGYNNHSKDVAAGQVLKGTFRQNNFARGLDQQLPDGLVVATVPLENQCLEE
+ISEPTPDPDFLTGMVNFSEVSGYPVLQHWKVRSVMYHIKLNQVAVSQGERSCEPSSTPRV
+CRPKM
+>tr|E1BHP4|E1BHP4_BOVIN Clavesin 2 OS=Bos taurus OX=9913 GN=CLVS2 PE=4 SV=1
+MTHLQAGLSPETLEKARLELNENPDTLHQDIQEVRDMVITRPDIGFLRTDDAFILRFLRA
+RKFHHFEAFRLLAQYFEYRQQNLDMFKSFKATDPGIKQALKDGFPGGLANLDHYGRKILV
+LFAANWDQSRYTLVDILRAILLSLEAMIEDPELQVNGFVLIIDWSNFTFKQASKLTPSML
+RLAIEGLQDSFPARFGGIHFVNQPWYIHALYTVIRPFLKEKTRKRIFLHGNNLNSLHQLI
+HPEILPSEFGGMLPPYDMGTWARTLLDHEYDDDSEYSVDSYSMPVKEVEKELSPKSMKRS
+QSVVDPTVLKRMDKNEEENMQPLLSLD
+>tr|F1MZ22|F1MZ22_BOVIN Rhophilin-2 OS=Bos taurus OX=9913 GN=RHPN2 PE=4 SV=1
+MTDTLLPAAPQPLEKEGNCYFRKGCNPLAQTGRSKLQNQRAALNQQILKAMRMRTGAENL
+LKAATNQKVREQVRLELSFLNSDLQMLKEELEGLNISVGVYQNTEEAFTIPLIPLGLKET
+KDVDFSVALKDFILEHYSEDSYLYEDEIADLMDLRQACRTPSRNEAGVELLMSYFMQLGF
+VESRFFPPTRQMGILFTWYDSLTGVPVSQQNLLLEKASILFNIGALYTQIGTRCNRQTEA
+GLESTVDAFQRAAGVLNYLKETFTHTPSYDMSPAMLSVLVKMMLAQAQESTFEKVCLTGL
+QNEFFLLVKVAQEAAKVGEVYRQLHTAMNQEPVKENIPYSWASLACVKAHHYEALAHYFT
+ATLLIDHQLKPGEDEDHQEKCLSQLYSHMPEGLTPLATLKNVHQRQLLGKSHLCQAVTHH
+EESMREASLCKKLRNIDVLQEVLSAAHERSQLKYTQLREDDDLLNLTDAPDIVSKTEREV
+EIIVPQFSKVTVTDFFQKLGPLSVFSANKRWTAPRSIHFTAEEGDLGFTLRGNSPVQVHF
+LDPYCSAAAAGTKEGDYIVSIQDVDCKWLTLSEVMKMLKSFGQDDIEMKVVSLLDATSTM
+HSKCATYSVGMQKTYSMICLGIDVDDKTDKTKKVSKKLSFLSWGTNKNRQKSASTLCLPS
+VGVTMPPVKKKLSSPFSLLNTDSSLY
+>tr|F1MSC3|F1MSC3_BOVIN Acetyl-CoA carboxylase beta OS=Bos taurus OX=9913 GN=ACACB PE=4 SV=3
+MSDSKQVTKSKRKVSFTPSQEPIPASEGSQEPPQEDCNSSPLPKTPSQAELASHKGPQDT
+CQQRPSQSPLPQNPQGNPLSSDDASPARQANGSETEGPEIPNTNDLSAPARPQGQRARTL
+SREDRKQAHIKRQLMTNFILGSFDDNSSDEDAGAALFRQSSRKGSRASLGTLSLETAHAA
+GETETCAPVIRPSMSGLHLARRGREQKKVDLHRDFTVASPAEFVTRFAGHLVIEKVLIAN
+NGIAAVKCMRSIRRWAYEMFRNERAIRFVVMVTPEDLKANAEYIKMADQYVPVPGGPNNN
+NYANVELIVDIAKRIPVQAVWAGWGHASENPKLPELLRKHEIAFLGPPSEAMWALGDKIA
+STIVAQTLQIPTLPWNGSGLTVEWAEHSLQEGQRISIPESVYNNGCVKDVDEGLEAAEKI
+GFPLMIKASEGGGGKGIRKAETAEDFPILFRQVQSETPGSPVFLMKLAQRARHLEVQILA
+DQYGNAVSLFGRDCSIQRRHQKIIEEAPATIATPAVFEFMEQCAVRLAKTVGYVSAGTVE
+YLYSQDGSFHFLELNPRLQVEHPCTEMIADVNLPAAQLQIAMGVPLHRLKDIRLLYGESP
+WGVTPISFETPSNPPIPRGHVIAARITSENPDEGFKPSSGTVQELNFRSSKNVWGYFSVA
+ATGGLHEFADSQFGHCFSWGENREEAISNMVVALKELSIRGDFRTTVEYLTNLLETENFQ
+NNDIDTSWLDNLIAEKVQAEKPDIMLGVVCGALNVADSMFRTCMTDFLHSLERGQVLPAD
+SLLNIVDVELIYGGVKYILKVARQSPTMFVLIMNGSHIEIDAHRLNDGGLLLSCSGNSYT
+TYLKEEVDSYRITIGNKTCVFEKENDPTVLRSPSAGKLVKYTVADGEHVEAGGSYAEIEV
+MKMTTTLNVQESGRVKYVRRPGAVLEAGCVVARLELDDPSKVRPAEPFTGELPSQPTLPI
+LGEKLHQVFHSVLENLTNIMNGYCLPEPIFSIKLKDWVQKLLRALRHPSLPLLELQEIMT
+SVSGRVPAPVEKAVRRVMAQYASNITSVLCQFPSQQIATILDCHAATLQRKADREVFFMN
+TQSIVQLVQKYRSGARGYMKAVVLDLLRRYLLVEHHFQQAHYDKCVINLREQLKPDMAQV
+LDCIFSHSQVAKKNQLVIMLIDELCGPDPSLSDELTSILDELTQLSKSEHCKVALRARQV
+LIASHLPSYELRHNQVESIFLSAIDMYGHQFCPENLKKLILSETTIFDVLPTFFYHANKV
+VCMASLEVYVRRGYIAYELTSLQHRQFPDGTCVVEFQFMLPSSHPHRMTVPISVTNPELL
+RHSTELFMDSGFSPKSQRMGAMVAFQRFEDFVRNFDEVISCFANMPKDTPLFSEARNSLY
+SEDDSKSLREEPIHILNVALQHADHQEDEQLVPIFRTFVQSKKNILVECGLRRITFLIAQ
+EKEFPKFFTFRARDEFAEDRIYRHLEPALAFQLELSRLRNFDVTAMPCANHKMHLYLGAA
+RVKEGAEVTDHRFFIRVIIRHSDLITKEASFEYLQNEGERLLLEAMDELEVAFNNTSVRT
+DCNHIFLNFVPTVIMDPSKIEESVRSMVMRYGSRLWKLRVLQAEVKINIRQTTADSAIPI
+RLFITNESGYYLDISLYKEVTDPRSGNILFHSFGNKQGPQHGMLINTPYVTKDLLQAKRF
+QAQSLGTTYVYDFPEMFRQALFKMWPSPDKYPKDILTYTELVLDPQGQLVEMNRLPGGNE
+VGMVAFKMTLKTLEYPEGRDIILISNDITFRIGSFGPGEDLLYLRASELARAEGIPRVYL
+AANSGARIGLAEEIKHMFQVAWVDPEDPHKGIKYLYLTPQDYTRISSLNSVHCKHVEEDG
+ESRYVITDIIGKEEGLGVENLRGSGMIAGETSQDYDEIVTISMVSCRALGIGAYLVRLGQ
+RVIQVENSHIILTGATALNKVLGRDVYTSNNQLGGVQIMHHNGVSHVTVPDDFEGVCTIL
+EWLSYMPKDNRSPVPVVTPKDPIDREIEFQPSRGPYDPRWLLAGRPHPTLKGSWQSGFFD
+QGSFKEIMVPWAQTVVTGRARLGGIPVGVIAAETRTVELVVPADPANLDSEAKIIQQAGQ
+VWFPDSAYKTAQAIKDFNREKLPLMIFANWRGFSGGMKDMYDQVLKFGAYIVDGLRKYRQ
+PVLIYIPPYAEVRGGSWAVMDTSINPLCIEMYADRESRASVLEPEGTVEIKYQKKDLVKT
+IRRLDPISKKLVEQLGVSELSDTDRKELEGQLKAREDLLLPMYHQVALHFADLHDTAGRM
+LEKGVIYDILEWKTARSFLYWRLRRLLLESQVKQEVLRACPELSHMHVQSMLRRWFVETE
+GAVKAYLWDNNQTVVQWLEAHGQASEGLHSTIRENITCLRRDSALKTIQGLVQENPELAM
+DSLVYVSQHISPAERAQVIHLLSTTDGPAST
+>tr|E1B8S1|E1B8S1_BOVIN Integrator complex subunit 1 OS=Bos taurus OX=9913 GN=INTS1 PE=4 SV=3
+MNRAKPTTVRRPSAAAKPSGHPPPGDFIALGSKGQTTESKTASTLLKPAPSGLPSERKRD
+AAAALAGASALPGLTKRPKLSSTPPLSALGRLAEAAVAEKRAISPSIKEPSVVPIEVPPT
+VLLDEIEAAELEGNDDRLEGVLCGAVKQLKVTRAKPDSVLYLSLMYLAKMKPNIFATEGV
+IEALCSLLRRDASVSFKAKGNSLVSVLACNLLMAAYEEDENWPEIFVKVYIEDSLGERIW
+VDSPHCRTFVDNIQTAFNTKMPPKSVLLQGEAGRGGGDLSAGSSPHPSLTEEEDSQTELL
+IAEEKLSPEQEGQLMPRYEELAESVEEYVLDMLRDQLNRRQPIDSVSRNLLRLLTSACGY
+KEARLMAVQRLEMWLQNPKLTRPAQDLLMSVCMNCSTHGAEDMDVISHLIKIRLKPKVLL
+NHYMLCIRELLNAHKDNLGTTIKFVIFNELSNARNPNNMQILYTVLQHSSELAPKFLAMV
+FQDLLTNKDDYLRASRALLREIIKQTKHEINFQAFCLGLMQERKEPQYLDMEFKERFVVH
+VTDVLAVSMMLGITAQVKEAGVAWDKGEKKNLEVLRSFQNQIAAIQRDAVWWLHTVVPSI
+SKLAPKDYVHCLHKVLFTEQPETYYKWDNWPPESDRNFFLRLCSEVPILEDTLMRILVIG
+LSRELPLGPADAMELADHLVKRAAAVQADDVDVLKVERVQLIDAVLNLCTYHHPENIQLP
+PGYQPPNLAISTLYWKAWPLLLVVAAFNPENIGLAAWEEYPTLKMLMEMAMTNNYSYPPC
+TLTDEESRTEMINRELQVSQREKQEILAFEGHLAAASTRQTITESSSLLLSQLTSLEPQG
+PPRRPPPHILDQVKGLNQSLRLGHLLCRSRNPDFLLNIIQRQASSQSMPWLADLVQSSEG
+SLDVLPVQCLCEFLLHDAADEPASGGEEEEGESKEQKARKRQRQQKQKQLLGRLQDLLLG
+PKADEQTTCEVLDYFLRRLGSSQVAARVLAMKGLSLVLSEGSLRDGEEKEPPLEEDSGDA
+DALQGYQWLLRDLPRLPLFESVRSTTALALQQAIHMETDPQTISAYLVYLSQHTPVEEQG
+QHSDLALDVARLIVERSTIMSHLFSKRSCSAESDVVLAALLSIFSRYVQRMRKSKEGEEV
+YSWSESQDQVFLRWSSGETATMHILVVHAMVILLTLGPPRAGDSEFQALLDIWFPEKKPL
+PTAFLVDTSEEALLLPDWLKLRMIRSEVPRLVDAALQDLEPQQLLLFVQSFGIPVSSMSK
+LLQYLDQAVAHDPQTLEQNIMDKNYMAHLVEVQHERGASGGQTFHSLLTASLPARRDSTE
+APKPKSSPEQPPGQGGTRAVARVRALGPEDDLAGLLLQIFPLSPGPRWKSSHARPAALAL
+QQALGRELARVRQGSPEVPGVAVRLLQALATLLSSPHGGALALAMHRSHVLACPLMRQLC
+QYQRCAPQDTGFSSLFLKVLVQALQWLDGPSVEAGPLQAQLRLFAAQCSARRRISNVRSG
+FLHLVEALTFRGDPEAVSSTVRAIVATLRSGEQCDVEPELISKVLRSLIEVRSPHLEELL
+AALFSTASAVPPSGPVVVVSSLLLPEKEEPLAPGKQEADSCSQEAMLLGPCSGLLVDWLE
+MLDPEVVGSCPDLQQRLLFSRGKGKGHPGPQVPSFRPYLLALLTHQSSWSTLHQCIRILL
+GRGREQRFDPSASLDFLWACIHVPRIWQGRDQRTPQKRREELVLRVQPAELVGLVELILA
+EAEARSQDGDAGACGLLQARLPLLLSCCRGGDEGIKKVTAHLTSCVQQWGDSVLGKCSRD
+LLVQLYLQRPDLRVPLPDVLLHSQGATGSSVCKLDGLIHRFITLLADTSDSRASESRVAD
+ASMACRKLAVAHPLLLLRHLPMIAALLHGRTHLNFQEFRQQNHLTFFMHVLGVLELLQPQ
+VFQSEHQGALWDCLLSFVRLLRNYRKSSRHLVPFASKFVRFTHKYISCSPAAAVAFLQKH
+LNVLHDLSLDSSDLVMLKSLLAGLSLPSRDGGADRALDEEGEDESSAGSLPLVSVCIFTP
+LTAAEMAPYMKRLSRGQTVEDLLEVLSDVDEMSRRRPEILGFFSTSLQRLMSSAEESCRS
+LAFSLALRSIQNNPGIAADFLPTFMYCLGSRDFEVVQTALRNLPEYTLLCQEHAAVLLHR
+AFLVGMYGQMDTSAQISEALRILHLEAMM
+>tr|A0A3Q1LPM4|A0A3Q1LPM4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MFLGEGTVVDWPVKIAELVGFELAFSTSDTTLKMNLLNKVYFSRCPYVWWFKKDDMHWHF
+LMHVYYPAYATSLTLLDPIALEMPSVKARTLFCLLLCPQGLKHH
+>tr|G3MWK8|G3MWK8_BOVIN DExH-box helicase 58 OS=Bos taurus OX=9913 GN=DHX58 PE=4 SV=2
+MELRPYQWEVIMPALEGKNIIIWLPTGSGKTRAAAYVARRHLETVDGAKVVVLVNRVHLV
+TQHCEEFSRMLERRWTITTLSGDMGPRAGFGHVARRHDLLICTAELLQKALASPEEEEHV
+ELNAFSLLVVDECHHTHKDTVYNIILSQYLELKLQRTRPLPQVLGLTASPGTGGASTLEG
+AIDHVLQLCANLDTWCIMSPKDHSPQLQEHSHQPCKQYDLCHRRTQDPFGDMLKKLMDQI
+HDHLEMPKLRRDFGTQTYEQQVVELSQDAAEAGLLEQRVYALHLRRYNDALLIHDTVRAV
+DALNTLRDFYNRERTTKTQILHAERWLLALFDDHKNELARLATSGPENPKLEVLEAILQR
+QFRSPDSPRGIIFTRTRQSAHSLLLWLQQQPGLQTVDIRPQVLTGAGNNSQKTQMIQMTQ
+RDQQEVIQKFRTGTLNLLVATSVAEEGLDIPQCNVVVRYGLLTNEISMVQARGRARASQS
+VYSFVAAQGSRELQRELTNEALETLMKRAVAAVQAMDQAKYQAKIRDLQRAALVKRAVQA
+AQRESRQRKFLAEQVQLLCINCMVSVGYGSDLRKVEGTHHVNVNPNFSIYYNISKQPVDI
+NRSFKDWRPGGAISCRNCGEVSAGAVALALVLRSPQSERGGPVSAIRCPYWEGGDHPAPG
+PPNLGSSPTMVGRPQCLRLRWETQAQHAWTPAGEKKLRTETQPWPSLSSPHRPGVCR
+>tr|A0A3Q1NK18|A0A3Q1NK18_BOVIN LARGE xylosyl- and glucuronyltransferase 1 OS=Bos taurus OX=9913 GN=LARGE1 PE=4 SV=1
+MLGVCRGRRKFLAASLTLLCIPAITWLYLFAGSFEDGKPVSLSPLEAQPHSPRYTASSQR
+ERESLEVRVREVEEENRALRRQLSLAQGRSPSHRRGNHSKTYSMEEGTGDSENLHAGIVA
+GNSSECGQQPVVEKCETIHVAIVCAGYNASRDVVTLVKSVLFHRRNPLHFHLIADSIAEQ
+ILATLFQTWMVPAVRVDFYNADELKSEVSWIPNKHYSGIYGLMKLVLTKTLPANLERVIV
+LDTDITFATDIAELWAVFHKFKGQQVLGLVENQSDWYLGNLWKNHRPWPALGRGYNTGVI
+LLLLDKLRKMKWEQMWRLTAERELMGMLSTSLADQDIFNAVIKQNPFLVYQLPCFWNVQL
+SDHTRSEQCYRDVSDLKVIHWNSPKKLRVKNKHVEFFRNLYLTFLEYDGNLLRRELFGCP
+SEADVNSENLQKQLSELDEDDLCYEFRRERFTVHRTHLYFLHYEYEPASDNTDVTLVAQL
+SMDRLQMLEAICKHWEGPVSLALYLSDAEAQQFLRYAQGSEVLMGRHNVAYHIVYKEGQF
+YPVNLLRNVAMKHVGTPYMFLSDIDFLPMYGLYEYLRYHVWTKGHAPTNFAKWRTATTPY
+RVEWEADFEPYVVVRRDCPEYDRRFVGFGWNKVAHIMELDAQEYEFIVLPNAYMIHMPHA
+PSFDITKFRSNKQYRICLKTLKEEFQQDMSRRYGFAALKYLTAENNS
+>tr|F1MLG0|F1MLG0_BOVIN F-box and WD repeat domain containing 11 OS=Bos taurus OX=9913 GN=FBXW11 PE=4 SV=3
+MIKFQCSVPRSLWLGCANLVESMCALSCLQSMPSVRCLQNTSVMEDQNEDESPKKSTLWQ
+ISNGTSSVIVSRKRPSEGNYQKEKDLCIKYFDQWSESDQVEFVEHLISRMCHYQHGHINS
+YLKPMLQRDFITALPEQGLDHIAENILSYLDARSLCAAELVCKEWQRVISEGMLWKKLIE
+RMVRTDPLWKGLSERRGWDQYLFKNRPTDGPPNSFYRSLYPKIIQDIETIESNWRCGRHN
+LQRIQCRSENSKGVYCLQYDDEKIISGLRDNSIKIWDKTSLECLKVLTGHTGSVLCLQYD
+ERVIVTGSSDSTVRVWDVNTGEVLNTLIHHNEAVLHLRFSNGLMVTCSKDRSIAVWDMAS
+ATDITLRRVLVGHRAAVNVVDFDDKYIVSASGDRTIKVWSTSTCEFVRTLNGHKRGIACL
+QYRDRLVVSGSSDNTIRLWDIECGACLRVLEGHEELVRCIRFDNKRIVSGAYDGKIKVWD
+LQAALDPRAPASTLCLRTLVEHSGRVFRLQFDEFQIISSSHDDTILIWDFLNVPPSAQNE
+TRSPSRTYTYISR
+>tr|F1MPQ0|F1MPQ0_BOVIN THO complex 6 OS=Bos taurus OX=9913 GN=THOC6 PE=4 SV=2
+MERAAQQAVPLGQMEVFQALQRLHMTIFSQSVSPCGKFLAAGNNYGQIAIFSLSAALSSE
+AKEESKKPMVTFQAHDGPVYSMVSTDRHLLSAGDGEVKAWLWAEILKKGCKELWRRQPPY
+RTSLEVPEINALLLVPKENLLILAGGDCQLHAMDLETGTFMWALRGHTDYIHCLALRERS
+PEVLSGGEDGAVRLWDLRTAKEVQTIEVYKHEECSRPHNGRWIGCLATDSDWMVCGGGPA
+LTLWHLRSSTPTTVFPMRAPQKHVTFYQDLILSAGQGRCVNQWQLSGELKAQVPGSSPGL
+LSLSLNQQPAAPECKVGPGRGGGDPGRPGCWGRSVTPLLFLGPDSCGQQLQSGRLH
+>tr|A0A452DJA3|A0A452DJA3_BOVIN Cytochrome b-c1 complex subunit 8 OS=Bos taurus OX=9913 GN=UQCRQ PE=4 SV=1
+MAPSPGGSRQLVLPEVTSPPPGAASDPTGSGGRKPEGPVVWRRGDLEAAVTMGRQFGHLT
+RVRHVITYSLSPFEQRAFPHYFSKGIPNVLRRTRACILRVAPPFVAFYLVYTWGTQEFEK
+SKRKNPAAYENDR
+>tr|A0A3Q1LZS6|A0A3Q1LZS6_BOVIN CD44 antigen OS=Bos taurus OX=9913 GN=CD44 PE=4 SV=1
+MDTFWWRAAWGLCLVQLSLAQIDLNITCRYAGVFHVEKNGRYSISKTEAADLCKAFNSTL
+PTMAQMEAARNIGFETCRYGFIEGHVVIPRIHPNSICAANNTGVYILTSNTSQYDTYCFN
+ASAPPGEDCTSVTDLPNAFEGPITITIVNRDGTRYTKKGEYRTNPEDINPSVVSPSSPPD
+DEMSSGSPSERSTSGGYSIFHTHLPTVHPSPDQDGPWVSSEPENTSDTSTDSSIISAGWE
+PTEENEDERDRHPSYSGSGIGDDEDFISSTISTTPRVFTHLQENQNWIQRNPDHSNPEAS
+LQTTTRMTDVDRSSTSAYGESWTQEPHSSRSHRGYHDEEETPHSTSTTQAPTTSTAEETA
+TQKEQWFESGRPGEFPHTPKEDSHSTAGTTVTTASTHVSHPHQRVTTQSQEDSSWTYVFD
+PVSHPMGRGHQTKGRMDTSPSTTLQPSADPNMSSVGDLDRTGPLSMTTHRNDGRRGGNLP
+EDATASMEGYTHSPDTNEYTTLTPVTPTKTGSPGVTEVTIVGDSTSKADLTLPGDYGSSH
+DPSGRSYTTHASESAGHSSGSEEHGANTTSGPMRKPQIPEWLIILASLLALALILAVCIA
+VNSRRRCGQKKKLVINNGNGTMEERKPSGLNGEASKSQEMVHLVNKGSSETQDQFMTADE
+TRNLQNVDMKIGV
+>tr|F1MII5|F1MII5_BOVIN EPH receptor B1 OS=Bos taurus OX=9913 GN=EPHB1 PE=3 SV=3
+MALDFLLLLLLASAVAAMEETLMDTRTATAELGWTANPASGWEEVSGYDENLNTIRTYQV
+CNVFEPNQNNWLLTTFINRRGAHRIYTEMRFTVRDCSSLPNVPGSCKETFNLYYYETDSV
+IATKKSAFWSEAPYLKVDTIAADESFSQVDFGGRLMKVNTEVRSFGPLTRNGFYLAFQDY
+GACMSLLSVRVFFKKCPSIVQNFAVFPETMTGAESTSLVIARGTCIPNAEEVDVPIKLYC
+NGDGEWMVPIGRCTCKPGYEPENSVACKACPAGMFKASQEAEVCSHCPSNSRSPSEASPI
+CTCRTGYYRADFDPPEVACTSVPSGPRNVISIVNETSIILEWHPPRETGGRDDVTYNIIC
+KKCRADRRSCSRCDDNVEFVPRQLGLTECRVSISSLWAHTPYTFDIQAVNGVSSKSPFPP
+QHVSVNITTNQAAPSTVPIMHQVSATMRSITLSWPQPEQPNGIILDYEIRYYEKEHNEFN
+SSMARSQTNTARIDGLRPGMVYVVQVRARTVAGYGKFSGKMCFQTLTDDDYKSELREQLP
+LIAGSAAAGVVFVVSLVAISIVCSRKRAYSKEAVYSDKLQHYSTGRGSPGMKIYIDPFTY
+EDPNEAVREFAKEIDVSFVKIEEVIGAGEFGEVYKGRLKLPGKREIYVAIKTLKAGYSEK
+QRRDFLSEASIMGQFDHPNIIRLEGVVTKSRPVMIITEFMENGALDSFLRQNDGQFTVIQ
+LVGMLRGIAAGMKYLAEMNYVHRDLAARNILVNSNLVCKVSDFGLSRYLQDDTSDPTYTS
+SLGGKIPVRWTAPEAIAYRKFTSASDVWSYGIVMWEVMSFGERPYWDMSNQDVINAIEQD
+YRLPPPMDCPAALHQLMLDCWQKDRNSRPRFAEIVNTLDKMIRNPASLKTVATITAVPSQ
+PLLDRSIPDFTAFTTVDDWLSAIKMVQYRDSFLTAGFTSLQLVTQMTSEDLLRIGVTLAG
+HQKKILNSILSMRVQMSQSPTAMA
+>tr|A0A3Q1MNQ7|A0A3Q1MNQ7_BOVIN Phospholipid-transporting ATPase OS=Bos taurus OX=9913 GN=ATP8B4 PE=3 SV=1
+MFCSKKKSLEVERIVKANDREYNEKFLYKDNRIHTSKYNILTFLPINLFEQFQRVANAYF
+LFLLILQLIPEISSLTWFTTIVPLVLVVTMTAVKDATDDYFRHKSDNQVNNRQSEVLIDS
+KLQNEKWMNVKVGDIIKLENNQFVAADLLLLSSSEPHGLCYIETAELDGETNLKVRHALS
+VTSELGADISRLAKFDGPDTKLMQNSGKTKFKRTSIDRLMNTLVLWIFGFLICLGTILAI
+GNSIWENQVGNQFRTFLFWNEGEKNSVFSGFLTFWSYIIILNTVVPISLYVSVEVIRLGH
+SYFINWDRKMYYSRKATPAEARTTTLNEELGQIEYVFSDKTGTLTQNIMTFKKCSINGRI
+YGEVHDDLGQKTDMTKKKETVGFSVSPQADRTFQFFDHHLMESIELGDPKVHEFLRLLAL
+CHTVMSEENSAGQLIYQVQSPDEGALVTAAKNLGFIFKSRTPETITIEELGTLVTYQLLA
+FLDFNNFRKRMSVIVRNPEGQIKLYSKGADTILFERLHPSNEDLLTLTSDHLSEFAGEGL
+RTLAIAYRDLDDKYFREWHKMLEDANTSTDERDERIAGLYEEIEKDLMLLGATAVEDKLQ
+DGVIETVTSLSLANIKIWVLTGDKQETAINIGYACNMLTDDMNDVFIIAGNTAAEVREEL
+RKAKENLFGQNRIFSSGHVVFEKKQSLELDSVVEETVTGDYALIINGHSLAHALESDVKN
+DLLELACMCKTVICCRVTPLQKAQVVELVKKYRNAVTLAIGDGANDVSMIKSAHIGVGIS
+GQEGLQAVLASDYSFAQFRYLQRLLLVHGRWSYVRMCKFLCYFFYKNFAFTLVHFWFGFF
+CGFSAQTVYDQWFITLFNIVYTSLPVLAMGIFDQDVSDQNSMDYPQLYRPGQLNLLFNKH
+EFFICMAHGIYTSLALFFIPYGAFHNMAGEDGQHTADYQSFAVTMATSLVIVVSVQIALD
+TSYWTVINHVFIWGSIATYFSILFTMHSNGIFGLFPNQFPFVGNARHSLTQKCTWLVILL
+TTVASVMPVVAFRFLKVDLFPTLSDQIRQWQKAQKKARPLRSRKPQTRRSSSRRSGYAFA
+HQEGYGELITSGKNMRAKNPPPTSGLEKTLYNSTSWIENLCKKTTDTVSSFSQDKTVKL
+>tr|G3MZ19|G3MZ19_BOVIN Jacalin-type lectin domain-containing protein OS=Bos taurus OX=9913 GN=ZG16B PE=4 SV=2
+MLLWLTLALLWSPTCWAQQKYGPGGGTYFSTSRDFQNDITGIRVFIGPLGLIKSIQVRFG
+SSWSEKYGAPGGTPQEVILLPEEHITGIYGSYKNFLRHLVIYTDRGRLFPFGKEDGNTFI
+AFPDESDKVLIGVCGHYKLLGITSIGFEWGYPSFLNK
+>tr|A0A3Q1MN68|A0A3Q1MN68_BOVIN Zinc finger protein 592 OS=Bos taurus OX=9913 GN=ZNF592 PE=4 SV=1
+MGDMKTPDFDDLLAAFDIPDPTSLDAKEAIQTPSEENESPLKPPGLCVDENVSLSHSGSA
+SDVPAVSVIVKNTSRQESFEAEKDHIAPSLLHNGFRGSDLPADPHSLGHNCGKFDSTFMN
+GDSTRGFPGKLEASKSEPLPTFNQFSPISSPEPEDAIKDNGFGMKPKHSDSYFPPPPGCG
+SVGGPVLEALTKFPVPELHMFDHFCKKEPKPEPLPLGSPQEHERGGQKALEVHKELDASR
+FFGDALDFSSHPGNSIGEPKGLASELGTGSAFPPRQRLKPAHSKLSSCVAALVALQAKRV
+ANVAKEDQTSHTKDPSGPAKEGSKGSPKMPKSPKSPRSPLEATRKSIKPSDSPRSICSDS
+SSKGSPSVAASSPPAIPKVRIKTIKTSSGEIKRTVTRILPDPDDPSKSPSGSPLGSATAE
+APSEAPEDEATTLSGVEHFAEVGAQPGSPQSDRKGEECTAKASEPSPSCFSSGARGQKGA
+ASGTLEGRKPQQSPAPPASAPAPANLLPKAVHLANLNLVPHSVAASVTAKSSVQRRSQPQ
+PTQMSVPLVHQVKKAAPLVLEVFNKVLHSSNPVPLYAPNLSPPADSRIHVPASGYCCLEC
+GDAFALEKSLSQHYGRRSVHIEVLCTLCSQTLLFFNKCSLLRHARDHKSKGLVMQCSQLL
+VKPISADQMFVSTPANSTAPAAAAPPSPSQPGQASSNASTPLPALPLYPDPVRLIRHSIK
+CLECHKQMRDYMAMAAHFQRTTEETEGLTCQVCQMLLPNQCSFCAHQRIHAHKSPYCCPE
+CGALCRSAYFQTHVKENCLHYARKVGYRCIHCGVVHLTLALLKSHIQERHCQVFHKCAFC
+PMAFKTASSTADHSATQHPTQPHRPSQLIYKCSCEMVFNKKRHIQQHFYQNASTAQVGVF
+KCPECPLLFLQKPELMQHVKSTHGVPRNVDELSSLQSSADSSSSRPGPRVPAEPPALSVT
+ARGSALPSSRWGRPEAHRRAEARPRLRNTGWTCQECQEWVPDRESYVSHMKKSHGRTLKR
+YPCRQCEQSFHTPNSLRKHIRNNHDTVKKVYTCGYCTEDSPSFPRPSLLESHISLMHGIR
+NPDLSQTSKARPSGGHSPQVNHLKRPVSGVGAAPDTSNGTPVSSTKRHKSLFQCAKCSFA
+TDSGLEFQRHIPQHQKDSSTAQCLLCGLCYTSAGSLSRHLFIVHKV
+>tr|F6RFE5|F6RFE5_BOVIN Protein arginine methyltransferase 1 OS=Bos taurus OX=9913 GN=PRMT1 PE=3 SV=1
+MVSCGQAESSEKPNAEDMTSKDYYFDSYAHFGIHEEMLKDEVRTLTYRNSMFHNRHLFKD
+KVVLDVGSGTGILCMFAAKAGARKVIGIECSSISDYAVKIVKANKLDHVVTIIKGKVEEV
+ELPVEKVDIIISEWMGYCLFYESMLNTVLYARDKWLAPDGLIFPDRATLYVTAIEDRQYK
+DYKIHWWENVYGFDMSCIKDVAIKEPLVDVVDPKQLVTNACLIKEVDIYTVKVEDLTFTS
+PFCLQVKRNDYVHALVAYFNIEFTRCHKRTGFSTSPESPYTHWKQTVFYMEDYLTVKTGE
+EIFGTIGMRPNAKNNRDLDFTIDLDFKGQLCELSCSTDYRMR
+>tr|A0A3Q1MQP8|A0A3Q1MQP8_BOVIN Serine and arginine rich splicing factor 4 OS=Bos taurus OX=9913 GN=SRSF4 PE=4 SV=1
+MLAEDCHVHIQRKACGTVLGQRYGFVEFDDLRDADDAVYELNGKDLCGERVIVEHARGPR
+RDGSYGSGRSGYGYRRSGRDKYGPPTRTEYRLIVENLSSRCSWQDLKDYMRQAGEVTYAD
+AHKGRKNEGVIEFVSYSDMKRALEKLDGTEVNGRKIRLVEDKPGSRRRRSYSRSRSHSRS
+RSRSRHSRKSRSRSGSSKSSHSKSRSRSRSGSRSRSKSRSRSQSRSRSKKEKSRSPSKDK
+SRSRSRSADKRRSKSKDPAEEKVQNSDRTGKSKSRSPSRHKSKSRSRSQERGAEEARGSA
+SRSRSKEKSLRKSRSRSQGGSRSRSRSKSKDKRKGRKRSREESRSRSRSRSKSERSRKRG
+GKRDSKSGSGGGSGSSKKKKKDDADRSQSRSRSRSGSKERERAKSESGQREGRGEGEDAG
+ANQETRSRSRSNSKSKPNLPSESRSRSKSASKTRSRSKSRSRSGSRSPSRSRSRSHSRS
+>tr|A0A3Q1LTD8|A0A3Q1LTD8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MDFLRLHLPGLHQRCWRLSPSQYSLDFNPPIPTNTSTDLSSRPFPTPSADPGPPSPPPEE
+EPSAPEQRLQPEQPPEPRSPRPEGTPLPARRSPLGQGFGLAHPGMMQELRARLGQPKPQ
+>tr|A0A3Q1M4H2|A0A3Q1M4H2_BOVIN ADAM metallopeptidase domain 9 OS=Bos taurus OX=9913 GN=ADAM9 PE=4 SV=1
+MGSGVGSPSETLRVRWLLLVGLLSPALGGARSGFQQTSHLSFYEIITPWKLTRERREAPR
+PFSEQVSYVIQAEGKEHIIHLERNKDLLPKDFVVYTYNKEGALISDHPSIQNHCHYRGYV
+EGTYDSSIALSDCFGLRGLLHIENVSYGIEPLQNSSHFEHIFYRMDDVHQEPLKCGVSNK
+VMEEETTKDEEEEHPSITQLLRRKRDILPQTRYVELFIVVEKEKYDNMGKNQTAVREEMI
+SLANYLDSMYIMLNIRIVLVGLEIWTNENLISMAGGAGDVLSNFVQWREKFLITRRRHDS
+AQLILKRGFGGTAGMAFVGTVCSRSHAGGINVFGQIPVEKFASIVAHELGHNLGMSHDDG
+RGCHCPVKSCIMNSGASGSKNFSSCSADDFEKLTLNKGGNCLLNIPKGDEAYSAPSCGNK
+LVDPGEECDCGSPKECELDPCCEGKTCKLKSFAECAYGACCQDCRFRPGGTLCRGKTNEC
+DVPEYCNGSSQFCQPDVFIQNGYPCQNNKAYCYNGMCQYYDAQCQVIFGSKAKAAPKECF
+LDVNSKGDRFGNCGFSGHEYKKCAIGNALCGKLQCENVQQLPVFGVVPAIIQTPGKGTKC
+WGVDFQLGSDVPDPGMVNEGTSCDVGKICRNFACVNVSVLNYDCDIQQKCHGHGVCNSNK
+NCHCDNGWAPPNCETKGYGGSVDSGPTYNENNAALRDGLLVFFFLILPLTVLAVFVFIKR
+DQLRKSCCRRKRSQTNESGGKNQAKDSRQPASVPRPVSSVIPPRNVPVQTNRFPVPTYGA
+RQPQQYPSRPPPPQPKESFQGNLVPARPAPPPPPPLYSSLT
+>tr|A0A3Q1MSD3|A0A3Q1MSD3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=REC114 PE=4 SV=1
+MLFSWVSDPPPGRRAVCLRSPGPSRSAPGEGVSGKCTASGLLPGFSCDTGGGESPAGLSP
+AEPRGDPARRAWGKLQEAQGARVRPKPFEVFDSSEESGHLVLTIVISGHFFISQGQTLLE
+GFSLIGSKNWLKIVRRMDCLLFGTTIKNKSRMFRVQFSGGSREQALEHCYSCVQNLAQYI
+TVQVPDGISQEFRPSPGQGKGCVQSIPPQHGNSEQQQWVPAGTSSPGGRTSVAWLAQSLM
+ASEELPLVYERSAWNAEGLGPFLRLCLMDQNFPAFVEEVEKELKKLTGLSG
+>tr|E1BL61|E1BL61_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=2
+MAAGEPGDLGVYSFRFLPQKTFQSLSTPQTTSRLRQWSMLGRIEAQAFGFDQMFQAYRKD
+DFVMACFKDPNVIPNLKLLSESSGEWLTLGTESNSLQPNKLKLTERCLRFNIMY
+>tr|A0A3Q1M803|A0A3Q1M803_BOVIN Family with sequence similarity 122B OS=Bos taurus OX=9913 GN=FAM122B PE=4 SV=1
+MQVSDMAQEKMELDFEPDTSDGGTLRRSNSAPLIHGLSDLSQVFQPYTFRARRNSTTVMS
+RHNLLLSTSPNRIPSSRLHQIKREEGMDIMNRETAHEREVQTAMQISQSWDESLSLSDSD
+FDKQEKLYSPKRIDFTPVSPAPSPTRGFGKQCFSPSLQMFVSSSGLPPSPVPSPRRFSTR
+SQSPIKCIRPSVLAPLKRKGEMETESQPKRLFQGTTNMLSPEAAQLSDLSSCSDILDGSN
+SGSGLSSESLAKGSTTADAPVACSNSCSPFILMEDLSPK
+>tr|F1N106|F1N106_BOVIN Nuclear factor of activated T cells 4 OS=Bos taurus OX=9913 GN=NFATC4 PE=4 SV=3
+MGAASCEDEELEFKLVFGEEKEAPPLGAGGAGEELDSEDTPPCCRLALGEPPPYGAAPIG
+IPRPPPPRPGMHSPPPRPAPSPGTWESQPARSVRLGGPGGSSGGAGGGRVLECPSIRITS
+ISPTPDPPAALEDNPDPWGEGSPRDYPPPEGFGGYREAGGQGGGPFFSPSPGSSSLSSWS
+FFSDASDEAALYAACDEVESELNEAASRFGLGSPLPSPRASPRPWTPDDPWSLYGPSPGG
+RGPEDSWLLLSAPGPTPASPRPASPCGKRRYSSSGTPSSASPALSRRGSLGEEGPEPPPP
+PPLPLVRDPGSPGPFDYVGAPSAESIPQKTRRTSSEQAVALPRSEEPAPCNGKLPSGAEE
+AGAPPGGPRKEAAGMDYLAVPSPLAWSKARIGGHSPIFRTSALPPLDWPLPSQYEQLELR
+IEVQPRAHHRAHYETEGSRGAVKAAPGGHPVVKLLGYSEKPLTLQMFIGTADERNLRPHA
+FYQVHRITGKMVATASYEAVVSGTKVLEMTLLPENNMAANIDCAGILKLRNSDIELRKGE
+TDIGRKNTRVRLVFRVHVPQGSGKVVSVQTASVPIECSQRSAQELPQVEAYSPSACSVRG
+GEELVLTGSNFLPDSKVVFIERGPDGKLQWEEEATVNRLQSNEVTLTLAVPEYSNKRVSR
+PVQVYFYVSNGRRKRSPTQSFKFLPVIFKEEPLPDASLRGFPSASGPPFGSDMDFSPPRP
+PYPSYPHEDPAYETPYLSEGFSYGTPPLYPQTGPPPSYRPGLRMFPETGGATGCARPPPV
+SLLPRPFPSDPYGGRGSPFPLGLQFPPPSPFRPPMPSSPPLEGPFPPQGSVHPPPAEGYS
+EVGPSYGPGEGAPEQEKSRGGYGSGFRDSVPIQGITLEEVSEIIGRDLSGFPAPPGEEPP
+A
+>tr|A0A3Q1N3N3|A0A3Q1N3N3_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MNIDAKILNKILAIRIQQHIKKIIHHDQVGFIPGMQGFFNICKSINVIHHINKVKNKNHM
+IISIDAEKAFDKIQHPFMIKTLQKAGIEGTYLNIIKAIYDKPTANIILNGEKLKAFPLKS
+GTRQGCPLSPLLFNIVLEVLATAIRAEKEIKGIQIGKEEAKLSLFADDMILYIENPKDST
+RKLLEIINDYSKVAGYKINTQKSLAFLYTNNEKTEREIKETIPFTIATERIKYLGIYLPK
+ETKDLYIETIKHW
+>tr|A0A3Q1LSV4|A0A3Q1LSV4_BOVIN Ral GTPase activating protein catalytic alpha subunit 1 OS=Bos taurus OX=9913 GN=RALGAPA1 PE=4 SV=1
+MFSKKPHGDVKKSTQKVLDTKKDALTRLKHLRIVIENAESIDLKQFFDQHFSHIYYVFFE
+NFVTIEASLKQKGHKSQREELDAILFIFEKILQLLPERIHQRWQFHSIGLILKKLLHTGN
+SLKIRREGVRLFLLWLQALQNNCSKEQLWMFSCLIPGFSAPQSEYGPRTLDNLINPPLNL
+QETQVTIEEITPLVPPQSGDKGQEDLTSYFLEALLKYIVIQVKSLEWKNKENQERGFSFL
+FSHFKKYYLPYIFPNICKENSLYHPVLDIPQMRPKPHYVMIKKDAETNEAIYCTKEPFIK
+ARVIVIRWLVSFWLEPKPHTGPHIPGMEGEVLPKNIQRAAASLVSREENKNDNADKTDRS
+TEPEQSHSNTSTLTEREPSSSSLCSIDEEHLTDIEIVRRVFSSKRSNVNFVTEIFRQAFL
+LPICEAAAMRKVVKVYQEWIQQEEKPLFMQEPEEIVISSSDLPCIDNVTEHDISMEEGEK
+REEENDTNVADHVRNSTWPKNGTYQDLLHNASEEAIEQNIRAGAQAVLQVFIINSSNIFL
+LEPANEIKSLLDEHTDMCKRILNIYRFMVVQVSMDKKTWEQMLLVLLRVTESVLKMPSQA
+FLQFQGKKNMTLAGRLAGPLFQTLIVAWIKANLNVYISRELWDDLLSVLSSLTYWEELAT
+EWSLTMETLTKVLARNLYSLDLSDLPLDKLSEQKQKKHKGKGVGHEFQKVSVDKSFSRGW
+SRDQPGQAPMRQRSATTTGSPGTEKARSIVRQKTVAMRSRSIGECALPSAYIRSAKSAPV
+LIHTSKPFLPDIVLTPLSDELSDIDDAQILPRPTRVRHFSQSEDTGNEVFGALSEEQPLP
+RSSSTSDILEPFTVERAKGAVPVIDSSSRHAPSLQSSTEASSITRSTESHITDTHSRESS
+LEVGDSIYDHLCHLIDPVELADSAFEQIQYIDLEGDDDLLSSLKEYFKETQESHSKNELD
+KDAASQEVISVAVNKDERSSSDKLEYIDQESKSENTTSFVGTPENIQFQKEPNSAVFTNI
+SAPNQLDSFMKTKTSEKCKQLNNDKQSSEPSSGSPCDKEKRKHLYRQTATELDACIDITL
+AEKVKGVQINEKITVPHVLHAKKTTLKAPVNRRMPHVTSTSKLSPTKRSLSETVTHRAKI
+MKIATKKRNSVHVTFRPSTESVQFYNPLENKEAPWKMRLRKLSGFSGSNSNISNPHTSSN
+SAAELVKPGVYRPLDTISAASASSETIKETTEIPTAILQKEGIATNQLGSRSTIKSSSHE
+AGLPQGSLGGVYKTVVHALSKPKANVSPQRQNRMPPEAPLRDLYSHVMGYFGRKAAVNKE
+DMSTKLPPLNSDIGSSNANVPDLMDEFIAERLRSGNASTMTRRGSSPGSLEIPKDLPDIL
+NKQNQMRPIDDPGVPSEWTSPASAGSSDLISSDSHSDSFSAFQYDGRKFDNFGFGADTGN
+ASSADMDSGSGHYQSAEEQEVASLTTLHIDSETSSLNQQAFCTDVATVTGSESASPVHSA
+LGSRSQTPSPSTLNIDHMEQKDLQLDEKLHHSVLQTPDDLEISEFPSECCSVMAGGTLTG
+WHADVATVMWRRMLGILGDVNAIMDPEIHAQVFDYLCELWQNLAKIRDNLGISTDNLTSP
+SPPVLIPPLRILTPWLFKATMLTDKYKQGKLHAYKLICNTMKRRQDVSPNRDFLTHFYNI
+MHCGLLHIDQDIVNTIIKHCSPQFFSLGLPGATMLIMDFIVAAGRVASSAFLNAPRVEAQ
+VLLGSLVCFPNLYCELPALHPNIPDIAVSQFTDVKELIIKTVLSSARDEPSGPARCVALC
+SLGIWICEELVHESHHPQIKEALNVICVSLKFTNKTVAHVACNMLHMLVHYVPRLQIYQP
+DSPLKIIQILIATITHLLPSTEASSYEMDKRLVVSLLLCLLDWIMALPLKTLLQPVHATG
+AENDKIEKSVLNCIYKVLHGCVYGAQCFSNPRYFPISLSDLASVDYDPFMHLESLKEPEP
+LHSPDSERSSKLQPVTEVKTQMQQGLISIAARTVITHLVNHLGHYPMSGGPAMLTSQVCE
+NHDNHYSESTELSPELFESPNIQFFVLNNTTLVSCIQIRAEESIPGGGLSAGLASANSNV
+RIIVRDLSGKYSWDSAILYGPPLVSGLSEPTSLVLSLSHQEKPEEPSASNECLEDITVKD
+GISLQFKRSFRETVPTWDTIRDEEDVLDELLQYLGVTSPECLQRTGVSLNIPAPQPVCIS
+EKQENDVINAILKQHTEEKEFVEKHFNDLNMKAAEQDEPTPQKPQSAFYYCRLLLSILGM
+NSWDKRRSFHLLKKNEKLLRELRNLDSRQCRETHKIAVFYVAEGQEDKHSILTNTGGSQA
+YEDFVAGLGWEVNLTNHCGFMGGLQKNKSTGLTTPYFATSTVEVIFHVSTRMPSDSDDSL
+TKKLRHLGNDEVHIVWSEHTRDYRRGIIPTEFGDVLIVIYPMKNHMFSIQIMKKPEVPFF
+GPLFDGAIVNGKVLPIMVRATAINASRALKSLIPLYQNFYEERARYLQTIVQHHLEPTTF
+EDFAAQVFSPAPYHHLPSDAGSYPEILPSETPTAAQVDGADLASPMSPRTSKSRMSMKLR
+RSSGSANKS
+>tr|A0A3Q1N6E0|A0A3Q1N6E0_BOVIN Zinc finger MIZ-type containing 1 OS=Bos taurus OX=9913 GN=ZMIZ1 PE=4 SV=1
+MNSMDRHIQQTNDRLQCIKQHLQNPANFHNAATELLDWCGDPRAFQRPFEQSLMGCLTVV
+SRVAAQQGFDLDLGYRLLAVCAANRDKFTPKSAALLSSWCEELGRLLLLRHQKSRQSDPP
+GKLPMQPPLNSMSSMKPTLSHSDGSFPYDSVPWQQNTNQPPGSLSVVTTVWGVTNTSQSQ
+VLGNPMANANNPMNPGGNPMASGMTTSNPGLNSPQFAGQQQQFSAKAGPTQPYIQQSMYG
+RPNYPGSGGFGASYPGGPNAPAGMGVPPHTRPPADFTQPAAAAAAAAVAAAAATATATAT
+ATVAALQETQNKDINQYGPVCSSFQMGPTQAYNSQFMNQPGPRGPASMGGSMNPASMAAG
+MTPSGMSGPPMGMNQPRPPGISPFGTHGQRMPQQTYPGPRPQSLPIQSIKRPYPGEPNYG
+NQQYGPNSQFPTQPGQYPTPNPPRPLTSPNYPGQRMPSQPSTGQYPPPTVNMGQYYKPEQ
+FNGQNNTFSGSSYSNYSQGNVNRPPRPVPVANYPHSPVPGNPTPPMTPGSSIPPYLSPSQ
+DVKPPFPPDIKPNMSALPPPPANHNDELRLTFPVRDGVVLEPFRLEHNLAVSNHVFHLRP
+TVHQTLMWRSDLELQFKCYHHEDRQMNTNWPASVQVSVNATPLTIERGDNKTSHKPLHLK
+HVCQPGRNTIQITVTACCCSHLFVLQLVHRPSVRSVLQGLLKKRLLPAEHCITKIKRNFS
+SVAASSGNTTLNGEDGVEQTAIKVSLKCPITFRRIQLPARGHDCKHVQCFDLESYLQLNC
+ERGTWRCPVCNKTALLEGLEVDQYMWGILNAIQHSEFEEVTIDPTCSWRPVPIKSDLHIK
+DDPDGIPSKRFKTMSPSQMIMPNVMEMIAALGPGPSPYPLPPPPGSTNSNDYSSQGNNYQ
+GHGNFDFPHGNPGGTSMNDFMHGPPQLSHPPDMPNNMATLEKPLSHPMQETLLPELTNPD
+ELLSYLDPPDLPSNSNDDLLSLFENN
+>tr|E1BCV6|E1BCV6_BOVIN RAN binding protein 3 like OS=Bos taurus OX=9913 GN=RANBP3L PE=4 SV=1
+MQRKGSSHLFNSVHTCRLKLPQEQQHQEKSVIAQPIFVFEKREQTFKRPADDILYEAAER
+ECNEFSRKRVRASSFTWHTTDSQNQGVKKNNVFMTSNSVQRNADLNSAEQCPVKHSELVL
+RPAILQPPQAQGYIKVRKSFGDHVLESCKVKEKNKSKIYEGNSYLLSENLPNARISIQLS
+TNQNALGTTSLRCQPSEDKYSMKSCSSDFVFGENMVERVLGTQKLTQPQLESDLYIKEKP
+FKFTLKIPVNSPNSRTDTIENISLIESAAAFSFKPSPKCLLEKIDIITGEEAEHNVLEIN
+CKLFRFNKTTQSWTERGRGALRLNDTARSDCGTFQSRLIMRNQGSLRLILNSKLWAQMEI
+QRANHKNLQITATDLEDCNVKVFLIQASAKDTGRMHAAIHHRLVALRSFDKRTDANQTES
+QSETVLQQCNYDSCDEDEEDLIQATKARSDSYRWTHRQSVACS
+>tr|A0A3Q1M617|A0A3Q1M617_BOVIN Nuclear receptor interacting protein 3 OS=Bos taurus OX=9913 GN=NRIP3 PE=4 SV=1
+MAQLSVIPGSATSWTGLLTEGGRKETDMREAASLRQQRRMKQAVQFIHKDSADLLPLDGL
+KKLGSSKDTQPHNILQRRLMETNLSKLRSSRVPWASKTNKFNQAKSEGLKKSEDDDMILV
+SCQCAGKDVKALVDTGCQYNLISSACVDRLGLKEHVRSHKHEGERLSLPRHLKVVGQIEH
+LVITLGSLRLDCPAAVVEDNEKNLSLGLQTLRSLKCIINLDKHRLIMGKTDKEEIPFVET
+ISLNEDNTSEA
+>tr|A0A3Q1M2U2|A0A3Q1M2U2_BOVIN Sm domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MAANSTTNPSQLLPQELVDKCIASRIRIVMQSDKEIVGTLQGFDGFASTSHNQRENLCSN
+KDPARPQFKYIYILIN
+>tr|A0A3Q1LSC1|A0A3Q1LSC1_BOVIN MLLT1, super elongation complex subunit OS=Bos taurus OX=9913 GN=MLLT1 PE=4 SV=1
+MQGPRWACWGDRACRANTCSPPPVIGASQSPFIFRLCMPPGVGWRADGEGSPHPTALGSA
+LTLLLPLSLQCTVQVKLELGHRAQLRKKPTTEGFTHDWMVFVRGPEQCEIQHFVEKVVFR
+LHDSFPKPKRVCKEPPYKVEESGYAGFIMPIEVYFKNKEEPRKVCFTYDLFLNLEGNPPV
+NHLRCEKLTFNNPTVEFRYKLLMAGGVMVIPEGAETVSRPSPDYPMLPTIPLSAFSDPKK
+TKPSHGSKDASKESSKACKAHKATKEHRERPRKDSESRGTSKEPEREQARSAKDAARKLG
+EGRPPKEEKAPPPKAAFKEPKMALKETKLEGMSPKGGPPPPPPPKSSSKRPATADSPKPS
+AKKQKKSSSKGSRSAPSTSPRTSSSSFSDKKPAKDKGSTKGEKVKAENESREIKKPPEVE
+ESNSEDEASFKTESAQSSPSNSSSSSDSSSDSDFEPSQNHSQGPLRSMVEDLQSEESDED
+DSSSGEEAAGKTNAGRDSRLSFSDSESDNSGDSCLPSREPPPPKKPPPPNSKASGRRSPE
+PCGKPEKILKRGTYDKAYTDELVELHRRLMALRERNVLQQIVNLIEETGHFNVTNTTFDF
+DLFSLDETTVRKLQSYLEAVAT
+>tr|A0A3Q1M864|A0A3Q1M864_BOVIN PH domain-containing protein OS=Bos taurus OX=9913 GN=GAB2 PE=4 SV=1
+MSGGGGGDVVCTGWLRKSPPEKKLRRYAWKKRWFILRSGRMSGDPDVLEYYKNDHSKKPL
+RIINLNFCEQVDAGLTFNKKELQDSFVFDIKTSERTFYLVAETEEDMNKWVQSICQICGF
+NQAEESTDSLRNISSAPHGPRSSPAELSSSSQHLLRERKASAPSHSSQPTLFTFEPALSN
+HVQPALSASAPQEYLYLHQCISRRAESTRSASFSQGSRASFLMRSDTAVQKLAQGNGHCV
+NGVGGQVHGFYSLPKPSRHNTEFRDSAYDLPRSLAAHGHTKGSLTGSETDNEDVYTFKTP
+SSTLCREFGDLLVDNVDVPTTPLSAYQIPRTFTLDKNHNAMAVATPGDSAIAPPPRPPKP
+SQADTPRWGSPQQRPPTGEGSRSVSAAATIPRRNTLPAMDNSRLHRASSCESYDYPQRGG
+DSAGRSAESMSDAVSAFLPAKAVVGRSDSTNSEDNYVPMNPGSSTLLALERAGDNSQSVY
+IPMSPGPHHFDPLGYPSSALSLHRGSSRGSEIQPPPVNRNLKPDRKAKPTPLDLRNNTVI
+DELPFKSPITRSWSRAIHSFSPSSSQYCRPISTQSITSTDSGDSEENYVPMQNPVSASPI
+PSGTNSPAPKKSTGSVDYLALDFQPSSPSPHRKPSTSSVTSDEKVDYVQVDKEKTQALQN
+TMQEWTDVRQSSEPSKGAKL
+>tr|A0A3Q1ML95|A0A3Q1ML95_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=KIF21B PE=3 SV=1
+MLPSFSHSWESRGLGADAGQPDGQEGGCGSAPASLTQDSLPPPALGRIRPQLSKEKIEGC
+HICTSVTPGEPQVLLGKDKAFTYDFVFDLDTWQEQIYSTCVSKLVEGCFEGYNATVLAYG
+QTGAGKTYTMGTGFDVATAEEEQGIIPRAIAHLFGGIAERKQRAQEQGVAGPEFKVSAQF
+LELYNEEILDLFDSARDPDARHRKSHIKIHEDASGSIYTTGVTSRLISSQEELIQCLKQG
+ALSRTTASTQMNVQSSRSHAIFTIHLCQIRQCTQSDARGLPDSAPPASEYETLTAKFHFV
+DLAGSERLKRTGATGERAKEGISINCGLLALGNVISALGDQSKKVVHVPYRDSKLTRLLQ
+DSLGGNSQTIMIACVSPSDRDFMETLNTLKYANRARNIKNKVVVNQDKTSQQISALRAEI
+ARLQMELMEYKAGKRVIGEDGAEGYSDLFRENALLQKENGALRLRVKAMQEAIDAINNRV
+TQLMSQEANLLLAKAGDGNEAIGALIQNYIREIEELRTKLLESEAMNESLRRSLSRASAR
+SPYSLSASPAAPASSMEDASEVIRRAKQDLERLKKKEIRQRRKRREGGERAPLPWPTPLP
+TLLTVPGTQEDEEREESGCEEEDGREDEDEDSGSEESLADSDSDPEEKEVNYQVDLADLT
+CEIEIKQKLIDELENSQRRLQTLKHQYEEKLILLQNKIRDTQLERDRVLQNLSECYTEEK
+ANKIKADYEKRLREMNRDLQKLQAAQKEHARLLKNQSRYERELKKLQAEVAEMKKAKVAL
+MKQMREEQQRRRLVETKRTREIAQLRKEQRRQEFQIRALESQKRQQEIVLRRKTQEVTLR
+ARGGASEAPGEGLRTVQRLRVVHSGPSACASPRKKFQKKGASQSFSKAARLKWQSLERRI
+LDIVMQRMTIVNLEADMERLIKKREELSLMQEALRRKRERLQAESPEEEKGLQELAEEIE
+VLAANIDYINDSIGDCQATIVQLEETKEELDSTDTSVVISSCSLAEARLLLDNFLKASID
+KGLQVAQKEAQIRLLEGRLRQTDIAGSSQNHVLLDALREKAEAHPELQALIHNVQQEEPS
+SSGASPAQLQPGGVCASGSGSGRVGGVFLRAGPRCCDPWPSPAGLAPRKCSAWRLRSHTT
+GPCLHDSRTPGAVPGAPEPLRVPRTREPRSAWTQPRADGRLSPSLPGRGIITPVGGAKGA
+RTAPLQCVSMAEGHTKPVLCVDATDELLFTGSKDRSCKMWNLVTGQEIAALKGHPNNVVS
+IKYCRHSGLVFSVSASYIKVWDIRDSAKCVRTLTSSGQVVSGDACAAASTRTVTSGQGEH
+QINQIALSPAGSMLYAASGNAVRVWELSRFQPIAKLTGHIGPVMCLTAAQTAGQRDLVVT
+GSKDHYVKMFELGEGVTGTIGPTHNFEPPHYDGIECLAIQGDVLFSGSRDNGVKKWDLEH
+QELVQQIPTAHKDWVCALAFVPGRPMLLSACRAGVVKVWNVDNFTPIGEIKGHDSPINAI
+CTNSRHIFTASSDLTVKFWSARRLTPTPWPSGLDTGGDRGCGRWAWPFLPPPPAFP
+>tr|A0A3Q1LZC4|A0A3Q1LZC4_BOVIN RAP1 GTPase activating protein OS=Bos taurus OX=9913 GN=RAP1GAP PE=4 SV=1
+MIEKMQGSRMDEQRCSFPPPLKVGLDAVLLQVLGREGPFPLILLPQFGGYWIEGTNHEIT
+SIPETELLQSPTTKVKLECNHTARLYRKHFLGKEHFNYYSLDTALGHLVFSLKYDVIGDQ
+EHLRLLLRTKCRTYHDVIPISCLTEFPNVVQMAKLVCEDVNVDRFYPVLYPKASRLIVTF
+DEHVISNNFKFGVIYQKLGQTSEEELFSTNEESPAFVEFLEFLGQKVKLQDFKGFRGGLD
+VTHGQTGTESVYCNFRNKEIMFHVSTKLPYTEGDAQQLQRKRHIGNDIVAVVFQDKNTPF
+VPDMIASNFLHAYVVVQAEGGGPDGPLYKVSVTARDDVPFFGPPLPDPAVFRKGPEFQEF
+LLTKLINAEYACYKAEKFAKLEERTRAALLETLYEELHIHSQSMMGLGGDEDKMENGGGG
+GGFFESFKRVIRSRSQSMDAMGLSNKKPHTVSTSHSGSFTPNNPDLAKAAGISLIVPGKS
+PTRKKSGPFGSRRSSAIGIENIQEVQEKRESPPAGQKTPDSGHVSQEPKSENSSTQSSPE
+MPTTKNRAETVAQRAEVLKDFSRSSSSASSFASVVEEAEGVDADDTGLESVSSSGTPHKR
+DSFIYSTWLEDSISTTSGGSSPGPSRSPHPDAGKLGDPACPEIKIQLEASEQHTPQLVCT
+AARGLGRAGTDGLDAGPVGGTVALERGIGCWGEASGSQGSPGMALDQDMGPPPKPGGVGL
+VQPGRTR
+>tr|A0A3Q1MBL2|A0A3Q1MBL2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=ZNF655 PE=4 SV=1
+MEEVSAQEAAESPVVQFQSLETHSEGLSPEPQFVQDTDMEQGLTGAPPVPQVSSLPHEGS
+PGDQAAALLTARYQEFVTFEDVAVHLTREEWGCLDPVQRALYREVMLENYGNLVSLGFPI
+FKPDGISQLEQDLQVFDLETKNREVLRDDCSDGDTRDENKLLIPKQKIPEEVHSYKVRVG
+RFKKDIAQVPETREVYKPEDRLERLQEILRKFLFLEREFRQITISKKTFTSEKNNECNEL
+EKSFSLDSTLDTDQRVLRIQNVDDIDKYDVSLNQNSAGKQEQINLTQDFHSNDYKDSLME
+LSHLSNCESIPTTEKSYKCDACEKIFHQSSALSRHQRIHTREKPYKCKECEKSFSQSSSL
+SRHKRIHTREKPYKCEASDKSCEASDKSCNQSSDILQHKRVHTRAKSYKCSSCERVFSRS
+VHLTQHQRVHREMPCKCTVCGSDFCHTSHQAEHQKVHDEEKSYEYNNCGLTFIKHQGIRP
+REKPYTCNECGKDFRLNSHLIQHQRIHTEKPHESSESGKAFSQTACLIQHHKVHRKEKSY
+ECNDYEDNFSHSSDLVLQQEVLTREKAFDCDAWEKSLSQRAHLVHHQGIHTKEKPYECNE
+HGETFNQVQASFNI
+>tr|A0A3Q1NE67|A0A3Q1NE67_BOVIN Tumor protein p53 binding protein 2 OS=Bos taurus OX=9913 GN=TP53BP2 PE=4 SV=1
+MQISVACFLEEIMFLTVYLSNNEQHFTEVPVTPETICRDVVDLCKEPGESDCHLAEVWCG
+SERPVADNERMFDVLQRFGSQRSEVRFFLRHERPPGRDVVSGPRSQDPSLKRNGVKVPGE
+HRRKENGVNSPRMDLTLAELQEMASRQQQQIEAQQQMLATKEQRLKFLKQQDQRQQQQAA
+EQEKLKRLKEIAENQEAKLKKVRALKGHVEQKRLSNGKLVEEIEQMNSLFQQKQRELVLA
+VSKVEELTRQLEMLKNGRIDGHHDNQSAVAELDRLYKELQLRNKLNQEQNAKLQQQRECL
+NKRNSEVAVMDKRVNELRDRLWKKKAALQQKENLPVSSDGHVPQPGASGQSRVAAVGPYI
+QSSTMPRIPSRPELLVKPALPDGPGATQASEGPMKVQTLPNMRSGAASQAKGSKIHLLGP
+DWTPPNADLFSSQASASVSKSSGNVPDQVDDGEVPLREKEKKVRPFSMFDTVDQSAAPPA
+FGTLRKNQSSEDILRDAQAANKNVAKVPPPVPSKPKQINLPYFGQTNQSPSDMKPDGTAP
+QLSAAVASMGGSKQESPPAAAVRPFTPQPSKDALLPPFRKPQTVAASSIYSMYTQHQAPG
+KNFQQAVQSALTKPHPRAPHFPSVYGKPVIAAAQNQQQLPENVYSNNQGKSGSPEPETEP
+VSSVQETHENERIPRPLSPTKLLPFLSNPYRNQSDADLEALRKKLSNAPRPLKKRSSITE
+PEGPNGPNIQKLLYQRTTIAAMETISVPAYPSKSASGTASPESPLEIQNPYLPVEPEKEV
+VPLVPEPASPEEAGSASTESNDAPAPSTGLEYVPEGVPDSSPNFQNSVEEPNPEAPPLLE
+VYLEEYPPYPPPPYPSGEPEGPGEDSVSLRPPEITGQVSLPPGKRTNLRKTGSERIAHGM
+RVKFNPLALLLDSSLEGEFDLVQRIIYEVDDPSLPNDEGITALHNAVCAGHTEIVKFLVQ
+FGVNVNAADSDGWTPLHCAASCNNVQVCKFLVESGAAVFAMTYSDMQTAADKCEEMEEGY
+TQCSQFLYGVQEKMGIMNKGIIYALWDYEPQNDDELPMKEGDCMTIVRREDEDEIEWWWA
+RLNDKEGYVPRNLLGLYPRIKPRQRSLA
+>tr|A0A3Q1LGL8|A0A3Q1LGL8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MKRQRNTQQIKEQDKCPPNQTKEEEIGNLPDKEFRIMIVKLIQNLEAKMESQINSLETRI
+EKMQERFNKDLEEIKKSQYIMNNAINEIKNTLEATNSRITEAEDRISELEDRMVEINESE
+RIKEKRIKRNEDNLSNLQDNIKRYNIRIIGVPEEEDKKKDHEKILEEIIVENFPKMGKEI
+ITQVQETQRVPNRINPRRNTPRHILIKLTKIRHKEQILKAAREKQQITHKGIPIRITADL
+SIETLQARREWQAILKMMKENNLQPRLL
+>tr|F1N542|F1N542_BOVIN Lysine demethylase 7A OS=Bos taurus OX=9913 GN=KDM7A PE=4 SV=2
+MIECDICKDWFHGSCVGVEEHHAVDIDLYHCPNCALLHGSSLMKKRRNWHRHDYTEIDDG
+SKPVQAGTRTFVKELRSRVFPSADEIIVKMHGSQLTQRYLEKHGFEVPIMVPKLDDLGLR
+LPPPTFSVTDVERYVGGDKVIDVIDVARQADSKMTLHNYVKYFTNPNRPKVLNVISLEFS
+DTKMSELVEVPDIARKLSWVENYWPDDSVFPKPFVQKYCLMGVQDSYTDFHIDFGGTSVW
+YHVLWGEKVFYLIKPTDDNLALYESWSSSVTQSEVFFGDKVDKCYKCVVKQGHTLFVPTG
+WIHAVLTSQDCMAFGGNFLHNLNIGMQLRCYEMEKRLKTPDLFKFPFFEAICWFVAKNLL
+ETLKELREDGFQPQTYLVQGVKALHTALKLWMKKELVSEHAFEIPDNVRPGHLIKELSKV
+IRAIEEENGKPVKSQGIPSVCPVSRSSSEAASPYHSRRRMRKLRDHNVRTPSNLDILELH
+TREVLRRLEMCPWEEDILSSKLNGKFNKHLQPSSTVPEWRVKDNDLRLLLTNGRIIKDER
+QPFTDQSLYTADSENEEDKRRTKKAKVKMEESSGIEGVENEESQKPLNRFLTSVKSELRN
+RSSEYSDISDSEDSGPDCTVLKNNFTTEESESSGDEKKQEVTSNFKEESNVMRNFLQKSQ
+KPSRSEIPVKRECPTSTSTEEEAIQGMLSMAGLHYSTCLQRQIQSTDCGGERPSLQDPSG
+CHSSNHEVRQLYRCDKTVESGYHVKTEDQDLRSSSWIKQFDTTSRFNLQDLNRGQKCIKK
+EVSSEISHKVQSRNSVDSSGSSLQNAKYTQSSSLISGACQLSNGSISPERPVGETSFSVP
+LHPTKRPASNPPPISNQATKGKRPKKGMATAKQRLGKILKLNRNGHARFFV
+>tr|A0A3Q1LYH1|A0A3Q1LYH1_BOVIN Ankyrin repeat and SOCS box protein 2 OS=Bos taurus OX=9913 GN=ASB2 PE=4 SV=1
+MATEISARGRPRAIGQEEYNLYSSLSEDELVQMAIEQSLADKTRGPTTTETTVPTRVNRE
+PAHFYPWTRPVDPVLKAIKEDDEEALTAMIKAGKNLSEPNKEGWLPLHEAAYYGQLNCLK
+ALHRAYPAVIDQRTLQEETALYLATCRGHVDCLQFLLQAGAEPDISNKSRETPLYKACER
+KNVEAVRILVQYKADTNHRCNRGWTALHESVARNDLEVMEILVSGGAKVEAKNAYGITPL
+FVAAQSGQLEALRFLAKYGADINTQASDSASALYEACKNGHEEVVEFLLSQGADANKTNK
+DGMLPLHIASKKGNYRIVQMLLPVTSRTRVRRSGISPLHLAAERNNDEVLEALLGARFDV
+NAPLAPERARLYEDRRSSALYFAVVNNNVYATELLLLAGADPNRDVINPLLVAIRHGCLR
+TMQLLLDHGANIDAYIATHPTAFPATIMFAMKCLSLLKFLMDLGCNGEPCFSCLYGNGPH
+PPAPPPSNRFNDAPASDKAPSAVQFCEFLSAPEVSRWAGPIIDVLLDYVGNVQLCSRLKE
+HIDSFEDWAVIKEKAEPPRPLAHLCRLRVRKAIGKYRIKLLDTLPLPGRLIRYLKYENTQ
+>tr|E1BEI6|E1BEI6_BOVIN Serine-protein kinase ATM OS=Bos taurus OX=9913 GN=ATM PE=3 SV=2
+MSLALNDLLICCRQLELDKATERRREIEKFKRLIQDPETVRHLDHHSDSKQGKYLNWDAV
+FRFLQKYIQKETEYLRTAKQNVSASTQATRQKKMQEISSLVKDFIKCANKRAPRLKCQEL
+LNYIMDTVKDSSSGAIYGADCSNILLKDILSVRKYWCEISQQQWLELFSVYCSLYLKPSQ
+DINRVLVARIIQVVTKGCCSQTDGLNPKFLDFFSKAIHQARQEKSPAGLNHILAAFIIFL
+KTLSVNFRIRVCELGDEILPTLLYIWTQQRLNNSLKEVIIELFQLQIYIHHPKGAKTQDK
+GAYESRKWRSILHNLYDLLVNEISNIGSRGKYTSGSRNIAVKENLIELMADICHQVFNED
+SRSLEISQSYTTTQRELTDYSVPCKKRKIELGWEVIKDHLQKSQNDFDIVPWLQIATQLI
+SKYPASLPNCELSPLLMILYQLLPQQRRGERTPYVLRCLMEVALCQGKRSNLESSQKSDL
+LKIWIKIWSITFRGISSEQIQTENFGLLGAIIQGSLVEVDREFWKLFTGSACRPSCPAVC
+CLTLALTICVVPESVTIGIEKNICDVSRNSLKELIMKWLLFCQLEDDFEDSTELPPILCS
+NFPHLTLEKILVSLTMKNCKAAMNFFQSVPECEQHQKDTEEPSFSEAEDLFLQTTFDKMD
+FLTIVKEHAIEKHQSSVGFSVHQNLKESLDRYLLRLSEQLLNNYSSETSNSEMFVRCSSL
+LVGVLGCYCYLGVIAEEEAYKSELFQKAKSLMQYAGESITLFKNKTSEESRIVSLRNMIH
+LCTSCLHNCSKHSPNKIASGFFLRLLTSKLMNDIADICKSLAFIIKKPFDFGEVESLKED
+TDENLTRMEDQSYTSLFNDSPASSVTDTNESGKSQITIGAMNPLAEEHLSKQDLLSLDML
+KFLCMCVTTAQTNTVSFRAADIRRELLMLIDSSMLDPNRSLHLHMYLVLLKALPGEEYPL
+PMEDVAKLLKPLPNVCSSYHRDQDVCKTILNHVLHVVMNLCHRNMDDENTRDAQGQFLTV
+IGAFWHLTKEGKSTFSVRMALVKCLKTLLEADPYSKWSILNVKGKDLPVNEVFPQFLADN
+HHQVRMLAAESINRLFQHIKRGSSTLKALPLKLQQTAFENAYFKAQEGMRELSHRTENSE
+TLDEICNRKATLLMMIAVVLYCSPVCEKQALFALCKSVKENGLEPHLVKKVLKTVSETFG
+YRCLEDFMASHLDYLVLEWLNLQDPEYSVSSFPFILLNYTNIEDFYRSCYKILIPPLVIR
+SHFDEVKLIANQIQEDWKSLLTGCFPKILVNILPYFAYEGTEDSGMAQQRETATKVYDML
+KDENLLGKQIDHLFISNLPEIVVELLMTLHEPATSGTSQSTDPCDFSGDLDPAPNPPHFP
+SHVIKATFAYISNCHKTKLKSILEILSKSPDSYQKILLAICEQAAETNNVYKRHRIIKIY
+HLFVSLLMNDIKSGLGGAWAFVLRDVIYTLIHYINQRPSRFMDVSLRSFSLCCDLLSRVC
+HTAVTYCKDALESHLHVIVGTLIPLVDDQMEVQEQVLDLLKFLVIDNKDNENLYITIKLL
+DPFPDHVVFKDLRITQQKIKYSGGPFSLLEEINHFLSVSAYDALPLTRLEGLKDLRRQLE
+QHKDQMLDLMRASQDNPQDGIMVKLVVSLLQLSKMAVNHTGEREVLEAVGSCLGEVGPID
+FSTIAIQHSKDTSYTKALELFEDKELQWTFVVLTYLNNTLVEDCVKVRAAAVTCLKSILT
+TKTGHRFWDIYKATTDPMLIYLQPFRTSRKKFLEVLRLDKENPLEGLDDTSLWIPQSENH
+DIWLKTLTCAFLNSGGTKSEVLQLLKPMCEVKTDFCQTVLPYLIHDILLQDTDESWRNLL
+STHIQGFFTSCFKHPSQTSRSTTPANLDSESEHFFRCHVDKKSQRTMLAVVDYMRRQKRP
+SSGTVFDDAFWLELNYLEVAKVAQSCAAHFTALLYAEIYADKKNLDDQEKRSLTFEEGSQ
+STTISSLSEKSKEETGISLQDLLLEIYRSIGEPDSLYGCGGGKMLQPLTRLRTYEHEAMW
+GKALVTYDLETTISSSTRQAGIIQALQNLGLCHILSIYLRGLDHENKEWCAELQELHYQV
+AWRNMQWDHCISVNKGVERTSYHELLYNALQSLRDREFSTFYESLKHARVKEVEELCKGS
+LESVYSLYPTLSRLQTIGELENIGELFSRSVTDKQPSEVYMKWWKHSQLLKDSDFSFQEP
+IMALRTVILEILMEKEMENSQRECFKDILTKHLVELSVLARTFKNTQLPERAIFQIKQYN
+SASSGVSEWQLEEAQVFWAKKEQSLALSILKQMIKKLDASCTENDPNLKLIYTECLRVCG
+TWLAETCLENPAVIMQTYLEKAVEVAGNYDGESNDGLRNGKMKAFLSLARFSDTQYQRIE
+NYMKSSEFENKQALLKRAKEEVGLLREHKIQTNRYTVKVQRELELDECALHALKEDRKRF
+LCKAVENYINCLLSGEGHDMWIFRLCSLWLENSGVSEVNGMMKRDGMKIPSYKFLPLMYQ
+LAARMGTKMMGGLGFHEVLNNLISRISMDHPHHTLFIILALANANKDEFLTKPEAARRSR
+ITKNAPKQSSQLDEDRTEAANKIIRTIRSRRPQMVRSVEALCDAYIILANLDATQWRTQR
+KGINIPADQPIIKLKNLEDVVVPTMEIKVDPTGEYGNLVTIKSFKEQFRLAGGLNLPKII
+DCVGSDGKERRQLVKGRDDLRQDAVMQQVFQMCNTLLQRNTETRKRKLTICTYKVVPLSQ
+RSGVLEWCTGTVPIGEFLVNNENGAHKRYRPKDFSAIHCQKKMMDMQKKSFEEKYETFME
+ICQNFQPVFRYFCMEKFLDPAVWFEKRLAYTRSVATSSIVGYILGLGDRHVQNILINEQS
+AELVHIDLGVAFEQGKILPTPETVPFRLTRDIVDGMGITGVEGVFRRCCEKTMEVMRNSK
+ETLLTIVEVLLYDPLFDWTMNPLKALYLQQRPDDESELHSTLNADDQECKRNLSDIDQSF
+NKVAERVLMRLQEKLKGVEEGTVLSVGGQVNLLIQQAMDPKNLSRLFPGWKAWV
+>tr|A0A3Q1N8X2|A0A3Q1N8X2_BOVIN AP2-associated protein kinase 1 OS=Bos taurus OX=9913 GN=AAK1 PE=4 SV=1
+MMKKFFDSRREQGGSGLGSGSSGGGGSTSGLGSGYIGRVFGIGRQQVTVDEVLAEGGFAI
+VFLVRTSNGMKCALKRMFVNNEHDLQVCKREIQIMRDLSGHKNIVGYIDSSINSVSSGDV
+WEVLILMDFCRGGQVVNLMNQRLQTGFTENEVLQIFCDTCEAVARLHQCKTPIIHRDLKV
+ENILLHDRGHYVLCDFGSATNKFQNPQTEGVNAVEDEIKKYTTLSYRAPEMVNLYSGKVI
+TTKADIWALGCLLYKLCYFTLPFGESQVAICDGNFTIPDNSRYSQDMHCLIRYMLEPDPD
+KRPDIYQVSYFSFKLLKKECPIPNVQNSPIPTKLPEPVKASEAAAKKTQPKARLTDPIPT
+TETSIAPRQRPKAGQTQPNPGILPIQPALTPRKRATVQPPPQAAGSSNQPGLLASVPQPK
+TQPPPSQPLPQSQPKQPQAPPTSQQPPSAPAQALPTQAQATPQHQQQLFLKQQQQQQTAP
+PAQQPAGTFYQQPQQQAQAPQFQAVHPAAQQPVIAQFPVVSQGSSQQQLIQNFYQQQQQQ
+QQLATALHQQQLLTQQAALQQKTTAAAAPQPQAQPAAAASPAPAQEPAIQAPVRQQPKVQ
+TTPPPTIQGQKLGSLTPPSSPKAQRAGHRRILSDVTHSAVFGVPASKSTQLLQAAAAEAS
+LNKSKSATTTPSGSPRASQQNVYNPSEGSTWNPFDDDNFSKLTAEELLNKDFAKLGEGKY
+PEKLGGSAESLIPGFQPTQGDAFAASSFSAGTAEKRKGGQTMDSSLPLLSVSDPFIPLQV
+PDAPEKLIEGLKSPDTSLLLPDLLPMTDPFGSTSDAVIEKADVAVESLIPGLEPPVPQRL
+PSQTESVTSNRTDSLTGEDSLIDCSLLSNPTTDLLEEFAPIAISAPAHKAAEDSNLISGF
+DVPEGSDKVAEDEFDPIPVLITKNPQGGHSRNSSGSSESSLPNLARSLLLVDQLIDL
+>tr|E1BIU3|E1BIU3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=3
+MAKGDPKKPKGKMSAYSFFVQTCREEHKKKNPEVPVNFAEFSKKCSERWKTMSGKEKSKF
+DEIAKADKVRYDREMKDYGPAKGGKKKKDPNAPKRPPSGFFLFCSEFRPKIKSANPGISI
+GDVAKKLGEMWNNLSDSEKQPYINKAAKLKEKYEKDVADYKSKGKFDGAKGAAKVAQKKV
+EEEDEEDEEEEEEEDE
+>tr|E1BD43|E1BD43_BOVIN Amine oxidase OS=Bos taurus OX=9913 GN=AOC3 PE=1 SV=2
+MNQKTTLVLLALAVITIFALVCVLIAGRGGDGGEASQPHYCPSGTPSVQPWTHPGQNQLF
+ADLSREELTAVMSFLTQKLGPDLVDAAQARPSDNCIFSVELQLPPKAAALAHLDRRSPPP
+AREALAIVFFGGQPQPNVTELVVGPLPQPSYMRDVTVERHGGPLPYYRRPVLLREYLDID
+QMIFNRELPQAAGVLHHCCSYKQGGGNLVTMTTAPRGLQSGDRATWFGLYYNISGAGYYL
+HPVGLELLVDHKALDPAQWTIQKVFFQGRYYESLAQLEEQFEAGRVNVVVIPNNGTGGSW
+SLKSQVPPGPTPPLQFHPQGTRFSVQGSRVTSSLWTFSFGLGAFSGPRIFDIRFQGERLA
+YEISLQEAVAIYGGNTPAAMLTRYMDGCFGMGKFATPLTRGVDCPYLATYVDWHFLLESQ
+APRTLHDAFCVFEQNKGLPLRRHHSDFISQYFGGVVETVLVFRSVSTLLNYDYVWDMVFH
+PNGAIEVKFHATGYISSAFFFGTAQKYGNQVRENTLGTVHTHSAHYKVDLDVGGLENWVW
+AEDMAFVPTTVPWSPEHQIQRLQVTRKQLETEEQAAFPLGGASPRYLYLASKQSNKWGHP
+RGYRIQTVSFAGRPLPQNSSTERAISWGRYQLAVTQRKETEPSSSSVFNQNDPWTPTVDF
+ADFINNETIAGKDLVAWVTAGFLHIPHAEDIPNTVTVGNGVGFFLRPYNFFDEDPSINSA
+DSIYFQKHQDAGSSMLPESGASQLCAPQLAGFLSQRRGTVSREAGVMVTRFYKTPR
+>tr|E1BEU0|E1BEU0_BOVIN Solute carrier family 22 member 4 OS=Bos taurus OX=9913 GN=SLC22A4 PE=4 SV=2
+MRDYDEVTAFLGKWGPFQRLIFFLLSASIIPNGFNGMSVVFLAGTPEHHCRVPDTANLSS
+AWRNHSIPVRLQDGREVPQSCRRYRLATIVNFSALGLEPGRDVNLEQLEQEGCLDGWEFS
+QDIYMSTIVTEWSLVCEEDWKTPLTTSLFFVGVLLGSFVSGQLSDRFGRKTILFATMAVQ
+TGFSFLQVFSINWEMFTVLFVIVGMGQISNYVVAFILGTEILGKSVRIIFSTLGVCTFFA
+IGYMLLPLFAYFIRDWRMLLLALTVPGVLCVPLWWFIPESPRWLISQRRFKEAEDIIQKA
+AKINNVTAPVVVFDPVEQQEQLPLKQQKVFILDLFRTRNIATITIMSLLLWMLTSVGYFA
+LSLNTPNLHGDPYLNCFFSALIEVPAYIAAWLLLRTLPRRYVIAGVLFFGGSVLLLIQLV
+PAGYNFLSIGLAMLGKFGVTSAFAMLYVFTAELYPTLVRNMAVGVASMASRVGSIIAPYF
+VYLGAYNRVLPYILMGSLTVLIGIITLFFPESFGRTLPETLEQMQKVKGFRYGKKTKGSM
+EKEENSKVIITSF
+>tr|A0A3Q1M4H4|A0A3Q1M4H4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MDSTNFEQFLQDRIKVDGKTGNVGGGVLTIKRNKSKISVTSEVPFSKSIGVFSNETVLCI
+R
+>tr|A0A3Q1LJI7|A0A3Q1LJI7_BOVIN Adenosine deaminase, tRNA specific 1 OS=Bos taurus OX=9913 GN=ADAT1 PE=4 SV=1
+MWTADEIARLCYEHYGSKLPKQGKPEPNREWTLLAAVVKIQPTADQACDHSDGRVQVTKE
+VVSMGTGTKCIGQSKMRKSGDILNDSHAEVIARRSFQRYLLHQLHLAAALKEDSIFLPGS
+QRGLWKLRPDLLFVFFSSHTPCGDASIIPMLEFEDQPCCPVSRDWASNPSVETSDNLEAP
+EDKRKCEDPESPVTKKMRLEPRTPGGTAHRQSFGSQERGPNPPDVSSSNLTAEELASVTG
+MTPSGAQVVDVYRTGAKCVPGEAGDSGQPGAAYHRVGLLRVKPGRGDRTRSMSCSDKLAR
+WNILGCQGALLMHFLEEPIYLSAVVIGKCPYSQEAMQRALIRRCQNVSALPEGFGVQEVK
+IQQSDLLFEQSRRAVQTRKADSPGRLVPCGAAISWSAVPEQPLDVTANGFPQGTTKKGIG
+RLQARKIAFSEGSWILFLMIHMN
+>tr|A0A3Q1MAS1|A0A3Q1MAS1_BOVIN Naked cuticle homolog 1 OS=Bos taurus OX=9913 GN=NKD1 PE=4 SV=1
+MGKLHSKPGDSFAVSAAWARKGIEEWIGRQRCPGGSSGPRQLRAAGTVGRGARELVGEVF
+RETLSEEEEEDFRLEVALPPEKSDGLASGDEKRMEKSGEPCSGSKKQLKFEELQCDVSVE
+EDSRQEWTFTLYDFDNNGKVTREDITSLLHTIYEVVDSSVNHSPTSSKTLRVKLTVAPDG
+SQSKKSIVLNHPDLQSARPRAETKPAEELRSWEKKQRALLRFQGDSHLEQSGCYHHCVDE
+NIERRNHYLDLAGIENYTSQFGPGSPSVAQKSELPPRASNPTRSRSHEPEAIHVPHRKPQ
+GADPGSFHFLDAPFAKASEVQQRLRGGTQDGSKHFVRSPKAQGKSVGVGHVARGARSKPP
+GGPAVPAVAPSAHLAASPALLPTLAPLGHRKHKHRAKESQQGCRGLQAPLAVGGTVVGRD
+HLRELPAVVVYESQAGQAVQRHEHHHHHEHHHHYHHFYQT
+>tr|A0A3Q1M8V1|A0A3Q1M8V1_BOVIN ATR serine/threonine kinase OS=Bos taurus OX=9913 GN=ATR PE=3 SV=1
+MDCSTPSLPVPHHLPEFAQVHVHCIGDAIQPSYPLTPSFFVPSVPAIRDFSRVEEYNTVV
+QKPRQILCQFIDRILTDVNVVALELVKKTDSQPTSVMLLDFIQHIMKSSPLMFVNVNGSH
+GQNEAKGSCIEFSNWIITRLLRIAATPSCHMLHKKICEVICALLFLFKSKSPAIFGVLMK
+ELLHLFEDLIYFHKRYAVEHFVEWPVVVHRFLSQFDEHVGYLQPAPLQLMNMQNLEFIEV
+TLLTVLIRIIAIVFFRRQELLLWQIGCVLLEYGSPKVKSLAISLLTELFELGGLPAQPAS
+TFFSSFFELLKQLVEMDADQLKLYEEPLSKLIKTLFSSETEAYRNIEPVYLNMLLEKLCV
+MFEDGVLLQLKSDLLKAALCHLLQYFLKYVPAGYESALQVRKVYMRNICYLLSIYFYLNL
+TLKMESMEIIDEVQCQIQQEDLSSNSNGLSPKRRRLSSSLNASKRAPKQTEEIKHVDINK
+KSILWSALKQKAEDLQIFLESNNVKNPIIETLEGIAVILQLTALCTVHCSHQNMDCYNFK
+DCQQKCKKKPSVMITWMSVDFYTKVLKSCRTLLESVQKPDLETVIDKVVKIYDALMYIQV
+NTSFEDHILEDLCGMLSLPWICLHSDDDSLKLTTFALSLLTLSQRISDSYSPQAQSRCVF
+LLTLFPRRIHLEWRTAVYSWALQSSHEVIRTSCINGFFILLQQQNPYNRVPKILVDKVKD
+DSDIVKKEFASVLGQLVCTLHGKFYLTSSLTEPLSEYGHIDLLCKSLKVASQPECSSSRL
+KASVCKPFLFLLKKKTPSPVKLAFIENLHHLCKHLDFREDETDIKTVLGTLLNLMEDPDK
+DVRVAFSGNIKHILESLDAEDGFIKELFVLRMKEAYTHAQISRNNELKDTLILTTGDIGR
+AAKGDLVPFALLHLLHCLLSKSASVSGAAYTEIRALVAAKSVKLQNFFSQYKKPICQFLV
+ESLHSSQMTALPSTPCQNAEMRKQDVAHQREMALNTLSEIANVFDFPDLNRFLTRTLQVL
+LPDLAAKASPAASALIRTLGKQLNVNRREILINNFKYIFSHLVCSCSKDELERALHYLKN
+ETEIELGSLLRQDFQGLHNELLLRIGEHYQQVFNGLSILASFASSDDPYQGPRDITSPEL
+MADYLQPKLLGILAFFNMQLLSSSVGIEDKKMALNSLMSLMKLMGPKHVSSVRVKMMTTL
+RTGLRFKDDFPELCCRAWDCFVRCLDHAYLGSLLSHVIVALLPLIHIQPKETAAIFHYLI
+IENRDAVQDFLHEIYFLPDHQELKKIKAVLQEYRKETSESTDLQTTLQLSMKAIQHENVD
+VRIHALTSLKETLYKNQEKLIRYATDSETVEPVISQLVTVLLKGCQDANSQARLLCGECL
+GELGAIDPGRLDFSTTDTQGKDFTFVTGVEDSNFAYGLLMELTRAYLAYADNSRAQDSAA
+YAIQELLSIYDCREMQTDGPGHQLWRRFPEHVREILEPHLNTRYKSSQKSTDWSGVKKPI
+YLSKLGNNFAEWSASWAGYLITKVRHDLASKIFTCCSIMMKHDFKVTIYLLPHILVYVLL
+GCNQEDRQEVYAEIMAVLKHDDHHTLSTQDSASDLCQLSTQTVFSMLDHLTQWARHKFQA
+LNAEKFAQGKSHRDKVDSMVSSVDYEDYQSVTRFLDLIPQDTLAVASFRSKAYTRAVMHF
+ESFITEKKQNIQEHLGFLQKLYAAMHEPDGVAGVSAIRKAEPSLKEQILEHESIGLLRDA
+TACYDRAIQLEPDQIIHYHGVVKSMLGLGQLSTVITQVNGVHANRSEWTDELNTYRVEAA
+WKLSQWDLVENYLAADGKSTTWSVRLGQLLLSAKKRDTTAFYDTLKLVRAEQIVPLSAAS
+FERGSYQRGYEYIVRLHMLCELEHSIKPLFHQSPADSIQEDSLNWVARLEMTQNSYRAKE
+PILALRRALLSLNKRPDYSEMVGECWLQSARVARKAGHHQTAYNALLNAGDSRLAELYVE
+RAKWLWSKGDVHQALIVLQKGVELCFPENKTPPEGKNMLIHGRATLLVGRFMEETANFES
+NAVMKKYKDVTLFLPEWEDGHFYLAKYYDKLMPMVTDNKMEKQGDLIRYILLHFGRSLQY
+GNQFIYQSMPRMLSLWLDFGAKAYEWEKAGRSDRVQMRNDLVKINKVITEHTNQLAPYQF
+LTAFSQLISRICHSHDEVFVVLMEIIAKVLLAYPQQAMWMMTAVSKSSYPMRVNRCKEIL
+NKAIQMKKSLEKFVGDATRLTDKLLELCNKSVDGSSSTLSMSTHFKMLKKLVEEATFSEI
+LIPLQSVMIPTLPSIPGAHANHEPFPGHWAYIAGFDDTVEILASLQKPKKISLKGSDGKF
+YIMMCKPKDDLRKDCRLMEFNSLINKCLRKDAESRRRELHIRTYAVIPLNDECGIIEWVN
+NTAGLRPILTKLYKEKGVYMTGKELRQCMLPKSAALSEKLKVFQEFLLPRHPPVFHEWFL
+RTFPDPTSWYSSRSAYCRSTAVMSMVGYILGLGDRHGENILFDSLTGECVHVDFNCLFNK
+GETFEVPEIVPFRLTHNMVNGMGPMGTEGLFRRACEVTMRLMRDQREPLMSVLKTFLHDP
+LVEWSKPVKGHSKAALNETGEVVNEKAKTHVLDIEQRLRGVIKTRNRVTGLPLSIEGHVH
+YLIQEATDENLLCQMYLGWTPYM
+>tr|A0A3Q1MHD8|A0A3Q1MHD8_BOVIN SEL1L family member 3 OS=Bos taurus OX=9913 GN=SEL1L3 PE=4 SV=1
+MQRRGAGLGWLRRRQQQPSPPAVGPRAAAMAPPSGGVPPGLGGRPACALLLLCYLNFVPS
+LGRQTSLTTSVIPRAEQSVAYKDFIYFTIFEGNVRNVSEVSVEYLCSQPCVVNLEAVVSS
+EFRSSIPVYKKRWKNEKHLHTSRTQIVHVKFPSIMVYRDDYFIRHSISVSVVILRAWITH
+QYSSGDLNVKWEENLLHAVAKNYTLLKTVPPFERPFKDHQVCLEWNMDYIWNLRANKIPQ
+CPLENDVVTLLEFLYASSGENTGIVKKFLRFQNRELEATRRQRIDYPVFTVSLWLYLLHY
+CKANLCGILYFVDSNEMYGTPSIFLTEEGYLHIQMHLVKGEDLAVKTKFTIPLKEWFRLD
+ISFNGGQIVVTTSTGQDLKDYHNQTISFREDFHYNDTSGYFIIGGSRYVAGIEGFFGPLK
+YYRLHALHPAQIFNPLLEKQLSQQIKFYYERCAEVQEIVSVYTSTAQQGDRRQEACNLRN
+SYLDLKLRYGRPSMCRAFPWEKELRAKHRSLFQALLELSLVTVPRNQNESVLDIGGRIFE
+KAVKRLSSVDGLHQISSIVPFLMDASCCGYHKASYYLAVFYETGLTVPRDQLQGMLYSLV
+GGQGSERLSSMNLGYKHYQGIDNYPLDWELSYAYYSNIATKTPLDQHTLQGDQAYVETIR
+LKDDEILKVQTKEDGDVFMWLKHEATRGNAAAQQRLAQMLFWGQQGVTKNPEAAIEWYAK
+GALETEDPALIYDYAIVLFKGQGVKKNRRLALELMKKAASKGLHQAVNGLGWYYHKFKKN
+YAKAAKYWLKAEEMGNPDASYNLGVLYLDGIFPGVPGRNQTLAGEYFHKAAQGGHIEGTL
+WCSLYYITGNLETFPRDPEKAVVWAKHIAEKNGYLGHVIRKGLNAYLEGSWHEALLYYVL
+AAETGIEVSQTNLAHICEERPDLAKRYLGVNCVWRYYNFSVFQIDAPSFAYLKMGDLYYY
+GHQNQSQDLELSVQMYAQAALDGDSQGFFNLALLIEEGAMIPHHILDFLEIDPTIHSNNI
+SILRELYERCWSHSNEESFSPCSLAWLYLNLRLIWGAVLHSALIYFLGTFLLSILIAWTV
+QYFQSVSASSSHLMPARVSENPTDPTASPAVTPTADASAQDQPTITNNPEPRG
+>tr|E1BB91|E1BB91_BOVIN Collagen type VI alpha 3 chain OS=Bos taurus OX=9913 GN=COL6A3 PE=1 SV=2
+MRKHRHLPLVAILCLFFSGFSFTRGQQQPDVKNGAAADIIFLVDSSWSIGKEHFQLVREF
+LYDVIESLAVGDNDFRFALVQFNGNPHTEFLFNTYRSKQEVLSHVSNMSYIGGSNQTGKG
+LAYVMQNHLTEAAGSRASDGVPQVIVVLTHGHSEDGLALPSAELKSADVNVFAIGVEDAD
+EAALKEIASEPLNMHVFNLENYTSLHDIVGNLVACVRSSMAPERAGGTEIPKDITAQDSA
+DIIFLIDGSNNTGSVNFAVILDFLVNLLERLSIGTQQIRVGVVQYSDEPRTMFSLNSYST
+KAQVLDAVKALGFIGGELANVGLALDFVVENHFTRAGGSRAEEGVPQVLVLISAGPSSDE
+IRDGVVALKQASVFSFGLGAQAASKAELQHIATNDNLVFTVPEFRSLGDVQEQLLPYIVG
+VAQRHIVLQPPTIVTQVIEVNKRDIVFLVDGSSKLGLTNFNAIRDFIAKVIQRLEIRQDL
+IQVAVAQYADTVRPEFYFNTYPSKREVINAVRKMKALDGSALYTGSALDFVRNNLFTEAA
+GYRAAEGVPKLLVLVTGGKSLDAVSQPAQELKRSGILAFAVGNKVADQAELEEIAFDSSL
+VFTATEFRPAPLQGVLPGLLGPLRTLTGTTEVRVNKRDIIFLLDGSSNVGETNFPYVRDF
+VMNLVNSLDVGSDHIRVGLVQFSDTPVTEFSLNTYPTKSELLAHLRQMQLQGGSVLNTGA
+ALSYVHANHFTEAGGSRIQDHVPQLLLLLTAGQSEDSYLQAANALARAGILTFCVGTSQA
+DRAELEEIAFNPGLVYLMDDFSSLPALPQQLIQPLTTYVSGGVEEVPLAQPESKRDILFL
+FDGSANLMGQFTAARDFLYKVIDELDVKPEGTRVAVAQFSDDVKVESRFDQHQNKPEILN
+LVKRMKLKTGKALNLGYALDYAQRYIFVKSAGSRIEDGVLQFLVLLVAGKSSDRVDTPAL
+NLKQSGVVPFILQAKNADPAELELIVPSPAFILVAESLPKIGDLQPQIVNLLKSVQNGAP
+APVSVEKDVVFLIDGSEGVRSGFPLLKEFVQRVVESLDVGPDRVRVAVVQYSDRTRPEFY
+LNSYMDQQSVVGAIRGLTLLGGPAPNTGAALEFVLRNILVGSAGSRIAEGVPQLLIVLTA
+DRSGDDVRGPSVVLRRGGAVPIGIGIGNADITEMQTLSFVPDFAVVIPTFRQLGTIQQVI
+SERVTQLSREELSRLQASVTPLTTPVVSSKRDVVFLIDGSQSAGPEFQYIRTLIERLVDY
+LDVGFDTTRVAVIQFSDDPRVEFLLNVHSSKDEVQNAVRRLRPKGGRQVNVGGALEYVAR
+NIFKRPLGSRIEEGVPQFLVLISSGKSDDEVEDSAIELKQFGVAPLTIARNVDQEELVKI
+SLSPEYVFSVNTFRELPSLEQKLLTPLTTLTAGQIQQLLASTRYPPPAVESDAADIVFLI
+DSSDGVKPDGIAHIRDFVIRIVRRLNVGPNKVRIGVLQFSNDVFPEFQLKTYKSQASVLD
+AIRRLRFKGGSPLNTGKALEFVARNYFVKSAGSRIEDGVPQHLVLFLGGKSQDDISRYSQ
+VIKSAGIASLGVGDRNIDRTELQTITSDPRLVFTVREFRDLPSIEERMVNSFGSSGVTPA
+PPGVDTPSPSRPEKKKADIVFLLDGSINFRRDSFQEVLRFVSEIVDTVYEGGDSIQVGLV
+QYNSDPTDEFFLKDFPTKQQIIDAINKVVYKGGRHANTKVGLEHLRRNHFVPEAGSRLDQ
+RVPQIAFVITGGKSVEDAQEASMALTQRGVKVFAVGVRNIDSEEVGKIASNSATAFRVGN
+VQELSELSEQVLETLHDAMHETLCPGVTDVSKACNLDVILGFDGSGDQNVFVAQKGLEPK
+VDTILRRISQMQKISCSGSQLPTVRVSVVALTPSGPVEAFDFAEYQPELFEKFQNMRAQH
+PYVLTADTLKLYQNKFRQASMDNVKVVIHFTDGVDGDLADLQRASEELRQEGVRALILVG
+LERVANLEQLMQLEFGRGFTYNRPLRLNLLDLDYELAEQLDNIAEKACCGIPCKCSGQRG
+DRGPIGSIGPKGIPGEDGYRGYPGDEGGPGERGPPGVNGTQGFQGCPGQRGVKGSRGFPG
+EKGELGEIGLDGLDGEDGDKGLPGTSGEKGSPGRRGDKGPKGDKGERGDVGIRGDPGNSG
+QDSQQRGAKGETGDIGPVVQCSPFLPVQSNLPLCGSCGGRGGSPPRSTYVGILLLQGPPG
+PTGPPGLIGEQGIPGPRVSLAWTLSKSGEPGDPGPKGSIGNRGPRGETGDDGRDGVGSEG
+RIGKKVFICRGGNPGEPGTDGPPGPKGIRGRRGNSGPPGIAGQKGDPGYPGPSGYKGSRG
+DSMDQCALVQSIKDKCRPLECPVFPTELAFALDTSEGVTQDRFSQMREVVLKILDDLTIA
+ESNCPRGARVAVVTYNNEVTTEIRFADSKKKSVLLDKIKNLQVSLTSKQQSLETAMSFVA
+RNTFKRVRSGFLMRKVAVFFSNKPTRATPQLREAVLKLSDAGITPLFLTSQEDRQLINAL
+QINNTAVGHALVLPAGGDLTDFLKNVLTCHVCLDICNIDPSCGFGSWRPSFRDRRAAGSD
+VDIDMAFILDSSESTTPFQFNEMRKYIEYLVRQLDVSPDPKASQHFARVAVVQHAPYEAV
+DNASVPPVKVEFSLTDYGSKEKLLAFLGSRMTQLQGTRALGRAIDYTIENIFESAPNPRD
+LKIVVLMLTGEVQKQQLEEAQRAILQAKCKGYFFVILGIGRKVNVKELYSSASEPNDVFF
+KLVDKSTELNEEPLMRFGRLLPSFVSSKDAFYLSPDIRKQCDWFQADQPAKNLVQFGYKQ
+INVPNNVTSSPTSKLVTTTKPVITTTKPITVVNLPASKPAATRPVDERPAAGRSVATKMD
+SARPVATKTEATKPAEAAKPTATKPVAARPPTAARSMATRSEAPRPQAVKLAASRPGAAK
+PVVKAPREIHVSEVTENSAKLHWERPEPPSPYLYNLTVTSAHDQAVVLKQNLTVTDRVIG
+GLLPGQTYHVTVTCSLRSQVRAIYQGSFSTKKIQPPPPQTERSASSATINLVVSADRLAG
+SKADICKLPKDGGTCREFVLKWYYDSVTENCARFWYGGCGGNENRFNSQDECEKVCPPVP
+IKQPGVIAAMGT
+>tr|G3MYW6|G3MYW6_BOVIN V-set and transmembrane domain containing 2B OS=Bos taurus OX=9913 GN=VSTM2B PE=4 SV=2
+MEQRNRLGAPGYLPPLVLRALLLFVAEATFTEVPKDVTVREGDDIEMPCAFRASGATSYS
+LEIQWWYLKEPPRELLHELALSVPGARSKVTNKDATKISTVRVQGNDISHRLRLSAVRRQ
+DEGVYECRVSDYSDDDTQEHTAQALLRVLARFAPPDVQAAEAVSHIQSGGPRRHGPASAA
+RADGAQEPGRSDKSPPPGGPPAAPAPGVPEAAAASAAHRAATTVAAAASSAPPPPREAAL
+LRQRHSGTGPNYTTDPLLSLFLLALHEFLHLLVGP
+>tr|A0A3Q1M5N3|A0A3Q1M5N3_BOVIN Agrin OS=Bos taurus OX=9913 GN=AGRN PE=1 SV=1
+MASFRPFSRALLQPLLLLLVVAVRALPSADGTCPERALERREEEANVVLTGTVEEILNVD
+PVQHTYSCKVRVWRYLKGKDVVAQESLLDGGNKVVIGGFGDPLICDNQVSTGDTRIFFVN
+PAPPYLWPAHKNELMLNSSLMRITLRNLEEVEHCVEDKPGTHFTPVPPTPPDACRGMLCG
+FGAVCEPSADEPGRASCVCKKSSCPSVVAPVCGSDASTYSNECELQRAQCSQQRRIRLLR
+RGPCGTRDPCSNVTCSFGSTCVPSADGLTATCLCPATCLGAPEGPVCGSDGSDYPSECQL
+LRHACAHQENIFKKFDGPCDPCQGFLSDLSRTCRVNPRTRRPEMLLRPESCPPRGTPVCG
+DDGVTYDNDCVMGRTGAAQGILLQKVRSGQCQPRDQCPEPCRFNAVCLSRRGRPRCSCDR
+VVCDGAYRPVCAHDGHTYDNDCWRQQAECQQQRSIPMKHQGPCDRCGQCRFGALCEAETG
+RCVCPSECVASAQPVCGSDGRTYASECELHVHACTHQISLHVASAGPCQTCGDTVCAFGA
+VCSAGQCVCPRCERPPPGPVCGSDGVTYGSSCELREAACQQQTQIEEAWAGPCEQAECGS
+GGSGSGEDGECEPELCRQRGGIWDEDSEDGPCVCGFSCQGVLRSPVCGSDGVTYRTECEL
+KKARCESQPELYVVAQGACRGPTLAPLPPAIPLHCAQTPYGCCQDNITVAQGVGLAGCPS
+SCQCNPHGSYGGTCDPVTGQCSCRPGVGGLKCDRCEPGFWNFRGIVTDGRSGCTPCSCDP
+RGAVRDDCEQMTGLCSCKPGVAGPKCGQCPDGRALGPAGCETDSSPPKTCAEMLCEFGAS
+CVEEAGSAHCVCPTPTCPGADATKVCGSDGVTYGNECQLRTIACRQGLEISIQSFGPCQE
+GITSGPRVTSASVAPSALDLNKAPLPPPSALPLAPSSTRHSQPTSRASSQPWTMASIPRT
+TARPVLTMPPVAPSPAASLVTSAFGESGSADGSGDEELSGDLEASGAGSGGLESPERDSA
+GTPGLPMERASCYNSPMGCCSDGKTPSLDAEGSNCPATKVFQGVLELEGVEGQELFYTPE
+MADPKSELFGETARSIESALDDLFRNSDVKKDFRSVRLRDLGPGSSVRAIVEVHFDPTTA
+FRASDVGRALLRQLQASRRRSLGVRRPLQEHVRFMDFDWFPAFFTGATPAAATARATTVA
+RLPPSAATPRAHFPSHTSRPVSRTTPPATTRQPPTTAPSRVPGRRPLPPGTQQPRRPCDS
+QPCLHGGTCQDQGSGADFTCSCPAGTGGAVCEKALHPSVPAFGGHSFLAFPTLRAYHTLR
+LALEFRALEPQGLLLYNGNARGKDFLGLVLLGGRVQFRFDTGSGPAVLTSSVPVQPGRWH
+HLELSRHWRQGTLSVDGETPVLGQSPSGTDGLNLDTDLFVGGVPEDQASTVLERTSVSIG
+LRGCIRLLDVNNQRLELSSWPESATRSSGVGKCGDHPCLPSPCLGGAPCQALEAGRFHCQ
+CPPGRFGPTCADEKDPCQPNPCHGAAPCRVLPQGEAKCECPHGREGSLCQTVSEPEDNQP
+FLADFSSFSYLELKGLHTFERDLGEKMALEVVFLARSPSGLLLYNGQKTDGKGDFVSLAL
+HNGLLEFRYDLGKGAAVIRSKEPVALGAWTRVSLERNGRKGAMRVGDGPRVLGESPVPHT
+VLNLKEPLFVGGAPDFSKLARAAAVSSGFDGAIQLVSLNGRQLLTRENVVRAVDVSSFAD
+HPCTQAEGQPCLHGASCLPREASYECLCPAGFSGLHCEKGLIEKSAGDLDALAFDGRTYI
+EYLNAVTESEKALQSNHFELSLRTEATQGLVLWSGKATERADYIALAIVDGRLQLAYDLG
+SQPVVLRSTVPVNTNRWLRVRAHRKQREGSLQVGNEAPVTGSSPLGATQLDTDGALWLGG
+LEKLPTGQALPKAYGTGFVGCLRDVVVGQRPVHLLEDAITKPELRPCPAL
+>tr|E1BFQ5|E1BFQ5_BOVIN Leucine rich repeat containing 75A OS=Bos taurus OX=9913 GN=LRRC75A PE=4 SV=3
+MGTRQTKGSLAERAGPGAAPGPRRERPDFWASLLLRAGDKAGRAGAGAGLPPYHRRVGMV
+QELLRMLHQGRREEAGTLLQHLRQDLGMESTSLDDVLYRYASFRNLVDPITHDLIISLAR
+YIHCPKPEGDALGAMEKLCRQLTYHLSPHSQWRRHRGLVKRKPQACLKAVLAGSPPDNTV
+DLSGIPLTSRDLERVTSYLQRCGEQVDSVELGFTGLTDDMVLQLLPALSTLPRMTTLALN
+GNRLTRALLRDLTDALRDPRKFPSVTWIDLGNNVDIFSLPQPFLLSLRKRSPKQGHLPTI
+LELGEGPGSGHETQDETLGPEDPGGGPETPARDQEGRETVGATQT
+>tr|A0A3Q1MAR4|A0A3Q1MAR4_BOVIN Phosphatidylinositol glycan anchor biosynthesis class N OS=Bos taurus OX=9913 GN=PIGN PE=4 SV=1
+MLLYITLGLLVHFVFFASIFDIYFTSPLVHGMTPQFTPLPPPAKRLMLFVADGLRADKLY
+ELDEDGNSRAPFVRNIIMNEGSWGISHTRVPTESRPGHVAMIAGFYEDVSAVAKGDLRSH
+IFTESYDASSEDFGAHDVTKLDTWVFDHVKEFFHAARNNQSLFSKVNEDKIVFFLHLLGI
+DTNGHAHRPSSREYSDNIKLVDKELKEIESTVKDFYGNDGKTAFIFTADHGMTDWGSHGA
+GHPLETCTPFIAWGAGIRLPQKVSAQKFDDSYLQEWKLENWKRQDINQADVAPLMAALIG
+VPFPLNSVGIIPVDMLNTSDLFKAESMFTNAVQILEQFKVKMTQKKEATLSFLFTPFKSL
+SDTKQANILRKARYYIKQKKYDEAVSLCRQLIHLALRGLAYYHTYDRFFLAFNVVLGFVG
+WTSYASLLIIKSHCNLMRGVSTEAKNPSRLLPCAFGAVGVSVTFFLLIQACPWTYYVYCL
+LPLPIWYAVLREIPVIQGFVTLLSTFPPRRFLGYLLLFILGVEVLVLSFFYRYMLTAGLV
+ALAAWPFITALWTRAKSISLSWIFFCLLLAVFPLMPVVGRKPDIFLVVGAGLLVLLLSLF
+VVTSVIKRKDSFINEELVLHLLQMLSVMFSMCVVYGTHHSLLKKQGLPLLNQIVSWTILA
+SSFVMPLLSPPVLFDRLFSILVSSMSTYLLLSTGYEALFPLVLSCLMFVWIHMEQETLQH
+SVVSCKQKVSTIQFACNTDAAQCRDLCLDDIRRAFFLVFFLVTAFFGTGNIASVNSFDPS
+SVYCFLTVFSPFKMGALIMWKILIPFVLVMCAFEAVQVTTQLSSKSLFLMVINISDIMAL
+HFFFLIKDYGSWLDIGTSISHYVIVMSMTIFMVFLNCLAQMLTTRKLSLCGRPKSHVI
+>tr|E1BK76|E1BK76_BOVIN MutS homolog 4 OS=Bos taurus OX=9913 GN=MSH4 PE=4 SV=3
+MLRPDISPTFSSVPAASPCSPEARSQGPRYNFGLQETPLSRPSAQAASASSPPGTSGAAG
+DRSGSSSLPGHPPSALPPQGSYFRNKRSFAENTHASNVTLGTSSSSARDSNYPQILKSPL
+CAGNPQRSGYKSWTPQMGYSATSSSAVSAHSPSVIVAVVEGRGLARGEIGMASIDLKSPQ
+IVLSQFADNTTYAKVITKLKILSPLEIIMSNTACVVGNSTKLFTLITENFKNVNFTTIQR
+KYFNETKGLEYIEQLCIAEFNTVLMEVQSKYYCLAAVAALLKYVEFIQNSVYAPKSLKIC
+FQGSEQTAMIDSSSAQNLELLINNQDSRNNHTLFGVLNYTKTPGGSRRLRSNILEPLVDV
+ETINMRLDCVQELLQDEELFFGLQSVIARFLDTEQLLSVLVQIPKQDTVNVAESKITNLI
+CLKHTLELVDPLKITLKNCNTPLLRAYYGSLEDKRFGIILDKIKTVINDDARYMKGCLNM
+RTQKCYAVRSNINEFLDIARRTYTEIVDDIAGMITQLAEKYSLPLRTSFSSARGFFIQMT
+TDCTVLPNDQLPSEFIKISKVKNSYSFTSADLIKMNERCQESLREIYHMTYMIVCKLLSE
+IYEHIHCLYKLSDTVSMLDMLLSFAHACTLSDYVRPEFTDTLAIKQGWHPILERISVEKP
+VANNTYITEGSNFFIITGPNMSGKSTYLKQIALCQIMAQIGSYVPAEYSSFRIAEQIFTR
+ISTDDDIETNSSTFMKEMKEVAYILHNANDKSLILIDELGRGTNTEEGIGICYAVCEHLL
+SLKAFTLFATHFLELCQIDVLYPNVENMHFEVQHVKNTSRNKEAILYTYKLSKGLTEEKN
+YGLKAAEVSSLPPSIVLDAKDITTQITRQILQNQRSAPEMERQRAVYHLATRLVQTARNS
+QLDPDSLRTYLSNLKKKYEVDFPRAEQDSEKTEE
+>tr|A0A3Q1MBK7|A0A3Q1MBK7_BOVIN UBIQUITIN_CONJUGAT_2 domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+IKFIIEIWSPNVDKNDETCIAILHKPEKDKYGYEKPEERWLPIPTVETIMIRVISVLVDP
+NGDPSANTDAVKERRQKWKGACCVRKSQETAFE
+>tr|F1MUY2|F1MUY2_BOVIN IF rod domain-containing protein OS=Bos taurus OX=9913 GN=KRT6B PE=3 SV=1
+MSCKSTVKTQSISRRGFSAGSARVPGVCRSGFSSVSLSRSRGSGGLAGVCGGAGFGSRSL
+YGLGGSKRISIAGGSCVIGGGYGGRIGVGYGFGGGAGSGFGFGAGAGSGFGLGGGAGFGG
+GFGGPGFPVCPPGGIQEVTVNQSLLTPLNLQIDPTIQRVRTEEREQIKTLNNKFASFIDK
+VRFLEQQNKVLDTKWTLLQEQGTRTVRQNLEPLFEQYINNLRRQLDSILGERGRLDSELR
+GMQDTVEDFKNKYEDEINKRTAAENEFVKLKKDVDIAYMNKVELQAKVDALTDEINFLRT
+FYDAELAQMQTHISDTSVVLSMDNNRNLDLDSIIAEVKAQYEEIAQRSRAEAESWYQSKY
+EELRVTAGRHGDDLRNTKQEISEINRVIQRLRSEIDHVKKQCASLQSAIADAEQRGELAL
+KDARSKLADLEDALQKAKQDMARLLKEYQELMNVKLALDVEIATYRKLLEGEECRLSGEG
+VGQVNISVVQSTVSGGYGGAGGYGGASGLGSGLGVSGGSGYSYSSGHSLGGGFSSGSGRA
+IGCGFGSSGGSSSTIKYTTTTSSSSRKGYKH
+>tr|A0A3Q1MF91|A0A3Q1MF91_BOVIN Mitogen-activated protein kinase OS=Bos taurus OX=9913 GN=MAPK12 PE=4 SV=1
+MPCVRPCPGAPLSFFVPPPFLEPQCPWSPFRNAKTQSSPAWHRGACRVGPGAAPWAAGTA
+CRRVTGPRAALNVCARRAPQLPGDAVHGHRPGKAHEAREAERGPGAVPRLPDAQGAEGGT
+RGRWAGRGPAPAGARAPEPTAADRSLSPQYIHAAGVIHRDLKPSNLAVNEDCELKILDFG
+LARQADSEMTGYVVTRWYRAPEVILNWMHYTQTVDIWSVGCIMAEMITGKTLFKGNDHLD
+QLKEIMKVTGTPPAEFVQRLQSDEAKNYMKGLPELEKKDFASVLTNASPLAVSLLEKMLV
+LDAERRVTAAEALAHPYFESLHDTEDDPQAEKYDESFDDMDRTLDEWKRVTYKEVLSFKP
+PRQLGAKVSKETAL
+>tr|A0A3Q1MUY1|A0A3Q1MUY1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=PPP1R14B PE=1 SV=1
+GGAALAAPAPGPGSGGPGPRVYFQSPPGAAGEGPGGADDEGPVRRQGKVTVKYDRKELRK
+RLNLEEWILEQLTRLYDCQEEEIPELEIDVDELLDMESDDTRAARVKVRGAGGQQGQVRG
+AGAKGLQGGGLPIVGSASLWRQAFLDLRQSAL
+>tr|A0A3Q1LTP7|A0A3Q1LTP7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=CHRNA10 PE=3 SV=1
+MGPGSHHLSLGLSVSSLECLGAEGRLAHKLFRDLFANYTSALRPVADTDQALNVTLEVTL
+SQIIDMDERNQVLTLYLWIRQEWTDAYLRWDPDTYGGLDAIRIPSSLVWRPDIVLYNKAD
+AQAPASASTNVVLRHDGAVRWDAPAITRSSCRVDVSAFPFDAQRCGLTFGSWTHGGHQLD
+VRPRGAAASLADFVENVEWRVLGMPARRRVLTYGCCSEPYPDVTFTLLLRRRAAAYVCNL
+LLPCVLISLLAPLAFHLPADSGEKVSLGVTVLLALTVFQLILAESMPPAESVPLIGKYYM
+ATMTMVTFSTALTILIMNLHYCGPSARPVPAWARALLLGRLARGLCVRERGEPCGQSRPP
+ESSPSPQPPDRGTGPPAGPCHEPRCLCHQEALLRHVATIANAFHSHRAAQRRHEDWKRLA
+RVMDRFFLGIFFSMALVMSLLVLVRAL
+>tr|A0A3Q1MVZ8|A0A3Q1MVZ8_BOVIN ANK_REP_REGION domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+HLLCARKQKDECYTYSQCLVLGQGIWQCHILHMSDANASYLRAARAGHLEKALDYIKNGV
+DINICNQNGLNALHLASKEGHVEVVSELLQREANVDAATKKGNTALHIASLAGQAEVVKV
+LVTNGANVNAQSQNGFTPLYMAAQENHLEVVKFLLDNGASQSLATEDGFTPLAVALQQGH
+DQVVSLLLENDTKGKVRLPALHIAARKDDTKAAALLLQNDNNADVESKMVVNRTTESGFT
+PLHIAAHYGNINVATLLLNRAAAVDFTARNDITPLHVASKRGNANMVKLLLDRGAKIDAK
+TRDGLTPLHCGARSGHEQVVEMLLDRAAPILSKTKNGLSPLHMATQGDHLNCVQLLLQHN
+VPVDDVTNDYLTALHVAAHCGHYKVAKVLLDKKANPNAKALNGFTPLHIACKKNRIKVME
+LLLKHGASIQAVTESGLTPIHVAAFMGHVNIVSQLMHHGASPNTTNVVSIL
+>tr|F1MSW0|F1MSW0_BOVIN Meprin A subunit OS=Bos taurus OX=9913 GN=MEP1A PE=4 SV=3
+MIWTRLACIIPFILFFAHIAAVSVSRVLLFRVYRNINSTRLITIFSPLLTVYDGDFDEQK
+DISEINLGGGFHTSCVDFKPYEGESSYIIFQEFSGCWSEVGDQHVGQNLSIGQGCDYKAI
+VEHEILHALGFYHEQSRTDRDDYVNIWWDEILPGYQHNFNTYDDNFITDLNTPYDYESLM
+HYRPLSFNKNDSIPTITAKIPEFNSIIGQRVDFSAIDLERLNRMYNCTTTHTFLDHCDFE
+KANICGMIQGTRDDTDWVHENNAQPGQADHTLAGQCTGAGYFMYLNTSFGAAEDAAMLES
+RILYPKRKQQCLQFFYKMSGSPSDRLVVWIRRDDSTGNVRKLVKLKTFQGDFDHNWKIAH
+VTLREEKKFRYLFQGTKGDPQNSSGGIYLDDITLTETPCPAGVWTVRNFSQVLQNTVKGD
+KLHSPRFYNSEGYGLGLTLYPQGRTSSGSSGYLGLTFHLCSGENDAVLEWPVENRQVIMT
+ILDQEADVRERMSSSMVFTTSKTQTSTAINGSVIWDRPSVVGSYDNSCECFRSIDWGWSA
+VISHQMLKRRSFLKNDDLIIFVDFEDITHLNQTEVQVQNSRLTPQGLVLHGQEQQTSEED
+SRKAPLETALPSSLARGQSSRQKRSVDNTGPLENHNWPQYFRDPCDPNPCQNEGICVNVK
+GMVSCRCTSGHAFFYTGERCQALQVHSSVLGLLIGGVAGVVFLTFTVISILYQRSRQ
+>tr|A0A3Q1LM43|A0A3Q1LM43_BOVIN DnaJ homolog subfamily B member 6 OS=Bos taurus OX=9913 GN=DNAJB6 PE=4 SV=1
+MVDYYEVLGVQRHASAEDIKKAYRKLALKWHPDKNPENKEEAERKFKQVAEAYEVLSDAK
+KRDIYDRYGKEGLNGGGGGGSHFDSPFEFGFTFRNPEDVFREFFGGRDPFSFDFFEDPFE
+DFFGHRRGPRGSRSRGTGSFFSTFSGFPSFGGAFPSFDAGFSSFGSLGHGGLTAFSSSSA
+FGGSGMGNYKSISTSTKVVNGRKITTKRIVENGQERVEVEEDGQLKSLTINGVADEDAFA
+EECLRRGQSALPAQPASSSARSLRPPRPAPPPRPAAHAAGEDEDAPGAFCAGWKELVGRS
+KQGMSGEALLVSKPGARMRTFAGRCTQSRAAGAWSLCRETRRRLLPNALLVGEGGSVPAA
+PWRR
+>tr|A0A3Q1M138|A0A3Q1M138_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MWVGIIQSIEGPNGTKAEKGKAVYAAINCMLDQVNACLDHLEERNDHLPGRLQEEAPSDW
+QPLVEPSKGPKARPLPCLPEPSPPGLGRPADDLGDLPA
+>tr|A0A3Q1LJC2|A0A3Q1LJC2_BOVIN Protein NDRG3 OS=Bos taurus OX=9913 GN=NDRG3 PE=4 SV=1
+MDELQDVQLTEIKPLLNDKNGTRNFQDFDCQEHDIETAHGMVHVTIRGLPKGNRPVILTY
+HDIGLNHKSCFNAFFNFEDMQEITQHFAVCHVDAPGQQEGAPSFPTGLKSIIGIGVGAGA
+YILSRFALNHPELVEGLVLINVDPCAKGWIDWAASKLSGLTTNVVDIILSHHFGQEELQA
+NLDLIQTYRLHIAQDINQENLQLFLGSYNGRKDLEIERPILGQNDNKSKTLKCSTLLVVG
+DSSPAVEAVVECNSRLNPVNTTLLKMADCGGLPQVVQPGKLTEAFKYFLQGMGYIPSASM
+TRLARSRTHSTSSSIGSGESAFSRSVASNQSDGTQESSESPDVLDRHQTMEVSC
+>tr|A0A3Q1MHL8|A0A3Q1MHL8_BOVIN C-type lectin domain-containing protein OS=Bos taurus OX=9913 GN=CLEC2B PE=4 SV=1
+MQSAYKDASKQEADEKKRDVKDTQCKWCTMGLISALAISVVINVVLTSIYFSAKEDRGAQ
+YFCPDNWIGFQDKCYYFSEEEKDWNSSRYDCLSQNASLVMIATTKEKHFLKRFKCTSDHW
+IWRDMTEDLTGQWVDENIHNKGTNMKGNEICFYLNEDGVATARCYTERKWICRKKVH
+>tr|A0A3Q1NG83|A0A3Q1NG83_BOVIN Transmembrane protein 180 OS=Bos taurus OX=9913 GN=MFSD13A PE=4 SV=1
+MRLGGPWAWLLGLPTAVVYGSLALFVSVLHNVFLLYYVDTFVSVYKIDKAAFWVGETVFL
+LWNSLNDPLFGWLSDRQFLSSQPRSGAGLSSRAVVLARVRALGWHGPLLALSFLAFWVPW
+APAGLQFLLCLCLYDGFLTLVDLHHHALLADLALSAHDRTHLNFYCSLFSAAGSLSVFAS
+YAFWNKEDFSSFRAFCLALATGSGLGFVGAARLLRRRVEAAGREPGCPAMAVNDGLCEEE
+LLVGGEEAGSITLGQYLQQLARHRNFLWFVGMDLVQVFHCHFNSNFFPLFLEHLLSDHIS
+LSTGSFLLGISYVAPHLNNLYFLPLCRRWGVYAVVRGLFLLKLGLSLLMLLAGPDHPGLL
+CLFIASNRVFTEGTCKLLTLVVTDLVDEDLVLNHRKQAASALLFGMVALVTKPGQTFAPL
+LGTWLLCFYTGEGPGAGMVLEAPGSTAYLVYLLMSLGPGSSEAERMTTSHDRGWAWAAPG
+CHPSPACPYVGRGTGFHLLVLHSFIHQICMGYLLCQVLF
+>tr|G3MY87|G3MY87_BOVIN Maltase-glucoamylase OS=Bos taurus OX=9913 GN=MGAM PE=4 SV=2
+MNGVTLSDVLFQATCDQRGCCWSPQGTISMPWCYYSKSHGYQVGGDLVNTNAGFTAQLKR
+LSSPLFGNDVNNVLLTAEYQTSNRFHFKLTDQNQNRYEVPHEHVQPFTGNAASSLTYKVE
+VSKQPFGIKVIRTSNNRVLFDSSIGPLLFAHQFLQLSIRLPSANVYGLGEHVHQQYRHDM
+NWKTWPIFARDTTPNGDGTNLYGAQTFFLCLEDASGLSFGVFLLNSNAMEVFLQPTPAVT
+YRTIGGILDFYVFLGNTPEQVVQEYLELVGRPALPSYWALGFHLSRYDYGTLDNMKEVVE
+RNRAAQLPYDVQHADIDYMDARKDFTYDPVAFKGFPEFVKELHNNGQKLVIIVDPAISNN
+SSLSNPYGPYDRGSDMKIWVNTSDGVTPLIGEVWPGKTVFPDYTNPKCTAWWTNEFELFH
+SQVEFDGIWIDMNEVANFVDGSVSGCSTSNLNYPPFTPKILDGYLFSKSICMDAVQHWGQ
+HYDVHNLYGYSMAITTAETVKTVFPNKRSLILTRSTFAGSGKFAAHWLGDNAATWSDLRW
+SIPGMLEFNLFGIPMVGADICGFMLDTSEELCRRWMQLGAFYPFSRNHNGQGYKAQDPAS
+FGPDSLLLNSSRHYLTIRYTLLPYLYTLFYRAHSRGDTVARPLLHEFYQDSNTWDVHQQF
+LWGPGLLITPVLDEGAEKVTAYMPDAVWYDYETGGRVRWRKQKVEMELPGDKIGLHLRGG
+YIFPTQQPATTTVASRRNPLGLIIALDENKEAKGELFWDDGETKDTVANNLYLLCEFSVT
+QNRLEVKILQSTYTDPNNLAFKEIKILGTQEPNKVTVKQNGVPIQVSPNVTYDSNLQVAL
+ITEIDLVLGGTYTVEWDVKIRDEEKIDCYPDETGVSAENCTARGCAWEESSSHGVPFCYF
+VNDLYSVSDVQYDSHGASAVITLKTSLYAHSFPSVPVNSLRLTVTYHKDNMLQFKIYDPS
+NNRYEVPVPLNIPSIPSGTSESQLYAVLIKKNPFGIEIRRKSTGTVIWDSQLLGFTFNDM
+FIRISTRLPSKYLYGFGETEHTAFRRDLEWNTWGMFSRDQPPGYKKNSYGVHPYYMALEE
+DGSAHGVLLLNSNAMDVTFQPLPALTYRTTGGILDFYVVLGPTPELVTQQYTELIGRPVM
+VPYWSLGFQLCRYGYQNDSEIASLYDAMVAAQIPYDVQYSDIDYMERQLDFTLDAEFEGF
+PALITRMRADGMRVIIILDPAISGNETKPYLPFTRGVEDDVFIKDPSDGSIVWGKVWPDF
+PDVVINSSLDWDSQVEKYRAFVAFPDFFRNSTTTWWKRELRELYTNPREPEKSLKFDGLW
+IDMNEPASFVNGAVPPGCKDATLNHPPYMPYLESRDRGLSSKTLCMESQQVLPDGSPVRH
+YDVHSLYGWAQTRPTYEAVQEVTGQRGIVITRSTFPSSGRWGGHWLGDNTAAWDQLKKSI
+IGMMEFSLFGISYTGADICGFFQDAEYEMCARWMQLGAFYPFARNHNTIGTKRQDPVSWN
+STFVTISKSVLETRYTLLPYIYTLMHKASTEGSTVVRPLLHEFVSDRVTWDVDSQFLLGP
+AFLVSPVLEANARDVTAYFPRARWYDYYTGVDIQSRGEWKSLPAPLDHINLHVRGGYVLP
+WQEPAQNTHLSRQKFLGFKVALDDEGAAEGWLFWDDGQSIGDHIIFNNYISNTNPLRLGY
+FEVWGVGSDSISSVSISASGVVITPTFSYNSTTQVCDQFKVQMVFPNPELWSAIPACSHS
+QQHPLLQSLSGIPLCSGCLGGQHRNEGKVQSLSPSGIDGLDPYLSCMSSCLQT
+>tr|A0A3Q1N9G9|A0A3Q1N9G9_BOVIN Beta-defensin OS=Bos taurus OX=9913 GN=DEFB127 PE=3 SV=1
+MRLLLIIAILLFQKFTVTEQLKRCWNQHIHGYCRKICRTTEVREVLCENGRYCCISIVEL
+EERKKITRPPRPKLRTLALTFSQDYLIEQNSTHSHRKPT
+>tr|A0A452DIA3|A0A452DIA3_BOVIN Nuclear RNA export factor 1 OS=Bos taurus OX=9913 GN=NXF1 PE=4 SV=1
+MADEGKSYNEHDDRVSFPQRRKKGRGPFRWKSGEGNRRSGRGGSSVRSSRLEDDDRDVAM
+SDVQDAPRVRYTPYAARPNRRGDNWNERTRIHVTLPLRRDRSAAERGGAGTSQDGTSKNW
+FKITIPYGRKYDKSWLLNVIQSKCSVPFTPIEFHYENTRAQFFVEDASTASALKAVNYKI
+LDRENHWVLISIIINSSSPPHSVQNELKPEQVEQLKLIMSKRYDGSQQALDLKGLRSDPD
+LVAQNIDVVLNRRSCMAATLRIIEENIPELLSLNLSNNKLYRLDDLSSIVQKAPNLKILN
+LSGNELKSERELDKIKGLKLEELWLDGNTLCDTFRDQSTYISAVRERFPKLLRLDGHELP
+PPIAFDVEAPTTLPPCKGSYFGTETLKNLVLHFLQQYYAVYDSGDRQRLLDAYHDGACCS
+LSIPFTPQNPARSNLAEYFKDSRNVKKLKDPTLRFRLLKHTRLNVVAFLNELPKTQHDIN
+SFVVDISAQTSTLLSFTRTFVAVPASNSGLCIVNDELFVRNASADEIQRAFAMPAPTPSS
+SPVPTLSPEQQEMLQAFSTQSGMNLEWSQKCLQDNNWDYTRSAQAFTHLKVRFENRWQRK
+HQAFERVREARGMAWATGSADLFMFL
+>tr|A0A3Q1MCK5|A0A3Q1MCK5_BOVIN Solute carrier family 22 member 23 OS=Bos taurus OX=9913 GN=SLC22A23 PE=4 SV=1
+MPPALAVCLSFCPLEGYLSPTESSPRTSARLSSCREETAALWFDWEVTTRSSVGPSQWDL
+VCDNAWKVHVAKFSLLVGLIFGYLITGCIADWFGRRPVLLFSIIFILIFGLTVALSVNVT
+MFSTLRFFEGFCLAGVTLTLYALRIELCPPGKRFMITMVASLVATAGQLLMPGLAALCRD
+WQVLQAFIICPFLLMLLYWSIFPESLRWLMATHQFESAKKLILHFTQKNRMNPESDIKGV
+MPELEKELSRRPRKVCIVKVVGTRNLWKNIVVLCVNSLTGFGIHHCFARSMMGHEVKVPL
+LEDFYADYYTAAGIALASCLAMCLAVRVLGRRGGLLLFMILTALASLLQLGLLNLIGKYS
+QHPDSGMSDKVKDKFSITFSIVGMFASHAVGSLSVFFCAEITPTVIRCGGLGLVLASAGF
+GMLTAPIIELHNQKGYFLHHVIFACCTLICIICLLLLPESRGQSLPESIPDGEHYTRQPL
+LPPRRGEQPLLPTHAELKDYSGLHDAAAAGDGLPDGATANGGRPM
+>tr|A0A3Q1MHJ0|A0A3Q1MHJ0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=PPFIBP2 PE=4 SV=1
+MDGDIDVYKHFTWLKRSQVNHHSAAGNETYQERLARLEGDKESLILQVSVLTDQVEAQGE
+KIRDLEVCLEGHQVKLNAAEEMLQQELLSRTSLETQKLNLMTEVSELKLKLVGMEKEQKE
+QEEKQKKAEELLQELRHLKIKVEELENERNQYEWKLKATKAEVAQLQEQVALKDAEIERL
+HSQLSRSAALHGDHAEKDQEIQRLKMGMETLLVANEDKDRRIEELTGLLNQYRRVNEIVM
+ATQGPSERTLSINEEDLEGGFRNWNTANKGPEDLFKSEVSPRGSPPTAGPPPLPQKSLET
+RTQKKLSCSLEDLRSESVDKCVGGNQPSPVVEPKDGPFLAEHKYPTLPGKLPGATPNGEA
+AKSPPTASPLDPAGSSPLRLRDTESGWDDTAMANDSSMSSGTESSPQSPLTPDGKRSPKG
+IKKFWGKIRRTQSGNFNTDAPGVAEFRRGGLRATAGPRLSRTRDPKAQKSDANAPFAQWS
+TERVCTWLEDFGLAQYVIFARQWVTSGHTLLTATPQDMEKELGIKHPLHRKKLVLAVKAI
+NTKQEEKSALLDHIWVTRWLDDIGLPQYKDQFHESRVDGRMLQYLTVNDLLFLKVTSQLH
+HLSIKCAIHVLHVNKFNPHCLHRRPADESNLSPSEVVQWSNHRVMEWLRSVDLAEYAPNL
+RGSGVHGGLIILEPRFTGDTLAMLLNIPPQKTLLRRHLTTKFNALIGPEAEQEKREKMTS
+PAYTPLTTTAKVRPRKLGFSHFGNIRKKKFDESTDYICPMEPGNSVGDGHRAYSGTRGLS
+TLDAPELDGLDQMAPSEGTVTQIGLLSQDIHRLTTMLSQDQLLTDSCLATPRSEDWR
+>tr|A0A3Q1MJI2|A0A3Q1MJI2_BOVIN Protein Churchill OS=Bos taurus OX=9913 GN=CHURC1 PE=4 SV=1
+MRRPSLNSREVSSSRKHRRIFADNGVAMCVDCVEKEYPNRGNICLESGSFLLNFTGCAVC
+NKRDFMLITNKSLKEEDGEEIVTYDHLCKNCHHVIARHEYTFSIMDEFQITPLRLCPGGA
+FEDLRE
+>tr|E1BK30|E1BK30_BOVIN Matrix metallopeptidase 28 OS=Bos taurus OX=9913 GN=MMP28 PE=3 SV=2
+MAARVGLLLRVLPLLLWGGLDAQRVGPELRREAEAFLEKYGYLSDQGPSRPSSTQFSNAI
+REFQWVSQLPISGVLDPPTLHQMTRPRCGVADTDSQMPWTERVSALFAGRWAKMRRKKRF
+ARQGNKWYKRHLSYRLLNWPQHLPEPAVRGAVRAAFQLWSNVSALEFWEAPATGPADIRL
+AFFQGDHNDGLSNAFDGPGGALAHAFLPRRGEAHFDRDERWSLSRRRGRNLFVVLAHEIG
+HTLGLTHSAAPRALMAPYYKRLGRDALLSWDDVLAVQSLYGKPQGGSVAIQLPGKLFTDF
+EAWDPLRLQGRRPETRGPKYCHSSFDAVTVDGQQRLYVFQGNQFWEVTADGNVSEPRPLR
+ERWAGLPPHIEAAAVSLEDGDFYFFKGSRCWRFRGPKPVWGSPQLCRAGGLPRHPDAAFF
+FPPLRRLVLFKGTRYYVLAREGLQVEPYYPRGLRDWGGVPEEVSGALPRPDGSIIFFRDD
+RYWRLDQAKLQTTTSGRWATELPWMGCWHANSGGALF
+>tr|E1BCV0|E1BCV0_BOVIN Helicase, lymphoid specific OS=Bos taurus OX=9913 GN=HELLS PE=4 SV=3
+MCVEDLQKNKDSNSKIKDRLSQTVRQNTKFFFDPVRKCNGQPVPFQQPKHFTGGVMRWYQ
+VEGMEWLRMLWENGINGILADEMGLGKTVQCIATIALMIQRGVPGPFLVCGPLSTLPNWM
+AEFKRFTPEIPTMLYHGTQQERRILVKHIHERKGTLQIHPVVITSFEIAMRDRTTLQNCY
+WKYLIVDEGHRIKNMKCRLIRELKRFNADNKLLLTGTPLQNNLSELWSLLNFLLPDVFDD
+LKSFESWFDITSLSETAEDIIAKEREQNVLHMLHQILTPFLLRRLKSDVALEVPPKREVV
+VYAPLSKKQEIFYTAIVNRTIANMFGSSEKETVELSPTGRPKRRTRKSVDYSKIDDFPNE
+LEKLISQIQPEVDRERTIVETSVPIESEVNLKLQNIMMLLRKCCNHPYLIEYPIDPVTQE
+FKVDEELVTNSGKFLILDRMLPELKTRGHKVLLFSQMTRMLDILMDYCHFRNFNFSRLDG
+SMSYSEREKNIHSFNTDPDVFIFLVSTRAGGLGINLTAADTVIIYDSDWNPQSDLQAQDR
+CHRIGQTRPVVVYRLVTANTIDQKIVERAAAKRKLEKLIIHKNHFKGGQSGLNQSKNFLD
+PKELMELLKSRDYEREVKGSREKVISDKDLELLLDRSDLLDQMNASGPVKEKMGIFKILE
+NSEDSSSECLF
+>tr|A0A3Q1LFL0|A0A3Q1LFL0_BOVIN Phosphatase and actin regulator OS=Bos taurus OX=9913 GN=PHACTR1 PE=3 SV=1
+MRSDSLVPGTHTPPIRRRSKFANLGRIFKPWKWRKKKSEKFKHTSAALERKISMRQSREE
+LIKRGVLKEIYDKDGELSISNEDDSLENGQSLSSSQLSLPALSEMEPVPMPRDPCSYEVL
+QPADIMDGTVSEESPSASESGALLSQDPSAKPVLFLPPKKPAASPGDHEDTPVKQLSLLK
+QPPALPPKPTARIGNHLPDPGAPVKLPCLPVKLSPPLPPKKVMICMPVGGPELSLASYAA
+QKSGPQGGAQHHHTVLPSQIQHQLQYGSLGQHLPSTTGSLPLHPSGCRMIEELNKTLAMT
+MQRLESSEQRVPCSTSYHSSGLHSGDSVTKAGPLGLPEIRQVPTVVIECDDNKENVPHEA
+DYEDSSCLYTREEEEEEDEDEDDDTSLYTSSLAMKVCRKDSLAIKLSNRPSKRELEEKNI
+LPRQTDEERLELRQQIGTKLTRRLSQRPTAEELEQRNILKPRNEQEEQEEKREIKRRLTR
+KLSQRPTVEELRERKILIRFSDYVEVADAQDYDRRADKPWTRLTAADKAAIRKELNEFKS
+TEMEVHELSRHLTRFHRP
+>tr|A0A452DJA4|A0A452DJA4_BOVIN MICOS complex subunit OS=Bos taurus OX=9913 GN=CHCHD6 PE=3 SV=1
+MGSAESREGRRASFGMDEEERVRVLQGIRLSENVVNRMKEPGQPSRVGLLAPPAAALGPS
+GGREKDSKPPRPDCGSGRGPPRVQVDPLERCDWEQAVLQDELVRVATTEREAAASPWSVT
+LRRGEGGVDQEKQRLAQRARELESQEEELRCRDAFYKEQLGRLERQNLEAYRLSSQQFHE
+AATKIEGAIKPRRVEPVCSGLQAQILRCYRDRLQEVLLCADLVRAYQHCVSSAHKG
+>tr|A0A3Q1LYD7|A0A3Q1LYD7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MAASNLSVYSNDLSYDCPESCCEPPCCASSCCTPAPRLTLLCAPVSCESSPCCQPACSSS
+CLASCCQQSSCQPSCCTSSPCQQDCCEPVCCRPVCCTPVCCTPVCYQASPCSTSSCCQQS
+SCQSSCCTSSPCQQACCEPVCCTPVCCTPVCCRPVCCTPVCCRPVCCTPVCCEASPCCRP
+SSSSVCCRPASSVSLLCRPVCRPACCVPTSSCQPSCCRPASSVSLLCQPTCPLSCESSPC
+CQPACSSSCPQDCCEPVCCRPVCCKPVCRTPVCCTPVCCRPVCCTPVCCEASLCSTSSCC
+QQSSCQPPCCTSSPCQQACCEPICCTPVCCRPVCCEASPCCRPSSSVSLLCRPVCRPACC
+VLTSSCQSSCCRPASSVSLLCRPACSRPACYVPTSSCQSSCCRPTSSLSLLCRPACSRPA
+CCIPASALEPCC
+>tr|A0A3Q1M578|A0A3Q1M578_BOVIN Axin 2 OS=Bos taurus OX=9913 GN=AXIN2 PE=4 SV=1
+MSSGVLVPRLPDPSSSFREDAPRPPVPGEEGETPPCQPGVGKGPAAKASPVSPSARRNEG
+GLGEPEGRASPDSPLTRWTKSLHSLLGDQDGAYLFRTFLEREKCVDTLDFWFACNGFRQM
+NLKDAKTLRVAKAIYKRYIENNSIVSKQLKPATKTYIRDSIKKQQIDSVMFDQAQTEVQA
+VMEENAYQTFLTSDICLQYVGSGGENPAYLSQGGLGSLRLVCGYLPTLNEEEEWTCADFK
+CKLPPTVVGLSSKTLRATASARAMDTIESGYRSFRRSDPVNPYHVGSGYVFAPAASANDS
+EISSDALTDDSMSMTDSSVDGVPPYRVGSKKQLQREMHRSVKANGQVSLPHFPRTHRLPK
+EMTPVEPAAFAAELIARLEKLKLELETRHSLEERLQQIQEDEEKEGVELAPGSREAGPAP
+HALCLLPSSSYEEDPQTILDDHLSRVLKTPGCQSPGVGRYSPRARSPDHHHRHHLQSHRA
+LPPPGGPLPAVAVPASCSLLGARGFVSRQATKHIHHHYIHHHAGPRTRDEAEAEAAPRAR
+CPCPVPGGADYSCCAKCKSHPGVPEPPGAAQLGSRGGAPARRSTAPAREAGAPGGMGSLQ
+PPGEEGDRTQVVWQWVLESERPGKPKPHSAQSTKRAYPTESARTSPAERAGRHHLWGGST
+GHPRAVPRAHPACTQDSSVPPLTPPNTLAQLEEACRRLAEVSKPPKQRCCAAGQQRDRSH
+PGPGMPGTTPFSSPSPASEDHKEPKKLAGMHALQASELVVTYFFCGEEIPYRRMLKAQSL
+TLGHFKEQLSKKGNYRYYFKKASDEFACGAVFEEVWDDEVVLPMYEGRILGKVERID
+>tr|A0A3Q1M7C7|A0A3Q1M7C7_BOVIN Transmembrane protein 180 OS=Bos taurus OX=9913 GN=MFSD13A PE=4 SV=1
+MRLGGPWAWLLGLPTAVVYGSLALFVSVLHNVFLLYYVDTFVSVYKIDKAAFWVGETVFL
+LWNSLNDPLFGWLSDRQFLSSQPRLCEEELLVGGEEAGSITLGQYLQQLARHRNFLWFVG
+MDLVQVFHCHFNSNFFPLFLEHLLSDHISLSTGSFLLGISYVAPHLNNLYFLPLCRRWGV
+YAVVRGLFLLKLGLSLLMLLAGPDHPGLLCLFIASNRVFTEGTCKLLTLVVTDLVDEDLV
+LNHRKQAASALLFGMVALVTKPGQTFAPLLGTWLLCFYTGEGPGAGMVLEAPGSTAYLVY
+LLMSLGPGSSEAERMTTSHDRGWAWAAPGCHPSPACPYVGRGTGFHLLVLHSFIHQICMG
+YLLCQVLF
+>tr|E1BNA2|E1BNA2_BOVIN X-prolyl aminopeptidase 3 OS=Bos taurus OX=9913 GN=XPNPEP3 PE=3 SV=1
+MRWLFSATRLVPAVASARSLSGCLSCSRRRYSLQPIPERRIPNRYLGQPSPFTHPHLLRP
+GEVTPGLSQVEYALRRHKLMSLIHKEAQEQSGTDHTVVLLSNPTYYMSNDIPYIFHQDNN
+FLYLCGFQEPDSILVLQSLPGKQLPAHKAMLFVPRRDPSRELWDGPRSGTDGAIALTGVD
+EAYTLEEFQHLVPKLKAETHTLWYDWMRPAHKQLHCDYMQQLAEVKARSKNKVRAVQQLV
+QRLRLIKSPAEIERMQVAGKLTSQAFIETMFASKAPVEEGFLYAKFEFECRARGADILAY
+PPVVAGGNRSNTLHYVKNNQLIKDGEMVLLDGGCESSCYVSDITRTWPVNGRFTAPQAEL
+YEAVLEVQRDCLTLCCPGTSLENVYSMMLTLISQKLKELGITRNIKEKNPFKAARKYCPH
+HVGHYLGMDVHDTPDMPRSLPLQPGMVITVEPGIYIPEDDQDAPERFRGLGVRIEDDVVV
+TEASPLVLSADCPKEMKDIEQICSRAS
+>tr|G3MY33|G3MY33_BOVIN Leucine rich repeat containing 56 OS=Bos taurus OX=9913 GN=LRRC56 PE=4 SV=2
+MDQARDGAHGSRPSTASIQVRELSSQGLHNPQPQSKAPGRSGHGHSEQLAEECLLPARLQ
+ALAQVDDLRLVSMLEMCVNTRESSLGSLGLHLPNLSQLKLNGSCLGSLRDLGTSLSHLQV
+LWLARCSLADLDGISSFPALKELYLSYNNIWDLSPLCLLEQLEVLDLEGNCVEDLGQLRY
+LQLCPQLATLTLEGNPLCLRPGSGPTHQVPQGYNYRAEVRKLIPQLQVLDELPAAHTGLP
+ASQKLDQDWLLVKEAIKEGCTLDNQTHGSPMWRLSPELCLPETQPWAPRRGPLSLLVPGM
+PLPEGLLPKGPAPEDGTSNLTHGAGRVLCGNPTKGLRERRHGCQAGVHPEKLPSPRLEEL
+APRASASEPDPADDHDLLAWAGLQALRELRLRPLPSRCPESWEEGAAGPWGPQRGPEEQE
+DEAGPKPHRSPPSLAPESSRTSGYNLIPCPPKSFKPDRGRNSWGSTDLQFRGRRLRTLGS
+LGPGLGQGLAPVTALRAREVTSGPSPQAEGCPGPKPAPDSAARPPASGACRT
+>tr|F1MVL7|F1MVL7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC101910047 PE=4 SV=3
+MLENFALVASLGCCHGTEDEEAPSEQSLSVEAESQVGVSKAGPSIQKIHPCEMCVPILKD
+ILHQAELGGTCLRHFCSSADFHWQHRDDSGEKPWERDVERVSFVRSCHLFVSGKPFTDTG
+VGEDCPATLGLLQHQATINNEESQSGRKSGQAFHSEKSHYKWGECFNCNYRLVQHQQIHT
+GQRPYECGECGKFFSQISGLVKHQRIHCGTKPSGCRESFYGTRVHTGERPYECDECGKSF
+SYKYDLIQYQRIHSGERPYVCHECGKSFRKLSNLIQHWSVHTGERPYECSVCGKSFSRKF
+VFIEHQRVHTGERPFECTECGKSFIQKSELIQHQRIHSGTRPYECSECRKSFRQHSGLIH
+HQRIHSGEKPYECNERGKSFSQNASLIQHQRVHTGGKPYECNECVKSFSRSDSLIQHQRG
+HTGERPYECSDCEKSFSWKSSLLRHQRVHTGEKS
+>tr|F1N6B6|F1N6B6_BOVIN Nucleoporin 188 OS=Bos taurus OX=9913 GN=NUP188 PE=4 SV=3
+MAAAAGGQCVRSSRELWTILLGRSALRELNQIEAELNKHWQRLLEGLSYYKPPSPSSAEK
+VKASKDVASPLKELGLRISKFLGLDEEQSVQLLQCYLQEDYRGTRDSLKTVLQDERQSQA
+LILKIADYYYEERTCILRCVLHLLTYFQDERHPYRVEYADCVDKLEKELVTKYRQQFEEL
+YKTEAPTWETHGNLMTERQVSRWFVQCLREQSMLLEIIFLYYAYFEMAPSDLLVLTKMFK
+EQGFGSRQTNRHLVDETMDPFVDRIGYFSALILVEGMDIESLHKCALDDRRELHQFAQDG
+LICQDMDRLMLTFGDIPHHAPVLLAWALLRHTLNPEETSSVVRKIGGTAIQLNVFQYLTR
+LLRSLASGGNDCTTSTACMCVYGLLSFVLTSLELHTLGNQQDVIDTACEVLADPSLPELF
+WGTEPTSGLGIILDSVCGMFPHLLSPLLQLLRALVSGKSTAKKVYSFLDKMSFYNELYKH
+KPHDVISHEDGTLWRRQTPKLLYPLGGQTNLRIPQGTVGQVMLDDRAYLVRWEYSYSSWT
+LFTCEIEMLLHVVSTADVIQHCQRVKPIIDLVHKVISTDLSIADCLLPITSRIYMLLQRL
+TTVISPPVDVIASCVNCLTVLAARNPAKVWTDLLHTGFLPFVAHQVSSMSQMISAEGMNA
+GGYGNLLMNSEQPQGEYGVTVAFLRLITTLVKGQLGSTQSQGLVPCVMFVLKEMLPSYHK
+WRYNSHGVREQIGCLILELIHSILNLCHETDLHSSHTPSLQSLCICSLAYTEAGQTVINI
+MGIGVDTIDMVMAAQPRSDGAEGQGQGQLLIKTVKLAFSVTNNVIRLKPPSNVVSPLEQA
+LTQHGAHGNNLIAVLAKYIYHKHDPALPRLAIQLLKRLATVAPMSVYACLGSDAAAIRDA
+FLTRLQSKIEDMRIKVMILEFLTVAVETQPGLIELFLNLEVKDGSDGSKEFSLGVWSCLH
+AVLELIGSQQQDRYWCPPLLHRAAIAFLHALWQDRRDSAMLVLRTKPKFWENLTSPLFGT
+LSPPSETSEPSILETCALIMKIICLEIYYVVKGSLDQSLKDTLKKFSSEKRFAYWSGYVK
+SLAVHMADTEGSSCTSLVEYQMLVSAWRMFLIIATSHAEITHLTDSAVRRQLFLDVLEGT
+KALLLVPTSVNCLRLGSMTCTLLLILLRQWKRELGSVDDILGPLTEILEGVLQADQQLME
+KTKAKVFSAFITVLQMKEMSVNDIPQYSQLVLNVCETLQEEVIALFDHTRHSLAAGSATE
+DKDSMETDDCSRLRHRDQRDGVCVLGLHLAKELCEVDEDGDSWLQVTRRLPILPTLFTTL
+EVSLRMKQNLHFTEAALHLLLTLARTQQGATAVAGAGITQSICLPLLSVYQLSTNGTVQT
+PGTSRKSLDAPSWPGVYRLSMSLMERLLKTLRYNFLTEALDFVGVHQERTLQCLNAVRTV
+QSLACLEEADHTVGFLLQLSSFRKEWHFHLPQLMRDVQVNLGYLCQACTSLLHSRKMLQH
+YLQNKNGEGIPSAVAPRAQRPSAAALAAPSCSSSKQPAPDTEASEQRALRTVQYGLLRIL
+SRTLAALRRFTPDVCQVLLDQSLDLAEYSFLFALSFTTPTFDSEGAPSFGTLLATVNVAL
+NMLGELDKKKEPLTQAVGLSTQAEGTRTLKSLLMFTMENCFYLLISQAMRYLRDPAVHPR
+DKQRMKQELSSELSTLLSSLSRYFRRGAPSSPAAGVLPSPQGKSTSLSKVSPESQEPLIQ
+LVQAFVRHVQR
+>tr|A0A3Q1M952|A0A3Q1M952_BOVIN AP-1 complex subunit gamma OS=Bos taurus OX=9913 GN=AP1G1 PE=3 SV=1
+MPAPIRLRELIRTIRTARTQAEEREMIQKECAAIRSSFREEDNTYRCRNVAKLLYMHMLG
+YPAHFGQLECLKLIASQKFTDKRIGYLGAMLLLDERQDVHLLMTNCIKNDLNHSTQYVQG
+LALCTLGCMGSSEMCRDLAGEVEKLLKTSNSYLRKKAALCAVHVIRKVPELMEMFLPATK
+NLLNEKNHGVLHTSVVLLTEMCERSPDMLAHFRKNEKLVPQLVRILKNLIMSGYSPEHDV
+SGISDPFLQVRILRLLRILGRNDDDSSEAMNDILAQVATNTETSKNVGNAILYETVLTIM
+DIKSESGLRVLAINILGRFLLNNDKNIRYVALTSLLKTVQTDHNAVQRHRSTIVDCLKDL
+DVSIKRRAMELSFALVNGNNIRGMMKELLYFLDSCEPEFKADCASGIFLAAEKYAPSKRW
+HIDTIMRVLTTAGSYVRDDAVPNLIQLITNSVEMHAYTVQRLYKAILGDYSQQPLVQVAA
+WCIGEYGDLLVSGQCEEEEPIQVTEDEVLDILESVLISNMSTSVTRGYALTAIMKLSTRF
+TCTVNRIKKVVSIYGSSIDVELQQRAVEYNALFKKYDHMRSALLERMPVMEKVTTNGPTE
+IVQTNGETDPTPLETKPPPSGPQPTSQANDLLDLLGGNDITPVIPTAPTSKPASAGGELL
+DLLGDINLTGAPAAAPAPASVPQISQPPFLLDGLSSQPLFNDIATGIPSITAYSKNGLKI
+EFTFERSNTNPSVTVITIQASNSTELDMTDFVFQAAVPKTFQLQLLSPSSSIVPAFNTGT
+ITQVIKVLNPQKQQLRMRIKLTYNHKGSAMQDLAEVNNFPPQSWQ
+>tr|A0A3Q1LMG9|A0A3Q1LMG9_BOVIN Agrin OS=Bos taurus OX=9913 GN=AGRN PE=1 SV=1
+MASFRPFSRALLQPLLLLLVVAVRALPSADGTCPERALERREEEANVVLTGTVEEILNVD
+PVQHTYSCKVRVWRYLKGKDVVAQESLLDGGNKVVIGGFGDPLICDNQVSTGDTRIFFVN
+PAPPYLWPAHKNELMLNSSLMRITLRNLEEVEHCVEDKPGTHFTPVPPTPPDACRGMLCG
+FGAVCEPSADEPGRASCVCKKSSCPSVVAPVCGSDASTYSNECELQRAQCSQQRRIRLLR
+RGPCGTRDPCSNVTCSFGSTCVPSADGLTATCLCPATCLGAPEGPVCGSDGSDYPSECQL
+LRHACAHQENIFKKFDGPCDPCQGFLSDLSRTCRVNPRTRRPEMLLRPESCPPRGTPVCG
+DDGVTYDNDCVMGRTGAAQGILLQKVRSGQCQPRDQCPEPCRFNAVCLSRRGRPRCSCDR
+VVCDGAYRPVCAHDGHTYDNDCWRQQAECQQQRSIPMKHQGPCDQSPSPCRGVQCPFGAM
+CAVKNGEAECACPKACSGVYDPVCGSDGITYGSACELEATACALGREIRVARRGPCDRCG
+QCRFGALCEAETGRCVCPSECVASAQPVCGSDGRTYASECELHVHACTHQISLHVASAGP
+CQTCGDTVCAFGAVCSAGQCVCPRCERPPPGPVCGSDGVTYGSSCELREAACQQQTQIEE
+AWAGPCEQAECGSGGSGSGEDGECEPELCRQRGGIWDEDSEDGPCVCGFSCQGVLRSPVC
+GSDGVTYRTECELKKARCESQPELYVVAQGACRGPTLAPLPPAIPLHCAQTPYGCCQDNI
+TVAQGVGLAGCPSSCQCNPHGSYGGTCDPVTGQCSCRPGVGGLKCDRCEPGFWNFRGIVT
+DGRSGCTPCSCDPRGAVRDDCEQMTGLCSCKPGVAGPKCGQCPDGRALGPAGCETDSSPP
+KTCAEMLCEFGASCVEEAGSAHCVCPTPTCPGADATKVCGSDGVTYGNECQLRTIACRQG
+LEISIQSFGPCQEGITSGPRVTSASVAPSALDLNKAPLPPPSALPLAPSSTRHSQPTSRA
+SSQPWTMASIPRTTARPVLTMPPVAPSPAASLVTSAFGESGSADGSGDEELSGDLEASGA
+GSGGLESPERDSAGTPGLPMERASCYNSPMGCCSDGKTPSLDAEGSNCPATKVFQGVLEL
+EGVEGQELFYTPEMADPKSELFGETARSIESALDDLFRNSDVKKDFRSVRLRDLGPGSSV
+RAIVEVHFDPTTAFRASDVGRALLRQLQASRRRSLGVRRPLQEHVRFMDFGTQQPRRPCD
+SQPCLHGGTCQDQGSGADFTCSCPAGTGGAVCEKALHPSVPAFGGHSFLAFPTLRAYHTL
+RLALEFRALEPQGLLLYNGNARGKDFLGLVLLGGRVQFRFDTGSGPAVLTSSVPVQPGRW
+HHLELSRHWRQGTLSVDGETPVLGQSPSGTDGLNLDTDLFVGGVPEDQASTVLERTSVSI
+GLRGCIRLLDVNNQRLELSSWPESATRSSGVGKCGDHPCLPSPCLGGAPCQALEAGRFHC
+QCPPGRFGPTCADEKDPCQPNPCHGAAPCRVLPQGEAKCECPHGREGSLCQTVSEPEDNQ
+PFLADFSSFSYLELKGLHTFERDLGEKMALEVVFLARSPSGLLLYNGQKTDGKGDFVSLA
+LHNGLLEFRYDLGKGAAVIRSKEPVALGAWTRVSLERNGRKGAMRVGDGPRVLGESPVPH
+TVLNLKEPLFVGGAPDFSKLARAAAVSSGFDGAIQLVSLNGRQLLTRENVVRAVDVSSFA
+DHPCTQAEGQPCLHGASCLPREASYECLCPAGFSGLHCEKGLIEKSAGDLDALAFDGRTY
+IEYLNAVTESELTNEIPAEKALQSNHFELSLRTEATQGLVLWSGKATERADYIALAIVDG
+RLQLAYDLGSQPVVLRSTVPVNTNRWLRVRAHRKQREGSLQVGNEAPVTGSSPLGATQLD
+TDGALWLGGLEKLPTGQALPKAYGTGFVGCLRDVVVGQRPVHLLEDAITKPELRPCPAL
+>tr|F1MJI8|F1MJI8_BOVIN Phosphorylase b kinase regulatory subunit OS=Bos taurus OX=9913 GN=PHKA2 PE=3 SV=3
+MRSRSNSGVRLDGYARLVQQTILCYQNPITGLMSASHEQKDAWVRDNIYSILAVWGLGMA
+YRKNADRDEDKAKAYELEQNVVKLMRGLLQCMMRQVDKVERFKHTQSTEDSLHAKYNTAT
+CSTVVGDDEWGHLQVDATSLFLLFLAQMTASGLRIIFTLDEVAFIQNLVFYIEAAYKVAD
+YGMWERGDKTNQGIPELNASSVGMAKAALEAIDELDLFGAHGGRKSVIHVLPDEVEHCQS
+ILFSMLPRASTSKEIDAGLLSIISFPAFAVEDVNLVNVTKNEIISKLQGRYGCCRFLRDG
+YKTPREDPNRLHYDPAELKLFENIECEWPVFWTYFIIDGVFNGDAVQVQEYREALEGILI
+RGNNGVHLLPELYAIPPDKVDEEYKNPHTVDRVPLGKVPHLWGQSLYILSSLLAEGFLAA
+GEIDPLNRRFSTSVKPDVVVQVTVLAENNHIKELLRKHGVNVQSIADIHPIRVQPGRILS
+HIYAKLGRNKNMRLSGRPYRHIGVLGTSKLYVIRNQIFTFTPQFTDQHHFYLALDNEMIV
+EMLRIELAYLCTCWRMTGRPTLTFPITHTMLTNDGSDIHSAVLATIRKLEDGYFGGARVK
+LGSLSEFLTTSFYTYLTFLDPDCDEKLFDDASEGTFSPDSDSDLGGYLEDTYNQESQDEL
+DQYINHLLQSTSSKCYLPPLCKKTEDNQVFSAIHSTRDILSVMAKAKGLEIPFVPMALPT
+KVLSAHRKSLNLVDSPQPLLKKAPEDDFQFPRDDHGDVDCEKLVEQLKDCSNLQDQADIL
+YILYVIKGPSWDTNLSGQHGVTVHNLLSELYAKAGLNQEWGLIRYISGLLRKKVEVLAEA
+CTDLLSHQKQLTVGLPPEPREKTISAPLPPEELTKLIYEASGQDISIAVLTQEIVVYLAM
+YVRAQPSLFVEMLRLRIGLIIQVMATELARSLNCSGEEASESLMNLSPFDMKNLLHHILS
+GKEFGVERSMRPIHSSTPSPAVSIHEVGHTGVTKTERSGINRLRSEMKQMTRRFSADEQR
+SSTPSSPTGTSSSDSGRQHMGWGERQGQWLRRRRLDGAINRVPVGFYQKVWKILQKCHGL
+SIDGYVLPSSTTQEMTPQEIKFAVHVESVLNRVPQPEYRQLLVEAIMVLTLLSDTEMNSI
+GGIIHVDQIVHMANQLFLQDQVSSGATDTLEKDQATGICHFFYDSAPSGAYGTMTYLTKA
+VASHLQELLPNSGCQMQ
+>tr|F6R4N7|F6R4N7_BOVIN Superoxide dismutase [Cu-Zn] OS=Bos taurus OX=9913 GN=SOD3 PE=1 SV=1
+MLPPLWCSTLAMLALLCASVVLVAYASADQIQQQMGSNTEEQIRDMHAKVTEIWQEMMQR
+QAAAIDPDAALHAVCRVLPSATLEAEQPRVSGLVLFRQLRPGALLEAFFHLEGFPNEPNG
+TNRAIHVHQFGDLSQGCDSTGPHYNPMSVPHPQHPGDFGNFAVRDGQVWKYRSGLAASLT
+GPHSIAGRAVVVHAGEDDMGRGGNQASLENGNAGRRLACCVVGLCGPGPWARQTQEHAER
+KKRRRESECKAV
+>tr|F1N1B8|F1N1B8_BOVIN KN motif and ankyrin repeat domains 1 OS=Bos taurus OX=9913 GN=KANK1 PE=4 SV=2
+MAHTTKVNGCASGKANDILNGEQDREQKDPYFVETPYGYQLDLDFLKYVDDIQKGNTIKK
+VNIQKRRKPSGPCPDSRAAPGQHGVWTSTESLSSSNSDDNRQCPRFLLGRSQVTSTPISK
+PPAPLETSPTFLTIPESRQLPPPSPQLPKHNLHVTKTLMETRRRLEQERVTMQVAPGEFR
+RPRLASFGGMGSTSSLSSFLGSGNHNPTMPQLQNGYQGNGDYGGYAPAAATTSSMGSSIR
+HSPLSSGISTPVTNVSPMHLQHIREQMAIALKRLKELEEQVRTIPVLQVKISVLQEEKRQ
+LASQLKNQRAASQNDVCGVRKRSYSAGNASQLEQLSRTRRSGGELYIDYEEEEMESVEQS
+TQRIKEFRQLTADMQALEQKIQDSSYEASSELRGNGECQPQEFRSVAVGADEDMNDIVVY
+CRGARSCKDAAVGMVTETRNSGVSVTEAMLGVTTEADKEIELQQQTIEALKDKIYRLEVQ
+LKETTHDREMTKLKQELQAAGSRKKVDKAMMAQPLVFSKMVEAVVPTRDQMAGSHVDVVD
+TCVGTCVQTSSVGISCQPDHENKEVGPELPMNWWIVKERVEMHDRCIGRSVEMCDKSVGM
+DISVCETGSNTEESVNDLALLRTNLNLKEVRSIGCGDCSVDVTVCSPKECTSRSVNTEAV
+SQVEAAVMAVPRTVSQHTSTVVEQVSQFTNTEVATLTESFTNTSLSTVDKQTSTHTVEMR
+TVAVGEGRVKDVSSTKMRSIGVGTVLSGNAGFDRPSAVKTKESGVGQISINDNYLVGLKM
+RTIACGPPQLTVGPTGSRRSVGVGDEPVGEFVESPQPQAPSGMETGLDHYIERVQKLLAE
+QQALLAENYSELAEAFGEPQSQIGSLNSQLISTLSSINSVMKSASLEELRHPDFLKTSLG
+KVTGSNLEYTCKCGALQSGGPLNSQTSLQEAGTTEGKPIGSQDTFPTQESMLSPVNLTDD
+QIAAGLYVCTNNESTLKSIMKKKDANKDSNGAKKNLQFVGINGGYETTSSDDSSSDESSS
+SESDDECDVPEYPPEEEEEEEEDEDTRGMAEGHHAVNVEGFTSTRVEDEMQVPECEPEKV
+EIRERYELSEKMLSACNLLKNNINDPKALTSKDMRFCLNTLQHEWFRVSSQKSAIPAMVG
+DYIAAFEAISPEVLRHVINMADGNGNTALHYSVSHSNFEIVKMLLDADVCNVDHQNKAGY
+TPIMLAALAAVEAEKDMQVVEELFACGDVNAKASQAGQTALMLAVSHGRIDMVKGLLACG
+ADVNIQDDEGSTALMCASEHGHVEIVKLLLAQPGCNGHLEDNDGSTALSIALEAGHKDIA
+VLLYAHVNFAKAPSPQRQRKLMGGG
+>tr|F1MVK0|F1MVK0_BOVIN Taste receptor type 2 OS=Bos taurus OX=9913 GN=TAS2R16 PE=3 SV=1
+MTTSQLSVFFMIIYMLEFLIITGQSSLIVVALGRDWVQTQRLPPADMILISLGICRFCQL
+WSSMLYNFCSHFHPNYNFWYFGIIWEFTNILSFWLTSLLAVFYCVKVSFFSHPVFLWLKW
+RIVRWVPRLLLGSLLISCVSTIFPATSYYIDIQFIAMKHFPRNSTMLERLEAFLWDFSTL
+HKVVVLVIPFLLFLASTVLLMALLSRHLKQMKDLHTGCSNSSPEAHSAALRSLAIVLILF
+TFYFLTVLLSILDVLFNKESWFWAWEAIIYALVSIHSTLLMLSSVKLKRVLKARCWSLEA
+A
+>tr|A0A3Q1M648|A0A3Q1M648_BOVIN Kinase D interacting substrate 220 OS=Bos taurus OX=9913 GN=KIDINS220 PE=4 SV=1
+MSVLISQSVINYVEEENIPALKALLEKCKDVDERNECGQTPLMIAAEQGNLEIVKELIKN
+GANCNLEDLDNWTALISASKEGHLHVVDELLKCGASLEHRDMGGWTALMWACYKGRTEVV
+ELLLSHGANPSVTGLYSVYPIIWAAGRGHADIVQLLLQNGAKVNCSDKYGTTPLVWAARK
+GHLECVKHLLAMGADVDQEGANSMTALIVAVKGGYTQSVKEILKRNPNVNLTDKDGNTAL
+MIASKEGHTEIVQDLLDAGTYVNIPDRSGDTVLIGAVRGGHVEIVRALLQKYADIDIRGQ
+DSKTALYWAVEKGNATMVRDILQCNPDTEICTKDGETPLIKATKMRNIEVVELLLDKGAK
+VSAVDKKGDTPLHIAIRGRSRKLAELLLRNPKDGRLLYRPNKAGETPYNIDCSHQKSILT
+QIFGARHLSPTETDGDMLGYDLYSSALADILSEPTMQPPICVGLYAQWGSGKSFLLKKLE
+DEMKTFAGQQIEPLFQFSWLVVFLTLLLCGGLGLLFAFTVDLNLGIAVSLSFLAVLYIFF
+IVIYFGGRREGESWNWAWVLSTRLARHIGYLELLLKLMFVNPPELPEQTTKALPVRFLFT
+DYNRLSSVGGETSMAEMIATLSDACEREFGFLATRLFRVFKTEDTQGKKKWKKTCCLPSF
+VIFLFIFGCIIAAITLLAIFRVDPKHMTVNAVLISIASIVGLAFVLNCRTWWQVLDSLLN
+SQRKRLHNAASKLHKLKSEGFMKVLKCEVELMARMAKTIDSFTQNQTRLVVIIDGLDACE
+QDKVLQMLDTVRVLFSKGPFIAIFASDPHIIIKAINQNLNSVLRDSNINGHDYMRNIVHL
+PVFLNSRGLSSARKFLVTSTTNGDVPCSDNTGMQEDADRRVSQNSLGEMTKLGSKTALNR
+RDTYRRRQMQRTITRQMSFDLTKLLVTEDWFSDISPQTMRRLLNIVSVTGRLLRANQISF
+NWDRLASWINLTEQWPYRTSWLILYLEETEGIPDQMTLKTIYERISKNIPTTKDVEPLLE
+IDGDIRNFEVFLSSRTPVLVARDVKTFLPCTVNLDPKLREIIADVRAARDQINIGGLAYP
+PLPLHEPPPRPPSGYSQPASVCSSSTSFNGPFGGGVVSPQPHSSYYSGMTGPQHPFYNRG
+SGPASGTIPLNSMNVDAVCEKLKQIEGLDQSMLPQYCATIKKANINGRVLAQCNIDELKK
+EMNMNFGDWHLFRSTILEMRNAENQVVPEDPRLLSENSSSVPHGEPARRSAHSELPHTEL
+SSQAPYTLNFSFEELNTLGLDEGAPRHSNLSWQSQTRRTPSLSSLTSQDSSIEISKLTDK
+VQAEYRDAYREYIAQMSQLEGGTGSTTMSGRSSPHSTYYMGQSSSGGSIHSSLEPEKGKD
+SEPKQDDTRKSFLMKRGDVIDYSSSGVSTNDASPLDPITEEDEKSDQSGSKLLPGKKSSE
+RSSLFQTDLKLKGGGLRYQKLPSDEDESGTEESDNTPLLKDDKDKKAEGKAERALKSPEH
+SAEPIRTFIKAKEYLSDALLDKKDSSDSGVRSNESSPNHSLHNEAADDSQLEKANLIELE
+DDPHSGRRGVPHSLSGLPDPLVARMSICSEDKKSPSECSLIASSPEENWPACHKSYNLNR
+TPSTVTLNNNSAPANRANQNSDETEGLRETPQVLLRSGSSSHSTALQNENLKSMAHKRGQ
+RSSYTRLSKDSSELHAVASSDSTGFGEERESIL
+>tr|A0A3Q1M614|A0A3Q1M614_BOVIN Mitogen-activated protein kinase-activated protein kinase 2 OS=Bos taurus OX=9913 GN=MAPKAPK2 PE=3 SV=1
+MLSGAGAAPPPAAAAAAAPCCPPPAPCPGPPPALCLGPPPPPAPAQPPPPQFPQFHVRSS
+LQIKKNAIIDDYKVTTQVLGLGINGKVLQIFSKRTQEKFALKMLQDCPKARREVELHWRA
+SQCPHIVRIVDVYENLYAGRKCLLIVMECLDGGELFSRIQDRGDQAFTEREASEIMKSIG
+EAIQYLHSINIAHRDVKPENLLYTSKRPNAILKLTDFGFAKETTSHNSLTTPCYTPYYVA
+PEVLGPEKYDKSCDMWSLGVIMYILLCGYPPFYSNHGLAISPGMKSRIRMGQYEFPNPEW
+SEVSEEVKMLIRNLLKTEPTQRMTITEFMNHPWIMQSTKVPQTPLHTSRVLKEDKERWED
+VKEEMTSALATMRVDYEQIKIKKIEDASNPLLLKRRKKARALEAAALAH
+>tr|A0A3Q1MWN6|A0A3Q1MWN6_BOVIN Phosphoinositide phospholipase C OS=Bos taurus OX=9913 GN=PLCH1 PE=4 SV=1
+MSYWNLEKRNCVQYRRHFLVDNSPFHVERCMSVMQSGTQMIKLKRGTKGLVRLFYLDEHR
+TRLRWRPSRKSEKAKILIDSIYKVTEGRQSEIFHRQAEGNFDPSCCFTIYHGNHMESLDL
+ITSNPEEARTWITGLKYLMAGISDEDSLWVKQTFEEADKNGDGLLNIEEIHQLMHKLNVN
+LPRRKVRQMFQEADTDENQGTLTFEEFCVFYKMMSLRRDLYLLLLSYSDKKDHLTVEELA
+QFLKVEQKMTNVTTDYCIDIIRKFEVSEENKVKNVLGIEGFTNFMRSPACDIFNPLHHEV
+YQDMDQPLCNYYIASSHNTYLTGDQLLSQSKVDMYARVLQEGCRCVEVDCWDGPDGEPVV
+HHGYTLTSKILFRDVVETINKHAFVKNEFPVILSIENHCSIQQQRKIAQYLKGIFQDKLD
+LSSIDTGETKQLPSPQSLKGKILVKGKKLPYHLGDDAEEGEVSDEDSADEIEDECKFKLH
+YNNGTTEHQVESFIRKKLESLLKESQIRDKEDPDSFTVRALLKATHEGLNAHLKQHPDAK
+ESGKKAHGRSLMTNFGKHKKTTKSRSKSYSTDDEEDAQQNPGKETGQLYRLGRRRKTMKL
+CRELSDLVVYTNSVAAQDIVDDGTTGNVLSFSETRAHQVVQQKSEQFMIYNQKQLTRIYP
+SAYRIDSSNFNPLPYWNAGCQLGTFNPFSGDPLPANPKKQLILKVISGQQLPKPPDSMFG
+DRGEIIDPFVEVEIIGLPVDCSKDQTRVVDDNGFNPVWEETLTFTVHMPEIALVRFLVWD
+HDPIGRDFVGQRTVTFSSLVPGYRHVYLEGLTEASIFVHITINEIYGKNRQLQGLKGLFN
+KNPRHNSSENTCHYIRKRSIGDKILRRTASAPAKGRKKSKMGFQEMVEMKDSVSEAARDQ
+DGVLRRTTRSLQARPVSMPVDRSLLGALSLPVSETTKDTEGKENSQEDKDARRDGKASVK
+DQHLPNFNKKLSSSSSALIHKDISQGNSAVSTANLSITEQLVPGPKGGRTKSNMLSDCWE
+HQCLSRSLSPRQHLAHDPAVSPSKDLCGVKTKENGNAGGFVVGKSTLSGSILSQSNLEIK
+KLEGNWDKGRAATSFSLSDVSTLCSDAPDLHSTAILQESEISHLIDNVTLTNENEPGSSI
+SALIGQFDETGNQANPTVVSHLQSPSVMSGHPPVPTMDLKMPFKPGFSNGKPKSSFLCSS
+PEQIALSGHETCECSTHTAVGETMCTPVSKMKPDNDLSGKAMTGAIENNLPQSSNTSHCW
+LPESPTHGKDWEILKNPSPATSTDLTLEDVIAAPTLSLNSGESSLVEMDGDSENLSLTTY
+EYRREGTSHLTSPLKVKYSPAAVEHFQRGLRNGYCKETLHPSVSEIFNNTQDVKNQNISH
+LAYQGAGFMHNHFSNSDAKTNQTSGPLPCAHDLHAPAPEQSTHSALKLSSPCKSKSLGDL
+TSEDIACNFESKYQCISKSFVTTGIRDKKGMTVKTKSLEPMDALTEQLRKLVSFDQEDGC
+QVLYSKQDANQFPRALVRKLSSRSQSRVRNIASRAKEKQEANRQKSVNPSTVGGVVLRSK
+PCAPAPTGNRHSTGSYIAGYLRSAKGGSLEGRGIPEGACAALRSGHVDRFCSHHSVLQTE
+PSSDDKPEIYFLLRL
+>tr|G3MYG2|G3MYG2_BOVIN Zinc finger protein 782 OS=Bos taurus OX=9913 GN=ZNF782 PE=4 SV=2
+MKPMQLTQVLKYFPFTGYCFTKPELIFMLEQGEDPWLLEKEFINRSSPEEFQPDELLEES
+LENQGKHLRQVLFINKSLTMEQEISGKPCTLDINIFPAETIPCKFDTTGSTYLLLSSLAP
+HCQYSKKTYAPNVCENWLFSIKDGRTNTGENSFVCSKNVKTFGHKDKVIQHQTIQTLQQA
+FEYNECRKDFLEKTALVMSKSTHPKMKPYEFNKFGENQHEKSTLIVSQSSHPEEKSHYEF
+NKYECTKNRNNFSRITQKTDIEGKSFSQKLHTKEQEKFYIGVKSFEYGKNFSHNSTLPVH
+QRIHTIEQTYDYGTCTDALGYQSACNVHKKTQIMLKPCACNECGKSCTKTSCLIQPQKSH
+MEEKPFECHQCGKAFSEKSRLRKHERTHTGEKPYKCDGCEKAFSAKSGLRIHQRTHTGEK
+PFECNECGKSFNYKSILIVHQRIHTGERPFECNECGKSFSHMSGLRNHRRTHTGERPYKC
+DECGKAFKLKSGLRKHHRTHTGEKPYKCNQCEKAFGQKSQLRGHHRIHTGEKPYTCNHCG
+EAFSQKSNLRVHHRTHTGEKPYKCDECGKTFRQKSNLRGHQRTHTGEKPYGCNECAKSFS
+EKSVLRKHQRTHTGEKPYNCNHCGEAFSQKSNLRVHQRTHTGEKPYKCDTCGKTFSQKSS
+LREHQKAHTGK
+>tr|A0A3Q1MM24|A0A3Q1MM24_BOVIN Tyrosine-protein kinase OS=Bos taurus OX=9913 GN=CSK PE=3 SV=1
+LPALQAAWPSGTECIAKYNFHGTAEQDLPFCKGDVLTIVAVTKDPNWYKAKNKVGREGII
+PANYVQKREGVKAGTKLSLMPWFHGKITREQAERLLCPPETGLFLVRESTNYPGDYTLCV
+SCDGKVEHYRIMYHASKLSIDEEVYFENLMQLVEHYTSDADGLCTRLIKPKVMEGTVAAQ
+DEFFRSGWALNMKDLKLLQTIGKGEFGDVMLGDYRGNKVAVKCIKNDATAQAFLAEASVM
+TQLRHSNLVQLLGVIVEEKSGLYIVTEYMAKGSLVDYLRSRGRSVLGGDCLLKFSLDVCE
+AMEYLEGNNFVHRDLAARNVLVSEDNVAKVSDFGLTKEASSTQDTGKLPVKWTAPEALRE
+KVGGLLLWFPGSDTDVVPRVEKGYKMDAPDGCPPAVYEVMKNCWHLDAATRPSFLQLREQ
+LERIKTHELHL
+>tr|A0A3Q1M2M6|A0A3Q1M2M6_BOVIN Fibronectin type III domain containing 3A OS=Bos taurus OX=9913 GN=FNDC3A PE=4 SV=1
+MPNTARGNACFPPPFLFRGSLQVTWSVSRDSVKGSFPRSFAKKKKKSSSRGSPIKAVNVY
+YAVSLLDFLVLCCYCKNKMSGPAQVPMMSPNGSVPPIYVPPGYAPQVIEDNGVRRVVVVP
+QAPEFHPGGHTVIHRSPHPPLPGFIPVPTMMPPPPRHMYSPVTGAGDMATQYMAPYQSSQ
+VYGDVDAHSSHGRSNFRDERSSKTYERLQKKLKDRQGTQKDKMSSPPSSPQKCPSPISEH
+NGLTKGQNASGTNTGSVKNKSGKGKGGAQVDTEIEEKDEETKAFEALLSNIVKPVASDIQ
+ARTVVLTWSPPSSLINGETDETTVPELFGYEILVSSTGKDGKYKSVFAGEETSVTLNDLK
+PATDYHAKVQAEYNSVKGTPSAAETFTTLSCEPDIPNPPRIANRTKNSLTLQWKAPSDNG
+SKIQSFILEWDEGKGNGEFCQCYMGLQKQFKITKLSPAMGCKFRLSAKNDYGTSGFSEEV
+LYYTSGCAPSMPASPVLTKAGVTWLSLQWSKPSGTPSDEGISYILEMEEETSGYGFKPKY
+DGEDLAYTVKNLRRSTKYKFKVIAYNSEGKSSPSEVVEFTTCPDKPGVPVKPSVKGKIHS
+HSFKITWDPPKDNGGAAINKYVVEMAEGSNGSKWDMIYSGATREHLCDRLNPGCFYRFRV
+YCISDGGQSAVSESLLVQTPAVPPGPCLPPRLQGRPKAKEIQLRWGPPQVNGGSPISCYG
+VEMSPVERDEPREVYQGPEVECTVSNLLPGKTYSFRLRAANKMGFGPFSEKHDITTAPGP
+PDQCKPPQVTCRSATCAQVNWEVPLSNGTDVTEYRLEWGGVEGSMQICYCGPGLSYELKG
+LSPATTYYCRVQALSIVGAGPFSEVVACMTPPSVPAIVTCLQEISDDDIENPNYSPSTCL
+AISWEKPCDHGSEILAYSIDFGDKQPLTVGKVTNYILDNLQPDTTYRIRIQALNSLGAGP
+FSHMIKLKTKPFPPDPPRLECVAFSHQNLKLKWGEGTPKTLSTDSVQYHLQMEDKNGRFV
+SLYRGPCHTYKVQRLNESTSYKFCIQACNEAGEGPLSQEYIFTTPKSVPAALKAPKIEKV
+NDHICDITWECLQPMKGDPVIYSLQVMVGKDSEFKQIYRGPDSAFRYSSLQLNCEYRFRV
+CAIRQCQDPAGHQDLVGPYSTTVLFISQRTEPPASASRDTVESTSPGRALSDEQCAAVIL
+VLFAFFSILIAFIIQYFVIK
+>tr|A0A3Q1LI12|A0A3Q1LI12_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=WC-7 PE=4 SV=1
+MALGRHVSLRGLCVLLLGIVVGGQVLELRLKDGAHRCEGRVEMKYKGEWGTVYTEYWLIE
+SIEVVCRRLECGVAIDAPRGSYFGPRLGPIWFLYVSCESREVESTLSLSECVHIDFKNYN
+DTFSHDQDIGAVCSGSVRLFGGDRPCSGRVEVHSGKAWIPVSDGNFTLSTAQVICAELGC
+GKAVSVLKHMPFRESDGQVWAEEFRCEGEEPELRVCPRVPCPGGMCHHSGAAQIACSAYL
+EVRLMTNGSSQCEGQVEMNISGRWRALCASHWSLANANVVCRQLGCGVAISTPRGPHLVG
+RDDQISTVRFHCLGAESFLWSCPVTALGGSGCSHGNTASVICSGNQTQVLPQCSDSLSEP
+TGSAASENSAPYCSDSRQLRLVDGGGHCAGRVEILDQGSWGTICDDGWDLDDAHVVCRQL
+GCGQALNATRSAHFGAGSGPIWLDDLNCTGKESHVWRCPSRGWGQHDCRHKQDAGVICSG
+LGCTLSTVWGRGGALEDGGLSPEGEMLKGPEKKTNTPVSTGLLSSWRPWGELLCQSFLLP
+MWPHSLAWSPSSSSDNIPSPDHSSVSHLPLTTLRKSIPILGIIRLGLYVKGRQISVLSPS
+GYLLSWVRGECSRFLGKRKTQSTEWKAHCLWALTGFLVVVFLGFVRLVGDDGPCSGRVEV
+RPGEDWTPVSDGNFTLPTAQVICAELGCGEAVSVLGHELFRESDGQVWAEEFRCEGEERK
+LWWCPRVPCPGGTCHHSGAAQVVCSAYSEVRLMTNGSSQCEGQVEMKISGRWRALCASHW
+SLANANVVCRQLGCGVAISTSRGPHLVERGGQISTVRFHCSGAESFLWSCPVTVLGGPDC
+SHGNTASVTCSGNQTQVLPQCNDAVSEPAGSVASEESAPYCSDSRQLRLVDGGGPCAGRV
+EILDQGSWGTICDDGWDLDDAHVVCRQLGCGQALNATRSAHFGEGSGPIWLDDLNCTGKE
+SHVWRCPSRGWGRHDCRHKEDAGVICSEFLALRMVSGDQECAGWLEVFYNGTWGSVCRSP
+MDDVTVSILCSQLGCGDSGSLNTSVGLREGSRPHWVDGIQCQRTDASLWQCPSDPWNYNS
+CSPKEEAYISCAVRRPKSCPTAAPCTDREKLRLRGGDSECSGRVEVWHSGSWGTVCDDSW
+SLAEAEVVCQQLGCGQALEAVRAATFGPGNGSIWLDEVRCGGRESSLWECAAEPWGQSDC
+KHEEDAGVRCSGVRTTLPTTTAGTRTTSNPLPGIFSLPGILCLILGALLFLVLIILVTQL
+LRWRAERRALSSYEDALAEAVYEELDYLLTQKEGLGSPGEDGEDNSDYRSTPGNRDQRTD
+VPAENYDDAEEVPVPGTPSASQGSEEEVPPEKEDGVRSSQTGSCLNFSREAADPGQGEES
+FWLLQGKKGDAGYDDVELSALGTSPVTFS
+>tr|G1K1Z4|G1K1Z4_BOVIN Uroplakin-3b-like protein 1 OS=Bos taurus OX=9913 GN=UPK3BL1 PE=4 SV=2
+MGLDRGQSPLLMALLLLLACLQMGMSLERISYVPQLSSATLAGRLTQSTFTLEQPRGQFS
+HPSISDSDAIWLVVAHSNATQKFTAPQKVEDTPVPADFPQRGYYLTLRASRALYPGGPPS
+NQLRVLRVGNDTRCSPRTRGCNRPLPGPGPYRVKFLVMSDRGPMAETEWSSETRLQQAEV
+LQAAPGPQTAGTVVIIAILSVLLAVLLAALLALLIFTWYDTCGSTPISGPGELVFVRKYD
+THHMSRPSTVGGS
+>tr|F1MBJ4|F1MBJ4_BOVIN PAX3 and PAX7 binding protein 1 OS=Bos taurus OX=9913 GN=PAXBP1 PE=4 SV=2
+MFRKARRVNVRKRNDSEEEERERDEEQEPPPLLPPPPGTGEEPGPGGGGGDRAPAGESLL
+GPGPPPPPPPPAALTSGTEAGGCLPGGLEPGNGLKPRKRPRENKEVPRASLLSFQDEDEE
+NEEVFKVKKSSYSKKIVKLLKKEYKEDLEKSKIKTELNSSADNEPPLDKAGHVKDTSLED
+GVIISEHGEDEMDMESEKEEEKPKAGGAFSNALSSLNVLRPGEIPDAAFIHAARKKRQMA
+RELGDFTPHDSEPGKGRLVREDENDASDDEDDDEKRRIVFSVKEKSQRQKIAEEIGIEGS
+DDDALVTGEQDEELSRWEQEQIRKGINIPQVQASQPTEVNMYYQNTYQTMPYGSSYGIPY
+SYSAYGSSDAKSQKTDNTVPFKTPSNEMTPVTIDLVKKQLKDRLDSMKELHKTNRQQHEK
+HLQSRADSTRAIERLEGSSGGIGERYKFLQEMRGYVQDLLECFSEKVPLINELESAIHQL
+YKQRASRLVQRRQDDIKDESSEFSSHSNKALMAPNLDSFGRDRALYQEHAKRRIAEREAR
+RTRRRQAREQTGKMADHLEGLSSDDEETSTDITNFNLEKDRISKESSKVFEDVLESFYSI
+DCIKSQFEAWRSKYYTSYKHAYIGLCLPKLLNPLIRLQLLTWTPLEAKCRDFENMLWFES
+LLFYGCEEREQEKDDVDVALLPTIVEKVILPKLTVIAENMWDPFSTTQTSRMVGITLKLI
+NGYPSVVNAENKNTQVYLKALLLRMRRTLDDDVFMPLYPKNVLENKNSGPYLFFQRQFWS
+SVKLLGNFLQWYGIFSNKTLQELSIDGLLNRYILMAFQNSEYGDDSIKKAQNVINCFPKQ
+WFVNLKGERTISQLENFCRYLVHLADTIYRNSIGCSDVEKRNARENIKQIVKLLASVRAL
+DHAMSVASDHNVKEFKSLIEGK
+>tr|A0A3Q1M9T2|A0A3Q1M9T2_BOVIN von Willebrand factor A domain containing 3A OS=Bos taurus OX=9913 GN=VWA3A PE=4 SV=1
+MATQISDVFHGQDSTFLENHCMRRSNDRDPQKQLNQKNINELDQKLDNGLLVTHVNQTQD
+LLNSEDWLSAHSLKSKKLTLADLLCQGTAVLEEASSVTKKMHFPTQTVHQFEAKLSEAIE
+LYQQRIQWLTENSKKAFGLIKGARVGILIDVAAVSNDPQKEEFQNDLMNLIDEQLSHKEK
+LYILSFGATTSALWPNPTEVSTSSLQELKLWVKKLKPDRGSNLLQALKKVFVLKGLNSLV
+TIMGSCPDQPSEILSDYIQQSTTGRDLITHIITYKCDDQVPPAVLKNLVEAFGGYYHCYS
+PETEICSSREVDELLAEIQKAQSLRDHVQALHPNARCEEPTSVMQEISTEVAKSSLMSLL
+PKPPKHEDPLMIEFPNLDMTSAEWLKTNSLKAKKLSLYQVLAPNAFSPVEEYVPILRKTV
+SSTIHEKAMVQFEWHDGTVKNIHVDLPFLYEYQLSRAMRMYERRIEWLSLASRRIWGTVC
+EKRVVILLDISVTNSMYIIHIQHSLRRLLEEQLSNKDCFNIIAFGSTIESWRPEMVAASH
+SNLQSAWRWVLNLQCQGSRNVLSALRKAVEVDFKDKEEQQSQGIYLFTGGIPDQDMAMLS
+AYMAEACGGCDFHLNVCLFYVGESQTDTMPPACYASRTDTAAAYREVTRAAGGRFHWFGD
+TGIYESDDINAITSEMEKALNYSQKCALLVSSLKNHSGKEQQSVALLKEKPNVLKPRSQP
+RKFCPSRPTAPSVARMV
+>tr|E1BH00|E1BH00_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC787694 PE=3 SV=2
+MNMENASSVTEFILMGLTEQPKLQLPLFFLFLLNYLVTGLGNLCLMILICLNSHLHTPMY
+FFLFNLSFIDLCYSSVFNPKMLMNFISKRNVISFTGCMSQLFFFCFFVSSECYVLTAMAY
+DRYVAICKPLLYTVAMSPQVCSLLMSGSYVMGFAGAIVHTGCMMRLIFCGSSIISHYMCD
+ILPLLQLSCSSTYANEFVVSAVVGTVVIVSSLIILISYALILYNILHIPSSKGWSKALST
+CGSHITTVGLFYGFGLLTHIRPPSSSFVEQGKFFSVLYTNVVPMLNPLIYSLRNKDVKIA
+VKKTLKRITK
+>tr|A0A3Q1NC65|A0A3Q1NC65_BOVIN Sine oculis-binding protein homolog OS=Bos taurus OX=9913 GN=SOBP PE=4 SV=1
+MAEMEKEGRPPENKRSRKPAHPVKREINEEMKNFAENTMNELLGWYGYDKVELKDGEDIE
+FRSYPTDGESRQHISVLKENSLPKPKLPEDSVISPYNISTGYSGLATGNGLSDSPAGSKD
+HGNVPIIVPLIPPPFIKPPAEDDVSNVQIMCAWCQKVGIKRYSLSMGSEVKSFCSEKCFA
+ACRRAYFKRNKVCDWCKHIRHTKEYLDFGDGERRLQFCSAKCLNQYKMDIFYKETQANLP
+AGLCSTLHPPMENKTEGTGVQLLTPDSWNIPLTDARRKAPSPVAAAGQSQGPGPSASTTV
+SPSDTANCSVTKIPTPVPKSMPISETPNIPPVSVQPPASIGPPLGVPPRSPPMVMTNRGP
+VPLPIFMEQQIMQQLRPPFIRGPPHHASNPNSPLSNPMLPGIGPPPGGPRNLGPTSSPMH
+RPLLSPHIHPPSTPTMPGNPPGLLPPPPPGAPLPSLPFPPVSMMPNGPMPVPQMMNFGLP
+SLAPLVPPPTLLVPYPVIVPLPVPIPIPIPVPHVSDSKPPNGFSSNGENFIPSAPGDSSA
+AGGKPGGHSLSPRDSKQGSSKSADSPPGCSGQALSLAPAPAEHGRSEVVDLTRRAGSPPG
+AGGQLGFPGGVLQGPQDGVIDLTVGHRARLHNVIHRALHAHVKAEREPGAAERRTCGGCR
+DGHCSPPAAGDPGPGAPAGPEAAAACNVIVNGTRGAAEGAKGAEPPPEQPPPPPPPPPAP
+PKKLLSPEEPAVSELESVKENNCASNCHLDGEAAKKLMGEEALAGGDKSDPNLNNPADED
+HAYALRMLPKTGCVIQPVPKPAEKAAMAPCIISSPMLSAGPEDLEPPLKRRCLRIRNQNK
+>tr|A0A3Q1LKW3|A0A3Q1LKW3_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC789300 PE=3 SV=1
+MSRENCSSFTEFIFLGITENTENKVILFTMFFLFYLINLLANLGMITLIRMDPQLHTPMY
+FFLSHLSFCDLCYSTAIGPKMLMDLFAKNKSISFCGCALQFLVFCIFADSECLLLAVMAY
+DRYKAISSLLLYAVSMSSGVCSLLMAGVYLVGMADALIHMTLAFRLCFCGSNVINHFFCD
+LPPLFLLSCSDIQVNELVLFIVFGFIELSTISGVLVSYCYIILSVLKIHSAEGRFKAFST
+CTSHLIAVAIFQGTLLFMYFRPSSSYSLDEDKMTSLFYTLVIPMLNPLIYSLRNKDVKEA
+LEKFKNKLYFKIFLLYICTYGYTHSNCLITII
+>tr|F1MG21|F1MG21_BOVIN Glutamate ionotropic receptor kainate type subunit 2 OS=Bos taurus OX=9913 GN=GRIK2 PE=3 SV=2
+MKIISPVLSNPVFRRTIKLLLCLLWIGYSQGTTHVLRFGGIFEYVESGPMGAEELAFRFA
+VNTINRNRTLLPNTTLTYDTQKINLYDSFEASKKACDQLSLGVAAIFGPSHSSSANAVQS
+ICNALGVPHIQTRWKHQVSDNKDSFYVSLYPDFSSLSRAILDLVQFFKWKTVTVVYDDST
+GLIRLQELIKAPSRYNLRLKIRQLPADTKDAKPLLKEMKRGKEFHVIFDCSHEMAAGILK
+QALAMGMMTEYYHYIFTTLDLFALDVEPYRYSGVNMTGFRILNTENTQVSSIIEKWSMER
+LQAPPKPDSGLLDGFMTTDAALMYDAVHVVSVGVQQFPQMTVSSLQCNRHKPWRFGTRFM
+SLIKEAHWEGLTGRITFNKTNGLRTDFDLDVISLKEEGLEKIGTWDPASGLNMTESQKGK
+PANITDSLSNRSLIVTTILEEPYVLFKKSDKPLYGNDRFEGYCIDLLRELSTILGFTYEI
+RLVEDGKYGAQDDANGQWNGMVRELIDHKADLAVAPLAITYVREKVIDFSKPFMTLGISI
+LYRKPNGTNPGVFSFLNPLSPDIWMYILLAYLGVSCVLFVIARFSPYEWYNPHPCNPDSD
+VVENNFTLLNSFWFGVGALMQQGSELMPKALSTRIVGGIWWFFTLIIISSYTANLAAFLT
+VERMESPIDSADDLAKQTKIEYGAVEDGATMTFFKKSKISTYDKMWAFMSSRRQSVLVKS
+NEEGIQRVLTSDYAFLMESTTIEFVTQRNCNLTQIGGLIDSKGYGVGTPMGSPYRDKITI
+AILQLQEEGKLHMMKEKWWRGNGCPEEESKEASALGVQNIGGIFIVLAAGLVLSVFVAVG
+EFLYKSKKNAQLEKRSFCSAMVEELRMSLKCQRRLKHKPQAPVIVKTEEVINMHTFNDRR
+LPGKETMA
+>tr|E1BGX8|E1BGX8_BOVIN HHIP like 2 OS=Bos taurus OX=9913 GN=HHIPL2 PE=1 SV=2
+MLRRSIPRGGWSRWTPWLLTSPRIFCLSLIVLLGQVGLLQGHPQCLDYRPPFQPLQHLEF
+CSDYESFGCCDQRKDHRIAARYWDIMEYFDLKGHELCGGYIKDILCQECSPYAAHLYDAE
+NPRTPLRNLPGLCSDYCSAFHSNCHSAIALLTNDRRFQESPGKDGTRFCHLLNLPDKDYC
+FPNILRSDHLNRNLGTVAEDRRGCLQLCLAEVANRLRNPVAMVHAGDGTHRFFVAEQVGV
+VWVYLPDGSRLEQPFLDLKSLVLTTPWIGDERGFLGLAFHPRFRRNRKFYIYYSCLGKKR
+VEKIRISEMKVSRADPNKADPKSERVILEIEEPASNHNGGQLLFGLDGYMYIFTGDGGQA
+GDPFGKFGNAQNKSSLLGKVLRIDVNGAGSGGKRYRVPMDNPFVSEPGAHPAIYAYGIRN
+MWRCAVDRGDPITHQGRGRMFCGDVGENRFEEVDIIVKGGNYGWRAKEGFECYDKKLCQN
+ASLDDILPIYAYGHAVGKSVTGGYVYRGCESPNLNGLYIFGDFMSGRLMALQEDRKTKKW
+KKQDICLGSTESCAFPGLISTHSKFIISFGEDEAGELYFLATSYPSAYAPHGSIYKFVDP
+SRRAPPGKCKYKPVPVKTRSKRVQFRPLAKMVLDLLKEQSEKAARKLSSATLASSPNRAS
+SQKDSFKKPASPTSSRKTSPGPGAKKRARVWSPGPQGKRKGIPKRPSGIARQAAQHRRAG
+RSLPPPLPSRWPLRGPEPPHHVEAAAEPDFRCAGSRGWRWEPSERA
+>tr|F1MBZ0|F1MBZ0_BOVIN C-type lectin domain containing 19A OS=Bos taurus OX=9913 GN=CLEC19A PE=4 SV=2
+MQKWGLWTVAVLTLLSVQAFPQTDINISPAMPELPQASLCPLFWMEFKGHCYRFFPLNKT
+WAEADFYCSEFSIGRKSAKLASIHSWEENVFVYDLVNSCVPGIPADIWTGLHDHRQVRKC
+WPSGPLGSSSQDSTLI
+>tr|A0A3Q1NDC7|A0A3Q1NDC7_BOVIN GRAM domain containing 1B OS=Bos taurus OX=9913 GN=GRAMD1B PE=4 SV=1
+MLLVLGRRCREKTLSKMRRTCKKETSSQQSSQQSSQQSSHDDDSSRFLSPRVREESTASN
+SNRSTPACSPILRKRSRSPTPQNVDGDTMVEKGSDHSSDKSPSTPEQGVQRSCSSQSGRS
+GGKNSKKSQSWYNVLSPTYKQRNEDFRKLFKQLPDTERLIVDYSCALQRDILLQGRLYLS
+ENWICFYSNIFRWETLLTVRLKDICSMTKEKTARLIPNAIQVCTDSEKHFFTSFGARDRT
+YMMMFRLWQNALLEKPLCPKELWHFVHQCYGNELGLTSDDEDYVPPDDDFNTMGYCEEIP
+VEENEVNDSSSKSSIETKPDASPQLPKKSITNSTLTSTGSSEAPVSFDGLPLEEEVLEGD
+GSLEKELAIDNIIGEKIEIIAPVNSPSLDFNDNEDIPTELSDSSDTHDEGEVQAFYEDLS
+GRQYVNEVFNFSVDKLYDLLFTDSPFQRDFMEQRRFSDIIFHPWKKEENGNQSRVILYTI
+TLTNPLAPKTATVRETQTMYKASQESECYVIDAEVLTHDVPYHDYFYTINRYTLTRVARN
+KSRLRVSTELRYRKQPWGLVKTFIEKNFWSGLEDYFRHLESELTKTESTYLAEMHRQSPK
+EKASKPPTVRRRKRPHAHLRVPHLEEVMSPVTTPTDEDVGHRIKHVAGSTQTRHIPEDSP
+SGFHLQSVSKLLLVISCVLVLLVILNVMLFYKLWMLEYTTQTLTAWQGLRLQERLPQSQT
+EWAQLLESQQKYHDTELQKWREIIKSSVMLLDQVRGPYLPSLPWASRHRPSSLRSERSTV
+SLHQTSSSILSSPGQQWFSF
+>tr|A0A3Q1M1G5|A0A3Q1M1G5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=TOMM20L PE=3 SV=1
+MPCVRTVLGLLAACGAIAFLGYCIYFDRSRRGDPAFKRRLREKRRAQQRKAEGRGAQLWD
+PAKNEKLQEFFLQEVRMGELWLSRGEHRMGVEHLSNALLVCGQPQELLKVFKHTLPPKVF
+EMLLHKIPLICQQFEADMNEQEYLEDDRD
+>tr|A0A3Q1MR26|A0A3Q1MR26_BOVIN Pleiotropic regulator 1 OS=Bos taurus OX=9913 GN=PLRG1 PE=4 SV=1
+MAIKLRNEYGPVLHMPTSKENLKEKVPQNASDSYGHKQYPANQGQEVEYLVTGTHPYPPG
+PGVALTADTKIQRMPSESAAQSLAVALPASQARADANRPVPAGGEYRHPGAPDRAQPAGA
+VVMEGSNAKNSALMAKKAPTMPKPQWHPPWKLYRVISGHLGWVRCIAVEPGNQWFVTGSA
+DRTIKIWDLASGKLKLSLTGHISTVRGVIVSTRSPYLFSCGEDKQVKCWDLEYNKVIRHY
+HGHLSAVYGLDLHPTIDVLVTCSRDSTARIWDVRTKASVHTLSGHTNAVATVRCQAAEPQ
+IITGSHDTTIRLWDLVAGKTRVTLTNHKKSVRAVVLHPRHYTFASGSPDNIKQWKFPDGS
+FIQNLSGHNAIINTLTVNSDGVLVSGADNGTMHLWDWRTGYNFQRVHAAVQPGSLDSESG
+IFACAFDQSESRLLTAEADKTIKVYKEDDTATEETHPVSWKPEIIKRKRF
+>tr|F1MJB6|F1MJB6_BOVIN Targeting protein for Xklp2 OS=Bos taurus OX=9913 GN=TPX2 PE=4 SV=2
+MSQVTTSYSYDAPTDFINFSSLTDEEDTQHIDSWFDEKANLENKFTGKDGTGGLYQGKTP
+LRKANLHRDVTPLRPVDNTYNKQAEKENLVEESIPSNECPSMKVKETTSRNNPVQPQRRS
+LRLSAKKNLEQKEKQHVKMKAKRCGTPVIINEFPPSKKMKVQKILKSTEEQELEKRMKMQ
+QEVVEMRKRNEEFKKFALAGAGQPVKKSVSQVTKAVDFHFRTDERVKQHSKNQEEYKEVN
+FTSELRKHPPSPARVTKGCTIVMPFNLSQGKKRTFDETASTYVPLAQQVEAFHKRTPTRY
+HLRNRKDDIMPLPSKSVVRICRDPQTPVLQTKHRTRPVTCKSAADLEAEELEKQQQYKFK
+AQELDPRILEGGPILPKKPPVKPPTQPVGFDLEIEKRIQERESKKKLEEEHYEFHSRPCP
+TKILEDVVGVPEKKELPITVPKSPAFALKNRIRMPTKEDEEEEEPVMIRAQPVPYFGMPF
+KPQIPVGRTVEVCPFSFDSRDKERQLQKEKKIKELQKGEVPKFKAHPLPHFDTINLPEKK
+VKNTTQVEPFCLETDRRGALKAQTWKHQLDEELKQQKEAACFKARPNTVISQEPFVPKRE
+KKSVIEGLSGSLVQEPFQLATEKRAKERQELEKRMAEVEALKAQQLEEARQQEEEQQKEE
+LARLRKELVHKANPIRRYQGVEVKSSDQPLTVPVSPKFSTRFHC
+>tr|G3MXJ3|G3MXJ3_BOVIN C2 calcium dependent domain containing 4D OS=Bos taurus OX=9913 GN=C2CD4D PE=4 SV=1
+MWLLEKAGYRAGAAEPRARWAPSSLFPKRRTPCPLAHPCPNVLTPDRIPQFFIPPRLRDP
+GGALPHSGGRGLPATCSLPHLAGREGWAFLPESPHTRRRESLFHWPPPTPAGGLPPAPSR
+LHVSAPDLRLCQAPDSDTASSPDSSPFGSPRPGPDRPRPRSLSRVQASSAGSSPHASRRA
+GPPTPPLFHLDFLCCQLRPTQESVLHLEPRGGQLRLSAEYPAGPGRLRLRLVSAEGLPRS
+RAGPGSGGGGCCVVLRLRPRARPRGQRSRVVKCSTNPIFNEDFFFDGLGPPDLAAHSLRA
+KVLDRGAGFRRDVLLGECETPLIALLPPLGAGLGPGASLVPAHLSL
+>tr|A0A3Q1M4N9|A0A3Q1M4N9_BOVIN Cleavage and polyadenylation specific factor 7 OS=Bos taurus OX=9913 GN=CPSF7 PE=4 SV=1
+MSEGVDLIDIYADEEFNQDPEFNNTDQIDLYDDVLTATSQPSDDRSSSTEPPPPVRQEPS
+PKPNNKTPAILYTYSGLRNRRAAVYVGSFSWVGLISERELS
+>tr|G3MZB0|G3MZB0_BOVIN GST N-terminal domain-containing protein OS=Bos taurus OX=9913 GN=GSTO1 PE=4 SV=2
+MSGGSARSLGKGSAPPGPVPEGLIRVYSMRFCPYAQRTRLVLTAKGIRHEVININLKNKP
+EWFFKKNPSGLVPVLETSQGQLICESAITCEYLDEAYPGKKLLPGDPYEKACQKMVLESF
+SKVRVCRPRSNS
+>tr|E1B7T6|E1B7T6_BOVIN Major facilitator superfamily domain containing 2B OS=Bos taurus OX=9913 GN=MFSD2B PE=4 SV=2
+GDRPPHPEASGSSQPVSRSPARGAGRLSFYRKLCYGIGGVPNQVASSAIAFYLQLFLLDV
+AQIPAAQVSLVLFGGKVSGAAADPLAGFLINRSRRTGSGRLMPWVLGCMPFIALAYFFLW
+FLPPFTTLRGLWYTTLYCLFQALATFFQVPYTALTMLLTPNPKERDSATAYRMTLEMAGT
+LMGATVHGLIVSGAHGSHRCKEDTLPGEGAVSPNATRLYFIAATVVALTYPVCSTLLYLG
+VKERSDPSTPASGQGLGFLAGLGLTVRHRPYLNLVISFLFISAAVQVEQSYLVLFCTHAS
+QLQDHVQGMVLTILVSAVLSTPMWEWVLQRFGKRMSALGICAMVPFAILLAAVPMVPVAY
+VVAFVSGLSIAVSLLLPWSMLPDVVDDFQLQHQHGPGLETIFYSSYVFFTKLSGAGALGI
+STLSLDFAGYESGACRQSEQVVVTLKVLIGAVPTSMILIGLCILMVGPTPKVPSRANSRS
+LGRRTSYTLA
+>tr|A0A3Q1N0W7|A0A3Q1N0W7_BOVIN Sphingosine-1-phosphate phosphatase 2 OS=Bos taurus OX=9913 GN=SGPP2 PE=4 SV=1
+MAELLWSLQDSQLVARFQRRCGLFPALDEGPGENGAGPADGEAQTPELGHLPAAKGKGAG
+EPANGLRRLAAPQAYVQKYVVKNYFYYYLFRFSAALGQEVFYITFLPFTHWNIDPYLSRR
+LTIIWVLVMYIGQVTKEILKWPRPFSPPVVKLEKRVMAEYGMPSTHAMAATAISFTLLIS
+TMDRYQYPFVLGLMMAVVFSTLVGLSRLYTGMHTVLVLTRRLRKYLQSLEKDVLGGILIT
+AILIVLTYPAWTLIDRLDSASPLLPVCVLVVPFFLCYNYPVSDYYSPTRADTTTIMAAGA
+GVTIGFWINHFFQLVSEPMESLPVIQNIPPLTTDLLVLGLAKFTVGIVLILLVRQLVQKL
+SLQVLYSWFKVVTRNKEARRRLEIEVPYKFVTYTSVGICAITFVPMLHRFLGLL
+>tr|F1MB39|F1MB39_BOVIN Major facilitator superfamily domain containing 4A OS=Bos taurus OX=9913 GN=MFSD4A PE=4 SV=3
+MGCDVRVSGLLRRNLQPTLTYWSVFFSFGLCIAFLGPTLLDLRCQTRSSLPEISWVFFSQ
+QFCLLLGSALGGVFKRTLAQSLWALFTSSLAISLVFATIPFCRDVEVLASVMALAGLAMG
+CIDTVANMQLVRIYQKDSAVFLQVLHFFVGFGALLSPLIADPFLSEANCLPANSTANATS
+VFHASRVLGRQHPEAQPRSNRTLPGLPSQDGAGTRVSYAFWIMALINLPVPLAVLHLLSK
+ERLLACCPQRRPLLLSADELTLETQPPEKEDASSLSPKFHPGHEDLFSCCQRKNFRGVPS
+SFFAIHITAALVLFMTDGLTGAYSAFVYSYAVEKPLSVGHKVAGYLPSLFWGFITLGRLI
+SIPVSSRVKPVTMVFINVVGVVATYLVLLVFSHNVVFLFVGTASLGLSLSSTFPSMLAYT
+EDVLQYRGCATTVLVTGAGIGEMVLQMLVGSIFQAQGSYSFLVCGVIFGGLAFTFYILLL
+FFHRMHPGLSSGPTRDKALGMESLESYQR
+>tr|F1MFX3|F1MFX3_BOVIN Galactosylgalactosylxylosylprotein 3-beta-glucuronosyltransferase OS=Bos taurus OX=9913 GN=B3GAT2 PE=3 SV=2
+MKSVLFSRFFMLLPWILIVIIMLDVDTRRPTPPLTPRPYFAPYSGGRGGARLPLRRGGPG
+RGREPRNESWPRPPPPPPEPPLPTIYAITPTYSRPVQKAELTRLANTFRQVAQLHWILVE
+DAAARSELVSRFLARAGLPSTHLHVPTPRRYKRPGLPRATEQRNAGLAWLRQRHGHQRAQ
+PGVLFFADDDNTYSLELFQEMRTTRKVSVWPVGLVGGRRYERPLVENGKVVGWYTGWRAD
+RPFAIDMAGFAVSLQVILSNPKAVFKRRGSQPGMQESDFLRQITTVEELEPKANNCTKVL
+VWHTRTEKVNLANEPKYHLDTVTIEFCSCANCNNRSTHYTGQTGRNIIDILKPQRLH
+>tr|A0A3Q1MEW7|A0A3Q1MEW7_BOVIN TYR_PHOSPHATASE_2 domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MAPLNRPAPVEVTYRNMRFLITHNPTSATLSKFIEDLKKYGVTTIVRVCEATYDTALVEK
+EGIQVLDWPFDDGSSPSNQIVDDWLSLLNIKFREEPGCCIAVHCVAGLGRTPVLVALALM
+EGGMKNEEAVQFIRQKRRGAFNSKQLLYLEKYHSKMRLCFKDSSGHRNNCCVQ
+>tr|F1N1P8|F1N1P8_BOVIN Protein phosphatase 1 regulatory subunit 26 OS=Bos taurus OX=9913 GN=PPP1R26 PE=4 SV=2
+MFLMNAPPVLALRSAWEAFGPPGSCRFPRCFSEPREGVSRVAASAQVQMAISRLQGDGAA
+LGMSGDHARPGSQRAERGRGSLPTASPAFAAYGLATGLDPKREEEEASNLGPLVPDSDSD
+DSVDRDIEEAIQEYLRAKSGAAPPPAAGDAARRCGPEPALSGAPAAPCPPNPAAGPSPVQ
+GSASPLSVSSDDSFEQSIQAEIEQFLSEKRLHETQKGDLPADRKADPGDSLARATCRPGR
+EPPLKAPQQDLPGTGKEFVFRKLPRSAKASALPRGLRCKVTAEPAAAATAGPPAEAAPGK
+GRVRRGAGSARKGRQPRSVALVHEEPDSSSDDGIEEAIRLYQRERRREVRGHQGPLPTEE
+KGPVAPAHSLRGPWPEALSKTPGKKKPAAPKATDLGPGGPDSEHPPRPPRETTAPVPPGS
+AAAESLGVDGSPCRADTSAELMCAEAILDISKTILPAPVDGGERPPPTSPLRQGPDGNSS
+AVDSDDSIEREIRTFLALKAQSGGPLPHTETCARPAHSPPLPPSLSAPAPDTPDPSPGCR
+RRRSRMPCMPRRPRDTAEMQDAQDAERGQGRVQPGQGRAPEAPRRESESRGPPLPFKTGG
+PGDELGAPDPLGAAPPGPRQTAEGRRGDVQASSEEKSSSLDSDEDLDTAIKDLLRSKRRL
+RKRHRDPRASCKKRVRFSTTETQFLDKLGGFQKDWRDRSPHLLKSCLSKSRRDSRETPGR
+PPHIPSQETSRAKADGSGLEDAPSGPSAPWTRGRAAPRGLFSRESEAREPPGAARSPSSL
+SDDSSSIDSDDSIELEIRKFLAEKAKESVSGSEIPGGGPATLGTPTGARPELPGRKAPPP
+GPAAQPGMCTRSQRVRGGLPPGAEGPRGPGRALAPGGGSSPHPEQPCPPAALARCELAPP
+RSTSGPTPAKGSPAPRRNICAPRDPGPRGPEGVTGEGTFGQLPSHAEAGARVEGHSSLAR
+TPGSQRDGVPRAGLTLPWSDLSPQSRLQSTWVLGPEGRDVAAWRGGLGGQREKGPEGQAR
+GSPSLATDSRRGLPFAGFSPLLSTQLFHFGKSMPWGAKQASLFSPGLGLPLQGPSFSAFR
+EAQATRNPVFGSPRLLVKEGGRWPCRKPRAGLSLSDRRGSGPEESVLDLRFGRRGLDRDD
+EEPEALGSDASELSDTSVEEGGGPTAQGPVLQL
+>tr|A0A452DJ10|A0A452DJ10_BOVIN Smoothelin-like protein 2 OS=Bos taurus OX=9913 GN=SMTNL2 PE=4 SV=1
+MNPARGGQLRSGAAELDYSLRVRPDLPDKQAPGPAMESAPDAEEARTVREALGRYEAALE
+GAVRALHEDMQGLQRGVEQRVAEALRLAGPLARTVAELQRDNQRLQTQLERLTRQVESLG
+LTTGLAPAPGTPSPPPAPGVPNRAPRLGTARFASHATFSLSGRSQSLDHHDEASELEMRR
+TSNSCIIENGHQPGADPGDGPPEATQTIPAPEPPKPRPVSLSLRLPHQPVTAVTRVSERF
+SGETSATALSPTSAAILGGLSSSPSEATTPWTPSPSEKNSSLPRSLSSSGFGAMTASRNN
+NSPPLVTPPQSPPSPQPPATTQAHRPGERRRELVRSQTLPRTSGAQARKALFEKWEQDTA
+GKGKGETRAKLKRSQSFGVASASSIKQILLEWCRSKTLGYQHVDLQNFSSSWSDGMAFCA
+LVHSFFPDAFDYNALSPTQRRQNFELAFTMAENLANCERLIEVEDMMVMGRKPDPMCVFT
+YVQSLYNHLRRFE
+>tr|G3MYN7|G3MYN7_BOVIN Melatonin receptor type 1A OS=Bos taurus OX=9913 GN=MTNR1A PE=3 SV=2
+MGNGSSVLLNVSQPAPGAGDGARPRPSWLAATLASILIFTIVVDIVGNLLVVLSVYRNKK
+LRNAGNVFVVSLAVADLLVAVYPYPLALASIVNDGWSLSSLHCQLSGFLMGLSVIGSVFN
+ITGIAINRYCCICHSLRYDKLYSSTNSLCYVFLIWMLTLVAIVPNLCVGTLQYDPRIYSC
+TFTQSVSSAYTIAVVVFHFIVPMLVVIFCYLRIWALVLQVRWRVKPDDKPKLKPQDFRNF
+VTMFVVFVLFAICWAPLNFIGLVVASDPASMAPRIPEWLFVASYYMAYFNSCLNAIIYGL
+LNQNFRQEYRKIIVSLCTTKMFFVDSSNHVADRIKRKPSPLIANRNQIKVDSV
+>tr|F1MJH2|F1MJH2_BOVIN 40S ribosomal protein S4 OS=Bos taurus OX=9913 PE=3 SV=2
+MARGPKKHLKRVAAPKHWMLDKLTGVFAPRPSTGPHKLRECLPLIIFLRNRLKYALTGDE
+VKKICMQRFIKIDGKVRTDITYPAGFMDVIRTDKTGENFRLIYDTTGRFAVHRITPEEAK
+YKLCKVRKIFVGTKGIPHLVTHDARTIRYPDPLIKVNDTIQIDLETGKITDFIKFDTGNL
+CMVTGGANLGRIGVITNRERHPGSFDVVHVKDANGNSFATRLSNIFVTGKGNKPWISLPR
+GKGIRLTIAEERDKRLAAKQSSG
+>tr|F1N119|F1N119_BOVIN Neurexin-3-beta OS=Bos taurus OX=9913 GN=NRXN3 PE=4 SV=3
+MYFFILLKFHKENVATFRGSEYLCYDLSQNPIQSSSDEITLSFKTWQRNGLILHTGKSAD
+YVNLALKDGAVSLVINLGSGAFEAIVEPVNGKFNDNAWHDVKVTRNLRQVTISVDGILTT
+TGYTQEDYTMLGSDDFFYVGGSPSTADLPGSPVSNNFMGCLKEVVYKNNDIRLELSRLAR
+IGDTKMKIYGEVVFKCENVATLDPINFETPEAYISLPKWNTKRMGSISFDFRTTEPNGLI
+LFTHGKPQERKDARSQKNTKVDFFAVELLDGNLYLLLDMGSGTIKVKATQKKANDGEWYH
+VDIQRDGRSGTISVNSRRTPFTASGESEILDLEGDMYLGGLPENRAGLILPTELWTAMLN
+YGYVGCIRDLFIDGRSKNIRQLAEMQNAAGVKSSCSRMSAKQCDSYPCKNNAVCKDGWNR
+FICDCTGTGYWGRTCEREASILSYDGSMYMKIIMPMVMHTEAEDVSFRFMSQRAYGLLVA
+TTSRDSADTLRLELDGGRVKLMVNLDCIRINCNSSKGPETLYAGQKLNDNEWHTVRVVRR
+GKSLKLTVDDDVAEGTMVGDHTRLEFHNIETGIMTEKRYISVVPSSFIGHLQSLMFNGLL
+YIDLCKNGDIDYCELKARFGLRNIIADPVTFKTKSSYLSLATLQAYTSMHLFFQFKTTSA
+DGFILFNSGDGNDFIAVELVKGYIHYVFDLGNGPNVIKGNSDRPLNDNQWHNVVITRDNS
+NTHSLKVDTKVVTQVINGAKNLDLKGDLYMAGLAQGMYSNLPKLVASRDGFQGCLASVDL
+NGRLPDLINDALHRSGQIERGCEGPSTTCQEDSCANQGVCMQQWEGFTCDCSMTSYSGNQ
+CNDREYSFFILGYFQRVQRFGETLRNIR
+>tr|A0A3Q1MPM7|A0A3Q1MPM7_BOVIN Golgin A4 OS=Bos taurus OX=9913 GN=GOLGA4 PE=4 SV=1
+MFKKLKQKISEEQQQLQQALASPQASSSSSTPTRTRSRTSSFTESLDEGTPNRELLAGMI
+AEPAFLSEYTIFALDSSKQPKTQTESVNASFQATKSPDSVNGSEPTTPQSSDTQSFAQKL
+QLRVPSVESLFRSPVKESLVRSSSKESLVRTSSRESLNRFDLDSSAAFFDPPSDMESETE
+DSLGNLDSLSKEQLIQWLRRTERRLNGYKGKCCELVTAYQTLHREKKKLQGILSQSQDKA
+LRRIGELREELQMDQQAKKHLQEEFDASLEEKDQYISVLQTQVSLLKQRLRNGPVNADLP
+KPLPQMEPEAEGVTKENTDSDVEPVVGDGASAKAVEALQQRVKRQENLLQRCKETIRSHK
+EQCAQLTSEKEALQEQLDERLQELEKMKELHMAEKTKLITQLRDAKNLIEQLEQDKGMVI
+AETKRQMHETLEMKEEEIAQLRSRIKQMTTQGEELREQKEKSERAAFEELEKALSTAQKT
+EEARRKMKAEMDEQIKAIEKASEEERVRLQQELSCVKQEVVDVTKKSSEQIAKLQKLHEK
+ELASKEQELTKKLQTQETEFQEQMRIALEKSQSEYLKITQEKDQQESLALEELELQKKAI
+LTESENKLRDLKQEAETYRTRILELESSLEKSLQENKNQSEDLTSHLEAEKNKHNKEITI
+MVEKHKTELESLQHQQDNIWTEKLQVLKQQHQTEMEELREKYEQEKETLVKDRETLFQAH
+IEEMNEKTLEKLDVKQTELESLSSELSEVLKTRDKLEEELSVLKDQADRVKQELEAKLDE
+QKNHHQQQVDNIIKEQEISIQRTEKALKDEINQLGLLLKEKDRHLKEHQARVESLEADIK
+RSEGELQQASTKLELFQSLQNTTHEQAKVHEEQLAQLQQQLLDLETERILLTKQVAEVEA
+QKKDVCAELDAHKIQVQDLLQKLEKQNREMEEKVKSLTQLSESQLKDNNTEQEQRKQLLM
+EKENVILQMREAQSKETEILKQKLSAKEDSIRVLQEEYEAKFKNQEKKMEKIKQKAKEMQ
+EILKKKLLDQEAKLKKELENTALELSQKEKQFNAKILEMAQANSAGINDAVSRLETNQKE
+QIESLTEAHRRELDDFIAVWERKLSQQAEELQEKHDIQLQEKEQEVAELKQKMLLFGCEK
+EEMNKEMAWLKEEGARQDTALKELQGQLKQNAALMDSLTQNEAKLKAELEKLEVDLNGSL
+KENIFLQEQVAELKVLAEKDRLKVLEFTEKLKTTDEEFQSLKSSHDSSKKSLEDKSLEFK
+KLSEELAVQLDIYSKKTEALLQAKTSELIDISSSKISAILSRISHCQQHTAKVKEALLSK
+TCQVSELEAQLRQLTEEQNILNSSFQHAAHQLEEKESQIQSMKADIEGLVTEKEALQKEG
+GNQQQAASEKESCITQLKKELSENINAVTMMKEELKEKKAEISSLSKQLADLNAQLQNSI
+SLAEKEAAISSLSKRHDEAQQELLDQVRDLSLKVETLSKEKTSALEQADHLSIKFSEWKK
+KAQSRFTQYQNTSKELQVQLELKTKETSEKEEQLTLLKEDLDQQKKRFEYLKSEMEDKKS
+EMEKRDFNLETELKTQTVRIVELEEHVAQKTIEIESLNEVLKNYHQQKDSEQKEMIQKLQ
+HIQELGEEKDNRVKEAEEKVLRLEEQASSMKSELESVKKELEHVNSIVKGKEEELKALED
+RLELEGAAKLAELKKKAEQKIAAIKKQLLSQMEEKEQQYRKDKESHLSELTTKLQERDRE
+IHILEEKLKSAESSPQSETSVVPRLSENVAVCTEQEEADSQGCVHNACEEKLGVLQRNLI
+EKEMLVQRLEQEKEEIISSHSEIQCRYQELLIKIEQAEAKQHEDRVMINQLQEELEGKNK
+KHSLVSSQHLEAEGDKNNAGAKQNLENVVDNVQKTLQGEDLTCQILEQKMKELDSCLLKE
+REGHRIEIEELTSKLESLQALQQMNGKSKPTEVLEEGAEGKSTSHVVQPSSLSNMEADHN
+DLEFKLAGAEQEKRKLSQEVVKLQKDIRMLRKEHQQELDIIKKEYEKEMEEKIKQEQEDL
+ELKHNSTLKQLMREFHTQLAQKEQELEMTIKETIDKAQEVEAELLESHQEETNQLYKKIA
+EKEDDLKRTAKRYEEILDAREEEMTAKVTDLQTQFEDLQKKYQQRLEQEETPGSDKVTIM
+ELQTQLAQKTTLISDSKLKEQEFREQIHNLEDRLKKYEKNVYATTVGTPYRGGNLYHTDV
+SLFGEPTEFEYLRKVLFEYMMGRETKTMAKVITTVLKFPDDQTQKILEREDARLMVSFKY
+SLQIEDDFILSVYILSHLQRLSW
+>tr|A0A3Q1M5B7|A0A3Q1M5B7_BOVIN Endonuclease 8-like 2 OS=Bos taurus OX=9913 GN=NEIL2 PE=4 SV=1
+TLEGGASPYASWSPTSHLPLHEAPCGPLGSRTGPGQVHGKKLFLRFDPDEEAVSLGNSLL
+SEPLREGEQKDKARHHQEASDPSSWSPGGDSAVPSGDDGLQCLGGDTPAGGAERWLQVSF
+GLFGSIRVNEFSRAKKANKRGDWRDPVPRLVLHFSGSGFLAFYNCQMTWRFSSPVVSPAS
+DILSEKFHRGQALEALGREQPICYTLLDQRYFSGLGNIIKNEALFRAGIHPLSPGSLLGL
+PRLEALVDHVVAFSADWLQGKFQGTRQHTQIYQKEQCPAGHQVVRESLGPPGGFQRLTWW
+CPQCQPRLSADEPKQLQPS
+>tr|A0A3Q1M730|A0A3Q1M730_BOVIN Aprataxin OS=Bos taurus OX=9913 GN=APTX PE=4 SV=1
+LAHVMLTCFSRVLSLRVMMRVCWLVRQDNRHQRIKLPHLETVMVGRSPETKIADKKCSRQ
+QGNWSQDCGDYCVWFLQIVGVNPTSIDSVIIGKDQEVKLQPGQVLYLVNELYPYIIEFEE
+ETKSPGLESHRKRKRSGSSDPTERGADPEAEPSTGLEPGSNPHQRSVPPKKEKGSNLKES
+LGHWSQGLKISMEDPKMQVYKDEQVVVIKDKYPKARFHWLVLPWASISSLKAVTREHLEL
+LRHMHAVGEKVIADFAGSSKLRFRLGYHAIPSMSHVHLHVISQDFDSPCLKNKKHWNSFN
+TEYFLESQAVIEMVQEAGRVTVRDGMPELLKLPLRCHECQQLLPSIPQLKEHLRKHWSK
+>tr|A0A3Q1MNR8|A0A3Q1MNR8_BOVIN Sciellin OS=Bos taurus OX=9913 GN=SCEL PE=1 SV=1
+MSNFTLRKTSPTGNDMRTTTQGTTLRQQGFQEVNKRRTFLQDNSWIKKRPEEERDENYGR
+AVLHRHNSHNALDRKTNEREEPKATISRYRSDDTLDRISNRNDAAKTYKANTLDNRLTNR
+NVSTFQPPEVTKMQPGGLSSAKATSTPTSTSTTTPVKKKRQSWFPPPPPGYTTTPNTGAS
+RREPTVHPPIPPKPSSTVSSPNQPKQDNRQIYPAKPSVHTEANRSAERNIRSQDLENIVK
+VATSLQKTNKGEELDNLIKMNKSLNRNQGLDGLFRANLKSELMEKRAKSLENLIFVNTRT
+DKDGKGNQGLGSLIKVNQRADKIKKGKDLDSIIKVNPKESANTTGKQDLNGLIKVNPETH
+KNIKRGQSLDNLIQVTPEVNRSNPSSKDLDNLIKVNPRTGESVQGDQSLDNVIRVTPETN
+KTNQGSQDLDSLIKVTPSAKKSSEQGLDELINVSPKAVKNTARNQDLDKLIKVNPEILLK
+NQRNQDLDKLIKVNPAVIRSNQSQALDNLIQVKPSALRNTKRDQNLENLTDINYNVSKNK
+NERQDGQVTGSTETLISPSSRTAAYSYEARKMLSKSPKDGYQETVSGKYIQTVYSTSDRS
+VIERDMCTYCRKPLGTETKMILDELQICCHSTCFKCEICKQPLENLQAGDSIWIYRQTIH
+CESCYSKVVAKWIQ
+>tr|A0A3Q1MQY8|A0A3Q1MQY8_BOVIN CAP-Gly domain containing linker protein 1 OS=Bos taurus OX=9913 GN=CLIP1 PE=1 SV=1
+MSMLKPSGLKAPTKILKPGSTALKTPAAVAAPIEKTISSEKASSTLSSETQEEFVDDFRV
+GERVWVNGNKPGFIQFLGETQFAPGQWAGIVLDEPIGKNDGSVAGVRYFQCELLKGIFTR
+PSKLTRKVQAEDEADGPQTPHASRATSSLSTSGISMASSSPATPSNIPHKSSQPTAKEPS
+ATSQISNLTKTASESISNLSEAGSIKKGERELKIGDRVLVGGTKAGVVRFLGETDFAKGE
+WCGVELDEPLGKNDGAVAGTRYFQCQPKYGLFAPVHKVTKIGFPSTTPAKAKAAAVRRVM
+ATTPASMKRSPSASSLSSMSSVASSVSSKPSRTGLHVLELEAKMDQLRTMVEAADREKVE
+LLNQLEEEKRKVEDLQFRVEEESITKGDLEQKSQISEDPENTQTKLEHARIKELEQSLLF
+EKTKADKLQRELEDTRVATVSEKSRIMELEKDLALRVQEVAELRRRLESNKPAGDVDMSL
+SLLQEISALQEKLEATHSDHQKEVASLKEHFGAREEMHQKELKVLQAATEKLSKENESLK
+SKLDHANKENSDVIALWKSKLETAIASHQQAMEELKVSFSKGVGTETAEFAELKTQIEKM
+RLDYQQEIENLQNKQDSERSAHTKELEALRAKLMQVIKEKENSLEAIQSKLDKAEEQHLV
+EMEDTLNKLQEAELKVKELEVLQAKCNEQTKVIDHFTSQLKAAEEKLSDLDALQKASSEG
+KLEIENLRQQLEAAEKQIKNLEIEKDAESSKASSITKELQGKELMLNNLQENLSEVSQVK
+EALEKELQTLKEKFADASEEAVSFQRSMQETVNKLHQKEEQFNALSSELEKLRENLTDME
+AKFRERDEREEQLIKAKEKLENDIAAIMKMSGDNSSQLTKMNDELRLKERNVEELQLKLT
+KANENASLLQKSIGEVTLKAEQSQKEAAKKHEEEKKELLRKLSDLEKKMEMSWNECQDLK
+ARYEEASSESRAKHEEVLQNLHKLLRDTEERLKAAQEENRELLQKLEELGKQADRARAAQ
+TAEDAMQIMEQMTKEKTETLASLEDSKQTNEKLQNELDTLKENNLKNVEELNKSKELLTV
+ENQKIEEFKKEIETLKQAAAQKSQQLSALQEENVKLNEELGRSRDEVTSHQKLEEERSVL
+NNQLLEMKKRESKLIKDADEEKASLQKSISITSALLTEKDAELEKLRNEVTALRGENASA
+KSLHSVVQSLESDKVKLELKVKNLELQLKENKRQLSSSSGNTDTQAEEDERAQESQIDFL
+NSVIVDLQRKNQDLKMKVEMMSEAALNGNGDDLNNYDSDDQEKQSKKKPRLFCDICDCFD
+LHDTEDCPTQAQMSEDPPHSTHHGSRSEERPYCDICEMFGHWATNCNDDETF
+>tr|A0A3Q1M9W2|A0A3Q1M9W2_BOVIN Rho/Rac guanine nucleotide exchange factor 2 OS=Bos taurus OX=9913 GN=ARHGEF2 PE=4 SV=1
+MCCCCGCCPLLAHLDRGSLGERTREKEKMKEAKDARYTNGHLFTTISVSGMTMCYACNKS
+ITAKEALICPTCNVTIHNRCKDTLANCTKVKQKQQKAALLKNNTALQSVSLRSKTTTRER
+PSSAIYPSDIRQSLLGSRRGRSSLSLAKSVSTTNIAGHFNDESPLGLRRILSQSTDSLNM
+RNRTLSVESLIDEGAEVIYNELMSDFEMDEKDFTADSWSLAVDSSFLQQHKKEVMKQQDV
+IYELIQTELHHVRTLKIMTRLFRTGMLEELQLEPGVVQGLFPCVDELTDIHTRFLSQLLD
+RRRQALCPGSTRNFVIHRLGDLLISQFSGPSAEQMRKTYSEFCSRHTKALKLYKELYARD
+KRFQQFIRKVTRSAVLKRHGVQECILLVTQRITKYPVLINRILQHSHGMEEERQDLTKAL
+GLVKELLSNVDQDVHELEKGARLQEIYNRMDPRAQAPVPGKGPFGREELLRRKLIHDGCL
+LWKTATGRFKDVLMLLMTDVLVFLQEKDQKYIFPALDKPSVVSLQNLIVRDIANQEKGMF
+LISAAPPEMYEVHTASRDDRSTWIRVIQQSVRVCPSREDFPLIETEDEAYLRRIKMELQQ
+KDRALVELLQEKVGLFAEMTHFQVEEDGSSGVPLPTLPRGLFRSESLESPRGERLLQDAI
+REVEGLKDLLVGPGVELLLTPREPPLPMEPDSGGNTSPGVTANGEARTFNGSIELCRADS
+DSSQKDRNGNQLRSPQEEALQRLVNLYGLLHGLQAAVAQQDTLMEARFPEGPERREKLTR
+ANSRDGEAGRAGGVPAVPEKQATELALLQRQHALLQEELRRCRRLGEERATEAGSLEARL
+RESEQARALLEREAEEARRQLAALGHTEPPLVAEAPWARRPLDPRRRSLPAGDALYLSFT
+PPQPSRGHDRLDLPVTIRSVHRPFEDRERQELGSPDERLQDSSDPDTGSEEEGSSRLSPP
+HSPRGEVLAETWLRNGVQRGYRPLGCSFQMEPASESTGTFRMVPNQPLRSTGRLLGRAQS
+ASWFASW
+>tr|G3N3N7|G3N3N7_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC781843 PE=3 SV=1
+MSFTKSMSKANYSSVSEFVFLGLSTSRPVQHFLLAFSTVFYVIIVLGNFLVVFTVTFDPH
+LHSPMYFLLANLSSIDLCFSTLTVPKMISDLYSGHKTISFQGCVTQIFVLHTLGGSEMVL
+LTAMAFDRYVAICKPLHYLTIMSPRVCLLLLCGAWAIGLIHSVVQLAFVIHLPFCGPNEI
+DSFYCDLPWFIKLACIDSYRMEFMVTANSGFISMGTFFLLIISYVFILVTVWKHSSGGLR
+KALSTLSAHITVVVLFFGPCIFVYMWPFPTVTVDKFLAILDFLVTPILNPAIYTLRNKDM
+KTAMSRLSNQLLSLRKVS
+>tr|G3N1R3|G3N1R3_BOVIN Chromosome 5 C12orf73 homolog OS=Bos taurus OX=9913 GN=C5H12orf73 PE=4 SV=1
+MPAGVSWSSYLKMFAASLLAMCAGAEVVHRYYRPDLRIPEIPPKPGELKTELLGLKERQQ
+EHQNSTVPRTL
+>tr|F1MXI3|F1MXI3_BOVIN Phosphatidylinositol 4-kinase beta OS=Bos taurus OX=9913 GN=PI4KB PE=3 SV=2
+MGDTIVEPAPLKPTSEPAPGPPGNNGGSLLSVITEGVGELSVIDPEVAQKACQEVLEKVK
+LLHGGVAISSRGTPLELVNGDGVDSEIRCLDDPPAQIREEEDEMGATVASGTAKGARRRR
+QNNSAKQSWLLRLFESKLFDISMAISYLYNSKEPGVQAYIGNRLFCFRNEDVDFYLPQLL
+NMYIHMDEDVGDAIKPYIVHRCRQSINFSLQCALLLGAYSSDMHISTQRHSRGTKLRKLI
+LSDELKPAHRKRELPSLSPAPDTGLSPSKRTHQRSKSDATASISLSSNLKRTASNPKVEN
+EDEPVRLAPEREFIKSLMAIGKRLATLPTKEQKTQRLISELSLLNHKLPARVWLPTAGFD
+HHVVRVPHTQAVVLNSKDKAPYLIYVEVLECENFDTTSVPARIPENRIRSTRSVENLPEC
+GITHEQRAGSFSTVPNYDNDDEAWSVDDIGELQVELPEVHTNSCDNISQFSVDSITSQES
+KEPVFIAAGDIRRRLSEQLAHTPTAFKRDPEDPSAVALKEPWQEKVRRIREGSPYGHLPN
+WRLLSVIVKCGDDLRQELLAFQVLKQLQSIWEQERVPLWIKPYKILVISADSGMIEPVVN
+AVSIHQVKKQSQLSLLDYFLQEHGSYTTEAFLSAQRNFVQSCAGYCLVCYLLQVKDRHNG
+NILLDAEGHIIHIDFGFILSSSPRNLGFETSAFKLTTEFVDVMGGLDGDMFNYYKMLMLQ
+GLIAARKHMDKVVQIVEIMQQGCRRCSGASPSGPVMTVAQVICSQLPCFHGSSTIRNLKE
+RFHMSMTEEQLQLLVEQMVDGSMRSITTKLYDGFQYLTNGIM
+>tr|A0A3Q1MK68|A0A3Q1MK68_BOVIN Vacuolar protein sorting-associated protein 4B OS=Bos taurus OX=9913 GN=VPS4B PE=3 SV=1
+LESLPTKAIDLASKAAQEDKAGNYEEALQLYQHAVQYFLHVVKYEAQGDKAKQSIRAKCT
+EYLDRAEKLKEYLKKREKKPQKPVKEGQPAPADEKGNDSDGEGESDDPEKKKLQNQLQGA
+IVIERPNVKWSDVAGLEGAKEALKEAVILPIKFPHLFTGKRTPWRGILLFGPPGTGKSYL
+AKAVATEANNSTFFSISSSDLVSKWLGESEKLVKNLFQLARENKPSIIFIDEIDSLCGSR
+SENESEAARRIKTEFLVQMQGVGVDNDGILVLGATNIPWVLDSAIRRRFEKRIYIPLPEA
+HARAAMFKLHLGTTQNSLTEADFRDLGKKTEGYSGADISIIVRDALMQPVRKVQSATHFK
+KVRGPSRADPNNIVDDLLTPCSPGDPGAIEMTWMDVPGDKLLEPVVCMSDMLRSLSSTKP
+TVNEHDLLKLKKFTEDFGQEG
+>tr|A0A3Q1LUR9|A0A3Q1LUR9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MPCGPSCSVSSCAQPVYYKATICEPACPVSSCAKPVSCEATICEPACPVSRCTQPVSCEA
+TICEPSCSVSSCAQPVCCEVPPGQRVFCIPTSCQPILCKPSCCQPVVCEPSCYQPVSSGV
+RSCCHQAYQLLNQPWPTSSCNACLRLDRKFVCFPQSSF
+>tr|F1N1D2|F1N1D2_BOVIN DNA meiotic recombinase 1 OS=Bos taurus OX=9913 GN=DMC1 PE=3 SV=3
+MKEDQVVLEEPGFQDEDESLFQDIDLLQKHGINVADIKKLKSVGICTIKGIQMTTRRALC
+NVKGLSEAKVDKIKEAANKLIEPGFLTAFEYSEKRKMVFHITTGSQEFDKLLGGGIESMA
+ITEAFGEFRTGKTQLSHTLCVTAQLPGAGGYSGGKIIFIDTENTFRPDRLRDIADRFNVD
+HNAVLDNVLYARAYTSEHQMELLDYVAAKFHEEAGIFKLLIIDSIMALFRVDFSGRGELA
+ERQQKLAQMLSRLQKISEEYNVAVFVTNQMTADPGATMTFQADPKKPIGGHILAHASTTR
+ISLRKGRGELRIAKIYDSPEMPENEATFAITAGGIGDAKE
+>tr|F1ME79|F1ME79_BOVIN Centrosomal protein 70 OS=Bos taurus OX=9913 GN=CEP70 PE=4 SV=3
+YLQQPLKPRSPANHQIRLMTEKQREEAEWENINVLLMMHGLKPLSLVKRTDMKDLIIFDK
+QSSQTMRENLKTMMEETSRQQNMIQELIETNKQLKNELQQQQSRAADQEQRANDLEQIME
+SVKSKIGEMEDESVNRVCQQQNKIKELQKEHKVLQAKCEHYKIKRKEQQETIAALQKDIY
+RLTQEEEERIITQNRVFSYLCKRVPHTVLDKQLLCLIDYYESKIRKFHKQRLYKEDESQS
+EEEDYRSLSASPTYNGLLMSLQNQLKESKTKIDVLLSEKLNLQKELETRPTQHELRLYKQ
+QVKKLEKALKKNIKLQNIISQKKAEDKEGKDEPSKDNQQQALIDQRYFQVLSSINSIIHN
+PRAPVIIYKQSKGTAQHFNRDLVQDCGFEHLVPIIEMWADQLASLKDLYKSLKILSTELV
+PWHNLKKQDENEGVRVEDLLFIVDTMLEEVENKAKDSNMPDFHILRAIVSHFQKLFDVPS
+LTGVYPRMNEVYTRLGELNNAVRNLQELLELDSSSSLCVLVSTVGKLCRLINEDVNEKIM
+QVLGPEDLQSIIHKLEEHEEFFPAFQAFTNDLLKILEIDDLDAIVPAVRKLKILSY
+>tr|E1B736|E1B736_BOVIN Zinc finger SWIM-type containing 5 OS=Bos taurus OX=9913 GN=ZSWIM5 PE=4 SV=3
+MAEGGEREELLSPSPVSPAKRLCSWPSPQAHHPRGSPGAAGGGVGGVGGSCLPPGARPHL
+QPESLLDCAAKTVAEKWAYERVEERFERIPEPVQRRIVYWSFPRNEREICMYSSFQYRGG
+PGAGAAGGAAGASPAEEGPPISKPVFFVSLGFHLSGTVTEPATTSEPAVTYKVAISFDRC
+KITSVTCGCGNKDIFYCAHVVALSLYRIRRPDQVKLRLPISETLFQMNRDQLQKFIQYLI
+TAHHTEVLPTAQKLADEILSSNSEINQVNGAPDPTAGASIDDENCWHLDEEQVKEQVKLF
+LSQGGYYGSGKQLNSMFAKVREMLRMRDSNGARMLTLITEQFMADPRLTLWRQQGTSMTD
+KCRQLWDELGALWVCIILNPHCKLEEKSCWLQQLQKWNDLDICPLEDGNYGHELPNITNA
+LPQNASHSSDSLSRPRRTVFTRAIEGRELHWQDSHLQRIISSDIYTAPACQRESERLLFN
+SQGQPLWLEHVPTACARVDALRSHGYPKEALRLTVAIINTLRLQQQRQLEIYKHQKKELL
+QRGTTTITNLEGWVGHPLDPIGCLFLTLTEACRLNDDGYLEVSDMNESRPPVYQHVPVAA
+GCPNSSESYLSLAMEVALMGMGQQRVMPEGLYAQDKVCRNEEQLLSRLQELQLDDELVQT
+LQKQCILLLEGGPFSGLGEVIHRESVPMHTFAKYLFSALLPHDPDLSYRLALRAMRLPVL
+ENSTSSGDTSHPHHMVSVVPSRYPRWFTLGHLESQQCELASTMLTAAKGDTLRLRTILEA
+IQKHIHSSSLIFKLAQDAFKIAAPTDSSTDGTLLNVALELGLQVMRMTLSTLNWRRREMV
+RWLVTCATEVGVRALVSILQSWYTLFTPTEATSIVAATAVSHTTILRLSLDYPQREELAS
+CARTLALQCAMKDPQSCALSALTLCEKDHIAFEAAYQIAIDAAAGGMTHSQLFTIARYME
+LRGYPLRAFKLASLAMSHLNLAYNQDTHPAINDVLWACALSHSLGKNDVHCATVLSDILR
+RCTVTAPGLAGIPGRRSSGKLMSTDKAPLRQLLDATINAYINTTHSRLTHISPRHYGEFI
+EFLSKARETFLLPQDGHLQFAQFIDNLKQIYKGKKKLMLLVRERFG
+>tr|A0A3Q1LL41|A0A3Q1LL41_BOVIN WD_REPEATS_REGION domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+CISSSPGSSSPGSSSPGSAAVHADGPQPPKKPKRMVVRRSLVDYLRGREVGAPGRAGLSG
+FDSELHGFAVRKLPELLRERELSLGTVDKVFASQWLNARQVVCGTKCNTLFVVDVRSSQV
+TRIPLIRDRGHPPARAQPGCGIHAVQLNPSKTLLATGGENPNSLAVYWLPTLDPLCLGDH
+GHKDWIFAIAWMSDTVVVSGSRDGTLGIWKIDPDVFRSSIAWRNPAELSVYAHIRPAEVE
+DVRRAATNPRNCKVGAVAFSAKRQELGAVTMDGYFHLWKAQNTLSKLLYIRLPYCRENVC
+LTYCDELSLYAVGSQSHVSFLDLRQGHQSIRYLCSREGGTGVRSLSFYEHIVTVGTGHGS
+LLFYDIRAQKFLEEKVSDSQHSSPRPTGRRFRLICGRGWLNQDDLQMNDLSALDELPSAL
+YTHCYNWPEMKLFVAGGPLPSSLRGNYAGLWS
+>tr|A0A3Q1LVF1|A0A3Q1LVF1_BOVIN Ring finger protein 145 OS=Bos taurus OX=9913 GN=RNF145 PE=4 SV=1
+MAAKEKLEAVLNVALRVPSIMLLDVLYRWDVSSFFQQIQRSSLNNNPLFQYKYLALNMHY
+VGYILKGDPFLKCMTEFKSAYEGPMYLEPLSMNRFTTALIGQLVVCTLCSCVMKTKQIWL
+FSAHMLPLLARLCLVPLETIVIINKFAMIFTGLEVLYFLGSNLLVPYNLAKSAYRELVQV
+VEVYGLLALGMSLWNQLVVPVLFMVFWLVLFALQIYSYFSTRDQPASRERLLFLFLTSIA
+ECCSTPYSLLGLVFTVSFVALGVLTLCKFYLQGYRAFMNDPAMNRGMTEGVTLLILAVQT
+GLIELQVVHRAFLLSIILFIVVASILQSMLEIADPIVLALGASRDKSLWKHFRAVSLCLF
+LLVFPAYMAYMICQFFHMDFWLLIIISSSILTSLQVLGTLFIYVLFMVEEFRKEPVENMD
+DVIYYVNGTYRLLEFLVALCVVAYGVSETIFGEWTVMGSMIIFIHSYYNVWLRAQLGWKS
+FLLRRDAVNKIKSLPIATKEQLEKHNDICAICYQDMKSAVITPCSHFFHAGCLKKWLYVQ
+ETCPLCHCHLKNSSQLPGLGADPVPQPPAGAEQHALRGGTEAPGQELPQGPRAQEGSRDK
+DVPQPPAGAEQHALQGGTEAPGQELPQGPGAQEGSRDKDEGIARRSDSQEGTCDPKENPP
+GAEDEALPVEVGLEEKQQE
+>tr|F1N790|F1N790_BOVIN PR/SET domain 2 OS=Bos taurus OX=9913 GN=PRDM2 PE=4 SV=3
+MNQNAAEPVVATETLAGVPEHVFRGLPEEVRLFPSAVDKTRIGVWATKPILKGKKFGPFV
+GDKKKRSQVKNNVYMWEVYYPNLGWMCIDATDPEKGNWLRYVNWACSGEEQNLFPLEINR
+AIYYKTLKPIAPGEELLVWYNGEDNPEIAAAIEEERASARSKRSSPKSRKGKKKSQESKN
+KANKTEDIQLKTSEPDPSPANMRDSAEGPKDEDENPSASTTEQPAIPQEAVSQDVPPDLA
+LPPPAHEPQTGPDSELEAALCEANEVVEEEEEEEEEELEEEGDEAADVPNESSLRELEIR
+CDEKPEDLLEEPKTVSKETLADSAEAAPVVKTPRAREEANGDVFETFLFPCQHCERKFTT
+KQGLERHMHIHMSTVNHAFKCKYCGKAFGTQINRRRHERRHEAGLKRKLEDPAGGKAPSD
+GAPPQDDAQPPGLGQDGLTLSSEKASQDSVTPSVVEENGEAKELHPCKYCKKVFGTHTNM
+RRHQRRVHERHLIPKGVRRKGGLLEEPQPPAEQAPPTPSVYVPSTEPEEEGEADDVYIMD
+ISSNISENLNYYIDGKIQTSSSTSNCDVIEMESNSADLYGINCLLTPVTVEITQNIKTTQ
+VPITDDLPKEPSSSTNSESKKRRTASPPVLPKIKAETESDPATPSCSLSLPLSISTTEAV
+SFHKEKSVYLSSKLKQLLQTQDKLTPPVGISAAEIPKLGPLCVSAPASMLPVTSSRFKRR
+TSSPPSSPQHSPALRDFGKPGDGKAAWTEAVLSSKKPKLESHSNSPAWSLSGRDERETGS
+PPGFDEYKVSKEWAASSTFSNVCNQQPLDLSSGMKQKAEGPGKAPVQWEAVLDLSVHKKP
+CGDSEGKEFKENHVLQPSCSAIKKRKPTTCMLQKVLLNEYNGVDLPVENTPDVSGSASPC
+KPLDPQPDSDRGLNSSLSAAVVESLPDVSSSSPALQPSSLSCGRLPPLLTPTTPSSPPPC
+PPVLTVATPPPPLLPTVPLPAPSSGASPHPCPSPLSNATAQSPLPILSPTVSPSPSPIPS
+VEPVMSAASPGPPTLSSSSSSSSSSSFSSSSSSSSPSPPPLSAVSSVVSSGDNLETSLPM
+ISFKQEELESEDLKAREEAPSTTEQEIVQETFNRNFVCNVCESPFLSIKDLTKHLSIHAE
+EWPFKCEFCVQLFKVKTDLSEHRFMLHGVGNIFVCSVCKKEFAFLCNLQQHQRDLHPDKV
+CTHHEFESGTLRPQNFTDPSKAHVEHMQSLPEDPLEASKEEELNDSSEELYTTIKIMASG
+IKTKDPDVRLGLNQHYPSFKPPPFQYHHRSPLGIGVTATNFTTHNIPQTFTTAIRCTKCG
+KGVDNMPELHKHILACASASDKKRYTPKKNPVPLKQTVQPKNGVVVLDNSGKNAFRRMGQ
+PKRLNFSVELSKMSSNKLKLNALKKKNQLVQKAILQKNKSAKQKADLKTTPESSSHVCPY
+CHREFTYIGSLNKHAAFSCPKKPVSPSKKKLSHSSKKGGHPSPAGSDRNNSSSHRRRTAD
+AEIKMQSMQAPLGKTRARSSGPSQVPPPPSSFRSKQNVKFAASVKSKKPSSSLRNSSPIR
+MAKMTHSETKKPKAAAKNHAAPLSGKMSRSLHVRAQKSRAVLQSKSALASKKRTDRFSVK
+SRERSGGPITRSLQLAASADPSENRKEDGSGKQELKDLSQLRTCK
+>tr|F6R2J7|F6R2J7_BOVIN SET domain containing 3, actin histidine methyltransferase OS=Bos taurus OX=9913 GN=SETD3 PE=4 SV=2
+MAPASQKMGKKSRVKTQKSGTGAAASVSPKETLNLTSELLQKCSSPAPGPGKEWEEYVQI
+RSLVEKIRKKQKGLSVTFDGKREDYFPDLMKWASENGASVEGFEMVNFKEEGFGLRATRD
+IKAEELFLWVPRKLLMTVESAKNSVLGPLYSQDRILQAMGNITLAFHLLCERADPNSFWQ
+PYIQTLPSEYDTPLYFEEDEVRYLQSTQAIHDVFSQYKNTARQYAYFYKVIQTHPHAHKL
+PLKDSFTYEDYRWAVSSVMTRQNQIPTEDGSRVTLALIPLWDMCNHTSGLITTGYNLEDD
+RCECVALQDFRAGEQIYIFYGTRSNAEFVIHSGFFFDNNSHDRVKIKLGVSKSDRLYAMK
+AEVLARAGIPTSSVFALHFTEPPISAQLLAFLRVFCMTEEELKEHLLGDSAIDRIFTLGN
+SEYPVSWDNEVRLWTFLEDRASLLLKTYKTTIEEDKSFLKNHDLSARATMAIKLRLGEKE
+ILERAVKSAAANREFYRRQMEERAPLPKYEEGGAGLLEGVADSQLPLVLRNLEGEAGAQE
+ALTLSEAVRRAQAAEHGLVNGESSIPNGTRSEKETLTQEESKRAAGDAKEPSSDSAEDVA
+K
+>tr|G5E516|G5E516_BOVIN G_PROTEIN_RECEP_F1_2 domain-containing protein OS=Bos taurus OX=9913 GN=LOC523753 PE=3 SV=1
+MQSLGKENHSSVSEFILLGFSSESQVRMALFTFFLILYFITILGNGLIITLIYLDTHLHM
+PMYFFLSILSLVDMSYVTTTVPQMLINLVYPRRTISWGACAAQMFIFLILGIAECVLYAI
+MAYDRYMAICFPLHYTLLMSYPICIKMVTVCWLISIAGALIYTVFTMRLPYCGPHKINHF
+FCEVPAVLKLACADTSLNDWLDFILGFILLLIPLSFILVSYVRIFVSILSIRSPQGRLKS
+FSTCASHITVVTMFYGPAMIMYMRPGSWYDPERDKKLALFYNVVSAFLNPIIYSLRNKDV
+KRAFLKVLGQRGTAQ
+>tr|A0A3Q1LRY5|A0A3Q1LRY5_BOVIN Choline kinase alpha OS=Bos taurus OX=9913 GN=CHKA PE=4 SV=1
+MRRGRRAAANQRRARGRAVTRPALLVRRRYRSERHGERDQPSAAAAAAAARRSLPPRRRP
+APVRRAPSRPRPGPARQRGGKRPRCPASVRGASGRTLSLAFTRCGRPRLLGRLPGMKTKF
+CNGGEAEPSPLGLLLSCGSGSAAPAPGVGQQRDGASDREPKQLGGRQPPLALPPPPPPPL
+PLPPPPPPPPPADDQPELRTRRRAYLWCKEFLPGAWRGLREDQFHISVIRGGLSNMLFQC
+SLPDTLATVGDEPRKVLLRLYGAILKMRSCNKEGSEQAQKDSEFQGAEAMVLESVMFAIL
+AERSLGPKLFGIFPQGRLEQFIPSRRLDTEELSLPDISAEIAEKMARFHGMKMPFNKEPK
+WLFGTMEKYLNQVLRIRFTGESKVKQLHRFLSYNLPLELENLRSLLESTPSPVVFCHNDC
+QEGNILLLDGRENSEKQKLMLIDFEYSSYNYRGFDIGNHFCEWMYDYNYEKYPFFRANIL
+KYPTRKQQLHFISNYLAAFQNEFENLSNEEKSVIEEEMLLEVNRSTPKPGLMPTSTRRGS
+SGCDHVRPSCLHPWMAWGQPSPRPLCAPTTVPTAGRLRRLAAQHRRV
+>tr|G5E5R0|G5E5R0_BOVIN Dimethylaniline monooxygenase [N-oxide-forming] OS=Bos taurus OX=9913 GN=FMO1 PE=1 SV=2
+MYIQFCATSSSVRLPCLMANVQEDSIFEDNMAKRVAIVGAGATGLASIKCCLEEGLKPTC
+FERSDDLGGLWRFTEHVEEGRASLYKSVVSNSCKEMSCYPDFPFPEDYPNYVPNSQFLDY
+LKMYANRFNLLECIQFKTKVCSVRKRPDFTATGQWEVVTLCEGKQESAIFDAVMVCTGFL
+TNPNLPLDSFPGINNFKGQYFHSREYKHPDIFRDKSVLVIGMGNSGTDIAVEASHLAKKV
+FLSTTGGAWVISRVFDSGYPWDMVFMTRFQNTFRNSLPTPIVNWLIAKRMNSWFNHANYG
+LIPEDRVQLREPVLNDELPGRIITGKVLIKPRVKEVKENSVVFSNTPKEEPIDIIVFATG
+YTFAFPFLDETVVKVEDGQASLYKYIFPAHLPKPTLAVIGLIKPLGSLLPTGDTQARWAV
+RVLKGVIKLPPSSIMIEEVNERKKNKPSGFGLCYCKALETDYITYIDELLTAINAKPNLF
+SLLLTDPRLALAIFFGPCTPYQFRLTGPGKWEGARNAIMTQWDRTFKATKTRIVQESPSL
+FASLLKVFSFLALLVAIFLIFL
+>tr|F1MD47|F1MD47_BOVIN Chromosome 6 C4orf19 homolog OS=Bos taurus OX=9913 GN=C6H4orf19 PE=4 SV=1
+MGCRCCKMIQSYLFDPVHVPSPGYVNEVNSCKLDEEDTLKLKDKQSSEILVHKGDPPNEG
+SKRTASGSGTAAPQEPYGPPRGPLLSEDTVGGPCAEKTSGAINGLGPAAVLQLIGEPGPP
+QGARDSWASAANKGHPTQPFLEGGSARELDCMQLGSGETQVIRNGGSGTPSTAGLAAWEV
+PAQVLQIPTPDYPPFSSSAEDNTDHVDHQEKDRLFKIHSEKEPQEGAHPPAGECGLNMPF
+SIKRSWDSLNEAVTTEIPSVYFDKDDPAQDVPVVDSRNGWEEAPGSPGDGSWETADEDAE
+VAEALAALEAATAGEDVDEAE
+>tr|F6RY84|F6RY84_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=TMEM110 PE=4 SV=1
+MQGPAGNSSHSLTGGPPSTVASGAGRCESGALMHSFGIFLQGLLGVVAFSTLMLKRFREP
+KHERRPWRIWFLDTSKQAIGMLFIHFANVYLADLNEEDPCSLYLINFLLDATVGMLLIYV
+GVRAVSVLVEWQQWESLRFGEYGDPLQCGAWVGQCALYIVIMIFEKSVVFIVLLILQWKK
+VALLNPIENPDLKLAIVMLIVPFFVNALMFWVVDNFLMRKGRTKAKLEERGANQDSRNGS
+KVRYRRAASHEESESEILISADDEMEESDVEEDLRRLTPLKPAKKKKHRFGLPV
+>tr|A0A3Q1MHH2|A0A3Q1MHH2_BOVIN Fc receptor like 6 OS=Bos taurus OX=9913 GN=FCRL6 PE=4 SV=1
+MLLWTVALLFVPCVGKIVWLSPQAQPQLVFEGDILILRCRGRKNAALSHVKFYRDGKFLH
+FSMENQPLLLGTATANSSGWYNCTGRVKYPRNMDSWDSGTAMVQVQELFLPPVLTALPSH
+ELCEGSPVTLRCQTKLHSQKSASRLLFSFHKEGRTLKNRSSRPELRIPAAKEGDAGLYWC
+KASSEGGQIQKRSPQLELRVWAPVSRPLLTLRPTSLAVGDEVELLCEVQRGSPPILYSFH
+LNGDILRNHVAPHGGPASCLFRVTSEQDAGNYSCEAGNRVSRETSEPETLSVDDPQVLSA
+PTSSNWLVPWLPASLLAMMVIAAALLGYCRPWRKNVYHQNENNEGVIYSEIHTITIPREH
+EARPAQPAQQEKDISVIYAEVRHPQLSKNPDKGLNRRSTIH
+>tr|E1BG76|E1BG76_BOVIN Sec1 family domain containing 1 OS=Bos taurus OX=9913 GN=SCFD1 PE=3 SV=3
+MRRAGGPGLGRGAGGGGRGAGGGGGQHFGGGGAGPLWSLPFGLCFRGGRTGHVIVRLGSP
+ARQWMVGAKMAGAAAASIRERQTVALKRMLNFNVPHVKNSTGEPVWKVLIYDRFGQDIIS
+PLLSVKELRDMGITLHLLLHSDRDPIPDVPAVYFVMPTEENIDRICQDLRNQLYESYYLN
+FISAISRSKLEDIANAALAASAVTQVAKVFDQYLNFITLEDDMFVLCNQNKELVSYRAIN
+RPDITDTEMETVMDTIVDSLFCFFVTLGAVPIIRCSRGTAAEMVAVKLDKKLRENLRDAR
+NSLFTGDTLGAGQFSFQRPLLVLIDRNIDLATPLHHTWTYQALVHDVLDFHLNRVNLEES
+SGMENSPAGARPKRKNKKSYDLTPVDKFWQKHKGSPFPEVAESVQQELESYRAQEDEVKR
+LKSIMGLEGEDEGAISMLSDNTAKLTSAVSSLPELLEKKRLIDLHTNVATAVLEHIKARK
+LDVYFEYEEKIMSKTTLDKSLLDIISDPDAGTPEDKMRLFLIYYISAQQAPSEADLEQYK
+KALTDAGCNLNPLQYIKQWKAFAKMASAPTSYGNTTTKPMGLLSRVMNTGSQFVMEGVKN
+LVLKQQNLPVTRILDNLMEMKSNPETDDYRYFDPKMLRGNDSSVPRNKNPFQEAIVFVVG
+GGNYIEYQNLVDYIKGKQGKHILYGCSELFNATQFIKQLSQLGQK
+>tr|A0A3Q1LVK3|A0A3Q1LVK3_BOVIN Solute carrier family 16 member 8 OS=Bos taurus OX=9913 GN=SLC16A8 PE=4 SV=1
+MGASGPRRGPGPPDGGWGWAVLGACFVITGFAYGFPKAVSVFFRALMRDFGAGYSDTAWV
+SSIMLAMLYGTGPVSSILVTRFGCRPVMLVGGLLASAGMILASFATRLLELYLTAGVLTG
+LGLALNFQPSLIMLGLYFERRRPLANGLAAAGSPVFLSALSPLGQQLLEHFGWRGGFLLL
+GGLLLNCCACGAVMRPPPGPGPRPRRDSAGDAPGEAEAHLAGPRVREAPSGGRTRRRLLD
+VTVCADRAFAVYAVTKFLMALGLFVPAILLVNYAKDAGVPDSEAAFLLSIVGFVDIVARP
+ACGALAGLARLRPHVAYLFSLALMANGLTDLSSARARSYSALVAFCIAFGLSYGMVGALQ
+FEVLMAAVGAPRFPSALGLVLLLEAVAVLIGPPSAGRLVDALKNYEIIFYLAGSEVALAG
+IFMAVATNCCLRRPRDGPPSPGTEGGAGDGEEAEAEVDSDLPPAGTEEPGGLEAVEVPSP
+GTGPSQPEVKMEPGLDS
+>tr|A0A3Q1MB23|A0A3Q1MB23_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=ABCB1 PE=4 SV=1
+MDLEDGRNGRAGGKNFLKRDKKRFFSKKDEKKEKRPTVSTFAMFRYSNWLDRLYMVLGTL
+AAIIHGAGLPLMMLVFGDMTDSFAAVGSSGNITFPNTINGSTIVRTEYGKKLEKEMTTYA
+YYYSGIGAGVLIAAYIQVSFWCLAAGRQVHRIRKQFFHAIMKQEIGWFDVHDVGELNTRL
+TDDVSKINEGIGDKIGMFFQAMATFFTGFIIGFTEGWKLTLVILAISPVLGLSAAIWAKI
+LSSFTDKELLAYAKAGAVAEEVLAAIRTVIAFGGQKKELERYNKNLEEAKRIGIKKAITA
+NISMGAAFLLIYASYALAFWYGTSLVLSGEYSIGQVLTVFFSVLIGAFSIGQASPNIEAF
+ANARGAAYEVFKIIDHKPSIDSYSNTGHKPDNIKGNLEFRNVHFHYPSRNEVKILKGLNL
+KVGSGQTVALVGNSGCGKSTTVQLMQRLYDPTEGMVSIDGQDIRTINVRYLREIIGVVSQ
+EPVLFATTIAENIRYGREDVTMDEIQKAVKEANAYDFIMKLPNKFDTLVGERGAQLSGGQ
+KQRIAIARALVRNPKILLLDEATSALDTESEAVVQAALDKAREGRTTIVIAHRLSTVRNA
+DVIAGLDDGVIVEEGNHNELMGKRGIYFKLVTMQTKGNELELENTPGESLSKIEDLYTSS
+QDSRSSLIRRKSTRRSIRGSQSRDRKLSSEETLDESVPPVSFWRILKLNITEWPYFVVGV
+FCAIINGALQPAFSVIFSRIIGIFTRNVDDETKRQNSNLFSLLFLILGIISFITFFLQVT
+GEILTRRLRYLVFRSMLRQDVSWFDDPKNTTGALTTRLANDAAQVKGAIGSRLAIITQNI
+ANLGTGIIISLIYGWQLTLLLLAIVPIIAVAGVIEMKMLSGQALKDKKELEGAGKIATEA
+IENFRTVVSLTREERFEYMYAQSLQVPYRNSLRKAHVFGITFAFTQAMMYFSYAGCFRFG
+AYLVAQGIMEFQDVLLVFSAIVFGAMAVGQVSSFAPDYAKAKVSAAHVINIIEKIPLIDS
+YSTEGLKPSTVEGNVAFNDVVFNYPTRPDIPVLRGLSLEVKKGQTLALVGSSGCGKSTVV
+QLLERFYDPLAGTVLIDGKEIKQLNVQWLRAHMGIVSQEPILFDCSIGENIAYGDNSRVV
+SQEEIERAAKEANIHPFIEMLPDKYNTRVGDKGTQLSGGQKQRIAIARALVRQPRILLLD
+EATSALDTESEKVVQEALDKAREGRTCIVIAHRLSTIQNADLIVVFQNGRIKEHGTHQQL
+LAQKGIYFTMVSVQAGTKRQSTVTI
+>tr|F1MP56|F1MP56_BOVIN Nucleolar complex protein 3 homolog OS=Bos taurus OX=9913 GN=NOC3L PE=3 SV=3
+MKARRNKKQVPSFRKLLKTSKVKLENKLKNKQFKQQSTIKKYRKEQRKLRQAVKDAVSKK
+PVPLEDPKEKQPGKRIEREEEEEEEALPLDMMDEDDLQLMKDLGQRASFLTRDISSSEPV
+HVKKRKHESVIDKYEKIPRTLQTAPEKELIHLLPIKDKSGIIPQTREKPVIDSNQDEEDQ
+EEMEPEEEIIEKPIKELTLEEHLIERKKKLQERKMHIAALASAILSDPESNIKKLKELRS
+MLMEQDPDVAVTVRKLVIVSLMELFKDITPSYKIRPLTEAEKSTKIRKETQKLREFEEGL
+VSQYKFYLENLEQMIKDWKQRKLKKSNVVSLKAYKGLAEVAVKSLCELLVALPHFNFHNN
+IVVLIVPLMNDMSKLVSEMCCEAVKKLFKQDKLGQASLGVIKVISGYVKGRNYEVRPEML
+KTFLCLRIKEIEVKKDTEDINKPKKFMTFKEKRKTLSRMQRKWKKAEEKLERELREAEAS
+ESTEKKLKLHTETLNIVFVTYFRILKKAQRSPLLPAVLEGLAKFAHLINVEFFDDLLVVL
+HSLIESGDLSYQESLHCVQTAFHILSGQGDVLNIDPMKFYTHLYKTLFKLHAGATNEGVE
+TVLQCLDVMLTKRRKQVSQQRALAFIKRLCTLALHVLPNSSIGILATNRILMHTFPKTDL
+LLDNESQGSGVFLPELDEPEYCNAQNTALWELHALRRHYHPIVRRLAVHLIAGAPSEGSE
+ALKPELSRR
+>tr|A0A3Q1LIS0|A0A3Q1LIS0_BOVIN DNA meiotic recombinase 1 OS=Bos taurus OX=9913 GN=DMC1 PE=3 SV=1
+MKEDQVVLEEPGFQDEDESLFQDIDLLQKHGINVADIKKLKSVGICTIKGIQMTTRRALC
+NVKGLSEAKVDKIKEAANKLIEPGFLTAFEYSEKRKMVFHITTGSQEFDKLLGGGIESMA
+ITEAFGEFRTGKTQLSHTLCGEHQMELLDYVAAKFHEEAGIFKLLIIDSIMALFRVDFSG
+RGELAERQQKLAQMLSRLQKISEEYNVAVFVTNQMTADPGATMTFQADPKKPIGGHILAH
+ASTTRISLRKGRGELRIAKIYDSPEMPENEATFAITAGGIGDAKE
+>tr|A0A3Q1M844|A0A3Q1M844_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MMLRPAGPPCPPGEPAGTYPPLSVGVRPKSVQSPTDMSSELSTVTTAARARPHLDLTMSI
+LPGGQRIHQGSLSPEPGSLGPVSWELGGGVPDIRGREGPGLRRECVPFPVSGHSVLENLP
+RKGLCE
+>tr|A0A3Q1LWT6|A0A3Q1LWT6_BOVIN Rho GTPase-activating protein 10 OS=Bos taurus OX=9913 GN=ARHGAP10 PE=4 SV=1
+MGLQPLEFSDCYLDSPWFRERIRAHEAELERTNKFIKELIKDGKNLIAATKTLSAAQRKF
+AHSLRDFKFEFIGDAETDDERCIDASLREFSNFLKNLEEQREIMALSVTETLIKPLEKFR
+KEQLGAVKEEKKKFDKETERNYSLIDKHLNLSAKKKDSHLQEADIQVEQNRQHFYELSLE
+YVCKLQEIQERKKFEFVEPMLSFFQGMFTFYHQGHELAKDFNHYKMELQINIQNTRNRFE
+GTRSEVEELMNKIRQNPKDHKRASQFTAEGYLYVQEKRPPPFGSSWVKHYCMYRKAAKKF
+TMIPFEHRSGGKLGDGEVFFLKECIRRHTDSIDRRFCFDVEAADRPGISLTMQAFSEEER
+KQWLEVLGGKEALFPSFNRAIIPRPEGSAQLDKMGFTILRKCIRAVETRGINDQGLYRVV
+GVSSKVQRLLSMLMDVKTCNEVDLENSVDWEVKTITSALKQYLRSLPEPLMTYELHGDFI
+VPAKSGSPESRVNAIHFLVHKLPEKNKEMLDILVKHLTNVSNHSKQNLMTVANLGVVFGP
+TLMRPQEETVAAIMDLKFQNIVVEILIENHEKIFRTPPDATLPEPGPLSAPPNAPPRQSK
+RQGQRTKRPVAVYNLCLELEDGDRPSLPKEDTPPSSLDSLSSPSPTTATALGHPGPDRNH
+LLTDGGSFGDWAPTAANLKGTWLAGGDFEWQEGADSTELRQAAVAPGCRPWPQSTFLGAA
+SPVHLLGGTHGHLDTPGWGPGGTDGSRRGENRFGRCGSE
+>tr|A0A3Q1M8K5|A0A3Q1M8K5_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MGKTRDLFKKIRDTKGTFHAKMGSIKDRNGMDLTEAEDIKKRWQEYTEELYKKDLHDPNN
+HNGVITHLEPDILECEIKRALGSITMNKGSGGDGIPVELFQILKDDAVKVLHSICKQIWK
+TQQWPQNWKRSVFIPIPKKGNAKECSNYCTIVLISHASKVMLKILQARLQQYMNRELLDV
+QAGFRKGRGTRDQIANIRWIMEKAREFQKNIYFCFTDYAKAFDCVDHNKLWKNLKEMGIP
+DHLTCLLRNLYAGQEATVRTGHGTTGWFQIGKGVRQGCILSPCLFNFYAEYIMRNTGLEE
+TQAGIKIAGRNINNLRYADDTTLMAESEEELKSLLMKAKEESEKVGLKLNIQKTKNMASG
+PITSWEIDGETVETVADFIFLGSKITADGDCSHEINTYSLAGKL
+>tr|A0A3Q1LYX8|A0A3Q1LYX8_BOVIN Nuclear receptor subfamily 2 group C member 1 OS=Bos taurus OX=9913 GN=NR2C1 PE=3 SV=1
+MFCGYNCEKASYFWQDTDNQIMASIEEIAHQIIEQQMGEIVTEQPTGQKIQIVTALDHNT
+QGKQFILTNHDGSTPNKVILARQDSTPGKVFFTTPDAAGVNQLFFTTPDLSTQQLQFLTD
+NSSSEQGPNKVFDLCVVCGDKASGRHYGAVTCEGCKGFFKRSIRKNLVYSCRGSKDCIIN
+KHHRNRCQYCRLQRCIAFGMKQDSVQCERKPIEVSREKSSNCAASTEKIYIRKDLRSPLA
+ATPTFVTDSETARSAGLLDSGMFVNIHQSGIKTESTMLMTPDKAVSCQGDLSTLASVVTS
+LANLGKTKDPAPNSNEVSMIESLSNGDTSYTSVCEFHQEMQTNGDVSRAFDTLAKALNPG
+ESTACQSSGEGMEGNVHLIAGDSSINYIEKEGPLLSDSHVAFRLTMPSPMPEYLNVHYIG
+ESASRLLFLSMHWALSIPSFQALGQENSISLVKAYWNELFTLGLAQCWQVMNVATILATF
+VNCLHSSLQQDHPGLENMEQIEKFQEKAYVEFQDYITKTYPDDTYRLSRLLLRLPALRLM
+NATITEELFFKGLIGNVRIDSVIPHILKMEPADYNSQIIGHSI
+>tr|A0A3Q1M1G8|A0A3Q1M1G8_BOVIN Eyes absent homolog OS=Bos taurus OX=9913 GN=EYA3 PE=3 SV=1
+MEEEQDLPEQPVKKAKMQESGEQTLSQVSNPEVSDQKPETSSLASNLTMSEEIMTCTDYI
+PRSSNDYTSQMYSAKPYAHILSVPVSETAYPGQTQYQTLQQSQPYAVYPQATQTYGLPPF
+GALWPGMKPESGLIQTPSPSQHSVLTCTTGLTTSQPSPAHYSYPIQASSTNASLIPTSSA
+IANIPTAAVSSISNQDYPTYTILGQSQYQACYPSSSFGVTGQTNSDAENTTLAAATYQTE
+KPSVMVPAAAAPRLSSGDPSPSPSLTQTTPSKDADDQSRKNMTGKNRGKRKADASSSQDS
+ELEDPTVVIGSGLTMEEMIFEVADTHLFFNDLEECDQVHVEDVASDDNGQDLSNYSFSTD
+GFSGSGGSGSHGSSVGVQGGVDWMRKLAFRYRKVREIYDKHKSNVGGLLSPQRKEALQRL
+RAEIEVLTDSWLGTALKSLLLIQSRKNCVNVLITTTQLVPALAKVLLYGLGEIFPIENIY
+SATKIGKESCFERIVSRFGKKVTYVVIGDGRDEEIAAKQHNMPFWRITNHGDLVSLHQAL
+ELDFL
+>tr|G3N388|G3N388_BOVIN G_PROTEIN_RECEP_F1_2 domain-containing protein OS=Bos taurus OX=9913 GN=LOC107131130 PE=3 SV=1
+MKRENQSSMSEFLLLGLPIRPEQQGMFFALFLGVYLTTVLGNLLILLLIRLDARLYTPMY
+FFLSHLALTDISFSSVTVPKMLINMQTQGQSIPYAGCITQMYFFIFFTGLDDFLLTSMAY
+DRYMAICHPLHYTTVMGQGLCTLLVTVSWILSCANALCHTLLLTRLSFCADHSIPHFFCD
+LGALLKLSCSDTSLNELAIFTAGVADIILPLVCILISYGHIGATILKVPSTKGICKALST
+CGSHLSVVSLYYGTIIGLYFFPSSSTSRDKSTIASVMYTVVTPLLNPFIYSLRNRDMKRA
+LERLLHRAKVLSQ
+>tr|F1N5H6|F1N5H6_BOVIN G protein-coupled receptor 12 OS=Bos taurus OX=9913 GN=GPR12 PE=3 SV=2
+MNEDPKVNVSGLPPEFVDAGASGNISAEVSSQVPVLQPEPELVVNPWDIVLCTSGTLISC
+ENAIVVLIIFHNPSLRAPMFLLIGSLALADLLAGIGLIVNFVFAYLLQSEATKLVTIGLI
+VASFSASVCSLLAITVDRYLSLYYALTYHSERTVTFTYVMLFMLWGTSICLGLLPVLGWN
+CLRDESTCSVVRPLTKNNAAILSVSFLFTFALMLQLYIQICKIVMRHAHQIALQHHFLAT
+SHYVTTRKGISTLAIILGTFAACWMPFTLYSLIADYTYPSIYTYATLLPATYNSIINPVI
+YAFRNQEIQKALCLVCCGCVPPSLSQRARSPSDV
+>tr|A0A140T862|A0A140T862_BOVIN Eukaryotic translation initiation factor 2 subunit 2 OS=Bos taurus OX=9913 GN=EIF2S2 PE=4 SV=2
+MSGDEMIFDPTMSKKKKKKKKPFMLDEEGDAQTEETQPSETKEVEPEPTEDKDVEADEED
+SRKKDASDDLDDLNFFNQKKKKKKSKKIFDIDEAEEGIKDLKIESDVQEPAEPEEDLDIM
+LGNKKKKKKVVKFPDEDEVLEKDEALEDEDSKKDDGISFSNQTGPAWAGSERDYTYEELL
+NRVFNIMREKNPDMVAGEKRKFVMKPPQVVRVGTKKTSFVNFTDICKLLHRQPKHLLAFL
+LAELGTRIRTPGKEGGSSQGSQAVKRCPPHSLQPSCNQRYTNQPQPPPEAGPHQLFLAVR
+>tr|A0A3Q1MIF1|A0A3Q1MIF1_BOVIN Heterogeneous nuclear ribonucleoprotein M OS=Bos taurus OX=9913 GN=HNRNPM PE=1 SV=1
+MAAGVEAAAEVAATEPKMEEESGAPGVPSGNGAPGPKGEGERPAQNEKRKEKNIKRGGNR
+FEPYANPTKRYRAFITNIPFDVKWQSLKDLVKEKVGEVTYVELLMDAEGKSRVSVVVEFK
+MEESMKKAAEVLNKHSLSGRPLKVKEDPDGEHARRAMQKAGRLGSTVFVANLDYKVGWKK
+LKEVFSMAGVVVRADILEDKDGKSRGIGTVTFEQSIEAVQAISMFNGQLLFDRPMHVKMD
+ERALPKGDFFPPERPQQLPHGLGGIGMGLGPGGQPIDANHLNKGIGMGNLGPAGMGMEGI
+GFGINKMGGMEGPFGGGMENMGRFGSGMNMGRINEMERGRGGGFERDFARKQMGMSRSFG
+EPLGRGMEILSNALKRGEIIAKQGGGGGGGSVPGIERMGPGIDRIGGAGMERMGAGLGHG
+MDRVGSEIERMGLVMDRMGSVERMGSGIERMGPLGLDHMASSIERMGQTMERIGSGVERM
+GAGMGFGLERMAAPIDRVGQTIERMGSGVERMGPAIERMGLGMERMVPAGMGAGLERMGP
+VMDRMATGLERMGANNLERMGLERMGANSLERMGLERMGANSLERMGPAMGPALGAGIER
+MGLAMGGGGGASFDRAIEMERGNFGGSFAGSFGGAGGHAPGVARKACQIFVRNLPFDFTW
+KMLKDKFNECGHVLYADIKMENGKSKGCGVVKFESPEVAERACRMMNGMKLSGREIDVRI
+DRNA
+>tr|E1B704|E1B704_BOVIN TEF, PAR bZIP transcription factor OS=Bos taurus OX=9913 GN=TEF PE=4 SV=3
+MDGEGGGPLRACAVAACVGSCGGSHGSVPSRGAGRRAGEARRGEARASRARGTMSDAGGG
+KKPPVEPQAGPGPGPGRAAGERGLPGSFPLVLKKLMENPPRETRLDKEKGKEKLEEDEAA
+AASTMAVSASLMPPIWDKTIPYDGESFHLEYMDLDEFLLENGIPASPTHLVQNLLLPVAE
+LEGKESASSSTASPPSSTAVFQPSETVSSTESSLEKERETPSPIDPNCVEVDVNFNPDPA
+DLVLSSVPGGELFNPRKHKFAEEDLKPQPMIKKAKKVFVPDEQKDEKYWTRRKKNNVAAK
+RSRDARRLKENQITIRAAFLEKENTALRTEVAELRKEVGKCKTIVSKYETKYGPL
+>tr|A0A3Q1MKY0|A0A3Q1MKY0_BOVIN BRD4_CDT domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MKPVDVGRPVIRPPEQNAPPPGAPDKDKQKQEPKTPVAPKKDLKIKNMGSWASLVQKHPT
+TPSSTAKSSSDSFEQFRRAAREKEEREKALKAQAEHAEKEKERLRQERMRSREDEDALEQ
+ARRAHEEARRRQEQQQQQRQEQQQQQQQAAAVAAAAAPQARSSQPQSMLDQQRELARKQE
+QERRRREAMAATIDMNFQSDLLSIFEENLF
+>tr|A0A3Q1LQ82|A0A3Q1LQ82_BOVIN ATP-dependent RNA helicase DDX25 OS=Bos taurus OX=9913 GN=DDX25 PE=4 SV=1
+MASLLWGGDAGAAESERLNGHFSNLIHPQNHLLGIKSATIPNIDGSVNRIEEDDEDDVVD
+LAANSLLNKLIRQSLVESSHRVEVLQKDPSSPLYSVKTFEELRLKEELLKGIYAMGFNRP
+SKIQEMALPMMLAHPPQNLIAQSQSGTGKTAAFVLAMLSRVNALKLFPQCLCLAPTYELA
+LQTGRVVERMGKFCVDVQVMYAIRGNRIPRGTDVTKQIVIGTPGTVLDWCFKRKLIDLTK
+IRVFVLDEADVMIDTQGFADQSIRIQRALPSECQMLLFSATFEDSVWQFAERIIPDPNVI
+KLRKEELTLNNIRQYYVLCGNRKDKYQALCNIYGGITIGQAIIFCQTRRNAKWLTVEMMQ
+DGHQVSLLSGELTVDQRASIIQRFRDGKEKVLITTNVCARGIDVKQVTIVVNFDLPVNQA
+EEPDYETYLHRIGRTGRFGKKGLAFNMIEVDKLPLLMKIQDHFSEWHPSQSALWTCPR
+>tr|F1MTH8|F1MTH8_BOVIN Family with sequence similarity 129 member C OS=Bos taurus OX=9913 GN=NIBAN3 PE=4 SV=3
+MGGRPSSPLDKQQQQYLKGQVDTLLRNFLPCYRGQLAASVLRQISRELGPQEPARCQLLH
+SKKLPRVREHRGPLTQLWGHPPQWQPSFCVLHGDGRLEWFSHREEYENGDRPLGSTTLTG
+YTVLTSQREYLCLLDALCPDSSRDHTQEEPDPLLEMPVSFPLFLQHPFRQHLCFSAATGE
+AQRAWRLALQGGIRLRGTVLQRSQAPAARAFLDAIRLYRQQHGQFGNDDVTLGSDAEVLT
+SVLIQELLPALRAQTLPGLRGAGRARAWAWTKLLDAVHAAVLAGATAGLRAFQPEKEELL
+AALEKTIRPDVDQMLLLRARVASRLKAEVQGPLESCLRGKVDAQLPRITQTLLSTVEAEL
+AAVRTLLTQGMDRLFRLLRGSSSSTQLRKEVYSFGEMPWDPELMQICYREAKRSRGQLGQ
+LAALFGFFGIQSLVFGAQDLAQQLMADAVTTFLQLADQCLTTTLDCDQATQQLEKVRGRV
+LKKFQSDSSSARRTFIHSWLLCIFLPFVLSQLEPSCKAELLKFEGDVLAVGSPALTIEGI
+YEDVVRGFLLQRINRELKKALGARNISCILDDCSEEPWDQAEAGEALRGSWPMPVNCWGS
+GRRVFRGL
+>tr|A0A3Q1LTX9|A0A3Q1LTX9_BOVIN Nebulin OS=Bos taurus OX=9913 GN=NEB PE=4 SV=1
+MPNFQTRTRFYETTTTRTSDYEQSETSRPALAQPVPEKPVERKRVIRKKVDPSKFMTPYI
+AHSQKMQNLFSTNKYKENYEKAKGKPYAITTDTPELRRIKKVQDQLSEVKYRVDGDVAKT
+ICHVDEKAKDIEHAKKVSQQVSKVLYKQNWEDTKDKYLLPPDAPELVQAIKNTAMFSKKL
+YTEDWEADKTMFYPYNDSPELRRVAQAQKALSDIAYKKGLAEQQTQFTSLPDPPDIEFAK
+KVTNQVSKQKYKEDYENKVKGKWSETPCFEIATARMNSNNISTKKYQEDFEHMKDQIYFM
+QTETPEYKVNKQAGVAASKVWGHFLIDLDIVSVWGNFLQLLLLYIMGGKKLYKENYEKTK
+AKSINYCETPKFKLDTVLHNFSSDTKYKDSYLKDILGHYVGSYEDPYHTHCMRKNYKAEY
+EEDRGKGFFPQTITQEYEAIKKLDQCKDHTYKVHPDKTKFTQVTDSPVLMQAQVNSKQLS
+DLNYKAKHENEKFKCHIPPDTPAFIQHKLNAYNLSDNIYKHDWEKTKAKKFDIKVDAIPL
+LAAKANTKIASDVMYKKDYEKSKGKMIGALSINDDPKMLHSLKTAKNQSDHEYRKDYEKS
+KTIYTAPLDMLPLTHAKKSQAIASDVDYKHLLHNYSYPPDSVNVDLAKKAYALQSDVEYK
+ADYNSWMKGCGWMPFGSLEMEKAKRASDILNEKKYRQHPDTLKFTSIEDAPIIVQSKINQ
+AQRSDVAYKAKGEEVIHKYSLPADLPQFIQAKVNAYNISENLYKADLKDLSKKGYDLRID
+AIPIKAAKAARQAASDVQYKKDYEKAKGKMVGFQSLQDDPKLVHYMNVAKIQSDREYKKA
+YEKTKTRHNTPHDMVNIVAAKKAQDVASNVNYKHSLHHYTYLPDAMDLELSKNMMHIQSD
+NVYKEDYNNWMKGIGWIPIGSLEVEKVKKAGDALNEKKYRQHPDTLKFTSIVDSPVMVQA
+KQNTQQVSDILYKAKGEDVKHKYTMSPDLPQFLQAKCNAYNLSDVSMDSANQILPLQYKY
+KEAYEKAKGKQVGFRSLQDDPKLVHYMNVAKLQSDREYKKNYENTKTSYHTPGDMVSITA
+AKMAQDVATNVNYKQPIHHYTYLPDALSLEHIRNVNQIQSDNVYKDEYNHFFKGMGWIPI
+GSLEVEKVKKAGDALNEKKYRQHPDTIKFTSVPDSMGMVLAQHNTKQLSDLNYKVEGEKV
+KHKYTMDPDVPQFIQAKVNAYNMSDSHYKADWKKTLAKGYDLRPDAIPIVAAKSSRNIAS
+DFKYKEAYEKTKGKQIGFRSLQDDPKLVHFMNVAKMQSDREYKKGYEASKTKYHTPLDML
+SVTAAKKSQEVATNTNYKQPFHHYTLLPDALNVEHSRNAMQIQSDNLYKSDFTNWMKGIG
+WLPLESLEVEKAKKAGEILSEKKYRQHPEKLKFTYAMDTMEQALNKSNKLIMDKTTIHVM
+PDTPDILLSRVNQITMSDKLYKAGWEEEKKKGYDLRPDAISIKAARASRDIASDYKYKQA
+YEQAKGKQIGFRSLEDDPKLVHFMQVAKMQSDREYKKAYEKSKTSFQTPVDMLSVVAAKK
+SQEVATNANYRNVIHTYNMLPDAMSLELAKNMMQIQSDNQYKADYADFMKGIGWLPLGSL
+EAEKNKKAMEIISEKKYRQHPDTLKYSTLMDSMNMVLAKNNAKIMNEHLYKQAWEADKTK
+VHIMPDIPQIILAKANAINISDKLYKLSLEEAKKKGYDLRTDAIPIKAAKASRDIASDYK
+YKHSYEKERGKMVGFRSLEDDPKLVHSMQVAKMQSDREYKKNYEKTKTSYHTPADMLSVT
+AAKDAQANITNTNYKHLIHKYILLPDAMNIQLSKNMNRIQSDNEYKQDYNEWYKGLGWSP
+AGSLEVEKAKKATEYASDQKYRQHPSNFQFTKLNDSMDMVLAKQNAHTMNKYLYTVDWNK
+DKTKIHVMPDTPDILQAKQNQTMYSQKLYKLGWEEALKKGYDLPVDAISVQAAKASRDIV
+SDFKYKQGYRKQIGHHIGFRSLQDDPKLVLSMNVAKMQSEREYKKDFEKWKTKFSSPVDM
+LGVVLAKKCQALVSDVDYKNYLHGWTCLPDQNDVIHAKKAYDLQSENLYKSDLEWLKGIG
+WSPLGSLEAEKNKRASEIISEKKYRQPPDRNKFTSIPDAMDIVLAKTNAKNRSDILYREA
+WDKDKTQIHIMPDTPDIILAKANLINTSDKFYRMGYEELRKKGYDLPVDAIPIKAAKASR
+EIASEYKYKEGFRMQLGHHIGARNIKDDPKMMWSMHVAKIQSDREYKKDFEKWKTKFSSP
+VDMLGVVLAKKCQTLVSDIDYKNYLHQWTCLPDQSDVIHARRAYDLQSDNLYKSDLQWLR
+GIGWLPSGSLEDEKNKRASQILSDHVYRQHPDQFKFSSLMDSIPMVLAKNNAITMNHRLY
+TEAWDKDKTTVHIMPDTPEVLLAKQNQINYSEKLYKLGLDEAKRKGYDMRIDAIPIRAAK
+ASRDIASEFKYKEGYRRQLGHHIGARAIHDDPKMMWSMHVAKIQSDREYKKDFEKWKTKF
+SSPVDMLGVVLAKKCQTLVSDIDYKNYLHQWTCLPDQSDVIHARQAYDLQSDNVYKSDLQ
+WLRGIGWVPIGSLDVEKSKRASEILSDKLYRQPPDKFKFTSVTDSLEQVLAKNNAINMNK
+RLYTEAWDKDKTQVHIMPDTPEITLARTNKVNYSENLYKLAHEEAKKKGYDLRSDAIPIV
+AAKASRDIISDYKYKDGYRKQLGHHIGARDIKDDPKMMWSMHVAKIQSDREYKKDFEKWK
+TKFSSPVDMLGVVLAKKCQTLVSDIDYKNYLHQWTCLPDQSDVIHARQAYDLQSDNVYKS
+DLQWLRGIGWVPIGSVDVVKCKRAAEILSDNLYRQPPDTLKFTSVPDSLEQVLAKNNAIN
+MNKRLYTEAWDKDKTQIHIMPDTPEIMLARQNKLNYSETLYKLANEEAKKKGYDLRSDAI
+PIVAAKASRDIISDYKYKDGYRKQLGHHIGARDIKDDPKMMWSMHVAKIQSDREYKKDFE
+KWKTKFSSPVDMLGVVLAKKCQTLVSDIDYKNYLHQWTCLPDQSDVIHARRAYDLQSDNI
+YKSDLQWLRGIGWVPIGSVDVVKCKRAAEILSDNLYRQRPDTLKFTSVPDSLEQVLAKNN
+AINMNKRLYTEAWDKDKTQIHMMPDTPEITLARQNKINYSENLYRQAMEEAKKEGYDLRS
+DAIPIVAAKASREIASDYKYKEAYRKQLGHHIGARAIHDDPKMMWSVHVAKMQSDREYKK
+DFEKYKTRFSSPVDMLGIVLAKKCQTLVSDVDYKHPLHEWTCLPDQNDIIHARKAYDLQS
+DNLYKSDLEWLKGIGWVPIGSVEVLKAKRAGEILSDNIYRQRPDTLKFTSVTDSPEQVLA
+KNNAINMNKRLYTEAWDNDKKTIHVMPDTPEIMLAKLNRINYSDKLYKLALEESRKEGYD
+LRLDAIPIQAAKASREIASDYKYKEGYRKQLGHHIGARNIKDDPKMMWSIHAGKLQSDLE
+YKKDFEKWKTKFSSPVDMMGLVQAKKCQILVSDIDYKNLLHEWTCLPDQNDIIQARKAYD
+LQSDAIYKADLEWLRGIGWVPIDSVGVEHAKRAGEILSERKYRQPAVQLKFTSITDTPEI
+VLAKNNALNHLYTEAWDADKTSIHVMPDTPEILLAKSNSANISHKLYTKGWDESKMKDYD
+LRADAISIKSAKASRDIASDYKYKEAYEKHKGHHIGARSVEDDPRIMCAMNAGRIQSERE
+YKKEFQKWKTKFSSPVDMLGILLAKKCQTLVSDIDYRNYLHHWTCLPDQNDIIQARKAYD
+LQSDNVYKSDLEWLRGIGWMPEGSVEMNRVKNAQDLINERRYRTRPEALTFTSIVDTPEV
+VLAKANSLQMSEVRTILLSGSPLVGLSLRKMPPQQSKWIVSLQRPQVMGATLRISCEVQL
+LLYKYKEGYRKQLGHHVGFRTLQDDPKLVWSIHAAKIQSDREYKKDYEKSKGIHNTPLDM
+MSIVQAKKCQVLVSDIDYRNYLHQWMCLPDQNDVIQAKKAYELQSDAIYKADLEWLRGIG
+WMPEGSPEVLRVKNAQHIFRDSVYRTPVVKLKYTSIVDTPEVVLAKSNAENISIVSQLMI
+SDTPLMLQSKINAVQISNYLYKTAYEKQKGHYIGCRNAKEDPKLVWAANVLKMQNDRLYK
+KAYNDHKARITIPVDMVSINAAKEGQALASDVDYRQYLHQWSCFPDQNDVIQARKAYDLQ
+SDNVYKDDLNWLKGIGCYVWDTPQILHAKKSYDLQSQILYTAAGKENLKNYNLVTDTPLY
+VTALQSGINASEVKYKENYHQTKDKYTTVLETVDYDRIKNLKDLFSSNLYKEAWDKVKAT
+SYILPPNTVSLTHAKNQKYMASHIKYREEYEKFKALYTLPRSVEDDPNTARCLRVGKFNI
+DRLYRSVYEKNKMKIHIVPDMVEMVTAKDSQKKVSEIDYRLHLHEWICHPDLQVNSHVRK
+VTDQISDIVYKDDLTWLKGIGCYVWDTPEILHAKHAYDLRNDVSLPCFLVFGCFKYASFP
+VWSRGKGRGRQVQTLSHPTVSELILTLLLQFKYKEVYEHMKAYGYTLGPNDVPFVNVRRV
+NNITSERLYRQLYHKLKDKIHTTPDTPEIRQVKKTQEAVSELIYKSDFFKMQGHMISLPY
+TPQVLHCRYVGDITSDIKYKEDLQVLRGMGCFLYDTPDMVRSRHLRKLWVSAPHPARKMR
+DKYKVVLDTPEYRKVQELKTHLSEVSTSVTIRNKYKIQYEKMKDKYTPVPDTPILIRAKR
+AYWNASDLRYKETFQKTKGKYHTVKDALDIVYHRTVTDHISKIKYKENYMSQLGIWRSIP
+DRPEHFHHRAVTDAVSDVKYKQDLTWLKGIGCYAYDTPDFTLAEKNKTLYSKVCIYSGIM
+ATSMQCTPLPPESTRTEETASRISISKKYRADYEQRKDKYHLVVDEPRHLLAKIRKYKSS
+AKMLLKQGCNEILRPDMLTALYNTYMWSQIKYRKNYEETKDKFTSIVDTPEHLRTTKVNK
+QISDILYKLEYNKAKPRGYTTIHDTPMLLHVRKVKDEVSDLKYKEVYQRTKSNCTIEPDA
+VHIKAAKDAYKVNTNLDYKKKYEATKAYWKWTPDRPDFIQAAKSTLQQSDFEYKLDREYL
+KGCKLSVTDDKDMVLALKNSIIESDLKYKEKHVKERGSCHAVPDTPQILLAKTVSSLVSE
+NKYKSYVKKHLAQGSYTTLPETRDTIHVKEVTKNVSDTNYKKKFVKEKGKSNYSIMLEPP
+DVKHAMDVAKKQSNVAYKKDAKENLHYTTVADRPDIKKATQAAKQASEVEYRAKHRKEGS
+HGLSMLGRPDIEMAKKAAKLSSQVTHMGKGHGHGAASYDTPMMRQLKKTNVLISDVQYRE
+NFNKEKGKTPKYNPKDSQLYKVMKDANTLASEVKYKADLKKLHKPVTDMKESLIMNHVLN
+TSHLASSYQYKKNYEKSKGHYHTIPDNLEQLHLKEATELQSIVKYKEKYEKERGKPMLDF
+ETPTYITAKESQQMQSGKEYRKDYEESIKGRNLTGLEVTPALLHVKYATKIASEKEYRKD
+LEESIRGKGLSEMEDTPDMLRAKNATQILNEKEYKRDLELEVKGRGLNAMANETPDFLRA
+RNATDIASQIKYKQSAEMEKANFTSVVDTPEIIHAQQVKNLSSQKKYKEDAEKCMSYYET
+VLDTPEMQRVRENQKNFSLLQYQYDLKNSKGKITVVQDTPEILRVKENQKNFSSVLYKEN
+MGKGTPLPVTPEMERIKHNQENISSVLYSDSFRKQIQGKAAYVLDTPEMRRVRETQRHIS
+TVKYHEDFEKHKGCFTPVVTDPITERVKKNTQDFSDICYRGIQRKVVEMEQKRNDQDQET
+ITGLRVWRTNPGSVFDYDPAEDNIQSRSLHMINAQAQRRSREQSRSASGLSISGGEEKSE
+HSEAAHLSTYSDGGVFFSAASTGTKLPQQRSSSVATQQTTVSSIPSHPSTAGKIFRAMYD
+YMAADADEVSFKDGDAIVNVQAIDEGWMYGTVQRTGRTGMLPANYVEAI
+>tr|E1BE78|E1BE78_BOVIN Patatin like phospholipase domain containing 8 OS=Bos taurus OX=9913 GN=PNPLA8 PE=4 SV=3
+MSINLTIDLYYIYLLSNARSLCGKQRSKQLPFLCSPEHYWRISHISLQRGVHMSRIRCKW
+TKSEAHSCSKHCSPLSHHGLHLAVLRLSTSAPKGLTKVSPRMSRIKNTLNSVSKAVFGKQ
+NEMISRLAQLKPSSRILRKVTDSGWLKQKNVKQTIKFLKKYSDKSAEKSSIPAKESQVIE
+KDDIGKPSLFHYTSNITTKFGESFYFLSSHINSYFKREEKMSQQNEDQHFQEKSELELKK
+VEDEKPSSPDPGILSDKKLDSEASLYSEDKPGSPSGTPEVLPISTKQSIANFLSRPTEGV
+QALVGGYIGGLVPKLKYDSKSQLEDQEEATKAEQAVSKDKNAEEKRRLSLQREKIIARVS
+IDNRTRALVQALRRTTDPRLCINRVEELTFHLLEFPEGKGVAVKEKIIPYLLRLRQIKDE
+TLQAAVREILALIGYVDPVKGRGIRILSIDGGGTRGVVALQTLRKLVELTQKPVHQLFDY
+ICGVSTGAILAFMLGLFHMPLDECEELYRKLGSDVFSQNVIVGTVKMSWSHAFYDSQTWE
+NILKDRMGSSLMIETARNPKCPKVAAVSTIVNRGITPKAFVFRNYGHFPGINSHYLGGCQ
+YKMWQAIRASSAAPGYFAEYALGNDLHQDGGLLLNNPSALAMHECKCLWPDVPLECIVSL
+GTGRYESDVRNNVTYTSLKTKLSNVINSATDTEEVHVMLDGLLPPDTYFRFNPVMCENIP
+LDESRNEKLNQLQLEGLKYIERNEEKMKKLAKILSQEKTTLQKINDWIKLKTDMYEGLPF
+FSKL
+>tr|A0A3Q1N0H3|A0A3Q1N0H3_BOVIN MTSS1L, I-BAR domain containing OS=Bos taurus OX=9913 GN=MTSS2 PE=4 SV=1
+METAEKECGALGGLFQAIVNDMKSSYPIWEDFNSKATKLHSQLRTTVLAAVAFLDAFQKV
+ADMATNTRGATRDIGSALTRMCMRHRSIETKLRQFTNALLESLINPLQERIEDWKKSANQ
+LDKDHAKEYKRARHEIKKKSSDTLKLQKKARKELLGKGDLQPQLDSALQDVNDMYLLLEE
+TEKQAVRRALIEERGRFCTFITFLQPVVVITDLKGSDYSWSYQTPPSSPSSSSSRKSSMC
+SAPSSGSSAKGGGGPWPGGAQTCSPGPACRYRSLAQPAPAARLSSVSSHDSGFVSQEATY
+SKPPSPMPSDITSQKSSSSASSEASETCQSVSECSSPTSDWAKAGPHEQPAGTALQRRKD
+RVELLRDPELGPAGGGAPGPSGEEAPRPRMSPATIAAKHGEEVSPAASDLAMVLTRGLSL
+EHQKSSRDSLQYSSGYSTQTTTPSCSEDTIPSQGSDYDCYSVNGDADGEGPPEFDKSSTI
+PRNSNIAQNYRRLIQTKRPASTAGLPTASGAPPGVATIRRTPSTKPTVRRALSSAGPIPI
+RPPIVPVKTPTVPDSPGYVGPTRAGSEECVFYTDEAAAALAPDLAKASPKRLSLPNTAWG
+SPSPEAAGYAGLAAQDDEEQQQQLAANRHSLVEKLGELVAGAHALAEGQFPFPSALSAAP
+AEGTPAPPPAAPGEPPAEDMLVAIRRGVRLRRTVTNDRSAPRIL
+>tr|A0A3Q1LY10|A0A3Q1LY10_BOVIN CD244 molecule OS=Bos taurus OX=9913 GN=CD244 PE=4 SV=1
+MLGQVLALTLLLLIKGHQGQGSTDHVVGISGEPVWLRPRSLQTNTYSVKWKIQPYSNSSN
+CFTWSWSNYSGRIEVRRTLGQNPNCFNKSFNFTSKDFTLLIEAAQPQDSGLYILEVTSHA
+GNVWKHQFNVSIFDRVEKPHLVEKWKVLDGGICQVTLSCSVARGGDVSYAWYKGSNLIQI
+PGNITELVENIDVNASHVYTCNVSNPVSWANHSLQLTQGCQSDHQDFTFLIILVSIVILL
+VALFLSTLTYICVWRRKRKQSRTSPKVLTIYEDVNNLRTRSNQPLSSGHGEEEENKGAEK
+TKEAEGDLGAELYLLGKDAALEQKPLGEGNTIYSTIQAQASASTSQDNANTLYSLVQASW
+KTGSKKTKQSPSFDKTIYEEVGKRPSKAENPARLSRRELENFCIYS
+>tr|E1BP46|E1BP46_BOVIN Formin homology 2 domain containing 3 OS=Bos taurus OX=9913 GN=FHOD3 PE=4 SV=1
+MATLACRVQFLDDTDPFNSTNFPEPSRPPLFTFREDLALGTQLAGVHRLLRAPHKLDDCT
+LQLSHNGTYLDLEATLAEQRDELEGFQDDAGRGKKHSIILRTQLSVRVHACIEKLYNSTG
+RDLRRALFSLKQIFQDDKDLVHEFVVAEGLTCLIKVGAEADQNYQNYILRALGQIMLYVD
+GMNGVINHNETIQWLYTLIGSKFRLVVKTALKLLLVFVEYSESNAPLLIQAISAVDTKRG
+VTPWSNIMEILEEKDGVDTELLVYAMTLVNKTLSGLPDQDTFYDVVDCLEELGIAAVSQR
+HLTKKGADLDLVEQLNIYEVALRLEDGDETAEPPPSGCRDRRRASVCSGGTGSEPRGLDR
+RRSRRHSVQNIKSPLSPPSSPCSLSAPDFKPSQVRDLREKYSSFGNNSHHSSRPSPGSSV
+PTTPTSSVSPPQEARPERSSVSGLLTSSFRQHQESLAAERERRRQEREERLQRIEREERN
+KFSREYLDKREEQRQAREERYKYLEQLAAEAHEKELRSRSASRGRADLSLDLTSPAAPAS
+PAPLGRSPSSLDSQEVPTVSSSSPGTPQHPQASAGEPGPEPEADVEAEVGQVADEAGQEL
+APASAGAESEVERALEPEHEERASLSEKERQNEAVNERDNCSASSVSSSSSTLEREDRED
+KLSRDKGTGLWSAGVQDAGVNEQCGDILTSKRFMLDMLYAHNRKPADDEEKGEGEAARTA
+QGAEAVASLASRISTLQASSLAQDESVKRVDVGCLDNRGSVKAFAEKFNSGDLGRGSVSP
+DAEPNDKVAEKTSAQPKTESDYIWDQLMANPRELRIQDMDFTDLGEEDDIDVLDVDLGHP
+EAPGPPPPPPPTFLGLPPPPPPPLLDSVPPPPVPGNLLAPPPVFNAPQGLGWPQIPRGQP
+AFTKKKKTIRLFWNEVRPFEWPCKNNGRCREFLWSKLEPIKVDTSKLEHLFESKSKELSV
+SKKTAADGKKQEIIVLDSKRSNAINIGLTVLPPPRTIKIAILNFDEYALNKEGIEKILTM
+IPTEEEKQKIQEAQLANPEVPLGSAEQFLLTLSSISELSARLHLWAFKMDYETTEKEVAE
+PLMDLKEGIDQLENNKTLGFILSTLLAIGNFLNGTNAKAFELSYLEKVPEVKDTVHKQSL
+LHHVCTMVVENFPDSSDLYSEIGAVTRSAKVDFDQLQDNLCQMERRCKASWDHLKAIAKH
+EMKPVLKQRMSEFLKDCAERIIILKIVHRRIINRFHSFLLFMGHPPYAIREVNINKFCRI
+ISEFALEYRTTRERVLQQKQKRANHRERNKTRGKMITDSGKFSGGSPAPLSQPQGLSYAE
+DAAEHENMKAVLKTSSPAVEDATPALGVRTRSRASRGSASSWTMGMDDSPNVTDDAADEI
+MDRIVKSATQVPSQRVVPRERKRSRANRKSLRRTLKSGLTPEEARALGLVGTSELQL
+>tr|A0A3Q1N7E3|A0A3Q1N7E3_BOVIN Transcription elongation factor SPT4 OS=Bos taurus OX=9913 GN=SUPT4H1 PE=4 SV=1
+DNPEGDSGLSGPPLLRQEDKKTPQLSSGTLQGTSGPGQTFRPAACSRAGANRAAPPLGPR
+PHARWLRTLAPAPAQVPLGRPRLLAGETISKAGDGAGPPRRKGKSEAEEVQVFWVIFTIY
+PQGEVIVAHSFVGLRCERMALETVPKDLRHLRACLLCSLVKTIDQFEYDGCDNCDAYLQM
+KGNREMVYDCTSSSFDGIIAMMSPEDSWVSKWQRVSNFKPGVYAVSVTGRLPQGIVRELK
+SRGVAYKSRDTAIKT
+>tr|A0A3Q1MKN1|A0A3Q1MKN1_BOVIN HSPB1-associated protein 1 OS=Bos taurus OX=9913 GN=HSPBAP1 PE=4 SV=1
+DARDMGSVPGSGRSLGGGNSKHVKPFTPEKAKEIIMCLQQPAVFYNMVFDWPAQHWTAKH
+LSEVLHGKQIRFRMGTKSTDTAPQFETACNYVEATLEEFLSWNSDQSSISGAFRDYDHSK
+FWAYADYKYFVSLFEDKTDIFQDVIWSDFGFPGRNGQESTLWIGSLGAHTPCHLDTYGCN
+LVFQVQGRKRWHLFPPEDTPFLYPTRIPYEESSVFSKINVVNPDLKRFPQFRKARRHMVT
+LSPGQVLFVPRHWWHYVESIDPVTVSINSWIELEEDHQTRVEEAITRMLVCALKTAENPH
+NTRAWLNPTELEETSHEINCHYLNGAISAFFNHYGTSEVVETQALRTNREYTIKEELNMH
+SHVGVEQAGGHNLSSETVKQEAASPFGLDLVPVTPSSEEPSSERGGIFENDGEDFVSKNG
+KSFGKRQRMMSESENAVVEQIASDRTVAVSQTFVSTDDLLDCLVNPQVTRIVAQLLIQGR
+ST
+>tr|G3MXW6|G3MXW6_BOVIN ITPR interacting domain containing 1 OS=Bos taurus OX=9913 GN=ITPRID1 PE=4 SV=2
+MEEKSQRGQEKSRRDILKSTKRAWAPLDEQLPPGSEEEGHSLTNPLLEDSKQEIIQQWLD
+SGFFVSVNENFQPVVDHIVPFPEKGMVQMTVKDYMRSLHQFSETPTLSRGTSFNSCHSAA
+SIPQSIPEWLEFWEKDPVEILLDLGFGADEPDICTRIPARFLGCGSAARGINIRVFLEAQ
+KQRMDTENPNLYSRFRQLEILDHVASTFSSLLNDVNILQNKAEEEDEGRGVQRTSVSEAK
+EHRRRIGELFRKGSKQTIRKDYNLGASESLKTTDKFSITSTDTREHGAQVSAVTKKYVQS
+HWSPSAEHWSPQACDSLTPHHPPQALLSKQGPQSSMLTKQAPPSCVAEGSVKDRTRKENS
+VQTNKFKSLSRLSGKAPDSFEMEEVQSFEEETSNPVDMTSETVGAMVNRANSCQSDSSGF
+LEEPPEPPPLQIHPLSSSPSPAENGYETPRAQSHSLESCQQESDESDSKSIVSTSFSSQD
+WSVLEEKASASMVEKESQSEGMGSTPELWTQDLALDKSIPGVELPRRGGQLQQHPPVPQI
+EYEATTGTVTFKYGSSMGVTMTHITDAKDGLLWPEQAGEVYVHNHPCESVRSPGTDPAPD
+HDDSEAPRGTEVSKLCPSINNALLMQNSILQHVPEPREATSNTRDLVQTSGMSILHLDKV
+AGDSPQGRAVCRALGQISPREESEMGDLPPDTNLHAASSMSVTSQLSSKLASPAQNAVVL
+GTDSKGVILECTVCDPITAAEPGLGSEARQFNDVSVQTDTWEAPPWHCCSAPGKKAPPLT
+KSVSLDTGFPKNYPGATCQAVPTHCCICCHHHRHCTAERQSPNSVSPTCWHCLCLHNHLE
+AQLMKTLKVLQDTTVRELYSCTVHEMETMKTVCQSFREHLEEIEQHLRGQQALLSRDMTE
+EEREEAEQLQTLRQALRQEVEELEFQLGDRAQQIREGMLLQLELLTGEPPENDTNLHQYN
+WTGEKSGRTPGAKIHSAMHPGAALPPGDGQQPPSSGTHLTAFTPPILGSSTGMSPPSWAE
+LDPGPPSNCPVGEEDTDVFL
+>tr|A0A3Q1M4Q9|A0A3Q1M4Q9_BOVIN [F-actin]-monooxygenase MICAL2 OS=Bos taurus OX=9913 GN=MICAL2 PE=4 SV=1
+MGENEDEKQTQAGQLFENFVQASTCKGTLQAFNILTRQLDLDPLDHRNFYSKLKSKVTTW
+KAKALWYKLDKRGSHKEYKRGRSCMNTKCLIIGGGPCGLRTAIELAYLGAKVVVVEKRDT
+FSRNNVLHLWPFTIHDLRGLGAKKFYGKFCAGSIDHISIRQLQLILFKVALILGVEIHVN
+VEFVKVLEPPEDQENQKIGWRAEFLPVDHSLSEFEFDVIIGADGRRNTLEGFRRKEFRGK
+LAIAITANFINRNSTAEAKVEEISGVAFIFNQKFFQDLKKETGIDLENIVYYKDCTHYFV
+MTAKRQSLLDKGVIINDYTDTETLLRAENVNQDNLLSYAREAADFATNYQLPSLDFAMNH
+YGQPDVAMFDFTSMYASENAALVRERQSHLLLVALVGDSLLEPFWPMGTGCARGFLAAFD
+TAWMVKSWDQGTSPLELLAERESLYRLLPQTTPENINKNFEQYTLDPATRYPNLNSNNIK
+PNQVKHLYITKELHQYPLERLGSVRRSVGLSRRESDVRPSKLLTWCQQQTEGYQHVNVTD
+LTTSWRSGLALCAIIHRFRPDLINFDSLNEDHAVENNQLAFDVAEREFGIAPVITGKEMA
+SAQEPDKLSMVMYLSKFYELFRGTPLRPVDSWRKNYEENADLGLAKSSIPHHYLNLTFPR
+KRTPRVDSRTEENDMNKRRRKGFNNLHEPSAFSSQSLGSNQEGIKEGGNQNKVKSMASQL
+LAKFEESSRNPSILRQLTVGKVSSGIGAAAEVLVNLYLNDHRPKTQATSPDLESVRKTFP
+LNVGGSDTCYFCKKRVYVMERLSAEGRFFHRECFRCSVCATTLHLATYAFDVDEGKFFCK
+PHFIHCKTNSQQRKRRAELKQQKEEEGMWKEQEAARRDPPSESSCAAAAIATPEGSPPDE
+PTSPKKPKSIPEPEPGDVAGGAASPLPSEWTAVRISPGEDVVGQDVLAVRVLVTSDDSSS
+DAELDRGDSEASSVEPFDERPQQPELQLPPLLKPFTRHSSLREALPRAVSPHDLGEPEAE
+PALQRANSFQCPTPSEYQSGRRFQSNFMPVNSKMTVSPPKQACPPPPSPSPPSSSSIGDL
+NALDSPSLPQVTVSNTASQISRGHCSPSTPIFLRRAKAHGPPKDLPLRLPRGQVLERTEY
+CLVRPGGNGLQSSRPPSPTEMASDGCQEAVAPPRDIRSIHRGPHPVEGKDRCLPDHPLSP
+WAGEEPGEGSTRPRRVEDGGLELAEEKSGLKKLVLTPEQKTWLLDWNDSNLENLYLETGA
+RLSQKSTRNGSGGHVLKPVHPLLLPLAEKETLPAQRQSQEKMGAPAERAPGERSMAPPKS
+PLRLIANAIRRSLEPLLPNSDSGRKAWAKPESKTLPTSPPHAYTCSFSFRKSSSSKDSDQ
+PSPKRDVASKASAFFSLGSPTARTAQPSAPSPPAPALCTHSLPSRSSKVFPALVPPPCGK
+MEDVPTLLEKVSLQETVPDASRVPKKRTSLFSSFRLKDKSFESSLQESGPRKDSQDLFSS
+PKGKVQPMGNAQPPEKQGQPISSTCLGQRVHPVSPEKDASPTHVPIRAQGTGTVSSTSSS
+TTSSADEEFHPQPSSRSKERKALRRRRKLEKATKQLVKQEELKRLHKAQAIQRQLEEVEE
+QQRAFEIQGVRLEKALRGEAADSGTQDEAQLLQEWLKLVLEKNKLMRYESELLIM
+>tr|E1BP88|E1BP88_BOVIN cAMP responsive element binding protein 3 like 4 OS=Bos taurus OX=9913 GN=CREB3L4 PE=4 SV=2
+MDLDNPRLRDIPKSTRCLVIYSRPRFGVTWQVKPNLCPHSRNFPSPHPLPYCKSSGSYPP
+SHAAEPNPCQTNKGPGCTGLFPPLSNSQFPPVTAEPASRRRTMDFKTPDLLDMCLEPPED
+VFSTGSFLELGLQGLPSEVPVTRLQEQRLQGWECSVGHGYGLQESEPEDFLKLFIDPNQV
+YCSEASPGSDSGISEDPGHPDSPPAPKPPSSPALYEVVYEAGTLERMQGEAGPAVGLISI
+QLDQWSTPFTVPDACVVSELPPDAHILPTAATVNSAPPAALLPCQTLFLTEEEKRLLGQE
+GVSLPSHLPLTKAEERVLKKVRRKIRNKQSAQDSRRRKKEYIDGLESRVAACAAQNQELQ
+RKVQELERHNISLVTQLRQLQMLIVQTSNKAAQTSTCVLILLFSLALIILPSFSPFQGLP
+EAGPEDYQPHGVISRNILTHRDMTENPENAVEESRLEGPPGAKGANSSTRTPLEKVGGMA
+GPSRHIRTVLHADEM
+>tr|A0A3Q1M9C3|A0A3Q1M9C3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=PRP-VII PE=3 SV=1
+QKPVSSWHWSCLLLLLVMSNLLLCQGNSCLCSRPAVIDIPLESLTVLLLNASRLSHNILK
+HSRTMFHEFDIKYAQGKLYYINAIKSCHTNSLQTPEEPEKVLETNIKDLSKWILMLLYSW
+NRPLYHLVTDLRSMKEVSDSIQSNARESVKNLLELQALIERQFCQIIFPVRRKMLKARIY
+WCGLPSLESSDEDVRHSEFYKLFKCLYRDSRKVDMYTTILGCRLSNSC
+>tr|F1MZA7|F1MZA7_BOVIN URB1 ribosome biogenesis 1 homolog (S. cerevisiae) OS=Bos taurus OX=9913 GN=URB1 PE=4 SV=3
+MGVPKRKVSGGQDGAAARASTAKRARTEELTGVRFKAQLRDPQGAGPALEAFVSAAKKLP
+REDVYDVVEGYIKISVECAEIFQLLSGEKRPESEMLLVFQVFEAILLRTASDLSHFHVVG
+TNIVKKLMNNHMKLICESLYASGYRMARACLNLMAAMVTQGPEAARDVCSHFDLNKKTLY
+TLVTKRDPKGVHDVRLAYIQFALSFLIAGDDSTITQVLELKEFIPCIFSSGIKEDRISTI
+SILLSTLKTKVVHNKNITKTQKVRFFTGQVLNHIASLYSWKGIVDVSLDSVETSAKDAGK
+TMVRELVHNFLMDLCCSLKHGINFYDASLGTLGRGGNLTLLHFLLGLKTAADDELVAELV
+VNILKVCPDLLNKYFKEVTFSFLPRLKSTWSNNIRLLNKIYAAQPDISRAFQTREFIPLP
+RLLAMVMVTTAPLVCNKTMFTQALNLDSVSVKHTALSLVAVILKRALKTIEHCLNKEAWQ
+ESGVYTAAMMEDFVRLFREALSKILPDLNAIIWVWQSLRKQDSEHDDEKGKKKASKTAAP
+CMAPESDDAETILLKAVLLQVICLYQKVVPHVVMQYNFDFSKLLKGVISEEGLREEVPPL
+LQHHILKVALELPASKFLWLKAQEGPDAEIIGGKRSVFYLLMKMFVTSGHSQLKSSTKLL
+IVKILRDTGVFEHTWPELELWLEHLDDMAEENKEAVIQFLERVLLTLVANPYSYTDKASD
+FVQEASALQAPGTRQDADDASIPISHIDDVLDMVDVLVEGSEGLDEEVGFVLNEDTILLT
+FPFSALVPAALEARNKLLLGTESEAGESVVAYLTGVLTDLLHTQRDPLALCLLLQSYDQL
+EPPSLPCRHRLSGFNRYYSLWIPEPALEALPLQTSGSPAAPGPAAPSFSSLLQTAYASQD
+QGQGPGGLLLLDDGVQAQLRAALLRLPMHQALRAAKHLLLYIRSAVENFAQLGRSAGPPL
+LQLLLDLLRRLVIHCLQLDAQNQQKCEAAQAESDLFVDMESVASLELASDKTLEEVLVAV
+LRHPTLEGWFLALEQQALPPHALSPVLVKLLAASLSSGILPLLVASAPALRSLGQLGLLA
+RYSKAITQSVLRELHSLRASSATSTPKTLLPPLEALRGLHLYMEDAPLREVTLALLSLPE
+ARLAAQRPTQHPRKERPLTALGRTLVQLLSGHAQEQPQSGEPLWASEYVRGLAALLPALA
+VAELDAVLLGALQRELALVPAVRLALLDDCLARRTPAALATAALLLQHSWSHRLRFERWC
+QQAGSGLCLREAPDDFLPIVHVYLQGRTQGCFTRPTEASSAVIPVLRKALWMPLQARLLS
+ADGPPESGLPQQILAQLVPFARAKDLRALMDRLPSLLQTPASHTSWVVADAVSAVLEGSA
+EELQAWKKTLLKACVQWLIASFSGGQQEDGTQDQEKQMLLRFNELLNSLNEVDPGDWQKF
+VKTGLKFRYQDRAFLEALRAATQLLYLPESPVCSQLTQLPVVHMMLTQHSLFLPTLLRTT
+EEEPADTPVREALVDLMAVVVRLCPSVCASSHFAVLLGAYGASLSILDQKILLLLRAYEQ
+NNHSLVSFRVLLWGPSAVEHQKTCRSLGKSLWQQPSVGDILRLLDRDRMMKTILHFPQNR
+RLLPPEDAQEPIFRDRSTVDLGDLYDPCFLLHLFSELTRPEFVVDCRKFVDSNALGLTVA
+ALSSYDPQMRAAAYAVLAAYYSHLEGARFREQPQVLYLLDVVRNGIRTKNMRLTFTLTLF
+IAKAALQILKPEEHMYMKINKFLLSHEYLNMSKVPGFYQFFYSSDFEQKTEREWVLGLLR
+QGMRDKHCFELCARRGVLHIVLSFFGSPLCDEAAQNWILEILQNAARNARSAYEMLRDYS
+LLTWVLNILESKFLETELLSNLISLLHTLWVTTLGNREVEAGAQPPCKPGSQEPPKLLAL
+HLVDEFLYILLVLTKHLRPTLASAQLTGFFGALDSVLRYRATVLQAFKDMNRLTMNATVL
+STRDVLVLLHKWSLIERDVRLQEDLRAATEKCQVRELLKMLKEKNKPSAPARAKGMRARK
+RRPGEAEEAADPELPASALEACSGLLRSILTHWAPEFPSADPEREDPGPVGAIASLVAGW
+VLRSAARSTLGRADAAGLLGWLKSHVLQQPAVVAELLRDGTVTSSLFRLYSRFCGAVEPA
+GSEDRLAGLFNAVLLRLVAARGTAGIPLQPVLETVHLSSLDAEEDADTRAAAAFLVSLYI
+KDIWLGAQRPDTLLSHVRMVLDTAEDLGGGNEEAIVRLCRDIAATVPDI
+>tr|A0A3Q1LZT9|A0A3Q1LZT9_BOVIN WW domain binding protein 2 OS=Bos taurus OX=9913 GN=WBP2 PE=4 SV=1
+MALNKNHSEGGGVIVNNTESILMSYDHVELTFSDMRNVPEAFKGTKKGTVYLTPYRVIFL
+SKARDAMQSFMMPFYLMKDCEIKQPVFGANYIKGTVKAEAGGGWEGSASYKLTFMSGGAI
+EFGQRMLQVASQASRGEAPSGAYGYSYMPSGAYVFPPPVANGMYPCPPGYPYPPPPPEFY
+PGPPMMDGAMGYVQPPPPPYPGPMEPPVSGPDVPSTSAAEAKAAEAAASAYYNPGNPHNV
+YMPTVSGAGTRWRGTRSPSCWCSEAGETSPCSDGPNVIFPFFLRTSLHHLPTTPPKIRRP
+SRPRHPILLPPLALSSPPLGAESGLWGAMGGPCPSSSSDIKQLSGTRLERRGSPLTRGGA
+SQFPTQARSPPASHVVPWWGGGRPPPPSPSCSRSFCPWRDSLATSSAAVQLSDFVAALPP
+ASQALPAPLPPAKPHSLSVCPRLCLAEEGLLPTDCPPAFHPQPATHLHTNLPVAASSWVF
+LSPPLSTFGVSHQPPGVGGLRAAMAGGRAVCCLTVFSGICFRSPLLSL
+>tr|F1N4A4|F1N4A4_BOVIN Potassium voltage-gated channel subfamily H member 7 OS=Bos taurus OX=9913 GN=KCNH7 PE=4 SV=2
+MMFIINFEYVRNEENAASPERVNPILPVKTVNRKLFGFKFPGLRVLTYRKQSLPQEDPDV
+VVIESSKHSDDSVAMKHLKSPTKESCSPSEADDTKALIQPSKCSPLVNISGPLDHSSPKR
+QWDRLYPDMLQSSSQLTHSRSKESICSIRRASSVHDIEGFSVHPKNIFRDRHASEDNGRN
+VKGPFNHIKSSLLGSTSDSNLNKYSTINKIPQLTLNFSDVKAEKKNASPPSSDKTIIAPK
+VKDRTHNVTEKVTQVLSLGADVLPEYKLQTPRINKFTILHYSPFKAVWDWLILLLVIYTA
+IFTPYSAAFLLNDREEQKRRECGYSCSPLNVVDLIVDIMFIIDILINFRTTYVNQNEEVV
+SDPAKIAIHYFKGWFLIDMVAAIPFDLLIFGSGSDETTTLIGLLKTARLLRLVRVARKLD
+RYSEYGAAVLMLLMCIFALIAHWLACIWYAIGNVERPYLTDKIGWLDSLGQQIGKRYNDS
+DSSSGPSIKDKYVTALYFTFSSLTSVGFGNVSPNTNSEKIFSICVMLIGSLMYASIFGNV
+SAIIQRLYSGTARYHMQMLRVKEFIRFHQIPNPLRQRLEEYFQHAWTYTNGIDMNMVLKG
+FPECLQADICLHLNQTLLQNCKAFRGASKGCLRALAMKFKTTHAPPGDTLVHCGDVLTAL
+YFLSRGSIEILKDDIVVAILGKNDIFGEMVHLYAKPGKSNADVRALTYCDLHKIQREDLL
+EVLDMYPEFSDHFLTNLELTFNLRHESAKADLLLRSQSVNDSEADHCKLRRRLSFDSEED
+KDFGKGSTTNDPEDSVDTIRHYQSSKKHFEEKKSRSSSFISSIDDEQKPLFSGLADSPPG
+IGKATGLDLEETVPTSGRIHIDKRSHSCKDITDTRSWERENAGTQADESSPSALQRAAWG
+VSETESDLTYGEVEQRLDLLQEQLNRLESQMTADIQTILQLLQKQTTVVPPAYSMVTAGG
+EYQRPTIRLMRPRHPVASIKTDRTFSPSSQCPEFLDLEKSKLKSKESLSSGVHLNTASED
+NLTSLLKQDSDLSLELHPPRQRKTCLHPIRHPSLTDSSLSTVGVLGLHRHVSDPGLPGK
+>tr|A0A3Q1M597|A0A3Q1M597_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MEADGFRVPTREGRALVRAAEGLSPGSWHVCICLVSQTDLLCGVEGGVSKTGRNGTENSK
+LELSDFLKEMTCLLSDLTLENINDYVFLEGDVSNCYCYFFNFLIGV
+>tr|A0A3Q1MMU8|A0A3Q1MMU8_BOVIN Active breakpoint cluster region-related protein OS=Bos taurus OX=9913 GN=ABR PE=4 SV=1
+MWDPQDFERHWRAEFPGEEVPVMQLDSVLDMERELERSKLKLKWLQQVLAEEKFKAVYLQ
+AALEKRDLGCGRPGGGLGDCGGASAEEPAPARPEREPPPRPQREEKGAAGGGDGDPRGPS
+LPDPAVAAKTESPPYACLDLPTWPGAAGAGGAVRSLTAAIHQQLLQPRLLFRPREDCAPP
+GHHGTAPGARREERSAGLRAGRGSEEGEADAASGADDGGDSSDHDFEMVDLNEKFALSQL
+LVAPCRPGTCDEAEKPARAYGSHRWMHLILGGRRQVRGSRDLEQLEAEAKDGRGSPPAAE
+EPPRRRAREHLPQWRRKRFLGLPERDSPSHSSPERGSDCSRNSSDHEDGSFADFSYGADD
+YDAEGNEEQKGPPEGSETMPYIDESPTMSPQLSARSQGGGDSISPTPPEGLAPGVEAGKG
+LEMRKLVLSGFLASEEIYINQLEALLLPMKPLKATATTSQPVLTIQQIETIFYKIQDIYE
+IHKEFYDNLCPKVQQWDSQVTMGHLFQKLASQLGVYKAFVDNYKVALETAEKCSQSNNQF
+QKISEELKVKGPKDSRDSHTSVTMEALLYKPIDRVTRSTLVLHDLLKHTPVDHPDYPLLQ
+DALRISQNFLSSINEDIDPRRTAVTTPKGETRQLVKDGFLVEVSEGSRKLRHVFLFTDVL
+LCAKLKKTSAGKHQQYDCKWYIPLADLVFPSPEESEASPQVHPFPDHELEDMKMKISALK
+SEIQKEKANKGQSRAIERLKKKMFENEFLLLLNSPTIPFRIHNRNGKSYLFLLSSDYERS
+EWREAIQKLQKKDLQAFVLSSVELQVLTGSCFKLRTVHNIPVTSNKDDDESPGLYGFLHV
+IVHSAKGFKQSANLYCTLEVDSFGYFVSKAKTRVFRDTTEPKWDEEFEIELEGSQSLRIL
+CYEKCYDKTKVNKDNNEIVDKIMGKGQIQLDPQTVETKNWHTDVIEMNGIKVEFSMKFTS
+RDMSLKRTPSKKQSGVFGVKISVVTKRERSKVPYIVRQCVEEVEKRGIEEVGIYRISGVA
+TDIQALKAVFDANNKDILLMLSDMDINAIAGTLKLYFRELPEPLLTDRLYPAFMEGIALS
+DPAAKENCMMHLLRSLPDPNLITFLFLLEHLKRVAEKEPVNKMSLHNLATVFGPTLLRPS
+EVESKAHLTSAADIWSHDVMAQVQVLLYYLQHPPISFAELKRNTLYFSTDV
+>tr|F1MID4|F1MID4_BOVIN KIAA0753 OS=Bos taurus OX=9913 GN=KIAA0753 PE=4 SV=3
+MGPGRPASTCVHLASGVQLDGRSDPRKLHTQNQLQFNRNVPTHSSNLATQYSCPHGIKIE
+KLKHSYNESYHCRDLDFRDGPGLGSSVSFSVVSEERLSYAVHLAKRDVKRRQLEEHRKEH
+HLRSPPHVFRNCGHSQHKTSDLGVEREESRRREACHGSHQPSKVEISSSGAKVYLYTSHP
+GQSGLPVPNSPPTHDPGLQPHSRIDDHKSLCEHKCLLEVQRLQKELSSCIRKIEEVAKRD
+RVEEALDPDEERRIRVRRQEQAVRSARTLYVLQQQVKEIQEELDKLSPHKIKHTKKSWAV
+SRLAAAHRGAIRALQTFVTQFTAGGEYPVPTWCKELGSLIRQLSLCSAKLEAESSVPDVV
+IDILQQIEALESLLEKKLSPKKAKKCFGEIRSRFPIGSQRALERWRNTSPKSERKPFVAK
+EIFPQETRQPSVAKKLLADKYQPDTELPVTQRLENELDGLEADILQENAPSILDQNAGFK
+EEALALAKIKAGRKKLVTENVPPSRKKDTLAPARPQQGLHKAEKSRLIPSYSKSRLQRTT
+VSSRLKMNQQPVKDSRAPWIPPNPTSPPASPKCAAWLKAKSSPKDTTKEQSLQQEDTQED
+SRLRGAVEHEAVRLAWLDAETSKRLKELEELKAEQMDKMQKQSVSTTQLADKVEKAVLER
+LKPLLAKAQRVNSSLEVDTHLKDQPSEIATTAQPAEEATAVDGESSNIHQLDGFLENTTH
+EHWPMTHSKIWESDALATLGDGKDSPYLETMMLRMEEMEKYQETVRQRYNKIVYTDPHFW
+MQEEKNDHKFPAVSERPLSPHPIRITKTAARKDPDVNIVLEGPCNGASLDESVVTEERSE
+KREAPLPSLLEDAQQTEGRAALFVPLAMRRSIGDYCRRFEHYLRVIAHEAVGSFNPWLIA
+ESFSEELVDEALGAVAAELQDMCEDYAEAVFTSEFLEPAT
+>tr|F1MXU3|F1MXU3_BOVIN ZFP62 zinc finger protein OS=Bos taurus OX=9913 GN=ZFP62 PE=4 SV=3
+MQESKVGETCDWDSKVENQMDKPEGKRMKEDKSGFREKIGKARNTANIKTEQEDEASEKS
+LHLSSKYVTHQTVPIEQKNSEQVKCVESINGNSHPSLQQKASAVKKSHKCDDCGKSFKYN
+SRLIQHKIMHTGEKRYECDDCGGTFRSSSSLRVHKRIHTGEKPYKCEECGKAYMSYSSLI
+NHKSTHSGEKNCKCDECGKSFNYSSVLDQHKRIHTGEKPYECGECGKAFRNSSGLRVHKR
+IHTGEKPYECDICGKTFSNSSGLRVHKRIHTGEKPYECDECGKAFITCRTLLNHKSIHFG
+DKPYKCDECEKSFNYSSLLIQHKVIHTGEKPYECDECGKAFRNSSGLIVHKRIHTGEKPY
+KCDVCGKAFSYSSGLAVHKSIHPGKKAHECKECGKSFSYNSLLLQHRTIHTGERPYVCDV
+CGKTFRNNSGLKVHRRLHTGEKPYKCDVCGKAYISRSSLKNHKGIHLGEKPYKCSYCEKS
+FNYSSALEQHKRIHTREKPFGCDECGKAFRNNSGLKVHKRIHTGERPYKCEECGKAYISL
+SSLINHKSVHPGEKPYKCDECEKAFITYRTLINHKKIHLGEKPYKCDVCEKSFNYTSLLS
+QHRRVHTREKPYECDRCEKVFRNNSSLKVHKRIHTGEKPYKCDVCGKAYISHSSLINHKS
+THPGKTPYTCDECGKAFFSNRTLISHKRVHLGEKPFKCVECGKSFSYSSLLSQHKRIHTG
+EKPYVCDGCGKAFRNSSGLTVHKRIHTGEKPYGCDECGKAYISHSSLINHKSVHSGQQPY
+NCECGKSFNYRSVLDQHKRIHTGKKLYQCNECGKAFNIRSNLTKHKRIHTGGEYLSVTNM
+GSHSGTSQKRTHEGGNALDGTRMSMSL
+>tr|A0A3Q1M3M7|A0A3Q1M3M7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+REWPHSPLHGRRPQLAGTCLALHILYFRGRRRRCVEAPALKSAARRAGAEEEARGSGPAG
+RPEARGRRRAEPQPAAAAAAAGPAPPPPTAGLLGAAAGAGGA
+>tr|G3X7M6|G3X7M6_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC507882 PE=3 SV=1
+MLPVQENMEILGNSTSKFPTFLLTGIPGLEFAHAWISIPFCCLYATALSGNSMILFVIIT
+QQSLHKPMYYFLSMLSAADLGLTVSTMSTTLGILWFDANEISLDMCIIQMFFLHGFTCTE
+SGVLVAMAFDRCVAICDPLRYTSILTNYRIIQMGLLMVIRTVVLTVLLLLLLKPLNFCGG
+NVLSHSYCYHPDVIKLACSDTQANSICGLIDLILTTGVDTPCIVLSYILIIHSVLSISSP
+QEQHIVFSTCVSHLGAVSIFYIPMISLSLVHRYGRSAPKVVHSMMANMYLLFPPVLNPII
+YSVKTKQIRKAILSLLLMK
+>tr|F1MSE9|F1MSE9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=GTF2A1L PE=4 SV=1
+MDCVNWVAKLYRSVIEDVIEGVRDLFAEEGVEEQVLKDLKQLWETKVLQSKATEDFFRNS
+VHSPLFTLQLPHSLHQTLQSSAASFVIPAGRTRPSFTAAELGTSNSSASFTFPGYPIHVP
+VGVTQQTASAHLYKVSVPFMVTQTSERASILQHPVQQVFQLLGQPSIIQTSIPQLNPCFL
+QATTEKSLRMETVLQQPIVLPSETVDRKHLENTTSDILVPPGNEHKTISEALLNQLDSSS
+EMDLSIRVTDGDVNEIIQIGGTGETSSNDEIGNTRDVDENGLLGIIDAGDLKVTEEETGS
+VSNEDSSVNSSDNEDPEIDLLEEDPLNSGDDVSEQDVPDLFDMDNVIVCQYDKIHRSKDK
+WKFYLKDGVMCFGGRDYVFAKAIGDAEW
+>tr|A0A3Q1MJU2|A0A3Q1MJU2_BOVIN Trinucleotide repeat containing 6B OS=Bos taurus OX=9913 GN=TNRC6B PE=4 SV=1
+MREKEHEREEQLMEDKKRKKEDKKKKEATQKVTEQKTKVPEVTKPSLSQPAAASPIGSSP
+SPPVNGGNNAKRVAVPNGQPPSAARYMPREVPPRFRCQQDHKVLLKRGQPPPPSCMLLGG
+GAGPPPSTAPGANPNNAQVTGALLQSEGGTAPESTLGGAAASNYANSTWGPGASSNSGAS
+PNPIHIWDKVIVDGSDMEEWPCIASKDTESSSENTTDNNSASNPGSEKSTLPGSTTSNKG
+KGSQCQSAGSGNECSLGVWKSDPKARSVQSSNSTTESNSGLGNWRNVSGQERVGPGSGFS
+NFNPNSNPSAWPALVQEGNSRKGALETETSNSSAQVSTVGQASREQQSKMENAGVNFVVS
+GREQAQIHNTDGPKNGNTNSLNSSSPNPMENKGMPFGMGLGNASRSTDAPSQSTGDRKTG
+SVGSWGAARGPSGTDTASGQSNSGNNGNNGKEREDSWKGAPVQKSTGSKSDSWDNNNRST
+GGSWNFGPQDSNDNKWGEGNKMTSGVSQGEWKQPTGSDEFKIGEWSGPNQPNSSTGAWDN
+QKGHPLPENQGNAQAPCWGRSSSSTGSEVGGQSTGSNHKAGSSDSHNSGRRSYRPTHPDC
+QAVLQTLLSRTDLDPRVLSNTGWGQTQIKQDTVWDVEEVPRPEGKSDKGTEGWESAATQT
+KSSGGWGDAPSQSNQMKSGWGELSASTEWKDPKNTGGWNDYKNNNSSNWGGGRPDEKTSS
+SWNENSSKDQGWGGGRQPNQGWTSGKNGWGEEVDQAKTSNWENAASKPVSGWGEGGQSEI
+GTWGSGGNASLASKGGWEDCKRSPAWTETGRQPSSWNKQHQQQPPPQQLPPPQPEASGSW
+GGPAPPPPGNGRPSNSNWSSGPQPVTPKDEEPSGWEEPSPQSISRKMDIDDGTSAWGDPN
+SYNYKNVNLWDKNSQGGPAPREANLPTPMTGKSASVWSKSTPSAPDNGTSAWGEPNESSP
+GWGEMDDTGASTTGWGTAPSNAPNAMKPNSKSMQDGWGENDGPVAGTRHPSWEEEDDGGV
+WNTAGSQGSASSHNSASWGQGGKKQMKCSLKGGNSDSWMNPLAKQFSNMGLLSQTEDNPS
+SKMDLSVGSLPDKKFDVDKRAMNLGDFNDIMRKDRSGFRPPNSKDMGTTDSGPYFEKGGN
+HGLFGNSTAQSRGVHTPVQPLNSSPNLRAQVPPQFISPQVSASMLKQFPNSGLNPGLFNV
+GPQLSPQQIAMLSQLPQIPQFQLACQLLLQQQQQQQLLQNQRKLSQAVRQQQEQQLARMV
+SALQQQQQQQQQQQQRQPSMKHSPSHPVGPKPHLDNMVPNALNVGLPDLQTKGPIPGYGS
+GFSSGGMDYGMVGGKEAGTESRFKQWTSMMEVPSVATQEANMHKNGAIVAPGKTRGGSPY
+NQFDIIPGDTLGGHTGPAGDSWLPAKSPPTNKIGSKSSNASWPPEFQPGVPWKGIQNIDP
+ESDPYVTPGSVLGGTATSPIVDTDHQLLRDNTTGSNSSLNTSLPSPGAWPYSASDNSFTN
+VHSTSAKFPDYKSTWSPDPIGHNPTHLSNKMWKNHISSRNTTALPRPPPGLTNPKPSSPW
+SSTAPRSVRGWGTQDSRLASASTWSDGGSVRPSYWLVLHNLTPQIDGSTLRTICMQHGPL
+LTFHLNLTQGTALIRYSTKQEAAKAQTALHMCVLGNTTILAEFATDDEVSRFLAQAQPPT
+PAATPSAPAAGWQSLETGQTQSEPVGPALNLFGGSTGLGQWSSSAGGSSGADLAGASLWG
+PPNYSSSLWGVPTVEDPHRMGSPAPLLPGDLLGGGSDSI
+>tr|E1BBW9|E1BBW9_BOVIN Alcohol dehydrogenase 7 (class IV), mu or sigma polypeptide OS=Bos taurus OX=9913 GN=ADH7 PE=3 SV=3
+IKCLLSKHTLGHEGEQRVRISENVIKCKVALLWEQKKLFSTEIQVVPSKAKEVCIKMLAM
+GISIVSKFSVIVGHEATGVVDSIGEGVTTVKPDDKVIPHFLPQCRECNACRNPDGNPCIR
+TDITGHGVLADGSTRFTCKGKPVYHFLSTSTFIEYTAVDETSIAKSDDAAPPEKVCLTGC
+GFSTGYRATIKIGKVTPSSMCVVFGLGGVGLSVIMGCNSAGASRIVGADLNKDKFEKAMA
+VGATECISPKDFTKPISEVLSEMTGDTTGCSFEVIGHLETMVRNCNMGQYGTQSILGSSI
+SQDAHVHPVLLFTGHMWKGCIFEGWKSRNYVPNLVTDFLEKKFDLDKLITHDLPFRKINE
+RFELLNSGQRYLLFYVLTF
+>tr|A0A3Q1LQR9|A0A3Q1LQR9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MATLEKLMKAFESLKSFQQQQQQQQQQQQQPPPPPQPPQPPQPPPQAQPPPQPPPPPPPL
+GPAAAEEPLHRP
+>tr|A0A3Q1MSS6|A0A3Q1MSS6_BOVIN Cadherin-1 OS=Bos taurus OX=9913 GN=CDH1 PE=4 SV=1
+MGPRCRNLSALLLLLQVSSWLCQEPEPCIPGFGAESYTFTVPRRNLERGRVLGRVSFEGC
+AGLPRTVYVSDDTRFKVHTDGVLTVRRPVHLHRPELSFLVHAWDSTHRKLSTKVTLEVSA
+HHHHHHSHHDSPSGTQTEVLTFPPHHGLRRQKRDWVIPPISCPENEKGPFPKSLVQIKSN
+KEKETQVFYSITGQGADTPPVGVFIIERETGWLKVTQPLDREQIAKYILFSHAVSSNGQA
+IEEPMEIVITVTDQNDNKPQFTQEVFKGSVLEGALPGTSVMQVTATDIDDEVNTYNAAIG
+YKILAQDPMLPHNKMFTINKETGVISVLTTGLDRESFPTYTLMVQAADLNGEGLSTTATA
+VITVLDTNDNAPRFNPTTYVGSVPENEANVAITTLTVTDADDPNTPAWEAVYTVLNDNEK
+QFIVVTDPVTNEGTLKTAKGLDFEAKQQYILYVAVTNVAPFEVTLPTSTATVTVDVIDVN
+EAPIFVPPQKRVEVPEDFGVGLEITSYTAREPDTFMEQKITYRIWRDTANWLEINPETGA
+ISTRAELDREDVDHVKNSTYTALIIATDNGSPPATGTGTLLLFLDDVNDNGPVPEPRTMD
+FCQRNPEPHIININDPDLPPNTSPFTAELTHGASVNWTIEYNDQERESLILKPKKTLELG
+DHKINLKLIDNQNKDQVTTLDVHVCDCDGIVSNCRKATAFAEAGLQVPAILGILGGILAF
+LILILLLLLLVRRRRVVKEPLLPPEDDTRDNVYYYDEEGGGEEDQDFDLSQLHRGLDARP
+EVTRNDVAPTLMSVPQYRPRPANPDEIGNFIDENLKAADSDPTAPPYDSLLVFDYEGSGS
+EAATLSSLNSSESDQDQDYDYLNEWGNRFKKLADMYGGGEDD
+>tr|A0A3Q1N3D8|A0A3Q1N3D8_BOVIN Contactin-1 OS=Bos taurus OX=9913 GN=CNTN1 PE=4 SV=1
+MKMWLLFSLLVIISFKTCLSEFTWHRRYGHGVSEEDKGFGPIFEEQPINTIYPEESPEGK
+VSLNCRARASPFPVYKWRMNNGDIDLTSDRYSMVGGNLVINNPDKQKDAGIYYCLASNNY
+GMVRSTEATLSFGYLDPFPPEERPEVRVKEGKGMVLLCDPPYHFPDDLSYRWLLNEFPVF
+ITMDKRRFVSQTNGNLYIANVEASDKGNYSCFVSSPSITKSVFSKFIPLIPLPERTTKPY
+PADIVVQFKDVYALMGQNVTLECFALGNPVPDIRWRKVLEPMPSTAEISTSGAVLKIFNI
+QLEDEGIYECEAENNRGKDKHQARIYVQAFPEWVEHINDTEVDIGSDLYWPCVATGKPIP
+TIRWLKNGYSYHRGELRLYDVTFENAGMYQCIAENTHGAIYANAELKILALAPTFEMNPM
+KKKILAAKGGRVIIECKPKAAPKPTFLWSKGTERLVNSSRILIWEDGSLEINNITRSDGG
+VYTCFVENNKGKANSTGTLVITDPTRIILAPINADITVGENATMQCAASFDPALDLTFVW
+SFNGYVIDFNKENIHYQRNFMLDSNGELLIRNAQLKHAGRYTCTAQTIVDNSSASADLVV
+RGKNRKDGEKNMVDPFLPVCASLPPTW
+>tr|G5E6M7|G5E6M7_BOVIN Succinate dehydrogenase [ubiquinone] flavoprotein subunit, mitochondrial OS=Bos taurus OX=9913 GN=SDHA PE=3 SV=2
+MAIRGRPLKFQMRFLRSIQLWTMSLMPWWLVPGGQACGPRSASLKQVSTRPASRSSSPPD
+PTLSRPSVTSSPALAPRERASCALTLCLPEPRGQLPALGMGSDDGTKVQQRAVACTALDL
+GKGGGINAALGNMEEDNWRWHFYDTVKGSDWLGDQDAIHYMTEQAPASVVELENYGMPFS
+RTEDGKIYQRAFGGQSLKFGKGGQAHRCCCVADRTGHSLLHTLYGRSLRYDTSYFVEYFA
+LDLLMESGECRGVIALCIEDGSIHRIRARNTVIATGGYGRTYFSCTSAHTSTGDGTAMVT
+RAGLPCQDLEFVQFHPTGIYGAGCLITEGCRGEGGILINSQGERFMERYAPVAKDLASRD
+VVSRSMTLEIREGRGCGPEKDHVYLQLHHLPPAQLAMRLPGISETAMIFAGVDVTKEPIP
+VLPTVHYNMGGIPTNYKGQVLRHVNGQDQVVPGLYACGEAACASVHGANRLGANSLLDLV
+VFGRACALSIAESCRPGDKVPSIKPNAGEESVMNLDKLRFANGSIRTSELRLNMQKSMQS
+HAAVFRVGSVLQEGCEKISSLYGDLRHLKTFDRGMVWNTDLVETLELQNLMLCALQTIYG
+AEARKESRGAHAREDFKERVDEYDYSKPIQGQQKKPFEQHWRKHTLSYVDIKTGKVTLEY
+RPVIDRTLNETDCATVPPAIRSY
+>tr|E1BHT9|E1BHT9_BOVIN Tubby-like protein OS=Bos taurus OX=9913 GN=TULP1 PE=3 SV=3
+MPLQDDTLREVWASDSGHEEEGRSPEVQQRTKQRQKLRKKKPEAPEAPCPTGSKPRRPGA
+GRKGKPREEPAQGPAEARAAQTVYTKFLRDPEAKKRDPRETFLVARAPDAPDTEDGDEEE
+EEDDLEDEDEEEEKKERVHLPPKKPPKEKASTDIKERKTKAQGPKGDLGSPGPPLKPLRV
+KKKEAPAGEGTKIRKMKKKGSGEADKDCSVSPGTVKKTPAAMFLVPGECPAEKTLKKKGT
+PKGSEEEKKEEGEEDDEAAAAMTKNSNQKGKAKGKGKKTQKEDRAPSPPVEVDEPQEFVL
+RPAPQGRTVRCRLTRDKKGMDRGLYPSYFLHLDTEKKVFLLAGRKRKRSKTANYLISSDP
+TNLSRGGENFIGKLRSNLLGNRFTVFDNGQNPHRGGSTDVGSLRQELAAVIYETNVLGFR
+GPRRMTVIIPGMNSDNERVPIRPRNASDGLLVRWQNKTLESLIELHNKPPIWNEDSGSYT
+LNFQGRVTQASVKNFQIVHADDPDYIVLQFGRVAEDAFTLDYRYPLCALQAFAIALSSFD
+GKLACE
+>tr|F1MDF9|F1MDF9_BOVIN Protein phosphatase 2C like domain containing 1 OS=Bos taurus OX=9913 GN=PP2D1 PE=4 SV=3
+SEWELLSSPSKGFSLRRLQSPQSYEVPLTWKRKHFKRKKKRPSKEVSEKHSLVQDYNAML
+TFPCSICKREVGLPGVFSHKKQHMALSTLGLQWTGGKKPGLSAIIGQRQSVITKLLSSFA
+FNEKTLQSINNAFELLWKRQIPAYYKIMQNICESSIHPQKIGHLLIKGAAVCKDRNSTWR
+VDMNNKFIVVNNFGSKPNVCFFGLFDGHHGDSAADLTSMELPVLLLHQLSRLDPSYQMTS
+EEQKVINSFNTVFSEDYRAIEESFSSKKKRTKGMKSVYENIHKAFAKAFWRMDRLLRLGR
+REASRVRWSGCSAVTCILESSVESPEARRSWGRISDLAALADRHLFPGMPQITTGVLHIA
+NAGNVQAVLCRNGKGFCLTKEHSTRNLDERRRVLRQGAVISANAPHGLLQGQTTTTRGLG
+FHGNLKLKRFIIPAPQTVSVPIDDLCQFLILGTDGLWGVLDTKEVTALAMSAFQAHRETR
+GPATGNQPSPPRGSLLCPISEQTTSKSETNIHIVFQRKSGERASTGSSEENLSVSKHSIC
+DPESSGLSPPKKTTRDPCSEEGTGGLTSVDREPKDSRERQGGPGSQSFYEGAAAYVSHEL
+VNAALVAGSRDSITVMVILLSGAEHQLLP
+>tr|E1BBD2|E1BBD2_BOVIN Vav guanine nucleotide exchange factor 3 OS=Bos taurus OX=9913 GN=VAV3 PE=4 SV=3
+MEPWKQCAQWLIHCKVLPANHRVTWDSAQVFDLAQTLRDGVLLCQLLNNLRAHSINLKEI
+NLRPQMSQFLCLKNIRTFLTACCETFGMRKSELFEAFDLFDVRDFGKVIETLSRLSRTPI
+ALATGIRPFPTEESINDDDIYKGLPDLIDETHVEDEDGLYDCVYGEDEGGEVYEDLMKAE
+EAQQSKCPENDIRSCCLAEIKQTEEKYTETLESIEKYFMAPLKRFLTAAEFDSVFINIPE
+LVKVHRNLMQEIHDSIVNKNDQNLYQVFINYKERLVIYGQYCSGVESAISSLDYISKTKE
+DVRLKLEECSKRANNGKFTLRDLLVVPMQRVLKYHLLLQELVKHTTDPMEKANLKLALDA
+MKDLAQYVNEVKRDNETLREIKQFQLSIENLNQPVLLFGRPQGDGEIRITTLDKHTKQER
+HIFLFDLAVIVCKRKGDNYEMKEIIDLQQYKIANNPTTDKENKKWSYGFYLIHIQGQNGL
+EFYCKTKDLKKKWLEQFEMALSNIRPDYADSNFHEFKMHTFTRVTSCRVCQMLLRGTFFQ
+GYLCVKCGARAHKECLGRVDNCSRVNSSLFCLSAQKRTNGLRRTHRPVDPGLPKMQAIRS
+YSGTPPPALHEGPPLHIQAGDTVELLRGDAHSLFWQGRNLTSGEVGFFPSDAVKPCPCVP
+KPVDYSCQPWYAGAMERLQAETELINRVNSTYLVRHRTRESGEYAISIKYNNEAKHIKIL
+TRDGFFHIAENRKFKSLMELVEYYKHHSLKEGFRTLDTTLQFPYKEPEHSTGQRGNRAGI
+SFLSPKVLGIAIARYDFCARDMRELSLLKGDVVKIYTKMSANGWWRGEVNGRVGWFPSTY
+VEEDE
+>tr|E1BLI6|E1BLI6_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=OR12D3 PE=3 SV=3
+MENVTTVNEFLLLGLTSVQQLQPFFFVIFLIIYLINLVGNGAILVITILEPKLHSPMYFF
+LGNLSCLDICYSSVTLPKILINLLSARRTISFLGCITQLYFFHFLGSTEAVLLAVMAFDR
+FTAICNPLCYPVIMSPKVCILLAAMAWITSIFNALVHSVMTARLNFCHSQELNHFFCDVK
+PLLELACSNTLLNQWLLFVVTGSVSMGAFFLTLLSYFYIICSLLLKHKSCRILHKALSTC
+ASHFTVVCLFYGPVGFTYIHPASATSMTQDRMVAIVYSAVTPVLNPLIYTLRNKEVRLAL
+KEIFRSKLFKDCRQHH
+>tr|G3MZ11|G3MZ11_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=CRYBG3 PE=3 SV=2
+MSGGRRRGGAPWHSFSRFFAPRSPSRDKEEEEEERPGTSQPPAPGRDAASVENEPMSTSQ
+KKENILSSEAVKISQSEDRRTQAQQLITLPTQEDSKKPNDLSSSTSEAKIGESDKQTKES
+FFQFLGNLFNISGKSSLSEAKQSSFKDEHDKPEKDLRNPSRHEEGGQRESAGGSLRTQAL
+PAEEQSSSAELSDAFSLDTTQDSEQETSDLLKQMDGKSERPLVTYATYRGPRQIKKYLKQ
+QTVSETVNPLDRENASSDSSTSTHRGPGSEMEAGMVLLLSSASTGIPVKGQGPEGQLEDS
+DDNERDLNTGSHSTNNQGLANTASSRGVLNKAYPGGPETSRSSHSVTSSSSTAGDSDSRH
+HLSCAPGSQTDRNLGCSALCTESNSSKIPYSPDLERTATPGTVVKRTSSVMSDGTPVQAE
+NSAVADFSCSKSDGSDPTTLRSADLLSPNKSIRREALQLPESKCSNKQAVENSSKQPANH
+TSSMAFQKQAVTDTELVNAGNRSSAQDTQKNLAPAEIKPETENTSGEEPTASSHVKAPED
+RIESLHKDTDLLLLTEVKNLVRPHGKVPPFRMNQKEAASVKHTSESVVVTCLENKIAPEL
+NIELNRNHISESLLDSESPQQAIASPDARTSPSLGHKSDFSASHPTFVSRVGMLSQVDIP
+ILKNEGSSVLVETGDVNIVGISSQPPQCQQEHVANHVEATDRKSLPAGLHSKYAPTALES
+KEVLPDREKCQFLLDLEASDTDITAVDLSFEPASLSQDPEKAESVLSSTAASESTADKLD
+SSFSLETKTDSIAEMLPEADIGGQNNVPVESHSETGKTLSLSKIPVTRTEPRDISQDEIS
+SPLDITGVPANPALSELTSLEVEQDKIFQLVDHEEIKEKYLDASLKESCQTEVSPSASKY
+QGVQGTEVGACRALPACLQSNRPETSPPVHDEKVTRQVAQNCAARASIIHPPSVPCGSKK
+IFDFSQLSLANTSPKFQETDSTKAKSPFLGSGISLRKEAFASEDSSFLPNPSVLRTEKRA
+SSPRNKEDAHSLRGGTHSLPSSSSNSEVSMVRSSYNPTNNFSSVKVPIDVTHEGASLTNL
+LDPKSSYLESETSDLTGAEVTPLQDHLGDPTGMVSLDFPTAAQFDNPLEAATGAVAGTPA
+SVNSSSQQCSEASAEHTEARKRAHAQLLDLESDFCKKAVTLIGEAFNSVREELKSKHSVG
+TCQEHRAIDSIMNPGTLEEDIPEKNPSEVTLTEIQSTEHLEEQAVENIPEVQGEEKACVS
+PVVGENSLLFNSDKMNVCWLLEDRARELVNQIIYSAQETVANDAQGTSDSELQANTSEIL
+RSDSVQPCDMVREFLVSEQAINQSTCEISGKVLGRFFAESNLGSDTESIRGREIVLYQKS
+PFSGTRDGQSDSINLQESDTVLLAENIPLTGLDDKAKTHSFLKEDSKEKMGTECVDNHKP
+ETEDTRTLVLNFKWPPFVNDDTHVPGTSKSSLSDTYVCLSEKSLPGHSNKSTSLAMSEVG
+KIHKDTEVNIGKIELIPSMVEMGKPNKKDAELNIMKYEAVPPMSEVGRAHKEDAELSTSE
+TEPTAEILKMREAYQMDAERYIEKTEGLPATLEMENICQKDAEGDIAKTEVTPVTLEMEN
+IYQKHAEGDVGKTGMTSVVSEMDSIYQKDIEGTAEKPESIPARLEMESIYQKDAEGKVDK
+TGVMSLTLEVVNVYRKDGEGITAKTEGPLLDLENTYQNGAEGVIRKTEMMPPFVLEMKEA
+PQKAVPASFEICKGDMKETSRGTLSTPSKREMESTFPEDSDGTIRKHIVFPTAVSSEKIP
+GTGLELPITQAEATSPIPEAEEAYQEGADRSGGEVEKEPTETKTGWIAGDTRLASYFRDY
+ESPTLSKDNEGHPALAVPDFQPEGTMGRLDRKTSVTTVYKKVRDLQYGDKKEEPNLAFVS
+QEEQENSSFTILYEEPLQNEDKYTTTEVRRTHSLLIPHTAPNSMPVFACERSESRTDLVH
+HFEKEAKLGDAFDSDSSETFLSVEAKRYKIYPLALSPIYEDDSSQEDILSSEVSPGRHGA
+SKSRENASQPSSVLSLLQSVSERLKMNFDEDDRQEGGEEEEEEDEEEPLHKGSLRAQRQE
+TVTFKQPDSSLTFCSDDDRESTGVSKNSYVMSNEPTTSNLQIGLWPEKASFLQKSDLTSK
+LHSSLKSAYHQYLQTSKTHSSEKGARFGGIFQEPVSKYFRTQDTPGRLSPFTENVDKQTL
+KCNPRPGKMVIYDLHRRKYKQEIYCNIPDATSWSYPNGVLIKVVRGCWILYEKPHFQGQK
+CVLEEGEKVLNRDWLLQNRKHPQRNFVLGSIKRVLKDCSIPEIELCPQSDPACCPIYIQR
+AVPNLEELNIPKSVSFTVKSGVWLAYPDINFKGQAIVLEEDHGLFEISAAEIKSLHPLQM
+GGLKVEMPMNLKVIIYEKPHFHGQAKEFSEHIDSVPNFLKNDVDFHGIGSIRVIGGVWVA
+YEKEHFKGQQFLLEEGDFEDSNAFGALSGSILSFRYLQANFIESSITLFESDLESGKFID
+ITNQEISDLEEIGFGSETRSIHVKSGVWVAYQQKFFCGEQYILEKGKYKCFFDWGGSNNT
+IMSIRPIQLEPLGINEPPHLLKAFSKPGFQGECIDFTKEVLDLTSSFMPCSFKVLRGCWL
+LYYQEGVSTNQCVLEEGLYADLTSCGCPTSRVKSLKPIDYVFEEPSLSLFALEHCEGREL
+HLEEAVNSVLNKDLHFYTQSVWVKSGLWIAYEGPNFLGRQILLEPNEIPNWRAFSGWKTI
+GSLRPVKQPSVYIRIRNRAQDGYLTVTGNVADTRATSVCISPYSGKNTQIWHYCRGLFKS
+KASDTCLDVIGGRDTPGAKVALWTEHGQFRQKWRLNRNGTISSYLSDQLVLDVKGGNYYD
+KTHVIVNQPLEGEETQKWDIEIL
+>tr|G3N1R6|G3N1R6_BOVIN Coiled-coil domain containing 9B OS=Bos taurus OX=9913 GN=CCDC9B PE=4 SV=2
+MHSAGPHGAESPMSRQEEKDAELDRRIVALRKKNQALLRRYQEIQEDRRQAEQGGMAVTT
+PELLRPDGLTVTVSQGKRVVSRNWARSARGPGTASEMLEDEQAEAHTGTFCLGERVDLAV
+TMENKAEAKRIVSEKPTRARNQGAEGSPGGGLGRSPSMPTAISSDSVRKGVREPGSPALV
+PGPAPRRALGGPLEVSWDYVQWKQEREQIDQARLARHRDAQGDWRRPWDLDKAKPTRQGS
+SKPREEGPARVGSTRGPRSQRKLQPPPLPPEGKGSATWGGQQGRPMVALATRSKARGTER
+LTGRARRWEMKEDRQEPESQEGSQSTRKTPNEKEHEQIQSRMEPGGPTSAPGGGTTPTPA
+SESPEGLKEELGDLAASPASPASPGSPPNSDLVPLDLSLGGTAPGARPRHAWSVRLVNSV
+PSLLLPRPQAPCHDKRTRLGSTQQLAHLAPVTPRAWPPSPAAGSWLVRLRTSCGFLSIQQ
+TFPEHPLPAMQPVGDGNFASKREGRSLVIRQIQAAQQELFLAVGARQGLKMVAGAQREPP
+HRCVQRA
+>tr|F1MBQ2|F1MBQ2_BOVIN Ubiquitin conjugating enzyme E2 J1 OS=Bos taurus OX=9913 GN=UBE2J1 PE=4 SV=3
+METRYNLKSPAVKRLMKEAAELKDPTDHYHAQPLEDNLFEWHFTVRGPPDSDFDGGVYHG
+RIVLPPEYPMKPPSIILLTANGRFEVGKKICLSISGHHPETWQPSWSIRTALLAIIGFMP
+TKGEGAIGSLDYTPEERRALAKKSQDFCCEGCGFAMKDILLPLKSGSDSSQADQEAKELA
+RQISFKAEVNSSGKTIAESDLNHSFSLNDLQDDIPATFQGATASTSYGTQNPSAASLQQP
+AQPVAKSTSMSPRQRRAQQQSQRRSSTSPDVTQGQQPRDNHTDHGGSAVLIVILTLALAA
+LIFRRIYLANEYIFDFEL
+>tr|F1N3K7|F1N3K7_BOVIN DBF4 zinc finger B OS=Bos taurus OX=9913 GN=DBF4B PE=4 SV=3
+MDKSKLRAPDPGAQLAVSSCLGKCQKSSPDARRQPFSGKSFYLDLPAGKNLQFLTGAIQQ
+LGGVIEGFLSKEVSYIVSSRREAKAESSRTSHRGCPSPSEVTVDTPPVANPKGSRARPAQ
+KAMDPVPLSRGKELLQKAIRNQGSSSGGGSGHGSSLLSNARSWGVQILHVDEMLIRVQQL
+SLDAPRVKKQGLKKPEGTCPAESRTRKVARLKAPFLKVEDESRKFRPFHLQFKSFPEISF
+LGPKATSPFEAPMTPSSSHHTREAKDQEPSRQSAACTVPRRRKGYCECCQEAFEELHRHL
+QSPRHQGFALEAHLYAEVDRIIAQLSHSFADVPFQASLPGQPGSLASDCDPLCPEPPPPH
+WPSPLRAVSPWRRKDDHQQAPGATEHGGTVSGMKAAAEPVEAGKVPGPTASCQELKGSAD
+SFVDPPETPGSGSSAYQDLLTSSGLAELSSGPELPHFGHKRKVQFPTGSAEKRPGASWPQ
+ASLFVPRAPSPCGTPSSSGIRTTSSKHLPLPGHEPRSLASLQPVRHPQGKTCLSLPGSPP
+VGWQSAGPHRPPGLGEEGPQDTSVLRVPPLALSPSRLSSSGPAASPPALSCRHRAPRRTC
+REPGCLSPPPSASRRADQLLPMVLDLPASSRPLTPNPSPPPTENYFYESLPTPGHAALGQ
+TPPNLRASYTSAEGCTPSPGRPDTQGGANPESGPGRSWPSSRCIRWSQSSQPPGLWGWGL
+PSPAMDHAGAASCSGQEAGARLA
+>tr|A0A3Q1M243|A0A3Q1M243_BOVIN Sodium channel protein OS=Bos taurus OX=9913 GN=SCN5A PE=3 SV=1
+MLIMCTILTNCVFMAQHDPPPWTKYVEYIFTAIYTFESLVKILARGFCLHAFTFLRDPWN
+WLDFSVIIMAYTTEFVDLGNVSALRTFRVLRALKTISVISGLKTIVGALIQSVKKLADVM
+VLTVFCLSVFALIGLQLFMGNLRHKCVRNFTVLNGTNSTNASVEADGLIWASLDDYLNDP
+ENYLLKNGTSDVLLCGNSSDAGTCPEGYRCLKAGENPDHGYTSFDSFAWAFLALFRLMTQ
+DCWERLYQQTLRSAGKIYMIFFMLVIFLGSFYLVNLILAVVAMAYEEQNQATIAETEEKE
+KRFQEAMELLKKEQEALAIRGVDTVSRSSLEMSPLAPVTTHERRSKRRKRMSSGMEECGD
+DKFPKSDSEDGPRAVNRFSITHGLSRTSMKPRSSHGSIFTFRRRDLGSETDFADDENSTA
+GDSESHRTSLLVPWPLRRPSTLGQPSPGTSTPGHVLNGKRNSTVDCNGVVSLLGAGDPEA
+TSPGSHLLHPMKLERPPDTTTPSEEPGRPQTLTPQAPCVDGFEEPGERQRALSAVSVLTS
+ALEELEESQRRCPPCWIRFAQHYLIWECCPLWMSIKQKVKFMVMDPFADLTITMCIVLNT
+LFMALEHYNMTTEFEEMLQVGNLVFTGIFTAEMTFKIIALDPYYYFQQGWNIFDSIIVIL
+SLMELGLSRMGNLSVLRSFRLLRVFKLAKSWPTLNTLIKIIGNSVGALGNLTLVLAIIVF
+IFAVVGMQLFGKNYSEQRHRISDSGLLPRWHMMDFFHAFLIIFRILCGEWIETMWDCMEV
+SGQSLCLLVFLLVMVIGNLVVLNLFLALLLSSFSADNLTAPDEDGEMNNLQLALARIQRG
+LRFIKRTTWDFCCVLLQRPPQKPTALASQGQLPGCIATSSPPPQPESEKAPPARKETRFE
+EGQRPGQGAPGDAEPVCVPIAMAESDTDDPEEDEENSLSTEEESSKQQESQPASGSPEAL
+PEPRVWSQVSETTSSGAEASEVQADLRQQRRAEAPAPGCSELPEDSYSEGSTADMTNTAD
+LLEQIPDLGEDVKDPEDCFTEGCVRRCPCCTVDTTQAHGKVWWRLRKTCYRIVEHSWFET
+FIIFMILLSSGALAFEDIYLEERKTIKVLLEYADKMFTYVFVLEMLLKWVAYGFKKYFTN
+AWCWLDFLIVDVSLISLVANALGFAEMGPIKSLRTLRALRPLRALSRFEGMRVVVNALVG
+AIPSIMNVLLVCLIFWLIFSIMGVNLFAGKFGRCINQTEGDLPLNYTIVNNKSDCESFNV
+TGELYWTKVKVNFDNVGAGYLALLQVATFKGWMDIMYAAVDSRGYEEQPQWEYNLYMYIY
+FVIFIIFGSFFTLNLFIGVIIDNFNQQKKKLGGQDIFMTEEQKKYYNAMKKLGSKKPQKP
+IPRPLNKYQGFIFDIVTKQAFDVTIMFLICLNMVTMMVETDDQSPEKVNILAKINLLFVG
+IFTAECIFKMVALRHYYFTNSWNIFDFVVVILSIVGTVLSDIIQKYFFSPTLFRVIRLAR
+IGRILRLIRGAKGIRTLLFALMMSLPALFNIGLLLFLVMFIYSIFGMANFAYVKWEAGID
+DMFNFQTFANSMLCLFQITTSAGWDGLLSPILNTGPPYCDPNLPNSNGSRGNCGSPAVGI
+LFFTTYIIISFLIVVNMYIAIILENFSVATEESTEPLSEDDFDMFYEIWEKFDPEATQFI
+EYLALSDFADALSEPLRIPKPNQISLINMDLPMVSGDRIHCMDILFAFTKRVLGESGEMD
+ALKIQMEEKFMAANPSKISYEPITTTLRRKHEEVSATIIQRAFRRHLLQRSVKHASFLYR
+QQAGSSGLSEEDAPEQEGLIAYMMNENFSRRPGPPSSSSVSSTSFPPSYDSVTRATSDNP
+QVRASDYSPSEDLADFPPTPDRDRESIV
+>tr|F1MWQ0|F1MWQ0_BOVIN Complexin-3 OS=Bos taurus OX=9913 GN=CPLX3 PE=4 SV=1
+MAFMVKTMVGGQLKNLTGSLGGGEDKGDGDKSAAEAQGMSREEYEEYQKQLVEEKMERDA
+QFTQRKAERATLRSHFRDKYRLPKNETDESQIQMAGGDVELPRELAKMIEEDTEEEEERA
+SVLGQLASLPGLDLGSLKDKAQSTLGDLKQSAEKCHIM
+>tr|G5E6N3|G5E6N3_BOVIN Terminal nucleotidyltransferase 5C OS=Bos taurus OX=9913 GN=TENT5C PE=4 SV=2
+MAEESSSTRDCVSFSVLTWDQVSRLHEVLTEVVPIHGRGNFPTLEITLKDIVQTVRGRLE
+EAGIKVQDIRLNGSAAGHVLVKDNGLGCKDLDLIFHVALPTEAEFQLVRDVVLCSLLNFL
+PEGVNKLKISPTTLKEAYVQKLVKVCTDADRWSLISLSNKNGRNVELKFVDSIRRQFEFS
+VDSFQIILDSLLFFYDCSGHPLSEHLHPTVIGESVYGDFEEALDHLQNRLIATKNPEEIR
+GGGLLKYSNLLVRDFRPTDQDEIKTLERYMCSRFFIDFPDILEQQRKLETYLQNHFAEEE
+RSKYDYLMTLRRVVNESTVCLMGHERRQTLNLISLLALRVLAEQNIIPNASTVTCYYQPA
+PYVSDGNFSNYYAAHPPLTYSQPYPTWLPCN
+>tr|A0A3Q1MDF4|A0A3Q1MDF4_BOVIN Signal peptide, CUB domain and EGF like domain containing 2 OS=Bos taurus OX=9913 GN=SCUBE2 PE=4 SV=1
+MGVAGRGCPRAAPALLLLLLLLLLLLLTAAVPPYRGRAEGPPEDVDECAQGLDDCHINAL
+CENTPTSYKCSCRPGYQGEGRQCEDIDECENELNGGCVHDCLNIPGNYRCTCFDGFMLAH
+DGHNCLDVDECLENNGGCQHTCLNVMGSYECRCQEGFFLSDNQHTCIHRSEEGLSCMNKN
+HGCSHICMEAPKGSVACECRPGFELAKNQRDCILTCNHGNGGCQHSCEDTAEGPECSCHP
+RYKMHSDGRSCLEREDIALEVMESNTTSVADGDKRVKRRLLMETCAVNNGGCDRTCKDTS
+TGVHCSCPVGFTLQMDGKTCKDIDECQTRNGGCDHFCRNTVGSFDCSCKKGFKLLTDEKS
+CQDVDECSLDRTCDHSCINHPGTFTCACSEGYTLYGFTHCGDTNECSVNNGGCQQVCVNT
+VGSYECHCHSGYKLHWNKKDCVEVKGGLPTSLSPHVSLQCGKSGGGDRCFLRCHSGIHLS
+PGLQEAYSVTCGSSSPLRNKQQKSNDSAFGDVATVRTSVTFKLNEGKCSLKKAELFPEGL
+RPVLPEKHSSVKESFRYANLTCSSGKQVPGGPGRPNAPKEMFITVEFELETNQKEVTVSC
+DLSCIVKRTEKRLRKAIRTLRKAAHREQLHLQLSGVNLEVAKKSPRTSERQIESCAMGQD
+HGGNQCGLCQPGEYSADGFTPCQPCARGTFQPEAGRTSCFPCGGGLPTKHPGATSFQDCE
+TRVQCSPGHFYNTTTHRCIRCPAGTYQPEFGKNHCVSCPGNTTTDFDGSTNITQCKNRRC
+GGELGDFTGYIESPNYPGNYPANTECTWTINPPPKRRILIVVPEIFLPIEDDCGDYLVMR
+KTASSNSVTTYETCQTYERPIAFTSRSKRLWIQFKSNEGNSARGFQVPYVTYDEDYQELI
+EDIVRDGRLYASENHQEILKDKKLIKALFDVLAHPQNYFKYTAQESREMFPRSFIRLLRS
+KVSRFLRPYK
+>tr|E1BD83|E1BD83_BOVIN Proteasome subunit alpha type OS=Bos taurus OX=9913 GN=PSMA8 PE=3 SV=3
+MASRYDRAITVFSPDGHLFQVEYAQEAVKKGSTAVGIRGTNIVVLGVEKKSVAKLQDERT
+VRKICALDDHVCMAFAGLTADARVVINKARVECQSHKLTVEDPVTVEYITRFIATLKQKY
+TQSNGRRPFGISALIVGFDDDGIPRLYQTDPSGTYHAWKANAIGRSAKTVREFLEKNYTE
+DAIANDNEAIKLAIRALLEVVQSGGKNIELAIIRRNQPLKMFSAKEIELQVNEIEKEKEE
+AEKKKSKKTT
+>tr|A0A3Q1M284|A0A3Q1M284_BOVIN Tectonin beta-propeller repeat containing 2 OS=Bos taurus OX=9913 GN=TECPR2 PE=4 SV=1
+MASVSEPVTFREFCPLYYLLNSIPTKIQKGFRSLVVYLTALDTNGDYIAVGSSIGMLYLY
+CRHHDQMKKYNFEGKTESITVVKLLSCFDDLVAAGTASGRVAVFQLVSSLPGRNKQLRRF
+DVTGIHKNSITALAWSPNGMKLFSGDDKGKIVYSSLDLDQGVCQSHLVLEEPASIVQLDY
+SQKVLLVSTLQRSLLFYTEERSVQQVGTQPRKSTGKFGACFIPGLCKQSDLTLYASRPGL
+RLWKADIHGTVQATFILKDVFAGGVKPFELYPRLDSAERGGCSSPEKHLGLVSCFFQEGW
+VLSWNEYSIYLLDTVNQATIAGLEGSGDIVSVSCTENEIFFLKGDRNIIRISSRPEGLAS
+VVKPASLPVRDGLETSACAEQVHGPRAEKPPAATPSETRLRVSSVASSVASEPRSRSSSL
+NSTDSGSGLQAAPELGRGGPLTSKRFSVISSEDFDQELVVKPLRVKKKKRTKKTVEGGSS
+ATGPSPLEPTPGRECPGDSPQSVSTDLPSAASSLGSIVDRTSTGSPDQESSLSREASGVL
+LEYSDPEAFSVLEVPLPAPDLLNGGAEGRTESPLGNGEGGTEPPCGAGSSSWHPTEFAED
+TEDIVELEEEPQPADSGPGSTAEARGDGAVQPNGPPGAFSEACLLDSAPEPSHLSWAPSA
+EQRLPGATADEGRAEKPPEEQGFLTHMGAPHNLSPSPQRTAPDADTGQTVVVTSKRDLGG
+GGRLPPRTSAASSQEQPPRDQVLTSSDEEDIYGHGLPSSSSETSVTELGGGRSLQDLRQL
+GTDDPGLLKADQFAESWMGYSGPGHGILSLAVSEKYLWCLDYKGGLFCSALPGAGLRWQK
+FEDAVQQVAVSPSGALLWKIEQKSNRAFACGKVTIKGKRHWYEALPQAVFVALSDDTAWI
+IRTNGDLYLQTGLSVDRPCARAVKVDCPFPLSQVTARNSVVWALTEQRALLYREGVSSFC
+PEGELWKCDIVSERQALEPVCVTLGDQQSLWALDVRGNLWFRTGIVSKKPQGDDDHWWQV
+SVTDYVVFDQCSLFQTVIRATHSVATVAQAPVEKVADRLRTAFWSQQLQCQPSLLGVNNS
+GVWISSGKNEFHVARGSLIGTYWSHVVPRGTASATKWAFVLASTAPSKEGSSLWLCQSSK
+DLCRLSAQHAQSRPSTVQLPPDAEMRAYAACQDALWALDSLGQVFIRTLSASCPTGMHWA
+RLDLSQLGAVKLTSLACGNQHVWACDSRGGVYFRVGTQPLNPSLMLPAWIAIEPPVQTPA
+LIDGGKAEAQPAGVHRSHGGGPGASEGDTMGVCSEHTEAHPEPPRERRAYGHRMPSPSVL
+VKVPQEDLKRQEEKQAAVMAGALPGFSSRPAALLVLGPVIDQLTEARGHGGSRGGPAYSG
+TGPPVW
+>tr|A0A3Q1LXQ9|A0A3Q1LXQ9_BOVIN A-kinase anchoring protein 8 like OS=Bos taurus OX=9913 GN=AKAP8L PE=3 SV=1
+MSSWVSFVQGSETTLQSTYSDTSAQPTCDYGKCGPLGIGGASADSVLSRINQRLDMVPHL
+ETDMIQGGVYGSGGERYDSYEACDSRAVLSERDLYRSGYDYGELDPDMEMAYEGQYDAYR
+DQFRMRGSDTFGPRAQGWARDSRSGRPMASGYGRMWEDPMGARGQCMPGASRLPSLFSQN
+IIPEYGMFQGMRGGGTFPGGSRFGFGFGNGMKQMRRTWKTWTTADFRTKKKKRKQCGSPD
+EPDSKATRTDCSDNSDSDNDEGTEGEAAEGTEGAETVEKGSRAEGEDEEGKEEGKEGGKE
+DAEKGVLSAQDESGQAKRKLQAGKKSLDKQKKRQRDRMVERIQFVCSLCKYRTFYEDEMA
+SHLDSKFHKEHFKYVGTKLPKQTADFLQEYVANKTKKTEELRKTVEDLDGLIQQIYRDQD
+LTQEIAMEHFVKKVEAAHCAACDLFIPMQFGIIQKHLKTMDHNRNRRLMMEQSKKSSLMV
+ARSILNNKLISKKLERYLKGENPFTDSPEEEKEQEEAEGGALDEGALVEAAGVAEGAEAA
+PAQPPVPPEPVPGAAPPPPPPEEDEEAVPLLGGALERQIRGIPGLDVEADDDEEEGGGGA
+P
+>tr|A0A3Q1LT21|A0A3Q1LT21_BOVIN Protogenin OS=Bos taurus OX=9913 GN=PRTG PE=4 SV=1
+MAPSLRPLARPRPPGMLLGALLLLPLLGRVPGVWCFSELVFIKEPQDITVTRKDPVVLDC
+QAHGEVPIKVTWLKNGAKVSENKRIQVLSNGSLYISEVEGKRGEQYDEGFYQCLAMNRHG
+AILSQKAHLTLSTISAFEVQPISIEVREGGVARFACKISSNPPAAITWELNRTTLSVTMD
+SDRITALPTGVLQIYDVDQRDAGNYRCVAATIAHRRKSMEASLTVIPGKESKSFHTPTIV
+AGPQNITTSLHQTVVLECVATGNPKPIISWSRLDHKSIDVFNTRVLGNGNLMISDIRLQH
+AGVYVCRATTPGTRNFTVATATLTVLAPPSFVEWPESLTRPRAGTARFVCQAEGIPSPKM
+SWLKNGRKIHSNGRIKMYNSKLVINQIIPEDDAIYQCMAENSQGSILSRARLTVVMSEDR
+PSAPYNVHAETMSSSAILLAWERPLYNSDKVIAYSVHYMKAEGLNNEEYQVVIGNDTTHY
+IIDDLEPASNYTFYIVAYMPMGASQMSDHVIQNTLEDVPLRPPEISLTSRSPTDILVSWL
+PIPAKYRRGQVVLYRLSFRLSTENSIQVLELPGTTHEHLLEGLKPDSVYLVRITAATRVG
+LGESSVWTSHRTPKATSVKAPKSPELHLEPLNCTTISVKWQQDAEDTATIQGYKLYYKEE
+GQQEHGPIFLDTSGLLYTLSGLDPRRKYHVRLLAYNSIEDGYQADQTVSTPGCVSVRDRM
+VPPPPPPHHLYAKANTSSSIFLHWRRPAFTTAQMINYTIRCNPVGLQNASLVLYLQTSET
+HMLVQGLEPNTKYEFAVRLHVDQLSSPWSPVVYHSTLPEAPAGPPVGVKVTLIEDDTALV
+SWRPPDGPETVVTRYTILYASRKAWIAGEWQVLHREGAITMALLENLVAGNIYIVKISAS
+NEVGEGPFSNSVELAVLPKETPESNQRPKRLDSADAKVYSGYYHLDQKSMTGIAVGVGIA
+LTCILICGLILIYRSKARKSTVSKTGQNGTQQLPRISASRASGNEVGKNLEGAIENEESL
+MPMIMPNSFIDAKGGTDLIINSYGPIIKNTPKKKWLFFQDSKKIKAEQPQRRFTQAVCLY
+QPGTTILISDEDSPSSPGQTASFPRPFVVAADTEHSANSEGSHETGDSGRFSHESNDEIH
+LSSVISTTPLTPSSFTGSDLGGDPLVRKCEETAVWSGAEQTSSSVSQPAPARLCFEKKDF
+PI
+>tr|E1BCC7|E1BCC7_BOVIN G protein-coupled receptor 155 OS=Bos taurus OX=9913 GN=GPR155 PE=4 SV=2
+MNSFSDIPAKNLTFAVNMTKTLSSPVTHGFNSTNDPPSMSITRLFPALLECFGIVLCGYI
+AGRANVITSTQAKGLGNFVSRFALPALLFKNMVVLNFSNVDWSFLYSILIAKASVFFVVC
+ILTLLVASPDSRFSKAGLFPIFATQSNDFALGYPIVEALYQTTYPEYLQYIYLVAPISLM
+MLNPIGFIFCEIQKWKDTQNASQNKVKILGLGLLRVLQNPIVFMVFIGIAFNFILDQKVP
+EYMENFLDGLANSFSGSALFYLGLTMVGKIKKLKKSAFVVLILLITAKLLVLPLLCREMV
+ELLDKDDSVVNHTSLSNYAFLYGVFPVAPGVAIFATQFNMEVEIITSGMVISTFVSAPIM
+YVSAWLLTFPTMDPKPLAYAIQNVSFDISIISLVSLIWSLAILLLSKKYKQLPHMLTTNL
+LIAQSIVCAGMMIWNFVKEKNFVGQILVFVLLYSSLYSTYLWTGLLAISLFLLKKRERVQ
+IPVGIIIISGWGIPALLVGVLLVTGKHNGESIDSAFFYGKEQMIITAVTLFCSIVIAGIS
+LMCMNRTSQAGRYEGFDQSQDRKAAEPGNTAFEDSPVPVNEPESFTSSVPETSCCSCSMG
+NGELCCPSTEPVADITTSGSVTPSFEKNEHCVSRCNSQSCILAQEEEQYLHSGDQQLTRH
+VLLCLLLIIGLFAGFISFGIFGLDKHLIILPFKRRLEFLWNSKETAENKDSSVPEEIKMT
+CQQFIHYHRDLCIQNIVKERRCGAKTSAGTFCGCDLVNWLIEVGLASDRGEAVIYGDRLV
+QGGVIQHITNEYEFRDEYLFYRFLQKSPKQSPPTINADIPQQEKYKEIEHSSPSPKT
+>tr|A0A3Q1LSU2|A0A3Q1LSU2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=TRMT61B PE=4 SV=1
+MLSTRCVGLVLLPLRLLQRFPGFRTCLFLRRLGRESFERGRLLCFKASPRDTGNEERESE
+AAQRKAPGADSPPSVPSRVRGLGTRCLSSLESLGLPTPREESPPQESEVSSGEQGQSDPA
+HPGSRSPSVPALVQPATKDEILHVSSSWSASRVVPFRVGELILAETGKRETQFKKLFRLS
+NAGHLNSNWGTVPFSEIVGKFPGQILRSSSGKHFMLRRPALEDYVLLMQRGPAITYPKDI
+NMILSMMNIHPGDTVLEAGSGSGGMSLFLSKAVGSQGRVISFEIRKDHHKLAMKNYRHWR
+DSWKISHVEEWPDNVDFIHKDILGATEDIQSLTFDAVALDMLNPQVALPVLFPNLKQGGI
+CAVYLANITQVIELLDGIRICELALSCEKISEVIVRDWLVCLARQKNGILAQKVEPKINT
+DLQAHSQEKIRIEEESHSDFPYGSFPYIARPIHWQIGHTAFLVKLRKCKPQLN
+>tr|A0A3Q1MB46|A0A3Q1MB46_BOVIN Kazal-like domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MALVGLRLVLLFLVGDSAASLNAQFSQHLEQKTPNCDQYKLPGCPRDFSPVCGSDMSTYP
+NECILCMKISLATRTQEGRGRNFFYPDSW
+>tr|A0A3Q1MCK8|A0A3Q1MCK8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=ZNF286A PE=4 SV=1
+METDVAETPGKRALPPQDSPFSQENCTEEGEVAALRLTARSQASVTFKDVAMDFTPEEWG
+RLDPTQRDVMLENYRNLVSLWLPVSKPENHSLENGKEPLLLERKAPKSSCSDSETSPRSK
+HSTSVQDFSKEESCQVAVIDRLTRDSVCDSSTETTLDCEDWLENQQGSQERHWRGMFTHM
+NSLPEERAPEHDVYWKTLGQKSVLLTQDRVPKGPYAFHTLEKRLKQKSTLMKKQRTYKEK
+KPHKCNDCGELFTYHSVLIRHQRVHTGEKPYSCADCGKSFSHRANLTKHQRTHTRILFEC
+SECKKAFTESASLAIHQRIHIGERPYECGECGKGFNRSTHLVQHQLIHTGVKPYECNECD
+KAFIHSSALIKHQRTHTGEKPYKCQECGKAFSHCSSLTKHQRVHTGEKPYECSECGKTFS
+QSTHLVQHQRIHTGEKPYECHECGKTFSRSSNFAKHQRIHIGKKPYKCNECSKAFIHSSA
+LIQHQRTHTGEKPYRCNECGKSFKCSSSLIRHQRIHMEEQP
+>tr|A0A3Q1MKF4|A0A3Q1MKF4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=SCIMP PE=4 SV=1
+MAPQPLTSGPPATQDLNAMDWWKDHFWIILAVAIIFTSVSLGTILFCVCRCLFRPGKKWE
+ISKSLKQKQRDEETMYENVTEQFSVQLPPLPPRDMLSPGVASPQETPSRPTPAAYSSVHK
+IRNKKTITVPSYIEPEEDYDDVDVPANTENHHFETNVSSFWQAEDGSQSLF
+>tr|G5E622|G5E622_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=2
+VPVLLICFSFSAAALTFLVYMKVTLLLVWLKVFLFLPGWPQLGHSQRLGPPEVVIPWRVT
+PTGRGMKLQGWFSYKLHFGGQRHVVHIKVKKNFLSKNFPVFTYADKGVLLQDQPFVQDDC
+YYSGYVEGDPESLVSLSNCFGGFQGMLQTNDVVYEIEPKRFSTAFEHLIYKLDNEEMNFS
+YFQCGLTDEKIAGQLKIQESINSTLMQSDYTGWWTHHYFVEVAVVVDHSRYLHHSSNASL
+VQKEVFLVLNGVSDLMKALGLEVFFKGMEIWTKQSLISVVDITHTLMNFCRWKQKGFHNR
+VPHDVAHFFVKKNFGDEFGLAYDAQACAVRYSCSVETFHDEGTFFYSYVVAHDVGHNLGM
+HHDTETCQCGAPKCIMFEAIEATTKFSNCSYADYWNVGRRRSCLYNTPNPHTLVTEIRCG
+NSVVDEGEECDCGSLKTCNTDPCCQLNCTVTAGINCAFGLCCDNCMFRKPGTLCRKEENE
+CDLPEWCNGTSNQCPDDVYVQDGASCTGGGYCYGKRCNERDEHCRQIFGKEAKDADMSCY
+TAVNTRGDRFGNCGITETSYIRCSMADSLCGRIQCENVKEIPVMSDHITLHWTKFENNTC
+WGTDYHLGIRIADIGAVNDGTKCGPENICIRRRCVSYSTVPRNCSPQLCSLRGVCNNRQH
+CHCNYGWGPPVCQEKGRGGSVDSGPAPERQTEERMDRKNLLTYFLIPFLFLLLCLLLWLW
+LFTRPKKKDEKEQKEIPTSCTEIEQKSQITSISNSAKMRK
+>tr|A0A3Q1MQK5|A0A3Q1MQK5_BOVIN Midkine OS=Bos taurus OX=9913 GN=MDK PE=4 SV=1
+MPEDPAHKRMQRRSFLLLALLALLALTSAVAKKKDKMKKGGPGSECAEWTWGPCTPSSKD
+CGVGFREGTCGAQTQRIRCRVPCNWKKEFGADCKYKFETWGACDGGTGTKARQGTLKKAR
+YNAQCQETIRVTKPCSPKTKAKAKAKKGKEKD
+>tr|A0A3Q1LXU6|A0A3Q1LXU6_BOVIN Kelch-like protein 3 OS=Bos taurus OX=9913 GN=KLHL3 PE=4 SV=1
+MDGESIKPSSQPLIQTGDDEKNQRTITVNPAHMGKAFKVMNELRSKQLLCDVMIVAEDVE
+IEAHRVVLAACSPYFCAMFTGDMSESKAKKIEIKDVDGQTLSKLIDYIYTAEIEVTEENV
+QVLLPAASLLQLMDVRQNCCDFLQSQLHPTNCLGIRAFADVHTCTDLLQQANAYAEQHFP
+EVMLGEEFLSLSLDQVCSLISSDKLTVSSEEKVFEAVISWINYEKETRLEHMAKLMEHVR
+LPLLPRDYLVQTVEEEALIKNNNTCKDFLIEAMKYHLLPLDQRLLIKNPRTKPRTPVSLP
+KVMIVVGGQAPKAIRSVECYDFEEDRWDQIAELPSRRCRAGVVFMAGHVYAVGGFNGSLR
+VRTVDVYDGVKDQWTSIASMQERRSTLGAAVLNDLLYAVGGFDGSTGLASVEAYSYKTNE
+WFFVAPMNTRRSSVGVGVVEGKLYAVGGYDGASRQCLSTVEQYNPATNEWTYVADMSTRR
+SGAGVCAVNGLLYVVGGDDGSCNLASVEYYNPVTDKWTLLPTNMSTGRSYAGVAVIHKPL
+>tr|F1N101|F1N101_BOVIN Uveal autoantigen with coiled-coil domains and ankyrin repeats protein OS=Bos taurus OX=9913 GN=UACA PE=4 SV=3
+MMSCWFSCAPKNRQAADWNKYDDRLMKAAERGDVEKVSSILAKKGVNPGKLDVEGRSAFH
+VVASKGNLECLNAILIHGVDITTSDTAGRNALHLAAKYGHALCLQKLLQYNCPTEHVDLQ
+GRTALHDAAMADCPSSIQLLCDHGASVNAKDVDGRTPLVLATQMCRPTICQLLIDRGADI
+NSRDKQNRTALMLGCEYGCKDAVEVLIKNGADVTLLDALGHDSSYYARIGDNLDILTLLK
+TASENSNKGRELWKKGPSLQQRNLSQMLDEVNTKSNQREHQNIQDLEIENEDLKERLRKI
+QQEQRILLDKVNGLQLQLNEEVMVADDLESEKEKLKSLLAAKEKQHEESLRTIEALKSRF
+KYFEVSFIWIYLGKEDMLLKQGQMYMTDSQCTSTGMPVHMQSRSMLRPLELALPNQASYS
+ENEILKKELEAMRTFCDSAKQDRLKLQNELAHKVAECKALALECERVKEDSDEQIKQLED
+ALKDVQKRMYESEGKVKQMQTHFLALKEHLTSDAATGNHRLMEELKDQLKDMKVKYEGAS
+AEVGKLRNQIKQNEMLVEEFKRDEGKLMEENKRLQKELSMCELEREKRGRKLTEMEGQLK
+DLSAKLALSIPAEKFENMKSLLSNELNEKAKKLIDVEREYERSLNETRPLKRELENLKAK
+LAQHVKPEEHEQLKSRLEQKSGELGKRITELTSKNQTLQKEIEKVCLDNKLLTQQVNNLT
+TEMKNHYVPLKVSEEMKKSHDVIVDDLNKKLSDVTHKYTEKKLEMEKLLMENASLSKNVS
+RLETVFIPPERHEKEMMALKSNITELKKQLSELNKKCGEDQEKIYSLMSENNDLKKTMSH
+QYVPVKTHEEIKTALSSTLDKTNRELVDVKKKCEDINQEFVKIKDENEILKRNLENTQNQ
+VKAEYISLREHEEKMSGLRKSMKKVQDNSAEILANYKKSQEEIVTLHEEIAAQKRELDTI
+QECIKLKYAPIISLEECERKFKATEKELKEQLSQQTQKYNTSEEEAKKCKQENDKLKKEI
+LTLQKDLKDKNVHIENSYETERALSRKTEELNRQLKDLLQKYTEAKKEKEKLVEENAKQT
+SEILAAQTLLQKQHVPLEQVESLKKSLSGTIETLKEELKTKQRCYEKEQQTVTQLRQMLE
+NQKNSSVPLAEHLQVKEAFEKEVGIIKASLREKEEESQNKTEEVSKLQSEIQNTKQALKK
+LETREVVDLSKYKATKSDLETQISDLNEKLANLNRKYEEVCEEVLHAKKKELSAKDEKEL
+LHFSIEQEIKDQQERCDKSLTTITELQRRIQESAKQIEAKDNKITELLNDVERLKQALNG
+LSQLTYGSGSPSKRQSQLIDSLQQQVRSLQQQLADADRQHQEVIAIYRTHLLSAAQGHMD
+EDVQAALLQIIQMRQGLVC
+>tr|A0A3Q1NIX8|A0A3Q1NIX8_BOVIN Ral GTPase activating protein catalytic alpha subunit 2 OS=Bos taurus OX=9913 GN=RALGAPA2 PE=4 SV=1
+MFNKALGTGTLEEGTGELHSKAVSLMRTVVLVWIKDPGVVTCWHADNVDASDLKQFFETN
+YSQIYFIFYENFITLENSLKLKGNNKSQREELDSILFLFEKILQFLPERIFFRWHYQSIG
+STLKKLLHTGNSIKIRCEGIRLFLLWLQALQTNCAEEQVLIFASLVPGFPAIMSSRGPCT
+LDTLINPGPNMADAKIHPEEITPLLPAVSGEKIAEDQTCFFLQVLLKYMVTQAASLEWKH
+KENQETGFKFLFTLFRKYYLPHLFPSFTKSTNIYKPVLDIPHLRPKPVYVTTTRDNESIY
+STKIPYMAARVVFIKWMVTFFLEKKYLTATQNTKNGVDVLPKIIQTVGGGTAVPERVPEP
+DAGGAAEQDRGLTDGSTLCERRLSGCSLCGIEEEHRAVYDMVQRILLSTRGYVNFVNEVF
+RQAFLLPSCDIAVTRKVVQVYRKWILQDKPVFMEEPDIKEAAQEDAEKLGFSETDNKQTS
+SEGSSHKRSSSWGRSYSFTSAMSRGCVMEEENKNVKAGAQAMLQVFLTNAANVFLLEPCV
+EVPMLLKEQVDACKAVLIIFRRMIMELTMNKTTWEQMLQILLRITEAVMQKPKDKQIKDL
+FAQSLAGLLFRTLIVAWIRANLCVYVSRELWDDFLGVLSSLTDWEELIHEWASIMDSLTA
+VLARTVYGVEMTNLPLDKLSEQKEKKQRGKGCVPDSQKGSTVGRSFSLSWRSHPDVAVAE
+PMRFRSATTSGAPGVEKARNVVRQKATEVEEYLQPENTPATESGPLGQQPMVRSGSSPEV
+TEPLCPEPPPGQKLENAQNVSSSEPRLAQESKGHEKKEHEGITILVRRSSSPIELDLKDD
+SQQMQGKRRERQKSDSVSSDPTLGCSTEAELSLSHWQTCEEDPDLSPPADTVTDADARHW
+LQLRPTDASNLTDSSECLADDCSIIAGGTLTGWHPDSAAVLWRRILGILGDVNNIQSPKI
+HARVFGYLYELWYKLAKIRDNLAISLDNQSSPSPPALIPPLRMFASWLFKATTMPDDYKE
+GKLQAYKLICSMMTRRQDVLPNSDFLVHFYLVMHLGLTSEDQDILNTIIRHCPPRFFSLG
+LPGFSMLVGDFIMAAGRVLSADTLAAPRSEALTILGSLVCFPNTYREIPLRQPVPEVAEV
+AMGAEDVKHCLISILLKNATEEPSECARCIAICSLGIWICEELAQGTGHPQLQEAVNVIG
+VTLKFPNKIVAQVACDVLQLLVSYWEKLQMFETSLPRKIAEILVATIAFLLPNAEYSSVE
+TDKKFLVSLLLCLLDWCMALPAGTLLHPVSTAALDEQAPARAPLLDYIYRVLHCCICGSS
+TYSQQSRYTLTLADLSSSDYDPFLPLANVKNSEPVQFHSSAELGNLLTVEEERRRRSLGL
+IPLTARMVMAHLVNHLGHFPLCGGPAVLHSLVGEHHDNVHADAAELSPDVFRSPSLQLFV
+FNDSTLISYLQTPATGPASGSPAGAPSDVRVIVRDISGKYSWDGKVLYGPLEGHLAPKGR
+RPSFLISSCQPPTPGPQRAPSQTEEGEDVLDKLLENLGHTSPECLLPSQLRLNEPSPPPC
+GMNWDQEKEITKVLLRQSTQEDEYVQRCNSDVAMRVASQEPPSPVEPRGAFYFCRLLLDD
+LGMNSWDRRKNFHLLKKNSKLLRELKNLDSRQCRETHKIAVFYIAEGQEDKCSILSNERG
+SQAYEDFVAGLGWEVDLSTHCGFMGGLQRNGSTGQTAPYYATSTVEVIFHVSTRMPSDSD
+DSLTKKLRHLGNDEVHIVWSEHSRDYRSGIIPTAFGDVSIIIYPMKNHMFFIAITKKPEV
+PFFGPLFDGAIVSGKLLPSLICATCINASRAVKCLIPLYQSFYEERALYLEAIIQNHREV
+MTFEDFTAQVFCPSPSYSVSGTD
+>tr|A0A3Q1NHI6|A0A3Q1NHI6_BOVIN Protein FAM114A2 OS=Bos taurus OX=9913 GN=FAM114A1 PE=4 SV=1
+MGPRGRGPGGAAGRGSSASPPCPPPSPPSGRVPASPADVAGRDPEALPRALGRLSDIKMS
+DNGDDTLASGDKEEITNKPNSDTLPKDAEVHCDSATISNELTPANPRRDVHENEAVQGAE
+TADTGLAEEPKDLGATEPPLNGEVAEDALTECVDAVSLEAEPGSEIPLKEQSDPALDSSP
+RGGGWAGWGSWGKSLLSSASATVGHGLTAVKEKAGATLRIHSVNSGSSEGAQPHDENEVS
+QIADTAADQSPAESPPTSPSSGSRGMLSAITNAVQNTGKSVLTGGLDALEFIGKKTMNVL
+AESDPGFKRTKTLMERTVSLSQMLREAKEKEKQRLAQQLTAERTAHYGMLFDEYQGLSHL
+EALEILSNESESKVQSFLASLDGEKLEVLKNDLISIKDIFAAKVFENEENQEVQGIEENK
+EEFASMLTELLFELHVAATPDKLNKAMKKAHDWVEEDQTVVSVDVAEESEDKTEKEEKEE
+KPENPEEDKMKEKTNKTVEVNMLQIIHLRAVIRTFY
+>tr|F1MNI9|F1MNI9_BOVIN dNK domain-containing protein OS=Bos taurus OX=9913 GN=TK2 PE=4 SV=2
+MAGPVASGSRALLLRPLRSWAFRVLRRDGPGSPASCPGSQGAQRWAWPRDKDRENEKEKK
+SVVCVEGNIASGKTTCLEFFSNSTDIEVLTEPVPKWRNVRGHNPLGLMYQDACRWGFTLQ
+TYVQLTMLDQHTRPQTLPVRLMERSIYSARYVFVENLYRSGKMPKVDYVVLSEWFDWIVR
+NIDVSIDLIVYLRTTPETCYQRLKMRCREEEKVIPLEYLDAIHHLYEEWLIKGSLFPVAA
+PVLHWLQGYHWQAGEETGGQESFVCNETFAGGIVYLVGVGGGLCVCVHACTREGHVWMYV
+CTWVCQCVSVQVVCICVHVGVPCEHPCVCVCVCVYTPLCA
+>tr|A0A3Q1MAA1|A0A3Q1MAA1_BOVIN Protein tyrosine kinase 2 OS=Bos taurus OX=9913 GN=PTK2 PE=3 SV=1
+MAAAYLDPNLNHTPSSSTKTHLGTGVERSPGAMERVLKVFHYFESNSEPTTWASIIRHGD
+ATDVRGIIQKIVDSHKVKHVACYGFRLSHLRSEEVHWLHLDMGVSNVREKYELAHPPEEW
+KYELRIRYLPKGFLNQFTEDKPTLNFFYQQVKSDYMLEIADQVDQDIALKLGCLEIRRSY
+WEMRGNALEKKSNYEVLEKDVGLKRFFPRSLLDSVKAKTLRKLIQQTFRQFANLNREESI
+LKFFEILSPVYRFDKECFKCALGSSWIISVELAIGPEEGISYLTDKGCNPTHLADFNQVQ
+TIQYSNSEDKDRKGTLQLKIAGAPEPLTVTAPSLTIAENMADLIDGYCRLVHGATQSFII
+RPQKEGERALPSIPKLANSEKQGVRTHAVSVSETDDYAEIIDEEDTYTMPSTRDYEIQRE
+RIELGRCIGEGQFGDVHQGTYTSPENPALAVAIKTCKNCTSDSVREKFLQEALTMRQFDH
+PHIVKLIGVITENPVWIIMELCTLGELRSFLQVRKYSLDLASLILYAYQLSTALAYLESK
+RFVHRDIAARNVLVSSNDCVKLGDFGLSRYMEDSTYYKASKGKLPIKWMAPESINFRRFT
+SASDVWMFGVCMWEILMHGVKPFQGVKNNDVIGRIENGERLPMPPNCPPTLYSLMTKCWA
+YDPSRRPRFTELKAQLSTILEEEKVQQEERMRMESRRQVTASWDSGGSDEAPPKPSRPGY
+PSPRSSEGFYPSPQHTVQTNHYQVSGYPGPHGVTAMAGSIYPGQASLLDQTDSWNHRPQE
+ISMWQPSVEDSAALDLRGLGQALPTHLMEERLIRQQQEMEEDQRWLEKEERFLKPDVRLS
+RGSIDREDGGPQGLTGNQHIYQPVGKPDPAAPPKKPPRPGAPGHLGSLASLGSPGDSYNE
+GVKLQPQEISPPPTANLDRSNDRVYENVTGLVRAVIEMSSKIQPAPPEEYVPMVKEVGLA
+LRTLLATVDETIPVLPASTHREIEMAQKLLNSDLGELINKMKLAQQYVMTSLQQEYKKQM
+LTAAHALAVDAKNLLDVIDQARLKALGQPRPH
+>tr|E1BED4|E1BED4_BOVIN Cyclic AMP-responsive element-binding protein 5 OS=Bos taurus OX=9913 GN=CREB5 PE=3 SV=3
+MNLEQERPFVCSAPGCSQRFPTEDHLMIHRHKHEMTLKFPSIKTDNMLSDQTPTPTRFLK
+NCEEVGLFSELDCSLEHEFRKAQEEESSKRNISMHNPVGGAMAGPGAHQLGSARMPNHDT
+SVVIQQAMPSPQSSSVITQAPSTNRQIGPVPGSLSSLLHLHNRQRQPMPASMPGTLPNPT
+MPGSSAVLMPMERQMSVNSNLLGMQGPNLSNPCASPQVQPMHSEAKMRLKAALTHHPAAM
+SNGNMNTMGHMMEMMGSRQDQTPHHHMHSHPHQHQTLPAHHPYPHQHQHPAHHPHPQPHH
+QQNHPHHHSHSHLHAHPAHHQTSPHPPLHSGTQAQVSPATQQMQPTQTIQPPQPTGGRRR
+RVVDEDPDERRRKFLERNRAAATRCRQKRKVWVMSLEKKAEELTQTNMQLQNEVSMLKNE
+VAQLKQLLLTHKDCPITAMQKESQGYLSPESSPPASPTPACSQQQVIQHNTITTSSAVSE
+VVGSSTLSQLTTHRTDLNPIL
+>tr|A0A3Q1ME46|A0A3Q1ME46_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LAGE3 PE=4 SV=1
+MQETGWDVGAGSLAGGAESRGRRGVGGGRGGPRSAGAASAADHGAPGVAPAQHSSGLGGD
+PWSPAQRPGSRPCVFTLSVPFPSALEAEIARGSLAPDVEPHRGAVGKELTVSGSVLVVCW
+RAEDCRLLRISIVNFLDQLSLVMRTMQRFGPSVAR
+>tr|A0A3Q1MF52|A0A3Q1MF52_BOVIN DNA polymerase gamma, catalytic subunit OS=Bos taurus OX=9913 GN=POLG PE=4 SV=1
+MSRLLWRKVAGATVGPGPIPAPRRWVSSSASDPVPSDGPPSPQPVPSSVGGQLRHNPLHI
+QMLSRGLHEQIFGPGGERPDEAAVRRSVEHLQKHGLWGQPAPPLPDVELRLPPLLGGSLD
+QHFRILAQKQSLPYLEAANSLLQAQLPPRPPSWAWAEGWTRYGPAGEAEPVAIPEERALV
+FDVEVCLAEGTCPTLAVAISPSAWYSWCSRRLVEERYSWTSQLSPADLIPLEVPASAGSP
+AQRDRQERLVVGHNVSFDRAHIREQYLIQGSHMRFLDTMSMHMAISGLSSFQRSLWMAAK
+QGKHKARPPTQRGQKSQSKANGPPISSWDWLDISSVNNLADVHGLYVGGPRLEKEPRELF
+VKGNMKDIRENFQDLMQYCAQDAWATYEVFQQQLPLFLERCPHPVTLAGMLEMGVSYLPV
+NQNWERYLAEAQGTYEELQREMKKSLMDLANDACQLLSGERYKEDPWLWDLEWDVQEFKQ
+KKAKKGKRREPAAASKLPVEGADAPGDPRDQEDPGPPSEEEEFQRDATARTCLEQLRGTT
+ELLPKRPQHLPGHPGWYRKLCPRLDDPEWTPGPSLLSLQMRVTPKLMALTWDGFPLHYSE
+QHGWGYLVPGRRDNLAQVSAGSTPTSAGAACPHTAIESLYRKHCLEQGKQQLELPQADLS
+EEFLLSDSGAMWQTVEELGCLEVEAEAKMENLQEAVPGQPLAPTAAGGPKASQPAYHHGN
+GPYNDVDIPGCWFFKLPHKDGNSCNVGSPFAKDFLPKMEDGSLQAGPGGASGPRALEINK
+MISFWRNAHKRISSQMVVWLPRSALPRAVTRHANYDEEGRYGAILPQVVTAGTITRRAVE
+PTWLTASNARPDRVGSELKAMVQAPPGYVLVGADVDSQELWIAAVLGDAHFAGMHGCTAF
+GWMTLQGRKSRGTDLHSKTAATVGISREHAKIINYGRIYGAGQPFAERLLMQFNHRLTRQ
+EAAEKAQQMYAVTKGLRRYRLSDEGEWLVKELDLPVERTEDGWVSLKDLRKIQREASKKS
+RWKKWEVVAQRAWMGGTESEMFNKLESIATSDIPRTPVLGCRISRALEPCAVRGEFMTSR
+VNWVVQSSAVDYLHLMLVAMKWLFEEFAIDGRFCISIHDEVRYLVREEDRYRAALALQIT
+NLLTSSMLICH
+>tr|A0A3Q1LMX5|A0A3Q1LMX5_BOVIN Multidrug resistance-associated protein 1 OS=Bos taurus OX=9913 GN=ABCC1 PE=4 SV=1
+MTHLNKAKTALGFLLWIVCWADLFYSFWERSMGKLLAPVFLVSPTLLGITMLLATFLIQI
+ERRRGVQSSGIMLTFWLIALLCALAILRSKIMTALKEDARVDVFRDVTFYIYFSLVLIQL
+VLSCFSDRSPLFSETINDPNPCPESSASFLSRITFWWITGMMVQGYRQPLESTDLWSLNK
+EDTSEQVVPVLVKNWKKECAKSRKQPVKIVYSSKDPAKPKGSSKVDVNEEAEALIVKCPQ
+KERDPSLFKVLYKTFGPYFLMSFLFKAVHDLMMFAGPEILKLLINFVNDKKAPEWQGYFY
+TALLFISACLQTLVLHQYFHICFVSGMRIKTAVIGAVYRKALVITNAARKSSTVGEIVNL
+MSVDAQRFMDLATYINMIWSAPLQVILALYLLWLNLGPSVLAGVAVMVLMVPLNAVMAMK
+TKTYQVAHMKSKDNRIKLMNEILNGIKVLKLYAWELAFKDKVLAIRQEELKVLKKSAYLA
+AVGTFTWVCTPFLVALSTFAVYVTVDENNILDAQKAFVSLALFNILRFPLNILPMVISSI
+VQASVSLKRLRVFLSHEDLDPDSIQRRPIKDAGATNSITVKNATFTWARNDPPTLHGITF
+SVPEGSLVAVVGQVGCGKSSLLSALLAEMDKVEGHVTVKGSVAYVPQQAWIQNISLRENI
+LFGRQLQERYYKAVVEACALLPDLEILPSGDRTEIGEKGVNLSGGQKQRVSLARAVYCDS
+DVYLLDDPLSAVDAHVGKHIFENVIGPKGLLKNKTRLLVTHAISYLPQMDVIIVMSGGKI
+SEMGSYQELLARDGAFAEFLRTYASAEQEQGQPEDGLAGVGGPGKEVKQMENGMLVTDTA
+GKQMQRQLSSSSSYSRDVSQHHTSTAELRKPGPTEETWKLVEADKAQTGQVKLSVYWDYM
+KAIGLFISFLSIFLFLCNHVASLVSNYWLSLWTDDPIVNGTQEHTQVRLSVYGALGISQG
+ITVFGYSMAVSIGGIFASRRLHLDLLHNVLRSPISFFERTPSGNLVNRFSKELDTVDSMI
+PQVIKMFMGSLFNVIGACIIILLATPMAAVIIPPLGLIYFFVQRFYVASSRQLKRLESVS
+RSPVYSHFNETLLGVSVIRAFEEQERFIRQSDLKVDENQKAYYPSIVANRWLAVRLECVG
+NCIVLFASLFAVISRHSLSAGLVGLSVSYSLQVTTYLNWLVRMSSEMETNIVAVERLKEY
+SETEKEAPWQIQDMAPPKDWPQVGRVEFRDYGLRYREDLDLVLKHINVTIDGGEKVGIVG
+RTGAGKSSLTLGLFRIKESAEGEIIIDDINIAKIGLHDLRFKITIIPQDPVLFSGSLRMN
+LDPFSQYSDEEVWTSLELAHLKGFVSALPDKLNHECAEGGENLSVGQRQLVCLARALLRK
+TKILVLDEATAAVDLETDDLIQSTIRTQFDDCTVLTIAHRLNTIMDYTRVIVLDKGEIQE
+WGSPSDLLQQRGLFYSMAKDSGLV
+>tr|A0A3Q1ME08|A0A3Q1ME08_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+VYEVSEESFLEEKVLVTHPQKTKTKPAKVPEPPKKVVPEEKIYVTIPKERETPATTEPDT
+AREAFPEVELPEAIPQIPEHLPTEGIILKVVPEKKAPKAPPKTPEAPPVTGTC
+>tr|E1BLE0|E1BLE0_BOVIN Peptidase S1 domain-containing protein OS=Bos taurus OX=9913 GN=LOC786126 PE=3 SV=3
+MVLLLLLVALLSPTGEAGKIIGGHEAKPHSRPYMAFLQVKTSGKSHNCGGFLVREDFVLT
+AAHCLGSSINVTLGAHNIMERERKQQVIPMRRAIPHPCYNKKTWANDIMLLKLTRKADIT
+DAVSPIDLPRRLAKVKPGMMCSVAGWGQLGVNMPSTDKLQEVDLEVQSEEECIARFKKYI
+PSKQICAGNPSKRKNSFSGDSGGPLVCDGVAQGIVSYGRKDGTTPDVYTRISSFLSWIHS
+TMRRYKRQGSV
+>tr|F1MS34|F1MS34_BOVIN Rhotekin OS=Bos taurus OX=9913 GN=RTKN PE=4 SV=1
+MFSRNHRSRVTVARGSALEMEFKRGRFRLSLLSDPPEDTELQRKLDHEIRMREGACKLLA
+ACSQREQALEATKSLLVCNSRILNYMGELQRRKEAQVLKKTGRRPSDSGPPTERSPCRGQ
+ICISDLRIPLMWKDTEYFKNKGDLHRWAVFLLLQIGEHIQDTEMILVDRTLTDISFQNNV
+LFAEAGPDFELRLELYGACVEEEGALAGAPKRLATKLSSSLGRSSGRRVRASLETAGGSG
+SSPILLPTPAVGGPRYHLLAHTTLTLAAVQDGFRTHDLTLATPEESPAWLPLYGSMCCRL
+VAQPLCMTQPTASGTLRVQQAGEPRDWVQVHGVLKGTNLFCYRQPEDTDTGDEPLFTIAI
+NKETQVRAGELDQAASWPFTLSISNRYGEEEVTHTLQAESRGALQSWMEALWQLFFDMSQ
+WKQCCDEIMKIETPAPRKPPQVLAKQGSLYHEMAIEPLDDIAAVTDILAQREGARLETPP
+PWLAVFTDQPALPGPCSPASVAPAPARIHSLPWGRPRTFSLDAVPSDHSPGASRLVAPLP
+LQRSPRSRGLCSKGPPHTWLQSPV
+>tr|A0A452DIG7|A0A452DIG7_BOVIN Small nuclear ribonucleoprotein U1 subunit 70 OS=Bos taurus OX=9913 GN=SNRNP70 PE=4 SV=1
+MRGPAPPRCPTGTGTGTASGSAGSEAASETKSGNDDAPALGTGGGGRGVATRRSGGAPGS
+GARTRTGTASGAAAGAGSGRGGSASARRSCAAAEAAAATWPSPPRPATRPRMTGPPGSWV
+PTARTAQRRRAGIVTGTDVEATAASGSGAGTEIATGTGSTSGGSGAAIGAGMRPEVGVAV
+ARTTGSRVWATTAETCTWSPREATGISLRRTGI
+>tr|A0A3Q1MBK5|A0A3Q1MBK5_BOVIN RUNX1 translocation partner 1 OS=Bos taurus OX=9913 GN=RUNX1T1 PE=4 SV=1
+MVGLSGPVQYRTEKHSTMPDSPVDVKTPSRLTPPTMPPPPTTQGAPRTSSFTPTTLTNGS
+SHSPTALNGAPSPPNGFSNGPSSSSSSSLANQQLPPACGARQLSKLKRFLTTLQQFGNDI
+SPEIGERVRTLVLGLVNSTLTIEEFHSKLQEATNFPLRPFVIPFLKANLPLLQRELLHCA
+RLAKQNPAQYLAQHEQLLLDASTTSPVDSSELLLDVNENGKRRTPDRTKENGFDREPLHS
+EHPSKRPCTISPGQRYSPNNGLSYQPNGLPHPTPPPQHYRLDDMAIAHHYRDSYRHPSHR
+DLRDRNRPMGLHGTRQEEMIDHRLTDREWAEEWKHLDHLLNCIMDMVEKTRRSLTVLRRC
+QEADREELNYWIRRYSDAEDLKKGGSSSSSHSRQQSPVNPDPVALDAHREFLHRPASGYV
+PEEIWKKAEEAVNEVKRQAMTELQKAVSEAERKAHDMITSERAKMERTVAEAKRQAAEDA
+LAVINQQEDSSESCWNCGRKASETCSGCNTARYCGSFCQHKDWEKHHHICGQTLQAQQQG
+DTPAVSSSVTPNSGAGSPMDTPPAATPRSTTPGTPSTIETTPR
+>tr|A0A3Q1NDF3|A0A3Q1NDF3_BOVIN LysM domain-containing protein OS=Bos taurus OX=9913 GN=NCOA7 PE=4 SV=1
+MDTKEEKKERKQSYFARLKKKKQAKQNAETASAMAARTHSGKEDASKVILEQDKCNIAVE
+EEYITDEKKKRKSNQLKEIRRTELKRYYSIDDNPNKTHDKKEKKMVVQKPHGTMEYTAGS
+QDTLNSIALKFNITPNKLVELNKLFTHTIVPGQVLFVPDANFPSSTLRLSSSSPGATVSP
+SSSDAEYDKLPDADLARKALKPIERVLSSTSEEDEPGVVKFLKMNCRYFTDGKGVVGGVM
+IVTPNNIMFDPHKSDPLVIENGCEEYGLICPMEEVVSIALYNDISHMKIKDALPSDLPQD
+LCPLYRPGEWEDLASEKDINPFSKFKSLNKEKRQQIGERTITSDSTSTGPSEKSTEYIET
+KPSDSSVSDRVKKLESSAEATQQSTPVANVSKEPSDTAFESIAKENSLLGEDDDFVDLEE
+LSSQTKGGMDKRDTSKECLSLDPEELRKTKSRVSSSTTEIQSALNFSGTECDAELKGALD
+LKTCEKQDIMPEVDKQSGSPESQVENTLNIHEDLDKVKLIEYYLNKNKEGSQLPDNVQKA
+ELSDGKSTEPVGIDITLSSSLPQTGDPPTEGKEEPDKTWAKARAPLPLQLSSSTEESMNK
+EYPHSSLESTLDNSCQGAQMDNKSEIQLWLLKKIQVPIEDILPSKEEKSKTPPMFLCIKV
+GKPMRKSFATHTTAMVQQYGKRRKQPEYWFAVPRERVDHLYTFFVQWSPDVYGKDAKEQG
+FVVVEKEELNMIDNFFSEPTTKSWEIITVEEAKRRKSTCSYYEDEDEAALPTLQPHSALL
+ENMHIEQLARRLPARVQGYPWRLAYSTLEHGTSLKTLYRKSAALDSPVLLVIKDMDNQIF
+GAYATHPFKFSDHYYGTGETFLYTFSPHFKVFKWSGENSYFINGDISSLELGGGGGRFGL
+WLDADLYHGRSNSCSTFNNDILSKKEDFIVQDLEVWTFE
+>tr|A0A3Q1LRM6|A0A3Q1LRM6_BOVIN Mitogen-activated protein kinase OS=Bos taurus OX=9913 GN=MAPK10 PE=4 SV=1
+MSKSKVDNQFYSVEVGDSTFTVLKRYQNLKPIGSGAQGIVCAAYDAVLDRNVAIKKLSRP
+FQNQTHAKRAYRELVLMKCVNHKNIISLLNVFTPQKTLEEFQDVYLVMELMDANLCQVIQ
+MELDHERMSYLLYQMLCGIKHLHSAGIIHRDLKPSNIVVKSDCTLKILDFGLARTAGTSF
+MMTPYVVTRYYRAPEVILGMGYKENVDMWSVGCIMGEMIKGAVLFPGTDHIDQWNKVIEQ
+LGTPCPEFMKKLQPTVRNYVENRPKYAGLTFPKLFPDSLFPADSEHNKLKASQARDLLSK
+MLVIDPAKRISVDDALQHPYINVWYDPAEVEAPPPQIYDKQLDEREHTIEEWKELIYKEV
+MNSEEKTKNGVVKGQPSPSGAAVNSSESLPPSSSVNDISSMSTDQTLASDTDSSLEASAG
+PLGCCR
+>tr|A0A3Q1LVD9|A0A3Q1LVD9_BOVIN Centrosomal protein 170B OS=Bos taurus OX=9913 GN=CEP170B PE=4 SV=1
+MTGAITARRQPLQCGHVPGTGWVCGSEPAGVHPHGRVPSSSTALAVEGAVRGPGPPLLLP
+VLLNALPHPNMYVLERVQHRVPEEALRHEKYTSQLQVSVKTPAPKRAEAVPEQAPYCEAS
+TPRPERGDRRPGPEAATYRTPLYGQPSWWGEDDGGSLPEDRHQDEPCPERPKDLAQQDGD
+LTGTPAGFRAPSEPQIYSFRREPSYFEIPTKETPPPRPPEAPVHEAPTRDAEPGGGGAGP
+VQSHACFTIEFDDCSPGKLKIKDHVTKFLRQRRPPGKEATPVEVVSAETKVADWLVQNDP
+SLLPRAGPGDDRHSTKSDLPVHARTLKGHKHEDGTQSDSEDPLAKVAGAPGVPAEASGEQ
+ARLQRQIKRDPQELLHNQQAFVIEFFDEDTPRKKRSQSFTHTPPGDPRLDRRRGPGPADR
+DRPAAPAPARGTGSSSGPQRAGSLKREKTEERLGSPSPATRAAARPFGSVGRRSRLAQDF
+AAQCLRDSSPAARPGPEKVPPTLPAPLTPRGASPAASSPPPPPPADPQVTKARKQEEDDS
+LSDAGTYTIETDAQDQEVEEARKMIDQVFGVLESPELSRASSAAFRPVIRGDREELGDGM
+AHRMALLQEFASRPAGVTPQVELQGLPVPGSPGGQKWVSRWASLADSYSDPGLSEDGPGH
+RAAELEGIPPMRPRRLLPQLPSDRADSPAGPEVARRSGPGPPEVGSEQAGLLLGQEDLEP
+DSLSDASGSDGGPGPEPGGGPQEERRRSPQEGPAWTRGRRSPRGPGEPAPTSFFISDPSA
+DAALPRKAPVAPGQVEGPGRAQPSAPAPAPAPAPAHDSKYVSTSGRMVIQLQTTGKPPEL
+EGPAPAPTKEALAFVRQESFTKEPASGPAVPGQLPQIPSHPLLQDLATTRAARMDLHSQD
+THLILKETETALAALEARLLSKSFEEPEGGVGSAPGPPEDSLSGDSDVDTASTVSLLSGK
+NGPSPTGSQPSGLQREKPPSPPAAQDLGGVSLSSARERLSEKQRRPLGPVDTGHGEPARR
+LAARRGHGPRGSPDWPAEDRDSSLAHPPSADTVTSDHETPGATGAARPGTRRKPMAPPPP
+TTAAREEQGRGSAGVQKSQQALTRSNSLSTPRATRASRLRRARLGDASDTEAADGERGPP
+ANQEPAGRPAAEQAKKLSRLDILAMPRKRAGSFTGPSDSEAAPTRAGFSGRSVELYCPGR
+KPTTMAEARPTARKATNAAAVPRQPFSRARPGSARYSSPTTQTPRAGGSGRARPRAPGLR
+DTDDEGEEPDPYGFIVQTAEIAEIARLSQTLVKDVATLAREIHDVAGDGDSPGSPGPARS
+PSLNNVPSTPASTISAREELVQRIPEASLNFQKVPPGSLGPRDLDQNMNDRCEDALANKT
+RPRNREEVIFDNLMLNPVSQLSQAIRENTEHLAEKMKILFQNSGRAWEDLEARINAENEV
+PILKTSNKEISSILKELRRVQKQLEVINAIVDPSGNLDLLTGNRGPVGSAQVGRARPAAP
+GLCSPSSALPPRSFPQRTSCGTPGLPDPPLRPDFLPDAERFLI
+>tr|A0A3Q1MA84|A0A3Q1MA84_BOVIN WIZ zinc finger OS=Bos taurus OX=9913 GN=WIZ PE=4 SV=1
+MDGPLAGGLAAPDRPRGPERLPGPAPREDIEGGAEVAEGEGCIFRSTHYLPVTKEGPRDI
+LDGRGGISDGQPHPGLSEALPRATSATHRISSCCWDGGSLDFQPGSPSPHPLGHYPGPLD
+GRGPWERPLIQEAGEDLASEQRFEDSVIMRTVKPHAELEGSRRFLYHHQGESKVFEKVPR
+GRPRFDWLQDADEAVPLQDAGLDMDLPPQPSPLTSFRTVLMPVDDTMKTLDGPVVGTREH
+LAGLEGLTQPSEWSLPRSASEVATQTWTVNSEASVERLQPLLSSIRTRPYLCELLEEVAE
+GADSPDEDEDEEPAVFPCIECSIYFKQKEHLLEHMSQHRRAPGQEPPAELAPLACGECGW
+AFADPGALERHRQLHQASREKIIEEIQKLKQVPGDAGREARLQCPRCVFGTNSSKAFVQH
+AKLHAQEPRGPAAQEPFGGSSGAGSPGPDATSLAYQPYEDPSGLSACVFCGFPAPSESLL
+REHVRLVHAHPHWEEEDGEAFEEEGPASRPGTSQDAYTRFSEAADYFGKAEPLLAPTWQE
+NPAGYDPSLAFGPGCQQLGMRDFPLSKPLPQCSSQRPLGRPAFPSPLASAAYSLQASRSK
+NVVQPQGLPGHLEDQRHPWSEEEDEEEEEEEELLLASEMEFPPENRVFAPPASPGLIPQS
+ALELKRTFREALQTAVASRAQQQQLCGMVPVVLVAKLGPRVMAAAARAPPRLQPEELGLG
+GAHPLDFLLLDTPLGGPLGLDPFLDGDPGVTLKPEERKCPYCPDRFHNGIGLANHVRGHL
+NRVGVSYNVRHFISAEEVKAIERRFSFQKKKKKVANFDPGTFSLMRCDFCGAGFDTRAGL
+SSHARAHLRDFGITNWELTVSPINILQELLATSAAERPPSPLCREAGVPPSGFLTSRRPR
+LPLTVPFPPTWAEDPGPAYGDGLGSEENAMVAMDLGSPPLPKKSLPVPGPLEQVANRLSS
+KMAAEVPHGSKQELPDLKAQSLTTCEVCGACFETRKGLSSHARSHLRQLGVAESESSGAP
+IDLLYELVKQKGLPDTPLGLPPSLTKKSNSPKEIVAGAPRPGLLALAKPLDAPAVNKAIK
+SPPGFSAKGLAHPPNSPLLKKASLALAGSPTHKNPEDKSPQLSLSPRPASPKAQWPQSED
+EGPLNLTLDSDGGRELDCQLCGAWFETRRGLSSHARAHLRHLGVSDPDAKGSPIDVLHGL
+IRRAGVQIRLPLGRGALALLGRPPPASAALSLLPPPPPAKRAKLKAKGTASPWGKQDLPA
+AAAAGIFWASDVEPSPLNLSSGPEPARDIRCEFCGEFFENRKGLSSHARSHLRQMGVTEW
+YVNGSPIDTLREILKRRTQSRPGGPPNPSGPSPKALAKVVSSGGPGSSLEARSPADLHLS
+PLAKKLPPPPGSPLGHSPTVSPPPTARKMFPGLSSPSLPKKLKPEQMRVEIKREMLPGAL
+HGEPHPSEGPWVAPREDMTPLNLSSRAEPVRDIRCEFCGEFFENRKGLSSHARSHLRQMG
+VTEWSVNGSPIDTLREILKKKSKPCLIKKEPPAGDLAPALTEDGPPTVAPGPMQAPLPLA
+PMAGRPGKPGAGPAQIPRELTLAPITGTKHSATGYLGSVAAKRPLQEDRLLPAEVKAKTY
+IQTELPFKAKTLHEKTSHSSTEACCELCGLYFENRKALASHARAHLRQFGVTEWCVNGSP
+IETLSEWIKHRPQKAGAYRSYIQSGRPFTKKFRSAGHGRDGDKRPPLGLAPGGLAVVGRG
+AGGEPGPEAGRAADSGERPLAASPPGTVKAEEHQRQNINKFERRQARPPDASAARGSEEA
+NDLHQKLEEVRQPPPRVRPVPALVPRPPQTSLVKFVGNIYTLKCRYAALPWGREETARAG
+LGLQSLWARLGCGWAQGGGSSQTAMPHSLRPLSFITCKTWVQLPALTTV
+>tr|G3N373|G3N373_BOVIN Phosphatase and actin regulator OS=Bos taurus OX=9913 GN=PHACTR1 PE=3 SV=2
+MDYPKMDYFLDVESAPRLLDVESAQRFFYSQGAQARRATLLLPPTLMAASSEDDIDRRPI
+RRVRSKSDTPYLAEARISFNLGAAEEVERLAAMRSDSLVPGTHTPPIRRRSKFANLGRIF
+KPWKWRKKKSEKFKHTSAALERKISMRQSREELIKRGVLKEIYDKDGELSISNEDDSLEN
+GQSLSSSQLSLPALSEMEPVPMPRDPCSYEVLQPADIMDGTDPGAPVKLPCLPVKLSPPL
+PPKKVMICMPVGGPELSLASYAAQKSGPQGGAQHHHTVLPSQIQHQLQYGSLGQHLPSTT
+GSLPLHPSGCRMIEELNKTLAMTMQRLESSEQRVPCSTSYHSSGLHSGDSVTKAGPLGLP
+EIRQVPTVVIECDDNKENVPHEADYEDSSCLYTREEEEEEDEDEDDDTSLYTSSLAMKVC
+RKDSLAIKLSNRPSKRELEEKNILPRQTDEERLELRQQIGTKLTRRLSQRPTAEELEQRN
+ILKPRNEQEEQEEKREIKRRLTRKLSQRPTVEELRERKILIRFSDYVEVADAQDYDRRAD
+KPWTRLTAADKAAIRKELNEFKSTEMEVHELSRHLTRFHRP
+>tr|F1MPZ1|F1MPZ1_BOVIN DH domain-containing protein OS=Bos taurus OX=9913 GN=ARHGEF38 PE=4 SV=2
+MEPKEAPGKENMGTKKKNLPFLRPRLYMLERRKTDTVVESSVPGDHSGTLRRSQSDRSEY
+NQKLQEKMTPQAGCSTAETLTQEDEHQIQRMMAKRAKIIKELIQTEKDYLNDLELCVQEV
+VQPLRNKQVDRLDVDSLFSNIESVHQISAKLLSLLEEATTDVEPAMQVIGINYGRYEKNG
+>tr|E1BGS3|E1BGS3_BOVIN Bone morphogenetic protein 5 OS=Bos taurus OX=9913 GN=BMP5 PE=3 SV=3
+MHLTVFLLRGIVGFLWSCWVLVGSTKGSLGDNHVHSSFIYRRLRNHERREIQREILSILG
+LPHRPRPFSPGKQASSAPLFMLDLYNAMASEENPEELEYSVRAPLAADSRGARKGSPASP
+NGYPRRIQLSRASPLTTQSPPLASLHDANFLNDADMVMSFVNLVERDKDFSHQRRHYKEF
+RFDLTQIPQGEAVTAAEFRIYKDRSNGRFENETIKISIYQIIKEYANRDADLFLLDTRKT
+QALDVGWLVFDITVTSNHWVINPQNNLGLQLCAETGDGHSINVKSAGLVGRHGPQSKQPF
+MVAFFKASEVLLRSVRAANKRKNQNRNKSGSHQDSSRMSSVGDYNTSEQKQACKKHELYV
+SFRDLGWQDWIIAPEGYAAFYCDGECSFPLNAHMNATNHAIVQTLVHLMFPDHVPKPCCA
+PTKLNAISVLYFDDSSNVILKKYRNMVVRSCGCH
+>tr|G3N3U6|G3N3U6_BOVIN Phospholipase A(2) OS=Bos taurus OX=9913 GN=PLA2G2D1 PE=3 SV=1
+MPNMQSKTFFKDIENRNNKTPHCQKRGNTKSMGDLQQSGSWEGGGELSEQGPSDIRGCWL
+TRDFQSLPPLLCAAVMGLSLLCALLVFAGVAPAEADILDLNEMVRQVTGKIPIFFYSSYG
+CYCRIGGQGQPRDATDWCCYDHNCCYGYLTPHNCDYLYDHYDYTFFQGNVQCSTKGSWCE
+QQLCACDKTLAFCLQRNLNTYKNHLRHLSRCEGETLACPPAS
+>tr|F1N161|F1N161_BOVIN Calpastatin OS=Bos taurus OX=9913 GN=CAST PE=1 SV=2
+MAFASWWYKTHVSRKTSGSPSKSGEKKGSDEKKATSLGSSQLSRTQADEKALVPKVTTSS
+ASASKSSSMNPTEAKAIPGSKQLEGPHSPNKKRHKKQAVKTEPEKKPQSSKPSVVHEKKT
+QEVKPKEHTEPKSLPKHSSDTGSKHAPKEKAVSKSSEQPPSEKSTKPKTKSQDKISGGGK
+STVPAAAAAASAEPADKNKENKLLTSAVPAESKPSKPSGKSDMDTALDDLIDTLGEPEEM
+KEDNTTYTGPEVSDPMSSTYIEELGKRESTLPPKYKELLNKEEGIAGPPPDSLKPLGPND
+AIDALSSDFTCSSPTADAKKTEKEKSTEEALKAQSAGVIRSAAPPQEKKRKVEKDAMTEH
+ALEALSASLGTRKPEPELDPSSIKEVDEAKAKEEKVKKCGEDEETVPSEYRLKPATDKDG
+KPLLPEAEEKPKPLSESELIDELSEDFDQSKCKEKQSKPTEKTEASPAAAPVPVAEDVPR
+TSMCSVQSAPPTAAPVKGMVPDDAVEALAGSLGKKEADPEDGKPVEDKVKEKAKEEDREK
+LGEKEETIPPDYRLEEAKDKDGKPLLPKEVKEPLPPLSEDVLLDALSKDFTVPSDTSSPQ
+FEDAKLSAVVSEVVSQTPAPTTQAAGPPPSTAQRDNKELDDALDQLSDSLGQRQPDPDEN
+KPVEDKVKEKAKAEHRDKLGERDDTIPPKYQHLLDDNKEGTPGKPKASEKPKASEKPAGA
+QDPIDALSGDFDSCPSTTETSTDTPKDKDKKPASSAEAPRNGGKAKDSTKAKEETSKPKA
+DGKSTS
+>tr|A0A3Q1MU61|A0A3Q1MU61_BOVIN Echinoderm microtubule associated protein like 1 OS=Bos taurus OX=9913 GN=EML1 PE=4 SV=1
+MEDGFSSYSSLYDTSSLLQFCNDDSASAASSMEVTDRIASLEQRVQLQEDDIQLLKSALA
+DVVRRLSVTEEQQAVLSRKGPTKARPLVQTLPLRTTVNNGTVVPKKPSGSLPAPPGARRE
+PAVPAAAKSTVKRTSSSERVSPGGRRESYGDSKGNRNRTGSTSSSSSGKKNSESKPKEPV
+FSAALLSLSPKSQGKRRVTHCKEEGYVKMFLRGRPVTMYMPKDQVDSYNLEAKVELPTKR
+LKLEWVYGYRGRDCRNNLYLLPTGETVYFIASVVVLYNVEEQLQRHYAGHNDDVKCLAVH
+PDRVTIATGQVAGTSKDGKQLPPHVRIWDSVTLNTLHVVGIGFFDRAVTCIAFSKSNGGS
+NLCAVDDSNDHVLSVWDWQKEERLADVKCSNEAVFAADFHPTDTNIIVTCGKSHLYFWTL
+EGSSLIKKQGLFEKQEKPKFVLCVTFSENGDTITGDSSGNILVWGKGTNRISYVVQGAHE
+GGIFALCMLRDGTLVSGGGKDRKLISWDGNYQKLHKTEIPEQFGPIRTVAEGKGDVILIG
+TTRNFVLQGTLSGDFTPITQGHTDELWGLAVHASKPQFLTCGHDRHATLWDAVGHRPVWD
+KVIEDPAQSSGFHPSGSVVAVGTLTGRWFVFDTETKDLVTVHTDGNEQLSVMRYSPDGNF
+LAIGSHDNCIYIYGVSDNGRKYTRVGKCSGHSSFITHLDWSVNSQFLVSNSGDYEILYWV
+PSACKQVVSVETTRDIEWATYTCTLGFHVFGVWPEGSDGTDINAASRAREKKLLSTGDDF
+GKVHLFSYPCSQFRAPSHVYSGHSSHVTNVDFLCDDSHLISTGGKDTSIMQWRVV
+>tr|A0A3Q1LUE8|A0A3Q1LUE8_BOVIN Serine/threonine-protein kinase mTOR OS=Bos taurus OX=9913 GN=MTOR PE=3 SV=1
+MLGTGPAAATTAATTSSNVSVLQQFASGLKSRNEETRAKAAKELQHYVTMELREMSQEES
+TRFYDQLNHHIFELVSSSDANERKGGILAIASLIGVEGGNATRIGRFANYLRNLLPSNDP
+VVMEMASKAIGRLAMAGDTFTAEYVEFEVKRALEWLGADRNEGRRHAAVLVLRELAISVP
+TFFFQQVQPFFDNIFVAVWDPKQAIREGAVAALRACLILTTQREPKEMQKPQWYRHTFEE
+AEKGFDETLAKEKGMNRDDRIHGALLILNELVRISSMEGERLREEMEEITQQQLVHDKYC
+KDLMGFGTKPRHITPFTSFQSVQPQQSNALVGLLGYSSHQGLMGFGASPSPAKSMLVESR
+CCRDLMEEKFDQVCQWVLKCRNSKNSLIQMTILNLLPRLAAFRPSAFTDTQYLQDTMNHV
+LSCVKKEKERTAAFQALGLLSVAVRSEFKVYLPRVLDIIRAALPPKDFAHKRQKAMQVDA
+TVFTCISMLARAMGPGIQQDIKELLEPMLAVGLSPALTAVLYDLSRQIPQLKKDIQDGLL
+KMLSLVLMHKPLRHPGMPKGLAHQLASPGLTTLPEASDVGSITLALRTLGSFEFEGHSLT
+QFVRHCADHFLNSEHKEIRMEAARTCSRLLTPSVHLISGHAHVVSQTAVQVVADVLSKLL
+VVGITDPDPDIRYCVLASLDERFDAHLAQAENLQALFVALNDQVFEIRELAICTVGRLSS
+MNPAFVMPFLRKMLIQILTELEHSGIGRIKEQSARMLGHLVSNAPRLIRPYMEPILKALI
+LKLKDPDPDPNPGVINNVLATIGELAQVSGLEMRKWVDELFIIIMDMLQDSSLLAKRQVA
+LWTLGQLVASTGYVVEPYRKYPTLLEVLLNFLKTEQNQGTRREAIRVLGLLGALDPYKHK
+VNIGMIDQSRDASAVSLSESKSSQDSSDYSTSEMLVNMGNLPLDEFYPAVSMVALMRIFR
+DQSLSHHHTMVVQAITFIFKSLGLKCVQFLPQVMPTFLNVIRVCDGAIREFLFQQLGMLV
+SFVKSHIRPYMDEIVTLMREFWVMNTSIQSTIILLIEQIVVALGGEFKLYLPQLIPHMLR
+VFMHDNSSGRIVSIKLLAAIQLFGANLDDYLHLLLPPIVKLFDAPEAPLPSRKAALETVD
+RLTESLDFTDYASRIIHPIVRTLDQSPELRSTAMDTLSSLVFQLGKKYQIFIPMVNKVLV
+RHRINHQRYDVLICRIVKGYTLADEEEDPLIYQHRMLRSGQGDALASGPVETGPMKKLHV
+STINLQKAWGAARRVSKDDWLEWLRRLSLELLKDSSSPSLRSCWALAQAYNPMARDLFNA
+AFVSCWSELNEDQQDELIRSIELALTSQDIAEVTQTLLNLAEFMEHSDKGPLPLRDDNGI
+VLLGERAAKCRAYAKALHYKELEFQKGPTPAILESLISINNKLQQPEAAAGVLEYAMKHF
+GELEIQATWYEKLHEWEDALVAYDKKMDTNKDDPELMLGRMRCLEALGEWGQLHQQCCEK
+WTLVNDETQAKMARMAAAAAWGLGQWDSMEEYTCMIPRDTHDGAFYRAVLALHQDLFSLA
+QQCIDKARDLLDAELTAMAGESYSRAYGAMVSCHMLSELEEVIQYKLVPERREIIRQIWW
+ERLQGCQRIVEDWQKILMVRSLVVSPHEDMRTWLKYASLCGKSGRLALAHKTLVLLLGVD
+PSRQLDHPLPTVHPQVTYAYMKNMWKSARKIDAFQHMQHFVQTMQQQAQHAIATEDQQHK
+QELHKLMARCFLKLGEWQLNLQGINESTIPKVLQYYSAATEHDRSWYKAWHAWAVMNFEA
+VLHYKHQNQARDEKKKLRHASGANITNAATAATTAATATATTTSTEGSNSESEAESTENS
+PTPSPLQKKVTEDLSKTLLMYTVPAVQGFFRSISLSRGNNLQDTLRVLTLWFDYGHWPDV
+NEALVEGVKAIQIDTWLQVIPQLIARIDTPRPLVGRLIHQLLTDIGRYHPQALIYPLTVA
+SKSTTTARHNAANKILKNMCEHSNTLVQQAMMVSEELIRVAILWHEMWHEGLEEASRLYF
+GERNVKGMFEVLEPLHAMMERGPQTLKETSFNQAYGRDLMEAQEWCRKYMKSGNVKDLTQ
+AWDLYYHVFRRISKQLPQLTSLELQYVSPKLLMCRDLELAVPGTYDPNQPIIRIQSIAPS
+LQVITSKQRPRKLTLMGSNGHEFVFLLKGHEDLRQDERVMQLFGLVNTLLANDPTSLRKN
+LSIQRYAVIPLSTNSGLIGWVPHCDTLHALIRDYREKKKILLNIEHRIMLRMAPDYDHLT
+LMQKVEVFEHAVNNTAGDDLAKLLWLKSPSSEVWFDRRTNYTRSLAVMSMVGYILGLGDR
+HPSNLMLDRLSGKILHIDFGDCFEVAMTREKFPEKIPFRLTRMLTNAMEQIPKVTSDHGR
+GQIPTLLASQ
+>tr|A0A3Q1LPP3|A0A3Q1LPP3_BOVIN DNA polymerase delta subunit 4 OS=Bos taurus OX=9913 GN=POLD4 PE=4 SV=1
+MGRKRLITDSYPVVKRREGSAGHSKGELAPDLGEEPLPLSVDEEELELLRQFDLAWQYGP
+CTGITRLQRWHRAEQMGLKPPPEVHQVLQSHPGDPRFQCRPATSRCMTPAQPYHTWPLNS
+SGHCFSDLSTAE
+>tr|A0A3Q1MKL0|A0A3Q1MKL0_BOVIN KIAA0408 OS=Bos taurus OX=9913 GN=KIAA0408 PE=4 SV=1
+MDLHKQWENTEANWHKEKMELLDQFDNERKEWESQWKIMQKKIEELCHEVKRRRKINVSE
+RAKVIDLDCEKAVGDKMESSPDYPNSGQCKFTGIHPRDILGKKDKTEQSLLRERNQVCKE
+QKTIKKSKVGYMDSEARDNQKEHGAWPDLRTSEAENKSCSGALNTALEELAKVSEELCNF
+QEEIRKRSNHRRMKSDSFLQETPNVMNTLHGGHMINNSQCIPSTSLENEKWKNRKNLSCT
+NVFQNNSKKKDGIDTTDPKRSETPPIPPPRSTSRNFPSSYSAQAHESWKECLDHSSWVAQ
+EGQGEKNSHPHFFWKHDEMPLLGLNEGKTLNDGITFPSLAPEAKVDNKPPCNENTGLSMW
+SCNTGVCAKNSPSTLWFQKACSTPNKPKYEKVIPDHSTKPPPDLHISNDCSSLASQSSSP
+LRSFSYGFEKTTGNEKLAKKTDEFNRIVFRTDRNCHAVQQSQSCSEQSEDLQRCETLTAC
+TDNVSVSESVSDVLKTSAPMPVPRENVPDNSTKKPTPGQFRQTREPISPRSYRNMLHEHD
+WRPSSLSGRPRSADPRSNYGVVEKLLKTYETSAGSALQNSRCFQAKWTKCSSDVSGGATL
+GQHLEKLQIEQELEHKTAMHGAQQVRQGVDWKKVTEESMAVKSSPGKGFSRPARPANRRL
+PSRWASRSPSAPPALRRTAHNFPIPLRSEASMV
+>tr|E1BC73|E1BC73_BOVIN Zinc finger MYM-type containing 1 OS=Bos taurus OX=9913 GN=ZMYM1 PE=4 SV=3
+MPPCGGREFREYCQAQQPKTQENDLKINSAFSDSAPQITTGIQLSLASSGMNKMLPSIST
+TAVQVSCSGCKKVFQKGQTAYQRKGSTELFCSTPCITEYISSGSSPALPKRTCSNCSKDI
+LNLKDVISIQLEDTTTSKTFCSQSCLSSYEEKRKPVVTICANSVSAKCSMCQTTTAIQYE
+VKYQSVKHSLCCNACFSKFHSANNLIMNCCENCGAYCSTSSSMFHILQMEGQSHYFNSSK
+SITTHKQKPAKSLPPVLCKSLKPTDEMIETTSDLGKTELFCSINCFSAYSKAKMESSTVV
+RDASTNLPSPKKDATPVISNIVSLADTHDALPIVNSDVLQGTVSSVTANVIEDISPSESS
+NSVQQPSLSPPSSVVSQHTVALNTEEQKGHMLNQNATNNMKSMKKSDRLRHPKFTSKIQK
+VKGKSRSIKKSYFQRLENSIKKDVIFCYSCQLFCQKKFNYGRESLRAQGISHWKKTMEKF
+RKHEKSEMHLKSLQFWREYQFCDAAVNDTLSNQSKQIEGNKKYLKLIIENILFLGKQCLF
+LKGNDQSISSVNKGNFLELLEIRAKDKGEEIFRLMNSQVDFYNSTQIQNDIIEIIKTEIL
+QDIVNEINVSSAFSVICDETTGGATKGQFSVCVRYPQKTSKAVLIKERFLGFIDVEEMTG
+TSLHRSIKAYLQQIGVDLNKIRGQAYDSTSNWKGKLKKIAAEFKKEEPRALYLHCYTHCL
+DLAVIRFCKEVKELRSALNTLSSLFNTIHGEMSVNFQNIYKLSQSKTCKKHISQPCWTVH
+DNTLLSVIEGLPEIIETLEVLSNHSSNTSLADELSDLLAVVSKFEFIFCLKFLYRILSVT
+GILSKEFQSETIDIFSLSSKIEAILECLSSERNDTYFKTIWDGAEEICQKITCKGFEVER
+PSFQKRRKIQKIIDPGNSDSMFFPTSTEEQYKVNIYYQGLDTVLQNLKLCFLEFDYCKMK
+QISELLLKWNEPLNEATAKDIQEFYKFDADIIPELRFYRHYAKLNFVLEYDFINFSNLGH
+LFIQHGLHNNIPCISKLLYIALSWPVTSASAENSFSTLSRLKTYLCRTRGQEKLSGLALM
+AVEQELVDKLMEPERLNGIVEKFILQVKEI
+>tr|A0A3Q1MCX2|A0A3Q1MCX2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=APELA PE=4 SV=1
+MRFHQFFLLFVIFMLSLLLIHGQRQANLAMRRKLHRHNCLQRRCMPLHSRVPFP
+>tr|E1BIB4|E1BIB4_BOVIN Heterogeneous nuclear ribonucleoprotein L OS=Bos taurus OX=9913 GN=HNRNPL PE=1 SV=2
+MSRRLLPRAEKRRRRLEQRQQPDEQRRRSGAMVKMAAAGGGGGGGRYYGGGSEGGRAPKR
+LKTDNAGDQHGGGGGGGGGAGAAGGGGGENYDDPHKTPASPVVHIRGLIDGVVEADLVEA
+LQEFGPISYVVVMPKKRQALVEFEDVLGACNAVNYAADNQIYIAGHPAFVNYSTSQKISR
+PGDSDDSRSVNSVLLFTILNPIYSITTDVLYTICNPCGPVQRIVIFRKNGVQAMVEFDSV
+QSAQRAKASLNGADIYSGCCTLKIEYAKPTRLNVFKNDQDTWDYTNPNLSGQGDPGSNPN
+KRQRQPPLLGDHPAEYGGPHGGYHSHYHDEGYGPPPPHYEGRRMGPPVGGHRRGPSRYGP
+QYGHPPPPPPPPEYGPHADSPVLMVYGLDQSKMNCDRVFNVFCLYGNVEKVKFMKSKPGA
+AMVEMADGYAVDRAITHLNNNFMFGQKLNVCVSKQPAIMPGQSYGLEDGSCSYKDFSESR
+NNRFSTPEQAAKNRIQHPSNVLHFFNAPLEVTEENFFEICDELGVKRPSSVKVFSGKSER
+SSSGLLEWESKSDALETLGFLNHYQMKNPNGPYPYTLKLCFSTAQHAS
+>tr|A0A3Q1LIT2|A0A3Q1LIT2_BOVIN Transmembrane protein 237 OS=Bos taurus OX=9913 GN=TMEM237 PE=4 SV=1
+MRADSRLGVEEDHRRPPRALPPVPSAIQDDIPLSRPKKKKPRTKTPLVPRFLSSSVFLVP
+SFLCFFNLKPKVTASASSEGLVQTAVHRPPEGSEPPTKDSIEHQEAPVQRRQKKTRLPLE
+LETTLTQKKTASPSLLPNENGINVEPDEEPVIQKPRRKTKKTQPAELHYANELGVEDEDI
+ITDEQSSPEQQSVFTAPTGISQPVGKVFVEKSRRFQAADRAELIKTTEKIDVSMDMKPSW
+TTRDVALSVHRAFRMIGVFSHGFLAGCAVWNIVVIYVLAGDELSDLSNLLQQYKTLAYPF
+QSLLYLLLALSTVSAFDRIDFAKTSVAIRNFLALDPRALASFLYFTALVLSLSQQMTSDR
+IHLYTPSSVNGSLWAEGIEEQVLQPWIVVNLVVAVLVGLSWLFLSYRPGMDLSEELMFSS
+DVEEYPDKGIKVSS
+>tr|A0A3Q1MG56|A0A3Q1MG56_BOVIN Septin 6 OS=Bos taurus OX=9913 GN=SEPTIN6 PE=3 SV=1
+MAATDIARQVGEGCRTVPLAGHVGFDSLPDQLVNKSVSQGFCFNILCVGETGLGKSTLMD
+TLFNTKFEGEPATHTQPGVQLRSNTYDLQESNVGLKLTIVSTVGFGDQINKEDSYKPIVE
+FIDAQFEAYLQEELKIRRVLHTYHDSRIHACLYFIAPTGHSLKSLDLVTMKKLDSKVNII
+PIIAKSDAISKSELTKFKIKITSELVNNGVQIYQFPTDDESVAEINGTMNAHLPFAVIGS
+TEELKIGNKMMKARQYPWGTVQVENEAHCDFVKLREMLIRVNMEDLREQTHSRHYELYRR
+CKLEEMGFKDTDPDSKPFSLQETYEAKRNEFLGELQKKEEEMRQMFVQRVKEKEAELKEA
+EKELHEKFDRLKKLHQDEKKKLEDKKKSLDDEVNAFKQRKTAAELLQSQGSQAGGSQTLK
+RDKEKKNLGFL
+>tr|F1MVS4|F1MVS4_BOVIN Nucleolar protein 3 OS=Bos taurus OX=9913 GN=NOL3 PE=4 SV=1
+MGNTQERPSETIDRERKRLVETLQADSGLLLDALLARGVLTGPEYEALDALPDAERRVRR
+LLLLVQSKGEAACQELLSCAQRTVRAPDPTWDWQHVGTGYRERSYDPPCPGHWTPGAADS
+GTTCPWLPRAPESDEARGPEDSEAAQSGTLEEAEPELEAETSEGAEPELDPQMDPEPEPE
+AEPEPEAEPEPEPEPDFEAGDESEDS
+>tr|F1N7B3|F1N7B3_BOVIN RAR related orphan receptor C OS=Bos taurus OX=9913 GN=RORC PE=3 SV=2
+ADRAHLCSFLLPAQIEVIPCKICGDKSSGIHYGVITCEGCKGFFRRSQQCNVAYSCTRQQ
+NCPIDRTSRNRCQHCRLQKCLALGMSRDAVKFGRMSKKQRDSLHAEVQKQLQQRQQQQRE
+QAAKTPPMGAQGADTLACTLGLPDGQLPLGSSPDLPEASACPPSLLRAPGCGPSYSNSLA
+KTGLNGASYHLEYSPERGKAEGRENFYGIGSQLAPDRGGLHSEDPRRPGLGEPGRGLDSY
+FTPSFRSTPEVPYASLTEIEHLVQNVCKSYRDTCQLRLEDLLRQRSNIFSREEVAGYQRK
+SMWEMWGRCAHRLTEAIQYVVEFAKRLPGFMELCQNDQIVLLKAGAMEVVLVRMCRAYNA
+DNDTVFFEGCSELISSIFDFSRSLSALRFSEDEIALYTALVLINASGTGGKEPLPPKGKL
+RSLCSQHVEKLQTFQHLHPIVVQAAFPPLYKELFSTEIESPEGLSK
+>tr|E1BA51|E1BA51_BOVIN UBX domain protein 10 OS=Bos taurus OX=9913 GN=UBXN10 PE=4 SV=2
+MATEAPVNIAPPECSPVGSTATDSFPWQPDSLNMHVTRPKSAKGRTRPRLHKRLGMEGCS
+GRAPASLPPAIPCESPSSHKPGACTPGSPDQGAPGEIPELLQQAPFGVSSSLNKYPVLPS
+INRRTLEEGALETVAKKAGSLQLSSAQALSQEEACTMKMGEKDPQAQTCSPERRVLVRTE
+RQTSSRVGDLEEPSDQEPRLLLAIRSPSGRRFVRHFRPADALQTVVAVAEHKNKAAYRHC
+SIETMGVPRRRFSDLSKSLQDCGIPNKSVLGISQEEGEGWS
+>tr|A0A3Q1LN53|A0A3Q1LN53_BOVIN TNF receptor-associated factor OS=Bos taurus OX=9913 GN=TRAF1 PE=3 SV=1
+MNSRREKGNGSRNDEDRICPKCKGDDTPSESPGSLLSQEKDHPEVAEAGVGCPFAGVGCS
+FKGSPKFMEEHEVTSQAAHLNLLLGFMKQWKAQLGSGLGYGPMALERNLSDLQLQGAVEV
+AGDLEVDCYRAPCSESQDELALHHFMKEKLLNELEGKLCVFENIVAVLNKEVEASHLALA
+ASIHQSQLDREHILSLEQRVLELQQTLAQKDQALGKLEQSLRLVEEASYDGTFLWKITNV
+TRRCHESACGRTVSLFSPAFYTAKYGYKLCLRLYLNGDGTGKRTHLSLFIVIMRGEYDAL
+LPWPFRNKVTFMLLDQNNREHAIDAFRPDLNSASFQRPQSETNVASGCPLFFPLNKLQSP
+KHAYVKDDTMFVKCVVDTNT
+>tr|E1B7U8|E1B7U8_BOVIN Leucine rich repeat containing 36 OS=Bos taurus OX=9913 GN=LRRC36 PE=4 SV=1
+MAEQWELDEEGIRRLGALTLEQPELVESLSLQGSYAGKIHSIGDAFRNFKSLRSLDLSRN
+LITSLKGIQYLCSLQDLNLYYNNIPSLVEVSRLQPLPFLKELDLRLNPVVRKDTDYRLFA
+VYMLQTLEKLDDRAVRESERKAAKLHFSQLGNSENFLLEVEKSSREKTVKNCVTNESTAS
+KVSTDSDNRIETDSNKGLFIPFPNREIKDSLTSTSATQGSVIPDQKLDPFLLGTQMQEVA
+RREMPSENNQEDEIRRYSPHQMTVRSPEKMAKEGYRISFLDNKSSGSSPEKDLIPKPDTY
+QLTHDASLGKRLDVGDSSQIHPYQLPSDVGLENYDSHYSQNLSLHGSIGKRPQRNKNYRE
+YSTKPSNNMKATTSHSCGDLLTSLSNPDSSTGRLLKLSSDLYAATHFNSDPALVVNVEQQ
+LATSLSDLTQAHGSFPNNSILGDSLRTLLLPTGTSENRENLTKRSLSPSRRGFRRKENIL
+ATLNTKHGFRDATGSEPLSSDLGSLHGLPGNHSPPVSARTSHVATVLRQLLELVDKHWNG
+SGSLLLNKKFLGPARDLLLTLVVPAPSQQWCRSHPEDTSKAFHRREMELKETGQLVPNDM
+EGLKQKLVRVLEENLILSEKVQRLEEGATTSIVSGHPSHTYDDLLRKNQQLNMQVSCLNQ
+ELAQLKKLEETVTLLHESQRSLVVTNEYLLQQLNKEQKGYSGKALLPPEKSHHLGRSSPF
+GKSTLSSSSPVAHDMGQYLIQTVSDSVPEPSLWS
+>tr|E1B7H4|E1B7H4_BOVIN Mitogen-activated protein kinase kinase kinase OS=Bos taurus OX=9913 GN=MAP3K10 PE=3 SV=3
+MEEEEWGAAKEWGTTPAGPVWTAVFDYEAAGEEELTLRRGDRVQVLSQDCAVSGDEGWWT
+GQLPSGRVGVFPSNYVALGTPAAPAGLQLPQEIPFHELQLEEIIGVGGFGKVYRALWRGE
+EVAVKAARLDPERDPAVTAEQVRQEARLFGALQHPNIIALRGACLSPPHLCLVMEYARGG
+ALSRVLAGRRVPPHVLVNWAVQVARGMNYLHNDAPVPIIHRDLKSINILILEAIENHNLA
+DTVLKITDFGLAREWHKTTKMSAAGTYAWMAPEVIRLSLFSKSSDVWSFGVLLWELLTGE
+VPYREIDALAVAYGVAMNKLTLPIPSTCPEPFARLLEECWDPDPHGRPDFGSILKQLEVI
+EQSALFQMPLESFHSLQEDWKLEIQHMFDDLRTKEKELRSREEELLRAAQEQRFQEEQLR
+RREQELAEREMDIVERELHLLMCQLSQEKPRVRKRKGNFKRSRLLKLREGGSHISLPSGF
+EHKITVQASPTLDKRKGSDGASPPASPSIIPRLRAIRLTPVDGGGCSTSCSSSGGGGTWG
+RSSGPPKKEELVGGKKKGRTWGPSSTLQKERAGGEERLKALGEGSKQWSSSAPNLGKSPK
+HTPIAPGFASLNEMEEFAESDGGNSVPPSPYTTPSYLTVPLPAQPSPGARAPWEPSPPAR
+LGHGSRRRCELALLGCATLLGAVGLGADVAEARAADGEEQRRWLDGLFFPRGGRFPRGLS
+PTGRSPGRRDDAAPGPGLAPSATLVSLSSVSDCNSTRSLLRSDSDEAAPAAPSPPPSPPP
+PQPSTNPLVDLELESFKKDPRQSLTPTHVTAARAVSRGHRRTPSDGALGQRGAPEPMGPG
+PGPRDPLDFPRLPDPQALFPTRRRPPEFPGRPTTLTFAPRPRPASSRPRLDPWKLVSFGQ
+TLSISPPSRPDTPESPGLPSMQPTLLDMDMEGQSQDSTVPLCGAHGSR
+>tr|E1BAG5|E1BAG5_BOVIN Transmembrane protein 91 OS=Bos taurus OX=9913 GN=TMEM91 PE=4 SV=1
+MDSPSLRELQQPLLVGGELPIQKSGEPELGPPFRETAFAESLKGWQFLPPSLPSVSAGLG
+EPGTPDFEDVSSSDSDSDWGGGGPLPPLLPHDHLGLAVFSMLCCFWPLGIAAFCLAQKTN
+KAWAQGDVQGAGAASRRAFLLGVLAVGLGVCTYAAALVTLAAYLASRDPP
+>tr|A0A3Q1MD31|A0A3Q1MD31_BOVIN Myotubularin related protein 11 OS=Bos taurus OX=9913 GN=MTMR11 PE=4 SV=1
+MPEPRSRQLGSCLASGGLPGEQILAWAPGVRKGLEPEMPGTLICSNLRVTFQPHGWQRSQ
+ETPLSSEYDFSLANIGRLEAVHGPSRVQLLRPGSLLKFIPEEILIHGQDFRLLRVGFEAG
+GLEPQAFQVTMAIVQARAQNSPAQQYAGITLSQAGQSSDSRKPPIPLLETIEDWETERKK
+QAARGWRVSTVNERFDVATSLPRYFWVPNRTLDSEVRRAFGHFHQGRGPRLSWHHPGGSD
+LLRCGGFYTASDPNKEDIRAVESMLQAGHSDVVLVDTMDELPSLADVQLAHLRLRALCLP
+DSSVAEDKWFSALEGTRWLDYTRSCLRKASDISVLVTSRVRSVVLQERGDRDFNGLLSSL
+VQLLSAPEARTLLGFQSLVQREWVAAGHPFLTRLGGSGAMSPFPRHSSSPFKSPHPLPTS
+>tr|A0A3Q1N184|A0A3Q1N184_BOVIN NHS like 1 OS=Bos taurus OX=9913 GN=NHSL1 PE=4 SV=1
+MPFHQRTVEPARLRRPEAAGAAGAPLFRSLEQVSSHALVCLLAQLADLSRCAGDIFGELE
+GQAAALGRRTAALHRRLDALHAATARLDHRRVKIPVSNLDEESRWTVHYTAPWHQQENVF
+LPTTRPPCVEDLHRQAKLNLKSVLRECDKLRRDGYRSSQYYSQGPTFAANSSPLCDDYQD
+EDEETDPKCSVSSSEEERLTSTRRPKTPTSSDCSDLHTQTNWTKSLPLPTPEEKMRQQAQ
+TVQADVVPINITGENFDRQASLRRSLIYTDTLVRRPKKVKRRKTITGVPDSIQKELASGA
+TGQDDVGGHSAYTPDHYSTLGRLDSYRSMGQRSETRDSSCQTEEVKVVPPSMRRIRAQKG
+QGIAAQMSHFSGSSGNMSVLSDSAGVVFPSRLNSDAGFHSLPRSGARANVQALEPRLGAL
+GPAEDLGGAFPYQQGHPQVDEDFGHLGAAPRMGTLLRPKSQELRHFEGENVTSPACVVSP
+HTSYSTSIIPNATLSSSSEIIVIHTAQSSGQLDSKITSSSSYSKVRSRDHLLSRQKDGHH
+SSSATTLLSLCDSEVSLNTPANRENGSQAMAYNCRNNLSIPAHPQDVDNKSESSYSGSRG
+QGGSVEPWEYGGSGSGRASPLKPHLAAPGYSTPVSNTSSCSLDQTSNKDDTRSVYSEDHD
+GYSPTLHPDSGHRPGDLCNSNDGFGNPRHSVVNVFDGRAQRHQGDRSNCQDKSLARSISL
+KKAKKPPLPPSRTDSLRRVPKKNVQSNGQVLNESLIASLQHSLQLNLPGKGGSSPSQSPC
+SDFEEPWLPRSRSQSTVSAGSSLTSATAPNVYSVCGLTPAQSDTSSVKSEYTDPWGYYID
+YTGVQEDQGNAGRAGPAGSAASPGSGPGRHLLEGSRAAVPPVPGGAVKPKITSPEKSHRV
+TSPSSGYSSQSNTPTALTPVPVFLKSMSPANGKGKPKPKVPERKSSLVSSVSVSSSSTSL
+SSNTSTEGSGTVKKLDSALVSPLAAAPHTSPPAPCPADKSPFLPPPPPLADFSEGSPLPR
+SPLFPPPPPEVLTPFCAPTDACFPPPPTALSAPFLDSSDSLPPPPPALTPSVPPPAPPLD
+PKLMKDARPSFKKPGQPEPSREACRQPSIKEEGSRLPMPLITTEALQMVQLRPVKKNSGT
+EVAPLQEHASQEKRTPIVSQYHLKPSAFLKSRNSIHEMESESQPASATSSLPTPAKSSTQ
+GHQDSAAEHGLQSHSPAGEAEAGAGATPPLGPSPSRKPPPISKKPKLFLVVSPPQRDFTA
+EPAENVSVASPSPTSGEARERGAAGAGSDDTDSGSSVVLVGGAAGSESPGRVEANVPMVP
+APAEEPGARNGVERCLPPQDPGPGVPEAETATSSSETCDFPKDDGGDEVMTPSRPRTTED
+LFAAIHRSKRKVLGRKDSEDDHSRNHSPSPPVTPTGAAPSLASQKQVGSIQRSVRKSSTS
+SDNFKALLLKKGSRSDTSARMSAAEMLKNTDPRFQRSRSEPSPDTPESPSNCSPSKNRRA
+QEEWAKTEGLMPRSLSFSGPRYGRSRTPPSAASSRYSVRNRIQSSPMTVISEGEGEATEP
+IDSTALRAPGATRGCSLDGLAGGGVDEGSLLCAGGPAAPLEAQAPGPAVGLPSAEGRGPS
+EQRGGLAGDKS
+>tr|A0A3Q1MNL2|A0A3Q1MNL2_BOVIN Myotilin OS=Bos taurus OX=9913 GN=MYOT PE=4 SV=1
+MFNYERPKHFIQSQNPCGSRLQPPGPEISSYSSQTKQSSITIQPRQCTEQRYSASSTVSS
+HITMSSSAFPASPQQLAGSNPAQRVTATYNQSPASFLSSILPSQPDYSSSKNPSTVDSNY
+QQPSVGQPINVKSSQNANAKLTPKTPDHEIQGSKEALIQDLERKLKCKDSLLHNGNQRLT
+YEEKMARRLLGPQNAAAVFQGQNDSEAQDSAQDAIQEKFYPPRFIQVPENMSIEEGRFCR
+MDFKVSGLPAPDVSWYLNGRPVQSDDFHKMIVSEKGFHSLIFEVVRASDAGAYACVAKNR
+AGEATFTVQLDVLAKEHRRAPMFIYKPQSKKVFEGESVKLECQISAVPPPKLFWKRNNEM
+VQFNTDRISLYHDNSGRVTLLIKDVNKKDAGWYTVSAVNEAGVTTCNTRLDVTARPNQTL
+PAPKQLRVRPTFSKYLALNGKGLNVKQAFNPEGEFQRLAAQSGLYESEEL
+>tr|A0A452DJ04|A0A452DJ04_BOVIN Cone-rod homeobox protein OS=Bos taurus OX=9913 GN=CRX PE=4 SV=1
+MMAYMNPGPHYSVNALALSGPSVDLMHPAVSYPSAPRKQRRERTTFTRSQLEELEALFAK
+TQYPDVYAREEVALKINLPESRVQVWFKNRRAKCRQQRQQQKQQQQPPGAQAKARPAKRK
+AGTSPRSSTDVCPDPLGISDSYSPPLPGPSGSPTTAVATVSIWSPASESPLPEVQRAGLV
+ASGPSLTSAPYAMTYAPASAFCSSPSAYGSPSSYFSGLDPYLSPMVPPLGGPALSPLSGP
+SVGPSLTQSPTSLSGQSYGTYSPVDSLEFKDPTGTWKFTYNPMDPLDYKDQSAWKFQIL
+>tr|E1BPZ9|E1BPZ9_BOVIN Calmodulin regulated spectrin associated protein family member 3 OS=Bos taurus OX=9913 GN=CAMSAP3 PE=3 SV=2
+MVEAAPPGPGPLRRTFLVPEIKSLDQYDFSRAKAAASLAWVLRAAFGGAEHVPSELWEPF
+YTDQYAQEHVKPPVTRLLFSAELYCRAWRQALPQLEAPPSPSALLALLARRGTVPALPER
+PVQEADLRHQPILMGAHLAVIDALMVAFAFEWTKTLPGPLALASLEHKLLFWVDTTIRRL
+QEKTEQEAAQRATPAAPADGVAPAQPSCPTRWYWKLVPHAIAFCLKESGSKPPMIRYRKD
+RAVARRAPCFPTVTSLQDLASGAALAATIHCYCPQLLRLEEVCLKDPMSVADSLYNLQLV
+QDFCASRLPRGCPLSLEDLLYVPPPLKVNLMVLLAELFMCFEVLKPDFVQVKDLPDGHAA
+SPQATEASTPQNSGSSSPVFNFRHPLLSPGGPQSPLRGSTGSLKSSPSMSHMEALGKAWN
+RQLSRPLSQAVSFSTPFGLDSDVDVVMGDPVLLRSVSSDSLGPQRPVPARTPAQPPPETG
+DLPTIEEALQIIHSAEPRLLPDGAADGSFYLHSPEGSSKLPLASPYPLEGSSKPLPDGPT
+KVPAYLPHPEGPSKPAPCPAGEVSKPPALPEGSPKVAASSPAASNSEVKMTSFAERKKQL
+VKAEVEAGSPVATPGAPEALSSEMSELGARLEEKRRAIEAQKRRIEAIFAKHRQRLGKNA
+FLQVQPREAGGEAEAELGPAPGGERPAGEGQGEPSPRPKAVTFSPELGPVPPEGLGDYNR
+AVSKLSAALNSLQRDMQRLTDQQQRLLAPPESSTVAPTPAAAAWVIPGPTAGPKAASPSP
+ARRAPAARRSHGPGPSPGPSPTPRSPKHARPAELRLAPLTRVLTPPHDVDSLPHLRKFSP
+SQVPVQTRSSILLAEGPPPEEPAARPGLIEIPLSSLEEPAAEDEGDGSPPGAEDSLEEEV
+SSEGEPRTGLGFFYKDEDKPEDEMAQKRASLLERQQRRAEEARRRKQWQEAEKEQRREEA
+SRLVQEEVTPSPPAPTAPTASAAIPAPAARAPAEEEVGPRRGEFTRLEYERRAQLKLMDD
+LDKVLRPRAAGSGGPGRGGRRAPRPRSGCCDDSALARSPARGLLGSRLSKIYSQSTLSLS
+TVANEGPSNLGVKRPTSRAPSPSGLMSPSRLPGSREREWDNGSNASSPASVPEYTGPRLY
+KEPSAKSNKFIIHNALSHCCLAGKVNEPQKNRILEEIEKSKANHFLILFRDSSCQFRALY
+TLSAETEELSRLAGYGPRTVTPAMVEGIYKYNSDRKRFTQIPAKTMSMSVDAFTIQGHLW
+QSKKPTTPKKGSSTPK
+>tr|A0A3Q1MSQ5|A0A3Q1MSQ5_BOVIN Synaptoporin OS=Bos taurus OX=9913 GN=SYNPR PE=4 SV=1
+MDPVSQLASAGTFRALKEPLAFLRALELLFAIFAFATCGGYSGGLRLSVDCANKTESDLS
+IDVAFAYPFRLHQVTFEVPTCEGKERQKVSLIGDSSSSAEFFVTVAVFAFLYSLAATVVY
+IFFQNKYRENNRGPLIDFIVTVVFSFLWLVGSSAWAKGLSDVKVATDPKEVLLLMSACKQ
+PSNKCTAVHSPVMSSLNTSVVFGFLNFILWAGNIWFVFKETGWHSSSQRYLSDPMEKHSS
+SYNRGGYNQDSYGSSSGYNQQASLGPSSDEFGQQSAAPASFTNQM
+>tr|F1MQ55|F1MQ55_BOVIN PBX homeobox 2 OS=Bos taurus OX=9913 GN=PBX2 PE=4 SV=2
+PFSHLHHPHPLAPGLRGLQAVKGHLALPTLPPHYPGGGSGGVPGGRGKQDIGDILQQIMT
+ITDQSLDEAQAKKHALNCHRMKPALFSVLCEIKEKTGLSIRSSQEEEPVDPQLMRLDNML
+LAEGVAGPEKGGGSAAAAAAAAASGGGVSPDNSIEHSDYRSKLAQIRHIYHSELEKYEQA
+CNEFTTHVMNLLREQSRTRPVAPKEMERMVSIIHRKFSAIQMQLKQSTCEAVMILRSRFL
+DARRKRRNFSKQATEVLNEYFYSHLSNPYPSEEAKEELAKKCGITVSQVSNWFGNKRIRY
+KKNIGKFQEEANIYAVKTAVSVTQGGHSRTSSPTPPSSAGGSHCHPG
+>tr|F1MQJ6|F1MQJ6_BOVIN Chromosome 14 C8orf34 homolog OS=Bos taurus OX=9913 GN=C14H8orf34 PE=4 SV=2
+MSARLASELCELAAPRPSFRLSAPHALAAPAAAAHARARSPSSPLRGSRGSRAPGPAPVQ
+RKAGVSPGTGARPHSLPLSPWPFGLSMASHQQSRIQAYLEKNRIGPLFEELMTKLITETP
+DQPIPFLIDHLQSKQGNRGQLQRTLSGSAALWAESEALEHKGTRRDFRNYDKPWQLNAKK
+PKKSKSDLAVSNIYPPSPESKSLPRSVDHPKWNWRTKPESRDFDELNHILQESKKLGKAL
+ENLSRSIAISDELDKETMAFSSSLLRPRVIGEWIGREENDADPLAAEMLQPPIPRSKNEQ
+WENEDSSSSPAGSLKVELKTKGLKQQQQQHKKLLAAMLSQDSFESVHSPTPSVTEEDIDN
+EDDAMELLEDLDDLRMEGVTTLTPSGSKFNQGRATYSAEPQAKVTLNICSRCARLQGDNL
+AERTEETSQILQSPDEIVSESLDSLPGTEEALMEEGEEFEKAFKLTGPGEAVSGGQSLKN
+YMEEDDSLKQLQVVHQPWILPSDTESEGVETEQEKRSADLLLCVPCSSCPALVYSGL
+>tr|A0A3Q1M2Z6|A0A3Q1M2Z6_BOVIN Fc receptor like 4 OS=Bos taurus OX=9913 GN=FCRL4 PE=4 SV=1
+NLGKQQSCHRFFQRSSKAGSSGPFHTNRPNGNAGTFTSDLFLFSPATVHKSVISVQPPWT
+TVFHGERVNLTCNGFHFYAQEKLQGYRWFSGKARPRETPGNTLEVRDSGQYRCQAPGSLP
+SNPVHLIFSSASLILQAPYSVFEGDELVLRCQKRGREKLAVVKYTWNRKIISGTDESFDL
+LIPKASLNNSGCYQCIGFLENAYVLRTSQTNIQIQELFSRPKLEVTDSQPIEGKPVNLSC
+GTRLPLERPDTRLHFVFLRDDGVMISNWSGSPDLQITAIWREDSGSYSCGAETLTGGIHK
+RSLPLQIDVQRIPVSGVLLETQPQEDEVIEGETLVLVCSVAKGTGNTTFSWHREDTRESL
+GQKSQRSQRAELEIPVIGESHARSYYCTADNGYGLIQSEAVNVTVRMHPRERDLVYSEIQ
+ITQPGAEGEANTFRTSLEDQVSFIS
+>tr|A0A3Q1MMV8|A0A3Q1MMV8_BOVIN ANK_REP_REGION domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+LCLEDWEAFTEESDANASYLRAARAGHLEKALDYIKNGVDINICNQNGLNALHLASKEGH
+VEVVSELLQREANVDAATKKGNTALHIASLAGQAEVVKVLVTNGANVNAQSQNGFTPLYM
+AAQENHLEVVKFLLDNGASQSLATEDGFTPLAVALQQGHDQVVSLLLENDTKGKVRLPAL
+HIAARKDDTKAAALLLQNDNNADVESKSGFTPLHIAAHYGNINVATLLLNRAAAVDFTAR
+NDITPLHVASKRGNANMVKLLLDRGAKIDAKTRVRVQLRYLLMLIFRKQVCFY
+>tr|A0A3Q1LMQ3|A0A3Q1LMQ3_BOVIN BTB/POZ domain-containing protein KCTD19 OS=Bos taurus OX=9913 GN=KCTD19 PE=4 SV=1
+MGEEPGMPHESAEDLFHFNVGGWHFSVPRSKLAQFPDSLLWKEASALTSSENQRLFIDRD
+GSTFRHVHYYLYTSKLSFSSCAELNLLYEQALGLQLMPLLQTLDNLKEGKHHLRVRPADI
+PVAERASLNYWRTWKCISKPSEFPIKSPAFTGLHDKAPLGLMDTPLLDTEEEVHYCFLPL
+DLVAKHPSLVTEDNLLWLAETMALIECECSEFRFIVNFLRSQKILLPDNFSNIDVLEAEV
+EILEIPELSEAVRLYRMNMGGCSRTSCPPPKSVKPLYMMALGLLVKYPDSALGQLRIEST
+LDGSRLYITGNGVLFQHVKNWLGTCRLPLTETVSEVYELCAFLDKRDITYEPMKVALKTH
+LEPRTLAPMDVLNEEWTAEITVYSSQQIIKVYVGSHWYATTLQTLLKYPELLSNPQRVYW
+ITYGQTLLIYGDGQMFRHILNFLRLGKLFLPTEFKEWPLLCQEVEEYHIPSLSEALVQCE
+AYKSWIQEKESENEEAFPIRRLHVVTEGPGSLVEFSRDTKETMACVPMEFQDCNDRTMWN
+KAKGTLARSSQIEEAEQYSQTIQMSLCRGAKRAGNPSTYSHCPGLCANPRHWGGHPESPP
+KKKCTTVNLTQKPETKDPPVTPMQKLISLVREWDMVNCKQWEFQSLPAPRNSSLEEATLQ
+HSSGSEAVSQPSTSASWKGHSTASEKDPGPQAGAGAGTKDKGPEPNFNPYFPMKRAVALK
+DWGKQRSKERENPAFELTLPEASEGDNTGVILKVTHPPVVGSDGSCMFFEDSIIYTTHMD
+HLRRTPPPASPQPREVTFLSFSLSWEEMFYAQKCHCFLTDIILDSIRQNDPKAITAKVVS
+LVNQLWTLHISPKQFVVDLLAITGFKDDRHTQERLYSWVELTLPFARKYGRCVDLLIQRG
+LSKSVSYSVLSKYLQDG
+>tr|A0A3Q1NKV8|A0A3Q1NKV8_BOVIN ICAM_N domain-containing protein OS=Bos taurus OX=9913 GN=LOC616254 PE=4 SV=1
+MALTSLLPTEPASRGPKDSFRPGCKGLKPGSEIRSSPGNSGCWKLDLSHPVYPSQHHPFA
+DTGSPQPFPVSLRTPPKMSPFGGWGMLAAFLAMLCCRGSNEKVFEGPEHLMVGFGEFQVI
+NCTASCTDPKKLVLETHLNKTLLDSQAQWKLFRVYNISKDEELLCSFTCAGKQETKVFNI
+TVFYPPKQVLLTLSHTSVAVGTLFTIECMVPAVAPLEGLTVTLLRGTEILYNQTFVGTAR
+FPQDAVVTHHTTAHREDGHHNFSCEAQMDLRSRGGGLVHRVSDPQRLEVKEPVPNNQMVT
+IITIVIMLLILFVTFVLLCRHRLRTSNT
+>tr|A0A3Q1LHF6|A0A3Q1LHF6_BOVIN RPTOR independent companion of MTOR complex 2 OS=Bos taurus OX=9913 GN=RICTOR PE=4 SV=1
+MAAIGRGRSLKNLRIRGKSAAALTPRRPATATPGTCLPRALGGWSEPGRWSEPGLPPLAW
+ERILIGAMCLFRAGRNDSGEENVPLDLTREPSDNLREILQNVAKLQGVSNMRKLGHLNNF
+TKLLCDIGHSEEKLGFNYEDIIICLRLALLNEAKEVRAAGLRALRYLIQDSSILQKVLKL
+KVDYLIARCIDIQQSNEVERTQALRLVRKMITVNASLFPSSVANSLIAVGNDGLQERDRM
+VRACIAIICELALQNPEVVALRGGLNTILKNVIDCQLSRINEALITTILHLLNHPKTRQY
+VRADVELERILAPYTDFHYRHSPDTAEGQLKEDREARFLASKMGIIATFRSWAGIINLCK
+PGNSGIQSLIGVLCIPNMEIRRGLLEVLYDIFRLPLPVVTEEFIEALLSVDPGRFQDSWR
+LSDGFVAAEAKTILPHRARSRPDLMDNYLALILSAFIRNGLLEGLVEVITNSDDHISVRA
+TILLGELLHMANTILPHSHSHHLHCLPTLMNMAASFDIPKEKRLRASAALNCLKRFHEMK
+KRGPKPYSLHLDHIIQKAIATHQKRDQYLRAQKDIFVLKDTEEALLMNLRDSQVLQHKEN
+LEWNWNLIGTILKWPNVNLRNYKDEQLHRFVRRLLYFYKPSSKLYANLDLDFAKSKQLTV
+VGCQFTEFLLESEEDGQGYLEDLVKDIVQWLNASSGMKPERSLQNNGLLTTLSQHYFLFI
+GTLSCHPHGVKMLEKCSVFQCLLNLCSLKNQDHLLKLTVSSLDYSRDGLARVILSKILTA
+ATDACRLYATKHLRVLLRANVEFFNNWGIELLVTQLHDKNKTISSEALDILDEACEDKAN
+LHALIQMKPALSHLGDKGLLLLLRFLSIPKGFSYLNERGYVTKQLEKWHKEYNSKYVDLI
+EEQLNEALTTYRKPIDGDNYVRRSNQRLQRPHVYLPVHLYGQLVHHKTGCHLLEVQNIIT
+ELCHNVRTPDLDKWEEIKKLKASLWALGNIGSSNWGLNLLQEENVIPDILKLAKQCEVLS
+VRGTCVYVLGLIAKTKQGCDILKCHNWDAVRHSRKHLWPVVPDDVEQLCNELSSVPSTLS
+LNSESTSSRHNSESESAPSSMFIMEDDRFGSSSTSTFFLDINEDAEPAFYDRPGPIKDKN
+PFPFFASSKLVKNRILNSLTLPNKKHRSSSDPKGGKLSSENKTSNRRIRTLTEPSVDFNH
+SDDFTPISTVQKTLQLETSFVGNKHIEDTGSTPSIGENDLKFTKSLGTENHKENTSRERL
+VVESSSSSHMKIRSQSFNTDTTTSGISSMSSSPSRETVGVDATTVDTDCGSMSTVVSTKT
+VKTSHYLTPQSNHLSLSKSSSVSLVPPGSSHTLPRRAQSLKAPSIATIKSLADYNFSYTS
+SRDAFGYATLKRLQQQRMHPSLSHSEALASPAKDVLFTDTITMKANSFESRLTPSRFMKA
+LSYASLDKEDLLSPINQNTLQRSSSVRSMVSSATYGSSDDYIGLALPVDINDIFQVKDIP
+YFQTKNIPPHDDRGARVFAHDVGGLPSGTGGLVKNSFHLLRQQMSLTEIMNSIHSDASLF
+LESTEDTGLQEHTDDNCLYCVCIEILGFQPSNQLSAICSHSDLQDIPYSDWCEQTIHNPL
+EVVPSKFSGISGCSDGVSQEGSASSTKSTELLLGVKTIPDDTPMCRILLRKEVLRLVINL
+SSSVSTKCHETGLLTIKEKYPQTFDDICLYSEVSHLLSHCTFRLPCRRFIQELFQDVQFL
+QMHEEAEAVLATPPKQTIVDTSAES
+>tr|A0A3Q1MY21|A0A3Q1MY21_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=NCOR2 PE=4 SV=1
+MSGSTQPVAQTWRAAEPRYPPHGISYPVQIARPHTDVGLLEYPHHPRDYTSHLSPGSIIQ
+PQRRRPSLLSEFQPGNERSQELHLRPESHSYLPELGKSEMEFLESKRPRLELLPDPMLRP
+SPLLAAGQPGGSEDLSKDRSLTGKLEPVSPPSPPHADPELELVPPRLSKEELIQNMDRVD
+REITMVEQQISKLKKKQQQLEEEAAKPPEPEKPVSPPPIESKHRSLVQIIYDENRKKAEA
+AHRILEGLGPQVELPLYNQPSDTRQYHENIKINQAMRKKLILYFKRRNHARKQWEQKFCQ
+RYDQLMEAWEKKVERIENNPRRRAKESKVREYYEKQFPEIRKQRELQERMQSRVGQRGSG
+LSMSAARSEHEVSEIIDGLSEQENLEKQMRQLAVIPPMLYDADQQRIKFINMNGLMDDPM
+KVYKDRQVMNMWSEQEKETFREKFMQHPKNFGLIASFLERKTVAECVLYYYLTKKNENYK
+SLVRRSYRRRGKSQQQQQQQQQQQQQMPRSSQEEKDEKEKEKEVEKEEEKPDVENDKEEL
+IKEKTDDTSGEDNDEKEAVTSKGRKTANSQGRRKGRITRSMANEANSEEAVTPQQSAELA
+SMEMNESSRWTEEEMETAKKGLLEHGRNWSAIARMVGSKTVSQCKNFYFNYKKRQNLDEI
+LQQHKLKMEKERNARRKKKKAPAAASEEAAFPPVVEDEEMEASGVSGNEEEMAEEAEALH
+ASGNEVPRGECSGPAAVNNSSDTESVPSPRTEAAKDTGQNGPKPPPAPGTDAPPPEPPTP
+PPEDTPAPTEPAPAPEATGPPTPPPAPQSPATPPPMVPKEEKEEEASAAPSTEEGEEQKP
+PVAPELAADVGKAKTEEPGEAHPVEPVKSECEEEAAGEGPDKVKAGPEAAVEAAPEGALK
+VEKKEASGSGGKGPAAKGSGAPQDSDSSATCSADEVDEPEGGDKNRLLSPRPSLLTPTSD
+TRTNASPQKPLDLKQLKQRAAAIPPIQVTKVHEPPREEAAPPKPAPPAPPPSQHLQPESD
+TPQPPSSSPRGKSRSPAPPAEKEAEKPVFFPAFAAESQKLPADPSCWTSGLPFPVPPREV
+IKASPHAPDPLAFSYAPPGHPLPLGLHDSARPVLPRPPTISNPPPLISSTKHPSVLERQM
+GAISQGMSVQLHVPYSEHAKAPVGPIAMGLPLAMDPKKLTPFSGVKQEQLSPRGQAGPPE
+SLGVPTAQETSVLRGTSLGSVPGGSITKGTPSTRVPSESPITYRGSITHGTPADVLYKGT
+ITRIIGEDSPSRLDRSREDGLPKGHVIYEGKKGHVLSYEGGMSVPQCSKEDGRSSSGPPH
+ETAAPKRTYDMMEGRVSRAISSASIEGLMGRAIPPERHSPHHLKEQHHIRGSITQGIPRS
+YVEAQEDYQRREAKLLKREGTPPPPPPPPRDLAEAYKARPLEALGPLKLKPAHEGLVATV
+KEAGRSIHEIPREELRRTPELPLAPRPLKEGSITQGTPLKYDTSTSSTGSKKHDVRSIIG
+SPGRTFPAVHPLDVMADTRALERACYEESLKSRSGAVSSSGGSITRGAPVIVPELGKPRQ
+SPLTYEDHAAPFAGHLPRGSPVTTREPTPRLQEGSLSSSKASQDRKLTSTPREIAKSPHS
+SVPEHHPHPISPYEHLLRGVSGVDLYRGHIPLAFDPTSIPRGIPLDAAAAYYLPRHLAPN
+PTYPHLYPPYLIRGYPDTAALENRQTIINDYITSQQMHHNAATAMAQRADMLRGLSPRES
+SLALNYATGPRGIIDLSQVPHLPVLVPPTPGTPATAMDRLAYLPTAPQHFSSRLSSSPLS
+PGGPTHLTKPTTTSSTERERERERDREREREREKSILTSTTTVEHAPIWRPGTEQSSGGG
+GGGSGGGGGSSSSRPASHSHAHQHSPISPRTQDTIQQRPSVLHNTGMKSIITSVEPSTPT
+VLRSTSTSSPVRPAATFPPATHCPLGGTLDGVYPTLMEPVLLPKEAPRVARPERPRADAG
+HTFLAKPPARAGLEPASSPGKGSEPRPLAPPGSGHAAIARAPAKSLASHHASPDQPAPPA
+SAADLHREKTQSKPFSIQELELRSLGYHGGSYSPDGVEPVSPVSSPSLTHDKGLPKHLEE
+LDKSHLEGDLRHKQPGPGKLSSEAAHLPHLRPLPENQPSSSPLLQTTPGVKGHQRVVTLA
+QHISEVITQDYTRHHPQQLSAPLPAPLYSFPGASCPVLDLRRPPSDLYLPPPDHGTQARG
+SPHSEGGKRSPEPSKTSALGSSEDGIEPVSPPEGMVEPGHPRGTMYPLLYRDGEQAEPRM
+GSKSPGNTSQPPAFFSKLTESNSAMVKSKKQEINKKLNTHNRNEPEYNIGQPGTEIFNMP
+AITGAGLMTCRSQAVQEHASTNMGLEAIIRKALMGKYDQWEEHPLSANAFNPLNASASLP
+AAAMPITAADGRSEHALTSPGGGGKAKVSGRPSSRKAKSPAPGLASGDRPPSVSSVHSEG
+DCNRRTPLTNRVWEDRPSSAGSTPFPYNPLIMRLQAGVMASPPPPGLPAGSGPLAGPHHA
+WDEEPKPLLCSQYETLSDSE
+>tr|G3N3C3|G3N3C3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=2
+PMAASAAGLGGGAGPGPEPGDFLARYRQVSNKLKKRFLRKPNVAEAGEQFAQLGRELRAQ
+ECLPYAAWCQLAVARCQQALFHGPGEALALTEAARLFLQQERDARQRLACPAAAGEALQA
+AAAALGAAVRLHLELGQPAAAAALCLELAASLRDLGQPAAAAGHFQRAAQLQLPQLPLAA
+LQALGHAASCQLLARDYSSALALFTRMQRLAQEFGGPPPQPLMPPPPPPGPQPAAPAALA
+PVLPPSAGQPATAAPAALGAFADVLVRCEVSRVLLLLLLQPPPAKLLPEHAHTLEKYAWE
+AFDGHGQDSSGPLPEELFLLLQSLVMATHEKDTEAVKLLQVEMWPLLSAEQNHLLHLVLQ
+ETVSPSGQGI
+>tr|A0A3Q1N6I5|A0A3Q1N6I5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=SLC52A2 PE=4 SV=1
+MAAPPLGRLVLTHLLVALFGMGSWAAVNGIWVELPVVVKNLPEGWSLPSYLCVLVALGNL
+GLLVVTMWKRLAPGKGERVPIQVVQALSVVGTALLAPLWPQVTTVAGQEHSVAFLALAFV
+LALACCASNVTFLPFLSRLPPPFLRSFFLGQGLSALLPCVLALVQGVGRLECPPTPTNGT
+PGPPLYFPERFPASTFFGILSTLLVISAAAFQGLLLLLPLPPSVPTGGPGPGLRVGTLGA
+EKEEEEEASPLQEPPSPAADATPSPEPVGPRLLSTHGAFLLGLLAVTNALTNGVLPAVQS
+YSCLPYGRLAYHLAVVLGSAANPLACFLAMGVLCRSLAGLGGLSLLGMFFGAYLMALAVL
+SPCPPLVGTSAGVVLVVVSWILCLGVFSYVKVAASSLLHGGGQPALLAAGVAIQANLALQ
+AGGGHSRLRAMAHLETWTWPRICVGFVQ
+>tr|A0A3Q1MHW2|A0A3Q1MHW2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC508933 PE=4 SV=1
+MSGLRRYEVALEAEEEIYWGCFYFFPWLRMWRRERSSAHPREQKLEPLRGLMSCLSSGLG
+TAPQRSGRSLPRRTPAATTQPAGALKI
+>tr|A0A3Q1LL72|A0A3Q1LL72_BOVIN Nucleolar protein 10 OS=Bos taurus OX=9913 GN=NOL10 PE=4 SV=1
+MQVSSLNEVKIYSLSCGKSLPEWLSDRKKRALQKKDVDVRRRIELIQDFEMPTVCTTIKV
+SKDGQYILATGTYKPRVRCYDTYQLSLKFERCLDSEVVTFETLSDDYSKIVFLHNDRYIE
+FHSQSGFYYKTRIPKFGRDFSYHYPSCDLYFVGASSEVYRLNLEQGRYLNPLQTDAAENN
+VCDINSVHGLFATGTIEGRVECWDPRTRGRVGVLDCALSSVTADSEINSLPTISALKFNG
+ALTMAVGTSTGQVLLYDLRSDKPLLVKDHQYGLPIKSVHFQDSLDLILSADSRIIKMWNK
+NSGKIFTSLEPEHDLNDVCLYPSSGMLLTANEAPKMGIYYIPVLGPAPRWCSFLDNLTEE
+LEENPESTVYDDYKFVTKKDLENLGLTHLIGSPFLRAYMHGFFMDIRLYHKVKLMLNPFA
+YEEYRKDKIRQKIEETRAQRVQLKKLPKVNKELALKLIEEEEEKQKSTWKKKVKSLPNIL
+TDDRFKVMFENPDFQVDEESEEFRLLNPLVSKISEKRKKKLRLLEQQELHGKEEEEEPEG
+KPSDAESSESSDDEKDWVAEVRKQRRLLQQEEKVKRQEQLREDQQTVLKPQFYEIKAGEE
+FRSFKDSATKQKLMNKTLEDRLKLEAKNGTLSVSDTTVGSKQLTFTLKKGACSFPRVSEF
+FIHKGASACSLPRARDTQNRQTWPWVSQSRGWREITYSHLIVIRSSRRSSRRLRNCTGRR
+GRLCAVRQAISGPGPGGGGHSTDSGAGPACDPEELSASPLPDRRSFVHVSHSRVWAALKS
+LGTLAFAERSPDRAVVPRPLVRLWGWARWPCGAPGHLCAVWLPENIIPSPWF
+>tr|A0A3Q1NKQ0|A0A3Q1NKQ0_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+NITQTLRSLSVIPGEMASISCRASQSVQNRYGDNFLHWYVQKPSQSPQLLIYRASNWESW
+VPDRFTSSGLGTHFILIISRVESEDAGVYYCQQRNGCHVPPGASTKLPVVF
+>tr|A0A3Q1LUI0|A0A3Q1LUI0_BOVIN MAX dimerization protein 1 OS=Bos taurus OX=9913 GN=MXD1 PE=4 SV=1
+MGNSGSMRLAVFLNNEQLECSLHLLRTEEAEHGYASMLPYNNKDRDALKRRSKSKKNNSS
+SRSTHNEMEKNRRAHLRLCLEKLKGLVPLGPESNRHTTLSLLTKAKLHIKKLEDCDRKAI
+HQIDQLQREQRHLKRQLEKLGIERIRMDSTGSTVSSERSDSDREEIDVDVESTDYLTGDL
+DWSCSSVSDSDERGSMQSLGSDEGYSSSSVKRIKLQDSHKTTCLGL
+>tr|A0A3Q1M633|A0A3Q1M633_BOVIN Mucin-1 OS=Bos taurus OX=9913 GN=MUC1 PE=4 SV=1
+MTPDIQAPFLSLLLLFPVLTVANVPTLTTSDSINPRRTTPVSTTQSSPTSSPTKETSWST
+TTTLLTASSPAPSPAASPGHDGASTPTSSPAPSPAASPGHNGTSSPTGSPAPSPAASPGH
+DGASTPTSSPAPSPAASPGHDGASTPTSSPAPSPAASPGHDGASTPTSSPAPSPAASPGH
+NGTSSPTGSPAPSPAASPGHDGASTPTSSPAPSPAASPGHNGTSSPTGSPAPSPTASPGH
+DGASTPTSSPAPSPAASPGHNGTSSPTGSPAPSPAASPGHDGASTPTSSPAPSPAASPGH
+NGTSSPTGSPAPSPTASPGHDSAPSLTSSPAPSPTASPGQHGASSPTSSDTSSMTTRSMS
+SSMVTSAHKGTSSRATMTPVSKGTPSSVPSSETAPTAASHITRTAASSPSIALSTSSNPK
+TSQQLSVRVSLYFLSFRITNLQFNSSLENPQTSYYQELQRSIWGLILQIYKQRDFLGLSE
+IKFRPGSVVVELTLAFREGTTAEWVKAQFSQLEAHAASYNLTISGVSGESTSPAASQHHP
+ARDPLSPASGCMLFLLELVGGEPPPLGDCSDHCFPFSVQCPISFLCPGWVWGAWLGHCPA
+GPGLCSGCAGHHLSHCPGKCSVPNPDQSPPAGRSRALPITSCLPRLCVSADERNVSSWTS
+FQP
+>tr|A0A3Q1M1X7|A0A3Q1M1X7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=TBX1 PE=4 SV=1
+MISAVSSPWLTQLSHFCDVAAFTASSLSGLGAAGGFPGAASPGADPYGAREPPPPRYEPC
+SAAAPGAPGPPHAYPFAPAAGAASSAAEPEGPGSSCVAAAKAPVKKNAKVASVSVQLEMK
+ALWDEFNQLGTEMIVTKAGRRMFPTFQVKLFGMDPMADYMLLMDFVPVDDKRYRYAFHSS
+SWLVAGKADPATPGRVHYHPDSPAKGAQWMKQIVSFDKLKLTNNLLDDNGHIILNSMHRY
+QPRFHVVYVDPRKDSEKYAEENFKTFVFEETRFTAVTAYQNHRITQLKIASNPFAKGFRD
+CDPEDWPRNHRPGALPIMSAFARSRNPVASPTQPNGAEKDTAEARREFERDAGGPAGLGD
+PAHPPQLLARVLSPALPGPGVPLPGAPGGRPSPPHPELRLEAPGASEPLHHHPYKYPAAA
+YDHYLGAKSRPAPYPLPGLRGHGFHAHPHPHAHPHHHPAVSPAAAAAAAAAAAAAASMYS
+SAGAAPPGSYDYCPR
+>tr|F1MIY6|F1MIY6_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 GN=DSB PE=3 SV=2
+MVCLWFPPGSWTVILPVILMVLSPLLAWAGNTRTLFMVQGKSECHFSNGTQQVRFLDRYI
+YNREEQVQFDSLVGEYRARTEMGRPAAERWNRWPQALQRARAAVHAYCASNYEFFASRTV
+QRRVQPTVTVYPVKSRPLWHHNLLVCSVNGFYPGHIEVRWFRNGQEEEAGVVSTGLIPNG
+DWTFQIMVMLEIVPQGGEVYACHVEHPSRTSPVTVEWRAQDESSQEKMLSGIGACVLGLL
+FLGMGLLFYIRR
+>tr|A0A3Q1NG35|A0A3Q1NG35_BOVIN Solute carrier family 6 member 1 OS=Bos taurus OX=9913 GN=SLC6A1 PE=4 SV=1
+MATDGSKVADGQISTEVSEVPVANDKPKTLVVKVQKKAADLPDRDTWKGRFDFLMSCVGY
+AIGLGNVWRFPYLCGKNGGGVGLAAAVLSFWLNIYYIVIISWAIYYLYNSFTTTLPWKQC
+GNSWNTDRCFSNYSALNTTNMTSAVVEFWERNMHQMTDGLDKPGQIRWPLAITLAIAWIL
+VYFCIWKGVGWTGKVVYFSATYPYIMLIILFFRGVTLPGAKEGILFYITPNFRKLSDSEV
+WLDAATQIFFSYGLGLGSLIALGSYNSFHNNVYRDSIIVCCINSCTSMFAGFVIFSIVGF
+MAHVTKRSIADVAASGPGLAFLAYPEAVTQLPISPLWAILFFSMLLMLGIDSQFCTVEGF
+ITALVDEYPRLLRNRRELFIAAVCLVSYLIGLSNITQGGIYVFKLFDYYSASGMSLLFLV
+FFECVSISWFYGVNRFYDNIQEMVGSRPCIWWKLCWSFFTPIIVAGVFIFSAVQMTPLTM
+GSYVFPKWGQGVGWLMALSSMVLIPGYMAYMFLTLKGSLKQRIQVMIQPSEDIVRPENGP
+EQSQASGSASKEAYI
+>tr|A0A3Q1MNP0|A0A3Q1MNP0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=C15H11orf96 PE=4 SV=1
+MAAAKPGELMGICSSYQAVMPHFVCLADEFPQPMRPTKLSKGKGRLRRPRQSRFKTQPVT
+FDEIQEVEEEGASPMEEEKAKKSFLQSLECLRRSTQSLSLQREPLSGCKLRNSLDSSDSD
+SAL
+>tr|A0A3Q1M557|A0A3Q1M557_BOVIN Secretory phospholipase A2 receptor OS=Bos taurus OX=9913 GN=PLA2R1 PE=4 SV=1
+MRLGPRSSSVSSKLPAAKPAVFTRTRVVYKPKCQTSCPRDFQLAHPQLLFGLPQEGEGRL
+EAGGASPGQPPPSLSTLKAGISGTGRLSTPCSSSCSKPQRENLRDFSVFQPESPPTVATG
+VTDEVGLGDAGVARPPGRVGARRRLRSCPPPPHPPQGWALGLRPWPPAGATPGDRRAKWL
+AMPLLSLSLLLLLLQVPAGSAETAAWAVTPERLREWQDKGIFIIQSENLEKCIQASKSTL
+TLENCKPPNKYMLWKWVSNHRLFNIGGSGCLGLNVSSPEQPLSIYECDSTHVSLKWHCNK
+KTITGPLQYLVQVKQDNTLVASRKYLHKWVSYMSGGGGICDYLHKDLYTIKGNAHGTPCM
+FPFQYNQQWHHECTREGREDNLLWCATTSRYERDEKWGFCPDPTSTEVGCDAVWEKDLHS
+RICYQFNLLSSLSWSEAHSSCQMQGAALLSIADETEENFVRKHLGSEAVEVWMGLNQLDE
+DAGWQWSDRTPLNYLNWKPEINFEPFVEYHCGTFNAFMPKAWKSRDCESTLPYVCKKYLN
+PTDHGVVEKDAWKYYATHCEPGWNPHNRNCYKLQKEKKTWNEALQSCQSNNSVLTDITSL
+AEVEFLVTLLGDENASETWIGLSSHKIPVSFEWSNGSSVTFTNWHTLEPHIFPNRSQLCV
+SAEQSEGHWKVKNCEETLFYLCKKTGLVLSDTESGCQKGWERHGKFCYKIDTVLRSFDHA
+SSGYYCPPALITITSRFEQAFITSLISSVVKTKDTYFWIALQDQNNTGEYTWKTAGQQLE
+PVKYTHWNTRQPRYSGGCVVMRGRSHPGRWEVRDCRHFKAMSLCKQPVENREKTKQEEGW
+PFHPCYLDWESEPGLASCFKVFHSEKVLMKRTWRQAEEFCEEFGAHLASFAHIEEENFVN
+ELLHSKFNRTEERQFWIGFNKRNPLNAGSWEWSDGTPVVSSFLDNSYFGEDARNCAVYKA
+NKTLLPSYCGSKREWICKIPRDVRPKVPPWYQYDAPWLFYQDAEYLFHISASEWSSFEFV
+CGWLRSDILTIHSAHEQEFIHSKIRALSKYGVNWWIGLREERASDEFRWRDGSPVIYQNW
+DKGKERSMGLNESQRCGFISSITGLWASEECSISMPSICKRKKVWVIEKKKDIPKQHGTC
+PKGWLYFDYKCLLLKIPEGPSDWKNWTSAQDFCVEEGGTLVAIENEVEQAFITMNLFGHT
+TNVWIGLQDDDYEKWLNGRPVSYSNWSPFDTKNIPNHNTTEVQKRIPLCGLLSNNPNFHF
+TGKWYFEDCREGYGFVCEKMQDASGHSINTSDMYPIPNTLEYGNRTYKIINANMTWYTAL
+KTCLMHGAELASITDQYHQSFLTVILNRVGYAHWIGLFTEDNGLSFDWSDGTKSSFTFWK
+DDESSFLGDCVFADTSGRWSSTACESYLQGAICQVPTETRLSGRLELCSETSIPWIKFKS
+NCYSFSTVLESTSFEAAHEFCKKKGSNLLTIKDEAENSFLLEELLAFRSSVQMIWLNAQF
+DGDNETIKWFDGTPTDQSNWGIRKPEVYHFKPHLCVALRIPEGVWQLSSCQDKKGFICKM
+EAGPSHSVIPLTVALTLLVILAISTLSFCMYKHSHIIFGRLAQFRNPYYPSANFSTVHLE
+ENILISDLEKNDQ
+>tr|A0A3Q1LYD3|A0A3Q1LYD3_BOVIN Zinc finger MYM-type containing 1 OS=Bos taurus OX=9913 GN=ZMYM1 PE=4 SV=1
+MKESPTNGDCDKAAVTQVKRPEEIKVEPDNTQEYCQAQQPKTQENDLKINSAFSDSAPQI
+TTGIQLSLASSGMNKMLPSISTTAVQVSCSGCKKVFQKGQTAYQRKGSTELFCSTPCITE
+YISSGSSPALPKRTCSNCSKDILNLKDVISIQLEDTTTSKTFCSQSCLSSYEEKRKPVVT
+ICANSVSAKCSMCQTTTAIQYEVKYQSVKHSLCCNACFSKFHSANNLIMNCCENCGAYCS
+TSSSMFHILQMEGQSHYFNSSKSITTHKQKPAKSLPPVLCKSLKPTDEMIETTSDLGKTE
+LFCSINCFSAYSKAKMESSTVVRDASTNLPSPKKDATPVISNIVSLADTHDALPIVNSDV
+LQGTVSSVTANVIEDISPSESSNSVQQPSLSPPSSVVSQHTVALNTEEQKGHMLNQNATN
+NMKSMKKSDRLRHPKFTSKIQKVKGFSQRIQSPVRHAPCHSEV
+>tr|A0A3Q1LTQ2|A0A3Q1LTQ2_BOVIN ELKS/RAB6-interacting/CAST family member 1 OS=Bos taurus OX=9913 GN=ERC1 PE=4 SV=1
+MYGSARSVGKVEPSTQSPGRSPRLPRSPRLGHRRTNSTGGSSGSSAGGGGGKTLSMENIQ
+SLNAAYATSGPMYLSDHENAGSETPKSTMTLGRSGGRLPYGVRMTAMGSSPNIASSGVAS
+DTIAFGEHHLPPVSMASTVPHSLRQARDNTIMDLQTQLKEVLRENDLLRKDVEVKESKLS
+SSMNSIKTFWSPELKKERALRKDEASKITVWKEQYRVVQEENQHMQMTIQALQDELRIQR
+DLNQLFQQDSSSRTGEPCVAELTEENFQRLHAEHERQAKELFLLRKTLEEMELRIETQKQ
+TLNARDESIKKLLEMLQSKGLSAKATEEDHERTRRLAEAEMHVHHLESLLEQKEKENALL
+REEMHRRFENAPDSAKTKALQTVIEMKDSKISSMERGLRDLEEEIQMLKSNGALSTEERE
+EEMKQMEVYRSHSKFMKNKVEQLKEELSSKEAQGEELKKRAAGLQAEIGQVKQELSRKDT
+ELLALQTKLETLTNQFSDSKQHIEVLKESLTAKEQRAAILQTEVDALRLRLEEKETMLNK
+KTKQIQDMAEEKGTQAGEIHDLKDMLDVKERKVNVLQKKIENLQEQLRDKEKQMSSLKER
+VKSLQADTTNTDTALTTLEEALAEKERTIERLKEQRDRDEREKQEEIDNYKKDLKDLKEK
+VSVLQGDLSEKEASLLDLKEHASSLASSGLKKDSRLKTLEIALEQKKEECLKMESQLKKA
+HEATLEARASPEMSDRIQQLEREIARYKDESSKAQAEVDRLLEILKEVENEKNDKDKKIA
+ELESLTSRQVKDQNKKVASLKHKEQVEKKKSAQMLEEARRREDTLSDSSQQLQVEELLMA
+MEKVKQELESMKAKLSSTQQSLAEKETHLTNLRAERRKHLEEVLEMKQEALLAAISEKDA
+NIALLELSSSKKKTQEEVAALKREKDRLVQQLKQQTQNRMKLMADNYEDDHFRSSHSGQS
+NHKPSPDQIIQSLLELDQNRSKLKLYIRHLTALCHDRDPLILRGLTPPAAYKLDDDQAAW
+ENELQKMTQEQLQSEQEKGERDNAELQEFANAVLQQIADHCPDILEQVVNALEESS
+>tr|A0A3Q1MGS5|A0A3Q1MGS5_BOVIN Tyrosine-protein kinase OS=Bos taurus OX=9913 GN=MATK PE=3 SV=1
+MPTRRWAPGTQCITKCEHTRPKPGELAFCKGDVVTILEACENKSWYRAKHHASGQEGLLA
+AGALREREALSADPKLSLMPWFHGKISGQEAVQQLQPPEDGLFLVRESARHPGDYVLCVS
+FGRDVIHYRVLHRDGHLTIDEEVCFCNLMDMVEYYSKDQGAICTKLVRPKRKQGTKSAEE
+ELAKAGWLLNLQHLTLGAQIGEGEFGAVLQGEYLGQKVAVKNIKCDVTAQAFLDETAVMT
+KVQHKNLVRLLGVILHQGLYIVMEHVSKGNLVNFLRTRGRALVNTPQLLQFSLHVAEGME
+YLESKKLVHRDLAARNILVSEDLVAKVSDFGLAKAERKGLDSSRLPVKWTAPEALKHGKF
+SSKSDVWSFGVLLWEVFSYGRAPYPKMSLKEVSEAVEKGYRMEPPEGCPGSIHALMGSCW
+EAEPTRRPPFRKLAEKLARELRSVGASAPNGGQDTDGPAPPRSQEP
+>tr|A0A3Q1MYA3|A0A3Q1MYA3_BOVIN Tyrosine-protein kinase OS=Bos taurus OX=9913 GN=HCK PE=3 SV=1
+MVCGRHRVPGSGGDAPPRPRGEWKGTRTVQRQRAPSPKDARHRSPSERIPSLLLKVNPFS
+PSSRAPRDSGGCRAGGALELRGSGLPAGRGAGAQWPDSSNGNPPGSTEGSEDIIVVALYD
+YDAIHHEDLSFQKGDQMVVLEESGEWWRARSLATRKEGYIPSNYVARVNSLETEEWFFKG
+ISRKDAERQLLAPGNVLGSFMIRDSETTKGSYSLSVRDYDPQHRDTVKHYKIRTLDNGGF
+YISPRSTFGSLQELVAHYKKASDGLCQKLTVPCMSSKPQKPWEKDAWEIPRESLKMEKKL
+GAGQFGEVWMATYNKHTKVAVKTMKPGSMSVEAFLAEANLMKTLQHDKLVKLHAVVTEEP
+IYIITEFMAKGSLLDFLKSEEGSRQPLPKLIDFSAQIAEGMAFIERRNYIHRDLRAANIL
+VSASLVCKIADFGLARVIEDNEYTAREGAKFPIKWTAPEAINFGSFTIKSDVWSFGILLM
+EIVTYGRIPYPGMSNPEVIRALERGYRMPRPDNCPEELYNIMTRCWKNRPEERPTFEYIQ
+SVLDDFYTATESQYQQQP
+>tr|A0A3Q1NBP4|A0A3Q1NBP4_BOVIN A2M_recep domain-containing protein OS=Bos taurus OX=9913 GN=OVOS2 PE=4 SV=1
+MKYNVLLPKKESGFSLSLQIVKKNSSDVFQSNFDLTVTLRYTGTHSNSNMVLVDVKMLSG
+FTPVMSSIEELENNGQVMKNEFKNDHVLFYLENVSDTAKSFTFSVEEINHVANIQPAPVI
+VYDYYEKDEYAHASYNINSVPVSQ
+>tr|A0A3Q1MGZ7|A0A3Q1MGZ7_BOVIN Fas associated factor 1 OS=Bos taurus OX=9913 GN=FAF1 PE=4 SV=1
+MSFITWGPSLDRQACTGIENIDEAITLLEQNNWDLVAAINGVIPQENGILQSDYGGETIS
+GPAFDPASHPAPAPAPSSSSAFRPVMPSRQIVERQPRMLDFRVEYRDRNVDVVLEDSCTV
+GEIKQILESELQIPMSKMLLKGWKTGDVEDSTVLKSLHLPKNNSLYVLTPDLPPPSSSSH
+AGALQESLNQNFMLIITHREVQREYNLNFSGSSTIQEVKRNVYDLTSIPVRHQLWEGWPT
+SATDDSMCLAESGLSYPCHRLTVGRRSSPAQTREQSEEQSTDVHMVSDSDGDDFEDASEF
+GVDDGEVFGMASSALRKSPMMPENAENEGDALLQFTAEFSSRYGDCHPVFFIGSLEAAFQ
+EAFYVKARDRKLLAIYLHHDESVLTNVFCSQMLCAESIVSYLSQNFITWAWDLTKDANRA
+RFLTMCNRHFGSVVAQTIRTQKTDQFPLFLIIMGKRSSNEVLNVIQGNTTVDELMMRLMA
+AMEIFTAQQQEDIKDEDEREARENVKREQDEAYRLSLEADRAKREAHEREMAEQFRLEQI
+RKEQEEEREAIRLSLEQALPPEPKEEIAEPVSKLRIRTPSGEFLERRFLASNKLQIVFDF
+VASKGFPWDEFKLLSTFPRRDVTQLDPNKSLLEVKLFPQETLFLEAKE
+>tr|A0A3Q1MIR9|A0A3Q1MIR9_BOVIN Histone acetyltransferase OS=Bos taurus OX=9913 GN=KAT5 PE=3 SV=1
+MAEVVSPVPGAGRREPGEVGRARGPPVADPGAALSPQGEIIEGCRLPVLRRNQDNEDEWP
+LAEILSVKDISGRKLFYVHYIDFNKRLDEWVTHERLDLKKIQFPKKEAKTPTKNGLPGSR
+PGSPEREVPASAQASGKTLPIPVQITLRFNLPKEREAIPGGEPDQPLSSSSCLQPNHRST
+KRKVEVVSPATPVPSETAPASVFPQNGSARRAVAAQPGRKRKSNCLGTDEDSQDSSDGIP
+SAPRMTGSLVSDRSHDDIVTRMKNIECIELGRHRLKPWYFSPYPQELTALPVLYLCEFCL
+KYGRSLKCLQRHLTKCDLRHPPGNEIYRKGTISFFEIDGRKNKSYSQNLCLLAKCFLDHK
+TLYYDTDPFLFYVMTEYDCKGFHIVGYFSKEKESTEDYNVACILTLPPYQRRGYGKLLIE
+FSYELSKVEGKTGTPEKPLSDLGLLSYRSYWSQTILEILMGLKSESGERPQITINEISEI
+TSIKKEDVISTLQYLNLINYYKGQYILTLSEDIVDGHERAMLKRLLRIDSKCLHFTPKDW
+SKRGKW
+>tr|A0A3Q1ME39|A0A3Q1ME39_BOVIN Ubiquitin carboxyl-terminal hydrolase OS=Bos taurus OX=9913 GN=USP2 PE=3 SV=1
+MRTSYTVTLPEEPPAAPFPALAKELRPRSPLSPSLLLSTFVGLLLNKAKNSKSAQGLAGL
+RNLGNTCFMNSILQCLSNTRELRDYCLQRLYLRDLSHSSRAHTALMEEFAKLIQTIWTSS
+PNDVVSPSEFKTQIQRYAPRFVGYNQQDAQEFLRFLLDGLHNEVNRVIARPKSNTENLDH
+LPDDEKGRQMWRKYLEREDSRIGDLFVGQLKSSLTCTDCGYCSTVFDPFWDLSLPITKRG
+YPEVTLMDCMRLFTKEDVLDGDEKPTCCRCRARKRCIKKFSIQRFPKILVLHLKRFSESR
+IRTSKLTAFVNFPLRDLDLREFASENTNHAVYNLYAVSNHSGTTMGGHYTAYCRSPVTGE
+WHTFNDSSVSPMSSSQVRTSDAYLLFYELASPPSRM
+>tr|G3MXH9|G3MXH9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=2
+IAALFITAKTWKQPTYGVGGTEAAPRAKPLGPARPEAPARNWQIISAGWVWDAGGAFGKG
+ERAEEKRSFRARARELQLAALKKHRENEISHHAKETDCLKRETERHKRSIKKLKRRDDGG
+QVHTVLRGMATYYCPLLCGLCVDT
+>tr|A0A3Q1MBR0|A0A3Q1MBR0_BOVIN Tubulin tyrosine ligase like 5 OS=Bos taurus OX=9913 GN=TTLL5 PE=4 SV=1
+INNGAACRIPSATASGQKPTTLPQKVVPPPSSSSSLVPKPPSSHKQVLRKAASQRASKGA
+STEGQLNGLQSSLNSAAFVPITSSTGSLEAPQVIFARSKPLPTQSGALATVIGHRKPKSV
+KSGPI
+>tr|A0A3Q1MM88|A0A3Q1MM88_BOVIN DDB1 and CUL4 associated factor 1 OS=Bos taurus OX=9913 GN=DCAF1 PE=4 SV=1
+MTTVAVHVDSKAELTTLLEQWEKEHGSGQDMVPILTRMSELIEKETEEYRKGDPDPFDDR
+HPGRADPECMLGHLLRILFKNDDFMNALVNAYVMTSREPPLNTAACRLLLDIMPGLETAV
+VFQEKEGIVENLFKWAREADQPLRTYSTGLLGGAMENQDIAANYRDENSQLVAIVLRRLR
+ELQLQEVGSRQESKRPSPRKLSSEPLLPLDEEAVDMDYGDMAVDVVDGEQEEASGDMEIS
+FHLESGHKTSSRVNSATKLEEGALRKNKSTKQADRESFRKAKQKLGFSSSDPDRMFVELS
+NSSWSEMSPWVIGTNYTLYPMTPAIEQRLILQYLTPLGEYQELLPIFMQLGSRELMMFYI
+DLKQTNDVLLTFEALKHLASLLLHNKFATEFVAHGGVQKLLEIPRPSMAATGVSMCLYYL
+SYNQDAMERVCMHPHNVLSDVVNYTLWLMECSHASGCCHATMFFSICFSFRAVLELFDRY
+DGLRRLVNLISTLEILNLEDQGALLSDDEIFASRQTGKHTCMALRKYFEAHLAIKLEQVK
+QSLQRTEGGILVHPQPPYKACSYTHEQIVEMMEFLIEYGPAQLYWEPAEVFLKLSCVQLL
+LQLISIACNWKTYYARNDTVRFALDVLAILTVVPKIQLQLAESVDVLDEAGSTVSTVGIS
+IILGVAEGEFFIHDAEIQKSALQIIINCVCGPDNRISSIGKFISGTPRRKLPQTPKSSEH
+TLAKMWNVVQSNNGIKVLLSLLSVKMPITDADQIRALACKALVGLSRSSTVRQIISKLPL
+FSSCQIQQLMKEPVLQDKRSDHVKFCKYAAELIERVSGKPLLIGTDVSLARLQKADVVAQ
+SRISFPEKELLLLIRNHLISKGLGETATVLTKEADLPMTAASHSSAFTPVTAAASPVSLP
+RTPRIANGIATRLGSHAAVGTSAPSAPAAHPQPRVPQGSLALPGPSHAGNSPVIGRISFI
+RERPSPCNGRKIRVLRQKSDHGAYSQSPAIKKQLDRHLPSPPTLDSIITEYLREQHARCK
+NPVATCPPFSLFTPHQCPEPKQRRQAPINFTSRLNRRASFPKYGGVDGGCFDRHLIFSRF
+RPISVFREANEDESGLTCCAFSARERFLMLGTCTGQLKLYNVFSGQEEASYNCHNSAITH
+LEPSRDGSLLLTSATWSQPLSALWGMKSVFDMKHSFTEDHYVEFSKHSQDRVIGTKGDIA
+HIYDIQTGNKLLTLFNPDLANNYKRNCATFNPTDDLVLNDGVLWDVRSAQAIHKFDKFNM
+NISGVFHPNGLEVIINTEIWDLRTFHLLHTVPALDQCRVVFNHTGTVMYGAMLQADDEDD
+LLEERMKSPFGSSFRTFNATDYKPIATIDVKRNIFDLCTDTKDCYLAVIENQGSMDALNM
+DTVCRLYEVGRQRLAEDEDEEEDQEEEEQEEEDDDEDDDDTDDLDELDTDQLLEAELEED
+DNNENAGEDGDNDFSPSDEELANLLEEGEDAEDEDSDADEEVELILGDTDSSDNSDLEDD
+IILSLNE
+>tr|A0A452DJI1|A0A452DJI1_BOVIN Secretory carrier-associated membrane protein OS=Bos taurus OX=9913 GN=SCAMP5 PE=3 SV=1
+MSEKVNNFPPLPKFIPLKPCFYQDFEADIPPQHLSMTKRLYYLWMLNSVTLAVNLVGCLA
+WLIGGGGATNFGLAFLWLILFTPCSYVCWFRPIYKAFKTDSSFSFMAFFFTFMAQLVISI
+IQAVGIPGWGVCGWIATISFFGTNIGSAVVMLIPTVLFTVMAVFSFIALSMVCGRLWSYR
+VVLGGTVICNDPSSKLQKDPVVFPGTHSGMARWTLLPGHGSERGLAFMVAYLWLPRLFWT
+GCLFPLINPSLCSSPYRSINSTGAAGGVSAKLRRSGPREHGRTRTCSRRPRMQPWGPHRA
+P
+>tr|A0A3Q1MR91|A0A3Q1MR91_BOVIN KN motif and ankyrin repeat domains 1 OS=Bos taurus OX=9913 GN=KANK1 PE=4 SV=1
+MAHTTKVNGCASGKANDILNGEQDREQKDPYFVETPYGYQLDLDFLKYVDDIQKGNTIKK
+VNIQKRRKPSGPCPDSRAAPGQHGVWTSTESLSSSNSDDNRQCPRFLLGRSQVTSTPISK
+PPAPLETSPTFLTIPESRQLPPPSPQLPKHNLHVTKTLMETRRRLEQERVTMQVAPGEFR
+RPRLASFGGMGSTSSLSSFLGSGNHNPTMPQLQNGYQGNGDYGGYAPAAATTSSMGSSIR
+HSPLSSGISTPVTNVSPMHLQHIREQMAIALKRLKELEEQVRTIPVLQVKISVLQEEKRQ
+LASQLKNQRAASQNDVCGVRKRSYSAGNASQLEQLSRTRRSGGELYIDYEEEEMESVEQS
+TQRIKEFRQLTADMQALEQKIQDSSYEASSELRGNGECQPQEFRSVAVGADEDMNDIVVY
+CRGARSCKDAAVGMVTETRNSGVSVTEAMLGVTTEADKEIELQQQTIEALKDKIYRLEVQ
+LKETTHDREMTKLKQELQAAGSRKKVDKAMMAQPLVFSKMVEAVVPTRDQMAGSHVDVVD
+TCVGTCVQTSSVGISCQPDHENKEVGPELPMNWWIVKERVEMHDRCIGRSVEMCDKSVGM
+DISVCETGSNTEESVNDLALLRTNLNLKEVRSIGCGDCSVDVTVCSPKECTSRSVNTEAV
+SQVEAAVMAVPRTVSQHTSTVVEQVSQFTNTEVATLTESFTNTSLSTVDKQTSTHTVEMR
+TVAVGEGRVKDVSSTKMRSIGVGTVLSGNAGFDRPSAVKTKESGVGQISINDNYLVGLKM
+RTIACGPPQLTVGPTGSRRSVGVGDEPVGEFVESPQPQAPSGMETGLDHYIERVQKLLAE
+QQALLAENYSELAEAFGEPQSQIGSLNSQLISTLSSINSVMKSASLEELRHPDFLKTSLG
+KVTGSNLEYTCKCGALQSGGPLNSQTSLQEAGTTEGKPIGSQDTFPTQESMLSPVNLTDD
+QIAAGLYVCTNNESTLKSIMKKKDANKDSNGAKKNLQFVGINGGYELSEKMLSACNLLKN
+NINDPKALTSKDMRFCLNTLQHEWFRVSSQKSAIPAMVGDYIAAFEAISPEVLRHVINMA
+DGNGNTALHYSVSHSNFEIVKMLLDADVCNVDHQNKAGYTPIMLAALAAVEAEKDMQVVE
+ELFACGDVNAKASQAGQTALMLAVSHGRIDMVKGLLACGADVNIQDDEGSTALMCASEHG
+HVEIVKLLLAQPGCNGHLEDNDGSTALSIALEAGHKDIAVLLYAHVNFAKAPSPGTPRLG
+RKTSPGPTHRGSFD
+>tr|G3N314|G3N314_BOVIN Dynein axonemal assembly factor 5 OS=Bos taurus OX=9913 GN=DNAAF5 PE=4 SV=2
+MAALVAPEAAAPQGPTEAGETTEAAELSRSLSRLLPGLEADSKLGRRRALEALQRVLEAA
+QPEAPDADPDAAAAAFQGPWVHLLLPRLLRRLTDPAEGCRALAVHLLGLGLRRAARPRDA
+LPRLLPALAARLASPEPARRPPPEACEELRLALVQLLGLAVRLTGAAFAPHLDDAVRALR
+CTLLDPFAAVRRESCECAAGLARATPDHFHMQSESLIGPLMQSISHQHWKVRVAVIEATG
+AAIQFGSGKSVDDVLPHFAQRLFDDVPQVRQAVMRVVGGWLLSLRDRYSFFHKLIPLLLS
+GFHDEIPEIASEASSLWERVGLQWQTENEEDLKDKLDFASPPPAHHPSPGSRPGLGCREL
+VFRNLSKILPAVCHDIDDWVAGTRVKSAQLLAVLLLHAEDHVTQHLEPVLRALLRACADE
+EAAVVSSCTRSAELVGTFVSPEVFLKLILSTLRKSPSPSGLLVLASLIQGCPREALRPHV
+KVIATELAQAHICQGSENRLYGERLLQCVQAVVSAGREDCRGAGEPLLEVLVTVLALWGP
+AGLSDKVQETLAALAAVEGMDGSQDLFRARVSPLLEWLAASHRDWTGHSVELLQLDVVLS
+HSGPALGEALPQLVPILRSCLQPARDPAMRLKLFSTLTGVLLGAPETVDSQGQLHGYLDT
+LVQDIFVPNLQWHAGRTAAAIRTAAVSCLWALVSSGGLSDGQIQDMQETLMPQILTTLEE
+DSQMTRLISCQIINLFLKTSNGVIDPDKFIKIYPELLKRLDDVSDDVRLAATSALVTWLQ
+RVQSEASKCCYQSSVRHLYRELLVYLDDPEHAVQDAVLEALKAGSVLFPDLLVKETEAVL
+HKHRSPTYCEQLLQHLQALPPAQ
+>tr|F1ME70|F1ME70_BOVIN Solute carrier family 2 member 10 OS=Bos taurus OX=9913 GN=SLC2A10 PE=3 SV=3
+MGRPSLLLPLCASVSLLGGLTFGYELAVISGALLPLQLDFGLSCSQQELLVGSLLLGALL
+ASLVGGCLIDRYGRKQAILGSNLVLLAGSLSLGLAGSLAWLLLGRSVAGFAISLSSMACC
+IHVSELAGPRQRGVLVALYEAGITVGVLLSYALNYALAGAPRGWRHMFGWAAAPALLQSL
+SLLFLPAGTVGAAARKDLIPLQGGEATKLDPGRPRYAFVDLFRARDNMRVRTVLGLGLVL
+FQQLTGQPNVLAYASTIFQSVGFQGGSSAVLASVGLGAVKVAATLMAMGLVDRAGRRALL
+LTGCALMALSVSGLGLVSFAVSLHSGPACLAVPNATRLSGLPGDSGLPRGLAPPLPPTTD
+QSPRQPVLSTSEKTRPPPGAEDPTALSLTPPARLSPTPEHALLHWTALVCMMVFVSAFSI
+GFGPVTWLVLSEIYPVEIRGRAFAFCNSFNWAANLFISLSFLDLIGAIGLSWTFLLYGLT
+AVFGLGFIYLFVPETKGQSLADIDQQFQKKWFPLSFGHRQSSAGVQYSRIEVSAAS
+>tr|A0A3Q1MIW5|A0A3Q1MIW5_BOVIN ULP_PROTEASE domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MGFLYSPGRYKMDLVVLSYTDSLLQQSNVSLLDPPSWLNIHVIGFAFQYFSSSQFHDCSD
+HICFISPVVTQFIKCTGNPAEIAMFLEPFNLPNKRVIYLAINDNSNHTAGGTHWSLLVYL
+QDKNSFFHYDSYGISHSFHAKQVAEKLEAFLGRKGNKLAIVEEKGPPQQSSYDFGMYAIC
+NTELLTPTHITKKREELKDLTARLAKNYSEECIR
+>tr|A0A3Q1MKF9|A0A3Q1MKF9_BOVIN Inositol polyphosphate-4-phosphatase type I A OS=Bos taurus OX=9913 GN=INPP4A PE=4 SV=1
+MTAREHSPRHGARARAMQRASTIDVAGDMLGLSLAGNVQDPDEPILEFSLACSELHTPSL
+DRKPNSFVAVSVTTPPQAFWTKHAQTEIIEGTNDPIFLSSIAFFQDSLINQMTQIKLSVY
+DVKDRSQGTMYLLGSGTFVVKDLLQDRHHRLYLTLRSAESDRVGNITVMGWQMEEKSDQR
+PPVTRSLDTVNGRMVLPVDESLTEALGIRSKYASLRKDTLLKSVFGGAICRMYRFPTTEG
+NHLRILEQMAESVLSLHVPRQFVKLLLEEDAARVCELEELGELSPCWESLRRQIATQYQT
+IILTYQENLTDLHQYKGPSFKASSLKADKKLEFVPTNLHIQRMRVQDDGGADQNYDIVTI
+GAPAAHCQGFKSGGLRKKLHKFEETKKHSFEECCTSSSCQSIIYIPQDIIRAKEIIAQIN
+TLKTQVSYYAERLSRAAKDRSATGLERTLAILADKTRQLVTVCDCKLLATSIHGLNAARP
+DYIASKASPTSTEEEQVMLRNDQDTLMARWSGRNSRSSLQVDWHEEEWEKVWLNVDKSLE
+CIIQRVDKLLQRERLQGEGCEDGLLGAGSGSSRKDCSPPPEESSPGEWSEALYPLLTTLT
+DCVAMMSDKAKKAMVFLLMQDSAPTIASFLSLQYRRDVVFCQTLTALICGFIIKLRNCLH
+DDGFLRQLYTIGLLAQFESLLSTYGEELAMLEDMSLGIMDLRNVTFKVTQATSNASTDML
+PVITGNRDGFNVRIPLPGPLFDALPREIQSGMLLRVQPVLFNVGINEQQTLAERFGDTSL
+QEVINVESLVRLNSYFEQFKEVLPEDCLPRSRSQTCLPELLRFLGQNVHARKNKNVDILW
+QAAEVCRRLNGVRFTSCKSAKDRTAMSVTLEQCLILQHEHGMAPQVFTQALECMRSRQCC
+SRLVSQSLLLSVPSHLSSHQTLQSVRLSYPFCVSAV
+>tr|A0A3Q1MFH0|A0A3Q1MFH0_BOVIN Bone morphogenetic protein 6 OS=Bos taurus OX=9913 GN=BMP6 PE=4 SV=1
+MLGRTAQWLCWWWGLLCSFCGPPPLPAAAAAGGALLGDGGSPGHAERPPPPQTSSSGFLY
+RRLKTHEKREMQKEILSVLGLPHRPRPLHGLPQPPVFPQQQQPARGEPPPGRLKSAPLFM
+LDLYNALSADDEEDGASDEERRQPGQRGGADASQPRSPSSGAAHPLGSGSLLATGPGGGA
+SPLTSAQDSAFLNDADMVMSFVNLVEYDKEFSPRQRHHKEFKFNLSQIPEGEAVTAAEFR
+IYKDCVVGSFKNQTFLISIYQVLQEHQHRDSDLFLLGTRAVWASEAGWLEFDITATSNLW
+VLTPQHNMGLQLSVVTRDGLSISPGAAGLVGRDGPYDKQPFMVAFFKASEVHVRSARSAP
+GRRRQQARNRSTPAQDVSRASSASGGSGTHVGPGVSVRTQDLPRLAGAAQLCRPTCSCRC
+PGLSGRTPRPSEGSLCDPKIVCRLRQPQCLLSQKSILLSDQISPSSSSFP
+>tr|F1MHB9|F1MHB9_BOVIN Inositol polyphosphate-5-phosphatase A OS=Bos taurus OX=9913 GN=INPP5A PE=4 SV=3
+MAGKAASPGTAVLLVTANVGSLFDDPENLQKNWLREFYQVVHVHRPHFLALHCQEFGGKN
+YEASMSHVDKFVKELLSSDAMKEYNRARVYLDENYKSQEHFTALGSFYFLHESLKNIYQF
+DFKAKKYKKVTGKEIYSDTLESTPMLEKEKFPQDYFPECKWSRKGFLRTRWCLADCAFDL
+VNIHLFHDASNLVAWETSPSVYSGIRHKALGYVLDRISDQRFQKASYFVFGDFNFRLDSK
+SVVETLCTKATMQTVRAADTNEVVKLIFRESDNDRKVMLQLEKKLFDYFNQEVFRDDNGT
+ALLEFDKELSVFKDRLYELDISFPPSYPYSEDCSQGRQYMNTRCPAWCDRVLMSPSAKEL
+VLRSESEEKVVTYDHIGPSVCMGDHKPVFLAFRIAPGAGKPHARVHKCCVVQ
+>tr|A0A3Q1M5K3|A0A3Q1M5K3_BOVIN Semaphorin 6D OS=Bos taurus OX=9913 GN=SEMA6D PE=3 SV=1
+MRFFLLCAYMLLLLISQLRAVSFPEDDEPLNTVDYHYSRQYPVFRGRPSGNESQHRLDFQ
+LMLKIRDTLYIAGRDQVYTVNLNEIPKTEVIPNKKLTWRSRQQDRENCAMKGKHKDECHN
+FIKVFVPRNDEMVFVCGTNAFNPMCRYYKLNTLEYDGEEISGLARCPFDARQTNVALFAD
+GKLYSATVADFLASDAVIYRSMGDGSALRTIKYDSKWIKEPHFLHAIEYGNYVYFFFREI
+AVEHNNLGKAVYSRVARICKNDMGGSQRVLEKHWTSFLKARLNCSVPGDSFFYFDVLQSI
+TDIIQINGIPTVVGVFTTQLNSIPGSAVCAFSMDDIEKVFKGRFKEQKTPDSVWTAVPED
+KVPKPRPGCCAKHGLAEAYKTSIDFPDETLSFIKSHPLMDSAVPPIADEPWFTKTRIRYR
+LTAIAVDHSAGPHQNYTVIFVGSEAGVVLKVLAKTSPFSLNDSVLLEEIEAYNHAKCSAE
+NEEDRKVISLQLDKDHHALYVAFSSCVIRIPLSRCERYGSCKKSCIASRDPYCGWLSQGA
+CGRVSPAMLAGGFEQDTEYGNTAHLGDCHDMEVSSSSVTTMASIPEITPKVIDTWRPKLT
+SSRKFVVQDDPNTSDFTDPLSGIPKGVRWEVQSGEANQMVHMNVLITCVFAAFVLGAFIA
+GVAVYCYRDMFVRKNRKIHKDAESAQSCTDSSGSFAKLNGLFDSPVKEYQQNIDSPKLYS
+NLLTSRKELPPNGDTKSMVMDHRGQPPELAALPTPESTPVLHQKTLQAMKSHSEKAHGHG
+ASRKETPQFFPSSPPPHSPLSHGHIPSAIVLPNATHDYNTSFSNSNAHKAEKKLQNIDHP
+LTKSSSKRDHRRSVDSRNTLNDLLKHLNDPNSNPKAIMGDIQMAHQTLMLDPVGPMSEVP
+PKVPNREASLYSPPSTLPRNSPTKRVDVPTTPGVPMTSLERQRGYHKNSSQRHSISAMPK
+NLSSPNGVLLSRQPSMNRGGYVPTPAGPKVDYIQGAPVSAHLQPSLSRQSSYTSNGTLPR
+TGLKRTPSLKPDVPPKPSFVPQTTSVRPLNKYTY
+>tr|A0A3Q1MC78|A0A3Q1MC78_BOVIN Protein NDRG3 OS=Bos taurus OX=9913 GN=NDRG3 PE=4 SV=1
+MDELQDVQLTEIKPLLNDKNGTRNFQDFDCQEHDIETAHGMVHVTIRGLPKGNRPVILTY
+HDIGLNHKSCFNAFFNFEDMQEITQHFAVCHVDAPGQQEGAPSFPTGYQYPTMDELAEML
+PPVLTHLNLKSIIGIGVGAGAYILSRFALNHPELVEGLVLINVDPCAKGWIDWAASKLSG
+LTTNVVDIILSHHFGQEELQANLDLIQTYRLHIAQDINQENLQLFLGSYNGRKDLEIERP
+ILGQNDNKSKTLKCSTLLVVGDSSPAVEAVMADCGGLPQVVQPGKLTEAFKYFLQGMGYI
+PSASMTRLARSRTHSTSSSIGSGESAFSRSVASNQSDGTQESSESPDVLDRHQTMEVSC
+>tr|F1MTB5|F1MTB5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=FBXL19 PE=4 SV=3
+MSSSSRGPGAGARRRRTRCRRCRACVRTECGDCHFCRDMKKFGGPGRMKQSCLLRQCTAP
+VLPHTAVCLLCGEAGKEDTVEGEEEKFGLSLMECTICNEIVHPGCLKMGKAEGVINAEIP
+NCWECPRCTQEGRTSKDSGEGPGRRRADNGEEGASLGSGWKLTEEPPLPPPPPRRKGPLP
+AGPPSEDVPGPPKRKEREAGNEPPTPRKKVKGGRERHLKKVGGDACLLRGSDPGGPGLLP
+PRVLNPSQAFSSCHPGLPPENWEKPKPPLASAEGPAVPSPSPQREKLERFKRMCQLLERV
+PDTSSSSSDSDSDSDSSGTSLSEDEAPGEARNGRRPARGSSGEKENRGGRRAVRPGSGGP
+LLSWPLGPAPPPRPPQLERHVVRPPPRSPEPDTLPLAAGSDHPLPRAAWLRVFQHLGPRE
+LCICMRVCRTWSRWCYDKRLWPRMDLSRRKSLTPPMLSGVVRRQPRALDLSWTGVSKKQL
+MWLLNRLQGLQELVLSGCSWLSVSALGSAPLPALRLLDLRWIEDVKDSQLRELLLPPPDT
+KPGQTESRGRLQGVAELRLAGLELTDASLRLLLRHAPQLSALDLSHCAHVGDPSVHLLTA
+PTSPLRETLVHLNLAGCHRLTDHCLPLFRRCPRLRRLDLRSCRQLSPEACARLAAAGPPG
+PFRCPEEKLLLKDS
+>tr|F1MX23|F1MX23_BOVIN SH3 domain containing GRB2 like 3, endophilin A3 OS=Bos taurus OX=9913 GN=SH3GL3 PE=4 SV=3
+MSVAGLKKQFHKASQLFSEKISGVEGTKLDDEFLDMERKIDITNKAVAEILSKATEYLQP
+NPAYRAKLGMLNTMSKIRGQVKTTGYPQTEGLLGDCMLKYGKELGEGSTFGSALIEVGES
+MKLMAEVKDSLDINVKQTFIDPLQLLQDKDLKEIGHHLKKLEGRRLDYDYKKKRVGKIPD
+EEVRQAVEKFEESKELAERSMFNFLENDVEQVSQLAVFVEAALDYHRQSTEILQELQSKL
+QMRISAASNVPKREYKPRPIKRSPSELNGVSTASSAKTTGSNVPMDQPCCRGLYDFEPEN
+QGELGFKEGDIITLTNQIDENWYEGILHGESGFFPINYVEVIVPLPQ
+>tr|F1MVI2|F1MVI2_BOVIN Aprataxin OS=Bos taurus OX=9913 GN=APTX PE=4 SV=3
+RSNVSLSASAVSRVMMRVCWLVRQDNRHQRIKLPHLETVMVGRSPETKIADKKCSRQQVQ
+LKAECNKGYVKVKQVGVNPTSIDSVIIGKDQEVKLQPGQVLYLVNELYPYIIEFEEETKS
+PGLESHRKRKRSGSSDPTERGADPEAEPSTGLEPGSNPHQRSVPPKKEKDASIKKESLGH
+WSQGLKISMEDPKMQVYKDEQVVVIKDKYPKARFHWLVLPWASISSLKAVTREHLELLRH
+MHAVGEKVIADFAGSSKLRFRLGYHAIPSMSHVHLHVISQDFDSPCLKNKKHWNSFNTEY
+FLESQAVIEMVQEAGRVTVRDGMPELLKLPLRCHECQQLLPSIPQLKEHLRKHWSK
+>tr|F1MZ83|F1MZ83_BOVIN Versican core protein OS=Bos taurus OX=9913 GN=VCAN PE=1 SV=2
+MLINIKSILWMCSTLIAAHALQKVNMEKSPPVKGSLSGKVNLPCHFSTMPTLPPSYNTTS
+EFLRIKWSKIELDKTGKDLKETTVLVAQNGNIKIGQDYKGRVSVPTHPEDVGDASLTMVK
+LLASDAGRYRCDVMYGIEDTQDTVSLTVEGVVFHYRAATSRYTLNFEMAQKACVDIGAVI
+ATPEQLHAAYEDGFEQCDAGWLSDQTVRYPIRVPREGCYGDMMGKEGVRTYGFRAPHETY
+DVYCYVDHLDGDVFHITAPNKFTFEEAGEECKTQDARLATVGELQAAWRNGFDRCDYGWL
+LDASVRHPVTVARAQCGGGLLGVRTLYRFENQTGFPTPDSRFDAYCFKPKQNISEATTIE
+LNMLAETVSPTLLEELQMGLDRMTPIVPLITELPVITTKVPPIGNIVNFEQKSTVQPLTS
+THRSATESLPPDGSMKKPWDMDYYSPSASGPLGEPDVSEIKEEVPQSTTVVSHHAPDSWD
+GVKEDLQIKDSVTQIEQIEVGPLVTSMEISKHIPSKEFTVTVTPFVSTTMTLESKTEKKA
+ISTVSESVTTSHYGFTLREGDGEDRISTVRSGQSTSIFSQIPEVITVSKTSEDTTRGQLE
+DVESVSASTIVSPDSDGSPMDHRQEKQTHGRITEGFLGQYVSTTPFPSQHHTEVELFPYS
+GDKRLVEGTSTVISPTPRTGRERTETLRPAMRTVTYTNDEIQEKITKDSSIEKIEEEGFS
+GMKFPTASPEQIHHTKYSVGMTKSFESPALMTTTKPGVTPTEATDVEEDFTTPSGLETDG
+YQDTTEYEEGITTVHLIQSTLNVEVVTVSKWSLDEDNTTSKPLGSTEHVGSPKLPPALIT
+TTGVSGKDKEMPSLTEDGRDEFTRIPGSTQRPLEEFTEEDTTDHEKFTVRFQPTTSIATT
+EKSTLRDSITEERVPPFTSTEVRVTHATIEGSALDEGEDVDVSKPLSTVPQFAHPSDVEG
+STFVNYSSTQEPTTYVDTSHTIPLPVIPKTEWGVLVPSIPSEGEVLGEPSQDIRVINQTH
+FEASMYPETVRTTTEITQEATREDFLWKEQTPEKPVSPPSSTTDTAKETTPPLDEQESDG
+SAYTVFEDRSVMGSDRVSVLVTTPIGKFEQHTSFPPGAVTKAKTDEVVTLTPTTGSKVTF
+SPWPKQKYETEGTSPRGFVSPFSIGVTQLIEETTTEKREKTSLDYIDLGSGLFEKPKATE
+LPEFSTVKATVPSDTAAFSSADRLHTPSASTEKPPLIDREPDEETTSDMVIIGESTSRVP
+PTTLEDIVAKETETDIDREYFTTSSTSTTQPTRPPTVEGKEAFGPQAFSTPEPPAGTKFH
+PDINVYIIEVRENKTGPDRCKMNPCLNGGTCYPTETSYVCTCVPGYSGDRCELDFDECHS
+NPCRNGATCIDGFNTFRCLCLPSYVGALCEQDTETCDYGWHKFQGQCYKYFAHRRTWDAA
+ERECRLQGAHLTSILSHEEQMFVNRVGHDYQWIGLNDKMFEHDFRWTDGSTLQYENWRPN
+QPDSFFSTGEDCVVIIWHENGQWNDVPCNYHLTYTCKKGTVACGQPPVVENAKTFGKMKP
+RYEINSLIRYHCKDGFIQRHLPTIRCLGNGRWAMPKITCLNPSAYQRTYSKKYFKNSSSA
+KDNSINTSKHDHRWSRRWQESRR
+>tr|A0A3Q1N3D4|A0A3Q1N3D4_BOVIN Synergin gamma OS=Bos taurus OX=9913 GN=SYNRG PE=4 SV=1
+MPMQQQGFPMVSVMQPNMQGMIGMNYSSQMSQGPIAMQAGIPMGPIPAGGMPYLGQAPFL
+GMRPPGPQYTPDMQKQFAEEQQKRFEQQQKLLEEERKRRQFEEQKQKLRLLSSVKPKTGE
+KSRDDALEAIKGNLDGFSRDAKMHPTPASHPKKPDYPTSSHSTKTVSPSPAFLDEEEFSD
+FMQGPVEVPTCGPSSTSQPFQSFHSTTPLGQLHVQKAGAQPLPPGSSLEEKLLVSCDLST
+SGQEQIKFNTSEVGHKALGPGSSKNHPSLMASNGGAVDGRVSGTTTAEAAKTSDQNLCIE
+ESGVGVFPSQDPVQPRMPPWIYNESLVPDAYKKILETTMTPTGIDTAKLYPILMSSGLPR
+ETLGQIWALANRTTPGKLTKEELYAVLAMIAATQRGVPAMSPDALNQFPAAPVPTLSGFP
+VTLPAAVSQPTGMPSGPAGSMPLTLGQPVMGINLVGPVGGAAAQASSGFMSAYAANQVVK
+PEDDDFQDFQDASKSGSLDDSFSDFQELPASSKSNNSQHGNSAPSLLMPLPGTKASASMD
+KYAVFKGIAADKPSENTVPFGEPGDKYSAFRELEQTAENKSLGENFAEFRSAGTDDGFTD
+FKTADSISPLEPPAKDKPFPATFPSGATQQKPQTQVKNPLNLADLDMFSSVTCSNEKPLS
+FSAAFSVSKPVSTRPQPAGSAAPSAALVSTKTAGLADDFGEFNLFGEYSSPASVGEQDDF
+ADFMAFSNSSVSSEQKADDKYEALKEEASPGPLTSSTGIAGKSGQNSAATSTKYDVFKQL
+SLEGSGLGGEELKDSTSSGKSDDDFADFHSSKFSSVNSDKSLGEKAVAFRHTKEDSASVK
+SLDLPSLGGSSVGKEDSEDALSVQFDMKLADVGGELKHVMSDSSLDLPTVSGQHPPAADI
+EDLKYAAFGTYSSSFAVSTLTSSDWSDRDDASQGRKLSPFVLSAGSGASAAASVLQKKET
+SFGSSENLTVASLSKVTTFASEDPLPETTFLPFANFKDVIPQASEPKEYESGDFRDFARQ
+DLPVGERSQEAMCLSPASSSASHDTPKECTDDFGEFQSEKPKISKFDFLVANSQGKMKSS
+EEMIKNELATFDLSVQGSHKRSLSLGDKEISRSSPSPALEQPFRDRSNTLSEKPALPVIR
+DKYKDLTGEVEENERYAYEWQRCLGSALEVIKKANDTLNGISSSSVCTEVIQSAQGMEYL
+LGVVEVYRVTKRVELGIKATAVCSEKLQQLLKDIDKVWNNLIGFMSLATLTPDENSLDFS
+SCMLRPGIKNAQELACGVCLLNVDSRSRKEEKPAEEHPKKAFNSETDSFKLAYGGHQYHA
+SCANFWINCVEPKPPGLILPDLL
+>tr|A0A3Q1ME09|A0A3Q1ME09_BOVIN Microtubule-actin crosslinking factor 1 OS=Bos taurus OX=9913 GN=MACF1 PE=1 SV=1
+MSSSDEETLSERSCRSERSCRSERSYRSERSGSLSPCPPGDTLPWNLPLHEQKKRKSQDS
+VLDPAERAVVRVADERDRVQKKTFTKWANKHLMKVRKHINDLYEDLRDGHNLISLLEVLS
+GIKLPREKGRMRFHRLQNVQIALDFLKQRQVKLVNIRNDDITDGNPKLTLGLIWTIILHF
+QISDIYISGESGDMSAKEKLLLWTQKVTAGYTGIKCTNFSSCWSDGKMFNALIHRYRPDL
+VDMERVQIQSNRENLEQAFEVAERLGVTRLLDAEDVDVPSPDEKSVITYVSSIYDAFPKV
+PEGGDGISATEVDARWQEYQSRVDSLIPWIKQHTILMSDKSFPQNPVELKALYNQYIHFK
+ETEILAKEREKGRIEELYKLLEVWIEFGRIKLPQGYHPNDVEEEWGKLIIEMLEREKSLR
+PAVERLELLLQIANKIQNGALNCEEKLTLAKNTLQADAAHLESGQPVQCESDVIMYIQEC
+EGLIRQLQVDLQILRDENYYQLEELAFRVMRLQDELVTLRLECTNLYRKGHFTSLELVPP
+STLTTTHLKAEPLTKVTHSSSTSWFRKPMTRVELVSISSSEDEGNLRFVYELLSWVEEMQ
+MKLERAEWGSDLPSVELQLEAQQHIHASVEELGSSVKEARLYEGKMSQNFHTSYVETLGK
+LETQYCKLKETSSFRMRHLQSLHKFVSRATAELIWLNEKEEEELAYDWSDNNPNISAKKN
+YFSELTMELEEKQDVFRSLQDTAELLSLENHPAKQTVEAYSAAVQSQLQWMKQLCLCVEQ
+HLKENTAYFQFFSDARDLESFLRNLQDSIKRKYSCDHSTSLSRLEDLLQDSMDEKEQLIQ
+SKSSVASLVGRSKSVVQLKPRSPDHMLKSTISVKAICDYRQIEITICKNDECVLEDNSQR
+TKWKVISPTGNEAMVPSVCFLIPPPNKDAMETASRVEQSYQKVMALWHQLHVNTKSLISW
+NYLRKDLDLVKTWNLEKLRSSAPGEYHQVMKNLQAHYEDFLQESRDSALFSVADRLRLEE
+EVEACKTHFQHLLKSMENEDKEETVAKMYISELKNIRLRLEECEQRLIQRIQSPASSRTD
+KDARQDNALRIAEQEHTQADLQQLRADLDAVSMKCNSFLHQSPSGSSVPTLRSELNLLVE
+KMDHVYGLSTVYLNKLKTIDVIVRSIQDAELLVKGYEIKLSQEEAVPPDLSALESHRSTL
+RHWLSDVKDKNSVFSVLDEEIGKAKVVAEQLSRLTPERNLDLERCQEKGSQLQDRWHRVI
+AQLETRQSELESIQEVLGDYRACHGTLIKWIEETTAQQEMMKPGQAEDSRVLSEQLSQQT
+ELFAEIERNQTKLDQCQKFSQQYSTIVKDYELQLMTYKAFVESQQKSPGKRRRMLSSSDA
+ITQEFMDLRTRYTALVTLTTQHVKYISDALRRLEEEEKVVEEEKQEHVEKVKELLGWVST
+LAKNTQSKATSPQTKEPTDIEKAILEQQVLAEELTTKREQVSEAVKTSQIFLAKHGHKLS
+EKEKEQISEQLNALSKTYHDLCEGSANQLQQLQSQLAQQTEQKTLQKQQNTCHQKLEDLC
+SWVGQAERALAGHQGRATQQDLSALQKNQSDLKDLQDDIQNHATLFASVVKDTEGFLEEN
+QTKLSPDELTALQEKLHQAKEQYEALQERTKLAQKELEEVVTSALQQETEKSKAAKELAE
+NRRKIDALLDWVTSVGSSEGQMEQLSGNLEKGALDTTDGHAGLNEAPEKLDKQCEKLKAR
+HQELLSQQQNFILATQSAQAFLDQHGHSLTPEEQQLLQEKLGELKEQYATSLAQSEAELK
+QVQTLRDELQKFLQDHREFENWLERSEKELESMHQGGSSPEALPALLKRQGSFSEDVISH
+KGDLRFVTISGQKVLDTGNSLDDGREASATGTLVKAKLKDATERYSTLHAECTRLGTHLN
+MLLGQYQQFQSSADSLQAWMKACEASVKKLLSDTVASDPGVLQQQFATTKQLQEELAEHQ
+VPVEKLQKVAHDLMEIEGEPAPDRKHVQETTDSILSHFQHLSSSLAERSALLQKAIAQSQ
+SVQESLDSLLQSIREVENNLEEEQVVSLSSGVVQEALATNMKLKQDIARQKSSLEATRDM
+VTQFMETADSTTAAVLQGKLAEVSQRFEQLCLQQQEKESSLKKLLPQAEMFEHLSEKLQQ
+FMENKSRLLASGNQPDQDIAHFFQQIQELNLEMEDQQENLDTLEHLVTDLSSCGFALDLS
+QHQHRVQNLKKDFSELQKTIKEREEDASSCQEQLDEFRKLVRIFQKWLKETEGNIPPAET
+FMSTKELEKQIEHLKDLLDDWTSKGTLVEEINCKGTSLENLIMEITAPDSQAKTGSILPS
+VGSSVGSVNGYHTCKDLTEIQCDMSDINLKYEKLGGVLRERQESLQAVLSRMQEVQKEAS
+SVLQWLESKEEVLKGMDTSSSPTKTETVRAQAESNKAFLAELEQNSPKVQNVKEALAGLL
+ITYPNSQEAENWKKMQEELNSRWERATEVTMARQRQLEESASHLACFQAAESQLRPWLME
+KELMMGVLGPLSIDPNMLNAQKQQVQFMLKEFEARRQQHEQLNEAAQGILTGPGDASAST
+SQVQKELQSINEKWIELTDKLNSRSNHIDQAVVKSTQYQELLQDLSEKVKAVGQRLSGQS
+AISTQPEAVKQQLEETSEIRSDVEQLDQEIKEAQTLCDELSVLIGEQYLKDELKKRLETV
+ALPLQGLEDLAADRMNRLQAALASTQQFQQMFDELRTWLDDKQSQQAKNRPISAKLEQLQ
+SQLQENEEFQKSLNQHSGSYEVIVAEGESLLLSVPPGEEKKTLQNQLVELKSHWEELSKK
+TADRQSRLKDCLQKAQKYQWHVEDLVPWIEDCKAKTSDLRVTLDPVQLESHLLRSKAMLS
+EVEKRRSLLEILNSAADILINSSETDEDDIRDEKAGINQNMDSITEELQAKTGSLEEMTQ
+RLKEFQESFKNIEKKVEGAKHQLEIFDALGSQACSNKNLEKLRAQQEVLQTLEPQVDYLR
+NFTRGLVEDAPDGFDASQLLHQAEVAQQEFLEVKQRVNSGCMAMENKLEGIGQFHCRVRE
+MFSQLADLDDELDGMGAIGRDTDSLQSQIEDVRLFLNKIQALKLDIEASEAECRQMLEEE
+GTLDLLGLKRELEALSKQCSKLTERSRARQEQLELTLGRVEDFYQKLKVLNDMTTAAEEG
+EALQWVVGTEVDVINQQLADFKMFQKEQVDPLQMKLQQVNGLGQGLIQSAGKNCDVQGLE
+HDMEEINARWNTLNKKVAQRIAQLQEALLHCGKFQDALEPLLSWLADTEELIANQKPPSA
+EYKVVKAQIQEQKLLQRLLDDRKATVDMLQAEGGRIAQSAELADREKITGQLESLESRWT
+GLLSKATARQKQLEDILVLAKQFHETAEPISDFLSVTEKKLANSEPVGTQTAKIQQQIIR
+HKALEEEIESHAADVHQAVTVGQSLSSLTSPAEQGVLSERLDSLQARFSEIQDRCGRKAA
+LLEQALSNARLFGEDEVEVLNWLAEVEDKLSSVFVKDYRQDVLEKQHADHLALNEEIVNR
+KKNVDQAIKNGQALLKQTTGEEVLLIQEKLDGIKTRYADITVTSSKALRTLEQARQLATK
+FQSTYEELTGWLREVEEELAASGGQSPTGEQIPQFQQRQKELKKEVMEHRLVLDTVNEVS
+RALLELVPWRAREGLDKLVSDANEQYKLVSDTVGQRVDEIDAAIQRSQQYEQAADAELAW
+VAETKRKLMALGPIRLEQDQTTAQLQVQKAFSIDIIRHKDSMDELFSHRGEIFGTCGEEQ
+KAVLQEKTESLIQQYEAISLLNSERYARLERAQVLVNQFWETYEELSPWIEETRALIAQL
+PPPAIDHEQLRQQQEEMRQLRESIAEHKPHIDKLLKIGPQLKELNPEEGQMVEEKYQKAE
+NTYAQIKEEVRQRALALDEAISQSAQITEFHDKIEPMLETLESLSSRLRMPPLIPAEVDK
+IRECISENKSATVELEKLQPSFEALKRRGEELIGRSQGADKDLAAKEIQDKLDQMVFFWE
+DIKARAEEREIKFLDVLELAEKFWYDMAALLTTIKDTQDIVHDLESPGIDPSIIKQQVEA
+AETIKEETDGLHEELEFIRILGADLIFACGETEKPEVKKSIDEMNNAWENLNKTWRERLE
+KLEDAMQAAVQYQDTLQAMFDWLDNTVIKLCTMPPVGTDLNTVKDQLNEMKEFKVEVYQQ
+QIEMEKLNHQGELMLKKATDETDRDIIREPLTELKHLWENLGEKIAHRQHKLEGALLALG
+QFQHALEELMSWLTHTEELLDAQRPVSGDPKVIEVELAKHHVLKNDVLAHQATVETVNKA
+GNELLESSAGDDASSLRSRLETMNQCWESVLQKTEEREQQLQSTLQQAQGFHSEIEDFLL
+DLTRMETQLSASKPTGGLPETAREQLDTHMELYSQLKAKEETYNQLLDKGRLMLLSRDDS
+GSGSKTEQSVALLEQKWHVVSSKMEERKSKLEEALNLATEFQNSLQEFINWLTLAEQSLN
+IASPPSLILNTVLSQIEEHKVFANEVNAHRDQIIELDQTGNQLKFLSQKQDVVLIKNLLV
+SVQSRWEKVVQRSIERGRSLDDARKRAKQFHEAWKKLIDWLEDAESHLDSELEISNDPDK
+IKLQLSKHKEFQKTLGGKQPVYDTTIRTGRALKEKTLLPDDTQKLDNLLGEVRDKWDTVC
+GKSVERQHKLEEALLFSGQFMDALQALVDWLYKVEPQLAEDQPVHGDLDLVMNLMDAHKV
+FQKELGKRTGTVQVLKRSGRELIENSRDDTTWVKGQLQELSTRWDTVCKLSVCKQSRLEQ
+ALKQAEEFRDTIHMLLEWLSEAEQTLRFRGALPDDTEALQSLIDTHKEFMKKVEEKRVDV
+STAVSMGEVILAACHPDCVTTIKHWITIIRARFEEVLTWAKQHQQRLEAALSELVANAEL
+LEELLAWIQWAESTLIQRDQDPIPQNIDRVKALIAEHQTFMEEMTRKQPDVDRVTKTYKR
+KILEPAHAPFMEKSRGGSRKSLSQPAPPPMPILSQSEAKNPRINQLSGRWQQVWLLALER
+QRKLNDALDRLEELKEFANFDFDVWRKKYMRWMNHKKSRVMDFFRRIDKDQDGKITRQEF
+IDGILASKFPTTKLEMTAVADIFDRDGDGYIDYYEFVAALHPNKDAYRPTTDADKIEDEV
+TRQVAQCKCAKRFQVEQIGENKYRFGDSQQLRLVRILRSTVMVRVGGGWMALDEFLVKND
+PCRARGRTNIELREKFILPEGASQGMTPFRSRGRRSKPSSRAASPTRSSSSASQSNHSCT
+SMPSSPATPASGTKVIPSTGSKLKRPTPAFHSSRTSLAGDTSNSSSPASTGAKTNRADPK
+KSASRPGSRAGSRAGSRASSRRGSDASDFDLLETQSACSDTSESSAAGGQGSSRRGLNKP
+SKIPTMSKKTTTASPRTPGPKR
+>tr|G3N2W1|G3N2W1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=BBIP1 PE=4 SV=2
+MAETKSMFREVLPKQGQLYVEDITTMVLCKPKLLPLKSLTLEKLEKMQQAAQDTIHQQEM
+TEKEQKITH
+>tr|F1MH87|F1MH87_BOVIN Paired box 1 OS=Bos taurus OX=9913 GN=PAX1 PE=4 SV=3
+MKFTLGLGSRAWRVSWERAAAAAGPGAGGGALGGGARRSSSPRPGRRGSRLARALPLCLF
+RGGGAPALPDRAGPSPGRLGARQPAGPRAMEQTYGEVNQLGGVFVNGRPLPNAIRLRIVE
+LAQLGIRPCDISRQLRVSHGCVSKILARYNETGSILPGAIGGSKPRVTTPNVVKHIRDYK
+QGDPGIFAWEIRDRLLADGVCDKYNVPSVSSISRILRNKIGSLAQPGPYEASKQPPPQPA
+LPYNHIYQYPYPSPVSSTGAKMGSHHGVPGTASHVSIPRSWPSAHSVSNILGIRTFMEQT
+GALAGSEGAAYSPKMEDWAGVNRAAFPASPAVNGLEKPALDTDIKYTQSASGLSAVGGFL
+PACAYPASSQHGVYSSPAGGYLAPGPPWPPAQGPPLSPPGASVTVHGGELAAAVTFKHPN
+REVADRKPPSPGGKAPDGLGSLHGLPIPASTS
+>tr|G5E5N3|G5E5N3_BOVIN ATP-dependent RNA helicase A OS=Bos taurus OX=9913 GN=DHX9 PE=1 SV=1
+MGDVKNFLYAWCGKRKMTPSYEIRAVGNKNRQKFMCEVRVEGYNYTGMGNSTNKKDAQSN
+AARDFVNYLVRINELKSEEVPAIGVAPPTPSATDSSDTTAEDGGVPGNLGGPLPPHLTLQ
+AENNSGGGGSGYVPTWDRGANLKDYYSRKEEQEVQATLESEEVDLNAGLHGNWTLENAKA
+RLNQYFQKEKIQGEYKYTQVGPDHNRSFIAEMTIYIKQIGRRIFAREHGSNKKLAAQSCA
+LSLVRQLYHLGVIEPYSGLTKKKEGETVEPYKVNLSQDLEHQLQNIVQELNLEIVPIPED
+PSVPVALNLGKLAQFEPSQRQNPVGVVPWSPPQSNWNPWTSSNIDEGPLAYATPEQISMD
+LKNELMYQLEQDRDLQAVLQERELLPVKKFESEILEAISQNPVVIIRGATGCGKTTQVPQ
+FILDDCIQNDRAAECNIVVTQPRRISAVSVAERVAYERGEEPGKSCGYSVRFESILPRPH
+ASIMFCTVGVLLRKLEAGIRGISHVIVDEIHERDINTDFLLVVLRDVVQAYPEVRIVLMS
+ATIDTSMFCEYFFNCPIIEVYGRTFPVQEYFLEDCIQMTHFVPPPKDKKKKDKDDDGGED
+DDANCNLICGDEYGAETRISMAQLNEKETPFELIEALLLYIETLNVPGAVLVFLPGWNLI
+YTMQKHLEMNPHFGSHRYQILPLHSQIPREEQRKVFDPVPSGVTKIILSTNIAETSITIN
+DVVYVIDSCKQKVKLFTAHNNMTNYATVWASKTNLEQRKGRAGRVRPGFCFHLCSRARFE
+RLETHMTPEMFRTPLHEIALSIKLLRLGGIGQFLAKAIEPPPLDAVIEAEHTLRELDALD
+ANDELTPLGRILAKLPIEPRFGKMMIMGCIFYVGDAICTISAATCFPEPFISEGKRLGYI
+HRNFAGNRFSDHVALLSVFQAWDDARMGGEEAEIRFCEHKRLNMATLRMTWEAKVQLKEI
+LINSGFPEECLLTQVFTNTGPDNNLDVVISLLAFGVYPNVCYHKEKRKILTTEGRNALIH
+KSSVNCPFSSQDMKYPSPFFVFGEKIRTRAISAKGMTLVTPLQLLLFASKKVQSDGQLVL
+VDDWIRLQISHEAAACITALRAAMEALVVEVTKQPGIISQLDPVNERMLNTIRQISRPSA
+AGINLMIGTTRYGDGPRPPKMARYDNGSGYRRGGSSYSGGGYGLGGYGTGGYGGGGGYGG
+RGGYSGGGYGGGSNSFRGSYVGGGGGVGGGGGGFRGLSRGGYRGMSGGDYRGESGGGYRG
+SGGFQRGGGRGGYGGGYFGQGRGGGGY
+>tr|A0A3Q1N3L4|A0A3Q1N3L4_BOVIN AT-rich interaction domain 1A OS=Bos taurus OX=9913 GN=ARID1A PE=4 SV=1
+MAAQVAPAAASSLGNPPPPPPSELKKAEQQQQQQREEAGGEAAAAVAAERGEMKAAAGQE
+SEGPAVGPPQPLGKELQDGAESNGGGGGGGAGGPGAEPDLKNSNGNAGPRPALNNNLTEP
+PGGGGGGSSDGVGAPPHSAAAALPPPAYGFGQPYGRSPSAVAAAAAAVFHQQHGGQQSPG
+LAALQSGGGGGGLEPYAGPQQNSHDHGFPNHQYNSYYPNRSAYPPPPQAYALSSPRGGTP
+GSGAAAAAAGSKPPPSSSASASSSSSSFAQQRFGAMGGGGPSAAAGGTPQPTATPTLNQL
+LTSPSSARGYQGYPGGDYGGGPQDGGAGKGPADMASQCWGAAAAAAAAAAAASGGAQQRS
+HHAPMSPGSSGGGGQPLVRTPQPSSPMDQMGKMRPQPYGGTNPYSQQQGPPSGPQQGHGY
+PGQPYGSQTPQRYPMTMQGRAQSTMGGLSYAQQIPPYGQQGPSGYGQQGQTPYYNQQSPH
+PQQQQPPYSQQPPSQTPHAQPSYQQQPQSQPPQLQSSQPPYSQQPSQPPHQPSPTPYPSQ
+QSSTQQHPQSQPPYSQPQAQSPYQQQQPQQPASSTLSQQAAYPQPQSQQSQQTAYSQQRF
+PPPQELSQDSFGSQASSAPSMTSSKGGQEDMNLSLQSRPSSLPDLSGSIDDLPMGTEGAL
+SPGVSTSGISSSQGEQSNPAQSPFSPHTSPHLPGIRGPSPSPVGSPASVAQSRSGPLSPA
+AVPGNQMPPRPPSGQSDSIMHPSMNQSSIAQDRGYMQRNPQMPQYSSPQPGSALSPRQPS
+GGQMHTGMGSYQQNSMGSYGPQGSQYGPQGGYPRQPNYNALPNANYPSAGMAGSMNPMGA
+GGQMHGQPGIPPYGTLPPGRMSHASMGNRPYGPNMANMPPQVGSGMCPPPGGMNRKTQET
+AVAMHVAANSIQNRPPGYPNMNQGGIMGTGPPYGQGINSMAGMINPQGPPYPMGGTMANN
+SAGMAASPEMMGLGDVKLTPASKMNNKADGTPKTESKSKKSSSSTTTNEKITKLYELGGE
+PERKMWVDRYLAFTEEKAMGMTNLPAVGRKPLDLYRLYVSVKEIGGLTQVNKNKKWRELA
+TNLNVGTSSSAASSLKKQYIQCLYAFECKIERGEDPPPDIFAAADSKKSQPKIQPPSPAG
+SGSMQGPQTPQSTSSSMAEGGDLKPPTPASTPHSQIPPLPGMSRSNSVGIQDAFPDGSDP
+TFQKRNSMTPNPGYQPSMNTSDMMGRMSYEPNKDPYGSMRKAPGSDPFMSSGQGPNGGMG
+DPYSRAAGPGLGNVAMGPRQHYPYGGPYDRVRTEPGIGPEGNMGTGAPQPNLMPSNPDSG
+MYSPSRYPPQQQQQQQRHDSYGNQFSAQGTPSGSPFPSQQTTMYQQQQQNYKRPMDGTYG
+PPAKRHEGEMYSVPYSAGQGQPQQQQLPPAQPPPANQQQAAQPPPQQDVYNQYGNAYPAT
+AAAATERRPAGGPQNQFPFQFGRDRVSAPPGSNAQQNMPPQMMGGPIQASAEVAQQGTMW
+QGRNDMTYNYANRQSTGSAPQGPTYHGVNRTDEMLHTDQRANHEGPWPSHGTRQPPYGPS
+APVPPMTRPPPANYQPPPSMQNHIPQVSSPAPLPRPMENRTSPSKSPFLHSGMKMQKAGP
+PVPASHIAPAPVQPPLIRRDITFPPGSVEATQPVLKQRRRLTMKDIGTPEAWRVMMSLKS
+GLLAESTWALDTINILLYDDNSIMTFNLSQLPGLLELLVEYFRRCLIEIFGILKEYEVGD
+PGQRTLLDPGRFSKASSPAPVEGEEEEDLLGPKLEEEEEEEVIENDEEMAFSGKDKAASE
+NSEEKLISKFDKLPVKIVQKNDPFVVDCSDKLGRVQEFDSGLLHWRIGGGDTTEHIQTHF
+ESKTELLPSRPHVPCPPVPRKYVTAVEGTPGTAEQEGPPPDGPPEKRITATMDDMLSTRS
+STLTEEGAKSVEATKESSKFPFGISPAQSHRNIKILEDEPHSKDETPLCTLLDWQDSLAK
+RCICVSNTIRSLSFVPGNDFEMSKHPGLLLILGKLILLHHKHPERKQAPLTYEKEEEQDQ
+GRLVLETLSKLSIQDNNVDLILATPPFSRLEKLYSTMVRFLSDRKNPVCREMAVVLLANL
+AQGDSLAARAIAVQKGSIGNLLGFLEDSLAATQFQQSQASLLHMQNPPFEPTSVDMMRRA
+ARALLALAKVDENHSEFTLYESRLLDISVSPLMNSLVSQVICDVLFLIGQS
+>tr|F1MNK8|F1MNK8_BOVIN Kinesin motor domain-containing protein OS=Bos taurus OX=9913 GN=KIF26B PE=3 SV=3
+MVLTLEQAAGSEHFDGSPGSPPPLSNVPTLVGSRHVGGLQQPRDWAFVPASYAPSSYTAF
+VTNKHSSKPNSLGVSNGAEKKSGSPTHQAKVSLQMATSPSNGNILSSVAIQAHQYLDGTW
+SLSRTNGVTLYPYQISQLMTEPGREGLTEAVLNRYNADKPSACSGVPAPQGSCVASETSS
+GTSVAASFFARAAQKLNLSSKKKKHRPSTSSVAEPPLFATSFSGILQTSPPPAPPCLLRA
+VNKVKDTPGLGKVKVMLRICSTLARDTSESSSFLKVDPRKKQITLYDPLACGGLNAFQKR
+GNQVPPKMFAFDAVFPQDASQAEVCAGTVAEVIQSVVNGADGCVFCFGHAKLGKSYTMIG
+KDDSMQNLGIIPCAISWLFKLINERKEKTGARFSVRISAVEVWGKEENLRDLLSEVATGS
+LQDGQSPGVYLCEDPICGTQLQNQSELRAPTAEKAAFFLDAAIASRRGNQQDCDEDDLRN
+SHMLFTLHIYQYRMEKSGKGGMSGGRSRLHLIDLGSCVKALSKNREGGSGLCLSLSALGN
+VILALVNGSKHIPYKESKLTMLLRESLGNVNCRTTMIAHISAAAGNYAETLSTIQIASRV
+LRMKKKKTKYTSSSSGGESSCEEGRMRRPTQLRPFHARAAVESDFPIPHLSSDPDYSSSS
+EQSCDTVIYIGPNGTALSDKELTDNEGPPDFVPIVPALQKARGDSRSTEAGEAGASKSER
+DCLKCNTFAELQERLDCIDGSEEPSKFPFEELPAQFGVEQAGKSVPLSQAAGASPLCESD
+KEDDGSDGQLTDKEGAEPPASKVQRNHSPVPAALLTNSPTPASPRSIPGSSSQHNSSQLA
+QSPSLRSSRESLNSCGFVEGKPRPMGSPRLGIASLSKTSEYKPASSPSQRCKVYTQKGVL
+PSPAPLPTLSKDSGIVSSESLLQPEVRTPPVGMSPQVLKKSMSTGSEGFPETPVDDDHQA
+ATSPDSKKEILSTTMVTVQQPLELNGEDELVFTLVEELTISGVLDSGRPTSIISFNSDCS
+VQALASGSRPVSIIGSISEDLECYSNVAPVSEVSITQFLPLPKLNLDEKAREAGSRRSSI
+SSWLSEMSTGSDGEQPCHSFIAQACFGHGEAMADPPASEFVGSIQNTAVVCREKPKASPD
+NLLILSEMGEDSFNKAAPIKGCKISTLGKAMVTISNTASLSNCEGYIPMKTNITVYPCIS
+MSPRNVQEPEVSLATVSSVPKAAQSQESKESGAKKEMKFEDPWLKREEEVKKENAYPNEE
+GSRFETATGPPKPEARAEQDKKASPSDRLSNSSGEVSASPGADNFRRVVDGCEMALPSVA
+AQSPVHLNKSLKSSSLPRAFQKASRQEELDSLFYHCASETNGIGSASSTQPSKATLEKKV
+ASPKHCVLARPKGTPPLPPVRKSSLDQKNRASPQHSACSSSSSSPLNQPAPFLASFPDEP
+SSKMKDASSSSKLFSAKLEQLASRTNSLGRTTVSHYECLSLERAESLSSMSSRLHTGKDS
+TMPRTGRSPGRSAGASPTNPGPTQSAGASPKASQSKISAVSKLLLASPKARSLSTSTTKT
+LSFSTKSLPQAVGQSSGPPPGGKHMSWSTQSLSRSRGSGLASKLPLRAVNGRISELLQGG
+AGGRGPPGRAGPEAEDRAIPTDERPPPTAPLPSPYSKITPPRRPHRCSSGHGSDNSSVLS
+GELPPAMGKTALFYHSGGSSGYESMMRDSEATGSASSTQDSMSENSSSVGGRCRSLKAPK
+KRANSGSQRRRLIPALSLDTPSPVRKPTNSAGIRWVDGPLRSTQRGLGEPFEIKVYEIDD
+VERLQRRRGGSSKEVMCFNAKLKILEHRQQRIAEVRAKYEWLMKELETTKQYLMLDPNKW
+LSEFDLEQVLELDSLEYLEALECVTERLESRVNFCKAHLMMITCFDITSRRR
+>tr|F1N155|F1N155_BOVIN Caseinolytic mitochondrial matrix peptidase chaperone subunit OS=Bos taurus OX=9913 GN=CLPX PE=4 SV=2
+MSGCGACTCGAAAARIFSSSLVSAQRGISCSRIHIPVLGRLGTFETQLLRRAPFRAFTET
+PAYFASRDGISKDGSGDGNKKSASEGSSKKSGSGNSGKGGNQLRCPKCGDLCTHVETFVS
+STRFVKCEKCHHFFVVLSEADSKKSIIKEPESAAEAVKLAFQQKPPPPPKKIYNYLDKYV
+VGQSFAKKVLSVAVYNHYKRIYNNIPANLRQQAEVEKQTSLTPRELEIRRREDEYRFTKL
+LQIAGISPHGNALGASVQQQVNQQIPQEKRGGEVLDSSHDDIKLEKSNILLLGPTGSGKT
+LLAQTLAKCLDVPFAICDCTTLTQAGYVGEDIESVIAKLLQDANYNVEKAQQGIVFLDEV
+DKIGSVPGIHQLRDVGGEGVQQGLLKLLEGTIVNVPEKNSRKLRGETVQVDTTNILFVAS
+GAFNGLDRIISRRKNEKYLGFGTPSNLGKGRRAAAAADLANRSGESNTHQDIEEKDRLLR
+HVEARDLIEFGMIPEFVGRLPVVVPLHSLDEKTLVQILTEPRNAVIPQYQALFSMDKCEL
+NVTEDALKAIARLALERKTGARGLRSIMEKLLLEPMFEVPNSDIVCVEVDKEVVEGKKEP
+GYIRAPTKESSEEEYDSGVEEEGWPRQADAANS
+>tr|A0A452DID2|A0A452DID2_BOVIN Cyclic AMP-dependent transcription factor ATF-3 OS=Bos taurus OX=9913 GN=ATF3 PE=4 SV=1
+MQRAASHSRTQRGAHCIALTLRRRLSGPASPRAGREPLCARQPTPQPASQPDPTPTLPAL
+RTLAAPSGYRSKMMLQHPGQVSASEVSASAFVPCLSPPGSLVFEDFANLTPFVKEELRLA
+IQSKHLCHRMSSALDSVTVSGRPLEMSVTKAEVAPEEDERKKRRRERNKIAAAKCRNKKK
+EKTECLQKESEKLESVNAELKAQIEELKNEKQHLIYMLNLHRPTCIVRAQNGRTPEDERN
+LFIQQIKEGTLQS
+>tr|G3X7D7|G3X7D7_BOVIN Aldo_ket_red domain-containing protein OS=Bos taurus OX=9913 GN=LOC538060 PE=4 SV=2
+MDSKSQKVKLNDGHFIPVLGFGTYAPPEAAKREALEITKFAIEVGFRHVDCAHVYQNEEQ
+VGQAIRSKIADGTVKREDIFYTSKLWLTSLRPELVRPALEKSLKNLQLDYVDLYIIHIPV
+ALKPGEELFPKDENGKLIFDSVDLCLTWEALEKCKDAGLTKSIGVSNFNHKQLEKILNKP
+GLKYKPVCNQVECHPYLNQSKLLDFCKSHDIVLVAFGALGSQRVKEWVNLNHPILLEDPV
+LSAIAQKHKQTPALVALRYQIQRGVVVLTKSFNKKRVKENIQVMMSETVGRGLLGNILHK
+GHSVSISQDFP
+>tr|E1B7H9|E1B7H9_BOVIN BARX homeobox 1 OS=Bos taurus OX=9913 GN=BARX1 PE=4 SV=3
+MQRPGEPGAARFGPPEGCADHRPHRYRSFMIEEILTEPPGPKGAAPAAAAAAAGELLKFG
+VQALLAARPFHSHLAVLKAEQAAVFKFPLAPLGCSGLGSALLAAGPGLPGAAGAPHLPLE
+LQLRGKLEAPGAGEPGTKAKKGRRSRTVFTELQLMGLEKRFEKQKYLSTPDRIDLAESLG
+LSQLQVKTWYQNRRMKWKKIVLQGGGLESPTKPKGRPKKNSIPTSEQLTEQERAKEAEKT
+VEAPGEASDRSHED
+>tr|A0A452DJ03|A0A452DJ03_BOVIN von Willebrand factor A domain-containing protein 1 OS=Bos taurus OX=9913 GN=VWA1 PE=4 SV=1
+MNGACGRAPGPRERAPPQSLCSSGRGAARARLRGISGRAAVGGASRGRGPGPTRAAARPT
+AQERAKDQCRENAAVPSRAMLPWTVIGLALSLRLARSGAERGLPASALQGDLLFLLDSSA
+SVSHYEFNRVREFLGRLAALLPVGPGALRASLVHVGSRPHTEFPFGQHSSGSAVQDAIRA
+AAQRMGDTNTGLALAYAKKQLFAKAAGARPGVPKVLVWVTDGGSSDPVGPPMQELKDLGV
+TVFIVSTGRGNLLELSAAASAPAEKHLHFVDVDDLHIITQALRGSILDAMWPQQLHASEV
+TSSGFRLAWPSLLTADSGYYVLELAPSTDPGAARRQQLPGNATGWAWTGLDSDTDYDVAL
+VPESNVRLLRSQHLRVRTLPEETGPELIVVSHTRPRSLRVSWAPALGPDAALGYHVQVGP
+LRGGAAQSVEVPAGENSTTLQGLAPGTAYLVTVTAAFRSGRERALSAKACTPEGERSRAP
+RPQPQRTGGREP
+>tr|E1BHL1|E1BHL1_BOVIN Superoxide dismutase [Mn], mitochondrial OS=Bos taurus OX=9913 GN=SOD2 PE=4 SV=3
+MYVNSAAQSLARGGCTVTLLSGLAEVPRSVHSERTLTPGSSWRGTAPTPSQSQGPLSCET
+ASAHGCAPMRLPETLVFALCEGPGTPRLPPTPPRQRPGRSRNAAAGFPARPAGPGPPIRG
+PRQAVPSRGRNAEPYHLFRGLGGGKTAALPLATRPETRSRSGGPAARAAAGETAGPLSHL
+RWARSTGGADHSAEHPISPELEAARAAGRGRGERSGAGSRRAQEGGAAGRAGGGAAAVVS
+LRRSPGHKRIVSGQRGAATRAPRGPRAASTMLSRAACSTSRRLVPALSVLGSRQKHSLPD
+LPYDYGALEPHINAQIMQLHHSKHHAAYVNNLNVAEEKYREALEKGDVTAQIALQPALKF
+NGGGHINHSIFWTNLSPNGGGEPQGELLEAIKRDFGSFAKFKEKLTAVSVGVQGSGWGWL
+GFNKEQGRLQIAACSNQDPLQGTTGLIPLLGIDVWEHAYYLQYKNVRPDYLKAIWNVINW
+ENVTARYTACSK
+>tr|E1B9I7|E1B9I7_BOVIN Regulatory factor X associated ankyrin containing protein OS=Bos taurus OX=9913 GN=RFXANK PE=4 SV=1
+MEHTQPAEDLSLTQQPLTPEFGDPEDPRDEAPDGSDTVVLSLFPCTPEPGNPESDAGSSS
+PQAGNSLKHSTTLTNRQRGNEVSALPATLDSLSIHQLAAQGELSQLKEHLRKGDNLINKP
+DERGFTPLIWASAFGEIETVRFLLEWGADPHILAKERESALSLASTGGYTDIVGLLLERD
+VDINIYDWNGGTPLLYAVRGNHVKCVEALLARGADLTTEADSGYTPMDLAVALGYRKVQQ
+VIENHILKLFQSNLVPADPE
+>tr|E1BIT9|E1BIT9_BOVIN Alpha-(1,3)-fucosyltransferase OS=Bos taurus OX=9913 GN=FUT11 PE=3 SV=1
+MGAGRPGSVLAVVGVLGVCATRGPGLAAEGKTGGEAEWAEPWDGAVFRPPSALGAVGVAR
+SPGAPRPGREEAVDLPVLLWWSPGLFPHFPGDSERIECARGACVASRDRRVRGDSRTRAL
+LFYGTDFRASEAPLPRLAHQSWALLHEESPLNNFLLSHGPGIRLFNLTSTFSRYSDYPLP
+LQWLPGIAYLRRAAPPLEERAEWRRRGYAPLLYLQSHCDVPADRDRYVRELMRYIPVDSY
+GKCLQNRELPAPRLRDTATATTEDPELLAFLSRYKFHLALENAICDDYMTEKLWRPMHLG
+AVPVYRGSPSVRDWMPNNHSIILIDDFESPQKLAEFIDFLDKNDEEYMKYLAYKQPGGIT
+NQFLLDSLKQREWGVNDPLLPNYLNGFECFVCDHELARLDAEKAHAASPGNIPVPEPYIA
+QPSHMDCPVPTPGFGSVEEIPENDSWKEMWLQDYWQGLDQGEALTAMIHNNETLQGKFWD
+YLTEIFMKRNQNL
+>tr|A0A3Q1NK96|A0A3Q1NK96_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=PCBD2 PE=3 SV=1
+MAAALGTRGATRRWFAALRGRSQSLAAMSAGTHQLTAEERSQMILDLKAAGWLELSERDA
+IYKEFSFKNFNQAFGFMSRVALQAEKMNHHPEWFNVYNKVQITLTSHDCGGLTKRDVKLA
+KFIEKAAASV
+>tr|A0A3Q1MR89|A0A3Q1MR89_BOVIN Phenylalanine-4-hydroxylase OS=Bos taurus OX=9913 GN=PAH PE=4 SV=1
+MSALVLESRALGRKLSDFGQETSYIEGNSDQNAVSLIFSLKEEVGALARVLRLFEENDIN
+LTHIESRPSRLRKDEYEFFTNLDQRSVPALANIIKILRHDIGATVHELSRDKKKDTVPWF
+PRTIQELDNFANQVLSYGAELDADHPGFKDPVYRARRKQFADIAYNYRHGQPIPRVEYTE
+EEKKTWGTVFRTLKSLYKTHACYEHNHIFPLLEKYCGFREDNIPQLEEVSQFLQSCTGFR
+LRPVAGLLSSRDFLGGLAFRVFHCTQYIRHGSKPMYTPEPCISPGSRNKEIGLASLGAPD
+EYIEKLATIYWFTVEFGLCKQGDSIKAYGAGLLSSFGELQYCLSDKPKLLPLELEKTAVQ
+EYTITEFQPLYYVAESFNDAKEKVRNFAATIPRPFSVHYDPYTQRIEVLDNTQQLKILAD
+SISSEVEILCSALQKLK
+>tr|A0A3Q1LXV7|A0A3Q1LXV7_BOVIN 60S ribosomal protein L36a OS=Bos taurus OX=9913 GN=RPL36A PE=3 SV=1
+MFSTKCGKHQPHKVTQYKKGKDSLYAQGKRRYDRKQSGYGGQTKPIFRKKAKTTKKIVLR
+LECVEPNCRSKRMLAIKRCKHFELGGDKKRKVCNYGVKGAIFSIWFCNVERKESEVTQSC
+PTLCNPMDCSLAGSSIHGVFQARIREWVAISFSRRSS
+>tr|A0A3Q1M556|A0A3Q1M556_BOVIN HMG-box containing 4 OS=Bos taurus OX=9913 GN=HMGXB4 PE=4 SV=1
+MAYDDSVKKEDCFDGDHSFEDIGLAAGRSQREKKRSYKDFLREEEEIAAQVRNSSKKKLK
+DSELYFLGTDTHRKKRKHSSDDYYYGDISSLEPSQKKKKKSSPQSADTAMDLLKAITSPL
+ATGAKPSKKIGEKSSSSSSHSESKKEHHRKKVSGSSGELSLEDGSSHKSKKMKPLYVNTE
+TLTLREPDGLKMKLILSPKEKGSSSVDEESFQYPSQQGTVKKSSKKSARDEQGALLLGHE
+LQSFLKTARKKHKSSSDPRSSPGPEGCGSEASQFPESHSANLDLSGLEPILVESDSSSGG
+ELEAGELVIDDSYREIKKKKKSKKSKKKKDKEKHKEKRHSKSKRSSGLPPAAVAGEVPVP
+PVPAPSLPYAGAPTPPPPLPSLHTDGHNEKKKKREEKDRERDRGEKVWKQKAQYLQHKQN
+KAEATTVKRKASSSEGSMKVKASSMGVLSPQKKSPPTAMLLPASPAKAPETEPIDVAAHL
+QLLGESLSLIGHRLQETEGMVAVSGSLSVLLDSIICALGPLACLTTQLPELNGCPKQVLS
+NTLDNIAYIMPGL
+>tr|A0A3Q1LIG6|A0A3Q1LIG6_BOVIN MSP domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+KASVSGNMAKVGQVLSLEPQHELKFRGPFTDAVTTNLKLGNPTDRNVCFKVKTTAPRRYC
+VRPNSGIIDAGASINVSVMLQPFDYDPNEKSKHKFMVQSMFAPIDTSDMEAVWKEAKPED
+LMDSKLRCVFELPAENDKPYDVEINKIIPTTASKTETPKVSKALSSSLDDTEVKKVMEDC
+KRLQSEVQRLWEEDKQFKEEDGLLMRKTVHACLPLLLFSHSVLSSALPGLLVLHYLLEFV
+QTHVHGVHGVAKSRTQLSMCALQLTH
+>tr|A0A3Q1MCB8|A0A3Q1MCB8_BOVIN Beta-defensin OS=Bos taurus OX=9913 PE=3 SV=1
+LPRLFHPFSESSGKSSQKLFLGAGDVSPCESCWLGRGKCRKICTEDEKIVGNCKVNFFCC
+RRRIL
+>tr|F1MGZ4|F1MGZ4_BOVIN Integrin subunit alpha 2b OS=Bos taurus OX=9913 GN=ITGA2B PE=3 SV=3
+MARALCLLRALWLLEWVQLLLGPGAMPPTWALNLDSVQFTVYTGPNGSHFGFSLDFYKNS
+NGSVYVVVGAPRTLGHSEEETGGVFLCPWKAEGGQCISLPFDLYDETRSIGTQTFQTFKA
+GQGLGASVVSWRDSIVACAPWQHWNVLDRNEEEAQKTPVGGCFVAQLQNGDRTEYSPCRD
+NKMSQFYERNHFRDDRRYCEAGFSSVVTQASTCPSTPDSWAPIPTVARALGLLARAPIAD
+IISSYRPSTLLWHVPTQFTYDQSHLQYYDGYRGYSVAVGNFDGNPNTTEYVFGAPTWSWT
+LGAVEILDSYHQMLHRLHGEQMASYFGHSVAVTDVNGDGRHDLLVGAPLYMESRADRKLA
+EVGRVYLFLQTRGARMLGAPNLLLTGTQLYGRFGSAIAPLGDLNRDGYNDVAVAAPCGGP
+NGQGQVLVYLGQSEGLNPSPSQVLDSPFPTGSGFGFSLRGATDIDDNGYPGATKASLPIP
+TRAQPVVMVTVQLMVQDSLNPAVKTCVLSQTKTPVSCFNIQMCVGATGHNIPEKLHLNAE
+LQLDRQKPRQGRRVLLLDSQQAGTILNLDLRGRHNPNCSTATAFLRDEADFRDKLSPIVL
+SFSVSLPPEKDGGAPALVLHGNTHVQEQTRIILDCGDDDLCVPQLQLTASVKGSPLLIGA
+DNVLELQMDAANEGEGAYEAELTVYLPPGAHYMRAVSNMEGFERLICNQKKENETKVVLC
+ELGNPMKSNAQIEVMMWVSVEKLEEAGEQVSFLLQIRSKNSQNPNSEMVELDVPVRAVAH
+VELRGNSFPASLVVAAEEGNGQNSSDSWGPKVEHTYELHNNGPGAVSGLRLNLYLPSQSQ
+PSDLLYILDIHPQGGLQCASQPSPNPLQLEWRLPTPSPSPAHHKRDRRQAVLPEEKQPSR
+LQDPILVSCDSAPCTVVQCELQEMARGQRVMVTVLALLSRSILQERPLDQFVLQSHAWFN
+VSSFPYSVPALSLPSGEALVQTQLLRVSEEREIPMWWVLVGVLGGLLLLTFLILAMWKVG
+FFKRNRPPLEEDDEEE
+>tr|E1BG65|E1BG65_BOVIN Semaphorin 6C OS=Bos taurus OX=9913 GN=SEMA6C PE=3 SV=3
+MPRAPHFMPLLLLLLLSIPHTQAAFPQDPLPLLTSDLHGISPLSWFRGLEDDAVVAELGL
+DFQRFLTLNRTLLVAARDHVFSFDLQAQEEGEGLVPNKYLTWRSQDVENCAVRGKLTDEC
+YNYIRVLVPWDSQTLLACGTNSFSPVCRSYGITSLQQEGEELSGQARCPFDATQSNVAVF
+AEGSLYSATAADFQASDAVVYRSLGPQPPLRSAKYDSKWLREPHFVHALEHGDHVYFFFR
+EVSVEDARLGRVQFSRVARVCKRDMGGSPRALDRHWTSFLKLRLNCSVPGDSTFYFDVLQ
+ALTGPVNLYGRSALFGVFTTQTNSIPGSAVCAFYLDDIERGFEGKFKEQRSLDGAWTPVS
+EDRVPSPRPGSCAGVGVAALFPSSRDLPDDVLTFIKAHPLLDPAVPPATHQPLLTLTSRA
+LLTQVAVDGMAGPYSNITVLFLGSNDGTVLKVLPPGGQSGGSEPILLEEIDAYSPSRCSG
+KRAAQTARRVIGLELDTEGHRLFVAFSGCIIYLPLSRCARHGACRRSCLASQDPYCGWDS
+SRGCVDIRAPGGIDVDPTGNQESMEHDDCQDGATGSQSGTGDSTYVLLSPGPSPETPSPP
+SDAHPRPQSSTLGAHTQGVRRDLPPASASRSVPIPLLLACVAAAFALGASVSGLLVSCAC
+RRAHRRRSKDIESAGIPRPLSLRSLARLHGAGPEPPPPSKDGEGAQTPQLYTTFLPPPEG
+VPPPELACLPTPESTPELPVKHLRHAGGPWEWNQNGNNAKEGRSRARGGNAAGGAAPRVL
+VKPPPPGCPGQAVEVTTLEELLRYLHGPQAPRKEAEPPVAAPFTSRPLPPEPSPTLFAGP
+SLLPRDCAPPRRLDVPPEGKCPAPAARPALSAPAPRLGVGGSRKLPFSSHRAPPALLTRV
+PSGGPSRYSGGAGRHLLYLGRPEGHRGRALKRVEGREAPGAPEASLCRALLAGGRP
+>tr|E1BPY3|E1BPY3_BOVIN O-phosphoseryl-tRNA(Sec) selenium transferase OS=Bos taurus OX=9913 GN=SEPSECS PE=3 SV=2
+MGRANFLYWLKPAKRPTPLRRRKCFVFRHTIRSGSRMCESETEVFVPRPSRTVQEVSRLL
+VPGCICCVSVVLRSPTAVATTDMNREFFAAGERLVSPAYVRQGCEARRSHEHLMRLLLEK
+GKCPEDGWDESTLELFLHELAIMDSNNFLGNCGVGEREGRVASALVARRHYRFIHGIGRS
+GDISAVQPKAAGSSLLNKITNSLVLDIIKLAGVHTVTSCFVVPMATGMSLTLCFLTLRHR
+RPKAKYIIWPRIDQKSCFKSMITAGFEPVVIENILEGDELRTDLKAVEAKVQELGPDHVL
+CVHSTTSCFAPRVPDRLEELAVICANYGIPHIVNNAYGVQSSKCMHLIQQGARVGRIDAF
+VQSLDKNFMVPVGGAIIAGFNDSFIQEISKMYPGRASASPSLDVLITLLSLGSNGYKKLL
+KERKEMFSYLSNQLKKLSEAYNERLLHTPHNPISLAMTLRTLDKHCSRAVTQLGSMLFTR
+QVSGARVVPLGSMQTVSGYTFRGFMSHTNNYPCAYLNAASAIGMKTQDVDLFIKRLDKCL
+KTVRKEQNKESDISGVDNNDKTEDVDIEEMALKLDNVCLDTCQDSS
+>tr|E1BAU5|E1BAU5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC512548 PE=4 SV=3
+MKSSSLIVFLVIFASGFLMPWAVEGAPKEIGKRGVCPFIRPAMCFVYEPPECHSDWQCPK
+KQKCCQDVCGIKCMDPVDTSKPVKVTPGKCPVVTGHCESHKHVDDCQNDSHCLNGFKCCS
+GPCGNSCVLPVKDSTFPGQIH
+>tr|E1BLU8|E1BLU8_BOVIN POU domain protein OS=Bos taurus OX=9913 GN=POU4F2 PE=3 SV=3
+MMMMSLNSKQAFSMPHGGSLHVEPKYSALHSASPGSSAPAAPSASSPSSSSNAGGGGGSG
+GGGGGRSSSSSSSGSSGGSGGGSEAMRRACLPTPPSNIFGGLDESLLARAEALAAVDIVS
+QSKSHHHHPPHHSPFKPDATYHTMNTIPCTSAASSSSVPISHPSALAGTHHHHHHHHHHH
+HQPHQALEGELLEHLSPGLALGAMGPDGAVVSTPAHAPHMATMNPMHQAALSMAHAHGLP
+SHMGCMSDVDADPRDLEAFAERFKQRRIKLGVTQADVGSALANLKIPGVGSLSQSTICRF
+ESLTLSHNNMIALKPILQAWLEEAEKSHREKLTKPELFNGAEKKRKRTSIAAPEKRSLEA
+YFAIQPRPSSEKIAAIAEKLDLKKNVVRVWFCNQRQKQKRMKYSAGI
+>tr|A0A3Q1MKM3|A0A3Q1MKM3_BOVIN Phosphatase and actin regulator OS=Bos taurus OX=9913 GN=PHACTR3 PE=3 SV=1
+MRGRGGGRARWPAPVRSLLRAFGARDAAATARGPAQDEMDQTPPVRSEYLVSGIRTPPVR
+RNSKLATLGRIFKPWKWRKKKNEKLKQTTSALEKKMAGRQGREDLIKKGLLEMMEQDAEN
+KACSPDGEPRAAQSEPSTPRQEPLTSEEAPPGSPLATGTDQASPDEPLASDNHADDAANM
+SSASREEEADTAGSLPPSTDEPSQALAGSDSLDSPPRPLERSVGQLPSPPLLPTPPPKAG
+SRTTRSVTGQATLFQGSGGKSTDSSLRGQLSTPTGSPHLTTVHRPLPPSRVIEELHRALA
+TKHRQDSFQGRDSKGSPKKRVDVRLSRTCSVERGKEREEAWSFDGASDGKRTVAKESEEN
+KENLILNSELKDDLLLYQDEEALNDSIISGTLPRKCKKELLAVKLRNRPSRQELEDRNIF
+PQRTDEERQEIRQQIEMKLSKRLSQRPAVEELERRNILKQRNDQTEQEERREIKQRLTRK
+LNQRPTVDELRDRKILIRFSDYVEVAKAQDYDRRADKPWTRLSAADKAAIRKELNEYKSN
+EMEVHASSKHLTRFHRP
+>tr|A0A3Q1NH17|A0A3Q1NH17_BOVIN UPF0113_N domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MRSPKHKETHVMFEKTAKFVRENLQLLVNRSYGTHGFRRHNDWTVYYVNEMILKLVVGIS
+GDKLVLLGRYFGKFPKTHKFQLDISALDYLAPYAKNGASQSTQDCRRVDTMIMVVFPQAD
+IGEYIWHEEMLTLNHQEDLGLCRLA
+>tr|A0A3Q1LKI1|A0A3Q1LKI1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+IFQIEQKFQGLVSFKDVTVGFTQEEWQHLDSTQRSLYRDVMLENYRNLVSVGFCITKPEV
+IFRLEQGEEPWILEEEFLSQSFSEVWKTDHMKERSHENQPKHVWEVVLINNKRLTKEQGN
+VLGKSLELDTDSFPYRKILCQCVSCGMSFNYISELVIDKKNSLGKKTDEFNACGKLLLSI
+KHEKTHTREKNEYFKSGKIVSHKEDSVQLEKIQTLEQNFEYNIHQESFNEKVVLNTYKTE
+ITEENDCAYDEYGRRFCDSSSFLFHQTAPSKENHYEFGDCGKSLCLKSSLLKHDGAHLKH
+CEYGESGNNFRRKFYLSQLQKTQKGEKHFECNECGKAFWEKSHLTRHQRIHTGEKNFQCN
+ECGKTFWEKSNLTKHQRSHTGEKPYECSECGKAFSHKSALTLHQRTHTGEKPYQCNACGK
+TFYQKSDLTKHQRTHTGLKPYECYECGKSFCMNSHLTVHQRTHTGEKPFECPECGKSFCQ
+KSHLTQHQITHIGDKPYECNACGKTFYHKSVLTRHQIIHTGLKPYECYECGKTFCLKSDL
+TVHQRTHTGEKPFACPECGKFFSHKSTLSQHYRIHTGEKPYECHECGKIFYNKSYLTKHN
+RTHTGEKPYECNECGKTFCQKSQLTQHQRIHIGEKPYECSECGKAFCHKSALIVHQRTHI
+EEKPYKCNECGKSFCVKSGLTLHQRKHTGEKPYECNECGKSFSHKSSLTVHHRAHTGEKS
+CQCNECGKIFYRKSDLAKHQRSHTGEKPYECNTCQKTFSQKSNLIVHQRTNVEPQFSTYS
+ISENSHYGESSVDILNV
+>tr|F1MXP2|F1MXP2_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=OR52N1 PE=3 SV=3
+MLFLNDTSLTPVSFILNGIPGLEEVHLWVSFPLCTMYSIAITGNFGLMYLIYSEEALHRP
+MHIFLALLSFTDVLMCTSTLPNTLCILWFNLKEIDFKACLAQMFFVHTFTGMESGVLMLM
+ALDRYVAICYPLRYATVLTNSVIAKAGLLTFLRGVMLVIPFTFLTKRLPYCRGNVILHTY
+CDHMSVAKISCGNVKVNAIYGLMVALLIGGFDILCITVSYTMILRAVVSLSSAEARQKAF
+STCTAHIFAIVITYVPAFFTFFTHRFGGRSIPPHIHIIMANLYLLMPSTMNPIVYGIKTK
+QIRTCITRFLLKGKDNPSHNI
+>tr|A0A3Q1LSZ6|A0A3Q1LSZ6_BOVIN S-(hydroxymethyl)glutathione dehydrogenase OS=Bos taurus OX=9913 GN=ADH5 PE=3 SV=1
+MANQIIATAVCHTDAYTLSGADPEGNYPVILGHEGAGIVESVGEGVTKLKAGDTVIPLYI
+PQCGECKFCLNPKTNLCQKIRVTQGKGLMPDGTSRFTCKGKTILHYMGTSTFSEYTVVAD
+ISVAKIDPLAPLDKVCLLGCGISTGYGAALNAAKVEPGSTCAVFGLGGVGLAVIMGCKMA
+GAARIIGVDINKDKFARAKEFGASECINPQDFSKPIQEVLIEMTDGGVDYSFECIGNVKV
+MRAALEACHKGWGISVVVGVAASGEEIATRPFQLVTGRTWKGTAFGGWKSVESVPKLVSE
+YMSKKIKVDEFVTHSLPFDQINEAFDLMHAGKSIRTVVKL
+>tr|A0A3Q1NDT0|A0A3Q1NDT0_BOVIN RAP1 GTPase activating protein OS=Bos taurus OX=9913 GN=RAP1GAP PE=4 SV=1
+MIEKMQGSRMDEQRCSFPPPLKTEEDYIPYPSVHEVLGREGPFPLILLPQFGGYWIEGTN
+HEITSIPETELLQSPTTKVKLECNHTARLYRKHFLGKEHFNYYSLDTALGHLVFSLKYDV
+IGDQEHLRLLLRTKCRTYHDVIPISCLTEFPNVVQMAKLVCEDVNVDRFYPVLYPKASRL
+IVTFDEHVISNNFKFGVIYQKLGQTSEEELFSTNEESPAFVEFLEFLGQKVKLQDFKGFR
+GGLDVTHGQTGTESVYCNFRNKEIMFHVSTKLPYTEGDAQQLQRKRHIGNDIVAVVFQDK
+NTPFVPDMIASNFLHAYVVVQAEGGGPDGPLYKVSVTARDDVPFFGPPLPDPAVFRKGPE
+FQEFLLTKLINAEYACYKAEKFAKLEERTRAALLETLYEELHIHSQSMMGLGGDEDKMEN
+GGGGGGFFESFKRVIRSRSQSMDAMGLSNKKPHTVSTSHSGSFTPNNPDLAKAAGISLIV
+PGKSPTRKKSGPFGSRRSSAIGIENIQEVQEKRAETVAQRAEVLKDFSRSSSSASSFASV
+VEEAEGVDADDTGLESVSSSGTPHKRDSFIYSTWLEDSISTTSGGSSPGPSRSPHPDAGK
+LGDPACPEIKIQLEASEQHTPQLTRVRKHEARLLRQIRADGPSAQRPAPAWGPPLACPAL
+LLAHVWAVSAGQSQPALGAGKLLAPSPPPGLGGWLGQGEVSWNGTPGLAHPSLLGPPLDS
+RE
+>tr|F1MZZ9|F1MZZ9_BOVIN Coiled-coil domain containing 50 OS=Bos taurus OX=9913 GN=CCDC50 PE=4 SV=1
+MAEVTIDQSKLPGVKEVCRDFAVLEDHTLAHSLQEQEIEHHLASNVQRNRLVQHDLQVAK
+QLQEEDLKAQAQLQKRYKDLEQQDCEIAQEIQEKLAIEAERRRIQEKKDEDIARLLQEKE
+LQEEKKRKKHFPESSGVSAYGDSYYYEDGGMKPRVMKEAVSTPSRVTHRDQEWYDAEIAR
+KLQEEEILATQVDMRAAQVAQDEEIARLLMAEEKKAYKKAREREKSSLDKRKHDPEWKPK
+TAKSAHSKSKESDEPHRSKNDRPARPPPPPMTDAEGLDFTSSGNQHNSTRHYSKSESSHK
+GFHYKP
+>tr|A0A3Q1LQZ5|A0A3Q1LQZ5_BOVIN Integrator complex subunit 2 OS=Bos taurus OX=9913 GN=INTS2 PE=4 SV=1
+MTECTSLQFVSPFAFEAMQKVDVVRLASLSDPELRLLLPCLVRMALCAPADQSQSWAQDK
+KLILRLLSGVEAVNSIVALLSVDFHALEQDASKEQQLRHKLGGGSGESILVSQLQHGLTL
+EFEHSDSPRRLRLVLSELLAIMNKVSESSGEFFFKSSELFESPVYLEEAADVLCILQAEL
+PSLLPIVDVAEALLHVRNGAWFLCLLVANVPDSFNEVCRGLIKNGERQDEESLGGRRRTD
+ALRFLCKMNPSQALKVRGMVVEECHLPGLGVALTLDHTKNEASEDGVSDLVCFVSGLLLG
+TNAKVRTWFGTFIRNGQQRKRETSSSVLWQMRRQLLLELMGILPTVRSTRIAEEADVELE
+PNVSVYSGLKEEHVVKASALLRLYCALMGIAGLKPTEEEAEQLLQLMTSRPPATPAGVRF
+VSLSFCMLLAFSTLVSTPEQEQLMVVWLSWMIKEEAYFESTSGVSASFGEMLLLVAMYFH
+SNQLSAIIDLVCSTLGMKVVTAHAVRVPVTSNLSANITGFLPIHCIYQLLRSRSFTKHKV
+SIKDWIYRQLCETSIPLHPQLLPLIDVYINSILTPASKSNPEATNQPVTEQEILNIFEGV
+TGGDNIRLHQRFSITAQLLVLYYILSYEEALLANAKTLAAMQRKPKSYSSSLMDQIPIKF
+LIRQAQGLQQELGGLHSALLRLLATNYPHLCIVDDWICEEEITGTDALLRRMLLTNNAKN
+HSPKQLQEAFSAVPVSHTQVMQILEHLTLLSASELIPYAEVLTSNMNQLLNSGVPRRILQ
+TVNKLWMVLNTVMPRRLWVMTVNALQPSIKSVRQQKYTQNDLMIDPLIVLRCDQRVYRCP
+PLMDITLHMLNGYLLASKAYLSAHLKETAEQDRPSQNNTIGLIGQTDGPEVTREELKNAL
+LAAQDSAAVQILLEICLPTEEEKAKGVNPDSLLRNVQSVITASAPNKGTEEGEDNLLCNL
+REVQCLICCLLHQMYIADPNIAKLVHFQGYPCELLPLTVAGIPSMHICLDFIPELIAQPE
+LEKQVMSILEL
+>tr|A0A3Q1M701|A0A3Q1M701_BOVIN Lipocln_cytosolic_FA-bd_dom domain-containing protein OS=Bos taurus OX=9913 GN=PAEP PE=1 SV=1
+MKCLLLALALTCGAQALIVTQTMKGLDIQKVAGTWYSLAMAASDISLLDAQSAPLRVYVE
+ELKPTPEGDLEILLQKWENGECAQKKIIAEKTKIPAVFKIDALNENKVLVLDTDYKKYLL
+FCMENSAEPEQSLACQCLVRTPEVDDEALEKFDKALKALPMHIRLSFNPTQLEEPGSLGP
+SGDRRCHPRLPHQGTRRNRDHIHPSWDPGPSRPLLGPPAWGRSSFSNKGINLCSPF
+>tr|F1N5X5|F1N5X5_BOVIN Iodothyronine deiodinase OS=Bos taurus OX=9913 GN=DIO2 PE=3 SV=2
+MGILSVDLLITLQILPVFFSNCLFLALYDSVILLKHVVLLLSRSKSTRGQWRRMLTSEGM
+RCIWKSFLLDAYKQQVKLGEDAPNSSVVHVSSPEGGDTSGNGAQEKTVDGTECHLLDFAS
+PERPLVVNFGSAT
+>tr|A0A3Q1LMP9|A0A3Q1LMP9_BOVIN Sortilin related VPS10 domain containing receptor 1 OS=Bos taurus OX=9913 GN=SORCS1 PE=4 SV=1
+LPSSDGWEMQFVILILTKLFDYNLGSITESSLWRSTDYGTTYEKLNDKVGLKTILSYLYV
+CPTNKRKIMLLTDPEIESSLLISSDEGATYQKYRLNFYIQSLLFHPKQEDWILAYSQDQK
+LYSSAEFGRRWQLIQEAVVPNRFYWSVMGSNKEPDLVHLEARTVDGHSQYLTCRMQNCTE
+ANRNKPFPGYIDPDSLIIRFRACIKYSSSPLPYENQVFAAVQEWNQNDTYNLYISDTRGV
+YFTLALENVQSSRGPEGNVMIDLYEVAGIKGMFLANKKIDNQVKTFITYNKGRDWRLLQA
+PDTDLRGDPVHCVLPYCSLHLHLKVSENPYTSGIIASRDTAPSIIVASGNIGSELSDSDI
+SMFVSSDAGNTWRQIFEEEHSVLYLDQGGVLVAMKHTSLPIRHLWLSFDEGRSWSKYSFT
+SIPLFVDGVLGEPGEETLIMTVFGHFSHRSEWQLVKVDYKSIFDRRCAEEDYRPWQLHSQ
+GEACIMGAKRIYKKRKSERKCMQGKYAGAMESEPCVCTEADFDCDYGYERHSNGQCLPAF
+WFNPSSLSKDCSLGQSYLNSTGYRKVVSNNCTDGVREQYTAKPQKCPGKAPRGLRIVTAD
+GKLTAEQGHNVTLMVQLEEVGPGPVDFGDGIAVSYVNLSSMEDGIKHVYHNVGIFRVTVQ
+VDNSLGSDSAVLYLHVTCPLEHVHLSLPFVTTKNKEVNATAVLWPSQVGTLTYVWWYGNN
+TEPLITLEGSIAFKFTSEGMNTITVQVSAGNAILQDTKTIAVYEEFRSLRLSFSPNLDDY
+NPDIPEWRRDVSRVIKKALVEATGVPGQHILVAVLPGLPTAAELFVLPHQDPTGENKRPA
+EDLEQISELMIHKLNQNSVHFELKPGVQVLVHAAHLTAAPLVDLTPTHSGSAMLMLLSVV
+FVGLAVFVIYKFKRRVALPSPPSPSTQPGDSSLRLQRARHATPPSTPKRGSAGAQFAI
+>tr|A0A3Q1MF90|A0A3Q1MF90_BOVIN Importin 9 OS=Bos taurus OX=9913 GN=IPO9 PE=4 SV=1
+MVVLKILKEKKKATQRCKSLVNSRSSFMNSVRGHTDWGAQSGPAGEHLLVSLPSYCDQLK
+VSESTAVLQPFLPSILDGLIHLAAQFSSEVLNLVMETLCIVCTVDPEFTASMESKICPFT
+IAIFLKYSNDPVVASLSQDVFKELSQIEACQGPMQTRLIPTLVSIMQAPADKIPAGLCAT
+AIDILTTVVRSTKPPLSQLLICQAFPAVAQCTLHTDDNATMQNGGECLRAYVSVTLEQVA
+QWRDEQGHSGLWYVMQVVSQLLDPRTSEFTAAFVGRLVSTLIARAGRELGESLDQILRAV
+LSKMQQAETLSVMQSLIMVFAHLVHTQLEPLLEFLCSLPGPTGKPALEFVMAEWTSRQHL
+FYGQYEGKVSSVALCKLLQHGITADDRRLQDIRVKGEELHSPDEGVRTRSKSAKNPERWT
+NIPLLVKILKLIINELSSVMEANAARQAAPAEWNQDDANDMWEDQDEEDEEEEDGLAGQL
+LSDILPYTHGDDEEDDPDALTDPLYQLDLQAYLTDFLCQFAQQPCYVMFSGHLSDSERRV
+LQTIGI
+>tr|A0A3Q1LPS6|A0A3Q1LPS6_BOVIN Non-specific serine/threonine protein kinase OS=Bos taurus OX=9913 GN=MARK3 PE=4 SV=1
+MFCFFNWRLLNLVNYCGFLIPCPLLSILLQHTSHGDGRQEVTSRSGRSGARCRNSIASCA
+DEQPHIGNYRLLKTIGKGNFAKVKLARHILTGREVAIKIIDKTQLNPTSLQKLFREVRIM
+KILNHPNIVKLFEVIETDKTLYLIMEYASGGEVFDYLVAHGRMKEKEARAKFRQIVSAVQ
+YCHQKRIVHRDLKAENLLLDADMNIKIADFGFSNEFTVGSKLDTFCGSPPYAAPELFQGK
+KYDGPEVDVWSLGVILYTLVSGSLPFDGQNLKELRERVLRGKYRIPFYMSTDCENLLKRF
+LVLNPIKRGTLEQIMKDRWINAGHEDDELKPFVEPELDISDQKRIDIMVGMGYTQEEIQE
+SLSKMKYDEITATYLLLGRKSSELDASDSSSSSNLSLAKVRPSSDLNNSTGQSPHHKVQR
+SVSSSQKQRRYSDHAGPAIPSVVAYPKRSQTSTADSDLKEDGVPSRKPGASAVGGKGIAP
+ASPMLGNASNPNKADIPERKKSSTVPSSNTASGGMTRRNTYVCSERTTADRHSVIQNGKE
+NSLTEMFAYAASPASVCKTSSTCRLRHQKSMSMSASGHPKMMLPPIDSEGDNFKAITIPD
+QRAPVASTHSISSSTTPDRTRFPRGTASRSTFHGQPRERRTATYNGPPASPSLSHEATPL
+SQTRSRGSTNLFSKLTSKLTRSRNVSAEQKEENKDAKPRSLRFTWSMKTTSCMDPKDMMR
+EIRKVLDANSCDYEQRERFLLFCVHGDGHAENLVQWEMEVCKLPRLSLNGVRFKRISGTS
+IAFKNIASKIANELKL
+>tr|A0A3Q1M482|A0A3Q1M482_BOVIN Ubiquitin associated protein 2 OS=Bos taurus OX=9913 GN=UBAP2 PE=4 SV=1
+MMTSVSSDRSRGAREKPQISATQATQLQKQVVQATAEQMRLAQVIFDKNDSEFEAKVKQL
+MEVTGKNQDECIVALHDCNGDVNKAINVLLEGNSDTTSWETVGGKKKNFGKESSENKENR
+EKRSEREVSRGRGNNNRKVRGGNRGREFRGEENGIDCNQGDKPSDRGKRARGRGAWKNSV
+EEWTAEDWTEDLSETKVFTSSSVPAENHVTPGQSVDLVALLQKPVPPSQAPEVNSFETSQ
+QQSFGQALVFTNSQHNNQMAPGTGSSDAVNSYPPQSLSSVLGSGFGELAPSKMANITSSQ
+ILDQLKAPSLGQFTTTSSSQQSSTSSPTTTSSWDLKPPASQSSVLSHFDFKSQPEPSPVL
+SQLSQRQQHQTQAVTVPPPGLESFPSQVKLREAAPRDSSTSTVNKLLQLPSMTVENIAVS
+AHQPQPKHIKLPKSRRIPPASKIPASAVEMPGSADVTGLNVQFGALEFGSEPSLSEFGSS
+ASSENSSQIPISLYSKSLSDSLNTSLPMTSAVQNSTYTTSVITSSSLTSSSLSSTSPVTT
+SSSYDQSSVHNRIAYQSSVSPSESAPGTVTNGHGGSRSQQTVDTTSSVPAPKTTDPPSAL
+PSVASLPSTTSCAPLLPPASQHTATLPSLSQPGDLSSSPLSQLSSSLSSHQSSLASTHMP
+LSASTSHTHASVDSAPSLQPSATFSTAATSASSAVSSGLSLPSGMNTVSSLCLGNAPGSA
+PSSSARAAPLMTSGKAPPNLPQGVPPLLHNQYLVGPGGLLPAYPIYGYDELQMLQSRLPM
+DYYGIPFATPPALANRDGSLTNNPYSGDVTKFGRGDSASPAPPTTLAQPQQSQSQAHHTA
+QQPFLSPALPPGYSYTGLPYYTGVPSAFQYGPTMFVPPASAKQHGVSLSTPSTPFQQASG
+YGQHSYGTGYDDLTPGTAAGDYSKGGYGGSSQTQNKAAGSGPGKGVSATSSTSGLPDMTG
+SVYNKTQTFDKQGFHAGTPPPFGLPSALGSTGPLAPGAAPGYAPPPFLHILPAHQQAPSQ
+LLHHHLPQDAQSGSGQRNQPSSLQPKSQASKPAYGNSPYWTN
+>tr|A0A3Q1M2I6|A0A3Q1M2I6_BOVIN Glypican 2 OS=Bos taurus OX=9913 GN=GPC2 PE=4 SV=1
+LALLEGKVLGDSGDLENQENGAPNYTHLCSSVLGFEVDTGISKPLKRMHLSFVNHSYLVT
+LCGLRYEFLIKRQNHATEAQDM
+>tr|F1MSE5|F1MSE5_BOVIN Armadillo-like helical domain containing 3 OS=Bos taurus OX=9913 GN=ARMH3 PE=4 SV=3
+MAQVEKRGGLLRKSSASKKPLKEKVVLMYDEIFMTEDPSKCSPRFWEELFLMKVNLEYLE
+GKLESLDGEELMKIKDNINCLFQHCIQALGEEHPIRVVNALQTLCALIRGVHQKNKSTSG
+FDIINMLMGFDKAELCMKNLMESLDSLLCAEGSESLKSLCLKLLLCLVTVTDNISQNTIL
+EYVMINSIFEAILQILSHPPSRREHGYDAVVLLALLVNYRKYESVNPYIVKLSIVDDEAT
+LNGMGLVIAQALSEYNRQYKDKEEEHQSGFFSALTNMVGSMFIADAHEKISVQTNEAILL
+ALYEAVHLNRNFITVLAQSHPEMGLVTTPVSPAPTTPATPLGTTPPSSDVISSVELPLDA
+DVQTSNLLITFLKYSSIVMQDTKDEHRLHSGKLCLIILTCIAEDQYANAFLHDDNMNFRV
+NLHRMPMRHRKKAADKNLPCRPLVCAVLDLMVEFIVTHMMKEFPMDLYVRCIQVVHKLLC
+YQKKCRVRLHYTWRELWSALINLLKFLMSNETVLLAKHNIFTLALMIVNLFNMFITYGDT
+FLPTPSSYDELYYEIIRMHQSFDNLYSMVLRLSTNAGQWKEAASKVTHALVNIRAIINHF
+NPKIESYAAVNHISQLSEEQVSR
+>tr|G5E5Q7|G5E5Q7_BOVIN Radical S-adenosyl methionine domain-containing protein OS=Bos taurus OX=9913 GN=RSAD1 PE=3 SV=2
+MALPRSQARGWVKAAKMAQRRRPADDTGGPQSPAPGSQRAALYVHWPYCEKRCSYCNFNK
+YIPRGVDEAALRRCLVIEAQTLLRLSGVQRVESVFFGGGTPSLASPHTVAAVLEAVAQAA
+HLPADSEVTLEANPTSASGSRLAAFGAAGVNRLSIGLQSLDDTELQLLGRTHSARDALQT
+LAEAQRLFPGRVSVDLMLGLPAQQVGPWLRQLQGLLRCCDDHVSLYQLSLERGTTLFTQV
+QQGALPAPDPELAAEMYQEGRAVLREAGFRQYEVSNFARNGALSTHNWTYWQCGQYLGVG
+PGAHGRFIPQGAGGHTREARIQTLEPDSWMKEVMLFGHGTRRRVPLSELELLEEVLAMGL
+RTDVGITHQHWQQFEPQLTLWDLFGANKEVKELQEQGLLLLDHRGLRCSWEGLAVLDSLL
+LSLLSRLQEAWQQRTPSSVPRG
+>tr|A0A3Q1MNU1|A0A3Q1MNU1_BOVIN Glucosaminyl (N-acetyl) transferase family member 7 OS=Bos taurus OX=9913 GN=GCNT7 PE=4 SV=1
+QNKSLRFFFSAKIKALTHENIFNTINHWGNAQMWSKRNAHTLISQELHFITRPLSAEEAS
+FSLAYIVTIHKELAMFVQLLRAVYVPQNVYCIHVDEKAPKKYKTAVQSLVNCFENIFISS
+KREKMAYTGFRRLQADINCMRDLVHSKFQWNYVINLCGQDFPIKTNKEIIHYIRSKWKDK
+NITPGVIQSPSIKSKTSQSHLEFSPEGDIYVSPNAGFKVEPPHNLTIYFGSAYYVLTRKF
+VEFVLTDIRAKDMLQWSQDIHGPERHYWVTLNRLKDAPGSTPNAGWEGNIRAVKWRNKEG
+TVHEGCKGHYAQDTCVYGPGDLPWIIQSPSLFANQFDSTEPLVVSCLERWHRLRVLGQAE
+GPVEAHWHFQRESHFNTELNR
+>tr|A0A3Q1NLU0|A0A3Q1NLU0_BOVIN Olfactory receptor OS=Bos taurus OX=9913 PE=3 SV=1
+RAMSPQGNGNLSVMPLQEFVLDGFAGGLQTQSLLFALFLALYVVAVLGNLTMIMIITLDA
+SLHSPMYFFLKNLSFVDLCYLSVIYPKALANTVSSSKVITFEGCIIQFFFFSLMGATEAF
+LLAVMAYDRFVAICSPLHYPISMCPSICARLVLGCYCGGCLNSILQASFTFTLPFCSSNH
+IDHFFCDVPPLLKLACADTTINELVMFGICGLIIVGTTLVVLTSYGYITVAILRMRSGGG
+RHKLFSTCGSHMTAVCLFYGTLFVMYAQPGAVQSMEQGKVVSVFYTLVIPMLNPLIYSLR
+NKDVKDALWRLGQRHTAT
+>tr|A0A3Q1M0I7|A0A3Q1M0I7_BOVIN Transcription factor CP2 OS=Bos taurus OX=9913 GN=TFCP2 PE=4 SV=1
+MAWALKLPLADEVIESGLVQDFDASLSGIGQELGAGAYSMSDVLALPIFKQEESSLPPDN
+ENKILPFQYVLCAATSPAVKLHDETLTYLNQGQSYEIRMLDNRKLGELPEINGKLVKSIF
+RVVFHDRRLQYTEHQQLEGWRWNRPGDRILDIDIPMSVGIIDPRANPNQLNTVEFLWDPA
+KRTSVFIQVHCISTEFTMRKHGGEKGVPFRVQIDTFKENENGEYTEHLHSASCQIKVFKP
+KGADRKQKTDREKMEKRTPHEKEKYQPSYETTILTECSPWPEITYNLLPTTTPQEAQQWL
+HRNRFSTFTRLFTNFSGADLLKLTRDDVIQICGPADGIRLFNALKGRMVRPRLTIYVCQE
+SLQLREQQQQQQNQQKHEDGDSNGTFFVYHAIYLEELTAVELTEKIAQLFSISPCQISQI
+YKQGPTGIHVLISDEMIQNFQEEACFILDTMKGNKK
+>tr|A0A3Q1LL87|A0A3Q1LL87_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MAWMLLLLGLLAYGSGQGPGLSQIVIQEPSLSVSPGGTVTLTCGLSSGSVTTYNEPSWYQ
+QTPGQAPRNVIYNTNTRASGVPDRFSASISGNKATLTITGAQPEDEAEYHYSSCYSNHTK
+RYTLS
+>tr|A0A3Q1M9B3|A0A3Q1M9B3_BOVIN 60S ribosomal protein L18 OS=Bos taurus OX=9913 GN=RPL18 PE=1 SV=1
+MRVGFMVFLPSPLQGVDIRHNKDRKVRRKEPKSQDIYLRLLVKLYRFLARRTNSTFNQVV
+LKRLFMSRTNRPPLSLSRMIRKMKLPGREGKTAVVVGTITDDVRVQEVPKLKVCALRVSS
+RARSRILKAGGKILTFDQLALDSPKGCGTVLLSGPRKGREVYRHFGKAPGTPHSHTKPYV
+RSKGRKFERARGRRASRGYKN
+>tr|A0A3Q1LPG4|A0A3Q1LPG4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC112445392 PE=4 SV=1
+MWDSTMFLSTLTPKFYVALTGTSSLISGLILIFEWWYFRKYGTSFIEQVSLNHISPWISG
+SESNESNNSSSTNQQTSVPECKVWRNPLNLYRGAEYQRFYWATNKEPLTYYDMNLSAQDH
+QTFFTCEADAGKAEYEIMQTAWRERNPVVRIKAAHSALELNPECAPAYILLAEEEATTIT
+EAEKILKQALKVAEINYKRSQSLLQQGSLMEAQHRRDTNVLIYIKRRLAMCARKLGKLKE
+AVKMFRDLTKEVPPIMNVLNIHENLIEVLLEMQAYADVQALLAKYDDISLPKSATICYTA
+ALLKARVVADKFSPDIASKRGLTTAEMAAVEAIHRAVEFNPHVPKYLLEMKPLILPPEHV
+LKRGDSEAVAYAFFHLHHWKELLPSFHEVSVYPKKELPFFIIFTAGLCSFTALLALLTHQ
+YPEPMGVVAKSLLNWLSLPVYYVYEKIESILPSNLMQQLSRI
+>tr|A0A3Q1M2W6|A0A3Q1M2W6_BOVIN Programmed cell death 1 ligand 2 OS=Bos taurus OX=9913 GN=PDCD1LG2 PE=4 SV=1
+MMRLPQSDPVQSMFLLLILSLGLQLQQTVALFTVTIPKEMYMVDYGSNVTLECDFDTGGP
+VELGILKASLQKVENDTVLLSERATLLEEQLPLGKALFLIPRIQLKDAGQYRCLIIYGIA
+WDYKYLTLKVKASYKKINTRHLKVPGTDEVELTCQAEGYPLAEVSWPNISIPTNTSHTKT
+SEGLYQVTSVLRLKPHPGRNFSCVFWNANVKELTSATIVQGPLEDPKIPSSSLLHVFILS
+LIVAPIFIATVVALRKRLCQKLYSGEDSTKRSATMVRREVDRATAMLFVGKKTPGRRHSL
+ATRGW
+>tr|A0A3Q1MZX1|A0A3Q1MZX1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC100124497 PE=4 SV=1
+MAAASLPVPPQGSVTFEDVAVYFSWNEWCLLDEVQIRLYLDVMLENFALVCMLGSWRGVQ
+DKETPSEEIKPAGVLQFRTPRAGLSPEKTQPCAMCIPVLRDILHLAEEQGTNGEQKAYTC
+AACGKAFYFTANIQQHQKQHVRENPFLCNTERPSFLKTCTVHSAGNFSTYMEIGNDFMAS
+MGVQPQATNTGKKLNNSTECEVVFHSGESHHSLGEGKIVSSHTDILVEHERVLISEAVCE
+VNKCEKAGTQRSNLIQHVQVHTGEKSYQCSRCEEFFSHKSQILSHQSFNCGGMLYDCSEC
+GKSFSRRKYLISHRRIHTGEKPYECKECNKSFRRKGNLIEHQRVHTREKPYQCKQCGKFF
+ARKSIFLAHERIHTGENPYECNKCGKALTTISSFYYHLRVHTGERPYECNECGKSFTTLS
+TLSNHQRVHSGERPFKCSECEKFFSRKEHLSAHMNVHTGEKPYECNKCGKSFTSRSNLCN
+HWRVHIGERPFKCSECGKCFTSSSSFLRHQRVHTGERPYECSECGKSFVASSGLRYHQRV
+HNGERPYECSECGKNFTARSTLRDHQRVHTGEKPYKCSECGKYFTSRSSLLRHQRVHTEE
+RPYECSQCGRSFTTQTYLYDHHRVHIGKGL
+>tr|A0A3Q1MCS6|A0A3Q1MCS6_BOVIN Gamma-synuclein OS=Bos taurus OX=9913 GN=SNCG PE=3 SV=1
+AWGPLYPLAVLGPRPPEAGGRPRVDHLIIVRPSRESERGQPRSPVEQSFEGPGQARAGLG
+GIRANACQIPPTVAEKTKEQANAVSEAVVSSVNTVATKTVEEVENIAVTSGVVHKEALKQ
+PVPSQEDEAAKAEEQVAEETKSGGD
+>tr|G3MYH6|G3MYH6_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=OR10C1 PE=3 SV=2
+MSTNTSVVTEFILVGFSRLADLQGLLFSFFLTVYLLTVAGNLLIVLLVSADAALRTPMYF
+FLRNLSTLEIGYTSVTVPLLLHHLLTGQRHIPRSGCALQMFFFLLFGATECCLLAAMAYD
+RYAAICQPLRYPLLLSQRTCLCLAGSAWACGALVGLGHTSFVFSLPFCGPKAIPHFLCEI
+QAVLQLVCGNTSLNELQIILAAALLILCPFGLILGSYGRILATIFRIPSAAGRLKAFSTC
+SSHLVVVSLFYGTAIFIYIRPKASYDPTSDPLVSLFYAVVTPILNPIIYSLRNADVKAAL
+KRTIQKIGPAGI
+>tr|A0A3Q1MHR1|A0A3Q1MHR1_BOVIN NEDD4 binding protein 2 like 2 OS=Bos taurus OX=9913 GN=N4BP2L2 PE=4 SV=1
+MPYGEVETKSMGREEELLSEPCSKKLKSTEEAYVFPYHGSGNFYRKQEKTGSDWTPVTIT
+NVRGHSYLQEDKTQTTDLLKPEHDGMPSDRPDVIESVDSQVVQDIHPPLVSTDDEIYSTS
+KAFIGPIYKPPEEKKCNDGRNQADTINGRDGKNGRDGKRKQNKQKFNSKKSEIDNELFQF
+YKEIEELENEKDDSESSCKEPEPSEKQLIAYYQNPNNDLLKSEEEKKKYLSNGLQPHCGY
+QQWVGNEPGKYPCNGQMIPTFCDDSFTSFRPEWQAMDSFVVPQDPHLPSFNYHLNIERFS
+VPPNPPSNIFHSQDDFEMQNGYFINSCHANWDCLTFDENNEYTDCSSDHPSRNDYSVQDG
+YASNGFCETSERYWKDPSVEECNGTDRFINQQFEEEKLHKLQKLLILLRGLPGSGKTTLS
+RILLGQSRDGIVFSTDDYFHHQDGYRYNVNQLGDAHDWNQNRAKQAINQGRSPVIIDNTN
+TQAWEMKPYVEMAIGKGYRVEFHEPETWWKFDPEELEKRNKHGVSRKKIAQMLDRYEYQM
+SISIVMNSVEPPHKSTQRPPPQERQRERDLKKTGHRFSKARKRNRKRSKKQNSHNKIMEE
+NSFETLNYLTPGDQDPSQSEEEDLEETKRESECSLTVSLRNEVGDFLNVHKDKRQEDINS
+ENSLPDVMSVVELDSPPKNYLPKDNDDLFLSLSLMPNESSVSCPTVTQNISCVASDDCSV
+TEVEKRIENRNIMTLNTQDKFAEAPCLFMQKGEMVDESLLNETSLCHQYTSGTSDKVLRK
+EQAVNTTKNNNWAFFSNDLSDGDLQLGSDRQPYFGSWPEGPHKFICEQRPKKDRRQKLAH
+PDSRGQLIKLISTSEGPGDSPETLIEEKLSIENEDLSSPTENIDSVIETETNIFESQIFK
+LDILKSTLHSTENKKRQKRIFSLAPNFNLLGQSHTNVKEMGKCDLLTESQGLKIILEEEK
+DEISEINNEENKQHIMTFDYHPSWFYFDIYFDIVKDSLLNVGGQFYSHYLLFNRVRHSVY
+FYRNPIPSLMLQYPSSFWKISFSGKKLFSTFNSQRRVDDKLNDVRFTSSEILSSQPDTLY
+SSSVTSDPHSLNQSFVEKLKTWEEPRPLSFLQTQDNQDLTSPDYFDSLELPLSQEFAFQL
+VKLFGSPGVPVESLLPEDCVVPLDRKTLKMIYLQWKTSVEKRQKKIG
+>tr|A0A3Q1MXF7|A0A3Q1MXF7_BOVIN GRAM domain containing 1B OS=Bos taurus OX=9913 GN=GRAMD1B PE=4 SV=1
+MPAANMMENLQPPALQVPEPRGAPEGSPVWSSSSTPTLRRRRFKMRRTKNVQEPSLEAGL
+SGDLPGVLAPGKEFLQLPSIEITPSSDEDTPWSNCSTPSASPRRKRFLLRKWLRVRERKE
+CSESSSQQSSQQSSQQSSHDDDSSRFLSPRVREESTASNSNRSTPACSPILRKRSRSPTP
+QNVDGDTMVEKGSDHSSDKSPSTPEQGVQRSCSSQSGRSGGKNSKKSQSWYNVLSPTYKQ
+RNEDFRKLFKQLPDTERLIVDYSCALQRDILLQGRLYLSENWICFYSNIFRWETLLTVRL
+KDICSMTKEKTARLIPNAIQVCTDSEKHFFTSFGARDRTYMMMFRLWQNALLEKPLCPKE
+LWHFVHQCYGNELGLTSDDEDYVPPDDDFNTMGYCEEIPVEENEVNDSSSKSSIETKPDA
+SPQLPKKSITNSTLTSTGSSEAPVSFDGLPLEEEVLEGDGSLEKELAIDNIIGEKIEIIA
+PVNSPSLDFNDNEDIPTELSDSSDTHDEGEVQAFYEDLSGRQYVNEVFNFSVDKLYDLLF
+TDSPFQRDFMEQRRFSDIIFHPWKKEENGNQSRVILYTITLTNPLAPKTATVRETQTMYK
+ASQESECYVIDAEVLTHDVPYHDYFYTINRYTLTRVARNKSRLRVSTELRYRKQPWGLVK
+TFIEKNFWSGLEDYFRHLESELTKTESTYLAEMHRQSPKEKASKPPTVRRRKRPHAHLRV
+PHLEEVMSPVTTPTDEDVGHRIKHVAGSTQTRHIPEDSPSGFHLQSVSKLLLVISCVLVL
+LVILNVMLFYKLWMLEYTTQTLTAWQGLRLQERLPQSQTEWAQLLESQQKYHDTELQKWR
+EIIKSSVMLLDQMKDSLINLQNGIRSRDYTSESEEKRNRYH
+>tr|E1B7W0|E1B7W0_BOVIN Adrenoceptor alpha 1D OS=Bos taurus OX=9913 GN=ADRA1D PE=3 SV=2
+MTFRDLLSFSFEGPGSDGSASGTGRGGGAGGAAASEGQAVDGVPGAAGCGGGGGGVVGAG
+SSEDNRSSSGEPGSPGAGGEVNGTAAVEGLVVSAQGVGVGVFLAAFILTAVAGNVLVILS
+VACNRHLQTVTNYFIVNLAVADLLLSATVLPFSATMEVLGFWAFGRAFCDVWAAVDVLCC
+TASILSLCTISVDRYVGVRHSLKYPSIMTERKAAAILALLWAVAVVVSVGPLLGWKEPVP
+PDESFCGITEEAGYAVFSSLCSFYLPMAVIVVMYCRVYVVARSTTRSLEAGVKRERGKAS
+EVVLRIHCRGASPGSAETHWGMHSTKGRKFRSSLSVRLLKFSREKKAAKTLAIVVGVFVL
+CWFPFFFVLPLGSLFPQLKPSEGVFKVIFWLGYFNSCVNPLIYPCSSREFKRAFLRLLRC
+QCHHSRQRRHPLWSIYSRNWQASNGSSHPDCPPGPGAIPTRAPQAFTVPSAPGSLGASKA
+QAPAVTCRKMPCTFREWRLLRPFQRPATQLHAKVSSPSQKIHTREAMCALHSEVEVVFLG
+VPHDAAEGTTCQAYKLEDYSHLQETDI
+>tr|F1MU21|F1MU21_BOVIN C-type lectin domain family 6 member A OS=Bos taurus OX=9913 GN=CLEC6A PE=4 SV=1
+MVREGNAESCFRMRLWCIAVLGLALLSACFIVSCVVTYYFTHGNIGKRLSELHTHHSNLT
+CFSEGTRVTEKIWGCCPGTWKPFGSSCYFISSEENFWAKSEQNCIGMGAHLVVINTETEQ
+DFIIQQLNKTFSYFLGLSDPQGNGNWQWIDQTPYKENVRFWHQNEPNFSAEECASVVFWD
+GRGWGWNDVFCDSKRKSICEMKKIYL
+>tr|A0A3Q1LXU9|A0A3Q1LXU9_BOVIN Bifunctional purine biosynthesis protein PURH OS=Bos taurus OX=9913 GN=ATIC PE=1 SV=1
+MAPGQLALFSVSDKNGLVEFARNLASVGLNLIASGGTAKALRDAGLAVRDVSELTGFPEM
+LGGRVKTLHPAVHAGNILAWKIPQAEEPSRLKSLGSQRIRRGVTLLRAAAKNHARVTVVC
+EPEDYAAVASEMQDSDSKDTSLETRRQLALKAFTHTAQYDEAISDYFRKEYSKGVSQMPL
+RYGMNPHQTPAQLYTLKPKLPITVLNGAPGFINLCDALNAWQLVKELKEALGLPAAASFK
+HVSPAGAAVGIPLSEDEANVCMVYDLYKTLTPVATAYARARGADRMSSFGDFVALSDVCD
+VPTAKIISREVSDGIIAPGYENEALKILSKKKNGNYCVLQMDQSYIPDENEVRTLFGLRL
+SQKRNNSVVNRSLFSNIVTKNKDLPESALRDLIVATIAVKYTQSNSVCYAKNGQVIGIGA
+GQQSRIHCTRLAGDKANCWWLRHHPQVLSMKFKTGVKRAEISNAIDQYVTGTIGEGEDLI
+KWKALFEEVPELLTETEKKEWIDKLNEVSISSDAFFPFRDNVDRAKRSGVAYIAAPSGSA
+ADKVVIEACDELGIILAHTNLRLFHH
+>tr|F1MMF6|F1MMF6_BOVIN H15 domain-containing protein OS=Bos taurus OX=9913 GN=HILS1 PE=4 SV=2
+MQKDTSLPPPSGPLASNTALGAGRQASSSGLPGKSETRRRTSPNSRRKPSISKVILGFVA
+DKGAGNRVSLAALKKAVATRGYNMTRNAWRFKRVLQGLVDKGMLKQVTGKGATGSFLMGK
+NHASKFKLKAKRRRQRRQRQRGQRRPVQRQLLVGSKPGHKRPIKGVRRAAKCRRS
+>tr|A0A3Q1MZV5|A0A3Q1MZV5_BOVIN Transmembrane protein 91 OS=Bos taurus OX=9913 GN=TMEM91 PE=4 SV=1
+MAVGGKSLSPAMDSPSLRELQQPLLVGGELPIQKSGEPELGPPFRETAFAESLKGWQFLP
+PSLPSVSAGLGEPGTPDFEDVSSSDSDSDWGGGGPLPPLLPHDHLGLAVFSMLCCFWPLG
+IAAFCLAQKTNKAWAQGDVQGAGAASRRAFLLGVLAVGLGVCTYAAALVTLAAYLASRDP
+P
+>tr|E1B7M6|E1B7M6_BOVIN 1-phosphatidylinositol 4,5-bisphosphate phosphodiesterase OS=Bos taurus OX=9913 GN=PLCB2 PE=4 SV=3
+MSLLNPVLLPPTVKAYLSQGERFIKWDDETTIASPVILRVDPKGYYLYWTYQSKEMELLD
+ITSIRDTRFGKFAKMPKSQKLREVFNMNIRDNFLLKTLTVVSGPDMVDLTFHNFVSYKDN
+VGKDWAEDVLALAKHPLTANASRSTFLDKILVKLKMQLNPEGKIPVKNFFQMFPADRKRV
+EAALSACHLPKGKNDAINPEDFPESVYKSFLMSLCPRPEIDEIFTSYHSKAKPYMTKEHL
+AKFINQKQRDSRLNSLLFPPARPDQVQGLIDKYEPSGINVQRGQLSPEGMVWFLCGPENS
+VLAQDKLLLHHDMTQPLNHYFINSSHNTYLTAGQFSGLSSAEMYRQVLLAGCRCVELDCW
+KGKPPDEEPIITHGFTMTTDIYFKEAIEAIAESAFKTSPYPVILSFENHVDSPRQQAKMA
+EYCRTMFGDMLLTEPLEKFPLKPGIPLPSPEDLRGKILIKNKKNQFSGSASPSKELDGEA
+EGSSPPNAPVGEDTVWAGEEGAELEEEEVEEEEEESGNLDEEEIKKMQSDEGTAGLEVTA
+YKEMSSLVNYIQPTKFISFEFSAQKNRSYVISSFTELKAYDLLSKSSVQFVDYNKRQMSR
+IYPKGTRMDSSNYMPQMFWNAGCQMVALNFQTMDLPMQQNMALFEFNGQSGYLLKHEFMR
+RSDKQFNPFSVDRIDVVVATTLSITVISGQFLSERSVRTYVEVELFGLPGDPKRRYRTKL
+SPSTNSINPVWKEEPFVFEKILMPELASLRVAVMEEGNKFLGHRIIPISALNSGYHHLCL
+HNESNMPLTMPALFVFLEMKDYVPDTWADLTVALANPIKFFSAHDKKAVKLKEAMGGQSE
+SPFPLGNHPASQINGASAPTSNGSAAAGAKREEATKETAEPQTASLEELRELKGVVKLQR
+RQEKELRELGRRGVRRWEELLQRGAAQLAGLGPPGAGGSGGCRGRKLGPGKGSRKKRTLP
+GEDTAGAASAEGPEGEDVRLRELRDRLELELLQLGEEQCECVMKLKEQHAAQQMTKMMEL
+AREKQAAERKTLKETLEIDTKEMKKKLEAKRLERIQAMMKVTTDKMAQERLKREINNSHI
+QEVVQVIKQMTENLERHQEKLEEKQAACLEQIQEMEKQFQQEAMAEYEAKMKGLEMEVKE
+SVRTCLRACFPSEEEDKPERPCVVSRELCEQDTLTEQAETQESHL
+>tr|F6PTX8|F6PTX8_BOVIN Regulator of chromosome condensation 1 OS=Bos taurus OX=9913 GN=RCC1 PE=4 SV=1
+MPPKRIAKRRSPPEDALPKSKKVKVSHRSHGTEPGVVLTLGQGDVGQLGLGENVMERKKP
+ALVSIPEDIVQAEAGGMHTVCLSKSGQVYSFGCNDEGALGRDTSVEGSEMVPGKVDLQEK
+VIQVSAGDSHTAALTEDGRVFLWGSFRVRLVQLVGPASPAFLSPSGNDHLVMLTVDGDLY
+TLGSGEQGQLGRVPELFANRGGRQGLERLLVPKCVMLKSRGSRGHVRFQDAFCGAYFTFA
+ISSEGHVYGFGLSNYHQLGTQGTESCFVPQNLTSFKNSTKSWVGFSGGQHHTVCMDSEGK
+AYSLGRAEYGRLGLGEGAEEKSVPTLIPRLPTVSSVACGASVGYAVTKDGRVFAWGMGTN
+YQLGTGQDEDAWSPVEMTGKQLENRVVLTVSSGGQHTVLLVKDKEQSW
+>tr|A0A3Q1MIH2|A0A3Q1MIH2_BOVIN LRRNT domain-containing protein OS=Bos taurus OX=9913 GN=LGR6 PE=4 SV=1
+MPRAPRLLPLWLCAAALCAPPAAPPPRPRPGCPVPCRCREAGILLWVDCSERGLSTVPAG
+LDPLTAYLDLSMNSLTELWPGVFHHLRFLEELRLSGNRLAHIPGQAFSGLSSLKILMLQN
+NRLGGIPAEALWELPGLQSLRLDANLISLVPDRSFEGLTSLRHLWLDDNALTEIPVRALS
+HLRALQAVTLALNRIGRVPDYAFWNLSSLVVLHLHNNRIRHLGAHSFEGLQNLETLDLNC
+NQLHEFPVAIQTLGRLQELHFYDNPIQFVGRSAFQHLPGLHTLSLNGATDIQEFPDLRGT
+TSLESLTLTRAGLQRLPPGMCQQLPRLRVLELSHNRIEGLPSLRGCQKLEEIGLQHNRIW
+EVRADTFRELTFLRSLDLSWNAIRSIHPEAFSTLRSLVKLDLTGNQLSVLPLAGLGGLVH
+LKLRGNRALSQAFPKDSFPRLRTLEVPYAYQCCAYSGCPGFFTASGLRGPQHPPPDAQDP
+PKRTLADRAESRYDLDPEELQLEMEEPRPQPAVQCSPAPGPFQPCEHLFESWGVRLAVWA
+VVLLALLCNALVLLSLSVAGPGPLPPAKFVVGALAGANALTGLSCGLLASVDALTFGQFA
+EFGARWEAGPGCRAAGFLAVLGSEASVLLLSLGAAHCSVAAACVRARGKAPAAGSVRAGA
+LGCLALAGLLAALPLASVGEYGASPLCLPHAPPAGRPAALGFAVALVLLHAACLLAAALA
+CIRLSCRLPAAGLEASWDCAAVRHVAWLTFADGLLYCPVAFLSLASALGLLPVTPEAVKA
+VLLLVLPLPACLNPLLYLLFSPHSREDLRRLRPCWGGPREPSSCDSTQALVAFPDVELTA
+EASETQGLPLGALVPRQQPGGLKLEGGHVAEPEGTRFGQQPPSRDGGRAQGTPEASLAGR
+GRAGGGGFQSSGLASGV
+>tr|A0A3Q1M1R9|A0A3Q1M1R9_BOVIN V-set immunoregulatory receptor OS=Bos taurus OX=9913 GN=VSIR PE=4 SV=1
+MGFPPVPEAGSRRWRPVLLAFFLAASRDLVTAFKIATPYSLYVCPEGQNVTLTCRLLGPL
+AKGHDVTFYKTWYRSSRGEVQVCSERRPIRNLTFQDLHLHHSSHQANTSQDLAQRYGLES
+ASDHHGNFTITMRNLTLLDGGLYCCLVVEIRHHHSEQRLFGAMELQVQRGKETLSKCIAY
+PPSSNESESITAAALATSACIVGILCLPLILLLVYKQRQVASNRRAQELVRMDSSERMFP
+PVGKAGLAQTSALTSRQRQLLRIWLPDPRPTCRCVKGGGPGVERHHTSSPASSCVASSSS
+LDTYY
+>tr|A0A3Q1MS44|A0A3Q1MS44_BOVIN DEAD-box helicase 51 OS=Bos taurus OX=9913 GN=DDX51 PE=3 SV=1
+MALFHVARYAGPEAAGAEAEADGRARALLERLQCRARERQLQKQPPQQAPEEAAQSAPAA
+GKRRRRPRRTRRREGGREPGSPPAPPNKRQKTDDEDENEDEGAGGASRAGSSEETPAESS
+VGAEAAGSPEGRGGPPPEEASGPLTHALVLGGFGRSKVPKVQPFLPVWLAQPSCVGKNVT
+EGLVPIEDIPEVHPDLQKKLRAQGISSYFPVQAAVIPAVLESTANGFLVSRGGYRPSDLC
+VSAPTGSGKTLAFVIPVVQALLHRAVCQVRALVVLPTKELAQQVSKVFNVYTDATPLRVA
+LITGQKSLAKEQESLVQKTADGFRCLADIMVATPGRLVDHIDQTPGFSLQHLRFLIIDEA
+DRMIDSMHQSWLPRVVAAAFPSEGPRDPCAVFQRTQPRVLTAASMCCPQMPLQKLLFSAT
+LTQNPEKLQQLGLYQPRLFSTGSAHRGPSDPDIDVDEDSGGKYTFPTGLKHHYVPCSLRF
+KPLVILHLILEMNFSRVLCFTNSRENSHRLFLLVQAFGGVTVAEFSSRYGPGQRKSILKQ
+FEQGKIQLLISTDAMARGIDVQGVQLVVNYDAPQYLRTYVHRVGRTARAGKSGQAFTLLL
+KVQERRFLRMLEEGGVPGLERHDTPSELLQPLVPQYEEALSLLEKAVKEERKQKAA
+>tr|E1BGA4|E1BGA4_BOVIN Histone-lysine N-methyltransferase OS=Bos taurus OX=9913 GN=ASH1L PE=4 SV=1
+MDPRNTAMLGLGSDSEGFSRKSPSAINTGTLVSKREVELENNTKEEEDLRKWNRERNTEA
+GKDNGLTDAQQQFSVKETNFTEGNLKLKIGLQAKRTKKPPKNLENYVCRPAIKTTIKHPR
+KALKSGKMTDEKNEHCPSRRDPSKLYKKSGDVAAIECQSEETIHLHSQGENSPLSKKLSP
+VHSEMTDYINATSSTLVGSRDPDLKDRALLNGGTSVTEKLAQLIATCPPSKSSKTKPKKL
+ATGTTAGLVSKDLIRKAGVGSVAGIIHKDLIKKPTISTAVGLVTKDPGKKPVFNATVGLV
+NKDSVKKLGTGTTTVFINKDLGKKPGAITTVGLLSKDTGKKLGIGIVPGLVNKEPGKKLG
+LGTVVGLVNKDLGKKLGSTVGLVAKDCAKKIVANSTVGLVNKDIGKKLVSCPMTGLVNKD
+AINLKAEALLPTQEPLKASCSTNIISHESQDLSESLKDGATSKTFEKNVTRQSKESILEK
+FSVRKEIINLEKEMFNEGTCIQQDSFSSSERGSYETSKHEKQPPVYCTSPDFQMGVASDA
+STAKSPFSAVGESNLPSPSPTVSVNPLSRSPPETSSQMTPNPLLLSPTTELMEEISESVG
+KNQFTSESTHLNIGHRSMGHSMNIECKGIDKELNDSKTTHIDISRINSSLGKKPSLTSES
+SIHTITPSVVNFTSLFSNKPFLKLGAVSASDKHCQVAESLSTTLQSKPLKKRKGRKPRWT
+KVVARSTCRSPKGLELERSELFKNVTCSSLSNSNSEPAKFMKNIGPSSFVDHDFLKRRLP
+KLSKSTAPSLALLTDSEKPSHKSFATHKLSSSMCVSSDLLSDIYKPKRGRPKSKEMPQLE
+GPPKRTLKIPASKVFSLQSKEEQEPPILQPEIEIPSFKQSLSVSPFPKKRGRPKRQMRSP
+VKMKPPVLSVAPFVATESPSKLESESDNHRSSSDFFESEDQLQDPDDLDDSHRPTVCSMS
+DLEMEPDKKITKRNNGQLMKTIIRKINKMKTLKRKKLLNQILSSSVESSNKGKVQSKLHN
+TVSSLAATFGSKLGQQINVSKKGTIYIGKRRGRKPKTVLNGILSGSPTSLAVLEQTAQQA
+AGSALGQILPPLLPSSASSSEILPSPICSQSSGTSGGQSPVSSDAGFVEPSSVPYLHLHS
+RQGSMIQTLAMKKASKGRRRLSPPTLLPNSPSHLSELTSLKEATPSPISESHSDETIPSD
+SGIGTDNNSTSDRAEKFCGQKKRRHSFEHVSLIPPETSTVLSSLKEKHKHKCKRRNHDYL
+SYDKMKRQKRKRKKKYPQLRNRQDPDFIADLEELISRLSEIRITHRSHHFIPRDLLPTIF
+RINFNSFYTHPSFPLDPLHYIRKPDLKKKRGRPPKMREAMAEMPFMHSLSFPLSSTGFYP
+SYGMPYSPSPLTAAPIGLGYYGRYPPTLYPPPPSPSFTTPLPPPSYMHAGHLLLNPTKYH
+KKKHKLLRQEAFLTTSRTPLLSMSTYPSVPPEMAYGWMVEHKHRHRHKHREHRSSEQPQV
+SMDAGSSRSVLESLKRYRFGKDTVGERYKHKEKHRCHMSCPHLSPSKSLINREEQWVHRE
+PSESSPLALGLQTPLQIDCSESSPSLSLGGFTPNSDPASSDEHTNLFTSAIGSCRVSNPN
+SSGRKKLTDSPGLFSAQDTSLNRPHRKEPLPSSERAVQTLTGSQPASDKSSQRPSESTNC
+SPARKRSSSESTSSTVNGVPSRSPRLVSSGDDSVDSLLQRMVQHEDQEPLEKNIDAVIAS
+ASVPPSSSPVHSLSKERTLGKPDSLLVPAVPSDSCSSSISLLSEKLPSSHSPHHIKRSVV
+EAMQRQARKMCNYDKILATKKNLDHVNKILKAKKLQRQARTGNNFVKRRPGRPRKCPLQA
+VVSMQAFQAAQFVGSELNEGEEGTALHLGPDTVTDVIEAVVQSVNLNPDHKKGLKRKSWL
+LEEQTKKKQKPFPEEEEEEENAKSFTEAAVEIPSPPETPAKPPEPESTLQPVLSLIPREK
+KAPRPPKKKYQKAGLYSDVYKTTDPKSRLIQLKKEKLEYTPGEHEYGLFPAPIHVGKYLR
+QKRIDFQLPYDILWQWKHNQLYKKPDVPLYKKIRSNVYVDVKPLSGYEATTCNCKKPDDD
+TKKGCVDDCLNRMIFAECSPNTCPCGEQCCNQRIQRHEWVQCLERFRAEEKGWGIRTKEP
+LKAGQFIIEYLGEVVSEQEFRNRMIEQYHNHSDHYCLNLDSGMVIDSYRMGNEARFINHS
+CDPNCEMQKWSVNGVYRIGLYALKDMPAGTELTYDYNFHSFNVEKQQLCKCGFEKCRGII
+GGKSQRMNGLTSNKSSQPVTSHKKSGRSKEKRKSKHKLKKRRGHLSEEPSENINTPTRLT
+PQLQMKPMSNRERNFVLKHHVFLVRNWEKIRQKQEEVKHTSDNIHSASLYTRWNGICRDD
+GNIKSDVFMTQFSALQTARSVRTRRLAAAEENIEVARAARLAQIFKEICDGIISYKDSSR
+QSLAAPLLNLPPKKKNADYYEKISDPLDLSTIEKQILIGYYKTVEAFDADMLKVFRNAEK
+YYGRKSPVGRDVCRLRKAYYNARHEASAQIDEIVGETASEADSSETSVSEKENGHEKDDD
+VIRCICGLYKDEGLMIQCDKCMVWQHCDCMGVNSDVEHYLCEQCDPRPVDREVPMIPRPH
+YTQPGCVYFICLLRDDLLLRQGDCVYLMRDSRRTPDGHPVRQSYRLLSHINRDKLDIFRI
+EKLWKNEKEERFAFGHHYFRPHETHHSPSRRFYHNELFRVPLYEIIPLEAVVGTCCVLDL
+YTYCKGRPKGVKEQDVYICDYRLDKSAHLFYKIHRNRYPVCTKPYAFDHFPKKLTPKRDF
+SPHYVPDNYKRNGGRSSWKSERSKPPLKDLGQEDDALPLIEEVLASQEQAANEMPSLEEP
+EREGATAENSESEKKKEESSQEPQVACTPEERRHNQRERLNQILLNLLEKIPGKNAIDVT
+YLLEEGSGRKLRRRTLFIPENSFRK
+>tr|A0A3Q1MEU2|A0A3Q1MEU2_BOVIN BPTI/Kunitz inhibitor domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+GILALIAICTTAAITAVTTILLFHRDPPIPIALQPDICLEPPYMGPCKTVMIRYFYNAYT
+GLCERFRYGGCGGNKNNFLTKEECMKTCGQVAGSLW
+>tr|F1MW52|F1MW52_BOVIN ADAM metallopeptidase domain 12 OS=Bos taurus OX=9913 GN=ADAM12 PE=4 SV=3
+MAARPPPAPPARALLLALAGALLAPRAARGVNLGSQFVPSMQQAGTRDGAGAYEVVSVSL
+LNGDPWNPMKTADSENHPGVLNFRIQLTSKELIVNLERNEGLIASSFTETHYLQDGTDIS
+LIRNYTGHCYYHGRVQGSFGSAVSLSTCSGLRGLITFENNTYILEPMKNATNRYKLFPVE
+NLPGTWGSCGSNTSGLTLHDRLPLASQTQTRRQKRETLKMTKYVELVIVADNREFQRQGK
+DLEKVKQRLIEIANHVDKFYRPLNIRIVLVGVEVWNDIDKCSISQDPFTSLHEFLDWRKM
+KLLPRKSHDNAQLISGVYFQGTTVGMAPIMSMCTAEQSGGIVMDHSDSPLGAAVTLAHEL
+GHNFGMNHDTLERGCSCKTAADKGGCIMNPSTGFPFPMMFSSCSRKDLEASLQKGMGMCL
+FNLPEVKQSFGGQKCGNGYVEEGEECDCGEPEECQNLCCNATTCALKPDAVCAHGLCCEN
+CRLKPAGTACRDPSNACDLPEFCTGASPHCPANVYLHDGHPCQGVDGYCYNGICQTHEQQ
+CVTLWGPGAKPAPGICFERVNSAGDPYGNCGKDSKSSFAKCAMRDAKCGKIQCQGGASRP
+VIGTNAVSIETNIPLQEGGRILCRGTHVYLGDDLPDPGLVLAGTKCADGKICLNRRCQNV
+SVFGVHECAVQCHGRGVCNNRKNCHCEPHWAPPFCDRFGFGGSTDSGPVRQADNQGLTIG
+ILVTILCLLAAGCVVYLKRKTLIRLLFTDKKNTIEKLRCVRPPRPPRGSQPSQAHLTHLS
+KGPTRKPPPSSTPKDNTRRVPPCQHVDISRPLKAPDAPWPSSPQRGLPPLHQAPRVPCVP
+ARPLPANPALRQAQGTRKPSPPQKPLPADPLSKTARLAGAPARSPGRQESALRLAPIRPA
+PKQPHPVPRPAPIAYAK
+>tr|G3MXC5|G3MXC5_BOVIN Phosphofurin acidic cluster sorting protein 2 OS=Bos taurus OX=9913 GN=PACS2 PE=4 SV=2
+MAERGRLGLAGAPAALNTPVPMNLFATWEVDGSSPSCVPRLCSLTLKKLVVFKELEKELI
+SVVIAVKMQGSKRILRSHEIVLPPSGQVETDLALTFSLQYPHFLKREGNKLQIMLQRRKR
+YKNRTILGYKTLAAGSINMAEVLQQPAEGGQVLSLCSNIKEAAVKVAEIWIFSLSSQPID
+HEDSAMQAGPKAKSTENYSEEEYESFSSEQEASDDAVQGQDLDEDDFDVGKPKKQRRSIV
+RTPSMTRQQNFKQKVVALLRRFKVSDEVLDSEQDPAEHVPEVEEDLDLLYDTLDMENPSD
+SGPDMEDDDSVLSTPKPKLRPYFEGLSHSSSQTEIGSVHSARSQREPPSPADVPEKTRVL
+GGKQPSDSVSDSVAHSTPAPGEPPAPPEESPEVETSALDLFAEKLPPSGRITKTESLVIP
+STRSEGKQAGRRGRSTSLKERQPARPQNERANSLDNERCPDTRSQLQIPRKTVYDQLNHI
+LISDDQLPENIILVNTSDWQGQFLSEVLQRHTLPVVCTCSAADVQAAFSTIVSRIQRYCN
+CNSQPPTPVKIAVAGAQHYLSAVLRLFVEQLSHKTPDWLGYMRFLVIPLGSHPVARYLGS
+VDYRYNNFFQDLAWRDLFNKLEAQSAVQDTPDIVSRITQYISGANCAHQLPIAEAMLTYK
+QKSPDEESSQKFIPFVGVVKVGIVEPSSAASGDSDDAAPSGSSVLSSTPPSTSPAAKEAS
+PTPPSSPSVSGGLSSPSQGVGAELMGLQVDYWTAAQPADRKRDAEKKDSPTTKNTLKCTF
+RSLQVSRLPSSGEAVATPTMSMTVVTKEKNKKVMFLPKKTKDKDVESKSQCIEGISRLIC
+TAKHQQNMLRVLIDGVEWNDVKFFQLAAQWSSHVKHFPICIFGHSKSTF
+>tr|F1MFG2|F1MFG2_BOVIN Zinc finger protein OS=Bos taurus OX=9913 GN=PRDM5 PE=4 SV=2
+MLGMYVPDRFSLKSSRVQDGMGLYTARRVRKGEKFGPFAGEKRMPEDLDENMDYRLMWEV
+RGSKGEVLYILDATNPRHSNWLRFVHEAPSQEQKNLAAIQEGENIFYLAIEDIETDTELL
+IGYLDSDMEADEEEQQIMTMINEEEGKNSKGQSTASRKDRFGCKEDYACPYCESSFTSEE
+ILAEHLQTLHQKPTEEKEYKCKSCGKKFPVKQALQRHVLQCSAKSSLKDSSRSFQCSVCN
+TSFSSASSFEQHQETCQGDARFVCKADSCGKRLKSKEALRRHQENVHTGDPKKKLICSVC
+NKKCSSASSLQEHRKIHEIFDCQECMKKFISANQLKRHMITHSEKRPYNCEICNKSFKRL
+DQVGAHKVIHSEDKPYKCKLCGKGFAHRNVYKNHKKTHSEERPFQCEECKALFRTPFSLQ
+RHLLIHNSERTFKCHHCDATFKRKDTLNVHVQVVHERHKKYRCELCNKAFVTPSVLRSHK
+KTHTGEKEKICPYCGQKFASSGTLRVHIRSHTGERPYQCPYCEKGFSKNDGLKMHIRTHT
+REKPYKCSECGKAFSQKRGLDEHTRTHTGEKPFQCDVCDLAFSLKKMLIRHKMTHNPNRP
+LAECQFCHKKFTRNDYLKVHMDNIHGEADS
+>tr|A0A3Q1LLS1|A0A3Q1LLS1_BOVIN CTP synthase OS=Bos taurus OX=9913 GN=CTPS2 PE=3 SV=1
+MKYILVTGGVISGIGKGIIASSIGTILKSCGLRVTAIKIDPYINIDAGTFSPYEHGEVFV
+LNDGGEVDLDLGNYERFLDINLYKDNNITTGKIYQHVINKERRGDYLGKTVQVVPHITDA
+VQEWVMNQAMVPVDGHKEEPQICVIELGGTIGDIEGMPFVEAFRQFQFKAKRENFCNIHV
+SLVPQPSATGEQKTKPTQNSVRALRGLGLSPDLIVCRSSTPIEMAVKEKISMFCHVNPEQ
+VICIHDVSSTYRVPVLLEEQGIIKYFKERLDLPIGDSASSLLSKWRNMADRVFCCSLKIL
+DDSIDLEQTTEVEDPVKFHEAWQKLCKADGVLVPGGFGIRGTLGKLQAISWARSRKIPFL
+GVCLGMQLAVIEFARNCLNLKDADSTEFEPNARVPVVIDMPEHNPGNLGGTMRLGIRRTV
+FKTENSILRKLYGDVPFIEERHRHRYEVNPSLISQLEQKDLSFVGQDVDGERMEIIELAN
+HPYFVGVQFHPEFSSRPMKPSPPYLGLLLAATGNLNAYLLQGCKLSSSDRYSDASDDSFS
+EPRLAELEIS
+>tr|A0A3Q1MU28|A0A3Q1MU28_BOVIN Zinc finger and AT-hook domain containing OS=Bos taurus OX=9913 GN=ZFAT PE=4 SV=1
+SESRTPDVGPPPIFMCKCCNLFSPSQSELLSHVSEKHAEEGVNADEIIIPLRPLSTPEPT
+NPGKPGDEFSVMKRKRGRPKGSTKKPSPEEELAERSALPGVDGVRAPEEGSSLECSKCCR
+KFSNPRQLRKHICIIVLNLGEAEGDAGNESDLELEKKYKEDDREKAPKRPRAQRAEKIQK
+VCSGKEAPQMSGAKKPIISVVLTAHEAIPGATKIVPVEAGPPETGATDPEATAADLAPRR
+GYQEYAIQQTAYEQPMKSSRLGPTQLKIFTCEYCNKVFKFKHSLQAHLRIHTKEKPYKCS
+QCSYASAIKANLNVHLRKHTGEKFACDCCSFTCLSKGHLKVHVERVHKKIKQHCRFCKKK
+YSDVKNLIKHIRDAHDPQDKPVQEALDELCLMTREGKRQLLYDCHICERKFKNELDRDRH
+MLVHGDKWPFACELCGHGATKYQALELHVRKHPFVYVCALCPKKFVSSIRLRAHIKEAHG
+AAQETLVFTSSINQSFCLLEPGGDIQQEALGGQLQLAEEEFVFQGVNVPKEAASPGQARP
+AVEPRAPAEVPASPVRLAAAQPNGTAPAPPPSELAASAMSPDLRPHAGLSSEFLMKSDPP
+TAEAPAATPEKTGDTQQGGAAPIQGQDVAPLLSKAKGMEANSEAPGAKSPPAEGQKVAAF
+SCDGPDPSRGLRSNPAQASDPPPGAGGREAALCQPASCTPAPEHRAGLTAFMKILDSLQK
+RRMNTGLCERIRKVYGDLECEYCGKLFWYQVHFDMHVRTHTREHLYYCSQCHYSSITKNC
+LKRHVIQKHSNILLKCPTDGCDYSTPDKYKLQAHLKVHTELDKRSYSCPVCEKSFSEDRL
+IKSHIKTNHPEVSMSTISEVLGRRVQLKGLIGKRAMKCPYCDFYFMKNGSDLQRHIWAHE
+GVKPFKCSLCEYATRSKSNLKAHMNRHSTEKTHLCDMCGKKFKSKGTLKSHKLLHTADGK
+QFKCTVCDYTAAQKPQLLRHMEQHASFKPFRCAHCHYSCNISGSLKRHYNRKHPNEEYSN
+VGTGELAADALIQQGGLKCPVCSFVYGTKWEFNRHLKNKHGLKLVESEGDPKWEVTDEEP
+SSNHTVMIQETLQQASVELAEQHHLVVSSDDVEGIETVTVYTQGGEASEFIVYVQEAVQP
+VEEQASGPPAPEL
+>tr|A0A3Q1N9U0|A0A3Q1N9U0_BOVIN Olfactory receptor OS=Bos taurus OX=9913 PE=3 SV=1
+MEAGNHTSVTEFILLGLTEDPTLRVLFFVVFLGIYVVTLIGNISIITLIRSFSHLHTPMY
+LFLSHLAFVDIGYSTSVTPIMLIGFLRHRLPLLVAGCEAQLCSVVMFGTAECFLLAAMAY
+DRYVAICLPLLYSTHMSPRVYVDLVGVSYLGGCVNACTFASCLLTLSFCGPNQIDHFFCD
+FSPLLKLSCSDVSIIEIIPSISSGSIIAVTVSVIALSYICILNTILKMHSTEGRHKAFST
+CTSHLTAVTLYYGTITFVYVMPKSSYSTEQNRVVSVFYTVVIPMLNPLIYSLRNRDVKEA
+LRKASVRIYS
+>tr|A0A3Q1M1E1|A0A3Q1M1E1_BOVIN Transcription factor AP-2-alpha OS=Bos taurus OX=9913 GN=TFAP2A PE=4 SV=1
+MSILAKMGDWQDRHDGASNGTARLPQLGTVGQSPYTSAPPLSHTPNADFQPPYFPPPYQP
+IYPQSQDPYSHVNDPYSLNPLHAQPQPQHPGWPGQRQSQESGLLHTHRGLPHQLSGLDPR
+RDYRRHEDLLHGPHGLGSGLGDLPIHSLPHAIEDVPHVEDPGINIPDQTVIKKGPVSLSK
+SNSNAVSAIPINKDNLFGGVVNPNEVFCSVPGRLSLLSSTSKYKVTVAEVQRRLSPPECL
+NASLLGGVLRRAKSKNGGRSLREKLDKIGLNLPAGRRKAANVTLLTSLVEGEAVHLARDF
+GYVCETEFPAKAVAEFLNRQHSDPNEQVTRKNMLLATKQICKEFTDLLAQDRSPLGNSRP
+NPILEPGIQSCLTHFNLISHGFGSPAVCAAVTALQNYLTEALKAMDKMYLSNNPNSHTDN
+NAKSSDKEEKHRK
+>tr|E9QB09|E9QB09_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=WC1-8 PE=4 SV=3
+TLVICHVILLRLVDGGSHCAGRVEILNQGSWGTICDYSWDLDDARVVCRQLGCGKALDAT
+LSSSFGAGSGPIWLDNVRCTGKESHVWRCPSRDWGKHYCDHSQDAGVICSGFLRLAGGDG
+PCSGRVEVHSGEAWIPVSDGNFTLPTAQVICAELGCGKAVSVLGHVPFRESNARVWAEEF
+RCEGEEPELRVCPRVPCPGGTCHHSGAVQVVCSVYTDVRLMTNGSSQCEGQVEMNIFGQW
+RALCASHWSLANANVVCRQLGCGVAVSTPNRAEGSDQLWKARFHCSGTESFLWTCPVTTL
+GVPDCSHGNTASVMCSGNQTQVLPQCDHFVSEPAGSAASEERAPYCSDSRQLRLVDGGSH
+CAGRVEILDQGSWGTICDDGWDLDDARVVCRQLGCGEALNATGSAHFGAGSGPIWLDDLN
+CAGNESQVWTCPSPRGWGRHDCRHKEDAGVICSEFLALRMVSEDQQCAGWLEVFYNGTWG
+SVCRSPMEDITVSVICRQLGCGDSGSLNTSVGLREGSRPQWVDLIQCRKMDTSLWQCPSG
+PWKYSSCSPKEEAYISCAGRRPKSCPTAAPCTDREKLRLRGGDSKCSGRVEVWHSGSWGT
+VCDDSWSLAEAEVVCQQLGCGQALEAVQSAAFGPGNGSIWLDEVRCGGRESSLWDCAAEP
+WGQSDCKHEEDAGVRCSGVRTTVPTTTAGTRTTSNSLPGIFSLPGILCVILGALLFLVLV
+ILMTQLLRWRAERRALSSYEDALAEAVYEELDYLLTQKEGLGSPDQRTDVPAENYDDAEE
+VPVPGTPSASQGNEEEVPPEKEDGVRSSQTGSYLNFSREAADPGEGEESLWLFQGKNEDA
+GYDDVELSALGTSPVTFCDAVLTRR
+>tr|E1BIG4|E1BIG4_BOVIN Stereocilin OS=Bos taurus OX=9913 GN=STRC PE=4 SV=2
+MALSLRPLLLLSCAVTLVPTGSQSLDSGLSLLKSALSALDQAPQGSFSRSRFSAFLANIS
+SSFEPGRMGEGPVGEPPPLQPPALRLHDFLVTLRGSPDWEPMLGLLGDVLALLGQEQTPR
+DFLGHQAGVLSGLAEVLLGALVPGGPPIPTRPPCTRDGPSDCVLAADWLPSLLLLLEGTR
+WQALVRVQPSVDPANATGLDGREPAPHLLQGLLGLLAPVGELGSEEALWGGLLRTVGAPL
+YATFQEGLLRITDSLQDEVFSILGQPEPDANGQCQGGEGIRHNLSWDVRALGFLSGSPPP
+PPALLHCLSTGVPLPRTSQPSAYISPRQRRAISVEALCENLSGPAPPYSISNFSIHLLCQ
+HAKPVTPQPPPSTAAICQTAVWYAVSWAPGAQGWLQACHDQFPEQFLDAICGNLSFSTLS
+GPNRRLVKRLCAGLLPPPTSCPEGLPPVPLTPEIFWGCFLENETLWAERLCGEASLQAVP
+PSNQAWVQHVCQGPTPDVTAFPPCYIGPCGERCPDGGSFLLMVCANDTMYEALVPSWPWL
+AGQCRISRGGNDTCFLEGLLGPLLPSLPPLGPSPLCLAPGPFLLGMLSQLPRCQSSVPAL
+AHPTRLHYLLRLLTFLLGPGAGGNEAQGMLGQALMLSSLPDNCSFWDAFRPEGRRSVLRT
+VGEFLEQEEQPTPGFNPTDSSSPALSKMELLACFSPVLWDLLQREKSVWALQILVQAYLH
+MPPENLQQLVLSAEREAAQGFLTLMHRSWAQLQSTWNQAGSRLQALGRLTLFIDLSPLIP
+FLAVSDLMRFPPSLLANDSVLAAIRDYSPGMRPEQKEALARRLLAPELFGEVPAWPQELL
+WAALPLLPHLPLENFLQLSPHQIQALEDSWPAAGLGPGHARHVLRSLVNQSVQDGEEQVR
+RLGPLACFLTPEELQSLVPLNDPMGPVERGLLECAANGTLSPQGRVAYELLGVLRSSGGA
+VLSPRELRVWAPLFPQLGLRFLQELSEPQLRAMLPALQGARVTPAQAVLLLERLLPRRDL
+SLEELCSLHPLLPGLSSQTLQAIPRRVLLRACPCLAPELARLSACQTAALLQTFRVGEQQ
+GDSRPGRRALPIPTIWPDCLLPLLPLKLLQLDAAALLADRRRYRDLPWSEQQVILPTTCA
+YSHTYRALGTLAGGMSCEFLQQINWMADFLEVVHMIYQLPTGVRGSLRTCIWAELQRRMA
+MPEPELATLGPELSGLDTKLLLDLPVQLMDRLSNESIMLVVELVRGAPEQLLALTPLHRA
+ALAERALQNLAPKETTVSREVLETLGPLVGFLGIESTRRIPLQILLAHLSQLQGFCLGGL
+FATELGWLLLQEPVLGYGPPASPDSNSSSPTLSTTLLSGSPFSMPEEALGPETLERLLEK
+QQSWEQSRAGQLCAGPQLAPKKAALVAGLVRPAAENLPEPVPNCADVRGTFPSAWSAAQI
+ADMELLDFEDCLALFAGDPGLGPEKLRAAMGKAKQLWGPPRGFRPEQILQLGRLLIGLGE
+RELQELSLVDWGVLSTLGQIDGWSSSQLRVVVSSFLRQSGRHVSHLDFLHLTALGYALCG
+LRPEELQHISSWEFSQAALFLGNLHLPCSEEQLEILAQLLVLPGGFGPVSNWGPEIFTEI
+GTIAAGIPDLALSALLRGQIQGLTPLAISVIPAPKFAVVFSPTQLSSLTSVQAVAVTPEQ
+MAFLSPEQRRAVAWAQYEGMESLEQEGRSTAWGLQDWSQPSWALALTICFLGNLL
+>tr|A0A3Q1NBH3|A0A3Q1NBH3_BOVIN Basic leucine zipper ATF-like transcription factor 3 OS=Bos taurus OX=9913 GN=BATF3 PE=4 SV=1
+MASAPSTWGHCSPADGAASAAVTATNTSPEDDDRKVRRREKNRVAAQRSRKKQTQKADKL
+HEEYECLEQENTVLRREIGKLTEELKHLSETLKEHEKVCPLLLCPLNFVPLPRPDPVAGC
+LPR
+>tr|A0A3Q1ME72|A0A3Q1ME72_BOVIN DOP1 leucine zipper like protein B OS=Bos taurus OX=9913 GN=DOP1B PE=4 SV=1
+MCYTLVICWFKNGFLKNTDILFLIYSFIHLAIFFIIAGPQVVENLFLEVIRAFYSYCRDA
+LGSDLKLSYTQSGSSVISAIKENRNASEIVKTVNLLITSLSSDFLWDYMTRCFEDCFRPV
+KRSPSVGRSIGPPPTVSELCTLLVFLLDVIPLELYSEVQTQYLPQVLGCLLQPLAEEMEM
+LSLPELTHALRTCFKVLSKVQMPPSYLDTEPTSGSLSPVRGENTEVISDTDAMPAGDEDA
+PFPPLKSEDSGIGLSASSPELSEHLRVPRVSPDKDDIWKKGGSMQRTFLCIQELIANFAH
+KNVFGAQLTASGEDTKSVEEPAGPRDEGGKGSWEARHLGGVPQFKQMLSDLFMARGSPFK
+ARSVEPPSPLPRSPGRKKDEGWAVGQVVLDLGGSQEGVREAFAAACHLLLDCATFPVYLS
+EEEMEQLCEVLFQPPGAGDSSFPPWLKSLMTICCCVTDCYLQNVAVSTLLEVINHSQSLA
+LVIEDKMKRYKSSGHNPFFGKLQMVTVPPIAPGILKVIAEKTDFYQRVARVLWNQLNKET
+REHHITCVELFYRLHCLAPTANICEDIICHALLNPDKGTRLEALFRFSVIWHLTREIQGS
+RVTSHNRSFDRSLFVVLDSLGSSDGAIGAAAQGWLVRALSLGDAARILEPVLLLLLQPKT
+QRTAIHCLTRENSAEDWHRWLNRKQASFGEAWDAPELPEGGCEDGAPLSQLTTVDREAIW
+AEVETEPETRLVGGEPREHDRPTRLEDLLDGPAHATVEDGSERTESADTSSGATDSSENT
+SSVSSPAHYPQELSGGEQCCAPLAAAARAPSPQVGSPTCLVRADSDRTQASESPPSSDEE
+AAAELQALTAARLRKQQRERQARLEALFAHILLYLQPYDSRRVLYAFSVLEAVLKTNPRE
+FIEAVSRTSVDTSATAHLNLISNLLARHQEALGGQSFYGKLSSQPPSACPHSLLIELLTY
+LCLSFLRSYYPCSLRVSHRDILGNRDVQVKSVEVLIRVMTELVSVAKAAEGKNVEFIQGL
+LQRCRVQEFVLLSLSASMYTSQKRYGLATATPGGALREEGVLEEHVINLGQDQIWSEHPL
+QIELLKLLQVLIVLEHHLGQAPEEAEQLPDLPREWRRALNFQQAIRALQYVWPHPLTSQG
+LLVAAVVKGLQPAYGYGMHPAWVSLVTHSLPYFGRSLGWTVTPFLVQICKNLDELVKQYE
+SESAKLSFSITSKRENISPDYPLTLLEGLTTISHFCLLEQPNQSKKTAAVTDPTNLKNAK
+NAILEELPRIVNTMALLWNVLRKEETQKRPVDLLGATKGSSSVYFKTTKKSPLVDVPVLQ
+FCYAFIQRLPATALQENFPSLLGVLKESVQLNLAPPGYFLLLSMLNDFVTRTPNLESKKD
+QKDLQEVTQKILEAVGNIAGSSLEQTSWLSRNLEVKAQPQISLEESDAEEDLHDVAATAS
+AMVSASAPSVYSVQALSLLAEVLASLLDMVYRSDEKEKAVPLISRLLHYVFPYLRNHSAY
+NAPSFRAGAQLLSSLSGYAYTKRAWKKEALELFLDPAFFQMDTACVHWKSIIDHLLTHEK
+TMFKDLMSMQSSSLKLFSSFEQKAMLLKRQAFAVFSGELDQYHLYLPLIQERLTDNLRVG
+QTSSVAAQMFLFFRVLLLRISPQHLTSLWPIMVSELIQAFIQLEEELKKDNESLRNSNKI
+NRMKVAASDGNGPSVGEIPQSELVLCLSACKFLDTALSFPPDKMPLFQIYRWAFVPEVDT
+ESPAFSDLEEDHQECKPHTVRILELLKLKYGEISSSDEIALKSEFPLLRQHSLSSVRQLM
+PFFETLNCAFKTQSRLSTDTQGPPPLMEFPVADNPRVLKQLEECVEHDFLEHLEC
+>tr|A0A3Q1MWH7|A0A3Q1MWH7_BOVIN Zinc finger and BTB domain containing 47 OS=Bos taurus OX=9913 GN=ZBTB47 PE=4 SV=1
+MLLVEKTTDSPAAEFSLVEDVALHFACLMGRLNEQRLFQPDLCDVDLVLVPQRSVFPAHK
+GVLAAYSQFFHSLFTQNKQLQRVELSLEALAPGGLQQILNFIYTSKLLVNAANVHEVLSA
+ASLLQMADIAASCQELLDARSLGPPGPGAVALAQPAAGCTPAAPTYYCDIKQEADAPGLP
+KIYAREGPDPYSVRVEDGAGATGGTVPAALGPAQPFFKEEKEGGVEEAGGPPASLCKLEG
+GEELEEELGGSGTYSRREQSQIIVEVNLNNQTLHVSTGPEGKPGAATGPATMVLGREDGL
+QRHSEEEEDDEEEEEEEDEEEEEGGGSGAEEEEEDEEGGSQGEDEEDEEEEGHSEQEEEE
+EEEEEGHSEQDQESSEEEEDEEDGEAGGRQGGLGRRSSRAEPPAHSRMATRSARRRGPPE
+PEEAGRRGGKRPKPPAGVAPAPGSRGPQAADGLGAKVKLEEKQHHPCQKCPRVFNNRWYL
+EKHMNVTHSRMQICDQCGKRFLLESELLLHRQTDCERNIQCVTCGKAFKKLWSLHEHNKI
+VHGYAEKKFSCEICEKKFYTMAHVRKHMVAHTKDMPFTCETCGKSFKRSMSLKVHSLQHS
+GEKPFRCENCNERFQYKYQLRSHMSIHIGHKQFMCQWCGKDFNMKQYFDEHMKTHTGTAV
+QR
+>tr|F1MNJ2|F1MNJ2_BOVIN Signal recognition particle receptor subunit alpha OS=Bos taurus OX=9913 GN=SRPRA PE=4 SV=1
+MLDFFTIFSKGGLVLWCFQGVSDSCTGPVNALIRSVLLQERGGNNSFTHEALTLKYKLDN
+QFELVFVVGFQKILTLTYVDKLIDDVHRLFRDKYRTEIQQQSALSLLNGTFDFQNDFLRL
+LREAEESSKIRAPTTMKKFEDSEKAKKPVRSMIETRGEKPKEKAKNNKKNKGAKKEGSDG
+PLATSKAAPAEKSGLPVGPENGEELSKEEQIRRKREEFIQKHGRGMEKSSKSSKSDAPKE
+KGKKAPRVWALGGSANKEVLDYSTPTTNGAPEAAPPEDINLIRGTGPGRQLQDLDCSSSD
+DEGAAQNSTKPSATKGTLGGMFGMLKGLVGSKSLTREDMESVLDKMRDHLIAKNVAADIA
+VQLCESVANKLEGKVMGTFSTVTSTVKQALQESLVQILQPQRRVDMLRDIMDAQRHQRPY
+VVTFCGVNGVGKSTNLAKISFWLLENGFSVLIAACDTFRAGAVEQLRTHTRRLSALHPPE
+KHGGRTMVQLFEKGYGKDAAGIAMEAIAFARNQGFDVVLVDTAGRMQDNAPLMTALAKLI
+TVNTPDLVLFVGEALVGNEAVDQLVKFNRALADHSMAQTPRLIDGIVLTKFDTIDDKV
+>tr|E1BJU7|E1BJU7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=3
+LSSSMILSLELSVEISTIEKQRKELQLLIGELKDRDRELNDMVAVHQRQLLSWEEDRQKV
+LTLEERCSKLEGELHKRTEIIKSLTKKVKSLESNQIECQSTLQKTQLQLQEMAQKATHSS
+LLSEDLEARNENLSNTLVELSAQVGQLQAREQALTTMIKLKDKDIIEAVNHIADCSGKFK
+LLEHALRDAKMKLKALKIEVNKLKEDLNEKTTENNEQREEIIRLKQEKSYLHDELVFTAE
+REKRKDELLDIAKSKQERMNAELHNLRQIYVKQQRDLQFLNFNVENSQELIQIYDSKMEE
+SKGMESR
+>tr|A0A3Q1M2L7|A0A3Q1M2L7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=TEX46 PE=4 SV=1
+MLGDLMSLFWSLQGILASSGTIGALMAWLISYKPALFGFLFLLLLLSNWLVKHELKRTLQ
+EPQQEEAEQSRTCEAKTNGNSLNTKEVERLHACFALQDKILERLMFSEMKLKVLENQMFI
+VWNRMNHHKQSSRRRTFPGGKHRMRRRESLFSILSDCTSNSP
+>tr|E1BLD5|E1BLD5_BOVIN RAB37, member RAS oncogene family OS=Bos taurus OX=9913 GN=RAB37 PE=4 SV=2
+MDLQRLDSYQGGAGPDFHEHVLHKTILVGDSGVGKTSLLVQFDQGKFVPGSFSATVGIGF
+TNKVVTVDGVRVKLQIWDTAGQERFRSVTHAYYRDAQALLLLYDITNKSSFDNIRAWLTE
+IHEYAQRDVVIMLLGNKADVSTERVIRSEDGEMLAREYGVPFMETSAKTGMNVELAFLAI
+AKELKYRARRQADEPSFQIRDYVESQKKRPSCCSFL
+>tr|G3MXZ8|G3MXZ8_BOVIN WNK lysine deficient protein kinase 2 OS=Bos taurus OX=9913 GN=WNK2 PE=4 SV=2
+MDDDGGRRNAPGALMEAGRGAGPAGMAEPAALPRVRAARPGPQRFLRRSVVESDQEEPPG
+LEAAEAPGAQPPQPLQRRVLLLCKTRRLIAERARGRPAAPLPAAPAAPPSNLADPGLEPA
+GAQEPGPDPAPAPVPAPDGGPREEIAATRKEDAGAPEARPELGRARREEPEEEEDDEDDL
+KAVATSLDGRFLKFDIELGRGSFKTVYKGLDTETWVEVAWCELQDRKLTKLERQRFKEEA
+EMLKGLQHPNIVRFYDFWESSARGKRCIVLVTELMTSGTLKTYLKRFKVMKPKVLRSWCR
+QILKGLLFLHTRTPPIIHRDLKCDNIFITGPTGSVKIGDLGLATLKRASFAKSVIGTPEF
+MAPEMYEEHYDESVDVYAFGMCMLEMATSEYPYSECQNAAQIYRKVTCGIKPASFEKVHD
+PEIKEIIGECICKNKEERYEIKDLLSHAFFAEDTGVRVELAEEDHGRKSAIALRLWVEDP
+KKLKGKPKDSGAIEFTFDLERETPEDVAQEMIESGFFHESDVKIVAKSIRDRVALIQWRR
+KRIWPALQPQEQRDLGSPDKARGPPTPLQVQVTYHSQAGLPESEEPEADQHLLTPALPAS
+ATSLASDSTFDSGQGSTVYSDSQSSQQSVVLGSLADGAPPASQCVCSPPVSVSDGPVLPH
+SLPSLGAYQQPATPGLSVGSVPPPARPPLPQQPFPEPAMSFAPVLPPPSAPVPTGPGQPT
+APAHQPSLLAQPVPLPQVLAPQPVGPLQPAPSHLPPYLAPASQVVAPAQLKPLQMPPAPL
+QPLAQIPPQMPPLPVVPPIAPLATVDSLPTALPDLPATSGPTVPPPSQYFPPAVILPSLP
+LSATPAPLPASPALPLQTVKLPHPAGAPLAVPCQTIVPNVAATATAIPLLAVAPQGVAAL
+SIHPTVAQLPAQPVYPAAFPQMVPSDVPPSPLHAAQTVQAAAPQPTPPVLPQPHQPSVAR
+LPEQAAPAASAGSQILLGHPPTYAVDVTTQVATVPAPAAILSPPLPEVLLPPVPELLPQL
+PGSLAPTVIAAPQSVPVQTAALLPPANLPLPSGPGIPGPCPTVQLTVEPAPEEQASQDKQ
+PSLPQSCESYGGSDVPSGREMSDSCEGAFGGGKLEGKAARKHHRRSTRVRSRQERASRPR
+LTILNVCNTGDKMVECQLETHNHKMVTFKFDLDGDAPDEIATYMVEHDFILQAERETFIE
+QMKDVMDKAEDMLSEDTDVDRASDPGVSPPHLSTCGLGAGEENRQSQANAPVYQQNVLHT
+GKRWFIICPVAEHPAVEAPESSPPLPLSSLQPDTSQDSAPSKDQLSSKEKPSFSTDLQLL
+SQAGPRDLPGGAPAPLVPSSPPVTALSQDMAPPAASPKLEPATGPAMQAGGPGTPQGPAS
+EHKTPWPLMETLDAQLAAQSPGMGRGPCAPPLEAPLHPMGLGDPALAREPITKGEPLSAL
+APTSGAPGGASQPTVSQPLPPLPTVVGAISLATPQLPSPPLAPTAPPQPPSTLESDGEGP
+PPRVGFVDSTIKSLDEKLRTLLYQEHVPTSSASAGTPVEAGDRDFTLEPPRGDEPRTEAS
+GGSLGLLPQPALEKSEVAPGLEVTLEQSVSLSVTAESSLSHTLGREGAQVASDSRTAPRA
+PQDVPASAIPAHLEPTDRTSRVPGETLAESMQSCLGMLIEGGQDSQPQPHSTLVSGSPLK
+WPGQQDGSSPAKTVGRFSVVSTQDEWTLASPNSLRYSAPPDVYLDEAPCSPDMKLAVRRA
+QTASSIEVGMEEPLSSDSGDESPRRRPSVQKHASLPSSSGGVASDFVKKAAAFLQRSSRA
+GSPCLETPSRMGVKVPTISVTSFHSQSSYISSDNDSEIEDADIRKELQSLREKHLKEISE
+LQSQQKQEIEALYRRLGKPLPPNLGLFHTAPPIGRRRKTSKSKLKAGKLLSPLVQQLKVV
+ASSTGHLSDCSRAPPAKDPAQAGAGPTAASDPCGKAVQTQQPCSVRASLSADICSGLASD
+GGGAHGPGWTVYHPTSERVTYKSSSKPRARFLSGPVSVSIWSALKRLCLGKEHSSRSSTS
+SLVPGPEPGPQPALHIQAQVNNSNNKKGTFTDDLHKLVDEWTSKTVGATPLKPSLNQLKQ
+TQKLHDMEATAGWPLASGEARAMNTSRTAMGMPCLAPVPSPVPTVVIPGAASALPVPMPG
+TALSCLPAPPLGQYGSLIPAPVSWGPWAAQAGSRSLNAGPSLGAADAPALPVFFRPTVVS
+MPGPRLQIM
+>tr|A0A3Q1LT84|A0A3Q1LT84_BOVIN Poly [ADP-ribose] polymerase OS=Bos taurus OX=9913 GN=PARP16 PE=4 SV=1
+MQPAGWAAVREAAGRDVLAADLRCSFFASALRSYKRDSVLRPFPASYARHDCKDFEALLA
+DASKLPNLKELLQSSGEKDPWAWDLMSWILSSKVLTIHSAGKSEFEKIQKLTGTPHSPVP
+VPDFLFEIEYSDPANAKFYETKGERDLIYAFHGSRLENFHSIIHNGLHCHLNKTSLFGEG
+TYLTSDLSLALIYSPHGHGWQHSLLGPILSCVAVCEVIDHPDVKCQTKKKDSKEIDRRRA
+RIKHSEGGDVPPKYFVVTNNQLLRVKYLLVYSQKQPNRASSQLSWVSSHWFMVMITLYLL
+LLLTVKKLRTPHFLVKRWTKYPK
+>tr|F1MEG5|F1MEG5_BOVIN Keratinocyte-associated protein 3 OS=Bos taurus OX=9913 GN=KRTCAP3 PE=4 SV=1
+MRCRRLWAFDAARGPRRLMRVGLALILVGHVNLLLGAVLHGTVLRHVANPRGAVTPEYTT
+ANVISVGSGLLSVSLGLVALLASRNLFRPRLHWALLALALVNLLLSAACSLGLLLAVSLT
+VANGGRRLIADCHPGLLDPLVPLDQGSGHADCPFDPTKIYDTALALWIPSVFMSAAEAAL
+SGYCCVAALTLRGVGPCRKDGLQEQLEELTELEFPKRKWQENVQLLDQTREIRTSQKSWV
+>tr|F6Q7Q6|F6Q7Q6_BOVIN Solute carrier family 17 member 9 OS=Bos taurus OX=9913 GN=SLC17A9 PE=4 SV=1
+MQPPPDETRRDAAEDTQWSRPECQVWTGTLLLGTCLLYCARVSMPVCAASMSQDFGWNKK
+EAGVVLSSFFWGYCLTQVVGGHLGDRIGGEKVILLSASAWGFITVATPLLAHLGSAHLAF
+MTFSRILTGLLQGVYFPALTSLLSQKVRESERAFTYSTVGAGSQFGTLVTGAVGSLLLDW
+YGWPSVFYFSGGLTLLWVGYVYRCLLSERDLILALGILAQGLPVSRHTKVPWRQLFRKPS
+VWAAIISQLSAACSFFILLSWLPTFFKETFPSSKGWVFNVVPWLVAIPASLLSGLLSDHL
+INQGYRTITVRKFMQVMGLGLSSVFALCLGHTSSFCNSVVFASASIGLQTFNHSGISVNI
+QDLAPSCAGFLFGVANTAGALAGVVGVCLGGYLIETTGSWTSVFNLVATISSLGLCTFLV
+FGKAQRVDLSPAHEDL
+>tr|F1MSJ0|F1MSJ0_BOVIN Two pore segment channel 1 OS=Bos taurus OX=9913 GN=TPCN1 PE=4 SV=2
+MAVSLDDDVPLILTLDEGGSAPLAPSNGLGPDDLPSRTEGESSTAGPPGTSPSGPFLSCA
+WILHGLSSQGPPPTCLNGPSKTQIRADGGKYTVHDSQAPSLSLEGENSPSSPTEHDWEMN
+YQEAAIYLQEGENNDKFFTHPKNAKALAAYLFAHNHLFYLMELSAALLLLLLSLCEAPAV
+PALRLGIYVHATLELFALVVVVFELCMKLRWLGLHTFIRHRRTMVKTSVLVVQFVEAIVV
+LVRQTSHMRVTRALRCIFLVDCRYCGGVRRNLRQMFQSLPPFMDILLLLLFFMIIFAILG
+FYLFSPNPSDPYFSTLENSIISLFVLLTTANFPDVMMPSYSRNPWSCVFFIVYLSIELYF
+IMNLLLAVVFDTFNDIEKRKFKSLLLHKRTAIQHAYRLLVSQRRPAGISYRQFEGLMRFY
+KPRMSAGERYLTFKALNQSNTPLLSLKDFHDIYEVAALKWKAKRNREHWFDELPRTAFLI
+FKGINILVKSKAFQYFMYLVVAVNGVWILVETFMLKGGNFVSRHVPWSHLVFLTIYAVEL
+FLKVAGLGPIEYLSSGWNLFDFSVTAFAFLGLLALAFNMEPFYFIVVLRPLQLLRLFKLK
+KRYRNVLDTMFELLPRMASLGLTLLIFYYSFAIVGMEFFYGILYPNCCNTSTVADAYRWL
+NHSVGNRTVVEEGYYYLNNFDNILNSFVTLFELTVVNNWYIIMEGVTSQTSHWSRLYFMT
+FYIVTMVVMTIIVAFILEAFVFRMNYSRKNQDSEVDDGITLEKELSKDELVAVLKLYREA
+GGANSDIAQLLKILSQLERYEQNTLVFLGRRSRTKSDLSLKMYQEEIQEWYEEHARKQEE
+RQLSSCVVPATQQPPGSRQRSQTIT
+>tr|A0A3Q1MNL9|A0A3Q1MNL9_BOVIN Transforming growth factor-beta-induced protein ig-h3 OS=Bos taurus OX=9913 GN=TGFBI PE=4 SV=1
+MHICPNVCAVQKLIGTNKKYFTNCKQWYQRKICGKSTVISYECCPGYEKVPGEKGCPAAL
+PLSNLYETLGVVGATTTQLYTDRTEKLRPEMEGPGSFTIFAPSNEAWSSLPAEVLDSLVS
+NVNIELLNALRYHMVDRRVLTDELKHGMALTSMYQNSNIQIHHYPNGIVTVNCARLLKAD
+HHATNGVVHLIDKVISTVTNNIQQIIEIEDTFETLRAAVAASGLNTLLEGDGQYTLLAPT
+NEAFEKIPAETLNRILGDPEALRDLLNNHILKSAMCAEAIVAGLSLETLEGTTLEVGCSG
+DMLTINGKPIISNKDVLATNGVIHFIDELLIPDSAKTLFELAADSDVSTAIDLFRQAGLS
+SHLSGNERLTLLAPMNSVFKDGTPPINARTKNLLLNHMIKDQLASKYLYHGQTLDTLGGR
+KLRVFVYRNSLCIENSCIAAHDKRGRYGTLFTMDRMLTPPMGTVMDVLKGDNRFSMLVAA
+IQSAGLTETLNREGVYTVFAPTNEAFQALPRGELNKLMGNAKELANILKYHVGDEILVSG
+GIGALVRLKSLQGDKLEVSSKNNVVSVNKEPVAEVDIMATNGVVHAISSVLQPPANRPQE
+RGDELADSALEIFKQASAFSRATQSSVKLAPVYQRLLERMKH
+>tr|A0A3Q1LLI4|A0A3Q1LLI4_BOVIN Ubinuclein-2 OS=Bos taurus OX=9913 GN=UBN2 PE=4 SV=1
+MAEPRRVAFISLSPVRRREAEFPGTEREPEYPREPPRLEPQPYREPARTEPPAPREVAPR
+SDAQPPPREKPLPQREVSRAEPPMSLQREPPRPEPPPPPLPQLHLQPPPPRESTSRAEPQ
+PRPPRETVRLELVLKDPTDESCVEFSYPELLLCGEQRKKPVYTEDPFNDDHQERQEVEML
+AKKFEMKYGGKPRKHRRDRLQDLIDIGFGYDETDPFIDNSEAYDELVPASLTTKYGGFYI
+NTGTLQFRQASDTEEDDTTDNQKHKPPKIPKIKEDDIEMKKRKRKEEGEKEKKPRKKVPK
+QLGVMALNSHKSEKKKKRYKDSLSLAAMIRKFQKEKDALKKESNPKTPLNFSTSSLNKPP
+SAAVALGNDVSDLNLTSADPDLPIFVSTNEHELFQEAENALEMLDDFDFDRLLDAASNGS
+PLSESGGENGNTTQPTYASQVMPKVVPTLPEGLPVLLEKRIEDLRVAAKLFDEEGRKKFF
+TQDMNNILLDIELQLQELGPVIRSGVYSHLEAFVPCNKETLVKRLKKLHLNVQDDRLREP
+LQKLKLAVSNVMPEQLFKYQEDCQARNQAKCAKFQTDEEREKNGSEEDDEEKPGKRVIGP
+RKKFHWDDTIRTLLCNLVEIKLGCYELEPNKSQSAEDYLKSFMETEVKPLWPKGWMQARM
+LFKESRSVHNHLTSAPAKKKVIPAPKPKVKECSPKKDQKTPASSVASVGGPSTSSSTSAV
+ASTSSGSTPVQETICLDDSLDEELSFHPPALDLVSEALAVINNGNKGPPAGSRISMPTAK
+PRPGLREEKLASIMSKLPLATPKKLDSTQTAHSSSLIAGHTGPVPKKPQDLAHTGISSGL
+IAGSSIQNPKVSLEPLPARLLQQGLQRSSQIHASSSSQTHVSSSSQAQVAASSHTLGTSE
+AQDASPLTQVTKVHQHSAVQQNYVSPLQATISKSQTNPVVKLSNNPQLSCSSPLTKTSDK
+PLMYRLPLSTPPPGNGSQGSHSLVSRTVPSTTTSTNYLAKAMVSQISTQGFKSPFSMAAS
+PKLASSPKPATSPKPLPSPKPSASPKPSQSAKPSVSTKLISKSNPTPKPTVSPSSSSPNA
+LVAQSSHSSSNNPVHKQPSGMNISRQSPTLNLLPLNRTSGLPSTKNLQAPSKLTNSPSTG
+TVGKNSLSGIAMNVPASRGSNLNSSGANRTSLSGGTGSGTQGATKPLSTPHRPSSASGSS
+VVAASVQSTAGASLLANASPLTLMTSPLSVTNQNVTPFGMLGGLVPVTMPFQFPLELLGF
+GTDTAGVTTTSGSTSAAFHHSLTQNLLKGLQPGAQHAAALSHAPLPTHVQQTFNGKRAPR
+FFLYLIFIQIYQYIKSFLKTM
+>tr|A0A3Q1MRW2|A0A3Q1MRW2_BOVIN Myosin IE OS=Bos taurus OX=9913 GN=MYO1E PE=3 SV=1
+MVLLSKITENAIVENLKKRYMDDYIFTYIGSVLISVNPFKQMPYFGEKEIEMYQGAAQYE
+NPPHIYALADNMYRNMIIDRENQCVIISGESGAGKTVAAKYIMSYISRVSGGGPKVQHVK
+DIILQSNPLLEAFGNAKTVRNNNSSRFGKYFEIQFSPGGEPDGGKISNFLLEKSRVVMRN
+PGERSFHIFYQLIEGASPEQKHGLGITTMDYYYYLSLSGSYTVDGIDDKKDFQETLHAMN
+VIGIFAEEQALVLQIVAGILHLGNISFKEVGNYAAVESEEFLAFPAYLLGINQDRLKEKL
+TSRQMDSKWGGKSESINVTLNVEQACYTRDALAKALHSRVFDFLVDSINKAMEKDHQEYN
+IGVLDIYGFEIFQKNGFEQFCINFVNEKLQQIFIELTLKAEQEEYVQEGIRWTPIDYFNN
+KVVCDLIENKVNPPGIMSILDDVCATMHAVGEGADQTLLQKLQMQIGNHEHFNSWNQGFI
+IHHYAGKVSYDMDGFCERNRDVLFMDLIELMQSSEQPFIKSLFPENLQADKKGRPTTAGS
+KIKKQANDLVSTLMKCTPHYIRCIKPNETKKPKDWEESRVKHQVEYLGLKENIRVRRAGY
+AYRRVFQKFLQRYAILTKATWPSWKGDEKQGVLHLLQSVNMDSDQFQLGKSKVFIKAPES
+LFLLEEMRERKYDGYARVIQKSWRKFVARKKYVQMREEASNLLLNKKERRRNSINRNFIG
+DYIGMEEHPELQQFVGKREKIDFADTVTKYDRRFKSVKRDLLLTPKCLYLIGREKVKQGP
+DKGLVKEVLKRRIEMERILSVSLSTMQDDLFILHEQEYDSLLESVFKTEFLSLLAKRYEE
+KTQKQLPLKFSNTLEIKLKKENWGPWSAGGSRQVQFHQGFGDLAILKPSNKVLQVSIGPG
+LPRNSRPTRRNTIQSRDYSSRTHNANYPMRAAPLPPGLHQNGVIKNQFVPYPYAAGNQRS
+NQKSLYTSMARPPLPQQQSIGSDRASQALESLDFLKVPDQGAAGARRQTTSRPPPAGGRP
+KPQPKPKPQVPQCKALYAYDAQDTDELSFNANDIIDIIKEDPSGWWTGRLRGKQGLFPNN
+YVTKI
+>tr|A0A3Q1NC70|A0A3Q1NC70_BOVIN ELAV-like protein OS=Bos taurus OX=9913 GN=ELAVL4 PE=3 SV=1
+MEWNGLKMIISTMEPQVSNGPTSNTSNGPSSNNRNCPSPMQTGAATDDSKTNLIVNYLPQ
+NMTQEEFRSLFGSIGEIESCKLVRDKITGQSLGYGFVNYIDPKDAEKAINTLNGLRLQTK
+TIKVSYARPSSASIRDANLYVSGLPKTMTQKELEQLFSQYGRIITSRILVDQVTGVSRGV
+GFIRFDKRIEAEEAIKGLNGQKPSGATEPITVKFANNPSQKSSQALLSQLYQSPNRRYPG
+PLHHQAQRFRLDNLLNMAYGVKRFSPITIDGMTSLVGMNIPGHTGTGWCIFVYNLSPDSD
+ESVLWQLFGPFGAVNNVKVIRDFNTNKCKGFGFVTMTNYDEAAMAIASLNGYRLGDRVLQ
+VSFKTNKAHKS
+>tr|F1MP77|F1MP77_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=3
+MEEPGRLQSMGSLRDVAIDFTQEEWECLDLSQRELYRDVMLENYGNLASLGLVSNLDLVT
+FLEPLKDPRNIRRMGTTVIYPDSNLMKHQEPESSNKDSKSKTFRNTFDKMARFSLDKSTC
+SEERTFREYGKVSNQSSELIQQQTVQNPQKENKCNICGNVFSKASNLSKHRKIHTGRKPF
+KCTDCSKAFNRRSHLTQHQRIHTGEKPYKCTECGKAFPYSSSLTEHQRIHTGERPYKCTE
+CSVAFVSSSHLTYHQRIHTGEKPYKCKECNKAFHSRSLLTKHQRVHSRERPYKCKECNKA
+FIQLFNLRSLLTKHERIHTGERPYKCTECGKAFTYNSHLTQHLRIHTGEKPYKCTECGKA
+FICYSHLTYHQQIHTGERPYKCTECSKAFICYSQLTYHQRIHTGEKPYKCKECNKAFHRL
+SLLTGHQRIHSGERPYKCKECNKAFIQCSNLIRHQRIHTGENLRTSFKFLITSDISHHFY
+VVSPLPFCNYLDTGMVLIRKMIESIVDSFRELLRAVAASASV
+>tr|A0A3Q1M972|A0A3Q1M972_BOVIN Zinc finger and AT-hook domain containing OS=Bos taurus OX=9913 GN=ZFAT PE=4 SV=1
+LQTRLTTGRKNPAIFMCKCCNLFSPSQSELLSHVSEKHAEEGVNADEIIIPLRPLSTPEP
+TNPGKPGDEFSVMKRKRGRPKGSTKKPSPEEELAERSALPGVDGVRAPEEGSSLECSKCC
+RKFSNPRQLRKHICIIVLNLGEAEGDAGNESDLELEKKYKEDDREKAPKRPRAQRAEKIQ
+KVCSGKEAPQMSGAKKPIISVVLTAHEAIPGATKIVPVEAGPPETGATDPEATAADLAPR
+RGYQEYAIQQTAYEQPMKSSRLGPTQLKIFTCEYCNKVFKFKHSLQAHLRIHTKEKPYKC
+SQCSYASAIKANLNVHLRKHTGEKFACDCCSFTCLSKGHLKVHVERVHKKIKQHCRFCKK
+KYSDVKNLIKHIRDAHDPQDKPVQEALDELCLMTREGKRQLLYDCHICERKFKNELDRDR
+HMLVHGDKWPFACELCGHGATKYQALELHVRKHPFVYVCALCPKKFVSSIRLRAHIKEAH
+GAAQETLVFTSSINQSFCLLEPGGDIQQEALGGQLQLAEEEFVFQGVNVPKEAASPGQAR
+PAVEPRAPAEVPASPVRLAAAQPNGTAPAPPPSELAASAMSPDLRPHAGLSSEFLMKSDP
+PTAEAPAATPEKTGDTQQGGAAPIQGQDVAPLLSKAKGMEANSEAPGAKSPPAEGQKVAA
+FSCDGPDPSRGLRSNPAQASDPPPGAGGREAALCQPASCTPAPEHRAGLTAFMKILDSLQ
+KRRMNTGLCERIRKVYGDLECEYCGKLFWYQVHFDMHVRTHTREHLYYCSQCHYSSITKN
+CLKRHVIQKHSNILLKCPTDGCDYSTPDKYKLQAHLKVHTELDKRSYSCPVCEKSFSEDR
+LIKSHIKTNHPEVSMSTISEVLGRRVQLKGLIGKRAMKCPYCDFYFMKNGSDLQRHIWAH
+EGVKPFKCSLCEYATRSKSNLKAHMNRHSTEKTHLCDMCGKKFKSKGTLKSHKLLHTADG
+KQFKCTVCDYTAAQKPQLLRHMEQHASFKVRAIALKLIHCHM
+>tr|E1BFT7|E1BFT7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=C25H16orf71 PE=4 SV=2
+MASPDEDGRPSLPNPWDAILEAVKHQLPSLDSDSSASDCEDEELFIFQRNQTVLIPDLSE
+ELADDPAGAWVTSSGSPPELAAVPVESAMEPWGEWNAWPRPQESAPLEGRAPSKSSSLLR
+MSAETPPRQDDDAGGTLNTSASQSPRQGPQGEATRSPQEAGLQTEPLGAASQAQEGSDAA
+SRKALRRERRKMIEKDILHKVTWDGRNPACPDASRGKEKACDAVEVPSEGPPGCLPVLSL
+QELEEWDLDQVLLSLTGREEDRGDGAPGAAWWAAGRLQGQDHTEPSTQDRLMERLSLLCA
+RQSRTAASAWRVPADTPREARPWESGSRCASRELGFQALAQDMQLKNPAEPPTIFTDLRP
+TKTSDQEPLESSSSYSSSSDSEEAEETAAQRDLQGPARLRDCTGKSRLLQQLRASREASA
+WPQLPAGKSPVSQKAQAPGDPAGSSPGVKQHVTLWAERGTQARPAGGRPSDSPGGLVPPW
+GQLQMPRV
+>tr|E1BHV7|E1BHV7_BOVIN Kelch domain containing 8A OS=Bos taurus OX=9913 GN=KLHDC8A PE=4 SV=1
+MEVPTVKDFQWKRLAPLPSRRVYCSLLETGGQVYAIGGCDDNGVPMDCFEVYSPEADQWT
+ALPPLPTARAGVAVTALGKRIMVIGGVGASQLPLKVVEMYNIDEGKWKKRSALREAAMGI
+SVTAKDYRVYAAGGMGLDLRPHNHLQHYDMLKDMWVSLAHMPTPRYAATSFLRGSKIYVL
+GGRQSKYAVNAFEVFDIETRSWTKFPNIPCKRAFSSFVTLDDRLYSLGGLRQGRLYRQPK
+FLRTMDVFDMEQGGWLKMERSFFLKKRRADFVAGSLSGRVIVAGGLGNQPTVLETAEAFH
+PGKSRWEALPAMPTPRCACSSLVLRNCLLAVGGVNQGLSDAVEALCVSDS
+>tr|A0A3Q1M9M3|A0A3Q1M9M3_BOVIN F-box and WD repeat domain containing 4 OS=Bos taurus OX=9913 GN=FBXW4 PE=4 SV=1
+MNARCVSGTHSGRPGAWEGGALRPPPALRSRSTASGVRGGPRLRPAPPHVTRSGMVSPPP
+GAAARAWLRVGPAPRPLMGSQGGVGPPGNGGPGEGESGETRKLQEEGRAARGKRRKGKGK
+AGAGQGGKGNGAEGKPEPPQAKEETGMGAEAGPSAGLGKARERGGSVEEGARRLVRGDEG
+SAGARKEAKGRGEGKKEEWRVRTGRRESARPARAQGRGGQAWGRLAGTRAAMATAAEEEA
+AAAREPACRPAAGPALLRLPEELLLLIFSYLDMQALGRLAQVCRWLRRFTSCDLLWRRIA
+RASLNSGFTRLGTDLMASVPVKERVKISQNWRLGRCREGILLKWRCSQMPWMQLEGDSLY
+ISQANFILAYQFRPDGASLNRRPLGVFAGHDEDVCHFVLANSHIISAGGDGKIGIHKIHS
+TFTVKYSAHVQEVNCVDCKGGIIVSGSRDRTAKVWPLASGRLGQCLHTIQTEDRVWSIAI
+SPLLSGQLMTHLGSDFPPGAGVLDVMYESPSTLLSCGYDTYVRYWDLRASARKCVMEWEE
+PHDSTLYCLQTDGNHLLATGSSYYGVVRLWDRRQRACLHAFPLTSTPLSSPVYCLRFTTR
+HLYAALSYNLRVLDFQNP
+>tr|A0A3Q1LMG1|A0A3Q1LMG1_BOVIN Fructose-bisphosphate aldolase OS=Bos taurus OX=9913 GN=ALDOA PE=1 SV=1
+MPHQYPALTPEQKKELCDIAHRIVAPGKGILAADESTGSIAKRLQSIGTENTEENRRFYR
+QLLLTADDRVNPCIGGVILFHETLYQKADDGRPFPQVIKAKGGVVGIKVRGHLPPPLAGS
+DPSIPSSGLDGLSERCAQYKKDGADFAKWRCVLKIGEHTPSSLAIMENANVLARYASICQ
+QNGIVPIVEPEILPDGDHDLKRCQYVTEKVLAAVYKALSDHHIYLEGTLLKPNMVTPGHA
+CTQKYSHEEIAMATVTALRRTVPPAVPGITFLSGGQSEEEASINLNAINKCPLLKPWALT
+FSYGRALQASALKAWGGKKENLKAAQEEYVKRALANSLACQGKYTPSGKAGAAASESLFI
+SNHAY
+>tr|A0A3Q1LPW1|A0A3Q1LPW1_BOVIN Mitochondrial calcium uniporter dominant negative beta subunit OS=Bos taurus OX=9913 GN=MCUB PE=4 SV=1
+MVLCVKLCGNLKFYQSNLYSTLVPSDEITVSYKHGLPLITLTLPSRKERCQFVVKPMLST
+VGSFLQDLQNEDKGIRTAAIFTADGTEIAASTLMEILLKNDFKLVIDKITYDVQCPMKEN
+LSSEQATEMENMKSLVYRLFTALHLEEFQKKREHHLLEKIDHLKGQLQPLEQMKARIEAR
+SEAKISGLLWAGLAMLSVQGGAMAWLTWWVYSWDIMEPVTYFITFANSMVFFAYFIVTRQ
+DYTYSAVKSRQFLHFFHKRSKQQHFDVEQYNKLKDDLAKATEALRHVRRSLYLRMQVEEL
+DERK
+>tr|E1BB61|E1BB61_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC522554 PE=3 SV=2
+MKRQNQSMITEFILIGFSNLGDLQILLFFIFLLVYLTTLTANATIMTVIHLDRALHTPMY
+FFLFVLSCSETCYTLVIVPKMLTNLLSTAPTISLSGCVAQLYFFVGLACTNCFLIAVMGY
+DRYVAICNPLNYTLIVSRATCTQLVLASSFCGFLISAVVNVLVFSVPFCSSNQINHFFCD
+ISPVIKLGCTDTNLKEMVIFFLSILVLLVPLVLIFISYVFIVSTILKISSVEGQRKTFAT
+CASHLTVVIVHYGCASFIYLRPTSLYSSDKDRLVAVTYTVITPLLNPLVYTLRNKEVKTA
+LKKVLSRYLKRVINYGSLFLSCFAIRNNQTVITYISGLHLFA
+>tr|A0A3Q1LNY2|A0A3Q1LNY2_BOVIN Tetratricopeptide repeat protein 5 OS=Bos taurus OX=9913 GN=TTC5 PE=4 SV=1
+MMAVEEEEVKEVLQKLQELVDQLYSFRECYFETHSVDDAGRKQQDVREEMEKTLQQMEEV
+VGSVQGNAQVLMLTGKALNVTPDYSPKAEELLSKAVKLEPKLVEAWNQLGEVYWKKGDVA
+AAHTCFSGALTHCKNKVSLQNLSMVLRQLRTDSGDEHSRHVMDSVRQAKLAVQMDILDGR
+SWYILGNAYLSLYFNTGQNPKISQQALSAYAQAVSIYGLLLLVQLHKYEENYGEALEGFS
+RAAALDPAWPEPWQREQQLLDFLTRLTSFLESKGKVKTKKLQSMLGNLRPAHLGPCGDGR
+YQSASGQKVTLERKPLNALQPGVNSGAVVLGKVVFSLTTEEKVPFTFGLVDSDGPCYAVM
+VYNMVQSWGVLIGDSVAIPEPNLRLHRIQHKGKDYSFSSVRVETPLLLVVNGKPQGSSSQ
+AAATVASRPQCE
+>tr|E1BK50|E1BK50_BOVIN Lymphotoxin beta OS=Bos taurus OX=9913 GN=LTB PE=3 SV=2
+MGERGLEGRGRRPQRKGWLLLAVAGVSSLVTLLLAVPITVVAVLALVPQEQGGLVSESGE
+LPEEDSETDLSPRLPAAHLIGAWTTGQGLGWEAKKEEAFLSSGTQFSGAEGLALPQDGLY
+YLYCHIGYRGRAPPPAVEPLDGSVTLLSRLYRAGGAYGSGTPELLLEGAETVTPVSDPAR
+RHDYGPLWYTSVGFGGLVQLRRGERVYVNISHPDMVDYRRGKTFFGAVMVG
+>tr|A0A3Q1LWE9|A0A3Q1LWE9_BOVIN Histone deacetylase OS=Bos taurus OX=9913 GN=HDAC4 PE=3 SV=1
+MPSTVDVASALPLPVAPPGVPMDLRLDHQFPLPVAEPGLREQQLQQELLALKQKQQLQRQ
+ILIAEFQRQHEQLSRQHEAQLHEHIKQQQELLAMKHQQELLEHQRKLERHRQEQELEKQH
+REQKLQQLKNKEKGKESAVASTEVKMKLQEFVLNKKKALAHRNLNHCMSSDPRYWYGKTQ
+HSSLDQSSPPQSGASASYNHPVLGMYDAKDDFPLRKTASEPNLKLRSRLKQKVAERRSSP
+LLRRKDGPVVTALKKRPLDVTDSACSSAPGSAPSSPNNSSGNVSTENGIAPAVPSIPAET
+SLAHRLVAREGSVGPLPLYTSPSLPNITLGLPATGPSTGAAGQQEAERLALPALQQRISL
+FPGTHLAPYLGAAPLERDAGAAPGSLLQHVVLLEQPPTQTPLVTDRYLAGLGALPLHAQP
+LVGAERVAPSVHKLRQHRPLGRTQSAPLPQSTQALQHLVIQQQHQQFLEKHKQHFQQPQL
+HLNKMIPKPSEPARQPESHPEETEEELREHQALLEEPFLDRLPGQKEAHTLAGVQVKQEP
+IESDDEETEPPREAEPGQRPPTEQELLFRQQALLLEQQRIHQLRNYQASMEAAGIPVSFG
+GHRPLSRAQSSPASATFPMSVQEPPAKPRFTTGLVYDTLMLKHQCTCGNTNSHPEHAGRI
+QSIWSRLQETGLRGKCECIRGRKATLEELQTVHSETHALLYGTNPLNRQKLDSKKLLGSL
+TSVFVRLPCGGVGVDSDTIWNEVHSSGAARLAVGCVVELVFKVATGELKNGFAVVRPPGH
+HAEESTPMGFCYFNSVAIAAKLLQQRLSVSKTLVVDWDVHHGNGTQQAFYSDPRVLYISL
+HRYDDGNFFPGSGAPDEVGTGAGVGFNVNMAFTGGLDPPMGDAEYLAAFRTVVMPIASEF
+APDVVLVSSGFDAVEGHPTPLGGYNLSAKCFGYLTKQLMGLAGGRVVLALEGGHDLTAIC
+DASEACVSALLGNELDPLPEKVLQQRPNANAVRSMEKVIEIHSQYWRSLQRLASTAGYSL
+VEAQKCENEEAETVTAMASLSVAVKAPEKRPDEEPMEEEPPL
+>tr|E1B851|E1B851_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=OR7D4 PE=3 SV=3
+LFVFFYCPFQDSHTTYMEQENHTQFSGFLLLGLSDEAELQPLLFWLFLSMYLITIAGNLL
+IILTTIYDSHLHTPMYFFLSNLSFSDICFTSTTIPKMLLNLYIQNKGITYEGCLTQLYFF
+ILFAELDIFLLSVMAYDRFVAICHPLRYTTKMTPQLCGLLLLASWILSVLDSLLRSLLVL
+RLSFCTDLEIPHFFCEINQVIQLACSDNLLSIIEMCFATVLMGIIPLSGIVVSYSRIVSS
+ILRITSARGKYKAFSTCGSHLSVVCLFYGTGLGVYLSSATTQNSRTSAIASVMYTVVTPM
+LNPFIYSLRNKDIKGALESLVTTLAFSE
+>tr|A0A3Q1M8F1|A0A3Q1M8F1_BOVIN NudE neurodevelopment protein 1 OS=Bos taurus OX=9913 GN=NDE1 PE=4 SV=1
+MTSSHISCLLRHYAPRGVNSSPHFHNGGLGQNLQLRGGRGQLLERPGYDLQAEEKFKTQH
+SEGYRQISALEDDLAQTKAIKDKLQKYIRELEQANDDLERAKRATIMSLEDFEQRLNQAI
+ERNAFLESELDEKENLLESVQRLKDEARDLRQELAVQQKQEKPRTPMPSSVDAERTDTAV
+QATGSVPSTPIAHRGPSSSLNTPGTFRRGLDDSTGGTPLTPAARISALNIVGDLLRKVGA
+LESKLASCRNFVYDQSPGRASGPASGRGSKNRDSVDRRPGGSNVPLGDKGLGKRLEFGKP
+SSNVSSPSLPSAQGVVKMLL
+>tr|A0A3Q1LSU9|A0A3Q1LSU9_BOVIN G_PROTEIN_RECEP_F1_2 domain-containing protein OS=Bos taurus OX=9913 GN=TAAR6 PE=3 SV=1
+MSSNSSPTAAVQLCYEHLNGSCVKTPYSPASRVILYMVYGFGAVLAVFGNLLVMTAILHF
+KQLHSPTNCLIASLACADFLVGVTVMPFSMVRTVESCWYFGRTFCTFHTCFDVAFCYCSL
+FHLSFISIDRYIAVTEPLIYPIKFTVSVSCICISISWILPLTYSGAVFYTGANEKGLEEL
+SSALNCVGGCQTVANQNWVLIDFLSFFIPTLVMIILYSNIFLVARQQAKKIENTGSKTDS
+SSDSYKSRVAKRERKAAKTLGITVIAFMISWLPYSIDSLIDAFMGFITPAYIYETCCWCA
+YYNSAMNPLIYALFYPWFRKAIKVIVSGWVFKDSSGSMNLSSEQM
+>tr|E1BKV3|E1BKV3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC101905136 PE=4 SV=3
+MEVLDEFDSEFPQTVTFCQLISEEDFERQAATYTERALRRLFRSLDRNPALAERVVRKGK
+QAECERRGLLSFLWAKASCAVQGELNCCNSMSALEMHQRLEQLKRRIHRVHLYSQDAKRR
+RRKRKPKKPERVLSQDRSTSPCLLPALLPPGPPLLPTASTAAPPPAVYTMPKVFGPFPPL
+PSTSVSGPWKGILSPCLTLSCSHTVDLTPLVLSPGGFHFSYLTRNNAHKLQVPWTSVCRS
+PAAPEETPSSSVRASIFTPPVLLKFQPVPRPTGDSENDPGNAESVPHQREQSPLLYRPRP
+EDE
+>tr|E1B9Q1|E1B9Q1_BOVIN F-box and WD repeat domain containing 5 OS=Bos taurus OX=9913 GN=FBXW5 PE=4 SV=1
+MDEGETPLLPDSLVYQIFLSLGPADVLAAGLACRQWQAVSRDECLWREQFYRYYQVARDV
+PRHPAATSWFEEFRRLYDAVPCVEVQTLREHTDQVLHLSFSHSGYQFASCSKDCTVKIWN
+NDPTISLLHSADMRPYNWSYTQFSQFNQDDSLLLASGVFLGPHNSSSGEIAVISLDTFAL
+LSRVRNKPYDVFGCWLTDTSLISGNLHRIGDITSCSVLWLNNAFQDVESENVNVVKRLFK
+IQNLNASTIRTVMVADCSRFDSPDLLLDAGAPGTDSSRVFDLGSDGEDEESDPGPARAKG
+LRRLLDGFLEGRAQPPLPESTLETKVAKLLAQGHTKPPEQGRAAAGNKLLIFTTGCLTYS
+PHQIGIKQILPHQMTTAGPVLGEGRGSDAFFDALDHVIDVHGHIIGMGLSPDNRYLYVNS
+RAWPSGSVVADPMQPPPIAEEIDLLVFDLKTMREVKRALRAHRAYTPNDECFFIFLDVSR
+DFVASGAEDRHGYIWDRHYNICLAKLRHEDVVNSVVFSPQEQELLLTASDDATIKAWRSP
+RTVRVLQAPRPRPRPFFSWFASQKH
+>tr|A0A3Q1MGV3|A0A3Q1MGV3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+TIASLELACIYSALILQDTECKINALIKQGKKNLKSLMMTWAFVFLTKPF
+>tr|A0A3Q1MI78|A0A3Q1MI78_BOVIN ATP-dependent RNA helicase DDX19A OS=Bos taurus OX=9913 GN=DDX19A PE=4 SV=1
+MATDSWALAVDEQEAAVKSVSGVIKTNATPEKTDEEEKEDRAAQSLLNKLIRSNLVDNTN
+QVEVLQRDPNSPLYSVKSFEELRLKPQLLQGVYAMGFNRPSKIQENALPMMLAEPPQNLI
+AQSQSGTGKTAAFVLAMLSRVEPAERYPQCLCLSPTYELALQTGKVIEQMGKFHPELKLA
+YAVRGNKLERGQKISEHIVIGTPGTVLDWCSKLKFIDPKKIKVFVLDEADVMIATQGHQD
+QSIRIQRMLPRNCQMLLFSATFEDSVWKFAQKVVPDPNIIKLKREEETLDTIKQYYVLCN
+SRDEKFQALCNIYGAITIAQAMIFCHTRKTASWLAAELSKEGHQVALLSGEMVVEQRAAV
+IERFREGKEKVLVTTNVCARGIDVEQVSVVINFDLPVDKDGNPDNETYLHRIGRTGRFGK
+RGLAVNMVDSKHSMNILNRIQEHFNKKIERLDTDDLDEIEKIAN
+>tr|F1N2D2|F1N2D2_BOVIN X-C motif chemokine receptor 1 OS=Bos taurus OX=9913 GN=XCR1 PE=3 SV=3
+MEPSDIPESTTFYEYDPQSFLCEKRTFVFATVSTTILYCLVFFLSLVGNSLVLWVLVKYE
+SLESLTNVFILNLCLSDLVFSCLLPVWILGYHWGWVLGDLLCKLLNMVFSISLYSSISFL
+TIMTIHRYLSVVSPISSLRVHTLQRRVLVTAAVWAASILSSIPDAIFHKVFPSGCDYSEL
+EGFLASVYQHNVIFLLSVGVILFCYVEILRTLFRSRSKRRHRTVRLIFTIVAAYFLSWAP
+YNLILFLQTLLKLGVIQSCEVSQQLDYALLICRNVAFSHCCFNPVLYVFVGVKFRRHLKS
+LLRRFWLCRQQAPSLPPSPHPPGAFTYEGISFY
+>tr|A0A3Q1MCL0|A0A3Q1MCL0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=FSTL5 PE=4 SV=1
+MLKCWSAVLILGFIFLESEGRPTKEAGYGLKSYQPLIRLRHKQEKSQERSRIKGILIQDG
+SLGSCENKYCGLGRHCVLSRETGQAECACMDHCNRHYKPVCGSDGEFYENHCEVHRAACL
+KKHKITIVHNEDCFFKGDNCKAIEYSKMKSMLLDLQNQKYITQDNENPNGDDVFRKKLLV
+DQMFKYFDADSNGLVDINELIQVIKQEELGKDLSDCTLYDLLKYDDFNSDKHLALEEFYR
+TFQVIQLSLPEDQKLSVTAATVGQSTVLSCAIQGALRPPIIWKRNNIILNNLDLEDINDF
+GDDGSLYITKVTTTHMGNYTCYAEGYENIYQTHIFQVNVPPVIRVYPESQAREPGVTASL
+RCHAEGIPNPQLSWLKNGIDITPKLSKQLTLQANGSEVHISNVRYEDTGAYTCIAKNEAG
+VDEDISSLFVEDSARKTRLGIGNMFYVFYEDGIKVIQPIECEFQRHIKPSEKLLGFQDEV
+CPKAEGDEIQRCVWASAVNVKDKFIYVAQPTLDRVLVVDVQSQKVVQAVSTDPVPVKLHY
+DKSHDQVWVLSWGTMEKTSPTLQVITLASGNVPHHTIHTQPVGKQFDRVDDFFIPTTTLL
+ITHIRFGFILHKDEAALQKIDLETMSYIKTINLKDYQCIPQSLAYTHLGGYYFIGCKPDS
+TGAAPPQLLVDGVTDSVIGFNSDVTGTPYVSPDGHYLVTISDVKGLVRVQYITIRGEIQE
+AFDIHTNLHISDLAFQPSFTEAHQYNIYGSSSTQTDVLFVELASGKVKMIKSLKEPLKAE
+EWPWNLKNRQIQDSGLFGQYLMTPSKDSLFILDGRLNKLNCEITEVEKGNTVIWVGEA
+>tr|E1BAH4|E1BAH4_BOVIN Zinc finger, GATA-like protein 1 OS=Bos taurus OX=9913 GN=ZGLP1 PE=4 SV=3
+MEAEPAPDFAMLRELLAPPCLNPEPPPEPPIRGLTTPAIVPRSFWPAHQDSVTALRFLQE
+TAEELAQTPWDTQALGPYWEPKALETLGPLPQAKDDKNMLTLVSQQSPNLGSPRAPPTSP
+AQPQRRPRKQSNPQRGAEKVDPLFEGVTLKFQIKPDSSLQIIPSYSLACSSRSPGPPTPG
+PARGPEANPGGSEALAPRRCASCRTERTPLWRDAEDGVGGGKYGTRCSSCWLVPRKNVQP
+KKLCGRCGVSLGPHPASAQEG
+>tr|A0A3Q1M422|A0A3Q1M422_BOVIN Protein tyrosine phosphatase, receptor type D OS=Bos taurus OX=9913 GN=PTPRD PE=4 SV=1
+MVHIARPLLLLLAFFLHADAETPPRFTRTPVDQTGVSGGVASFICQATGDPRPKIVWNKK
+GKKVSNQRFEVIEFDDGSGSVLRIQPLRTPRDEAIYECVASNNVGEISVSTRLTVLREDQ
+IPRGFPTIDMGPQLKVVERTRTATMLCAASGNPDPEITWFKDFLPVDTSNNNGRIKQLRS
+GALQIEQSEESDQGKYECVATNSAGTRYSAPANLYVRVRRVPPRFSIPPTNHEIMPGGSV
+NITCVAVGSPMPYVKWMLGAEDLTPEDDMPIGRNVLELNDVRQSANYTCVAMSTLGVIEA
+IAQITVKALPKPPGTPVVTESTATSITLTWDSGNPEPVSYYIIQHKPKNSEEPYKEIDGV
+ATTRYSVAGLSPYSDYEFRVVAVNNIGRGPPSEPVLTQTSEQAPSSAPRDVQARMLSSTT
+ILVQWKEPEEPNGQIQGYRVYYTMDPTQHVNNWMKHNVADSQITTIGNLVPQKTYSVKVL
+AFTSIGDGPLSSDIQVITQTGVPGQPLNFKAEPESETSILLSWTPPRSDTIASYELVYKD
+GEHGEEQRITIEPGTSYRLQGLRPNSLYYFRLAARSPQGLGASTAEISARTMQSKPSAPP
+QDISCTSPSSTSILVSWQPPPVDKQNGIITEYSIKYTAVDGEDDKPHEILGIPSDTTKYL
+LEQLEKWTEYRITVTAHTDVGPGPESLSVLIRTDEDVPSGPPRKVEVEAVNSTSVKVSWR
+SPVPNKQHGQIRGYQVHYVRMENGEPRGQPMLKDVMLADAQDMIISGLQPETSYSLTVTA
+YTTKGDGARSKPKLVSTTGAVPGRPRLVINHTQMNTALIQWHPPVDTFGPLQGYRLKFGR
+KDVEPLTTLEFSEKEDHFTATDIHKGASYIFRLSARNKVGFGEEMVKEISVPEEVPTGFP
+QNLQSEGTTSTSTQLSWQPPVLAERNGIITKYTLLYRDINIPLLPVEQLIVPADTTLTLS
+GLKPDTTYDVKVRAHTSKGPGPYSPSVQFRTLPVNQAVFAKNFHVKAVMKTSVLLSWEIP
+ENYNSAMPFKILYDDGKMVEEVDGRATQKLIVNLKPEKSYSFVLTNRGNSAGGLQHRVTA
+KTAPDVLRTKPAFIGKTNLDGMITVQLPEVPANENIKGYYIIIVPLKKSRGKFIKPWESP
+DEMELDELLKEISRKRRSLRHGREVELKPYIAAHFDVLPTEFTLGDDKHYGGFTNKQLQS
+GQEYVFFVLAVMEHAESKMYATSPYSDPVVSMDLDPQPITDEEEGLIWVVGPVLAVVFII
+CIVIAILLYKRKRAESDSRKSSIPNSKEVPSHHPTDPVELRRLNFQTPGSDDSGYPGNLH
+SSSMASHPPIPILELADHIERLKANDNLKFSQEYESIDPGQQFTWEHSNLEVNKPKNRYA
+NVIAYDHSRVLLSAIEGIPGSDYVNANYIDGYRKQNAYIATQGSLPETFGDFWRMIWEQR
+SATVVMMTKLEERSRVKCDQYWPSRGTETHGLVQVTLLDTVELATYCVRTFALYKNGSSE
+KREVRQFQFTAWPDHGVPEHPTPFLAFLRRVKTCNPPDAGPMVVHCSAGVGRTGCFIVID
+AMLERIKHEKTVDIYGHVTLMRAQRNYMVQTEDQYIFIHDALLEAVTCGNTEVPARNLYA
+YIQKLTQIETGENVTGMELEFKRLASSKAHTSRFISANLPCNKFKNRLVNIMPYESTRVC
+LQPIRGVEGSDYINASFIDGYRQQKAYIATQGPLAETTEDFWRMLWEHNSTIVVMLTKLR
+EMGREKCHQYWPAERSARYQYFVVDPMAEYNMPQYILREFKVTDARDGQSRTVRQFQFTD
+WPEQGVPKSGEGFIDFIGQVHKTKEQFGQDGPISVHCSAGVGRTGVFITLSIVLERMRYE
+GVVDIFQTVKMLRTQRPAMVQTEDQYQFCYRAALEYLGSFDHYAT
+>tr|E1BQ00|E1BQ00_BOVIN Cyclin D binding myb like transcription factor 1 OS=Bos taurus OX=9913 GN=DMTF1 PE=4 SV=1
+MSTVEEDSDTVTVETVDSVTLTRDTDGNLILHCPHNEADEIDSEDSTEPPHKRLCLSSED
+DQSIDDSTPCISVVALPLSENDQSFEVTMTATTEVADDEITEGTVTQIQILQNEQLDEIS
+PLGNEEVSAVSQAWFTTKEDKDSLTNKGHKWKQGMWSKEEIDILMNNIERYLKARGIKDA
+TEIIFEMSKDERKDFYRTIAWGLNRPLFAVYRRVLRMYDDRNHVGKYTPEEIEKLKELRI
+KHGNDWATIGAALGRSASSVKDRCRLMKDTCNTGKWTEEEEKRLAEVVHELTSTEPGDIV
+TQGVSWAAVAERVGTRSEKQCRSKWLNYLNWKQSGGTEWTKEDEINLILRIAELDVADEN
+DINWDLLAEGWSSVRSPQWLRSKWWTIKRQIANHKDVSFPVLIKGLKQLHENQKNNPTLL
+ENKSGPGVPNSNSNSSVQHVQIRVARLEDNGAISPSPMTALQIPVQITHVSSADSAAATV
+DSETITLNSGTLQTFEILPSFHLQPTGTPGTYLLQTSSSQGLPLTLTASPTVTLAAAAPA
+SPEQIIVHALSPEHLLNTSDVTVQCHAPRVIIQTVATEDIASSISQAELTVDSDIHSSDF
+PEPPDALEADTFPDEIHQPKITVEPSFNDAHISKFSDQNSTELMNSIMVRTEEEISVTHL
+KQEDEPSPLARAYVTEDLGSPTIEEQVHQTTIDDETILIVPSPHGFIQTSDVIDTESVLP
+LTTLTDPILQHHREESNIIGSSLGSPVSEDSKDVEDLVHCH
+>tr|A0A3Q1LZS2|A0A3Q1LZS2_BOVIN RING finger protein 207 OS=Bos taurus OX=9913 GN=RNF207 PE=4 SV=1
+MSGAIFTSLEGPGALDGTSGHPLVCPLCHAQYERPCLLDCFHEFCAGCLRGRAADGRLAC
+PLCQHQTVVKGPSGLPPVDRLLQFLVDSSGDGTEVVRCANCDLECGKQDAETTYFCNTCG
+QPLCARCRDETHRARMFARHDIVALGQRSRDVLQKCTLHAEPYVLFSTDKKSLLCIRCFR
+DMQGESRVHCVDLESAYVQGCERLQQAVLEVKALQTATREAIELLQAMVEEVRRSAAEEE
+AAIQALFSSMQDKLSERKALLLQAVQRALPFPPPRPQELMERLQGIVTRPHRLRPAQSSK
+ITSDHRAEFARCLEPLLLLGPRRAAGAGGGTSTLTGGLGPKVLRGPGCPSPVGKMLGSPV
+QKPTLHRSISTKVLLAEGDASPFTEHCRHYEDSYRDQVQELHRDLTKHHSLIKAEIMGDI
+LHKALQVDAQIASEYASVEGLRAVFQEIWEDSYQRVANEQEIYEAQLHDLLQLKQENAYL
+TTITKQITPYIRSIAKVKERLEPRFQVPVDEPSDHPQNTHDDGVNAEAPARVSTLKPAME
+KEVS
+>tr|A0A3Q1MTB8|A0A3Q1MTB8_BOVIN Glucosidase 2 subunit beta OS=Bos taurus OX=9913 GN=PRKCSH PE=1 SV=1
+MLLLLLLLPMCWAVEVRRPRGVSLTNHHFYDESKPFTCLDGSASIPFDQVNDDYCDCKDG
+SDEPGTAACPNGSFHCTNTGYKALYISSRWVNDGVCDCCDGTDEYNSGIVCENTCKEKGR
+KERETLQQMAEVTREGFRLKKILIEDWKKAREEKQKKLIELQAGKKSLEDQVEVLRTLKE
+EAEKPEEAAKDQHRRLWEEQQAISKEQRERELAASAFQELDDDMDGAVSVAELQTHPELD
+TDGDGALSEGEAQTLLGGDAQMDAAFFYDRVWAAIRDKYRSEVLPTEYPPSPPAPDVMEP
+KEEQPPMPSPPTEEEDEDEEDEETEEDEDEEDEDSQGEQPKDAPPPAPAPQTASPTEEDR
+MPPYDEQTQAFINAAQEARNKFEEAERSLKDMEESIRNLEQEISFDFGPNGEFAYLYSQC
+YELTTNECVPCGHVGPLSHSTWGSWAGPDHDKFSAMKYEQGTGCWQGPNRSTTVRLLCGK
+ETVVTSTTEPSRCEYLMELMTPAACPEPPPEYPVEGDHDEL
+>tr|A0A3Q1MQ39|A0A3Q1MQ39_BOVIN Seizure 6-like protein 2 OS=Bos taurus OX=9913 GN=SEZ6L2 PE=4 SV=1
+MGTPRAQHPPPPQLLFLFLLSCPWIQGSDPDPTLATPPVGQTIAAPFLPRATEPGTGPLT
+TAVTPKGGRGAGPTAPELLTPPPGTTAPPLPGPASPGPPLGPEGGEEETTTTIITTTTVT
+TTVTSPVLCNNNISEGEGHVESPDLGSSTSRTLGLLDCTYSIHVYPGYGIEIQVQMLNLS
+REEELLVLAGGGSPGLAPRLLANSSMLGEGQVLRSPTNRLLLHFQSPRVPRGAGFRIHYQ
+AYLLSCGFPPQPAHGDVSVTDLHPGGTATFHCDSGYQLQGEETLVCLNGTRPAWSSEPPS
+CMASCGGTIHNATLGRIVSPEPGGAAGPNLTCRWVIEAAEGRRLHLHFERVSLDEDNDRL
+MVRSGGSPLSPVIYDSDMDDVPERGLISDAQSLYVELLSETPANPLLLSLRFEAFEEDRC
+FAPFLAHGNVTTTDPEYRPGALATFSCLPGYALEPPGPPNVIECVDPTEPHWNDTEPACK
+AMCGGELSEPAGVVLSPDWPQSYSPGQDCVWGLHVQEEKRILLQVEILNVREGDMLTLFD
+GDGPSARVLAQLRGPQPRRRLLSSGSDLTLQFQAPPGPPNPGLGQGFVLHFKEVPRNDTC
+PELPPPEWGWRTASHGDLIRGTVLTYQCEPGYELLGSDILTCQWDLSWSAAPPACQKIMT
+CADPGEITNGHRTTSDAGFPVGSHVQYRCLPGYSLEGAAVLTCYSRDTGTPKWSDRVPKC
+ALKYEPCLNPGVPENGYQTLYKHHYQAGESLRFFCYEGFELIGEVTITCVPGHPSQWTSQ
+PPLCKVAFEELLDNRKLEVTQTTDPSRQLEGGNLALAILLPLGLVIILGSGVYIYYTKLQ
+GKSLFGFSGSHSYSPITVESDFSNPLYEAGDTREYEVSI
+>tr|F1MLH0|F1MLH0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=PKD1L2 PE=4 SV=3
+MSTLAVVLLGLALEFGAITAKPDDGSFCSKNQVAFRDACYEFVSLSRTFYGAQSWCERQG
+GHLVFIRDEATQKFLQKHLSRDREWWIGLTGSSARNGTAEGPRIWMDASCVSYSHWRRRQ
+DASAPKACSYIGRDPSFRWVASDNCTQEFAFICEFGVGQSLACEGLNATLHCGSGEVIQI
+QDAFYGRRTPHYCIQGAGHPSDLEEECSWVSAKDEVAGQCQGLQACQVAADGTYFGDLCP
+GRGSYLWVQYLCREGLQLMVSNESFIFDNITISLTWLLSPYIGNLSCIINMGDGHIFDPY
+YPPSLSSRVTHQFTAPGDFTVFAECSTSEWHVMAQKQVTIQDNTERLRVTGCSGLTTSGA
+SPLCWAVFGDPLWIQVELDGGTGVTYTVLLGNTTLAEFTTQRGPLPYNLTLDRGVQRRTG
+PGMHHLEIRATNDSTNSAPSRNITVRFVEALSGLQASWASDHLELGQDLLVNVSVAHGIP
+ESLTFEVAGLDTTFSYEKENLRGSFGTYHVAVPLEGTFLVTVLVRDAFSNLSLEIGSITV
+TGKNFLKRGKKFTEKQFKLYLDPFTTVTLGWPDRDKDLRFQWACGRCWAQWSDCVERQLL
+RTDQRELVVPPSCLPPSNSAVTLRLTVWRGPELENLGEQCLYVSAPLELRPRVSCEENCG
+PVDASRDVMLRVTMGDRSAAAMFSWYLDDTPLEKAEPLPEACRLGGFWPRSSILLQSNTS
+TLLLNSSFLRICGQAARIRVTAVTGCAYGEDTYVVSSLPPLEVPTCTIAPEEGTILTSFA
+IFCNVSTALGPLEYCFCLESGSCLYCGPEPALPSVYLPLGKENDDFMLTVVISVSNHVGG
+RQQTQAVVKVAPGHTCVEEEAFQAVVWDNVTAILQGGRGPERLFQLARAVSSILDQGPGS
+RQLPRVDTRRKVRERILGSLSVVNATMGDMQRLRGLAEVLKEVTQRSEELTPLAQREAGW
+ALQHASEGLLAVSAEAHPEDRRRQTATRDLLQAVGNVLEASLRHRSEEPAQANGSQMATV
+SRLLEAAEHVQAALLLGRLPGGLPAMVTTPSISVYTNRIQPWSWRGSSVHVAAASSATFT
+LPTAPSLGSMEDYREPVDIRMMSFPKSPFPAGGHFNVSGTVGGLSLTSPSGQLIPVKNLS
+EYIEILLPRLSETHGEPTVLNLSRPEALRVNLTSGSAALGIQLHWRPDAPLTLSLGYGYH
+PNETSYDAQAHLPPTAASGNELSMWILSPEDLHFGEGVYYLTIILKSDLEPAPGRDLTVG
+ITTFLSHCVFWDGVQGTWDNSGCQVGPRTTLSQTHCLCNHLTFFGSTLLVMPNAVDVRQT
+AELFATFADNPVVVTTVSCLCVAYLLVLIWARRKDAQDQAKVKVTALEDNDPLAQYHYLV
+TIYTGHRRGAATSSKVTVTLYGLDGESEPHHLLDPDIPVFERGGVDVFLLSTLFPLGELR
+SLRLWHDNSGDQPSWYVSRVLVHDLAMDRKWYFLCNSWLSIDVGDCVLDKVFPVATEEDR
+KQFSHLFFMKTSTGFQDGHIWYSIFSSSAWSNFTRVQRVSCCFSLLLCTMLTSIMFWGVP
+KDPAEQKMDLGQIEFTWQEVMIGLESSLLMFPINLLIVQIFRNTRPWVAKEQNAGKWDGR
+RLSLAPSPQPTEDGLLTPEADLWRLVSSLFKALKVPPPLLGWDSTNSMNINQLLALMEDV
+ICRQIKAGQVFSEEVSQTGAPLTLTLGSVQVKENMQRSRPKVGPSDAWKNSAFRQCLYLQ
+LEHLEQELLLLEPRCSSQGQNHTRALGQLQTLKGCLVAQAGTLPPAHSSKLPRGLPWWCV
+LVGWLLVVVTSGVAAFFTMLYGLHYGRTSSLKWLISMAVSFVESVFVTQPLKVLGFAAFF
+ALVLKRVEDEEEPVVPLPGCLSSPDPSALFRARRNSRRDTYQPPLASDIEKMKTMHLKEQ
+KAFALIREILAYLGFLWMLLLVAYGQRDPSAYHFNRHLEHSFTQGFSTVLSFQQFFTWAN
+TTLVSNLYSHYPGFVTDGNAKLVGSAQIRQVRVQESSCLLAPQLQAFLDRCHAPYSLDVE
+DLSDYGEGWNASVLNNSNDFSQAWRYQSQSQRRGYPLWGKLTVYRGGGYVVSLGTDRQSA
+SRTLQYLFDNTWLDSLTRAVFVEFTVYNANVNLFCIVTLTLETSALGTFFAHAHLQSLRL
+YPFTDGWHPFVVAAEIAYFLFLLYYMAVQGELLRKQKWCYFHSKWNVLELAIILASWSAL
+AVFVKRTVLVERDLQRYRKHREEGISFSETAAADAALGYILAFLVLLSTVKLWHLFRLNP
+KMNMITSALRRAWGDISGFIVVILIMLLAYSIASNLIFGWKLRSYKTLFDAAETMISLQL
+GIFNYEEVLDYSPLLGSFLIGSCIIFVTFVVLNLFISVILVAFNEEQKYYQLSEEGEIVD
+LLLMKILSFLGIKCKRQEPGSSSEQPVV
+>tr|A0A3Q1MIN5|A0A3Q1MIN5_BOVIN Hematopoietic SH2 domain containing OS=Bos taurus OX=9913 GN=HSH2D PE=4 SV=1
+MGTRLDQKESQGWRGPERQEEPSGGTGQPSPLEAMTEARRLPPPLPPRLDWFVQTQVDQL
+TCEGVPEWFHGAISREDAENLLESQPLGSFLIRVSHSHVGYTLSYK
+>tr|A0A3Q1MH18|A0A3Q1MH18_BOVIN Piezo-type mechanosensitive ion channel component OS=Bos taurus OX=9913 GN=PIEZO1 PE=3 SV=1
+MEPHVLGAVLYWLLLPFALLAACLFRVNALSLVYLLFLLLLPWFPGPSRHSIRGHTGRLL
+RALLGFSLLFLAAHITFQICLHTVPRLDQLLEPNCSTGDAITWDAISQLIGVTRLDLKDI
+PNAIRLVAPDLGILVVSSLCLGVCRRLTRTARRSQHVQEPDDDSEELDTGSLGELREAPE
+LSPTRRSRLAARFRITAHWLLVAAGRTLAIMLLALAGIAHPSAFSSVYFLLFLAIGTWWA
+CHFPISLLGFNTLCVMVSCIGTGHLICLYCYQTPLAQATLPPAGIWARVFGLKDFLAPTN
+CSSPNVLVINANHDWPVYVSPGILLLLCYTVTSLLKLHAHQPVDQRKEAAGDDDTREVEL
+TEVDQWPQGQARVVAIKEEGAAQHTMPTPTTPDPEDNCIIHDLTGHSAVQQRTSCPRLAE
+PKETSPLHGLGHLIMDQSYVCALIAMMVWSITYHSWLTFVLLLWACLIWTVRSRHQLAML
+CSPFILLYGLALCCLRYVWAMDLRPELPTALGPVSLRQLGLEHTRYPCLDLGAMLLCTLT
+FWLLLRQFVKEKLLRRARAPVALTEVTVAATEPTRTQMLLRSLGELVRGIYAKYWIYVCA
+GMFIVVSFAGRLVVYKIVYMLLFLLCLILFQVYYSLWRKLLKAFWWLVVAYTMLVLVAVY
+TFQFQDFPAYWRNLTGLTDEQLGDLGLEQFSVSELFSSILVPGFFLLACILQLHYFHRPF
+MQLTDPEHRPLPGACTPRWVPGRDAVSRTPLLQQEEEEEVPRDEGLGTASPHQVTQVPEA
+SKWGLVAERLLDLATGFSDVITRVQVLVRRLLELHVFKLVALYTVWVALKEVSVLNFLLV
+VLWAFALPYPRFRPMASCLATVWTCIIIVCKMLYQLKVVNPHEYASNCTEPFPNSTNLQK
+TEIRQSLLYRGPVDPANWFGVRKGFPNLGYIQNHLQILLLLVFEAMVYRSQDYHHRRHQL
+APLPAQAVCAEGTRQRLDRDLPSCLKYYVNFFFYKFGLEVCFLAAVNVIGQRMNFMVILH
+GCWLVAILTRRRREAIARLWPNYCLFLALFLLYQYLLCLGVPPALCIDYPWRWSRAVPMN
+SALIKWLYLPDFFSTPNATNLISDFLLLLCASQQWQVFSAERMEEWRHMAGVNTDRLEPL
+RGEPNPVPNFIHCRSYLDMLKVAVFRYFFWLVLVVVFITGATRISVFGLGYLLACFYLLL
+FGTSLQQKDTRARLVLWDCLILYNVTVIVSKNMLSLLSCVFVEQMQSSFCWVIQLFSLVC
+TVKGYYDPKEMLGRDQDCLLPVEEAGVLWDSVCFLFLLLQRRVFLSYYFLHVQAELRATA
+LQASRGFALYNAANLKTIELHRRAEEKSLAQLKRQMERIRAKQEKHRQGRANRGCLQGSP
+DPGQEPVIHSGDYFLFESDSEEEEEAQPEDPRPSSQSAFQMAYQAWVTNAQTVLRQQRQQ
+RAEQLRTGGDPSQEAGPAEGLEDEVTGRSHVMQRVLSAVQFLWVLGQALVDGLTRWLHDF
+TRHHRAISDVLRAERYLLTQELLRGGEVRRDVLDQLYANEAEAARDALSTASSGLGVEEP
+LSSVTEDTSSPLSTGYNTRSSSEEVVTEPGASLRSSGELPAGGRARMRTASELLVDRRVH
+IPELEEAEQFAAGRGRALRLLEALYQCVAAHSELLCYFVIVLNHMVTASATSLVLPVLVF
+LWAMLSIPRPSKRFWMTAIIFTEVTVVAKYLFQFGFFPWNSHAVLRRYENKPYFPPRILG
+LEKSDSYVKYDLLQLMALFFHRAQLLCYGLWDHEDPPLSKEHDRGSAKEKGAEEEPALPA
+PQEEPGGAARPPAEDNVQAEAKGGPLEPGGKRRISLRFRRRRKESTETRGQAIEGEEEEE
+EAAVAVVAAGAATLRREKRRSRPQERVRVLGVRLQSFCLSLARSMYWPVRRFFQDILHTK
+YRAATDVYALMFLADVIDFIIIIFGFWAFGKHSAATDITSSLSDDQVPEAFLVMLLIQFS
+TMVIDRALYLRKTVLGKLAFQVVLVLAVHLWMFFILPAVTERMFSQNAVAQLWYFVKCIY
+FALSAYQIRCGYPTRILGNFLTKKYNHLNLFLFQGFRLVPFLVELRAVMDWVWTDTTLSL
+SNWMCVEDIYANIFIIKCSRETEKKYPQPKGQKKKKIVKYGMGGLIILFLVAIIWFPLLF
+MSLVRSVVGVVNQPIDVTVTLKLGGYEPLFTMSAQQPSIVPFTHHAYEELSKQFDPHPLA
+MQFISQYSPEDIVTVHIEGSSGALWRISPPSRAQMKRELYNGTADITLRFTWNFQRDLAK
+GGSVEYTNEKHTLDLAPNSTARRQLASLLEGTSDQSVVIPHLFPKYIRAPSGPEANPVKQ
+LQPNEEADYLGVRIQLRRERVGTGAAGFLEWWVIELQDCQANCNLLPMVIFSDKVSPPSL
+GFLAGYGIMGLYVSIVLVIGKFVRGFFSEISHSIMFEELPCVDRILKLCQDIFLVRETRE
+LELEEELYAKLIFLYRSPETMIKWTRDKE
+>tr|A0A452DI66|A0A452DI66_BOVIN Prothrombin OS=Bos taurus OX=9913 GN=F2 PE=3 SV=1
+MARVRGPRLPGCLALAALFSLVHSQHVFLAHQQASSLLQRARRANKGFLEEVRKGNLERE
+CLEEPCSREEAFEALESLSATDAFWAKYTACESARNPREKLNECLEGNCAEGVGMNYRGN
+VSVTRSGIECQLWRSRYPHKPEINSTTHPGADLRENFCRNPDGSITGPWCYTTSPTLRRE
+ECSVPVCGQDRVTVEVIPRSGGSTTSQSPLLETCVPDRGREYRGRLAVTTRGSRCLAWSS
+EQAKALSKDQDFNPAVPLAENFCRNPDGDEEGAWCYVADQPGDFEYCDLNYCEEPVDGDL
+GDRLGEDPDPDAAIEGRTSEDHFQPFFNEKTFGAGEADCGLRPLFEKKQVQDQTEKELFE
+SYIEGRIVEGQDAEVGLSPWQVMLFRKSPQELLCGASLISDRWVLTAAHCLLYPPWDKNF
+TVDDLLVRIGKHSRTRYERKVEKISMLDKIYIHPRYNWKENLDRDIALLKLKRPIELSDY
+IHPVCLPDKQTAAKLLHAGFKGRVTGWGNRRETWTTSVAEVQPSVLQVVNLPLVERPVCK
+ASTRIRITDNMFCAGYKPGEGKRGDACEGDSGGPFVMKSPYNNRWYQMGIVSWGEGCDRD
+GKYGFYTHVFRLKKWIQKVIDRLGS
+>tr|E1BE16|E1BE16_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=3
+MAAQGTCSVSSPNVSPTSVSPPTPPPPSTGISKSTLVDSKASSLSPQSRSAGHEDLLPLA
+VFYGPLDAKNPLLASCEKEIQELLDFMKRKKALATTEEQKYEFHRLCATSLFNIWTKYAP
+RLPAAYYNEKLLKVGDSLCEMKEYKLALLQCYGRYLQEFSISFDENKADVNQFKTVFFPK
+GFGDKTAAHTFHALNGKNICNYQLVCDSDVNLQNKESVTHCLQILSSFRLIMQVALPQEH
+LCWIIFNGTIYIYTICRKLMIRGHSSKALEYLLWASICMESSVPLLSIRYLTWRATLYTA
+VCQCYYDCQAGVHGEAFARRALAKIDELRQLELMSSSQPQEESREYYREATIKMAVMIFK
+RGVFESRRKNKSFFRPKIRVNIKEAQTMPWPRTATEKLLEELFDSTASRFLAVLEALSDS
+NRRILQTGPVFTDEVEVRDVVSELFMAGKELLISK
+>tr|A0A3Q1LMM8|A0A3Q1LMM8_BOVIN Zinc finger protein 644 OS=Bos taurus OX=9913 GN=ZNF644 PE=4 SV=1
+MTPLFLSRDSLLETSKVHFTKLNVLNGLTNNMDDLKINTDVTGAKEELLDDNSFISDKES
+GVHKPKDCQASFQKNNTFTLPEELSKDKSEKALSGGQSTLFIHAGAPTVSSENFILPKGA
+AVNGPVSHSSLTKTSNMNKGSVSLTTGQPVDQPTTESCSGLKVAADLQLSTPQKASQHQV
+LFLLSDVAHAKNPTHSIKKLPTSASIGCDIQNSVGSGIKSDSTLINQVEVGEDSEDLLVK
+NDCVSTLTGISSGTDEFRSDNDTNWDPQKEFIQFLITNDDTVDKAPVHSKVGLEKKRKRK
+MDVSKITRYTEDCFSDSNCVPNKSKMLEVDFMEQNEDVQAIDSRTYALSQVKPESADEDL
+ESVDTFQHLIFNSDKCGEDSSPVHTSTFLSNTLKKKCEESDSESPVTFSTEEPSFYPCTK
+CNVNFREKKHLHRHMMYHLDGNSHFRHLNVPRPYACRECGRTFRDRNSLLKHMIIHQERR
+QKLMEEIRELKELQDEGRSARLQCPQCVFGTNCPKTFVQHAKTHEKDKRYYCCEECNFMA
+VTENELECHRGIAHGAVVKCPIVSSDVVQRKTHKKAFMKDPVIGSSKKSATYICKMCPFT
+TSVKSIFKKHMEYLHSSSCIDSFGSPLGLDKRKSDIIEEPIDTDSPKPLTKQQSTTFPKN
+SALKQDVKRTFGSSSQSSNFSKFHKRPHRIQKARKSIAQSGVNVCSQNSSPHKTVMIKSS
+IDQKPKYFHQTAKEKSNAKANSNYLYRHKYENYRMIKKSGESYPLHFKKEEASSLNSLHL
+FSSSNSHNNSFISDPHNSDTKRPEGFKDHRRVAVKRVVKESKKESSVGGEDLDSYPDFLH
+KMTVVVLQKLNSTEKKDSYETEDESSWDNVELGDYTTQTIEDETYHDINQEHVNIFPLFK
+SKVEGQQSGENATLSYDQNDGFYFEYYEDGGTNNFLHEIHDPQHLENAETALSKHSSVFH
+WTDLSLEKKSCPYCPATFETGVGLSNHVRGHLHRAGLSYEARHVVSPEQIATSDKMQHFK
+RTGTGTPIKRVRKAIEKSETTSEHTCQLCGGWFDTKIGLSNHVRGHLKRLGKTKWDAHKS
+PICVLNEMMQNEEKYEKILKALNSRRIIPRPFVAQKLASSDDFLSQNVIPLEAYRNGLKT
+EALSVSASEEEGLSFLNEYDETKPELPSGKKNQSLTLIELLKNKRMGEEKNSSVSPQKIH
+NQTARKRFVQKCVLPLNEDSPLMYQPQKMDFTMHSALDCKQKKSRSRSGSKKKLLTLPHG
+ADEVYILRCRFCGLVFRGPLSVQEDWIKHLQRHIVNANLPRTGAGMVEVTSLLKKPASIT
+ETSFSLLMAEAAS
+>tr|E1B7D2|E1B7D2_BOVIN Vestigial like family member 1 OS=Bos taurus OX=9913 GN=VGLL1 PE=4 SV=2
+MEDVTKTTVHTQSPIKTEWNSRCVLFTYFQGDISSVVDEHFSRALGNVKSPQGQNPSSPS
+GDVLLRNDSDMPPNQWRFSAPWTKPQPEVSFANGAASCSLNGSGLMPMDQYPLSLTGGPS
+VQPGELWHFSSLASPSFPEPGYPHAFSTGHLVPEPQPEGKYEPLLSLLQPERCLTHPQES
+ASWEDGNSAGSTGLLFNLPPSSAHCKEMPPSTVGGVSPSLAFCTLDEFSFTAPWSVPERN
+>tr|F6QC40|F6QC40_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=C29H11orf98 PE=4 SV=1
+MPPGSQRTQTVRKPPIPVLSGTHHQAPMSAEAALWNIPISCLPGALRELKKKLFKRRRVL
+NRERRLKHRVVGAVIDEGLITRHHLKKRASSARANITLSGKKRRKLLQQIRLAQKEKAAM
+EVEAPPKPTRTSDPQPRSKKKTKAPQDVDMEDLEDKS
+>tr|F1MDV4|F1MDV4_BOVIN Ring finger protein, transmembrane 1 OS=Bos taurus OX=9913 GN=RNFT1 PE=4 SV=3
+MQANCSQLHSPSGAAGSEDASASQCVQARLTGEASCLYSGDVHIPISSLPKECAENPSSR
+NTRSGVHSCTHGCTHSRLRSHTHNEARQPDDSDMEYGDHGSSSFSEFRYLFKWLQKSLPY
+ILILGVKLVMQHTTGISLGIGLLTTFIYANKSIVNQVFLRERCSKIQCAWLLVFLAGSSV
+LLYYTFHSQSLYYSLIFLNPTLDYSSFWEVLWVVGITDFILKFLFMGLKCLILLVPSLIM
+PFKSKGYWYMLLEELCQYYRTFVPIPVWFRYLISYGEFGNVTRWSLGILLALLYLILKLL
+DFFGHLRTFRQVLRIFVTRPSYGVPASKRQCSDVDDICSICQAEFQKPILLICQHIFCEE
+CITLWFNREKTCPLCRTVISDHINKWKDGATSSHLQIY
+>tr|A0A452DIM7|A0A452DIM7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=CALM3 PE=3 SV=1
+MSPRVRCPPTAEFKEAFSLFDKDGDGTITTKELGTVMRSLGQNPTEAELQDMINEVDADG
+NGTIDFPEFLTMMARKMKDTDSEEEIREAFRVFDKDGNGYISAAELRHVMTNLGEKLTDE
+EVDEMIREADIDGDGQVNYEEFVQMMTAK
+>tr|A0A3Q1MD45|A0A3Q1MD45_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 PE=3 SV=1
+MLSWLVIAFLPRGPSPLPAPSPLTRDPLQEEHRAGSHPSPPPGSHSLSYFCTCVSRPGLG
+EPRFFAVGYVDRTQFARFDSDAPNPRMEPRAPWMEQEGPEYWEEMTRDAKKAQQRMRLAL
+NNLRGYYNQSEAGSHTLQFVFGCHVGLDGRLLHLRSWTAANTVAQITKRKWETSGEAEFQ
+RNYLEVKCVQWLLRHLEKGKDTLLRADPPKTNVAHHRISDHDITLRCWALGFYPEEISLT
+WQRDREDQTQDMEFVETRPSGDGTFQKWVALVVPSGEEQRYTCCVQHEGLQKPLTLRWGK
+EGVEMETSSALHSHNGHHCWTVLLMVTGAVVTEAVIWRKKHSGRERRVGSEFSCLTGQVS
+GPGGRLPASLLESTLHTHVESELMLRLTLL
+>tr|E1BLF6|E1BLF6_BOVIN Zinc finger CCCH-type containing 12D OS=Bos taurus OX=9913 GN=ZC3H12D PE=4 SV=3
+MQRSSKMEFFQKLGYSREDVARVLGKLGEDALVNDVLQELIQTGSRPAAPEGSAAPLLVP
+RGSCGTPDSAQGGLGADPGEDSGGPASSLRPIVIDGSNVAMSHGNKEIFSCRGIQLAVDW
+FRDRGHTYIKVFVPSWRKEPPRSDAPITEQHVLEELERQAVLVYTPSRKVSGKRVVCYDD
+RYIVKVAYDLDGVIVSNDNYRDLQSENPEWKWFIEQRLLMFSFVNDRFMPPDDPLGRRGP
+TLSNFLSRKPKPPEPSWQHCPYGKKCTYGIKCKFYHPERPHQAQLAVADELRAQTRAWRG
+AGGEEERRGSARAASLAEQGGSRDAPAARPGPRELGTRSLPTARRSAGLATLESSFSRLF
+LSDDPGLLRAPHRGPGCGLAPGQPGPDWVAPGSLSSLPARPGLLSPPGLRGEYGPRAPQS
+DRRSPRQQPSDPWVLPPGAGQLPGRSAWAESDWDDGAFGGPLRPAPQAVAGEVDARSRAR
+TALCSIFPPHEVDSIMALFPELSDVARLMLLIQRFQRSSAPVGKR
+>tr|F1MS38|F1MS38_BOVIN Protein NDRG1 OS=Bos taurus OX=9913 GN=NDRG1 PE=4 SV=2
+MSRELQDVDLAEVKPLVEKGEEQDIETLHGSIHVTLCGTPKGNRPVILTYHDIGMNHKTC
+YNPLFNSEDMQEITQHFAVCHVDAPGQQDGAASFPTGYMYPSMDQLAEMLPGVLQQFGLK
+SIIGMGTGAGAYILTRFALNNPEMVEGLVLINVNPCAEGWMDWAASKISGWTQALPDMVV
+SHLFGKEEMQNNVEVVHAYRHHVMNDMNPGNLQLFINAYNSRRDLEIERPMPGAHTVTLQ
+CPALLVVGDSSPAVDAVVECNSKLDPTKTTLLKMADCGGLPQISQPAKLAEAFKYFVQGM
+GYMPSASMTRLMRSRTASGSSVTSLEGARSRSHTSEGTRSRSHTSEGTRLDIIPNSGGPG
+SSAGPNSTEVSC
+>tr|G3MZT0|G3MZT0_BOVIN Pleckstrin homology domain containing B1 OS=Bos taurus OX=9913 GN=PLEKHB1 PE=4 SV=1
+MSPAAPVPPDSTLESPFEEMALVRGGWLWRQSSILRRWKRNWFALWLDGTLGYYHDETAQ
+DEEDRVLIHFNVRDIKIGQECHDVQPPEGRSRDGLLTVNLREGSRLHLCAETRDDAIAWK
+TALLEANSTPVRVYSPYQDYYEVVPPNAHETTYVRSYYGPPYVGPGVTHVVVREDPCYSA
+GAPLAMGMLAGAATGAALGSLMWSPCWF
+>tr|E1BPN5|E1BPN5_BOVIN Slingshot protein phosphatase 2 OS=Bos taurus OX=9913 GN=SSH2 PE=4 SV=3
+MTLSTLAREKKAPLACTCSLGGPDMIPYFSANAVISQNAINQLISESFLTVKGAALFLPR
+GNGSSTPRVSHRRNKHAGDLQQHLQAMFILLRPEDNIRLAVRLESTYQNRTRYMVVVSTN
+GRQDTEESIVLGMDFSSNDSSTCTMGLVLPLWSDTLIHLDGDGGFSVSTDNRVHIFKPVS
+VQAMWSALQSLHKACEVARMHNYYPGSLFLTWVSYYESHINSDQSSVNEWNAMQDVQSHR
+PDSPALFTDIPTERERTERLIKTRLREIMMQKDLENITSKEIRTELEMQMVCNLREFKEF
+IDNEMIVILGQMDSPTQIFEHVFLGSEWNASNLEDLQNRGVRYILNVTREIDNFFPGVFE
+YHNIRVYDEEATDLLAYWNDTYKFISKAKKHGSKCLVHCKMGVSRSASTVIAYAMKEYGW
+NLDRAYDYVKERRTVTKPNPSFMRQLEEYQGILLASKQRHNKLWRSHSDSDLSDHHEPIC
+KPGLELNKKEITTSADQIAEVKTMESHPPIPPVFVEHVVPQDENQKALCTKERMICLEFT
+SQEFHAGQIEDELNLNDINGCSSGCCLNEPKFPLDNCHASKALIQPGKDPEMAHKFPDLT
+VEDLETDALKADMNVHLLPMEELTSRLKDLPMSPDPESPSPQPSCQATVSDFSGDRIDFF
+SALEKFVELSQETRSRSFSHSRMEEVGGGRNESCRLSVVEAAPSEATTDDQRSSSLSNTP
+HASEESSVDEEQSKAISEQVSPDIFMQPHSENAMSVKEIVTEIESISQGVGQIQVKGDVL
+SNPCHTPKKHIVHELPLERAQAAENRPGNLEQSEGSCPAQPEPAKDSGKGDPEGCPGAHS
+STAEVEEEEPVEGEQELWGPGMPPGAKWYPGSVRRATLEFEERLRQEQEHHGAAPAGTSL
+STRKNSKNDSSVADLAAKGKSDEAAPELSYVPKEPETGRGQGRCGGSESGSLLRPEQLAI
+VPAPELRESHPAPATQDGPRHEGILREPRTVVSGQGPETPAAPAAFPKKIEIIEYTYTAT
+SPDPGPGWERASSEKSGAQGLRTVKVEETLTVLCALDENLNRTLSPDQAPLHPRVLPPPH
+SSSPQHEHSRPVDLPPTLSSPAAPIVSPTTQPCGASPDHLHPQTVVHLEGFTGQSSTTDS
+EPSTEQGSWEESQEGPLSRASEVPYQGSQFSSEDLHLISQLGNNIGEQPEKLDPTSVAHQ
+LPHSSSSDSIESPSQSPRVVKGRAKEIESRATSQVGLPKPPQLRRSASLAKLGYLDLCKD
+CSPEREPVSSESPHLKLLQPFLRTDSGMEAQEPPENPDTPQNREPTKYLIEQLRTTECIA
+QSRPVERPLAQYAKEFGSSQQCLLPRAGPGLTSSEGGLPSVPTQGLQDTSPAPGLAVAPR
+EQHGRTHPLRRLRKANDKKRTTNPFYNTM
+>tr|F1MJI3|F1MJI3_BOVIN SLIT-ROBO Rho GTPase activating protein 3 OS=Bos taurus OX=9913 GN=SRGAP3 PE=4 SV=2
+MSSQTKFKKDKEIIAEYEAQIKEIRTQLVEQFKCLEQQSESRLQLLQDLQEFFRRKAEIE
+LEYSRSLEKLAERFSSKIRSSREHQFKKDQYLLSPVNCWYLVLHQTRRESRDHATLNDIF
+MNNVIVRLSQISEDVIRLFKKSKEIGLQMHEELLKVTNELYTVMKTYHMYHAESISAESK
+LKEAEKQEEKQFNKSGDLSMNLLRHEDRPQRRSSVKKIEKMKEKRQAKYSENKLKCTKAR
+NDYLLNLAATNAAISKYYIHDVSDLIDCCDLGFHASLARTFRTYLSAEYNLETSRHEGLD
+VIENAVDNLDSRSDKHTVMDMCNQVFCPPLKFEFQPHMGDEVCQVSAQQPVQTELLMRYH
+QLQSRLATLKIENEEVRKTLDATMQTLQDMLTVEDFDVSDAFQHSRSTESVKSAASETYM
+SKINIAKRRANQQETEMFYFTKFKEYVNGSNLITKLQAKHDLLKQTLGEGERAECGTTRP
+PCLPPKPQKMRRPRPLSVCSHKLFNGCMETFIKDSGQAIPLVVESCIRYINLYGLQQQGI
+FRVPGSQVEVNDIKNSFERGEDPLVDDQNERDINSVAGVLKLYFRGLENPLFPKERFQDL
+ISTIKLENPAERVHQIQQILITLPRVVIVVMRYLFAFLNHLSQYSDENMMDPYNLAICFG
+PTLMHIPDGQDPVSCQAHVNEVIKTIIIHHEAIFPSPRELEGPVYEKCMAGGEEYCDSPH
+SEPGTIDEVDHDNGTEPHTSDEEVEQIEAIAKFDYVGRSPRELSFKKGASLLLYHRASED
+WWEGRHNGVDGLIPHQYIVVQDMDDAFSDSLSQKADSEASSGPLLDDKASSKNDLQSPTE
+HISDYGFGGVMGRVRLRSDGAAIPRRRSGGDTHSPPRGLGPSIDTPPRAAACPSSPHKIP
+LTRGRIESPEKRRMATFGSAGSINYPDKKALSEGHSMRSTCGSTRHSSLGDHKSLEAEAL
+AEDIEKTMSTALHELRELERQNTVKQAPDVVLDTLEPLKNPPGPISSEPASPLHTIVIRD
+PDAAMRRSSSSSTEMMTTFKPALSARLAGAQLRPPPMRPVRPVVQHRSSSSSSSGVGSPA
+VTPTEKMFPNSSADKSGTM
+>tr|A0A3Q1M7Y3|A0A3Q1M7Y3_BOVIN Ras-related C3 botulinum toxin substrate 1 OS=Bos taurus OX=9913 GN=RAC1 PE=4 SV=1
+MQAIKCVVVGDGAVGKTCLLISYTTNAFPGEYIPTVFDNYSANVMVDGKPVNLGLWDTAG
+QEDYDRLRPLSYPQTVGETYGKEIPSRGKEKPIADVFLICFSLVSPASFENVRAKWYPEV
+RHHCPNTPIILVGTKLDLRDDKDTIEKLKEKKLTPITYPQGLAMAKEIGMRPPLSQMLSP
+LLFLWWRLEPTWGSGAGVWPAFRTDWAKQGPLCSGQGGGATAPRSEGEAVFPTGAVKYLE
+CSALTQRGLKTVFDEAIRAVLCPPPVKKRKRKCLLL
+>tr|A0A3Q1MHA8|A0A3Q1MHA8_BOVIN RING finger protein 112 OS=Bos taurus OX=9913 GN=RNF112 PE=4 SV=1
+MPRSALSVISFCHRLGKQARSWSHTPFPKLELGLGSRPTAPREPPACSICLERPREPISL
+DCGHDFCPRCFSTHRVPGCGPPCCPECRKTCKRRKGLRGLGERMRLLPQRPLPAAALQET
+CAVRAEPLLLVRINASGGLILRMGAINRCLKHPLARDTPVCLLAVLGGPHSGKTFLLNHL
+LQGLPGLASGEGSWPRSAGSGQGFRWGANGLSRGIWMWSHPFLLGKEGRKVAVFLVDTGD
+VMSPELSRETRTRLCALTSMLSSYQILTASQELKDTDLEHLETFVHVAEVMGRHYGMVPI
+QVRARLWTWSPDPDAPAAAVSTPSLPKSSGKYPKVQGLLQGRRARCYLLPAPGRRWASRG
+HGSSGDDDAGRLRAYVADVLSAAPQHAKSRCPGYWSEGRPAARGDRRLLTGQQLAQEVKN
+LSGWMGRTGPSCASPDEMAAQLHDLRTVEAAKKEFEEYVRQQDAATKRIFSALRVLPDTM
+RNLLSAQKDTLLARHGATLLCTGREQTLEALEAELQAEAKAFMDSYTVRFCGHLAAVGGA
+VGAGLMGLAGGVVGAGMAAAALAAEAGMVAAGAAVGATGAAVVGGGVGAGLAATVGCMEK
+EEDERVQEGDREPLLQEE
+>tr|A0A3Q1NEG3|A0A3Q1NEG3_BOVIN KRAB domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MPDHLTCLLRNLYAGQEATVRTGHGTIDWDVAIHFSQQEWECLNPVQKNLYQDVMMENYD
+NLVSLAGHSISKPDVITLLEQGKEPWMVVREETRRWCAGQYKQVKLWGHIHVSKSPVVKE
+THI
+>tr|A0A3Q1MLX5|A0A3Q1MLX5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MLLKEARNAGGIPRALKMYLQADVENDGARDVEIREVHAQLPGQLEEGEQGAGKPLAEDP
+VRAGGRGRARGPEGQR
+>tr|F1N435|F1N435_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC107133203 PE=3 SV=3
+VKTLWSFLQISRNMHLGNQTSVSEFLLLGLSTQPEQQELLFALFLAMYLATVVGNGLIIL
+AIGLDSYLHTPMYLFLANLAFADISSISTSVPKMLMNIQTKSPSISYESCVTQMYFSIVF
+VVIDNFLLGVMACDRFVAICHPLNYTAIMGPRLCLWLTAIPWVLSNAVALTHTLLLLRLV
+FCDGNALPHFFCDLAPLLRLSCSDTTANELVLFVVGSWVVTLPFALTLISYVCVVRAVLR
+LSPTEGRWKAFSTCGSHLTVVFLFYGTIAGVYFFPSSSDPDNRDKIGAVLFTVVTPMMNP
+FIYSLRNKDMKGALRRLLHGRRALPVMP
+>tr|A0A3Q1N827|A0A3Q1N827_BOVIN Spectrin alpha, non-erythrocytic 1 OS=Bos taurus OX=9913 GN=SPTAN1 PE=1 SV=1
+MLSSFRKRRVQKMDPSGVKVLETAEDIQERRQQVLDRYHRFKELSTLRRQKLEDSYRFQF
+FQRDAEELEKWIQEKLQIASDENYKDPTNLQGKLQKHQAFEAEVQANSGAIVKLDETGNL
+MISEGHFASETIRTRLMELHRQWELLLEKMREKGIKLLQAQKLVQYLRECEDVMDWINDK
+EAIVTSEELGQDLEHVEVLQKKFEEFQTDMAAHEERVNEVNQFAAKLIQEQHPEEELIKT
+KQDEVNAAWQRLKGLALQRQGKLFGAAEVQRFNRDVDETISWIKEKEQLMASDDFGRDLA
+SVQALLRKHEGLERDLAALEDKVKALCAEADRLHQSHPLSATQIQVKREELITNWEQIRT
+LAAERHARLNDSYRLQRFLADFRDLTSWVTEMKALINADELANDVAGAEALLDRHQEHKG
+EIDAHEDSFKSADESGQALLAAGHYASDEVREKLTVLSEERAALLELWELRRQQYEQCMD
+LQLFYRDTEQVDNWMSKQEAFLLNEDLGDSLDSVEALLKKHEDFEKSLSAQEEKITALDE
+FATKLIQNNHYAMEDVATRRDALLSRRNALHERAMYRRAQLADSFHLQQFFRDSDELKSW
+VNEKMKTATDEAYKDPSNLQGKVQKHQAFEAELSANQSRIDALEKAGQKLIDVDHYAKDE
+VAARMNEVISLWKKLLEATELKGIKLREANQQQQFNRNVEDIELWLYEVEGHLASDDYGK
+DLTNVQNLQKKHALLEADVAAHQDRIDGITIQARQFQDAGHFDAENIKKKQEALVARYEA
+LKEPMVARKQKLADSLRLQQLFRDVEDEETWIREKEPIAASTNRGKDLIGVQNLLKKHQA
+LQAEIAGHEPRIKAVTQKGNAMVEEGHFAAEDVKAKLHELNQKWESLKSKASQRRQDLED
+SLQAQQYFADANEAESWMREKEPIVGSTDYGKDEDSAEALLKKHEALMSDLSAYGSSIQA
+LREQAQSCRQQVAPMDDETGKELVLALYDYQEKSPREVTMKKGDILTLLNSTNKDWWKVE
+VNDRQGFVPAAYVKKLDPAQSASRENLLEEQGSIALRQEQIDNQTRVTKEAGSVSLRMKQ
+VEELYHSLLELGEKRKGMLEKSCKKFMLFREANELQQWINEKEAALTSEEVGADLEQVEV
+LQKKFDDFQKDLKANESRLKDINKVAEDLESEGLMAEEVQAVQQQEVYGAMPRDESDSKT
+ASPWKSARLMVHTVATFNSIKELNERWRSLQQLAEERSQLLGSAHEVQRFHRDADETKEW
+IEEKNQALNTDNYGHDLASVQALQRKHEGFERDLAALGDKVNSLGETAERLIQSHPESAE
+DLQEKCTELNQAWSSLGKRADQRKAKLGDSHDLQRFLSDFRDLMSWINGIRGLVSSDELA
+KDVTGAEALLERHQEHRTEIDARAGTFQAFEQFGQQLLAHGHYASPEIKEKLDVLDQERA
+DLEKAWVQRRMMLDQCLELQLFHRDCEQAENWMAAREAFLNTEDKGDSLDSVEALIKKHE
+DFDKAINVQEEKIAALQSFADQLIAGGHYAKGDISSRRNEVLDRWRRLKAQMIEKRSKLG
+ESQTLQQFSRDVDEIEAWISEKLQTASDESYKDPTNIQSKHQKHQAFEAELHANADRIRG
+VIDMGNSLIDRGACAGSEDAVKARLAALADQWQFLVQKSAEKSQKLKEANKQQNFNTGIK
+DFDFWLSEVEALLASEDYGKDLASVNNLLKKHQLLEADISAHEDRLKDLNSQADSLMTSS
+AFDTSQVKDKRDAINGRFQKIKSMAASRRARLNESHRLHQFFRDMDDEESWIKEKKLLVS
+SEDYGRDLTGVQNLRKKHKRLEAELAAHEPAIQGVLDTGKKLSDDNTIGKEEIQQRLAQF
+VEHWKELKQLAAARGQRLEESLEYQQFVANVEEEEAWINEKMTLVASEDYGDTLAAIQGL
+LKKHEAFETDFTVHKDRVNDVCTNGQDLVKKNNHHEENISSKMKGLNGKVSDLEKAASQR
+KAKLDENSAFLQFNWKADVVESWIGEKENSLKTDDYGRDLSSVQTLLTKQETFDAGLQAF
+QQEGIANITALKDQLLAAKHVQSKAIEARHAALMKRWSQLLANSATRKKKLLEAQSHFRK
+VEDLFLTFAKKASAFNSWFENAEEDLTDPVRCNSLEEIKALREAHDAFRSSLSSAQADFN
+QLAELDRQIKSFRVASNPYTWFTMEALEETWRNLQKIIKERELELQKEQRRQEENDKLRQ
+EFAQHANAFHQWIQETRTYLLDGIAYRRVIRVYQYEVGDDLSGRSCMVEESGTLESQLEA
+TKRKHQEIRAMRSQLKKIEDLGAAMEEALILDNKYTEHSTVGLAQQWDQLDQLGMRMQHN
+LEQQIQARYLRLPWAGAGGHRSPAAWAGGPGP
+>tr|A0A3Q1M6V5|A0A3Q1M6V5_BOVIN Pleckstrin homology like domain family B member 1 OS=Bos taurus OX=9913 GN=PHLDB1 PE=4 SV=1
+MDTLNRNQVGPGCKTPGLVQKGPLDLIETGKGLKVQTDKPHLVSLGSGRLSTAITLLPLE
+EGRTVIGSAARDISLQGPGLAPEHCYIENLRGTLTLYPCGNACTIDGLPVRQPTRLTQGC
+MLCLGQSTFLRFNHPAEAKWMKSMIPAGGRAPGPPYSPGPESESLVNGNHTPQHASRGPS
+ACGSHSSLVSSIEKDLQEIMDSLVLEEPGAAGKKPAATSPLSPMANGGRYLLSPPTSPGA
+MSVGSSYENTSPAFSPLSSPASSGSCASHSPSGQEPAPSLPPLVPARSSSYHLGLQPPQS
+RPSGARPSESPRLGRKGGHERPPSPGLRGLLTDSPAATVLAEARRATESPRPGGQLPVVA
+ISLSEYPASGARGPPTSIPGSPKFQPPVPAPRNKIGTLQDRPPSPFRELPGAERVLTTSP
+SRQLVGRTFSDGSATRTLQPPESPRLGRRGLDSMRELPPLSPSLSRRALSPVSTRTAPDP
+KLTREVAESPRPRRWAAHGASPEDFSLTLGARGRRTRSPSPTLGESLAPRKGSFSGRLSP
+AYSLGSLTGASPRQSPRAQRKLSSGDLRVPVTRERKNSITEISDNEDDLLEYHRRQRQER
+LREQEMERLERQRLETILNLCAEYSRADGGPEAGELPSIGEAAAALALAGRRPSRGLAAG
+TGAPGRGSEEPGGAAQRLWESVERSDEENLKEECSSTESTQQEHEDAPGTKLQGEVLALE
+EERAQVLGRVEQLKVRVKELEQQLQESAREAEMERALLQGEREAERALLQKEQKAVDQLQ
+EKLVTLETSIQKERDKERAELAAGRRHLEARQALYAELQTQLDNCPESVREQLQEQLRRE
+AEALETETKLFEDLEFQQLERESRVEEERELAGQGLLRSKAELLRSIAKRKERLVVLDSQ
+AGQIRSQAVQESEHLARDKNAALQLLQKEKEKLAMLERRYHSLTGGRPFPKTTSTLKEVY
+RSKMDGEATSPLPRTRSGPLPSSSGSSSSSSQLSVATLGRSPSPKSTLLAQNGTSSLPRN
+LAATLQDIETKRQLALQQKVESLPAEPLPTDDPAGQQVIEEQRRRLAELKQKAAAEAQCQ
+WDALHGVAPFPTGPAGFPPLMHHSILHHLPASRERGEEGEHAYDTLSLESSDSMETSIST
+GGNSVCSPDNMSSASGLDMGKIEEMEKMLKEAHAEKSRLMESREREMELRRQALEEERRR
+REQVERRLQSESARRQQLVEKEVKMREKQFSQARPLTRYLPIRKEDFDLKTHIESSGHGV
+DTCLHVVLSSKVCRGYLVKMGGKIKSWKKRWFVFDRLKRTLSYYVDKHETKLKGVIYFQA
+IEEVYYDHLRSAAKVRALGKGWASGALGVEASSLPTSEARTPSSFSCKLSLPLSLSQKRF
+LSFTMVTEVPLPTHL
+>tr|G3MYR4|G3MYR4_BOVIN Gamma-aminobutyric acid receptor subunit gamma-2 OS=Bos taurus OX=9913 GN=GABRG2 PE=3 SV=1
+MSLTNIWSTGSSVYSTPVFSQKMTLWILLLLSLYPGLTRQKSDDDYEDYASNKTWVLTPK
+VPEGDVTVILNNLLEGYDNKLRPDIGVKPTLIHTDMYVNSIGPVNAINMEYTIDIFFAQT
+WYDRRLKFNSTIKVLRLNSNMVGKIWIPDTFFRNSKKADAHWITTPNRMLRIWNDGRVLY
+TLRLTIDAECQLQLHNFPMDEHSCPLEFSSYGYPREEIVYQWKRSSVEVGDTRSWRLYQF
+SFVGLRNTTEVVKTTSGDYVVMTVYFDLSRRMGYFTIQTYIPCTLIVVLSWVSFWINKDA
+VPARTSLGITTVLTMTTLSTIARKSLPKVSYVTAMDLFVSVCFIFVFSALVEYGTLHYFV
+SNRKPSKDKDKKKKNPAPTIDIRPRSATIQMNNATHLQERDEEYGYECLDGKDCASFFCC
+FEDCRTGAWRHGRIHIRIAKMDSYARIFFPTAFCLFNLVYWVSYLYL
+>tr|F1MQ96|F1MQ96_BOVIN Protein kinase C OS=Bos taurus OX=9913 GN=PRKCI PE=3 SV=3
+MPTQRDSSTMSHPIAGGGIGDHSHQVRVKAYYRGDIMITHFEPSISFEGLCNEVRDMCSF
+DNEQLFTMKWIDEEGDPCTVSSQLELEEAFRLYELNKDSELLIHVFPCVPERPGMPCPGE
+DKSIYRRGARRWRKLYCANGHTFQAKRFNRRAHCAICTDRIWGLGRQGYKCINCKLLVHK
+KCHKLVTIECGRHSLPPEPMMPMDQSSMHSDHAQTVIPYNPSSHESLDQVGEEKEAMNTR
+ESGKASSSLGLQDFDLLRVIGRGSYAKVLLVRLKKTDRIYAMKVVKKELVNDDEDIDWVQ
+TEKHVFEQASNHPFLVGLHSCFQTESRLFFVIEYVNGGDLMFHMQRQRKLPEEHARFYSA
+EISLALNYLHERGIIYRDLKLDNVLLDSEGHIKLTDYGMCKEGLRPGDTTSTFCGTPNYI
+APEILRGEDYGFSVDWWALGVLMFEMMAGRSPFDIVGSSDNPDQNTEDYLFQVILEKQIR
+IPRSLSVKAASVLKSFLNKDPKERLGCHPQTGFADIQGHPFFRNVDWDMMEQKQVVPPFK
+PNISGEFGLDNFDSQFTNEPVQLTPDDDDIVRKIDQSEFEGFEYINPLLMSAEECV
+>tr|G3X749|G3X749_BOVIN Zinc finger protein 503 OS=Bos taurus OX=9913 GN=ZNF503 PE=4 SV=2
+MSTAPSLSALRSSKHSGGGGGSADPAWTSALSGNSSGPGPGSSPAGSTKPFVHAVPPSDP
+LRQANRLPIKVLKMLTARTGHILHPEYLQPLPSTPVSPIELDAKKSPLALLAQTCSQIGK
+PDPSPSSKLSSVASNGGGTGGAGGGAGGDKDAKSGPLKLSDIGVEDKSSFKPYSKPGSDK
+KEPGGGGGGGGGGGGGGGSPSSSASACSPGGMLPSAGGGPDGKDDKKDPEAGGGGGGTKG
+SGGSSAEGGPTGLAHGRISCGGGINVDVNQHPDGGPGGKALGSDCGGSSGSGSGSGPSAP
+TSSSVLGSGLVAPVSPYKPGQTVFPLPPAGMTYPGSLAGAYAGYPPQFLPHGVALDPTKP
+GSLVGAQLAAAAAGSLGCSKPAGSSPLAGASPPSVMTASLCRDPYCLSYHCASHLAGAAA
+ASASCAHDPAAAAAALKSGYPLVYPTHPLHGVHSSLTAAAAAGATPPSLAGHPLYPYGFM
+LPNDPLPHICNWVSANGPCDKRFATSEELLSHLRTHTAFPGTDKLLSGYPSSSSLASAAA
+AAMACHMHIPTSGAPGSPGTLALRSPHHALGLSSRYHPYSKSPLPTPGAPVPVPAATGPY
+YSPYALYGQRLTTASALGYQ
+>tr|A0A3Q1LZF1|A0A3Q1LZF1_BOVIN Apoptosis inducing factor, mitochondria associated 3 OS=Bos taurus OX=9913 GN=AIFM3 PE=4 SV=1
+MGGCFSKPKPVELKIEVVLPEKDRGKEELSTGSRGSPRAYQGNGAARHFHADERLPTAHP
+YPGAQDCVEAAVCHVKDLENGQMREVELGWGKVLLVKDNGELHALGHKCPHYGAPLVKGV
+LSRGRVRCPWHGACFNVSTGDLEDFPGLDSLHKFQVKIEKEKVYIRASKQALQLQRRTKV
+MATCISPSAGYSGSTNVLIVGAGAAGLVCAETLRQEGFSDRIVLCTLDRHLPYDRPKLSK
+SLDAQPEQLALRPKEFFRAHGIEVLTEAQVVTVDVRNKKAVFKDGFKLEYSKLLLAPGSS
+PKTLSCKGKDVENVFTIRTPEDANRVVRLARGRNAVVVGAGFLGMEVAAYLTEKAHSVSV
+VEVEETPFRRFLGERVGHTLMKMFENNRVKFYMQTEVSELRAQEGKLKEVVLKSSKVVRA
+DVCVVGIGAVPATGFLRQSGISLDSRGFIPVNKMMQTNIPGVFAAGDAVTFPLAWRNNRK
+VNIPHWQMAHAQGRVAAQNMLAQEAEISTVPFLWTAMFGKSLRYAGYGEGFDDVIIQGDL
+DELKFVAFYTKGDEVISVASMNYDPIVSKVAEVLASGRTIRKREVETGDMSWLTGKGS
+>tr|A0A3Q1LWC6|A0A3Q1LWC6_BOVIN AP complex subunit beta OS=Bos taurus OX=9913 GN=AP4B1 PE=3 SV=1
+MPYLGSEDVVKELKKALCNPHIQADRLRYRSVIQRVIRHMTQGVDMSGVFMEMVKASATV
+DIVQKKLVYLYMCTYAPLKPDLALLAINTLCKDCSDPNPMVRGLALRSMCSLRMPGVQEY
+IQQPILNGLRDKASYVRRVAVLGCAKMHNLHGDSEVDGALVNELYSLLRDQDPIVVVNCL
+RSLEEILKQEGGVVINKPIAHHLLNRMSKLDQWGQAEVLNFLLRYQPRSEEELFDILNLL
+DSFLKSSSPGVVMGATKLFLILAKKFPHVQTDVLMQVKGPLLAACSSESRELCFAALCHV
+RQILHSLPGHFSSHYKKFFCSYSEPHYIKLQKVEVLCELVNDENVQQVLEELRGYCTDVS
+ADFAQAAILAIGGIARTYTDQCMQILTELLGLRQEHITTVVVQTFRDLAWLCPQCTEAVC
+QALPGCEENIQDSEGKQALIWLLGVHGKRIPNAPYVLEDFIENVKSETFPAVKMELLTAV
+LRLFFSRPAECQDMLGRLLHYCIEEEKDMAVRDRGLFYYRLLLAGISEAKQILCSPKSDP
+SLRLLEDQAERPVNSWALDFNTLVPVYGKARWATLSKCQGVEHHGPELPNTAAFPTSGPL
+IPEENKESVQELPDSGALMLIPNHQLTAECFEKTWLSLKVAHQQVFPWQGAVHPDTLQMA
+LQVVNIQTIAMSRAGTQPWKAYLSAQDDTGCLFLTELLLEPANLQMQISVKQSEARTETL
+KSFISVLETVIGTIGDIKS
+>tr|G5E6E9|G5E6E9_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC789812 PE=3 SV=2
+MERTNWTDIEFILQGLSEYPRAEKLLFAMCLLMYLVILLGNSTLIILTLLDSYLHTPMYF
+FLCHLSFLDIWYTSSFIPSMLIHFLSEKKTISFTRCVVQMSVSYTMGSTECVLLAVMAYD
+RSIAICNPLRYPIIINKALCIQMAAVSWGLGFLNSLTETILAVQLPFCGKNLINHFVCEI
+LAFVKLACTDISLNEITIMLGNVIFLFVPLLLICISYIFILSTVLRINSAEGRKKAFSTC
+SAHIMVVTVFYGTILFMYMKPKSKDATFDKLIALFYGVVTPMLNPIIYSLRNTEVRGAMR
+KLTARLVLEERMRNLHL
+>tr|F1MYH8|F1MYH8_BOVIN 26S proteasome non-ATPase regulatory subunit 8 OS=Bos taurus OX=9913 GN=PSMD8 PE=4 SV=1
+MAATAVNGVAGTSSSGSAAASGAILQAAAGMYEQLKGEWNRKSPNLSKCGEELGRLKLVL
+LELNFLPTTGTKLTKQQLILARDILEIGAQWSILRKDIPSFERYMAQLKCYYFDYKEQLP
+ESAYMHQLLGLNLLFLLSQNRVAEFHTELERLPAKDIQTNVYIKHPVSLEQYLMEGSYNK
+VFLAKGNIPAESYTFFIDILLDTIRDEIAGCIEKAYEKILFTEATRILFFNTPKKMTDYA
+KKRGWVLGLNNYYSFASQQQKPEDTTIPSTELAKQVIEYARQLEMIV
+>tr|A0A3Q1MBJ5|A0A3Q1MBJ5_BOVIN Single stranded DNA binding protein 4 OS=Bos taurus OX=9913 GN=SSBP4 PE=4 SV=1
+MYAKGGKGSAVPSDSQAREKLALYVYEYLLHVGAQKSAQTFLSEIRWEKNITLGEPPGFL
+HSWWCVFWDLYCAAPDRREACEHSSEAKAFQDYSAAAAPSPVMGSMAPSDAMASGPMAPS
+FFQPFMSPRFPGGPRPTLRMPSQPPVGLPGSQPLLPGTMEPSPRAQGHSSMGPMQRVTPP
+RGMTSVGPQSYGGGGMRPPPNSLAGPGLPTMNMGPGVRGPWASPSGNSVSIPDGSPTPPP
+PPAGPPGGGGPPGTPIMPSPGDSTNSSENMYTIMNPIGPGAGRANFPLGPGPEGPMAAMS
+AMEPHHVNGSLGDLRADRGRPQSSPGAVAGLSNTPGTPRDDGEMAAAGTFLHPFPSESYS
+PGMTMSV
+>tr|A0A3Q1LPB9|A0A3Q1LPB9_BOVIN IGc1 domain-containing protein OS=Bos taurus OX=9913 GN=MIC1 PE=4 SV=1
+MHVLHPQHLEQVRTQNLSVKHLMCTCLQKAASSVVPPSPGSHSLLYNMTVLSRDGFVQSR
+FFAEGYLDRQAFLHYDHKKGRAEPWGRWPEKLAAETWETETMDLNESWKELRKLLAEILS
+LQEEKGGLHSLQETVGCDINEDSHPQGFRLLYFNGELLLSCYPEPHGCTLPQSSARTLAM
+EMELSKHYQAHVQGELCRRLRSYLESWPGFTERTEPPAVNVTCSQDSEGMVHLTGKAFGF
+FPRSISVVWFRDEEPMSWDAQESGDVLSDGNGTYYTWETVKIPQGEEQWVKCIVEHSGNH
+SSHLAPLGETGVRLEAVVTLGGSEARVRERRAGCGSGVPGLNIIRSFFRKDPGAPEITMD
+REHSCCCCFYHRILCLLLY
+>tr|F1MCX1|F1MCX1_BOVIN Glypican 4 OS=Bos taurus OX=9913 GN=GPC4 PE=1 SV=2
+MARFGLPALFCTLAALSAALLAAEPKSKSCSEVRRLYVSKGFDKNDAPMHEINGDHLKIC
+LQGYTCCSQEMEEKYSLQSKEDFKSVVSEQCNHLQAVFASRYKKFDEFFKELLENAEKSL
+NDMFVKTYGHLYMQNSELFKDLFEELKRYYVAGNVNLEEMLNDFWARLLERMFRLVNSQY
+HFTDEYLECVSKYTEQLKPFGDVPRKLKLQVTRAFVAARTFAQGLAVARDVVSKVSVVNP
+TTQCTHALVKMMYCSHCQGLVTVKPCYNYCSNIMRGCLANQGDLDLEWNNFIDAMLMVAE
+RLEGPFNIESVMDPIDVKISDAIMNMQENSVQVSQKVFQGCGPPKPLPAGRISRSISEGT
+FSARFRPFHPEERPTTAAGTSLDRLVTDVKEKLKQAKKFWSSLPSSVCNDERMAAGSGNE
+DDCWNGKGQSRYLFAVTGNGLANQGNNPEVQVDTSKPDILILRQVMALRVMTSKMKNAYN
+GNDVDFFDISDESSGEGSGSGCESQQCPSEFEYNATDHSGKSANDKASSAGVPAAAWPYL
+LPVFCILSLVMQREWR
+>tr|A0A3Q1M7M6|A0A3Q1M7M6_BOVIN Protein transport protein sec16 OS=Bos taurus OX=9913 GN=SEC16B PE=3 SV=1
+MEPWIPQWLPQPSGRPPAPSKDPDRGLWKDRYYQPIPHSWHNGERVHQRQDVGRSPQPQQ
+DPREDLQEPHYAARSGEWRPPVSGVDYYEGGYPSQLYSRWSIEDLYQSYYSPALREEYAY
+GSYYYHGHPQQLQEERVPRQGSPYIWQEDHRDQKYLNDHQHENQNSPFGTNRETQFQSKS
+RNPYKDSPASNSGQERPEDLFMESPLTGAQKNKLSLMEESNLLWQHESGLTSSSYELSQY
+MADASELCDPMTSAVWSPVQAEDISAAGPKEPMKFYVPHMPVSFGPGGQLVCVSPSSPSD
+GQTALVELHSMEVILNDSEEQEEMRTFSGPLIREDVHKVDIMTFCQQKAAQSHKSETPGS
+RDSALLWQLLVLLCRQNGSMVGSDIAELLMQDCKKLEKYKRQPPVANLINLTDEDWPVLS
+SGTRDLLTGEIPPSVETPAQIVEKFTKLLYYGRKKEALEWAMKNHLWGHALFLSSKMDPR
+THSWVMSGFTSTLALNDPLQTLFQLMSGRIPQAATCCGDKQWGDWRPHLAVILSNQGGDP
+ELYQRTIVAMGDTLGKQRPAPSPPRLCLQASFKHPFGYLQEFLKFATTEAIQRTEIFEYC
+QMLGRPRSFIPSFQVYKLLYASRLADYGLTSQALHYCEAVGTALLSHGESSHPVLLVELI
+KLAERLKLSDPLVLERRRHRGDRDLEPDWLVHLRGHHRELQQKEAGDIGRPQSAQVVISE
+ARKTPENTFYQDLSGPQGNSEAYGDRSALWPTLEQSGPAQLSPQQPRSYPTGGGSGQMGV
+PVPLYSVPETHLLGTSGSMAVTGAPGGTAWEEAQQIHLPPVSPETLQHPDGQKAISRPQV
+PLIPRARSISESSIVSVKEDEEESSEKADKKSSQNTVQREKLGNSSGFGWFSWFRSKPTH
+NASPSGEEDSSDSPDSEEMPRAASLPQPSPSLSLTPSPDPQSLLGASAFSRDTGGDEVRG
+SVSGVGTAEGPGSGGLSGPEGVSTELCFNAGVLLPPPSVKGSVPLYNPSQVPQLSTVTSL
+NRPNRLAQRRYPTQS
+>tr|E1BC59|E1BC59_BOVIN Protein phosphatase 1 regulatory subunit OS=Bos taurus OX=9913 GN=PPP1R12A PE=4 SV=3
+MKMADAKQKRNEQLKRWIGSETDLEPPVVKRQKTKVKFDDGAVFLAACSSGDTDEVLKLL
+HRGADINYANVDGLTALHQACIDDNVDMVKFLVENGANINQPDNEGWIPLHAAASCGYLD
+IAEFLIGQGAHVGAVNSEGDTPLDIAEEEAMEELLQNEVNRQGVDIEAARKEEERIMLRD
+ARQWLNSGHINDVRHAKSGGTALHVAAAKGYTEVLKLLIQAGYDVNIKDYDGWTPLHAAA
+HWGKEEACRILVDNLCDMEMVNKVGQTAFDVADEDILGYLEELQKKQNLLHSEKREKKSP
+LIESTANMDNNQSQKTFKNKETLIIEPEKNASRIESLEQEKVDDEEEGKKDESSCSSEED
+EEDDSESEAETDKTKPMASVTNANTSSTQAAPVAVTTPTVSSGQPTPTSPIKKFPASATK
+ISPKEEERKDESPASWRLGLRKTGSYGALAEITASKEAQKEKDTAGVMRSASSPRLSSSL
+DNKEKEKDSKGTKLAYVTPTIPRRLASTSDIEEKENRDSSSLRTSSSYTRRKWEDDLKKN
+SSINEGSTYHKSCSFGRRQDDLISSSVPSTTSTPTVTSAAGLQKSLLSSTSTTTKITTGS
+SSAGTQSSTSNRLWAEDSTEKEKDSVPTAVTIPVAPTVVNAAASTTTLTTTTAGTVSSTA
+EVRERRRSYLTPVRDEESESQRKARSRQARQSRRSTQGVTLTDLQEAEKTIGRSRSTRTR
+EQENEEKEEKEKQDKEKQEEKKESETSREDEYKQKYSRTYDEAYQRYRPVSTSSSTTPSS
+LLSTMSSSLYASSQLNRPNSLVGITSAYSRGLTKENEREGEKKEEEKEGEDKSQPKSIRE
+RRRPREKRRSTGVSFWTQDSDENEQEQQSDTEEGSNKKEVQTDSISRYEISSTSASDRYD
+SLLGRSGSYSYSEERKPYSSRLEKDDSTDFKKLYEQILAENEKLKAQLHDTNMELTDLKL
+QLEKATQRQERFADRSLLEMEKRERRALERRISEMEEELKMLPDLKADNQRLKDENGALI
+RVISKLSK
+>tr|F6PUI5|F6PUI5_BOVIN TYRO protein tyrosine kinase-binding protein OS=Bos taurus OX=9913 GN=TYROBP PE=4 SV=1
+MEGLRPSDRLLSLLLTVGGELGASAASECNCSSVSPGVLAGIVLGDLMLTLLIALAVYYL
+GRLVPRGRGATEVTRKQHIPETESPYQELQGQRTDVYSDLNTQRPYYK
+>tr|F1MLW4|F1MLW4_BOVIN Retinol-binding protein 3 OS=Bos taurus OX=9913 GN=RBP3 PE=4 SV=2
+MVRKWALLLPMLLCGLTGPAHLFQPSLVLEMAQVLLDNYCFPENLMGMQGAIEQAIKSQE
+ILSISDPQTLAHVLTAGVQSSLNDPRLVISYEPSTLEAPPRAPAVTNLTLEEIIAGLQDG
+LRHEILEGNVGYLRVDDIPGQEVMSKLRSFLVANVWRKLVNTSALVLDLRHCTGGHVSGI
+PYVISYLHPGSTVSHVDTVYDRPSNTTTEIWTLPEALGEKYSADKDVVVLTSSRTGGVAE
+DIAYILKQMRRAIVVGERTVGGALNLQKLRVGQSDFFLTVPVSRSLGPLGEGSQTWEGSG
+VLPCVGTPAEQALEKALAVLMLRRALPGVIQRLQEALREYYTLVDRVPALLSHLAAMDLS
+SVVSEDDLVTKLNAGLQAVSEDPRLQVQVVRPKEASSGPEEEAEEPPEAVPEVPEDEAVR
+RALVDSVFQVSVLPGNVGYLRFDSFADASVLEVLGPYILHQVWEPLQDTEHLIMDLRQNP
+GGPSSAVPLLLSYFQSPDASPVRLFSTYDRRTNVTREHFSQTELLGRPYGTQRGVYLLTS
+HRTATAAEELAFLMQSLGWATLVGEITAGSLLHTHTVSLLETPEGGLALTVPVLTFIDNH
+GECWLGGGVVPDAIVLAEEALDRAQELLEFHRSLGELVEGTGRLLEAHYARPEVVGQMGA
+LLRAKLAQGAYRTAVDLESLASQLTADLQEMSGDHRLLVFHSPGEMVAEEAPPPPPVVPS
+PEELSYLIEALFKTEVLPGQLGYLRFDAMAELETVKAVGPQLVQLVWQKLVDTAALVVDL
+RYNPGSYSTAVPLLCSYFFEAEPRRHLYSVFDRATSRVTEVWTLPHVTGQRYGSHKDLYV
+LVSHTSGSAAEAFAHTMQDLQRATIIGEPTAGGALSVGIYQVGSSALYASMPTQMAMSAS
+TGEAWDLAGVEPDITVPMSVALSTARDIVTLRAKVPTVLQTAGKLVADNYASPELGVKMA
+AELSGLQSRYARVTSEAALAELLQADLQVLSGDPHLKTAHIPEDAKDRIPGIVPMQIPSP
+EVFEDLIKFSFHTNVLEGNVGYLRFDMFGDCELLTQVSELLVEHVWKKIVHTDALIVDMR
+FNIGGPTSSISALCSYFFDEGPPILLDKIYNRPNDSVSELWTLSQLEGERYGSKKSMVIL
+TSTLTAGAAEEFTYIMKRLGRALVIGEVTSGGCQPPQTYHVDDTDLYLTIPTARSVGAAD
+GSSWEGVGVVPDVAVPAEAALTRAQEMLQHTPLRARRSPRLHGRRKGHHRQSQGRAGSLG
+RNQGVGRPEVLTEAPSGQKRGLLQCG
+>tr|F1MH25|F1MH25_BOVIN Archaelysin family metallopeptidase 2 OS=Bos taurus OX=9913 GN=AMZ2 PE=4 SV=3
+MQTVGHSEHTLKTALISKNPELVKQYEQLDPGEQRLLNEAFRPRSDLFGPITLHSPSDWI
+ISHPEAPQDFEQFFSNLHRKSPSPGKQTIYIQCIGLLGNTRSISEEYLKWLKGYCEAFFY
+GLTVKLLEPIPVSATRCSFRINDSTLNLQIHAGQILTFLKKKKPEDAFCVVGVTMIDLYP
+RESWNFVFGQASLTEGVGIFSFARYGTDFYSSHYKGKLKKLERKSSSDYSVFNDYYLPEA
+TSVLLLRSCKTLTHEIGHIFGLRHCQWLACLMQGSNHLEEADRRPLDLCPICLRKLQSAV
+GFRLRDRYKALVRWIDAESTDTPRVTPKHSREELVTLPKPVEAFKEWKEWVTRCLAVLQK
+>tr|A0A3Q1MA53|A0A3Q1MA53_BOVIN LDL receptor related protein 1B OS=Bos taurus OX=9913 GN=LRP1B PE=4 SV=1
+CQHKCAMVRNSTMCYCEDGFEIKEDGRSCKDQDECAIYGTCSQSCVNTYGSYACSCVEGY
+IMQPDNRSCKAKNEPTDKPPLLLIANSETIEIFYLNGSKMATLSSVNGNEIHTLDFIYNE
+DMICWIESRESSNRLKCIQITKTGRLTDEWTISILQSFHNVQQMAIDWLTRNLYFVDHVS
+DRIFVCDYNGSVCVTLIDLELHNPKAIAVDPIAGKLFFTDYGNVAKVERCDMDGMNRTRI
+IDSKTEQPAALALDLVNKWVYWVDLYLDYVEVVDYQGKNRHTIIQGRQVKHLYGITVFED
+YLYATDADNFNIIRINRFNGSDIHSLIKMENAKAIRIYQKRIQPTVRSHACEVDPYGMPG
+GCSHICLLSSSYKTRTCRCRTGFNLGSDGRSCKRPKNELFLFYGKGRPGIVRGMDLNTKI
+ADEYMIPIENLVNPRALDFHAETSYIYFADTTSFLIGRQKIDGTERETILKDDLDNVEGI
+AVDWIGNNLYWTNDGHRKTINVARLEKASQSRKTLLEGEMSHPRGIVVDPVSGWMYWTDW
+EEDEIDDSVGRIEKAWMDGFNRQIFVTSKMLWPNGLTLDFHTHTLYWCDAYYDHIEKVFL
+NGTHRKIVYSGKELNHPFGLSHHGNYVFWTDYMNGSIFQLDLITNEVTLLRRERPPLFGL
+QIYDPRKQQGDNMCRVNNGGCSTLCLAIPGGRVCACADNQLLDENGTNCIFNPGEVLPQI
+CKAGEFRCRNRHCIQARWKCDGDDDCLDGSDEDSLSCYNHSCPDDQFKCKNNRCIPKRWL
+CDGANDCGSNEDESNQTCSARTCEVDQFSCGNGRCIPRAWLCDREDDCGDHTDEIASCEF
+PTCEPLTQFICKSGRCISSKWHCDSDDDCGDGSDEVGCVHSCFDNQFSCSSGRCIPGHWA
+CDGDNDCGDFSDEINCTREEIHSPAGCNANEFQCHPDGNCIPDVWHCDGEKDCEDGSDEK
+GCNGTLRLCDHKTKFSCRSTGRCISKAWVCDGDIDCEDQSDEDDCDNFLCGPPKYPCAND
+TSVCLQPEKLCNGRWDCPDGSDEGDLCDECSLNNGGCSNHCSVVPGRGIVCSCPEGLQLG
+RDNKTCEIVDYCSNHLKCSQVCEQHKQTVKCSCYEGWKLDVDGQSCSSVDPFEAFIIFSI
+RHEIRKIDLHKRDYSLLVPGLRNTIALDFHFNQSLLYWTDVVEDRIYRGKLSESVSAIEV
+VVEHGLATPEGLTVDWIAGNIYWIDSNLDQIEVAKLDGSLRTTLIAGAMEHPRAIALDPR
+FGILFWTDWDANFPRIESASMSGAGRKTIYKDMKTGAWPNGLTVDHFEKRIVWTDARSDA
+IYSALYDGTSMIEIIRGHEYLSHPFAVSLYGSDVYWTDWRTNTLSKANKWTGQNVSVIQK
+TSAQPFDLQIYHPSRQPQAPNPCAANDGRGPCSHMCLINHNRSAACACPHLMKLSSNKKT
+CYEMKKFLLYARRSEIRGVDIDNPYFNFITAFTVPDIDDVTVIDFDASEERLYWTDIKTQ
+TIKRAFINGTGLETVISRDIQSIRGLTVDWVSRNLYWISSEFDETQINVARLDGSLKTSI
+IHGIDKPQCLAAHPVRGKLYWTDGNTINMANMDGSNSKILFQNQKEPVGLSIDYVENKLY
+WISSGNGTINRCNLDGSNLEVIETMKEELTKATALTIMDKKLWWADQNLAQLGTCSKRDG
+RNPTVLRNKTSGVVHMKVYDKEAQQGSNSCQLNNGGCSQLCLPTSETTRTCMCTVGYYLQ
+KNRMSCRGIESFLMYSVHEGIRGIPLEPSDKMDALMPISGTSFAVGIDFHAENDTIYWTD
+MGFNKISRAKRDQTWKEDIITNGLGRVEGIAIDWIAGNIYWTDHGFNLIEVARLNGSFRY
+VIISQGLDQPRSIALHPEKGYLFWTEWGQMPCIGKARLDGSEKVVLENKLYWCDARTDKI
+ERIDLETGGNREMVLSGNNVDMFSVAVFGAYIYWSDRAHANGSIRRGHKNDATETVTMRT
+GLGVNLKEVKIFNRVREKGTNVCAKNNGGCQQLCLYRGNSWRTCACAHGYLAEDGVTCLR
+HEGYLLYSGRTILKSIHLSDETNLNSPIRPYENPRYFKNVIALAFDYNQRKGSNRIFYSD
+AHFGNIQLIKDNWEDRQVIVENVGSVEGLAYHRAWDTLYWTSSTTSSITRHTVDQTRPGA
+FDREAVIAMSEDDHPHVLALDECQNLMFWTNWNEQHPSIMRSTLTGKNAQVVVSTDILTP
+NGLTIDHRAEKLYFSDGSLGKIERCEYDGSQRHVIVKSGPGTFLSLAVYDNYIFWSDWGR
+RAILRSNKYTGGDTKILRSDIPHQPMGIIAVANDTNSCELSPCALLNGGCHDLCLLTPNG
+RVNCSCRGDRILLEDNRSKNSSCNIYSEFECGNGECIDYQLTCDGIPHCKDKSDEKLLYC
+ENRSCRRGFKPCYNRRCVPHSKLCDGENDCGDNSDELDCKVSTCAAVEFRCTDGMCIPRS
+ARCNQNIDCADASDEKNCNNTDCTYFYKLGVKTTGFIRCNSTSLCVLPAWICDGSNDCGD
+YSDELKCPGNSRKNNKNYFGCPSGRCILNTWVCDGQKDCEDGLDEFHCDASCSWNQFACS
+TEKCISKHWICDGEDDCEDGLDEIDLKHCTITCAADMFSCQGSRACVPRHWLCDGERDCP
+NGSDELSTAGCAPNNTCDENAFMCHNKVCIPKQFVCDHDDDCGDGSDESLQCGYRQCNAE
+EFSCADGRCLLNTQWQCDGDFDCTDHSDEAPINPKCKSAEQSCNSSFFMCKNGRCIPSGA
+LCDNKDDCGDGSDERNCHINECLSKKVSGCSQDCQDLPVSYKCKCWPGFQLKDDGKTCVD
+IDECSLGFPCSQQCINTYGTYKCLCTDGYEIQPANPNGCKSLSDEEPFLILADHHEIRKI
+STDGSNYTLLKQGLNNVIGIDFDYREEFIYWIDSSRPNGSRINRMCLNGSDIKVVHNTAV
+PNALAVDWIGKNLYWSDTEKRIIEVSKLNGLYPTILVSKRLKFPRDLSLDPRAGYLYWID
+CCEYPHIGRVGMDGTNQSVVIETKISRPMALTIDYVNHRLYWADENHIEFSNMDGSHRHK
+VPNQDIPGVIALTLFEDYIYWTDGKTKSLSRAHKTSGADRLSLINSWHAITDIQVYHSYR
+QPDVFKHLCTINNGGCSHLCLLAPGKSHTCACPTNFYLAADNRTCLSNCTASQFRCKTDK
+CIPFWWKCDTVDDCGDGSDEPDDCPEFKCQPGRFQCGTGLCALPAFICDGENDCGDNSDE
+LNCDTHVCLSGQFKCTKNQKCIPVNLRCNGQDDCGDEEDEKDCPENSCSPDYFQCKTTKH
+CISKLWVCDEDPDCADASDEANCGEYSCPSRISLWKPTMQILVLSITDKKTCGPHEFQCK
+NNNCIPDHWRCDSQNDCSDNSDEENCKPQTCTLKDFLCANGDCVSSRFWCDGDFDCADGS
+DEKNCEPDQFRCRNKAHCIPIRWLCDGIHDCVDGSDEENCDRGGNICRADEFLCNNSLCK
+LHFWVCDGEDDCGDNSDEAPDMCDKFLCPPTRPHRCRNNRICLQPEQMCNGIDDCGDNSD
+EDPCKLTYKARPCKKDEFACSNKKCIPVDLQCDQLDDCGDGSDEQGCRISDEYTCEDNVN
+PCGDDAYCNQIKTSVFCRCKPGFQRNMKNRQCEDLNECLVFGTCSHQCINTEGSYKCVCH
+QNFQERNNTCIAKGSEDQVLYIANDTDILGFIYPFNYSGDHQQISHIEHNSRITGMDVYY
+QRDMIIWSTQFNPGGIFYKRIPGREKRQANSGLIVKSHQNVSSTLRPRDIAVDWVAGNIY
+WTDHSRMYSINVGQLSGPNCTRLLTNMAGEPYAIAVNPKRGMMYWTVVGDHSHIEEAAMD
+GTLRRILVQKNLQRPTGLAVDHFSERIYWADLELSVIGSVLYDGSDSVVSVTSKQGLLHP
+HRIDVFEDYIYGAGPKNGVFRVQKFGHGSVEYLALNVDKTKVPNPCSDLACEFLCLLNPS
+GATCACPEGKYLINGTCNDDSLLDDSCKLTCENGGRCILNEKGDLRCHCWPSYSGERCEI
+NHCSNYCQNGGTCMPSVLGRPTCSCALGFTGPNCGKTVCEDFCQNGGTCSVTAGNQPYCH
+CQPEHTGDRCQYYVCHHYCVNSESCTIGDDGSVECVCPIRYEGSKCEIDKCIRCHGGHCI
+INKDSEDISCNCTNGKIASSCQLCDGYCYNGGTCQLDPETNTTHIMFTFYRTKTIRRQPI
+INGGINVEIGNPSYNMYEVDHDHNDGGLLDPGFMVDPAKPTNYSNPVYAKLYMDGQNCRN
+SLGSVDERKELLPKKIEIGIRETVA
+>tr|F1MII1|F1MII1_BOVIN DnaJ homolog subfamily B member 12 OS=Bos taurus OX=9913 GN=DNAJB12 PE=4 SV=3
+MESNKDEAERCISIALKAIQSNQPDRALRFLEKAQRLYPTPRVHALIESLNQKPQPAGDQ
+PQPTEATHTTHRKAAGANTASANGEAGGESTKGYTAEQVAAVKRVKQCKDYYEILGVSRG
+ASDEDLKKAYRKLALKFHPDKNHAPGATEAFKAIGTAYAVLSNPEKRKQYDQFGDDKGQA
+ARHGHGHGDFHRGFEADISPEDLFNMFFGGGFPSSNVHVYSNGRMRYTYHQRQDRRENQG
+DGGLGVFVQLMPILILILVSALSQLMVSSPPYSLSLRPSVGHVHKRVTDHLNVVYYVADT
+FSQEYTGSSLKMVERNVEDDYIANLRNNCWKEKQQKEGLLYRARYFGDADMYNKAQKMGT
+PSCNRLSETMKSLENFW
+>tr|A0A3Q1MN61|A0A3Q1MN61_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 GN=LOC616942 PE=3 SV=1
+MGPRTLLLLLSGVLVLTETWAGSHSLSYFNTGVYQPGLGEPRFFAVGYVDDTQFARFDSD
+APNPRMEPRAPWMEQEGPEYWEEMTRDAKESQQKSRLCLYNLRGYYNQSEAESHILQVMF
+GCEVGPDGRLLRGFWQKAYDGRDYIALNEDLRSWTAADTVAQITKRKWDVSGQAKIQRNY
+LEVKCVQWLLRHLETGKDTLLRADPPKTHVAHHRISDREVTLRCWALGFYPKEITLTWQQ
+DGEDLTQDMELVETRPSGDGTFQKWAALVVPSGEEQRYTCRVQHEGLQEPLTLRWEPPET
+SFLTMGIIVGLVLLVVAVVAVVTGAVIWRKKRSGREGRVGSEFSCLTEGFQAQVEVCLPC
+SWEIPSIHMGLLVWG
+>tr|F6PSK5|F6PSK5_BOVIN Interleukin 1 receptor accessory protein OS=Bos taurus OX=9913 GN=IL1RAP PE=4 SV=1
+MGLLWCVMSLYFYGILQSHASERCDDWGLDTMRQIQVYEDEPARIKCPLFEHFLKYNYST
+AHSAGLTLIWYWTRQDRDLEEPINFRLPENRISKEKDVLWFRPTLLNDTGNYTCMLRNTT
+YCSKVAFPLEVVQKDNCFNSPMKLPVHKLYLEYGVQKISCPNIDGFFPSNIKPNITWYMG
+CRKIHNFNNVLPEGMNLSFIIAMVSNNGDYTCVVTYPENGRTFTLTRTQTVKVVGSPNDA
+MPPHIYSPDDHVVYEKEPGEELLIPCKVYFSYLKDSRNEIWWTIDGKKPEDTTIDVSVNE
+SVILKVTEDETRTQLLSIKKVTAEDLKRNYVCHARNAKGEVDRAANVKQKAPRYTVELAC
+GFGATVLLVVILIVIYHVYWLEMVLFYRAHFGTDETILVVTDETLSFIQKSRRLLVVLSP
+NYVLQGTQALLELKAGLENMASRGSINVILVQYKAVKETKVKELKRAKTVLTVIKWKGEK
+SKYPQGRFWKQLQVAMPVKKSPRWSRSCEQGLTYSSLKNV
+>tr|E1BLN9|E1BLN9_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC514546 PE=3 SV=3
+MGRENQSSMSEFLLLGLPIQPEQKGVFFALFLGVYLATVLGNLLILLVIRLDPHLHTPMY
+FFLSHLAFIDITFSSVTTPKMLMNMQTQSQSISYAGCISQVYFFLMLGCLDSFLLTSMAY
+DRYVAICHPLHYITIMSQSLCFLLVIVSWVLSSASAILHTLLLAHLSFCGDNLLPHFFCD
+LATLLKLSTSDTTVNELFILTVGVVVITLPFACILVSYGCIGATILRVPSTKGLYKALST
+CGSHLSVVALYYGTIIGLYFFPSSNNSNDKDVIVAILYTLITPMLNPFIYSLRNQDMKGA
+LGNILSRGRFS
+>tr|F1MGI9|F1MGI9_BOVIN Haloacid dehalogenase like hydrolase domain containing 5 OS=Bos taurus OX=9913 GN=HDHD5 PE=4 SV=2
+MKSPPTFGLLLDIDGVLVRGHQVIPAAQEAFRRLLDPQGQLRVPVVFVTNAGNISQCSKA
+EELSAQLGFQVEPDQVILSHSPMKLFSQHHDRRMLVSGQGPLVENARALGFKHLVTVDEL
+RAAFPVLDMVDLQRRPKTTLLPRSDFPAIEGVLLLGEPVRWETSLQLITDVLLSDGNPGT
+GLATAPYPHLPVLASNTDLLWMAEAKMPRFGHGTFLLCLEAIYRKLTGRELCYSGLTGKP
+SLLTYRYAEGLLARQAARRGWAAPIRSLYAVGDNPMSDIYGANLFHQHLQTQQEGAARSC
+ASILVCTGVYGPQAPSPTVPALGSEGSPFHGHRDFGFRPELLQAAHVVSDLHEAVQLVLR
+KEGWAL
+>tr|A0A3Q1LXU0|A0A3Q1LXU0_BOVIN Tubulin-specific chaperone E OS=Bos taurus OX=9913 GN=TBCE PE=4 SV=1
+LCHVQFGSVFRNLSIILHPTAGSFIRPHKVNFGVDFLTAIKNRYVLEDEPKEEETEQIVI
+IGNKPVETIGFDSVIKQQSQLSKLQDVSLRNCAVNGAGDKGEIAKACPNIRSIDLSKNLL
+SSWEEVIDIADQLKHLEVLNLSENKLTSPSSSPSPTGTFPTLKVLVLNRTGVTWAEVLRC
+ASGWPVLEKLYLESNNIIISERPTDVLQTVKLLDLSSNQLIDENQLFLIAYLPRLEQLIL
+SDIGISSIHFPDAGIGCKTSMFPSLQYLVLNDNQIAQWSFMNELDKLQSLHALSCTRNPL
+TEGSKDAQTTRQFIIARIGQLRTLNKCAIEPEERRGAELDYRKAFGNEWKKAGGHQDPEK
+NRPNEEFLAAHPRYQALCLKYGAPEDGELKTQQPFLLKNQLLTLKIKYPNQHDQKVIEKQ
+LPDSMTVQKVKGLLSRLLKVPVSELLLSYESPKMPGKEVELENDLQPLRFYSVENGDCLL
+VRW
+>tr|G3N2Z5|G3N2Z5_BOVIN G_PROTEIN_RECEP_F1_2 domain-containing protein OS=Bos taurus OX=9913 PE=3 SV=2
+LPHPWDSSVFMPSVLTLIGIPGLESVQCWIGIPFCVMYLMAVIGNTLILVIIKYENSLHS
+PMYILLAMLGATDIALSTCILPQMLGIFWFHLMEISFEACLLQMWLLHSFQGIESGVLLA
+MALDWYVAICNPLRHASIFSQKLLTHIGVGVTLRAAILVAPCLVLIKYHLKFYRTTVVSH
+SYCEHMLAIEDIRINKIYGLFVAFTILGFDIIFITLSYIQIFITVFQLPQKEARFKAFNT
+CITHICVFLQFYLLGFFSFFTHRFGSHIPPYVHILLSNLYLLVPPFLNPIIYGVKTKQIR
+DHVLTIFVCSKHLNH
+>tr|F1MPI5|F1MPI5_BOVIN WAP four-disulfide core domain 3 OS=Bos taurus OX=9913 GN=WFDC3 PE=4 SV=2
+MQGFHSCGVPQTPFCSALEEKTSLGIIKGKDLGLGLGQSPCLALPSVDLLGKMYMSGRVG
+VFISALSILVMLSCLFLLKTVVALGSVASRLTVGEREFGGECPADPLPCEELCDGDTSCP
+QGHKCCSTGCGHACFGDIKGGWDGDCPEVLVGLCIVNCMVDENCQAGEKCCKSGCGRFCV
+PPSPITPAGPEPHLDH
+>tr|F6R7R1|F6R7R1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=C8H9orf85 PE=4 SV=1
+MSSQKGNVTRSRPQRHQNTFSFKNDKFDKSVQTKKINAKLHDGVCQRCKEVLEWRVKYSK
+YKPLSKPKKCVKCLQKTVKDSYHIMCRPCACELEVCAKCGKKEDVVIPFNKEPEKTENVE
+NNLRSSHRRSCRRNENEFVPI
+>tr|A0A3Q1M6U3|A0A3Q1M6U3_BOVIN G_PROTEIN_RECEP_F1_2 domain-containing protein OS=Bos taurus OX=9913 PE=3 SV=1
+MDTTLSITNGSRFQVSEFVLMGFPGIHSWQHWLSLPLALLYLSALGANLLILITIHHEST
+LHEPMYYLLGILAVVDIGLATTIMPKILAIFWFNAKTISLTECFAQLYAINCFMGMESGI
+FLCMAVDRYVAICYPLQYSSIVTETFVIKVTLSMMLRNGLLTIPVPVLAAQRHYCSRNEI
+DHCLCSNLGVTSLACDDITINRFYHLALAWFVVGSDMGLVFASYMLIIRSVLRLNSAEAT
+SKALSTCSSHLTLILFFYTAVIVVSVTHLAGRQFPIIPVLLNVLHSVIPPALNPMVYALR
+TQELRVGFQRLIVHTMGKVLL
+>tr|E1BIA3|E1BIA3_BOVIN Potassium two pore domain channel subfamily K member 12 OS=Bos taurus OX=9913 GN=KCNK12 PE=3 SV=1
+MSSRSPRPPPRRCRRRLPRPSCCCCCCRRSHLNEDTGRFVLLAALIGLYLVAGATVFSAL
+ESPGEAEARARWGATLRNFSAAHGVAEPELRAFLRHYEAALAAGVRADALRPRWDFPGAF
+YFVGTVVSTIGFGMTTPATVGGKAFLIAYGLFGCAGTILFFNLFLERIISLLAFIMRACR
+ERQLRRSGLLPATFRRGSALSEADCLAGWKPSVYHVLLILGLFAVLLSCCASAMYTSVEG
+WDYVDSLYFCFVTFSTIGFGDLVSSQHAAYRNQGLYRLGNFLFILLGVCCIYSLFNVISI
+LIKQVLNWMLRKLSCRCCARCCPAPGAPLARRNAITPGSRLRRRLAALGADPAARDSDAE
+GRRLSGELISMRDLTASNKVSLALLQKQLSETANGYPRSVCVNTRQNGFSGGVGALGIMN
+NRLAETSASR
+>tr|E1BA86|E1BA86_BOVIN G_PROTEIN_RECEP_F1_2 domain-containing protein OS=Bos taurus OX=9913 GN=LOC100848076 PE=3 SV=3
+MNVTVFILVGLTQNPQMQKIVFVVFLVLYMVTLSGNLLIVVTITTSQALNSPMYFFLTHL
+SLIDTIYSSSSAPKLIVDSLQEIKTISFNGCMAQVYAEHIFGATEIILLTEMAYDHYVAI
+CKPLHYTAIMSHRLCVLLLGVAWTGGFLHATIQVLFTVWLPFCGPNVIDHFMCDLYPLLK
+LVCVDTHILGLFVAANSGFICLLNFLLLLVSYVVILHSLRAYSLEGRHRALSTCVSHITV
+VVLSFVPSVAVFYTMVAPMLNPLIYTLRNAEVKNAMKKHWRKKVTADSD
+>tr|E1BC24|E1BC24_BOVIN Midasin OS=Bos taurus OX=9913 GN=MDN1 PE=3 SV=3
+MEHLLLDVAAAPLRLIAAKNEKSRSELGRFLVKQVWTPQDRQCILTTLAQLLLDKDCTVL
+ISRQLRPLLLDLLERNAEAIKAGGQVNHDLHERLCVSMSKLIGNHPDVLPFALRYFKDTS
+PVFQRLFLESSDANPVRYGRRRMKLRDLMEAAYKFLQQEQSVFRDLWDWSVCVPLLRSHD
+TLVRWYTANCLALVTCMNEEHKLSFLKKIFNSEELIHFRLRLLEEAQLQDLEKALVLANP
+DTPLWRKDKELQYSTGHLVSADLSSRVTAVCGVVLPGQLPAPEERGSSRSSSREQELTFR
+SYVLVDSVCKNLQTLAMAVASQNAVLLEGPIGCGKTSLVEHLAAMTGRRKPSQLLKVQLG
+DQTDSKMLLGMYRCTDVPGEFVWQPGTLTQAATKGHWILLEDIDYAPLDVVSVLIPLLEN
+GELLIPGRGDCVKVAPGFQFFATRRLLSCGGNWYRPLNSHATLLDKYWTKIHLDNMDKAE
+LNEVLQNRYPSLSAATDHLLDIYFQLIGEKHHCLSESSVGGEQAPGEVPEARQENKRLSL
+EGRELSLRDLLNWCNRIAHSFDSLSSSASLNIFQEALDCFTAMLSKQTSKLKMAEVIGSK
+LNISKKKVEFFCQLYKPEIVINELDVQVGRVRLLRKQSEAVHIQREKFTFAATRPSSVLI
+EQLAVCVSKGEPVLLVGETGTGKTSTVQYLAHITGHRLRVVNMNQQSDTADLLGGYKPVD
+HKLIWLPLREAFEELFVQTFSKKQNFTFLGHIQTCYRQKRWQDLLKLMQHVHKSAVNKAG
+AESEPGSLLKEKWEAFGLRLNHAQQQMKMTENALLFAFVEGTLAQAVKRGEWILLDEINL
+AAPETLECLSGLLEGSSGSLVLLDRGDTEPLVRHPDFRLFACMNPATDVGKRNLPPGIRN
+RFTELYVEELESKEDLQILIVDYLKGLSVNKNTVQGIITFYTAVRKESGTKLVDGTGHRP
+HYSLRTLCRALRFAASNPCGNIQRSLYEGFCLGFLTQLDRASHPVVQKLICQHIVSGNIK
+SLLKQPIPEPKGGRLIQVEGYWISVGDKEPTIDETYILTSSVKLNLRDIVRVVSAGTYPV
+LIQGETSVGKTSLIRWLAAATGNHCVRINNHEHTDIQEYIGCYTSDSSGKLVFKEGVLID
+AMRKGYWIILDELNLAPTDVLEALNRLLDDNRELLITETQEVVKAHPRFMLFATQNPPGL
+YGGRKVLSRAFRNRFVELHFDELPSSELETILHKRCSLPPSYCSKLVKVMLDLQSYRRGS
+SVFAGKQGFITLRDLFRWAERYRLAEQTEKEYDWLQHLANDGFMLLAGRVRKQEEVVVIQ
+EVLEKHFKKKLCPQSLFSKENVLKLLSKLSTPMSTLESKFSHIVWTEGMRRLAMLVGRAL
+QFSEPVLLVGDTGCGKTTICQVFAALANQKLYSVNCHLHMETSDFLGGLRPVRQKPNDKE
+EIDTSRLFEWHDGPLVLAMKEDGFFLLDEISLADDSVLERLNSVLEVEKTLLLAEKGNLE
+DKDNEVELLTAGKKFRILATMNPGGDFGKKELSPALRNRFTEIWCPQSTKREDLIQIISR
+NLHPGLSLGRTDHKGADIAEVMLDFIDWLTHQEFGRRCVVSIRDILSWVNFMNTMGEEAA
+LKRQETISTVTSFVHAACLVYIDGIGSGVTSSGFGTALLARRECLKFLIKKLSKIGRLTE
+SQKNELKIYDRLKDKEFTGIDNLWGIHPFFIPRGPVLHRNNIADYALSAGTTAMNAQRLL
+RATKLNKPILLEGSPGVGKTSLVGALARASGNTLVRINLSEQTDITDLFGADLPVEGGKG
+GEFAWRDGPLLAALKAGHWVVLDELNLASQSVLEGLNACFDHRGEIYVPELGMSFQVQHE
+KTKIFGCQNPFRQGGGRKGLPRSFLNRFTQVFVDPLTVIDMEFIASTLFPAIDKNIVKKM
+VAFNNQIDHEVTVEKKWGQIGGPWEFNLRDLFRWCQLMLVDQSPGCYDPGQHVFLVYGER
+MRTKEDKEKVIAVFKDVFNSNSNPYMGTRLLHITPYDVQLGYSVLSRGSYVPPPTCRPLS
+LLHQSLQSLESVMKCVQMSWMVILVGPASVGKTSLVQLLAHLTGHTLKIMAVNSAMDTTE
+LLGGFEQVDLIRPWRQLLEKVEGTVRALVRDSLLVSADDAEVVLRAWSHFLLTYKPRCLG
+EGGKCVTMEIVNKLEAVLLLMQRLNNKINSYSKAEFAKFVEEFRSFGVKLMQSASGHSRG
+TFEWVDSMLVQALKSGDWLLMDNVNFCNPSVLDRLNALLEPGGVLTVSERGMIDGSTPTV
+TPHPNFRLFLSMDPVHGEISRAMRNRGLEIYISGDGDGSIPDDLDLKVLLHSLGLVGDSV
+CDTLLALHSETRSAVVASPASSVSNLIQTASLIVQYLQRGLSLDSAFSKACWEAYVRSQA
+SPANQQLVQALLEKHVSSLQAHETWGSSLLALGLWPDSLPSAVFTTEDSHLSKVRGDGQI
+LAYCLNRLSMKTSNWARIQPLTLADLEKIMQSSHPENLKFTSVEVDTYWLDEPDALAVAV
+KLLIERATNQDWMLRVKWLYHLAKNMPQGLESIKIHLEASAAALRNFYSNSLSSGVSNVI
+KILQPNVTDESMIPLDPRWNMQAMDIIRNAMDFDPQTDQPEQLFALLESVANKTIIYFDR
+EKRIFTEANLVSIGGKKLRNSVLRLSFEFHKDPESYHSLPHEIVVNLAAFFELCDALIGL
+WVQSSQGMVSDAGVNEILSSVRWRDRFWTVADTVKVDASGLALLALHWHWVLKHLVCRIP
+QLLMNHEDKYYREVQTVSEHIQNCLESPTGGFAGVKKLQKFLGRPFPFKDELVVECFSQL
+KVFNKALTIREWMPALGESGWQEDISRLQVVASEGTLKKSLLQAWGLVLRANVLEDVDSD
+ALKNLVNAQHLELKARGISLGFLEKKHSEASFLLQPDFSTLIQLTRSVQLWPVMEYLAVL
+WQYKVTADFMTQACLRRSSKHQQPQIDEEISRHITFCLKHTPVAPQELRDFWSLVHHPEM
+TAEERISLWSELFNSTFTSFWSSTVTTHPEYWLTWNPLPGEQQRETPRSLLDPTLKGPGS
+LSRAVFSKCCIEIVTSSCRASPWDVSGLPILSSSHVTLGEWVERCQQLRDVSSMLWTNMA
+VPVVAEFRRTDAQLQGLVLCRHLAGLAELLPEPRQQEHVHNCRQLLLRDSQAFQHVGETL
+GDLARQEALPKELLCLLLTSLRHLFGEVEGKGDLPEPARRGSLWVSLGLLQIQTWLPQAR
+FDPAVKREYKLKYAKEELHQLQCEWKTRNLSFQLQTGRDLEDEVILSHSHPHIRLLRQRI
+DQLENLICSLSKKQACRPPLPTYEALVQEIHHYVSSIAQATTVQDLLTRLLQALRSDGPR
+AAQVAQNLLKEEASWQQSHHQFRRRLAEEFALYPDATAPLQASILQLQHGMRLVAGEVHA
+SLQGGLAGADRLGALATSLLAFPSVGPAFPTYYAHADALCSVKSEEVLRGLSKLILKRSG
+GRELEEKGQSPCTSREQLLLNALLYLRSHVLCKGELDQRALQLFRHACQEIINEWDEQER
+IAQEKAEQESSLYRYRGRTPRTALSEEEEEERAFRSQFPLHEKDFADILVEPTLEEKGPS
+GGQEEEATPGPAVLSQSSMQAVMRIHQQLCLGFARSLWYQQSPPPHGTEHYLSLFLSCYQ
+TGASLVTHFYPLMGVELNDQLLGSQLLACTLSHNTLFGEVTSDLMVKPDGPYDFYHHPNI
+PEARHCQPVLQGFSEAVHRLLQDWPEHPALEQLLVVMDRICSFPLSSPISKFLNGLEILL
+AKAQDWEENASRALSLRKHLDLVSQLIIRWRKLELNCWSMSLDNTMKRHTEKSTKHWFSI
+YQMLEKHMQEQTEEQEDDKQMTLMLLVSTLQAFIEGSSLGEFHIRLQMLLVFHCHVLLMP
+QVEGKDALCSVLWNLYHYYKQFFNRVQAKIVELRSPLEKELKEFVKISKWNDVSFWSIKQ
+SVEKTHRTLFKFMKKFEAVLSEPCRSSLAEGDEEEQPDSLPRPTGAAASKESPIQRLNRV
+LRETLLARPAAVQGAVPEQCLGAPLPSEGALLRRLPKLLKRMRKMCLTLIQGSPLPCLVE
+GLDQFTGDVISFMSELQSLKVDPSAEKEKQRSEAKHILMQKQRALADLFKHLTKTGLSYR
+KGLSWARTKNPQEMLHLHPLDLQSALSIVSSTQGADSRLLTEISSSWEGCQKYFYRSLAR
+HVRLIAVLAAPAKEVGAGHVERCKGFSAHLMKVLIRQRRSLTVLTEQWILLRNLLSCVQE
+MDGRLTGPPVYPVAFPPQDGVQQWTERLQHLAMQTQVLLEQLSWLLQCCPAVGPAPGHAP
+YLEDPERLQGLLSPAARDLIPSDLTFPSPAPETQLPSGCLMRKQDPRWQQSTVRLTEMLK
+TVKTVKADVDRIRQQSCEALFHSWKDFEVCSSGLSCLSKVSAHLQGLESLFILPGVEGEQ
+TDPQMALVESMEYVRREINKATDDFTTWKTHLLTPGNQEGNQMLDEGFVEDFSEQTETAI
+RAILCAIQNLAERNSKKTEESTDQARPQEEDETAGFESLQLGHLTKLLEEDFWADVSTLH
+VQRIISAVSELVERLKSSGEDGTAAKHTFFTQSCCLLVRLVPVLSRYSDLVLFFLTMSLA
+THRSTAKLLSVLAQIFTELAQKGFCLPKEFMEDSAGEGATEFHDYEGGGIGEGEGMKDVS
+DQIENEEQAEDTFQKGQEKDKEDPDSKSDIKGEDNAIEMSEDFDGKMHDGELEEQEEDDE
+KSDSETGDLDKQMGDLNGEEADKLDERLWGDDDDEEDEEEDSKTEETGPGMDEEDSELVA
+KDDNLDAGKSNREKTRQDKKEEKEEAEADDDGRGQDKINEQIDEREYDENEVDPYHGNEE
+KLPEPEALDLPDDLNLDSEDKDGDGDTDHEDGEEENPLEIKEQPKDTEEAGHEAEDINEE
+TEADQDEGQAQPQPEGGHSEDDKGEEGEEEMDTGADDGDQDAAEHPEEDTEEAPLSSEDK
+DKDTSEESPEKDTPLDQGLQPQEKEEGESSDMEEPVPEPTERKEHESCGQTGLESVQSEQ
+AVELAGAAPEKEQGREEHGSGAADANQAEGHESSFMARMASQKHTRKNTQSFKRKPGQAD
+NERSLGDHSERVHKRLRTMDTESRAEQDPAQPQAQAEDAEAFEHIKQGSEPYDAQTYDVA
+STEQQQSAKDSSKAHEEEEVEDTSVDPEEQEELRAVDTEPLKPEEVKSGSTAQPGSEEME
+METQTVKTEEDQHPRTDPSHEETENEKPERSRDSTIHTAHQLLVDTIFQPFLKDVNELRQ
+ELERQLETWQPHESGNPEEEKAAAEMWQSYLVLTAPLSQQLCEQLRLILEPTQAAKLKGD
+YRTGKRLNMRKVIPYIASQFRKDKIWLRRTKPSKRQYQICLAIDDSSSMVDNHTKQLAFE
+SLAVIGNALTLLEVGQIAVCSFGESVKLLHPFHEQFSDYSGSQILRLCKFQQKKTKIAQF
+LESVANMFAAAQQLSQNVSPEIAQLLLVVSDGRGLFLEGKDRVLAAVQAARNANIFVIFV
+VLDNPSSRDSILDIKVPIFKGPGEMPEIRSYMEEFPFPFYIILRDVNALPETLSDALRQW
+FELVTASDHA
+>tr|G3N148|G3N148_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=2
+VTLICSKMNPLWTLLFVLSAPRGVLSQVQLQESGPSLVKTSQTLSLTCTASGLSLTRYGI
+HWVRQAPGKALEWLGDISSGGSTGYNPGLKSRLSITKDNSKSQVSLSLSSLTPEDSATYY
+CAR
+>tr|E1BJE7|E1BJE7_BOVIN Minichromosome maintenance complex component 3 associated protein OS=Bos taurus OX=9913 GN=MCM3AP PE=4 SV=1
+MNPTNPFGGQQTGVFPTSSSSSTGTFQAKPQFRFGEPSLFGQSNTLSGKSSGFSQVSSFP
+SSSGQSHSSVQALGFSQTTNVGLFSGLEHSPAFVAASGPSSSRVPGNPGFSFKSPNLGAF
+PSTSTFAPETGEKASSLFGKTEFGFKPLENSVFRPILGAESEPEKTKSQVTSGFFTFSNP
+ISSGPGGLAPFPFSQVTSSSATNSNFTFSKPGNNNSSSAFTPALPNQNVEEEKRGPKSLF
+GTSSSTFTAFPMSSGSLGEPFPVGKTGVRQGCEEAVSPMEPLPSLMKGLKRKEDQDRSPR
+RHGHDAAEDLDPLSRGDHPPDKRPVRLNRPRGGTLFGRTIQDVFKSNKEVGRLGSKESKK
+ETGCVESGENDHLVIPGGSQSVPVPSRLPGVNKEEETESRDKKEDSLRGTPGRQSKRSES
+TDSLGGLSPAEVTAIQCKNIPDYLNNRTTLENHFGKIAKVQRIYTRRSKKLAVVYFFDHA
+SAALARKKGKDLHKDMTIFWHKKKLSPNKKPFSLKEKQPGDGEAGPGTEDPPFQHSPLGK
+PGVRTATGGLLSKSSPVKKPSLLKAHQFEEDPFDESSEGSEGLGPCVSSLSPLIGTVAET
+SEEKYRLLDQRDRVMRQARVKRTDLDKARTFVGTCLDMCPEKERYMRETRSQLSVFEVVP
+GTDQVDHAAAVKEYSRSSADQEEPLPHELRPSGVLSRTMDYLVTQIMDQTEGGLRDWYDF
+LWNRTRGIRKDITQQHLCDPLTVSLIEKCTRFHIHCAHFMCEEPMSSFDAKINNENMTKC
+LQSLKEMYQDLRNKGVFCASEAEFQGYNVLLNLNKGDILREVQQFHPAVRNSPEVKFAVQ
+AFAALNSNNFVRFFKLVQSASYLNACLLHCYFNQIRKDALRALNVAYTASTQRSTIFPLD
+GVVRMLLFRDGEEATDFLNCHGLTVSDGCVELNRSSFLEPEGLSKARKSVFITRKLTVSV
+GEVVNGGPLPPIPRHTPVCSFNAQNKYIGDSLAVEPPLGVQRPGLDVAGVGRGEECGAEA
+AKPLPALLLQPLPVSASPPHHSVLPPSMAPSLLQPPVQPPMQSELLPPKPVPVYSDADLA
+QVVDELIQEVLQSDFEEVGAAGAAFATTALGVSNAAMEELLTATTTGILRHIATEEVTQE
+RERKEEERRRAEEERLKQERESVLTHLSQDLAAELTEVVVTECVRETCSQELKSAVETDQ
+RVRVARCSEDVFAHLVDLFLGEEIFQTAKETLQELQCFCKYLQRWREAVAARKKLRRQMR
+AFPAAPCCVDVNDRLRALVPSAECPIAEKNLAKGLLDLGHAGRVGISCTRLRWLRDMAAH
+QMKVQHFHQQLLSDAAWAPLDLPSLVAEHLPRQQERVFWKLVLVLPDGEEQSPGSPSRIL
+SHWLKVKFMGGDGSVDDIYLDVGGIQTLTLFNTLSSKGDQTICVSVCIKVAHGALSDGAL
+DAVETQKELLGASGLMLLLPPRVKSVDAAEEDVYWLSALLQTKQLLQAKPFQPALPLAVL
+VPSQGGDAVEKDVEEGLMLQDLVSAKLISDYTVIEIPDSINDLKGTSKVSQAVQWLVSHC
+PCALELCCQTLIQYVEDGVSREFSSRFFHDRRERRLGGLASQEPSAIIELFNSVLSFLAS
+VVSSEQLCDLSWPVAEFAEAGGSRLLPHLHWNAPEHLAWLRQAILGFQLPQMDLPPAGAP
+WLPMCSMVIQYASQIPSSRQTQPVLQSQVESLLCTTYSRWKSRHPSPGQRAGPSVAEIPW
+DDVIALCINHKLRDWTPPRLPVTSEALSEDGQICVYFFKNHLKKYDVPLLWEQARMQTQK
+ELQLSQGRLGIRSFHPSGNKLPTPLLHVHRKGKRGVEHSQEGRIPSSEDLMHRASAQELL
+AQCLSSSLLLEKEESKRFEDQLQQWLSEDSGALRDSMCLPLYLPQNLVSLPQTIEPVMKT
+SMTASPQNERIGKQLQLSEATGTSLTERLKHLERLIQSSREEDIVSELHLSALLDMVDI
+>tr|F1MEL0|F1MEL0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=3
+MILLEVNNHIIDETLMLKFENAAAGNKPEAVEVTFADFDGVLYHIFLDNISNPNGDKIKV
+MVSFSLKFYKELQAHGADELLKRVYGSYLGKVTVVFNTVFKDDR
+>tr|E1B9U5|E1B9U5_BOVIN Fucose-1-phosphate guanylyltransferase OS=Bos taurus OX=9913 GN=FPGT PE=4 SV=2
+MEAESAPAGESLREATQRRLLRFSELRGKSMAAGEFWDIVAITAADEKQELAYKQQLSEK
+LKKKELPLGVQYHVFVDPAGAKIGNGGSTLCALRCLEKLYGDKWNSFTILLIHSGGYSQR
+LPSASALGKIFTALPFGSPIYQMLELKLAMYIDFPSHMNPGILITCADDIELYSIGESEF
+IRFDKPGFTALAHPSSLTVGTTHGVFVLEPCNHLEYRDLEYRSCHRFLHKPSVEEMYEFD
+AVCRPRNFFQQEFAGSDIPSLKLEPEYVYTDSLFYMDHKTAKKLLAFYEKIGTLHCEIDA
+YGDFLQALGPGATVEYTKNTSNVTKEESELVDMRQRIFHLLKGTPLNVVVLNNSKFYHIG
+TTEEYLFHFTADSSLKSELGLQSIAFSLFPSIPECSTNKPCIIQSILDSTCSVTPGSVVE
+YSRLGPDVSVEENCIISGAYVKTTAVLPAYSFVCSLSLKMNGHLKYSTMACGVQDNLKKN
+VKTLSDVKLLQFFGVCFLSCLDIWNLKVTEELFSGNKTCLSLWNARIFPVCSSLSDSVTT
+SLKMLNAVQSKSAFSLNNYKLLSIEEMLFYKDIEDMITYREQIFLEITLNRKQSDLETSY
+>tr|E1BM80|E1BM80_BOVIN Transcription factor AP-2 epsilon OS=Bos taurus OX=9913 GN=TFAP2E PE=4 SV=3
+MLVHTYSAMERPDGLGAAAGGARLSSLPQAAYGPAPPLCHTPTAADFQPPYFPPPYPQPP
+LPYGQAPDAAAAFPHLAGDPYGGLAPLAQPQPPQAAWAAPRAAARAHDEPPGLLAPPARA
+LGLDPRRDYAAAAVPRLLQGLTEGAHGLADAPLGLQGLAAPPGLEDLQAMDEPGMSLLDQ
+SVIKKVPIPSKASSLSALSLAKDSLVGGITNPSEVFCSVPGRLSLLSSTSKYKVTVGEVQ
+RRLSPPECLNASLLGGVLRRGLERGRDVSSLPPLRLGEAVHLARDFGYVCETEFPAKAAA
+EYLCRQHADPGELHGRKSMLLAAKQICKEFADLMAQDRSPLGNTRPALILEPGVQSCLTH
+FSLITHGFGGPAICAALNAFQNYLLESLKGLDKMFLSSAGSGHGDTKASEKDAKHRK
+>tr|F1MZP7|F1MZP7_BOVIN Myelin regulatory factor OS=Bos taurus OX=9913 GN=MYRF PE=4 SV=2
+MEVVDETEALQRFFEGHDINGALEPSNIDTSILEEYISKEDASDLCFPDISAPASAASYP
+HGQPAIPGSSGVHHLSPPGGGPSPGRHGALPPPSYSAPLNCNNNNGVGVAPKPFLGGSGP
+PIKAEPKAPYAPGTLPDSPPDSGSEAYSPQQVNDPHLLRTITPETLCHVGVPSRLEHPPP
+PPAHLPGPPPPPPPPPHYPVLQRDLYMKAEPPMPPYAAMGQGLVPTDLHHGQQSQMLHQL
+LQQHGAELPPHPSKKRKHSESPPNTLNAQMLNGMIKQEPGTVTALPPHPARAPSPPWPPQ
+GPLSPGPNSLPLSIARVQTPPWHPPGAPSPGLLQDSDSLSGSYLDPNYQSIKWQPHQQNK
+WATLYDANYKELPMLTYRVDADKGFNFSVGDDAFVCQKKNHFQVTAYIGMLGEPKYVKTP
+EGLKPLDCFYLKLHGVKLEALNQSINIEQSQSDRSKRPFNPVSVNLPPEQVTKVTVGRLH
+FSETTANNMRKKGKPNPDQRYFMLVVALQAHAQNQNYTLAAQISERIIVRASNPGQFESD
+SEVLWQRAQVPDTVFHHGRVGINTDRPDEALVVHGNVKVMGSLMHPSDLRAKEHVQEVDT
+TEQLKRISRMRLVHYRYKPEFAATAGIEAAAPETGVIAQEVKEILPEAVKDTGDVVFANG
+KTIENFLVVNKERIFMENVGAVKELCKLTDNLETRIDELERWSHKLAKLRRLDSLKSTGS
+SGAFSHAGSQFSRAGSVPHKKRPPKVASKSSSVVPDQACISQRFLQGTIVALVVVMAFSV
+VSMSTLYVLSLRTEEDLVESDGSFAVSTSCLLALLRPQHPGGSEAMCPWSSQSFGTTQLR
+QSPVTTGVLGPQPSLLLGTTGPTRSAPAPALRTLDLCSTHPCPVICCSSPSPTPSTDPSL
+GPSFNPGRGLSPSPSPTTNRSGPSQMALLPVTNIRAKSWGLSANGIGYFKHPKSSNPVAS
+PVVPFPGGQGKAKNGPSLGLHGRGRRAVPEPGLSPAQPTQAWGQPASLLADPVPSLTSIQ
+VLENSMPITSQYCTSEDACRPGNVTYHIPVSSSTPLHLRLTLQMNSSSPVSVVLCSLMSK
+EEPCEEGGFLQSLHAHQDTQGTSHQWPVTILSFRRFTYHFRVALLGQANCSAEAPVQPAT
+DYYFHFYRLCD
+>tr|A0A3Q1M1Y3|A0A3Q1M1Y3_BOVIN Unc-13 homolog A OS=Bos taurus OX=9913 GN=UNC13A PE=4 SV=1
+LFLSLSTVKKAKFDGAQEKFNTYVTLKVQNVKSTTIAVRGSQPSWEQDFMFEINRLDLGL
+TVEVWNKGLIWDTMVGTVWIPLRTIRQSNEEGPGEWLTLDSQVIMADSEICGTKDPTFHR
+ILLDTRFELPLDIPEEEARYWAKKLEQLNAMRDQDEVSVDDDPDSAVDDRDSDYRSETSN
+SIPPPYYTTSQPNASVHQYSVRPPPLGSRESYSDSMHSYEEFSEPRALSPGGGLIIIDSM
+PDIRKRKPIPLVSDLSLVQSRKAGITSALASSTLNNEELKNHVYKKTLQALIYPISCTTP
+HNFEVWTATTPTYCYECEGLLWGIARQGMRCTECGVKCHEKCQDLLNADCLQRAAEKSSK
+HGAEDRTQNIIMVLKDRMKIRERNKPEIFELIQEIFAVTKTAHTQQMKAVKQSVLDGTSK
+WSAKISITVVCAQGLQAKDKTGSSDPYVTVQVGKTKKRTKTIYGNLNPVWEENFHFECHN
+SSDRIKVRVWDEDDDIKSRVKQRFKRESDDFLGQTIIEVRTLSGEMDVWYNLDKRTDKSA
+VSGAIRLHISVEIKGEEKVAPYHVQYTCLHENLFHFVTDVQNNGVVKIPDAKGDDAWKVY
+YDETAQEIVDEFAMRYGVESIYQAMTHFACLSSKYMCPGVPAVMSTLLANINAYYAHTTA
+STNVSASDRFAASNFGKERFVKLLDQLHNSLRIDLSMYRNNFPASSPERLQDLKSTVDLL
+TSITFFRMKVQELQSPPRASQVVKDCVKACLNSTYEYIFNNCHELYSREYQTDPAKKGEV
+PPEEQGPSIKNLDFWSKLITLIVSIIEEDKNSYTPCLNQFPQELNVGKISAEVMWNLFAQ
+DMKYAMEEHDKHRLCKSADYMNLHFKVKWLYNEYVAELPAFKDRVPEYPAWFEPFVIQWL
+DENEEVSRDFLHGALERDKKDGFQQTSEHALFSCSVVDVFSQLNQSFEIIKKLECPDPQI
+VGHYMRRFAKTISNVLLQYADIISKDFASYCSKEKEKVPDLMFLLAPQLDAEASDILKEL
+QVKLNNVLDELSRVFATSFQPHIEDCVKQMGDILSQVKGTGNVPASACSSVAQDADNVLQ
+PIMDLLDSNLTLFAKICEKTVLKRVLKELWKLVMNTMEKTIVLPPLTDQTDHMVREEAKS
+LTPKQCAVVELALDTIKQYFHAGGVGLKKTFLEKSPDLQSLRYALSLYTQATDLLIKTFV
+QTQSAQGSGVEDPVGEVSVHVELFTHPGTGEHKVTVKVVAANDLKWQTSGIFRPFIEVNI
+IGPQLSDKKRKFATKSKNNSWAPKYNESFHTLSADTGPECYELQVCVKDYCFAREDRTVG
+LAVLQLRELVQRGSAACWLPLGRRIHMDDTGLTVLRILSQRSNDEVAKEFVKLKSDTRSA
+EEGGAAPAP
+>tr|F1MU23|F1MU23_BOVIN F-box/LRR-repeat protein 8 OS=Bos taurus OX=9913 GN=FBXL8 PE=4 SV=2
+MSVQNQYHPVLSPTGPCRPLKAPSWDKALAGASVGTLTPASHGNSPIGHHHHHLTRAAAG
+ENRTAPVLLSQDPPRLLPATESPPTLCTNLAAWARPGFPRPPASTGLALLPGVRGAQGRH
+PSPSRPVRPGVPPPGFPAVPSRHCPLRPVPSLWAKPTRSAAQGGPHLAMAEPGEQLPEEV
+LALIFRHLPLPDRAAAARVCRAWAAAATCSAVWHDTSISCDCELEGMLPPYLSACLDHVQ
+NLWLEFEPSRKSSRRAATDLLTALTGRTPGLRGLCLECRGEKPLFDAGRDVLDAVHALCG
+AASALRHLDLRRLPFSLDDALVLQVAHGCPELRSLFLDNRTLVGSVGPGSVLELLEACPC
+LRALGLHLASLSRTALEALAAPERAPFELLALRCACPEDARAPPLPDEAWAALSLRHPGL
+QVELELEPVLPAESVTRVLQPAVPVATLRLSLSGDTVGPVRFAARHYAATLRALEVRAAA
+SAELDAALEELAARCAGLREVHCFCVVRPSVLHAFRARCPRLRSYTLKVTREPHPWRPTL
+VA
+>tr|A0A3Q1LMY6|A0A3Q1LMY6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+VCYCFKKGRNNQSLWEGSTHPPRGAQDRRPKLLRTWAQSCATAPAARAMKMVAPWTRFSS
+NSCCLCCHVRTGTILLGVWYLILNAVVLLILLSALDDPDHYHFSSSELGGDFEFMDDANM
+CIAIAISVLMILICAMATYGAYKQRAGWIIPFFCYQIFDFALNTLVAVTVLLPPDFPYKD
+DIMSVNPTCLVLIILLFISIILAFKGYLISCVWNCYRYINGRNSSDVLVYVTSNDTTVLL
+PPYDDATVNSATKEPPPPYVSA
+>tr|A0A3Q1LLX0|A0A3Q1LLX0_BOVIN RAD54 like 2 OS=Bos taurus OX=9913 GN=RAD54L2 PE=4 SV=1
+MNLDPKEILADVGRSSVRPGSQSHQDPSLEDMCGTECAQLGEDGQQPPRCTSTTSSQSEP
+SEQLRRHQGKGLASEDPKKKRAQKPSHMRRNIRKLLREDQLEPVTKAAQQEELERRKRLE
+QQRKDYAAPIPTVPLELFPEEIVLRASDGPQLPPRVLTQEVICLDSSSGSEDEKSSRDEV
+IELSSGEEDTLHIVDSSESVSEEDEEEEKGGTHVNDVLNQRDALGRVLVNLNHPPEEENV
+FLAPQLARAVKPHQIGGIRFLYDNLVESLERFKTSSGFGCILAHSMGLGKTLQVISFIDV
+LFRHTPAKTVLAIVPVNTLQNWLAEFNMWLPAPEALPADSKPEEVQPRFFKVHILNDEHK
+TMAARAKVMADWVSEGGVLLMGYEMYRLLTLKKSFATSRPKKAKKRSHPVIIDLDEEDRQ
+QEFRREFEKALCRPGPDVVICDEGHRIKNCQASTSQALKNIRSRRRVVLTGYPLQNNLIE
+YWCMVDFVRPDFLGTRQEFSNMFERPILNGQCIDSTPQDVRLMRYRSHVLHSLLEGFVQR
+RGHTVLKIHLPAKEENVILVRLSQIQRDLYTQFMDRFRDCGNSGWLGLNPLKAFCVCCKI
+WNHPDVLYEALQKENLANEQDLDVEELGSAGTSARCPSQGTKGKVEDSALASSMGEATNS
+KFLQGVGFNPFQERGNNIVTYEWAKDLLTNYQTGVLENSPKMVLLFHLIEESVKLGDKIL
+VFSQSLSTLALIEEFLGKREVPCLPGAEGQGVQKWIRNVSYFRLDGSTPAFERERLINQF
+NDPSNLTTWLFLLSTRAGCLGVNLIGANRVVVFDASWNPCHDAQAVCRVYRYGQKKPCHI
+YRLVADFTLEKKIYDRQISKQGMSDRVVDDLNPMLNFTRKEVENLLHFVEKEPAPQTSLN
+VKGIKEPVLQLACLKYPHLITKEPFEHESLLLNRKDHKLTKAEKKAAKKSYEEDKRTSVP
+YTRPSYAQYYPASDQSLTSIPAFSQRNWQPTLKSDEKPVASVRPVQSTPIPMMPRHVPLG
+GSVSSASSTNPAMNFPINYLQRAGVLVQKVVTTTDIVIPGLNSSTDVQARINAGESIHII
+RGTKGTYIRTSDGRIFAVRATGKPKAPEDGRMAASGSQGPSLESTSNGRHSASSPKAPDP
+EGLARPVSPDSPEIISELQQYADVAAARESRQSSPSSSTALPGPPAPLVDSSAVPGTALG
+TEPRHGGHCLNSSLLVTGQPCGDRHPVLDLRGHKRKLATPPAAQESARRRSRKGHLPAPV
+QPYEHGYPVSGGFAMPPVSLNHNLTPPFTSQAGENSLFMGSTPSYYQLSNLLADARLVFP
+VTTDPLVPAGPVSSSSTATSVTASNPSFMLNPSVTGILPSYSLPFSQPLLSEPRMFAPFP
+SPVLPSNLSRGMSVYPSYISPHTGYPAGGLLRSQVPPFDSHEVAEVGFSSNDDEDKDDDV
+IEVTGK
+>tr|A0A3Q1LQ71|A0A3Q1LQ71_BOVIN Olfactory receptor OS=Bos taurus OX=9913 PE=3 SV=1
+ELLRHICSTVDMKSQNQSSVFYFILLGFSNFPELQRQLFFVISLEQSLHVPMYLFLLNLS
+VVEVGFSAVIMPEMLVVLSSEKTTITFAGCFAQMYFILLFGGTECFLLGAMAYNRFVTMN
+KRVFTKLVMFSWVSWIMVATAQTSWVFSFPFCGPSEINHLFCETPPVLELACADTFLFEF
+YAFTGTILIVMVPFVLILLSYIRILFAILKMPSTTGRQKAFSTCASHLTSVTLFYGTASM
+TYLQPKSGYSPETKKLTSLAYTLLTPLLNPLIYSLRNSEMKRVLVKVWRRKVDLHAF
+>tr|A0A3Q1LQN2|A0A3Q1LQN2_BOVIN Transcription factor 3 OS=Bos taurus OX=9913 GN=TCF3 PE=4 SV=1
+MNQSQRMAPVGTDKELSDLLDFSMMFPLPVANGKSRPASLAGTQFGSSGLEDRPSSGSWG
+TSEQDSSSFDPGRTYSDGAHFGESHSSLPSSTFLGPGLGGKGSERGAYAAFGRDAGVSSL
+TQVGFLPSELALSSPGPLSPSGIKGGSQYYSYSGHPRRRATDSSLDSQPKKVRKVPPGLP
+SSVYPPSSGDDYGRDPTTYPSAKTPSSSYPTPFYMADGSLHPSAELWSAPGQAGFGPMLG
+GGSSPLPLPAGGGSSVGGSGGFGSLHQHERMGYQLHGAEVNGGLPAASTFSGPAGTYSSI
+SSHTPPVSGADSLLGSRGTTSSSSGDALGKALASIYSPDHSSNNFSSSPSTPVGSPQGLA
+GTSQWPRPGAPGALSPSYDGGLHGLQSKVEDHLDEAIHVLRSHAVGTAGDVHGLLPGHGA
+LTSGFAGPVMPLGGRHAGLVGSGHSEDGLSGSSGLVHNHVALPDLSRQPDSYGDLGHGTA
+PGTTDIKREEQEDEENVAADTAEDEKKDLKAPRTRSSPDEDEDDLLPPEQKAEREKERRV
+ANNARERLRVRDINEAFKELGRMCQLHLNSEKPQTKLLILHQAVSVILNLEQQVRERNLN
+PKAACLKRREEEKVSGVVGDPQMVLSAAHPGLGEAHNPAGHL
+>tr|A0A3Q1LIQ1|A0A3Q1LIQ1_BOVIN Methyl-CpG binding domain protein 1 OS=Bos taurus OX=9913 GN=MBD1 PE=4 SV=1
+MAEDWLDCPALGPGWKRREVFRKSGATCGRSDTYYQSPTGDRIRSKVELTRYLGPACDLT
+LFDFKQGVLCYPSPKAQSLAITSRKRKKPSKPAKARKCQVGPQKSEVRKEAPRDDTKADT
+DTVPASLPAPGCCENCGISFSGDGTRRQRLKTLCKDCRAQRIAFNREQRMFKRVGCGECT
+ACQVKEDCGACSTCLLQLPHDVASGLFCKCERRRCLRIVERSRGCGVCRGCQTREDCGRC
+RVCLRPPRPGLRRQWKCVQRRCLRGKHGRRRGGCDSKVVPRRRPPRAQSPPPPPPPQPPE
+SPELHPRALAPSPPAEFIYYCVDEDELQPYTNRRQNRKCGTCAACLRRMDCGHCDFCCDK
+PKFGGSNQKRQKCRWRQCLQFAMKRLLPSVWAGSEDGASPPPAHPRRKRPGSTRRPHLGQ
+TLKPPLATPAAQPDRAQTPVKEEAGSGFVLPPPGTDLVFLREGASSPVQVPGPAPASTET
+RLQEAQCPGLSWVVALPQVKQEKADAQEDWTPGTAILTSPVLLPGCPSKAVDPGLPAVKQ
+EPPDPEEDKDDNKADSTSDLPPEEEAGGAGTPVITEIFSLGGTRLRDTAVWLPRSKDLKK
+PGGRKQ
+>tr|A0A3Q1LLZ3|A0A3Q1LLZ3_BOVIN UDP-glucose glycoprotein glucosyltransferase 1 OS=Bos taurus OX=9913 GN=UGGT1 PE=4 SV=1
+EFLAEDKLFWLIFFLTGTDYSYYHAILKAAFQFLSPLQQNLLKFCLSLRSYSATIQAFQQ
+IAADEPPPEGCNSFFSVHGKKTCDFDTLETLLLTASERPKPLLFKGDHRYPSSNPESSVV
+IFYSEIGSEEFYTFHRQLISKSNAGKINYIFRHYVSNPRKEPVYLSGYGVELAIKSTEYK
+AKDDTQVKVIGESDPIDEVQGFLFGKLRDLHPDLKGQLKELRKHLVESTNEMAPLKVWQL
+QDLSFQTAARILAAPVELALVIMKDLSQNFPTKPETWKFLLNILFLLLNQFFIFQYFKGT
+LGLQPGDSALFINGLHIDLETQDIFSFHNVWLLVICPDCCSSLIRYNSWPSSLQELLRPT
+FPGVIRQIRKNLHNMVFIVDPAHESTAELINTAEMFLSNHIPLRLGLIFVVNDSEDVDGM
+QDAGVAILRAYNYVAQEVDDYHAFQILTHMYNKVRTGERVKVEHVVTVLEKKYPYVEVNS
+ILGIDSAYDQNRKEARSYYEQTGVGPLPVVLFNGVPLEREQLDPDELETITMHKILETTT
+FFQRAVYLGELSHDQDVVEYIMNQPNVVPRINSRILTAENTLGFFDLSFKILYLLDCNVQ
+KIIQDCDTILPVTFWIVGDFDSPSGRQLLYDAIKHQASISYFSLMINNPSEDISYEKTQI
+SRAIWAALQTQTSNSAKNFITKMAKEETAEALAAGTDIREFSVGVKVFESSKMDFILSHA
+MYCRDVLKLKKGQRAVISNGRVRILLWLHYKKSLTSFLFHFSFYRYVLEPEISFTSDNSF
+TKGPIAKFLDMPQSPLFTLNLNTPESWMVESVRTPYDLDNIYLEEVDSVVAAEYELEYLL
+LEGHCYDITTGQPPRGLQFTLGTSTKPVIVDTIVMANLGYFQLKANPGAWILRLRKGRSE
+DIYRIYSHDGTDSPPDADEVVVVLNNFKSKIIKVKVQKKADMVNEDLLSDGTNENESGFW
+DSFKWGFTGGQKTEEVKQDKDDIINIFSVASGHLYERFLRIMMLSVLKNTKTPVKFWFLK
+NYLSPTFKEFIPYMADKYNFQYELVQYKWPRWLHQQTEKQRIIWGYKILFLDVLFPLVVD
+KFLFVDADQIVRTDLKELRDFNLDGAPYGYTPFCDSRREMDGYRFWKSGYWASHLAGRKY
+HISALYVVDLKKFRKIAAGDRLRGQYQGLSQDPNSLSNLDQDLPNNMIHQVPIKSLPQEW
+LWCETWCDDASKKRAKTIDLCNNPMTKEPKLEAAVRIVPEWQDYDQEIKQLHRSRRVLRT
+SVHGEGRRSSLQRGPPFRADSGWGGAHASLSGFAPVGPCALPQHQVHC
+>tr|E1BCR3|E1BCR3_BOVIN Centrosomal protein 152 OS=Bos taurus OX=9913 GN=CEP152 PE=4 SV=3
+MSLDFGSVALQTQNEDEEFDKEDYEREKELQQLLTDLPHDMLDDDLSSPELHYSDCSEDG
+REENTHHSEQLEMNWTEHQVLPKPPNVNAYNEIRDICIGEKSGNGWEDNQSRTEDQHPVY
+HPEGGGDEGGSGYSPPSKYEQTDLYHLPENFRPYTNSQKQEFNSQPTNVITFSDTQRNHF
+QVFCQALESYKVTYKPFQSSAQNNGSPAQDTAESDTFEGLQQQFLGANENSAENMQIIQL
+QVLNKAKERQLDNLVEKLDESERQVRFLNHQLLITKDEKDGLALSLRESQKLFQNGKERE
+IQLEAQIKALETQIQALKVNEEQMIKKSRTTEMAMDSLKQQLLDLHHSESLQRTREQHES
+IVMGLTKKYEEQVLFLQRKLDATLTALKEQEDICCHLKDQVKQLERNQEATRLEKTEIIN
+RLTRSLEESQKQCANLLHSGSVQEVAQLQLQLQQAQKALAMSENMSKALQEELKELKDEI
+SLYESAAKLGILPSDSEGELNIELTESYVDLGIKKVNWKKSKLVQQEGPDEALSKEEVIL
+KLKAEVQRLLDSNSVKRHLVSQLQSDLKNSHKKIEALQVEKEEKSTEVEFTKTDTSEKPT
+NQIWPDSSDMIVKDDILQLKNEIQVLQQQNQELKETEEKLRNTNQDLCNQMRQMVQDFDR
+DKQEAVDRCERTYQQHHEAMKAQIRESLLAKHALEKQQLFEVYEATRLQLRSDLDKVNKE
+MAAVQECYLEVCREKDKLEATLRKSMEKEQQAQEKKIKQELIQQLEKEWQSKLDQTVKAM
+KKKTSDCCSQTDQETTTDVISKKEMAIMTEGKKRKIQQNLEQAKETAIKGDLKKLEFELE
+LKYCENIAKQVETAVQNARHRWLEELPELAEYKALVRAEQKKWEELQEQSVAKRILFAVS
+EAKEKWKSEVANMKKNVTPGKEMEEKIHSLQRELELKNEELPVVVRAELAKARSEWNKEK
+QEEIHRIQEQNEQDYRHFLDDHRNKINEVLAAAKEDFMKQKTELLLQKETELQTCLDQSR
+KEWTMQEARRIQLEIHHHEEDILTVLEFLLKDTLKEQASGSESKPLLELLSTCSSKWMSV
+QYFEKLKACIQKTFQDILSLLIETVDSDWGKRNMTKISKDPATGRGDPGVQAMLPAPTSG
+HHALPLAAVQEAEAENTKVVEELIEENNDMKNKLEELRTLCKTLPPRSLSEGAIENACLP
+CNEVALEELRGQYIKAVKKIKRDMLRYIQESKERAAEVVKAEVLRERQETARKMRKYYLI
+CLQQILQDDGKEEGAEKKIISAASKLAMMAKLLETPISDRSQSKTTQSVLPLTSERLTGV
+EQSKRNDVNQNIPCHTESKSNNLKTIPRSMCDQVPKRRAACNLQRRLEDAERGDRKPECS
+RESHLDFQFRDNSRKRVDTLPRSVSPEFVPCEDERSFGLHKKTDLLGDPGSEPLQHSHTH
+PFRGTFGNKPSSRYTPELSESESTDITFPSPNERLGLKVYKCNPLMESENAASEKNPSLG
+VKEVPVKDVGDLSDSSGWHSNTVTLSYSSHEVSFLHGGPQDTLDSLLESVNFKQFSAASC
+LSDSEKNNMIRQPMKCQSGHAPDLSEETTYSQPGKSSITPGHPPHHKADMLKSEFKTLSS
+TLPPAMCPLPSRKLIAPLSSQQDSGFDSPFVNLD
+>tr|F1MS78|F1MS78_BOVIN TCTP domain-containing protein OS=Bos taurus OX=9913 PE=3 SV=2
+ILSHIHKTWEVVDGLCLEVEGNMVSRTEGNTGDSLLGGCASAEVPKGKGAESTVITGVDI
+VLNHHLQKTSFTKEAYKKYIKGDIKSIRGKLEEQRPERVKPFIIGAAEHIKHILANLKTV
+ALLDCRERGVTPYMIFFRDGLEMEYQTCRVRSLKIKCI
+>tr|A0A452DJW0|A0A452DJW0_BOVIN E3 ubiquitin-protein ligase MARCH2 OS=Bos taurus OX=9913 GN=MARCH2 PE=4 SV=1
+MAQSSRPEPGPLKDGQARTVGLSLPSKETHKPCWLVLGWKRSLPRVPADRLLGPWALGPE
+DTGRPVAMTTGDCCHLPGSLCDCSDSPAFSKVVEATGLGPPQYVAQVTSRDGRLLSTVIR
+ALETPSDGPFCRICHEGANGESLLSPCGCSGTLGAVHKSCLERWLSSSNTSYCELCHTEF
+AVEKRSRSLTEWLKDPGPRTEKRTLCCDVVCFLFITPLAAISGWLCLRGAQDHLRLHSHL
+EALGLIALTIALFTIYVLWTLVSFRYHCQLYSEWRRTNQKVRLKMQADGSEGSQHSPLAA
+GLLKKVAEETPV
+>tr|A0A3Q1MQB3|A0A3Q1MQB3_BOVIN Lin-54 DREAM MuvB core complex component OS=Bos taurus OX=9913 GN=LIN54 PE=4 SV=1
+MLVELAPDQLSRRRTIMEVVPAEVNSLLPEEIMDTGITLVDDDSIEAVIVSSPIPMETEL
+EEIVNINSTGDSAATPISTEPITVHSNHTTRVAVNTTVTKADSNTTVKPAFPSGLQKLGA
+QTPVTISANQIILNKVSQTSDLKLGNQTLKPDGQKLILTTLGKSGSPIVLALPHSQPQAQ
+KVTTQAQSGDAKLPPQQIKVVTIGGRPEVKPVIGVSALTPGSQLLNTTTQPSVLQTQQLK
+TVQIAKKPRTPTSGPVITKLIFAKPINSKAVTGQTTQVSPPVIAGRVLSQSTPGTPSKTI
+TISESGVIGSTLNSTTQTPNKIAISPLKSPNKAVKSTVQTITVGGVSTSQFKTIIPLATA
+PNVQQIQVPGSKFHYVRLVTATTASSSTQPVSQNPSTNTQPLQQAKPVVVNTTPVRMSVP
+LVSAQTVKQVVPKPINPTSQIVTTSQPQQRLIMPATPLPQIQPNLTNLPPGTVLAPAPGT
+GNVGYAVLPAQYVTQLQQSSYVSIASNSNFTGTPGIQTQARLPFNGIIPSESASRPRKPC
+NCTKSLCLKLYCDCFANGEFCNNCNCTNCYNNLEHENERQKAIKACLDRNPEAFKPKIGK
+GKEGESDRRHSKGCNCKRSGCLKNYCECYEAKIMCSSICKCIGCKNFEESPERKTLMHLA
+DAAEVRVQQQTAAKTKLSSQISDLLTRPAPALNSGGGKLPFTFVTKEVAEATCNCLLAQA
+EQADKKGKSKAAAERMILEEFGRCLMSVINSAGKAKSDPCAMNC
+>tr|F1MBU2|F1MBU2_BOVIN Sphingomyelin phosphodiesterase 4 OS=Bos taurus OX=9913 GN=SMPD4 PE=4 SV=3
+MTTFRRLVAEWRLPSLKWATLRVPQWSPTTAAPDPARQAAMAFPHLQQPSFLLASLKADS
+MNKPFAQRCQDLVKVIEDFPAKELHSVFPWLVESIFGSLDGVLPGWNLRCLQGRVSPVEH
+SMAMEFLDPGGPMMKLVYKLQAEDYKFDFPVSYLPGPVKASIQERVLPDSPLYHNKLQLP
+PTGGLGLYLALNPFEYYMFFFALSLIAQKPPPGALHVRTSDCAYFILVDRYLAWFLPTEG
+SVLPPLSSSPGGPSPSPAPRTPAVPFASYGLHHTSLLKRHVSHQTSVNADPASHEIWRSE
+TLLQVFVEMWLHHYSLEMYQKMQSPHAKLEVLHYRLSVCRALHSPAHPSLQALHAHQESF
+MPTEEHVLVVRLLLKHLHAFANSLRPEQASPSAHSHAASPLEEFKRAAVPRFVQQKLYLF
+LQHCFDHWPLDASFRAVLEMWLSYLQPWRYAPERQAPGSDCQARSASERWAPFVQENLLI
+YTKLFLGFLNRALRTDLVSPKNALMVFRVAKVFAQPNLAEMIQRGEQLFLEPELGVPQRQ
+HRLFTAPSFTGSFLSSWPPAVTDASFKVKSHVYSLEGQDCKYTPMFGPEVRALVLRLAQL
+ITQAKQTAKSLSDQCGESAAGRPFLSWLGFYPADTNGSYAGNDLDEMGQDSVRKTDEYLE
+KALEYLCQAFRLSEAQLAQLTLALGTTQDENGKKQLPDCIVGDDGLILTPLGRYQIISGL
+RRFDIEYQGDWELQPIRSYEIASLVRALFQLSSAINRRFAGQMAALCSRADFLGSFCRYH
+LLEPGLSGRRLLSPVGRGPAASRARGPRLSLRFLGSYRTLLSLVLAFSVASLFCVGPLLC
+ALLLVLGYVLYAVAMTLLTERGKLHQL
+>tr|E1BDF5|E1BDF5_BOVIN Serpin family B member 12 OS=Bos taurus OX=9913 GN=SERPINB12 PE=3 SV=3
+MDSLIAANTKFCFDLFQKISTDDCRKNIFFCPLSLSAALGMVRLGARSGSARQIDQVLHF
+NEFSQNKGNEPDPCLKKAEQEVPADSNLEGQKEVTGSLTLQTESSKDESGLLSCYFGQLL
+SKLARIKVDYTLSIANRLYGEREFPICPEYLDGVIQFYHTTVESVDFRKDSEKSRQEINF
+WVESQSQGKIKELFSKDSINSETVLVLVNAVYFKAKWEKYFDCENTVDAVFSLSESEKKN
+VKMMNQNGLFRIGFVDELKAQILELPYTKGKLDMVVLLPSGSADNLKALEELERNITYEK
+LVSWSSSENMSEKRVAVSFPRFTLEDSYDLNPILQDMGITDIFDETKADLSGISPRPSLY
+LSKVVHKTFLEVDENGTQAVAASGVVGMEKSSPSWETFNANRPFLFFIRHNKTQTILFYG
+RVCSP
+>tr|A0A3Q1M5F1|A0A3Q1M5F1_BOVIN Brain protein I3 OS=Bos taurus OX=9913 GN=BRI3 PE=4 SV=1
+PVLGGLPRAYFFSLVRLWVDPQFSLGRGGGVGGLFVSLLSLCGWLQLRLLGRHGLPRPLC
+PEVAGHSSPASILDWEPSPPPSGCPLSLPTGLWGGLQLSSTGMTGIPTHHPRVYNIHSRN
+VTRYPANSIVVVGGCPVCRVGVLEDSFTFLGIFLAIVLFPFGFICCFALRKRRCPNCGAN
+FT
+>tr|A0A3Q1LRW6|A0A3Q1LRW6_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 GN=BOLA-A PE=3 SV=1
+MRVVGQRTLLLLLLLDALILTETRAGSHSLRYFYTAVSRPGLGEPRFISVGYVDDTQFVR
+FDSDAPDPRIEPTARWVEQEGPEYWHQETQRTKDTAQFFRVYLNTLRGYYNQSEAGSHTV
+QEMYGCDVGPDGLLRGYDQFAYDGRDYIALNEDLRSWTAADTAAQVTKHNAEAAGDAARV
+RIYLEGKCVEWLRRYLVTGKDTLLRADPPKTHVAHHPISDREVTLRCWALGFYPEEISLT
+WQRDGEDQTQDMELVETRPSGDGTFQKWAALVVPSGEEQRYTCHVQHEGLQEPLTLRWEP
+PQPSIPIMGIIVGLVHLMVTGAMVTGAVIWRKKHSGRERREGSKFSCSDSDQGSDVPLTV
+PKV
+>tr|A0A3Q1LQQ5|A0A3Q1LQQ5_BOVIN Interleukin enhancer binding factor 3 OS=Bos taurus OX=9913 GN=ILF3 PE=4 SV=1
+MRPVRIFVNDDRHVMAKHSSVYPTQEELEAVQNMVSHTERALKAVSDWIDEQEKGSSDHA
+ESENVDVPAEDEGKEGAGEQKTEHMTRTLRGVMRVGLVAKGLLLKGDLDLELVLLCKEKP
+TTALLDKVADNLAIQLTAVTDDKYEILQSVDDAAIVIKNTKEPPLSLTIHLTSPVVREEM
+EKVLAGETLSVNDPPDVLDRQKCLAALASLRHAKWFQARANGLKSCVIVIRVLRDLCTRV
+PTWGPLRGWPLELLCEKSIGTANRPMGAGEALRRVLECLASGIVMPDGSGIYDPCEKEAT
+DAIGHLDRQQREDITQSAQHALRLAAFGQLHKVLGMDPLPSKMPKKPKNENPVDYTVQIP
+PSTTYAITPMKRPMEEDGEEKSPSKKKKKIQKKEEKAEPPQAMNALMRLNQLKPGLQYKL
+ISQTGPVHAPIFTMSVEVDGSSFEASGPSKKTAKLHVAVKVLQDMGLPTGAEGRDSSKGE
+DSAEETEAKPAVVAPPPVVETVSTPSAAFPSDPTTEQGPILTKHGKNPVMELNEKRRGLK
+YELISETGGSHDKRFVMEVEVDGQKFQGAGSNKKVAKAYAALAALEKLFPDAPLSLEANK
+KKRAPVPVRGGPKFAAKPHNPGFGMGGPMHNEVPPPPNLRGRGRGGNIRGRGRGRGFGGA
+NHGGYMNAGAGYGSYGYGGNSATAGYSDFFTDCYGYHDFGSS
+>tr|A0A3Q1MQU1|A0A3Q1MQU1_BOVIN Contactin 3 OS=Bos taurus OX=9913 GN=CNTN3 PE=4 SV=1
+MLLSWKHLIFLSFIGCLEGELLLQGPVFVKEPSNSIFPVGSEDKKITLNCEARGNPSPHY
+RWQLNGSDIDLSMEYRYKLIRGNLVVINPNRNWDTGNYQCFATNSVGTIVSREAKLQFAY
+LENFKTKMRSTVSVREGQGVVLLCGPPPHSGELSYAWIFNEYPSFVEEDSRRFVSQETGH
+LYIAKVEPSDVGNYTCVVTSTVTNARVLGSPTPLVLRSDGVMGEYEPKIEVQFPETLPAA
+KGSTVKLECFALGNPVPQINWRRSDGLPFSSKIKLRKFSGVLEIPNFQQEDSGSYECIAE
+NSRGKNVARGRLTYYAKPHWVQLIKDVELAVEDSLYWECRASGKPKPSYRWLKNGEALVL
+EERIQIENGALTISNLNVTDSGMFQCIAENKHGLVYSSAELKVMASAPDFSKTPMKKLIQ
+VQVGSEVNLDCKPRASPRALSSWKKGEVIVQENERTSFLNDGGLKIVNVTKADAGIYTCI
+AENQFGKANGTTHLVVTEPTRITLAPSNMDVSVGESVILPCQVQHDPLLATMFTWYFNGA
+LADFRKDGSHFEKVGGSSSGDLMIRNIQLKHSGKYVCMVQTGVDSVSSAADLIVRGSPGP
+PESVKVDEITDTTAQLSWKEGTDNHSPVIAYSVQARTPFSVGWQTATTVPEVIDGKTHTA
+TVVELNPWVEYEFRVVASNKIGGGEPSLPSEKVRTEEAVPEVPPSEVSGGGGSRSELVIT
+WDPVPEELQNGEGFGYVVAFRPLGVTNWIQTVVTSPDTPRYIFRNESILPFSLYEVKVGV
+YNNKGEGPFSPVTTVFSAEEEPTTAPSQVSANSLSSSEIEVSWNAIPWKLSNGHLLGYEV
+RYWNNGGEEDSSSKLKVAGNVTSARLRGLKSNLAYYTAVRAFNSAGTGPFSATVNATTKK
+TPPSQPPGNVVWNATDTKVLLNWEQVKAMENESEVTGYKVFYRTSSQNNVQVLNTNKTSA
+ELLLPIKEDYIIEVKATTDGGDGTSSEQIRIPRITNMDARGCTSAISTVYPVSSYVSVIM
+FFIVNTLW
+>tr|E1BDF3|E1BDF3_BOVIN Matrilin 4 OS=Bos taurus OX=9913 GN=MATN4 PE=4 SV=2
+MRGLLYSLLPLLLLLLQPWETQLQLAGPRCSSGPLDLVFVIDSSRSVRPFEFETMRQFLV
+GLLRSLDVGPNATRVGVIQYSSQVQSVFPLRAFSRREDMERAIRAVVPLAQGTMTGLAIQ
+YAMNVAFSVAEGARPPEAHVPRVAVIVTDGRPQDRVAEVAAQARARGIEIYAVGVQRADV
+GSLRAMASPPLNEHVFLVESFDLIQEFGRQFQGRLCTLDLCTEGDHGCEHQCVSMPGSYF
+CRCQAGFVLQQEQRSCRAIDHCSFGNHSCQHDCVSIYGGPQCRCREGYDLLPDGRSCQAR
+DLCNGVDHGCEFQCVSEGFSYRCVCPEGRQLQADGKSCSRCREGHVDLVLLVDGSKSVRP
+QNFELVKRFVNQIVDFLDVSPEGTRVGLVQFSSRVRTEFPLGRYGTAAEVKQAVLAVEYM
+ERGTMTGLALRHMVEHSFSEAQGARPRALNVPRVGLVFTDGRSQDNISVWAARAKEEGIV
+MYAVGVGKAVEEELREIASEPAELHVSYSPDFSTMTHLLENLKGSICPEEGIGAGTELRS
+PCECESLVEFQGRTLGALESFLNLPLAQLTARLEDLENQLATQK
+>tr|E1BDR3|E1BDR3_BOVIN Sulfate anion transporter 1 OS=Bos taurus OX=9913 GN=SLC26A1 PE=3 SV=2
+MPWGSGHLLLGDQKTQHWGIPGPESRRGGPWAPLLVPRSPSVSAPTGEGMGAIKASERAE
+PALQCAAQPSDPLQGPGSPTQSPQLLSTLPPRRGQSWVGGTGGVAFRSCKGAGPRRAHSG
+GCTTASFIAQAASGTAPSPPPPLCPSACAARTRRAARRFSQGAHCPTMEASPEYTEWGGQ
+LVLVRWRPPPPPGLGEVLKAGLRRSCACSLQGTWAQLQALFPAVHWLRQYRPREALVGDV
+MSGLVIGIILVPQAIAYSLLAGLQPIYSLYTSFFANLIYFLMGTSRHVSVGIFSLLCLMV
+GQVVDRELLLAGFDPAQDGLGPGANRSSLNGSAAALVLGLQDCARDCYAIRVATALTLVA
+GLYQVLMGILGLGFVSAYLSQPLLDGFAMGASVTILTSQLRHLLGVRVPRHQGPGLVVST
+WLSLLRSAGQANLCDVLTSAVCLAVLLAAKELSDRFRHRLRVPLPAELLVIVVATIVSHL
+GQFHERFGSSVAGDIPTGFMAPRVPDAGLMWRVALDAASLALVGSAFSISLAEMFARSHG
+YAVRANQELLAVGCCNVLPAFFHCFATSAALAKSLVKTATGCHTQLSSVVSAAVVLMVLL
+VLAPLFRDLQRSVLACVILVSLRGALRKVRDVPRLWRLSPADALVWVATAATCVLVSTEA
+GLLAGVLFSLLSLAGRTRHPRTALLARAGGSSFYGDPAEFEGLAPEPGVQVFRFTGPLYY
+ANKDFFLQSLYSLTGLDVGYAIARRKERGPGEGAGEGDPVGGRDPGSGSGGASLVPAVTR
+FHAVVIDCAPLLFLDVAGLATLQELHRDYGALGISLLLACCNPSVTDTLRRGGFLGEDQG
+DVAEDGQLFPSVHCAVQAARARHQELAASNSIL
+>tr|A0A3Q1M693|A0A3Q1M693_BOVIN BBX, HMG-box containing OS=Bos taurus OX=9913 GN=BBX PE=4 SV=1
+MKGSNRNKDHSAEGEGTGKRPKRKCLQWHPLLAKKLLDFSEEEEEEDEEEDIDKVQLLGA
+DGLEQDVGETEDDESPEQRARRPMNAFLLFCKRHRSLVRQEHPRLDNRGATKILADWWAV
+LDPKEKQKYTDMAKEYKDAFMKANPGYKWCPTTNKPVKSPAPTTVNPRKKLWAFPSDSSR
+DLPSPKKTKTEEMPQLNFGMADPTQMGGLSMLLLAGEHALGTPEISSGTCRPDVPESSEL
+RQKSPLFQFAEISSSTSHPDAPSKQCQASALFQFAEMCLASEGVKMEESKLIRTKELDGG
+RIKELEKGKEERDTKMEKTDEARLQKEAEFEKSAKENVRDSKEIRNFEELRMDDIIGIKM
+EAPKEIKKEELEEDQKCGHFPDFSYSASSKIIISDVPSRKDHICHPHGIMIIEDPTALSK
+PEKLKKKKKKNKMDRHGNDKSTPKKACKKRQSSESDIESVMYTIEAVAKGDWGIEKLGDT
+PRKKVRPSSSGKGSILDAKPPKKKVKSREKKMSKEKSSDPTKESRPPDFISISASKNISG
+EVPEGIKAEPLTPTEDALPPTLSGQAKPEDSDCHRKIETCGSRKSERSCKGALYKTLVSE
+GMLTSLRANVDRGKRSSGKGNSSDHEGCWNEESWTFSQSGTSGSKKFKKTKPKEDSVLGS
+TKLDEEFEKKFNSLPQYSPVTFDRKCVPVPRKKKKTGNMSAEPTKTSKGPFQSQKKNLFH
+KIVSKYKHKKEKPNVPEKGSGDKWSSKQLFLDAIHPTEAIFSEDKNTTEPAYKVKNALSI
+PNTPEPTTTQEPLVGSQKRKARKTKITHLVRTADGRVSPAGGTLDDKPREQLQRSLPKVT
+ETGCSDECSHNREAAETRSSTPEMPAVSAFFSLAALAEVAAMENVHRGQRSTPLTHDGQP
+KEMPQAPVLISCADQ
+>tr|E1BFD0|E1BFD0_BOVIN Chitinase 3 like 2 OS=Bos taurus OX=9913 GN=CHI3L2 PE=3 SV=2
+AAIKFRVGLVVLLLLQEGCAYKLVCYFTNWSQDRQEPGKFTLENTDPFLCSHLIYSFASI
+SNNKVIIKDKNEAKLYQTINSLKTKNPKLKILLSIGGYLFGSKGFHPVVESSSSTLKFVN
+SVILFLRNHNFDGLDISWIYPNVKDNTHFTVLIHKLAEAFQQDFVKSTKERLLLTAGVSA
+GRQMIDNSYQIKELAKDLDFINLLSFDFHGSWEKPLVTGHNSPLRKGQLDRGTSSYYNVE
+YAVGYWINKGMPAEKVVMGIPTYGRSFTLASAETAVGAPASGPGAAGPITKSSGFLAYYE
+ICQFLQGAKITRLQDQQVPYAVKGNQWVGYDDVESVETKVRLGSGGARGSGTVVPKREAL
+A
+>tr|A0A3Q1M4T1|A0A3Q1M4T1_BOVIN UV radiation resistance associated OS=Bos taurus OX=9913 GN=UVRAG PE=4 SV=1
+MKTLIAAYSGVLRGTGSSILSALQDLFSVTWLNRSKVEKQLQVISVLQWVLSFLVLGVAC
+SVILMYTFCTDCWLIAVLYFTWLVFDWNTPKKGGRRSQWVRNWAVWRYFRDYFPIQLVKT
+HNLLTSRNYIFGYHPHGIMGLGAFCNFSTEATEVSKKFPGIRPYLATLAGNFRMPVLREY
+LMSGGICPVNRDTIDYLLSKNGSGNAIIIVVGGAAESLSSMPGKNAVTLRNRKGFVKLAL
+RHGADLVPTYSFGENEVYKQVIFEEGSWGRWVQKKFQKYIGFAPCIFHGRGLFSSDTWGL
+VPYSKPITTVVGEPITIPRLERPTQQDIDLYHAMYVQALVKLFDQHKTKFGLPETEVLER
+RLRHLRNIAARNIVNRNGHQLLDTYFTLHLCNTEKVYKESNMAES
+>tr|A0A3Q1NFN2|A0A3Q1NFN2_BOVIN N(alpha)-acetyltransferase 16, NatA auxiliary subunit OS=Bos taurus OX=9913 GN=NAA16 PE=4 SV=1
+MRRMTLRAYVDLLRLEDILRRHGFYFKAARSAIEIYLKLYDNPLTSESKQQERNSENLSA
+KELKKMLSKQRRAEKKAKVEEVRKHVEREHQPKNQKKKRDEEEETSGFKEELLPEKLERI
+ENPLEEAIKFLIPLKNLVADNIDTHLLAFEIYFRKGKFLLMLQSVKRAFAINRNNPWLHE
+CLIKFSKSVSNHSNLPDIVSKVLSQEMQKIFVNKDLESFNEDFLTHNATSLQHLLSGAKM
+MYFLDKSRQEKAIAIATRLDEAIKDKNVKTLIKVSEALLDGSFGSCNSQYEEYRMACHRL
+LPFTSAFLPALSEVGSHGAARNHTANCDVLANET
+>tr|F1MLJ1|F1MLJ1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=PCDHB1 PE=4 SV=2
+MATACRKLLQSRQVETLLLFLCLSVGGAATIRYSVAEEMESGSFVANVAKDLGLEVGKLA
+ARGARLVSEGNKLHFRLHRKTGDLFVKEKLDRESLCGKADPCVLHFEVVLVEPLQSFRVE
+VRVFDINDNAPVFLNKEPLLRIPESTPLGSRFPLQSAQDLDVGLNGLQNYTLSANEYFHL
+HTRFRSNGPKYAELVLDKPLDREEQPEVNMTITAVDGGSPPKSGTAHIRVEVLDVNDHVP
+QFSRLVYRAQVPENSANGSLVATVTATDLDEGSNKEITYSLAQNPEVILQTFQIHSETGE
+VRLRGPLDFEAIETYDIDIQATDGGGLSAHSKVLVEVVDVNDNPPEVTVSSVSSPLPEDS
+PLQTVVALFSIRDRDIRVGGKITCFLKEDLPFAVKPTFRNSYSLVTDRGLDREAVSGYNI
+TLVAMDTGPPTLSSETVIEVLISDINDNPPVFQEDSYILTVRENNSPAVFIGKVLAEDLD
+LGENAKVTYSLLPPKSGDLSVFAYISINSDNGKLYALRTMDYEAIQHFQFVVKATDGGFL
+SLSSQVTVRVVVLDDNDNRPMILYPLQNGTLPCNDLVPRSAEAGYLVTKVVAVDGDSGQN
+SWLSYHLFKATDLGLFSVQQQNGEIRTLRQVSERDPTMQKLVILVQDHGQPALSTTASLN
+ILLVDGFSEPYLQFRDTFKHPTRVNPSTKYLVISLAVLSFLFLLSVTVIFIIHICQKIKH
+REKFTIQEHFYDDCNFSNNLVQGGASGPILQPCPYEMCSATGTSNSEFRFLKRFMPNFPF
+PHGNGEAKTEADSRLPPDSDRNRSRGSEGHAQVSDDYM
+>tr|A0A3Q1MM89|A0A3Q1MM89_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=ZNF646 PE=4 SV=1
+MEDTPTSLSCSDCQRHFPSLPELSRHRELLHPSSSQGSEADSIPRPYRCQQCGRGYRHPG
+SLVNHRRTHETGLFPCTTCGKDFTNPMALKSHMRTHAPEGRRRRRPPRPKEATPCLQGET
+VSTESWTQRLGPGEGWENQKKHTEETSGCESGPDPRATLGTWEDPPTRQREGWESQPDPE
+EGVEGWGPTTNSARDTPLPTPASSLLSNLEQYLAESVVNFTGGQELTQSPPAEEERRYKC
+SQCGKTYKHAGSLTNHRQSHTLGVYPCAICFKEFSNLMALKNHSRLHAQYRPYQCPHCPR
+AFRLPRELLEHQQSHEGESQERPWEEKGMPTTNGHTDESSQDQLPGTQMLNGSGELSTSG
+ELEDIGLEEYRPFRCGDCGRTYRHAGSLINHRKSHQTGVYPCSICSKQLFNAAALKNHVR
+AHHRPRQGAGEDGQPSVLPAPLPLAESTHKETEAPTTTLDHRPYKCNECGRAYRHRGSLV
+NHRHSHRTGEYQCSLCPRKYPNLMALRNHVRVHCKAARRSTGPGAEGPPSHLKVELPPDP
+VGPETALLSDQGPGCKHEEGAPAVPPAADRTTPQICSMCGMLFEDSESLEHHRRTHREGA
+QSRTEAKESPPRVFACRDCGKSYRHSGSLINHRQTHQTGDFSCGACAKHFHTMAAMKNHL
+RRHSRRRSRRHRRRAGSAGGGGEAKPPSDGNWAPEPADSEGLGCPRDSSRASPSGAEGSM
+ESSGGCLQAAAERDNCGIERNEACFQGDKESQGAEEGLERMEASFLDNVDIPGAEEGNGT
+HFCDGLPGVEEDQKPAPGQPSSPCHSASSAAWPAEISHTCSDCGHSFPHATGLLSHRPCH
+PPGIYQCSLCPKEFDSLPALRSHFQNHGPGEAVSTQPFLCCLCGMIFPGRAGYRLHRRQA
+HDSGMTEGSEEEGEEEGVSGAISTHSPPLQLSEAELLNQLQREVEALDGAGYGHICGCCG
+QTYDDLGSLERHHQSRNSGNTTDEVPSLMHHPAESGDATVVVADGVFEGTVTSLPAEGGD
+AKPGEGVGATLADSLCRQGEESSLETQPRPFRCNLCGKTYRHGGSLVNHRKIHQTGDFVC
+PVCSRCYPNLAAYRNHLRNHPRCKGSEPQVGPVPEAKGSSEAQTRAEESLEQAEVERFQK
+ELKVEPLEEGARVKEEAWEETTVKGEETTVKGEEMEPRLETAEKGCQTEASSERPFSCEV
+CGRSYKHAGSLINHRQSHQTGHFGCQACSKGFSNLMSLKNHRRIHADPRRFRCAECGKAF
+RLRKQLASHQRVHLERSGGGGSRKLSREDRPFRCGQCGRTYRHAGSLLNHRRSHETGQYS
+CPTCAKTYSNRMALRDHQRLHSESRRRRAGLSRRAAVRCALCGRGFPGRGSLERHLREHE
+EETRGGQGGPDGTEGGQGNLADDQGLEDRLGGTESGPQLEDGATRPAEPSQSPIRAAGLE
+ATEPASWGMGEADGWRGARGPVNHDGGWVPGGHVLTKPEDESGDSVPRSPCPLGNTQPNG
+PSLTPVDSWDSGDCGPQPQPESHSFSCSHCGKICQSEGPLNHHSTHKTDRHYCLLCSKEF
+LNPEATKSHSRNHIAAQTFSCPDCGEAFESHRELASHLQTHARGLSQVSPQVEARSPKAG
+AEEEEVELPGQGKAPSEPPRAPGENVGRANGGQGVESVVAVHEERPFRCAQCGRSYRHAG
+SLLNHQKAHTTGLYPCSLCPKLLPNLLSLKNHGRTHTDPKRHRCSICGKAFRTAARLEGH
+GRVHAPREGPFSCPHCPRHFRRRISFLQHEQQHQEEWTVASSGTSKAPAAGRGDLSLPPP
+PTPTAPLLDPSPQWPTDLSFSL
+>tr|F1N2Q7|F1N2Q7_BOVIN Annexin OS=Bos taurus OX=9913 GN=ANXA13 PE=1 SV=3
+NGNPHQYSWKSHQGLDAHSHQRFDADRDAKKLNKACKGMGTDEAAIIEILSSRTSHERQQ
+IKRKYKTTYGKDLEEVLKSELSGNFEKTALALLDHPEEYAARQLQKAMKGLGTNEAVLIE
+VLCTRTNKEIIAIKEAYQRLFGKSLESDVKGDTSGSLKTILVSLLQANRDEGDNVDKDLA
+GQDAKELYDAGEGRWGTDELAFNELLAKRSHKQLQATFQAYQTLIGKDIEEAIEAETSGD
+LQKAYLTLVRSARDLQGYFADRLYKSMKGAGTDEETLIDIIVTRAEVDLQGIKARFQEKY
+QKSLSDMVRSDTSGDFQKLLVALLH
+>tr|F6PVN0|F6PVN0_BOVIN Chromosome 9 C6orf203 homolog OS=Bos taurus OX=9913 GN=C9H6orf203 PE=4 SV=1
+MAMTSVRLPSSILRKPDAWIGLCRALRGTPSHKGCASWNRYLYLSSAKLNASNYKTLLHN
+IFSLRLPGLLISPEYIFPFSIRLKSNISSKKSTKKTLQKVEDEGDSDEERDEMSELEEEP
+EDNPSEVKDYKDLDKAVQSFRYDVILKTGLDIGRNKVEDAFYKGELRLNGEKLWKKSRTV
+KVGDTLDLLIGEDKEAETETVMRIILKKVLEEKTSSEKHRVVLRRWKKLQLPKKSMLK
+>tr|A0A3Q1LH77|A0A3Q1LH77_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+HASSAQHAGSYLSPGVAFSPCHIQQIGAVSLNGLPATPIAPASGLHSPPLLGTATVPGLV
+APITNGFAGVVPFPGGHPALETVYANGLVPYPGNLGGPLGPRRVAGDREGVPGTSMNPFA
+LVSREQKYRKQGLLG
+>tr|F1MJS9|F1MJS9_BOVIN Protein tyrosine phosphatase, receptor type C OS=Bos taurus OX=9913 GN=PTPRC PE=1 SV=3
+TTEEPSTPTSGGPLPARTTASSPANTSKGGKDSSEISPPTSPDILKPFLWDKNYDRQSMS
+FKQPPHFTDSSSRPPWVGGQIQTFSPGPRCLIYSRMLMAFVIAGAPGEGTTTTLPADPAA
+PPATSRSPALSSTAASPPLTSNSTTQDNASLPLTSNSTTQDKQTTTPALTTASSVSPATA
+LSTIAPTKPPCENKYGGVSVKYSFNDNKTFTATLDVKREECEPPGCEKEHRGLSACQTKN
+ISMSHPSCEPPFEYVLEVPPDPNQFQLVDCVEDEEANTSLCLHWQNKDFPDNGQFKCNEN
+KIEYKFKCDGGSPSYNKDTFKVRNLKPRTNYTCSSQVLYDQQLLISQNKTIETDFGEPEA
+PQNFTCSAKNATEGKCTWTPPQSYFDRISLCYWITPGGRNCIPQDKTQDGIDLHNLRPFT
+NYTVVLQARVTGKVTRSRNATYTFWTDPAKPSKVNGLSASRKTENTIAVSCKRPDQLNGP
+EGKYYLEVRTGNTLVKKDSGPECRFLVEDLQYLTEYNFLVYYDNTKFAGLPESVTASTSY
+NAKALIIFLVFLIIVTSIALLIKQLMNVEPIHADVLLETYKRKMADEGRLFLAEFQSIPR
+VFSKFSIKDARKSFNQNKNRYVDILPYDYNRVELSDINGDAGSNYINASYIDGFKEPRKY
+IAAQGPRDETVDDFWRMIWEQKATVIVMVTRCEEGNKNKCAEYWPSMDEGSRVYGDVIVE
+INEHKRCPDYIIQKLTVGNRKEKASGRAVTHIQFTSWPDHGVPEDPHLLLKLRRRVNAFS
+NFFSGPIVVHCSAGVGRTGTYIGIDAMLEGLEAENKVDVYGYVVKLRRQRCLMVQVEAQY
+ILIHQALVEYNQFGETEVSLSELHPYLSNMKKRDPPSEPSPLEAEFQRLPSYRSWRTQHI
+GNQEENKSKNRNSKIIPYDFNRVALKHELETSKESEQDSDESSDDDSDLEEISRYINASF
+VMSYWKPEVMIAAQGPLKETIGDFWQMVFQRKVRVIVMLTELKSGDKEACAQYWEEGKQA
+YGDVEVHMKDTNKSSAYTVRAFELRHSKRKDPRTVYQYQFNNWNGEELPAEPKELVLMIQ
+NLKQKLPKKNSAEGNKYHRNVPLLIHCRDGSQQTGIFCALFNLLESADTEEVIDVFQAVK
+SLRKARPGMVPTFEQYQFLYDVIASTYPAQNGQVKKSKHPEDKVEIDNEVDKAKPDANCT
+SPPEALETSEGDKEAGGAKPTEGAEGPEHAANGPASPVLTQSA
+>tr|F1MJ12|F1MJ12_BOVIN Complement C1s subcomponent OS=Bos taurus OX=9913 GN=C1S PE=3 SV=1
+MNKLPEMWCIVLFSLVAWVYAEPTMYGEILSPNYPQVYPNEVEKSWDIEVPAGYGIHLYF
+THLDIELSENCSYDSVQIMSGGHEEGKLCGRRTNKNSNSPVVKEFHIPYSKLQVIFRSDF
+SNEERFTGFAAYYVAEDIDECTAFADAPCSHFCNNFLGGYFCSCPPEYFLHEDKKNCGVN
+CSGNVFTTMTGEVESPNYPSPYPESSRCDYQIQLEEGFRVVVTMRREDFDVEPADSEGHC
+PDSLLFVAGDQHFGPYCGNGFPGPLTIETQSSALNIIFQTDGSEQRKGWKFRYHGDPIPC
+PKEVTANSFWEPERAKYVFRDVVKITCVDGFEVVQGSVGSPSFYSTCQSNGKWSNSKLRC
+QPVDCGAPEPIQHGRVEDPESTLFGSITRYSCEMPYYSMEYEGSEVYHCSGNGSWVNKVL
+GIEPPKCIAVCGTPSEPFRSTQRIFGGSIAKIENFPWQVFFSNPWAGGALIDEYWVLTAA
+HVVEGNDIPVMYVGSSSVVTSQLSNAQMLTAERVFIHPGWEVLDPSITRKNFDNDIALVR
+LRDPVKMGPKVAPICLPGTSSEYDPPENVLGLISGWGRTNVKSHVIKLRGAKLPVAPLSK
+CREMKGVNPGIDISSFVFTENMICAGNDKGVDSCDGDSGGAFAVQDPKENKPKFYVAGLV
+SWGPQCGTYGIYTRVKNYVDWIRKTMQEYSAPSVD
+>tr|A0A3Q1LNN3|A0A3Q1LNN3_BOVIN UV radiation resistance associated OS=Bos taurus OX=9913 GN=UVRAG PE=4 SV=1
+MDCTRGPQRALRLQDGVLRKGTGSSILSALQDLFSVTWLNRSKVEKQLQVISVLQWVLSF
+LVLGVACSVILMYTFCTDCWLIAVLYFTWLVFDWNTPKKGGRRSQWVRNWAVWRYFRDYF
+PIQLVKTHNLLTSRNYIFGYHPHGIMGLGAFCNFSTEATEVSKKFPGIRPYLATLAGNFR
+MPVLREYLMSGGICPVNRDTIDYLLSKNGSGNAIIIVVGGAAESLSSMPGKNAVTLRNRK
+GFVKLALRHGADLVPTYSFGENEVYKQVIFEEGSWGRWVQKKFQKYIGFAPCIFHGRGLF
+SSDTWGLVPYSKPITTVVGEPITIPRLERPTQQDIDLYHAMYVQALVKLFDQHKTKFGLP
+ETEVLEVN
+>tr|A0A3Q1N5K3|A0A3Q1N5K3_BOVIN Kelch domain containing 1 OS=Bos taurus OX=9913 GN=KLHDC1 PE=4 SV=1
+MADSQLFCVAEERSGHCAVVDGNFLYVWGGYVSIEDNEVYLPNDEIWTYDIDSGLWTMHL
+MEGELPTSMSGSCGACINGKLYVFGGYDDKGYSNRLYFVNLRTRDGTYVWEKITNFEGQP
+PTPRDKLSCWVYKDRLIYFGGYGCRRHNELQDCFDVHDASWVRPPELFIAQTGFCMTEEQ
+IFWGWHNDVHIFDTKTHSWLQPEIKGGIPPQPRAAHTCAVLGNKGYIFGGRVLQTRMNDL
+HYLNLDTWTWSGRIPINGENPKHRSWHTLTPIADDTLFLFGGLSADNIPLSDGWIHNVIT
+NCWKQLTHLPKTKPRLWHTACLGKENEIMVFGGSKDDLLSLDTGHCNDLLIFQTQPYSLL
+RLCLDCIGKNAIILESQISLLPPKLLQQVLKKITFWTAANHREEQRAQKEETENKYQWIS
+SN
+>tr|A0A3S5ZPL3|A0A3S5ZPL3_BOVIN Acyl-CoA synthetase long chain family member 1 OS=Bos taurus OX=9913 GN=ACSL1 PE=1 SV=1
+MMQAHELFQYFRLPELLDFRQYVRTLPTNTLMGFGAFAALTTFWYATRPRALKPPCDLAM
+QSVEVPGSDGARRSTLLDSDEPLVYFYDDVRTLYEGFQRGLHVSIGPDAVEDMAECVGSA
+LLHKGFKAAPDQFIGIFAQNRPEWVIIEQGCFTYSMVIVPLYDTLGTEAITYIINKAELS
+LVFVDKPEKANLLLEGVENKLIPCLKTIVLMDSYGSDLLERGKKCGVEIISMKAMEPPVP
+EDLAVICFTSGTTGNPKGAMITHRNVVSDCSAFVKMTENTFIPTSDDTLISFLPLAHMFE
+RVVECVMLCHGAKIGFFQGDIRLLMDDLKALQPTIFPVVPRLLNRMFDRIFGQANTTLKR
+WLLDFASKRKEAELRSGIIRNNSLWDKLIFHKIQSSLGGKVRLMITGAAPVSATVLTFLR
+AALGCQFYEGYGQTECTAGCCLTVAGDWTAGHVGPPMPCSIIKLVDVEEMNYLAAKGEGE
+VCVKGSNVFQGYLKDPVKTAEALDKDGWLHTGDIGKWLPNGTLKIIDRKKHIFKLAQGEY
+IAPEKIENIYQRSEPIAQVFVHGESLQAFLIAIVVPDVETLGTWAQKRGIVGSFEELCRN
+KDVKKGILEDMVRLGKESGLKPFEQVKGIYLHPELFSIDNGLLTPTMKAKRPELRNYFRS
+QIDELYSTIKV
+>tr|E1BNH8|E1BNH8_BOVIN Polybromo 1 OS=Bos taurus OX=9913 GN=PBRM1 PE=4 SV=3
+MGSKRRRATSPSSSVSGDFDDGHHSVSTPGPSRKRRRLSSLPTVDPIAVCHELYNTIRDY
+KDEQGRLLCELFIRAPKRRNQPDYYEVVSQPIDLMKIQQKLKMEEYDDVNLLTADFQLLF
+NNAKAYYKPDSPEYKAACKLWDLYLRTRNEFVQKGEADDEDDDEDGQDSQGAVTEGSSPG
+YLKEILEQLLEAIVVATNPSGRLISELFQKLPSKVQYPDYYAIIKEPIDLKTIAQRIQNG
+SYKSIHAMAKDIDLLAKNAKTYNEPGSQVFKDANSIKKIFYMKKAEIEHEMTKSSLRMRT
+SSNLAATRLTGPSHSKGSLGEERNPTSKYYRNKRAVQGGRLSAITMALQYGSESEEDAAL
+AAARYEEGESEAESITSFMDVSNPFYQLYDTVRSCRNNQGQLIAEPFFHLPSKKKYPDYY
+QQIKTPISLQQIRTKLKNQEYETLDHLECDLNLMFENAKRYNVPNSAIYKRVLKLQQVMQ
+AKKKELARRDDIEDGDSMISSATSDTGSAKRKSKKNIRKQRMKILFNVVLEAREPGSGRR
+LCDLFMVKPSKKDYPDYYKIILEPMDLKIIEHNIRNDKYAGEEGMIEDMKLMFRNARHYN
+EEGSQVYNDAHILEKLLKDKRKELGPLPDDDDMASPKLKLSRKSGISPKKSKYMTPMQQK
+LNEVYEAVKNYTDKRGRRLSAIFLRLPSRSELPDYYLTIKKPMDMEKIRSHMMANKYQDI
+DSMVEDFVMMFNNACTYNEPESLIYKDALVLHKVLLETRRDLEGDEDSHVPNVTLLIQEL
+IHNLFVSVMSHQDDEGRCYSDSLAEIPAVDPSFPNKPPLTFDIIRKNVENNRYRRLDLFQ
+EHMFEVLERARRMNRTDSEIYEDAVELQQFFIKIRDELCKNGEILLSPALSYTTKHLHND
+VEKEKKEKLPKEIEEDKLKREEEKREAEKSEDSSGAAGLSGLHRTYSQDCSFKNSMYHVG
+DYVYVEPSEVNLQPHIVCIERLWEDSAGEKWLYGCWFYRPNETFHLATRKFLEKEVFKSD
+YYNKVPVSKILGKCVVMFVKEYFKLCPENFRDEDVFVCESRYSAKTKSFKKIKLWTMPVS
+SVRFVPRDVPLPVVRVASVFANADKADDEKNTDNSEDSRTEDSCNLEKEKEDVPVEMSNG
+EPGCHYFEQLRYNDMWLKVGDCVFIKSHGLVRPRVGRIEKVWVRDGAAYFYGPIFIHPEE
+TEHEPTKMFYKKEVFLSNLEETCPMTCILGKCAVLSFKDFLSCRPTEIPENDILLCESRY
+NESDKQMKKFKGLKRFSLSAKVVDDEIYYFRKPIVPQKEPSPLLEKKIQLLEAKFAELEG
+GDDDIEEMGEEDSEVIEPPSLPQLQTPLASELDLMPYTPPQSTPKSAKGSAKKEGSKRKI
+NMSGYILFSSEMRAVIKAQHPDYSFGELSRLVGTEWRNLETAKKAEYEERAAKVAEQQER
+ERAAQQQQPSASPRAGTPVGALMGVVPPPTPMGMLNQQLTPVAGMMGGYPPGLPPLQGPV
+DGLVSMGSMQPLHPGGPPPHHLPPGVPGLPGIPPPGVMNQGVAPMVGTPAPGGSPYGQQV
+GVLGPPGQQAPPPYPGPHPAGPPVIQQPTTPMFVAPPPKTQRLLHSEAYLKYIEGLSAES
+NSISKWDQTLAARRRDVHLSKEQESRLPSHWLKSKGAHTTMADALWRLRDLMLRDTLNIR
+QAYNLENL
+>tr|A0A3Q1LMX0|A0A3Q1LMX0_BOVIN NADH-cytochrome b5 reductase OS=Bos taurus OX=9913 GN=CYB5R3 PE=1 SV=1
+MKLFQRSTPAITLENPDIKYPLRLIDKEVISHDTRRFRFALPSPEHILGLPVGQHIYLSA
+RIDGNLVIRPYTPVSSDDDKGFVDLVIKVYFKDTHPKFPAGGKMSQYLESMKIGDTIEFR
+GPNGLLVYQGKGKFAIRPDKKSDPVIKTVKSVGMIAGGTGITPMLQVIRAIMKDPDDHTV
+CHLLFANQTEKDILLRPELEELRNEHSARFKLWYTVDKAPEAWDYSQGFVNEEMIRDHLP
+PPEEEPLVLMCGPPPMIQYACLPNLDRVGHPKERCFAF
+>tr|E1B9U1|E1B9U1_BOVIN Zinc finger protein 597 OS=Bos taurus OX=9913 GN=ZNF597 PE=4 SV=3
+MASTHPTAEGPVLFEDLAVYFSQEECASLHPAQRSLSGEVTQECFEDKALSELKSKNDKI
+EINQLLHLESMGLEELALEKCSLAVPLIYYQEKCSEHGAGNFERKISGGISACKKRFRSL
+LVTIENHTPKIELAQSLRTRALPKILPFPKEETKKSYKCPECDQSFSGSSYLVWHQKTHV
+GKKKCECDDCGKIFNHRSNLRAHRRIHTGEKPYKCFLPVWSAAQCGSSFHQPSHLSQHRK
+THLKEKIHRCGICGRGLTQLRGLSQHQKTHTATKAGDKYVSQKTNLALPEEKHRRKCFGQ
+PLYPWEILLSFSKFKPLKCSTCSKTFLSASELISHQNIHRGEKPHKPKTCTESFILDSEL
+TCHQKSHVREEPFKYTRCGRNFRLKTHLTLDQQTHSQNTV
+>tr|F6QVL1|F6QVL1_BOVIN CD3e molecule associated protein OS=Bos taurus OX=9913 GN=CD3EAP PE=4 SV=1
+MLSQVRTAPHLPRHPLSGAAVGFLSLPSISSSWAPRPASHLPGAARFSCPPNFTATPPAS
+EHSRFSLEALTGPDTELWLIQAPVDFAPDCLNGRLVPLSGSQIVKGKLAGKRHRYRVLSS
+SGPRAGGEATLLAPSAEAGGGLTCALAPQGSLRIFESPQESLTGTLLQSIPASPPPQIPP
+GLRPRFCAFGGSPPVTGPGSVLALKSLASGKRKKKRHLPEASVPQEAVNEHGALEVDTAL
+GSLELDVGKKEKKHQLKELEATEPLATEPVAEMLEPPGTLSPSTTRKRKKKPKESVEMVK
+PETGMPESKEKTVEELEFRVKREPLEETVLSPRKKRKKQKEPGEMEPVEGTTVESQLQVK
+TEPQEEAIPLPSSKKKKKEKRYKGMREPGMEVMEPEVKPLELTGEVMEPDLPPDVQAQSE
+AALGSPKKRRKKEKQQNVMMQPGTEVVEPQAEGMEPELPGAAEPQAALASTKKKKKERGH
+LATEPGTEMTNPQGEMMEPELPDEGQPEARADPASTKKRKKQGQKSQVPETAPQEEMPEP
+PLNPESGQVAPKGQERKRKKKPQQDPM
+>tr|A0A3Q1M419|A0A3Q1M419_BOVIN Acidic amino acid decarboxylase GADL1 OS=Bos taurus OX=9913 GN=GADL1 PE=3 SV=1
+SSSVPESGRAPGGGHGYPLQYSCLENPKDRGACAPVTVLWPNPQVCEWRPPEELKRLLDL
+ELRDAGEPHHRLLQRCQDVIRYSVKTNHPRFFNQLYAGLDYYSLVARFMTEALNPSVYTY
+EVSPVFLLVEEAVLKKMIEFIGWKEGDGIFNPGGSVSNMYAMNLARYKYCPDIKEKGLSG
+LPRLILFTSAECHYSMKKSASFLGIGTENVCFVETDGRGKMIPEELEKRVQEAKKEGAAP
+FLVCATSGTTVLGAFDPLDEIADICERHGLWLHVDASWGGSALMSRKHRRLLQGIHRADS
+VAWNPHKMLMAGIQCCAFLVKDKSDLLKRCYSANASYLFQQDKFYDVSYDTGDKSIQCSR
+RPDAFKFWLAWKALGTLGLEERVNRALALSRYLVEEIKKREGFKLLMEPEYANICFWYIP
+PSLRQMEEGPEFWAKLHLVAPAIKERMMKKGSLMLGYQPHQGKVNFFRQVVISPQVSRED
+MDFLLDEIDLLGKDM
+>tr|A0A3Q1LPM3|A0A3Q1LPM3_BOVIN Formin binding protein 1 OS=Bos taurus OX=9913 GN=FNBP1 PE=4 SV=1
+MCPVWKGVVCVLFCRYTACQAFLATLNEMNDYAGQHELISENMTSQIIVELVRYVQELKQ
+ERKSHFHDGRKAQQHIEMCWKQLESSKRRFERDCKEADRAQQYFEKMDADINVTKADVEK
+ARQQAQTRHQMAEDSKTDYSSILQKFNHEQHEYYHTHVPNIFQKIQEMEERRIVRIGESV
+KTYAELDRQVIPIIGKCLDGIVKAAESIDPKNDSQLVVEAYKSGFEPPGDIEFEDYTQPM
+KRTVSDNSLSNSRGEGKSELKFGGKSKGKLWPFIKKNKLMSLLTSPHQPPPPPPASASPS
+AVPNGPQSPKQQKEPLSHRFNEFMTSKPKIHCFRSLKRGGATPEDFSNLPPEQRRKKLQQ
+KVDELNKEIQKEMDQRDAITKMKDVYLKNPQMGDPTSLDHKLAEVSQNIDKLRLETQKFE
+AWLAEVEGRLPARSDQARRQSGMYEAQNAPSVNNCAQDRERYSICTAPAWGFLFVCLFLA
+LCLLPDSGIRDKHKVLVRFHASVMTGLWFSPQCSCLMPRGWCWSLRGEGRSLVTTLRNCQ
+HLALAGAAFERLLGSVAIVAVCFAFLSSPDGSYTEEQSQESEVKVLATDFDDEFDDEEPL
+PAIGTCKALYTFEGQNEGTISVVEGETLYVIEEDKGDGWTRIRRNEDEEGYVPTSYVEVY
+LDKNAKDC
+>tr|F1MFZ0|F1MFZ0_BOVIN BEN domain containing 7 OS=Bos taurus OX=9913 GN=BEND7 PE=4 SV=2
+MEFSERKRSRKSQSFKLVNRDYHHEVYKISDFSSDVNGEAKETQPIFLGDESMEIKKQIT
+GMRRLLNDSAGRIYQRVGKEGEKLKEEPQDLDAIWPQRLNSAEAPPSLHPSSRGTWNELP
+PQSGQFSGQYGTRSRTFQSQPHTAGSSNGELPVVNSSAGSNCCTCNCQSTLQAILQELKT
+MRKLMQIQAVGTQNRQQPPISLICSQRTAVSRKRNKKKKVPPKTVEPLTVKQKPSALEAE
+KKAAGAPENSSLPVAEHASPGESHILGFGIVLESPASDPEVQLAEGFDVFMPKSQLDSIL
+SNYTRSGSLLFRKLVCAFFDDKTLANSLPNGKRKRGLNDNRKGLDQNIVGAIKVFTEKYC
+TANHVDKLPGPRDWVQILQDQIKLARRRLKRGSAEAVDGDERLDSVSLTPTGHILDTRRE
+KPEDTGTAFTA
+>tr|A0A452DJG9|A0A452DJG9_BOVIN Immediate early response 3-interacting protein 1 OS=Bos taurus OX=9913 PE=4 SV=1
+FWASLAAVGSAGRGGATSPAAEGEESVGPWVGWGTDQGIGGFGEEPGIKSQLMNLIRSVR
+TVMRVPLIIVNSIAIVLLLLFG
+>tr|E1BET5|E1BET5_BOVIN ITPR interacting domain containing 2 OS=Bos taurus OX=9913 GN=ITPRID2 PE=4 SV=2
+MDRPLVASAEAEEELEWQVASRRRKAWAKCRGSWQASETEDLSTEATTQDEDEDEDEDDL
+SGTKLPAAAGRGNVPNEKIAIWLKDCRTPLGASLDEQSGSTLKGVLVRNGGSFEDDLSLG
+AEANHLHEIDAQIDNCSNILAKERRLQFHQKGRSMNSTGSGKSSGTVSSVSELLELYEED
+PEEILYNLGFGRDEPDIASKIPSRFFNSSSFARGIDIKVFLSAQMQRMEVENPNYALTSR
+FRQIEVLTTVANAFSSLYSQVSGTPLQRIGSMSSVTSDKETDSPPPLTRSNTANRLMKTL
+SKLNLCIEKTEKGEGSTPSLAVDKGKIVNISVMEESGNKNDQKSQKVVKKKESSSVLATV
+KEEVSGSSAALVENSDIDGLSDEANSNFNREAESGQSQETQSHENKLDEESGFLETDLGG
+DFNMSSHSELENSGELKNVPLCTPEKEPCAPLMIPSIRNIMAQQKDSFEMEEVQSTEGEA
+PHVPTTYQLGLNKSKRDQLLRTASQHSDSSGFAEDSTDCLSLNHLQVHESLQAMGSSADS
+CDSETTVTSFGEDLVTPTVQDQRYFNESEEESLTCPQIEREKAATVAEKRSDGQDSLLCQ
+TVENIGHQQSTWSAGDHATEITERKEDVSPAQPVELLREASAESDVDKSSECEFVQYTTH
+HILKSLASIEAKCGEKGAENTTGSPSSVDRVNTALQRAQMKVCSLSNQRVGRSLIKSKDL
+LKQRYLFAKAGYPLRRSQSLPTTLLSPVRVVSSVNVRLSPGKETRCSPPSFTYKYTQEEE
+QRLEKEVTEHDGQSSVKSTIFISPTSGKKEADAPSEVTRLEECHQRRTPACSLPAPAPVS
+QSTCSLHSLHSEWQERHLCEHMRTLSTHSVPSVAGAACSAFPCPLGCPYSHRHAASPYRA
+CSVNPPSAIEMQLRRVLHDIRNSLQNLSQYPMMRGPDLAAAPYSTQKSSVLPLYENTFQE
+LQVMRRSLNLFRTQMMDLELAMLRQQTMVYHHMTEEERYEVDQLQSLRNSVRMELQDLEL
+QLEERLLGLEEQLRPVHLPSAFRPSALMGMCGSRSADNLSCPSPLNVMEPVTELMREQSY
+LKSELGLGHGEMGFEIPPGESSESVFSQATSESSSVCSGPSHASRRTGVPPIDSVGKPKT
+HLVPSKKLFRASVALTPTAPSRTGSVQTPPDVESSEEAGATEETSEAVGPKSEVEEEQGK
+VSLLPAAEEVHKNVEQDELQQVIREVCVGPVSCVILELSRIYTGGGVICASEDTCC
+>tr|A0A3Q1MVP8|A0A3Q1MVP8_BOVIN Stereocilin OS=Bos taurus OX=9913 GN=STRC PE=4 SV=1
+MELLACFSPVLWDLLQREKSVWALQILVQAYLHMPPENLQQLVLSAEREAAQGFLTLMLA
+PAGGMQEEPGPGEWEQALGRLTALLLQRYPRLTSQLFIDLSPLIPFLAVSDLMRFPPSLL
+ANDSVQGTGQRGETHSLPSLAAIRDYSPGMRPEQKEALARRLLAPELFGEVPAWPQELLW
+AALPLLPHLPLENFLQLSPHQIQALEDSWPAAGLGPGHARHVLRSLVNQSVQDGEEQVRR
+LGPLACFLTPEELQSLVPLNDPMGPVERGLLECAANGTLSPQGRVAYELLGVLRSSGGAV
+LSPRELRVWAPLFPQLGLRFLQELSEPQLRAMLPALQGARVTPAQPIPTIWPDCLLPLLP
+LKLLQLDAAALLADRRRYRDLPWSEQQVILPTTCAYSHTYRALGTLAGGMSCEFLQQINW
+MADFLEVVHMIYQLPTGVRGSLRTCIWAELQRRMAMPEPELATLGPELSGLDTKLLLDLP
+VQLMDRLSNESIMLVVELVRGAPEQLLALTPLHRAALAERALQNLAPKETTVSREVLETL
+GPLVGFLGIESTRRIPLQILLAHLSQLQGFCLGGLFATELGWLLLQEPVLGYGPPASPDS
+NSSSPTLSTTLLSGSPFSMPEEALGPETLERLLEKQQSWEQSRAGQLCAGPQLAPKKAAL
+VAGLVRPAAENLPEPVPNCADVRGTFPSAWSAAQIADMELLDFEDCLALFAGDPGLGPEK
+LRAAMGKAKQLWGPPRGFRPEQILQLGRLLIGLGERELQELSLVDWGVLSTLGQIDGWSS
+SQLRVVVSSFLRQSGRHVSHLDFLHLTALGYALCGLRPEELQHISSWEFSQAALFLGNLH
+LPCSEEQLEILAQLLVLPGGFGPVSNWGPEIFTEIGTIAAGIPDLALSALLRGQIQGLTP
+LAISVIPAPKFAVVFSPTQLSSLTSVQAVAVTPEQMAFLSPEQRRAVAWAQYEGMESLEQ
+EGESLTANGLQDWSQPSWALALTICFLGNLL
+>tr|A0A3Q1NB78|A0A3Q1NB78_BOVIN Palmitoyltransferase OS=Bos taurus OX=9913 GN=ZDHHC3 PE=3 SV=1
+MMLIPAHHFRDIERKPEYLQPEKCIPPPHPRPAGTMWFIRDGCGIACAIVTWFLVLYAEF
+VVLFVMLIPSRDYVYSVINGLVFNLLAFLALASHCRAMLTDPGAVPKGNATKEFIESLQL
+KPGQVVYKCPKCCSIKPDRAHHCSVCKRCIRKMDHHCPWVNNCVGENNQKYFVLFTMYIA
+LISLHALIMVGFHFLHCFEEDWTNLLQAYGLTREETAEARISLHEIKQPLKVSSTECSSF
+SPPTTVILLILLCFEGLLFLIFTSVMFGTQVHSICTDETVSTGAACHHSLHSWGLGAEGC
+LQVRPGSPVARPRVCRAHSSLCSGLWSSSSGTQVTQVWGPTASLLRAPPASVMVQSCPRL
+CVTLQGTGVPFLSSGHGPGDG
+>tr|A0A3Q1MC20|A0A3Q1MC20_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=ZNF177 PE=4 SV=1
+MAAGLLTTWSQELVTFEEVSVDFSQEEWALLAPTQKILYRDVMLENFRNLASVGYHLCKH
+SPITEVAQEELRPEGRGILQSACTDGATQLKSKDVILMQNVPGEKTANGIKMVRFTRNDS
+CFLRLGDLCEFQEIKTAAAPKQEETLEAPTLPGEKPLECDHCRKFFRKNYHLIRTRYCKG
+KKCYKYKEYGRDFGHPSTLRNHLCTHIGEKILEFSDCGKTFNQESPLRKHFRTLTGEKSE
+CNQHLISKLHSSFSVHKQIPTEEKLHEHSDCGKRSFHSVHKKMCTVKESSKCNGHGKVFT
+GPLSLQICLRPHTGEKAYECRDCGKAFVFQSSLKKHVRSHTGEKPYECNHCGKSFSQSSH
+LNVHKRTHTGEKPYDCKECGKAFTVPSSLQKHMRTHTGEKPYECSDCGKAFIDQSSLKKH
+TRSHTGEKPYECNHCGKSFSTGSYLIVHKRAHTGEKTYACKECGKAFRNSSCLRVHMRTH
+TGEKPYKCIQCGKAFSTSTNLIMHKRIHTGQKLCE
+>tr|A0A3Q1LZA0|A0A3Q1LZA0_BOVIN Sarcolemma associated protein OS=Bos taurus OX=9913 GN=SLMAP PE=4 SV=1
+MPSALAIFTCRPNSHPFQERHVYLDEPIKIGRSVARCRPAQNNATFDCKVLSRNHALVWF
+DHKTGKFYLQDTKSSNGTFINSQRLSRGSEESPPCEILSGDIIQFGVDVTENTRKVTHGC
+IVSTIKLFLPDGMEARLRSDVIHAPLPSPVDKVAANTPSMYSQELFQLSQYLQEALHREQ
+MLEQKLATLQRLLAITQEASDTSWQALIDEDRLLSRLEVMGNQLQACSKNQTEDSLRKEL
+IALQEDKHNYETTAKESLRRVLQEKIDVVRKLSEVERSLSNTEDECTHLKEMNERTQEEL
+RELANKYNGAVNEIKDLSDKLKIAEGKQEEIQQKGQAEKKELQHKIDEMEEKEQELQAKI
+EALQADNDFTNERLTALQEKLIVEGHLTKVVEETKLSKENQARAKESDLSDTLSPSKEKS
+SDDTTDAQMDDQDLNEPLAKVSLLKDDLQGAQSEIEAKQEIQHLRKELIEAQELARASKQ
+KCFELQALLEEERKAYRNQVEESSKQIQVLQAQLQRLHINIENLREEKDSEIASTRDELL
+SARDEILLLHQAAEKAASERDTDIASLQEELKKVRAELERWRKAASEYEKEIMSLQNSFQ
+LRCQQCEDQQREEATRLQGELEKLRKEWNVLDTECRSLKKENVLLSSELQRQEKELHNSQ
+KQSLELTSDLSILQVTRKELENQVGSLKEQHLRDSADLKTLLSKAENQAKDVQKEYEKTQ
+TVLSELKLKFEMTEQEKQSITDELKQCKDNLKLLREKGNNKPWPWMPMLAALVAVTAIVL
+YVPGLARASP
+>tr|A0A3Q1MET8|A0A3Q1MET8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=FKRP PE=4 SV=1
+MRLTRCQAALAAAITLNLLVLFYVSWLHHQPRSSRTRGSRRGSTSGPRVTILVREFEAFD
+NAVPELVDSFLQQEPTQPVVVVADTLPYPPLALPRIPNVRLALLQPALDRPASASRPETY
+VATEYVALVPDGARAEAPGQLERMVEVLRAGGARLVAAPIASANPARCLALNVSLREWTA
+HYGPAPSAPRCDALDGDAVVLLRARDLFNLSAPLARPVGTGLFLQTALRGWTVQMLDLPF
+GVARQPPLATAHARWKAEREGRARRAALLRALGVRLVSWEGGRLEWFGCNKETPRCFGTV
+VGDTPAYLYEERWTPPCCLRALRETARYVVGVLEAAGVRYWLEGGSLLGAARHGDIIPWD
+YDVDLGIYLEDVGNCEQLRGAEAGSVVDERGFVWEKAVEGDFFRVQYSESNHLHVDLWPF
+YPRNGVMTKDTWLDHRQDVEFPEHFLQPLVPLPFAGFVAQAPNNYRRFLELKFGPGVIEN
+PEYPNPALLSLGGSS
+>tr|A0A3Q1MKX7|A0A3Q1MKX7_BOVIN SH3 domain GRB2 like endophilin interacting protein 1 OS=Bos taurus OX=9913 GN=SGIP1 PE=3 SV=1
+VEKTELCIFNCVLPTKGKHFYSSSESEEEEESHKKFNIKIKPLQAKDILKNAATVDELKA
+SIGNIALSPSPVGAIKRNLSSEEVARPRRSTPTPELISKKPSEDTTALAPLFGPPLESAF
+DEQKTEVLLDQPEIWGPGQPINPSVESPKLTRPFPTGTPPPLPPKNVPATPPRTGSPLTV
+GPGASSPARPATPLVPCSSTTPPPPPPRPPSRPKLPPGKPGIGDVPRPFSPPIHSSSPPP
+IAPLARAESTSSISSTNSLSAATTPTVGSSRGPSPLTMGAQDTLPVAAAFTETVNAYFKG
+ADPSKCIVKITGEMVLSFPAGITRHFANNPSPAALTFRVINFSRLEHVLPNPQLLCCDNT
+QNDANAKEFWVNMPNLMTHLKKVSEQKPQATYYNVDMLKYQVSAQGIQSTPLNLAVNWRC
+EPASTDLRIDYKYNTDAMTTAVALNNVQFLVPVDGGVTKLQAVLPPAVWNADQQRILWKI
+PDISQKSENGGVGSLLARFQLSEGPSKPSPLVVQFTSEGSTLSGCDIELVGAGYRFSLIK
+KRFAAGKYLADN
+>tr|A0A3Q1M7E8|A0A3Q1M7E8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=3 SV=1
+MVRYSLDPENPTKSCKSRGSNLRVHFKNTRETAQAIKGMHIRKATKYLKDVTLKKQCVPF
+RHYNGASNAELTGLDVDSLVIEHIQVNKAPKMWLRTCRAHGRINPYMSSPCHIEMILIEK
+EQIVPKPEEEVAQRKTHLLRSLLELPTRSALCKQVTDSTGDPSDFGTRLYKMLTLTPTSE
+ELQVEPLVQMCKSHLHGRGPAAASARHGPLPG
+>tr|G3N0Q6|G3N0Q6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=SIGLEC5 PE=4 SV=2
+MVPLLLLPLLWGGSLQELLGYELRVQESVVVKACMDVHVPCSFSYPWYYSGELFIYWFRE
+KDHHTNDAVATNDRKKPVKPETQGRFSLLGNPSNNDCSLSIREARLSDSGVYYFRVEKGP
+TLKHSYREKKLNLQVTGEKPDIHFLEPLESGRPTKLTCRLSLACDEPHPLLFSWVGDAFD
+AMKPDTLHSSELTLTPRPQDHGTNLTCLVTLQGSQVALERTIWLNVSYAPRNLRISLSFR
+NVTAFKILQNTSSILISEGQELQLLCVADSNPPAQLSWFRGSPALEATPISSTGVLELPC
+VGAAEEGEFTCRAQNPLDFQNIFLSLIVVSPPQLLGPSCSQEDESLRCSCSSRARPAPSL
+HWRLGKGLLEGNFSNASFEVSSSSAGPWANGSLSLREGLSSGISLSCEALNIHGARSGSV
+LLLPGKPAFLVVGALGGAGAMALLSLCLCFLFFYILKARRKQAAMRPEGVDDEDPVMGTV
+AWGSRKKPCSDSPPDQMVLSPAEDAPPPGEQEDLHYASLNFHEMTSREPQDQEATSTEYS
+EIKTS
+>tr|A0A3Q1LN56|A0A3Q1LN56_BOVIN MICOS complex subunit OS=Bos taurus OX=9913 GN=CHCHD6 PE=3 SV=1
+MGSAESREGRRASFGMDEEERVRVLQGIRLSENVVNRMKEPGQPSRVGLLAPPAAALGPS
+GGREKDSKPPRPDCGSGRGPPRVQVDPLERCDWEQAVLQDELVRVATTEREAAASPWSVT
+LRRGEGGVDQEKQRLAQRARELESQEEELRCRDAFYKEQLGRLERQNLEAYRLSSQQFHE
+AATKIEGAIKPRRVEPVCSGLQAQILRCYRDRLQEVLLCADLVRAYQHCVSSAHKARGAS
+PLPRARAGHGRGMGGPVGTKSARLGQAGPWPPGCPCSDVWAAGEEARCGVGLWLWSVGCT
+PWEAEWRGGRGQGAARWPWPGGGRGLWWSRADPETLP
+>tr|F1MWN2|F1MWN2_BOVIN Tyrosine-protein kinase OS=Bos taurus OX=9913 GN=HCK PE=3 SV=1
+LGGRSSCEDPGCPPEEERAPRMGCVKSKFLRNGGKVSKTEPNTNPHSPLYVPDPTSSGKR
+WPDSSNGNPPGSTEGSEDIIVVALYDYDAIHHEDLSFQKGDQMVVLEESGEWWRARSLAT
+RKEGYIPSNYVARVNSLETEEWFFKGISRKDAERQLLAPGNVLGSFMIRDSETTKGSYSL
+SVRDYDPQHRDTVKHYKIRTLDNGGFYISPRSTFGSLQELVAHYKKASDGLCQKLTVPCM
+SSKPQKPWEKDAWEIPRESLKMEKKLGAGQFGEVWMATYNKHTKVAVKTMKPGSMSVEAF
+LAEANLMKTLQHDKLVKLHAVVTEEPIYIITEFMAKGSLLDFLKSEEGSRQPLPKLIDFS
+AQIAEGMAFIERRNYIHRDLRAANILVSASLVCKIADFGLARVIEDNEYTAREGAKFPIK
+WTAPEAINFGSFTIKSDVWSFGILLMEIVTYGRIPYPGMSNPEVIRALERGYRMPRPDNC
+PEELYNIMTRCWKNRPEERPTFEYIQSVLDDFYTATESQYQQQP
+>tr|A0A3Q1M8T5|A0A3Q1M8T5_BOVIN Taste receptor type 2 OS=Bos taurus OX=9913 PE=3 SV=1
+MISLSGIPHVIIMSAEFITGVTVNGFLIIINSNELVKSRKLTPMQLLFVCIGISRFGLQT
+VLMVQGFFSVFFPLFYSAKIYGTPMLFFWMFFSSVSLWFATCLSLFYCLKVTGFTQSCFL
+WLKVRISKLMPWMLLGSLLTSVSIAALCVKVDYPKIVDIDILGNATAKRTKLNTKQINEV
+LLINLALIFPLTIFIICTVILLISLYKHTHRMQNGPLGFRNTRTEAHINALRTVITFFCF
+FISYFGAFMANMTFNIPYGSHCFFVVKDIMAAYPSGHSVIMIWSNSKFQQPIRRLLCLRR
+SQ
+>tr|A0A3S5ZPH5|A0A3S5ZPH5_BOVIN Coiled-coil domain containing 120 OS=Bos taurus OX=9913 GN=CCDC120 PE=4 SV=1
+MEVKGQLISSPTFNASAALFGEAAPQMKSERLRSLLDRQRALQEALSLKLQELRKVCLQE
+AELTGQLPPECPLEPGERPQLVRRRPPAARAYPPPHPNPAHHSLCPTEELALEALEREVS
+VQQQIAAAARRLALAPELSAEQRRRRRQVQADALRRLHELEEQLGEVRARLGLSGLPPSQ
+PLPLSTGGAVITTQGVCLGTRLAQLSHEDVVLHSESSSLSESGASHDNEEPRGCFPLAER
+PSPPKAWDQLRAVSGGSPERRTPWKPPPSDLYGDLKGRRNSVASPTSPTRSLPRSASSFE
+GRSVPATPVLTRGAGPQLCKPEGLHSRQWSSSQDSQMGFPRVDPVSDRTSLFAARTRRSN
+SSEALLVDRAAGGGAGSPPAPMVPPASGPPVCKSSEVLYERPQPTPAFSSRTAGLPDPPR
+AARPSSAAPASRGAPRLPPVCGDFLLDYSLDRGLPRGGGGTGWGELLPAAEVPGPLSRRD
+GLVAMLPGPPPVYAADGSSPLLRSKDPHSRAPRTKPCGLPLEAAEGLEAHPNPVLWMPPP
+ARIPTAGERSGHKNLALEGLRDWYIRNSGLASGPQRRPGLPHMGPQHPPFLHARCYEVGQ
+ALYGAPSQAPLPHSRSFTAPPVSGRYGGCFY
+>tr|A0A3Q1NM28|A0A3Q1NM28_BOVIN Cell migration inducing hyaluronidase 1 OS=Bos taurus OX=9913 GN=CEMIP PE=4 SV=1
+PLGWVILKGDTSLYLAGSAECPDQWPELQPWNPGHDPAHYVYIGQGRALLLTSSATVYSI
+RISDGGKLVIKDQDEAIVLRTRHILIDSGGELHAGSALCPFQGNFSIVLYGRADDGIQLD
+PYFGLKYIGVDRGGTLELHGQKKLSWTFLNKTLHPGGLEEGGYFFERSWGHRGVIVHVID
+PKSGTVIHSDRFDTYRSKKESERLAQYLNAVPDGRILSVAVNDEGSRNLDDVARKAMTKL
+GSKHFLHLGFRHPWSFLTVKGNPSSSVEDHIEYHGNKWVHRGCTQHGQSQRPACLTLIFA
+FCPDVEWTEWFEHDQVSYTKGGEKISDLGVTHPGKICNRPIDIQATTLDGVNLTTEVVYK
+RGQDYRFVCYDRGQACQSYRVRFLCGKPVRPKLTVTIDTNVNSTILHLEDNVQSWKPGDT
+LVVASTDYSMYQAEEFQVLPCRTCAPNQVKVAGKPMYLHIGEEIDGVDMRAEVGLLSRNI
+VVMGEMEAECYPYSNHLCNFFDFDTFGGHIKFALGFKAAHLEGVELKHMGQQLIGQYPIH
+FHLAGDVDEKGGYDPPTYVKDLSIHHTFSRCVTVHGSNGLLVKDVVGYNSLGHCFFTEDG
+PEERNTFDHCLGLLVKSGTLLPSDRDSKMCKTITEDSYPGYIPKPRQDCNAVSTFWMANP
+NNNLINCAAAGSEETGFWFIFHHVPTGPSAGMYSPGYSEHIPLGKFLNNRAHSNYRAGMI
+IDNGVKTTQASAKDKRPFLSIISARYSPHQDADPLKPREPAIIKHFTAYKNQDHGAWLRG
+GDVWLDSCRFADNGIGLTLASGGTFPYDDGSKQEIKNSLFVGESGNVGTEMMDNRIWGPG
+GLDHSGRTLPIGQNFPIRGIQFYDGPINIQNCTFRKFAALEGRHTSALAFRLNNAWQSCP
+HNNVTNIAFEDVPITSRVFFGEPGPWFNQLDMDGDKTSVFHDVDGSVSEYPGSYLTKDDN
+WLVRHPDCINVPDWRGAICSGRYAQMYIQAYKTNNLRMKIIKNDFPNHPLYLEGALTRST
+HYQQYQPVITLQKGYTIHWDQTAPSELTIWLINFNKGDWIRVGLCYPRGTTFSILSDVHN
+RLLKQTSKTGIFVKTSQMDKVEQSHPGRSHYYWDEDSGLLFLKLKAQNEREKFAFCSVKG
+CERIKIKALIPKNAGISDCAATAYPKTPMPSGPLPASMSHSYPSLEDGIQVVVIDGRHGQ
+VLNHTGFRNAILQGIPWQLFNYVATIPDNSIVLMASKGRYTSRGPWTRVLEKLGAHKGLK
+LKEKMVFVGFKGSFRPTWVTLDTEDHHAKIFQVVPIPVVRKKKL
+>tr|E1BFP0|E1BFP0_BOVIN NHS like 1 OS=Bos taurus OX=9913 GN=NHSL1 PE=4 SV=3
+MFCLKAVSNLDEESRWTVHYTAPWHQQENVFLPTTRPPCVEDLHRQAKLNLKSVLRECDK
+LRRDGYRSSQYYSQGPTFAANSSPLCDDYQDEDEETDPKCSVSSSEEERLTSTRRPKTPT
+SSDCSDLHTQTNWTKSLPLPTPEEKMRQQAQTVQADVVPINITASGATGQDDVGGHSAYT
+PDHYSTLGRLDSYRSMGQRSETRDSSCQTEEVKVVPPSMRRIRAQKGQGIAAQMSHFSGS
+SGNMSVLSDSAGVVFPSRLNSDAGFHSLPRSGARANVQALEPRLGALGPAEDLGGAFPYQ
+QGHPQVDEDFGHLGAAPRMGTLLRPKSQELRHFEGENVTSPACVVSPHTSYSTSIIPNAT
+LSSSSEIIVIHTAQSSGQLDSKITSSSSYSKVRSRDHLLSRQKDGHHSSSATTLLSLCDS
+EVSLNTPANRENGSQAMAYNCRNNLSIPAHPQDVDNKSESSYSGSRGQGGSVEPWEYGGS
+GSGRASPLKPHLAAPGYSTPVSNTSSCSLDQTSNKDDTRSVYSEDHDGYSPTLHPDSGHR
+PGDLCNSNDGFGNPRHSVVNVFDGRAQRHQGDRSNCQDKSLARSISLKKAKKPPLPPSRT
+DSLRRVPKKNVQSNGQVLNESLIASLQHSLQLNLPGKGGSSPSQSPCSDFEEPWLPRSRS
+QSTVSAGSSLTSATAPNVYSVCGLTPAQSDTSSVKSEYTDPWGYYIDYTGVQEDQGNAGR
+AGPAGSAASPGSGPGRHLLEGSRAAVPPVPGGAVKPKITSPEKSHRVTSPSSGYSSQSNT
+PTALTPVPVFLKSMSPANGKGKPKPKVPERKSSLVSSVSVSSSSTSLSSNTSTEGSGTVK
+KLDSALVSPLAAAPHTSPPAPCPADKSPFLPPPPPLADFSEGSPLPRSPLFPPPPPEVLT
+PFCAPTDACFPPPPTALSAPFLDSSDSLPPPPPALTPSVPPPAPPLDPKLMKDARPSFKK
+PGQPEPSREACRQPSIKEEGSRLPMPLITTEALQMVQLRPVKKNSGTEVAPLQEHASQEK
+RTPIVSQYHLKPSAFLKSRNSIHEMESESQPASATSSLPTPAKSSTQGHQDSAAEHGLQS
+HSPAGEAEAGAGATPPLGPSPSRKPPPISKKPKLFLVVSPPQRDFTAEPAENVSVASPSP
+TSGEARERGAAGAGSDDTDSGSSVVLVGGAAGSESPGRVEANVPMVPAPAEEPGARNGVE
+RCLPPQDPGPGVPEAETATSSSETCDFPKDDGGDEVMTPSRPRTTEDLFAAIHRSKRKVL
+GRKDSEDDHSRNHSPSPPVTPTGAAPSLASQKQVGSIQRSVRKSSTSSDNFKALLLKKGS
+RSDTSARMSAAEMLKNTDPRFQRSRSEPSPDTPESPSNCSPSKNRRAQEEWAKTEGLMPR
+SLSFSGPRYGRSRTPPSAASSRYSVRNRIQSSPMTVISEGEGEATEPIDSTALRAPGATR
+GCSLDGLAGGGVDEGSLLCAGGPAAPLEAQAPGPAVGLPSAEGRGPSEQRGGLAGDKS
+>tr|A0A3Q1MR28|A0A3Q1MR28_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=3 SV=1
+MADQLTEEQIAEFKEAFSLFDKDGDGTITTKELGTVMRSLGQNPTEAELQDMINEVDADG
+NGTIDFPEFLTMMARKMKDTDSEEEIREAFRVFDKDGNGYISATELRHVMTNLGEKLTDE
+EVDEMIREADIDGDGQVNYEEFVQMMTAK
+>tr|A0A3Q1LSS0|A0A3Q1LSS0_BOVIN Procollagen-lysine,2-oxoglutarate 5-dioxygenase 3 OS=Bos taurus OX=9913 GN=PLOD3 PE=4 SV=1
+MRACVQLGPLSAMASGPELRPLLLLLLLLSPSPAASASDRPRGSDPVNPEKMLVITVATA
+ETEGYRRFLQSAEFFNYTVRTLGLGEEWRGGDVARTVGGGQKVRWLKKEMEKYAEREDMV
+IMFVDSYDVVLAGSPSELLKKFVQSGSRLLFSAESFCWPEWGLAEQYPEVGTGKRFLNSG
+GFIGFAPTIHQIVRQWKYKDDDDDQLFYTRLYLDPGLREKLGLSLDHKSRIFQNLNGALD
+EVVLKFGRNRVRIRNVAYDTLPVVVHGNGPTKLQLNYLGNYVPNGWTPEGGCGFCNQGRR
+PLPGGQPPPRVLLAVFVEQPTPFLPRFLQRLLLLDYPPDRVTLFLHNNEVYHEPHIDESW
+PQLQDHFSAVKLVGPEEALTPGEARDMAMDICRQDPKCEFYFSLDADTVITNPQTLRILI
+EANRKVIAPMLSRHGKLWSNFWGALSPDEYYARSEDYVELVQRKRVGVWNVPYISQAYVI
+RGETLRTELPQREVFSGSDTDPDMAFCKSLRDKGIFLHLSNQHEFGRLLATSRYDTDHLH
+PDLWQIFDNPLDWKEQYIHENYTRALEGEGLVEQPCPDVYWFPLLSEQMCDELVEEMEHY
+GQWSGGRHEDSRLAGGYENVPTVDIHMKQVGYEDQWLQLLRTYVGPMTESLFPGYHTKTR
+AVMNFVVRYRPDEQPSLRPHHDSSTFTLNVALNHKGLDYEVSPFCPPLRVPQGPLHTH
+>tr|A0A3Q1M5G7|A0A3Q1M5G7_BOVIN Armadillo repeat-containing protein 8 OS=Bos taurus OX=9913 GN=ARMC8 PE=4 SV=1
+MACLLETPIRMSVLSEVTASSRHYVDRLFDPDPQKVLQGVIDMKNAVIGNNKQKANLIVL
+GAVPRLLYLLQQETSSTELKTECAVVLGSLAMGTENNVKSLLDCHIIPALLQGLLSPDLK
+FIEACLRCLRTIFTSPVTPEELLYTDATVIPHLMALLSRSRYTQEYICQIFSHCCKGPDH
+QTILFNHGAVQNIAHLLTSVSYKVRMQALKCFSVLAFENPQVSMTLVNVLVDGELLPQIF
+VKMLQRDKPIEMQLTSAKCLTYMCRAGAIRTDDNCIVLKTLPCLVRMCSKERLLEERVEG
+AETLAYLIEPDVELQRIASITDHLIAMLADYFKYPSSVSAITDIKRLDHDLKHAHELRQA
+AFKLYASLGANDEDIRKKIIDTENMMDRIVTGLSESSVKVRLAAVRCLHSLSRSVQQLRT
+SFQDHAVWKPLMKVLQNAPDEILVVASSMLCNLLLEFSPSKEPILESGAVELLCGLTQSE
+NPALRVNGIWALMNMAFQAEQKIKADILRSLSTEQLFRLLSDSDLNVLMKTLGLLRNLLS
+TRPHIDKIMSTHGKQIMQAVTLILEGEHNIEVKEQTLCILANIADGTTAKELIMTNDDIL
+QKIKYYMGHSHVKLQLAAMFCISNLVWNEEEGMNENLLA
+>tr|A0A3Q1LUR2|A0A3Q1LUR2_BOVIN Coatomer subunit epsilon OS=Bos taurus OX=9913 GN=COPE PE=1 SV=1
+MAPPAPGPASGGSGEVDELFDVKNAFYIGSYQQCINEAQRVKRKYGVVLDEIKPSSAPEL
+QAVRMFAEYLASDSRRDAIVAELDREMSRSVDVTNTTFLLMAASIYFYDQNPDAALRTLH
+QGDSLECMAMTVQILLKLDRLDLARKELKKMQDQDEDATLTQLATAWVSLAAGGEKLQDA
+YYIFQEMADKCSPTLLLLNGQAACHMAQGRWEAAEGVLQEALDKDSGHPETLINLVVLSQ
+HLGKPPEVTNRYLSQLKDAHRSHPFIKEYRAKENDFDRLVLQYAPSA
+>tr|G3MWJ8|G3MWJ8_BOVIN DEAD-box helicase 3 X-linked OS=Bos taurus OX=9913 GN=DDX3X PE=1 SV=1
+DLNSSDNQSGGSTASSNLLLFLIEGFYDKDSSGWSSSKDKDAYSSFGSRSDSRGKSSFFS
+DRGSGSRGRFDDRGRGDYDGIGGRGDRGGFGKYERGNSRWCDKSDEDDWSKPLPPSERLE
+QELFSGGNTGINFEKYDDIPVEATGNNCPPHIESFSDVEMGEIIMGNIELTRYTRPTPVQ
+KHAIPIIKEKRDLMACAQTGSGKTAAFLLPILSQIYSDGPGEALRAMKENGRYGRRKQYP
+ISLVLAPTRELAVQIYEEARKFSYRSRVRPCVVYGGADIGQQIRDLERGCHLLVATPGRL
+VDMMERGKIGLDFCKYLVLDEADRMLDMGFEPQIRRIVEQDTMPPKGVRHTMMFSATFPK
+EIQMLARDFLDEYIFLAVGRVGSTSENITQKVVWVEESDKRSFLLDLLNATGKDSLTLVF
+VETKKGADSLEDFLYHEGYACTSIHGDRSQRDREEALHQFRSGKSPILVATAVAARGLDI
+SNVKHVINFDLPSDIEEYVHRIGRTGRVGNLGLATSFFNERNINITKDLLDLLVEAKQEV
+PSWLENMAYEHHYKGSSRGRSKSSRFSGGFGARDYRQSSGGSSSSFSSSRASSSRSGGGG
+HGSSRGFGGGGYGSFYNSDGYGGNYNSQGVDWWGN
+>tr|A0A3Q1MF31|A0A3Q1MF31_BOVIN Trafficking protein particle complex subunit 9 OS=Bos taurus OX=9913 GN=TRAPPC9 PE=4 SV=1
+MSVPDYMQCAEDHQTLLVVVQPVGIVSEENFFRIYKRISSVSQISVRDSQRALYIRYRHH
+YPPENSEWGDFQTHRKVVGLITITDCFSAKDWPQIFEKFHVQKEIYGSTLYDSRLFVFGL
+QGEIAEQPRTDVAFYPSYEDCATVEKRIEDFVESLFIVLESKRLDRATDKSGDKIPLLCV
+PFEKKDFVGLDTDRRSKWEGSLQWWRGKGAVHWVSDFLKLSGTDFAALEGLCSASVIYHY
+PGGTGGKAGARRFPGSALPAEAANRHRPGALTTNGINADTSTEIGRAKNCLSPEDIIEKY
+KEAISYYSKYKNAGVIELEACVKAVRVLAIQKRSMEASEFLQNAVYINLRQLSEEEKIQR
+YSILSELYELIGFHRKSAFFKRVAAMQCVAPSISEPGWRACYKLLLETLPGYSLSLDPQD
+FNKGTHRGWAAVQMRLLHELVYASRRMGNPALSVRHLSFLLQTMLDFLSDQEKKDVTQSL
+ENYTSKCPGTMELLTLPDGLTLPPVPFTKLPIVRRVKLLDLPASLRPQKMKSSLGPSVSA
+KSPFIYSPIIAHSRGEERSKKIDFQWVQGDVCEVQLMVYNPMPFELRVENMGLLTSGVEF
+ESLPAALSLPAESGLYPVTLVGVPQTTGTITVSGYHTTVFGVFSDCLLDSLPGIKTSGST
+VEVIPALPRLQISTSLPRSAHSLQPSSGDEISTNVSVQLYNGETQQLVVRLENIGMEPLE
+KLEVTSKILTTKEKLYGDFLSWKLEDTLAQFPLQPGKVATFTISIKVKLDFSCQENLLQD
+LSDDGISVSGFPLCSPFRQVVRPRVESKPVNAAEGGRPGEPCHVKTLEAVLNFKYSGGPG
+HVEGYYRNLALGLHVEVEPSVFFTRVSTLPATSTRQCHLLLDVFNATEHELSVGARSDEE
+LILHAGECQRMAIQVDKFNFESFPESPADKGQFANSKHLEEERQEARGLEINSKLDIHWT
+IISFSQTRGASVEGLLNQLVLEHLQLAPLLWDVLVDGQPCDCQAAACRVGDPVRLEVRLT
+NRSPRSVGPFALSVVPFQDHQNGVHSYDLRHAVSFVGSGTFYLDKVQPSGQAACLGALLF
+LYTGDFFLHIRFHEDGPSKELPPSWFCLPSVHVRALEAQA
+>tr|A0A3Q1LT80|A0A3Q1LT80_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=FANCM PE=4 SV=1
+MSGRQRTLFQTWGSKVSRSTGAPTCNSGTERPQSTGISRARFPAVAEAAGAAEVEPESDD
+DVLLVAVYEAERQLNLENGGFCTSAGALWIYPTNCPVRDYQLHIARTALFCNTLVCLPTG
+LGKTFIAAVVMYNFYRWFPSGKVVFMAPTKPLVTQQIEACYRVMGIPQSHMAEMTGSTQA
+FTRKEIWSSKRVLFLTPQVMVNDLSRGACPAAEIKCLVIDEAHKALGNYAYCQVVRELVK
+YTNHFRVLALSATPGSDIKAVQQVITNLLIGQIELRSEDSPDILPYSHERRVEKLVVPLG
+EELEAIQKAYIQILEAFASSLIQRNVLMRKDIPNLTKYQIILARDQFRKNPSPNIVGIQQ
+GIIEGEFAICISLYHGYELLQQMGMRSLYFFLCGIMDGTKGMTRAKNELSRNADFMKLYD
+HLDSMFSHTRSTSTSGVSAIQKGGKDKKFCYSHPKLKKLEEVVVEHFKSWNARKTSDKKC
+DETRVMIFSSFRDSVQEIAEMLLPHQPIIRVMTFVGHASGKSMKGFTQKEQLEVVKQFRS
+GGYNTLVSTCVGEEGLDIGEVDLIICFDAQKSPIRLIQRMGRTGRKRQGRIVVILAEGRE
+ERTYNQSQCNKRSIYKAISGNRQVLHFYQGSPRMVPDGVNPQLHKMFITHGVYEPEKPSR
+NLPRKSSIFSYRNGIKQSNSKDDWFLSEEEFKLWNRRYRLRDTDEIQQITLPQVQFLSLH
+NEENRPTEEPTVGIRQLSLSEWRLWQDHPLPTYQVDHSDRCHHFINIMQMIEGMRHEEGE
+CSYESEIKSYLRMEDVSSASSALRNEYNSFADGTFTNNRKSSFANINHRKSVSMTESDEE
+CTEIFKQIPIKSTKIPSLKKKASENLKKDQPKKENKDVVMEPLDTDDSPTTEHILQVDPR
+NGERVSDTGEVTATCAVSENGGNPSCGLLTDCQFTIKSTGSFTGSFFDSGYNSFSDEKSF
+SASLFLSFEGELDIAKTDDQFFHCHSLTKEVLANVERFLSHSPPPLSGLSDLENEISEGS
+ALENLVFLPYSECLQNDKSTNLMACSAVNSQQNLKFITHLSEKTCIYGTTNDKISDEPSF
+CDSDKVHKDSKNEHLVSSNQIQINISPSKDFAEEKNHDVDNSDLPILHTAQDESLLLFED
+VNTEFNDVSPPPLNSKCESLGISDRTAVSEMAPVSQFFISDELLLENDSEPQDQIVCDTN
+SWKSHEGLRGRHEGKLNNDENGFDCSKDLFSVTFDLGFCSTGSEDEVVDHASDTNNEILD
+DLPGRRLDIKQINSTNCVSNQAVMSGAHVDNSTSVTTTHPSSEDKQTPAFSCFSMNATKS
+KEFMSPSYSQFLLPVGDKVMSTPLSESNILNSFSEKRMEMAKKSGSNMGKVNLHSFKETL
+NSTFGDSGLSTGKYKSKKQINLHQACHSTEDEQLSTHESEDDEIFRRKSKKTKGNVLESP
+ENQKNSEVDSPLQVVKKHRVPPNRLDLSSSDESDNFHKRDPQSEDFTDHKRNAKRSIKVQ
+KRQNHLKLVARKFLDEEAELSQEDAEYVSSDENDESEGEQDSSLLDFLNDETQLSQAIND
+SEMRAVYMKSVRSPLMSNRYKMAHKKHNINIFSQIPEQDETYLEDSFCVDEEESCESQSS
+EEVCVDFNLITEDCDTNESKKYKTRRAVKLKQMKMRQNCARSKNKLSRIILLDDSSEEEK
+DVKDKQESRTVVNPSTVPPGSSLQSRDHSHPVDNQLLHQRQQTPPNLKVPDSDVSDFKPQ
+SLTNTESASSLFTAVGAQEDCRKFPVQLKGASALQDSGTCVPCCSNSRPRLADTHASLRL
+PQEGHRTCILVDSREIVSGSEVVSSLRAIHGLQVEVCPLNGCDYIVSNRMVVERRSQSEM
+LNSINKNKLIDQIQYLQSMFERICVIVEKDREKTGDTSRMFRRTKSYDSLLATLIGAGIR
+ILFSSCQEETADLLKELSLVEQRKNVGIHVPTVVNSNICETLQFYLSIPNISYITALNMC
+HQFSSVKKMTNSTPQEISMYAQVTHQKAEEIYRYIHYIFDMQMLPADLNQALKV
+>tr|E1BP00|E1BP00_BOVIN PC4 and SFRS1-interacting protein OS=Bos taurus OX=9913 GN=PSIP1 PE=4 SV=2
+MTRDFKPGDLIFAKMKGYPHWPARVDEVPDGAVKPPTNKLPIFFFGTHETAFLGPKDIFP
+YSENKEKYGKPNKRKGFNEGLWEIDNNPKVKFSSQQASAKQSNASSDVEVEEKETSVSKE
+DTDPEEKASNEDVTKAIDITTPKAARRGRKRKAEKQVETEEAGVVTTATASANLKVSPKR
+GRPAATEVKIPKPRGRPKMVKQPCPSESDIFLDRNFTNRNVRLDKQGKNFVGITVESSPE
+KLKPSPNPHVKILKGEIYDTQKNLAKTGVTSTSDSDQEGDDQEGEKKRKGGRNFQTAHRR
+NMLKGQHEKEAADRKRKQEEQMETEQQNKDEGKKPEVKKVEKKRETSMDSRLQRIHAEIK
+NSLKIDNLDVNRCIEALDELASLQVTMQQAQKHTEMITTLKKIRRFKVSQVIMEKSTMLY
+NKFKNMFLVGEGDSVITQVLNKSLAEQRQHEEANKTKDQGKKGPNKKLEKEQTGSKTLNG
+GSDAQDSNQPQHNGDSNEESKDNHEASSKKKPSSEERETEISLKDSTLDN
+>tr|A0A3Q1MRB0|A0A3Q1MRB0_BOVIN C-type lectin domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+LSQPLISPAVQPPSLGQSSWPLPTSPKPEKDDAEGSEGQEGELALSGEVVESGGEEAEDT
+HDDEGDSESDPDDLDEDVQCPKEEETVQLLGTPGCKSCRHLMVQTPNIFRKALSICKKCY
+GGNLISIHNLLFDNCISRWARRINQAKVWIGVIIKLWSIYKTFRWTDGSRWNFGYWARGQ
+PGNGKGHCVDLSTKGGHWRRASCKWRLPFICSY
+>tr|E1BGT1|E1BGT1_BOVIN Cadherin-3 OS=Bos taurus OX=9913 GN=CDH3 PE=4 SV=3
+MGLRGGPLASLLLRFFLLLQAQVYWLPRAASEPCRAGFGEAEVTLEARGAELEPGQALGK
+VVFTDCPGQESALLTDDDFIVLNDETVQERKALKISPPAPVLRRRKREWVVPPISVPENG
+KGPFPQRLNQLKSNKDRGTKIFYSITGPGADSPPEGIFAIEKETGWLLLNKPLDREKIAK
+YELFGHAVSENGASVEDPMNISIIVTDQNDHKPKFTQDVFRGSVLEGVLPGTSVMQVTAT
+DEDDAVNTYNGVVAYSIHSQEPKDPNDLMFTVHRSTGTISVISSGLDRERVPEYTLTIHA
+TDMDGDGSSTTAMAIVEILDANDNAPVFDPQKYEARVPENTVSHEVQRLTVTDLDAPNSP
+AWRATYRIVGGDNGDHFTITTDPESNQGILTTQKGLDFEAKTQHTLYVEVINEVPFVVKL
+PTSTATVVVLVEDVNEPPVFVPPSKVIEIQEGISTGEPICAYTARDPDKGSQKISYHILR
+DPAGWLAMDPDSGQVTAAGVLDREDEQFVRNNIYEVMVLATDDGSPPTTGTGTLLLTLMD
+INDHGPVPEPRQITICNQSPVPQVLNITDKDLSPHTAPFQAQLTHDSDVYWTAEVNEKGD
+AVALSLKKFLKQGEYDVHLSLSDHGNKEQLTVIRATVCDCHGNMVTCRDPWTWGFLLPIL
+GAALALLLLLLVLLFLVRKKRKIKEPLLLPEDDTRDNVFYYGEEGGGEEDQDYDITQLHR
+GLEARPEVVLRNDVAPSFIPTPMYRPRPANPDEIGNFIIENLKAANTDPTAPPYDSLLVF
+DYEGSGSDAASLSSLTSSTSDQDQDYNYLNEWGSRFKKLADMYGGGQDD
+>tr|A0A452DI56|A0A452DI56_BOVIN Prostaglandin D2 receptor OS=Bos taurus OX=9913 GN=PTGDR PE=4 SV=1
+MRPLFYRCHNTTSVEKGNSATMGGVLFSTGLVGNLLALGLLARSGLGSCPPRSPRPPPSV
+FYVLVFGLTITDLLGKCLVSPFVLSAYAQNRSLRELVPGSDSSLCQAFAFIMSFFGLAST
+LQLLAMALECWLSLGHPFFHRQHLTPRRGAMVAPVVGAFCLAFCALPLVGFGKFVQYCPG
+TWCFFQMVHEERSLSVLSYSVLYASLMLLLVLAIVLCNLSAMRNLYAMHLRLRGLLRPGS
+RERAEPGAGEREATPLHLEELDHLLLLALMTVLFTMCSLPLIYRAYYGAFKAVPEQNGTT
+EETEDLRALRFLSVISIVDPWIFIIFRTSVFRMFFRKIFIRPLIYRNWHSNSCQTNMESS
+L
+>tr|G3MWV5|G3MWV5_BOVIN H15 domain-containing protein OS=Bos taurus OX=9913 GN=HIST1H1E PE=3 SV=2
+MSETAPAAPAAPAPAEKTPVKKKARKAAGAAKRKASGPPVSELITKAVAASKERSGVSLA
+ALKKALAAAGYDVEKNNSRIKLGLKSLVSKGTLVQTKGTGASGSFKLNKKAATGEAKPKT
+KKAGAAKPKKPAGAAKKPKKATGAATPKKSAKKTPKKAKKPAAAAGAKKAKSPKKAKAAK
+PKKAPKSPAKAKAVKPKAAKPKTAKPKAAKPKKAAAKKK
+>tr|A0A3Q1M0M6|A0A3Q1M0M6_BOVIN Muscleblind like splicing regulator 1 OS=Bos taurus OX=9913 GN=MBNL1 PE=4 SV=1
+MAVSVTPIRDTKWLTLEVCREFQRGTCSRPDTECKFAHPSKSCQVENGRVIACFDSLKGR
+CSRENCKYLHPPPHLKTQLEINGRNNLIQQKNMAMLAQQMQLANAMMPGAPLQPVNLMNQ
+SFQKRGGDCISRWEGSPNPIGSDTNYQYYTVEPMFSVAPSLATNASAAFNPYLGPVSPSL
+VPAEILPTAPMLVTGNPGVPVPAAAAAAAQKLMRTDRLEVCREYQRGNCNRGENDCRFAH
+PADSTMIDTNDNTVTVCMDYIKGRCSREKCKYFHPPAHLQAKIKAAQYQVNQAAAAQAAA
+TAAAMGIPQAVLPPLPKRPALEKTNGATAVFNTGIFQYQQALANMQLQQHTAFLPPDRTK
+EERTVCLVRVKDEVISHIVYTVKQPHTKTPQPQDIHILHVNQKKRQHGNPLHTVAYTLCT
+FN
+>tr|F1MBB0|F1MBB0_BOVIN RELB proto-oncogene, NF-kB subunit OS=Bos taurus OX=9913 GN=RELB PE=4 SV=3
+MPSRRVARSSAAPELGPLGSADLSSLSLAVSRTTGEQEIIDEYIKENGFGLEGAQPGPEG
+LPRLVSRGAASLSTVTLGPAAPPPPATPPPWGCTLGRLVPPAAGAGPRPHLVITEQPKQR
+GMRFRYECEGRSAGSILGESSTEASKTLPAIELRDCGGLREVEVTACLVWKDWPHRVHPH
+SLVGKDCTDGVCRVRLRPHVSPRHSFNNLGIQCVRKKEIEAAIERKIQLGIDPYNAGSLK
+NHQEVDMNVVRICFQASYRDQQGQMRRMDPVLSEPVYDKKSTNTSELRICRINKESGPCT
+GGEELYLLCDKVQKEDISVVFSRASWEGRADFSQADVHRQIAIVFKTPPYEDLEIVEPVT
+VNVFLQRLTDGVCSEPLPFTYLPRDHDSYGVDKKRKRGLPDVLGELNSSDPHGIESKRRR
+KKPVFLDQFLPSHGSGPYLPPSVLLPDTDFYPGTVSLPSLEPPGGPDLLDDSFPYDPAAP
+TLLTMLDMLPPAPPLTSAVAGNGGAGAAVGEPPGPEPLTLDSYQAPGPGDGGTASLVGSN
+MFPNQYREAGFGGGLLSPGPEAT
+>tr|G3N3X2|G3N3X2_BOVIN S1 RNA binding domain 1 OS=Bos taurus OX=9913 GN=SRBD1 PE=4 SV=2
+MLYFFFQMSSLPRRAKAKVQTVIPKDEFSSFSELSSASEDDKEDSAWEPQKKVPRSRKPP
+VSKVSKPKRVPRVKNTLQISDGSEDVAVKEELNNSIAIADVDLEDKNKLETGQTLKTAKT
+KRKNSAQSPSARRTKKLKTDEEANKASSLESRNNSTEIPSTSAMWEGGCKEEENKDYFTC
+GQPPLKKVKTETCPQGQPVRLPENISNIEEVEMNWDIVQVLSERTDIELWVCANIIRLFN
+DDNTIPFIIRYRRELINNLDADSLREVQQTLEELRAVAKKAHSTIQKIKKEGKMSECLLK
+ALLNCKTFEELEHVSAPYKTGSKGTKAQRAKQLGLEGAARTLLENPGELSLLSYIKPNVK
+GLSALQDIETGVQHILADMIAKDKDTLDFIRKLCQDRYIHIQSSLAKVSSKKVNEKDIDK
+FQLYQNFSCNIRNIQHHQILAINRGENLKILTVKVNIPDGVKKEFCKWCIQNRWRPRGFA
+RPELMKILYNSLDDSFKRLIYPLLCREFRAKLTSDAEKESVMMFGRNLRQLLLTSPVPGR
+TLMGVDPGYKHGCKLAVISPTSQILHTDVVYLHCGQGFREAEKIKRLLLNFNCSTVVIGN
+GTACRETEAYFADLIMNNYFAPLDVVYCIVSEAGASIYSVSPEANKEMPELDPNLRSAVS
+IARRVQDPLAELVKIEPKHIGVGMYQHDVSQTLLKATLDSVVEECVSFVGVDINICSEVL
+LRHIAGLNANRAKNIIEWREKNGPFINREQLKKVKGLGPKSFQQCAGFIRVNQDYIRTFC
+RFLSFIGGTLYEIGKPEMQQKINSFLEKEGMEKTAERLQTTVHTLQVIVDGLSQPESFDF
+RTDFDKPDFKRSIVCLEDLQVGTVLTGKVENATLFGIFVDIGVGRSGLIPIRNVTEAKLS
+KTKKRRSLGLGPGERVEVRVLNIDIPRSRITLDLIRVL
+>tr|E1BEM4|E1BEM4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MRCASTKHVATVQNEEEAQKGKNYQNGDVFGDEYRIKPVEEVKYMKNGAEEEQKIAARNQ
+ENLGKSASSNVRLKTNKEISGLVHQPRANMHISESQQEFFRMLDEKIEKGRDYCSEEEGI
+T
+>tr|A0A3Q1MB89|A0A3Q1MB89_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MGKNKLLYPSLTLLLLLLLPTDASVSGKPQYMVLVPSLLHTETPEKGCLLLSHLNETVTV
+SASLESVRENRTTCEFPTTVDKYL
+>tr|E1BNX5|E1BNX5_BOVIN Chromosome 25 C16orf82 homolog OS=Bos taurus OX=9913 GN=C25H16orf82 PE=4 SV=2
+LEKPSQLPPTFLQGKQGESPSGEAQETGAGLQSASLEPQSPAWPRHDAEATQEPLRDSSG
+HLGNTVSHESSVLSSLQHSSLEQCIDISRLSSGYAGDEENSKVSLPGSHQIVRLSRRLHT
+QAGGAQNSQVCAVYSPKQLKRRLAHQAKGTKQTGRE
+>tr|A0A3Q1LUK4|A0A3Q1LUK4_BOVIN CAAX prenyl protease 2 OS=Bos taurus OX=9913 GN=RCE1 PE=4 SV=1
+MAALGGDGFRLLSVSRPERQPESAALGGPGPGLCCWVSVFSCLSLACSYVGSLYVWKSEL
+PRDHPAVIKRRFTSVLVVSSLSPLCVLLWRELTGIQPFLSSLLFFCPQILFLGPLMQLSM
+DCPCDLADGLKVVLAPRSWARCLTDMRWLRNQVIAPLTEELVFRACMLPMLAPCTGLGPA
+VFTCPLFFGVAHFHHIFEQLRFRQSSVGSIFLSAGHLIGPVLCHSFCNYMGFPAVCAALE
+HPQRRPLLAGYALGVGLFLLLLQPLTDPKLYGSLPLCVLLERAGDSEAPLCS
+>tr|A0A3Q1MCR2|A0A3Q1MCR2_BOVIN Gamma-secretase activating protein OS=Bos taurus OX=9913 GN=GSAP PE=4 SV=1
+MALRLIADFDLEKDVLPWLRVQLAASAAAGARGGGPGVLENNYECLRVLNVERNRNIIYT
+YKDNKGNVFFGLYDYQTKQNEHLYTFEKDLQVVSCSVNKEKTLLATSLVQAAKEGRSNEL
+QPGSKCLTLLVEIHPINNVKVLKAVDSYIWVQFLYPHVESCPQPKNHLLLLSEEKYIEQF
+HIQVVQEDGNRVVIKNSGHLPRERIAEDFVWAQWDMSEQRLYYIDLKVKTSPLALFFMGV
+LVNFGYSDLQDKEELSEHLTLCVFTNHTGSLCVCYCPNFDSWEQITYSVFYFHKGSEKNR
+DTVKLLTLICTVQHLPLGADFNLSSIDYYVAVYLPGHFFHLLNIQHPDLICHSLFLTENS
+EVIDMLPHSPLQSLSGSLVLDSRSGKLYRVLLNQSYLVEFLRSARLDCERMALLHCALSH
+GRDPRRLEAKIIQWISENISACHSFDLIQEFIIASSYWSIYPETSNMDKLLPYSSLLTWD
+TEIPGITLVTEEIPLPLMKVHSFKGYWEKLNSNLEYVKYSKPHLHYNNSVVRREWHNLIS
+EEKTGKRRSTVYVRNILDNAIKCEWVGCDLSTFCFQMVSELREHLLRHLQGVEKRKIEQM
+VLDYVSKLLDLICQILEASWRKHNLHPWALHFNRQASAAEFAVFHIMTRILEATNTLFLP
+LPPGFHTLHMILGVRCLPLHNLLHYIDHGVLLLTEAAVTRLMKDLDNTEKNEKLKFSIIM
+RLPPLTGQKICRLWDHPVSSNIISRNHVKRLLQNYNKQPWSSVMDKSSFSVEFLPLNYFI
+HILTDIESSNPALYAFEGHDNVDAKFVEEAALKHTAMLLGL
+>tr|E1BH22|E1BH22_BOVIN Histone H2A OS=Bos taurus OX=9913 PE=3 SV=3
+MSGRGKQGGKARAKAKSRSSRAGLQFPVGRVHRLLRKGNYAERVGAGAPVYMAAVLEYLT
+AEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGKVTIAQGGVLPNIQAVLLPKK
+TESHHKSKGK
+>tr|F1MNQ7|F1MNQ7_BOVIN Alpha-1,6-mannosylglycoprotein 6-beta-N-acetylglucosaminyltransferase B OS=Bos taurus OX=9913 GN=MGAT5B PE=4 SV=3
+MTSLGGQFSARRPGDSPFTIRTEVMGGPESRGVLRKMSDLLELMVKRMDTLARLENSSEL
+HRAAGEGRFALDRLPAGPGLMERIQAIAQNVSDIAVKVDQILRHSLLLHSKVSEGRRDQC
+DAPSDPKFPDCSGKVEWMRARWTSDPCYAFFGVDGTECSFLIYLSEVEWFCPPLPWRNQT
+ATRRAPKPLPKVQAVFRSNLSHLLELMGSGKESLIFMKKRTKRFTAQWALAAQRLAQKLG
+GVRRDQKQILVHIGFLTEESGDVFSPRVLKGGPLGEMVQWADILAALYVLGHGLRITVSL
+KELQSNLGVPPGRGNCPLTMPLPFDLIYTDYHGLQQMKQHMGLSFRKYRCRIRVIDTFGT
+EPAYNHEEYATLHGYRTNWGYWNLNPKQFMTMFPHTPDNSFMGFVSEELNETEKQLIKDG
+KASKMAVVYGKEASIWKGKEKFLSILNKYMEIHGTVYYESQRPPEVPAFVKNHGLLPQPE
+FQQLLRKAKLFIGFGFPYEGPAPLEAIANGCVFLQSRFSPPHSSLNHEFFRGKPTSREVF
+SQHPYAENFIGKPHVWTVDYNNSEEFEAAIQAIMTTQVDPYLPYEYTCEGMLERIHAYIQ
+HQDFCAAPGPAPPGTRAPQSPFILAPNATHLEWARNASLAPGAWPPAHSLRAWLAAAGRA
+CTDACLDHGLICEPSFFPFLNSQDAFQKLQVPCDGIESEMNHLYPAFAQPGQECFLQKEP
+LLFSCAGSSTKYRRLCPCRDFRKGQVALCQDCL
+>tr|A0A3Q1LU46|A0A3Q1LU46_BOVIN D-amino acid oxidase OS=Bos taurus OX=9913 GN=DAO PE=4 SV=1
+MRVVVIGAGVIGLSTALCIHERYRSVLKSLDVMVYADRFTPLTTTDVAAGLWQPYLSEPS
+NPQEAHWNQQTFNYLLSLIGSPNAANMGLAPVSGYNLFREAVPDPYWKDIVLGFRKLTLR
+ELDMFPDYRLTERGVKFFLWKVESFEEVVREGADVIINCTGVWAGALQPDPLLQPGRGQI
+IKVDAPWLKHFVITHDPERGIYKSPYIIPGLQAITLGGIFQLGNWSETSNIPDHNTIWEG
+CCRLEPTLKDAKIIGEWSGFRPVRPQVRLEREQLRFGSSNTEVIHNYGHGGFGLTIHWGC
+AQEVAKLFGKILEERNLLRIPPSHL
+>tr|A0A3Q1MCP3|A0A3Q1MCP3_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MFKLDLEKAEEPEIKLPKSIGSLKKQESSRKTSTSALLTMPKPLTVWIKLWKIFKEMGIP
+DHLTCLLRNLYAGQEATVRTGHGTTDWFQIGKGVRQGCILSPCLFNLYAEYIMRNAGLEE
+VQAGIKIAGRNINNLRYADDTILMAESEEELKSLLMKVKEESEKVGLKLNNQKMKIMASS
+HITSWEIDGETVETVSDFIFLGSKITADGDCSHEIKRHLLLGRKVMTNLDSILNNKGLSS
+>tr|A0A3Q1LYL5|A0A3Q1LYL5_BOVIN Propionyl-CoA carboxylase subunit alpha OS=Bos taurus OX=9913 GN=PCCA PE=1 SV=1
+MAGFWTGAAALATAGRRGRRWPKLLMRSVALWTVKHVPHYSRRYLVVSRTLCSAGYDSNE
+KTFDKILIANRGEIACRVIKTCKKMGIKTVAIHSDVDASSVHVKMADEAVCVGPAPTSKS
+YLNMNAIMDAVRSTRAQAVHPGYGFLSENKEFAKRLAAEDVIFIGPDTHAIQAMGDKIES
+KLLAKKAKVNTIPGFDGVVKDADEAVRIAREIGYPVMIKASAGGGGKGMRIAWDDEETRD
+GFRFSSQEAASSFGDDRLLIEKFIDNPRHIEIQVLGDKHGNALWLNERECSIQRRNQKVV
+EEAPSIFLDSETRRAMGEQAVALAKAVNYSSAGTVEFLVDSKKNFYFLEMNTRLQVEHPV
+TECITGLDLVQEMIRVAKGYPLRHKQADIPINGWAVECRVYAEDPYKSFGLPSVGRLSQY
+EEPIHLPGVRVDSGIQPGSDISIYYDPMISKLITYGSDRTEALKRMEDALDSYVIRGVTH
+NIALLREVIINSRFIEGDINTKFLSDVYPDGFKGHPLTETERNQFLAVASSLFVASQLRA
+QHFQDHESSRVPIVKPQVASWELSVKLHDEIHTVVVSSSGPTFSVEVDGSKLNVTSTWNL
+ASPLLSVNVDGTQRTIQCLSREAGGNMSIQFLGTVYKVRILTKLAAELNKFMLEKAAEDT
+SSILRSPMPGVVVAISVKPGDLVKSVHCKAGDTVGEGDLLVELE
+>tr|A0A3Q1M4P7|A0A3Q1M4P7_BOVIN Exocyst complex component OS=Bos taurus OX=9913 GN=EXOC6 PE=3 SV=1
+MAEIGEGPGTVPEHERILQEIQSTDTACVGPTLRSVYDDQPNAHKKFMEKLDACIRNHDK
+EIEKMCNFHHQGFVDAITELLKVRTDAEKLKVQVTDTNRRFQDAGKEVIVQTEDIIRCRI
+QQRNITTVVEKLQLCLPVLEMYSKLKEQMSTKRYYSALKTMEQLENVYFPRVSQYRFCQL
+MIENLPKLREDIKEISMSDLKDFLESIRKHSDKIGETAMKQAQQQKIFTVTLQKQNNVKF
+GKTMYINDRIPEERNEIVLKHAFEEEDENEEEVLTVQDLVDFSPVYQCLHIYSVLGDEET
+FENYYRKQRKKQARLVLQPQSNMHETVDGYRRYFTQIVGFFVVEDHILHVTQGLVTRAYT
+DELWNMALSKIIAVLRAHSSYCTDPDLVLELKNLIVIFADTLQGYGFPVNRLFDLLFEIR
+DQYNETLLKKWAGVFRDIFEEDNYSPIPIVSEEEYRAIISKFPFQDPDLEKQPFPKKLPM
+SQSVPHIYIQVKEFIYASLKFSESLHRSSTEIDDMLRKSTNLLLTRTLSSCLLNLIKKPH
+IGLTELVQIIINTTHLEQACKYLEDFITNITNISQETVHTTRLYGLSTFKGKVAQTACMS
+ACQHLSTSLMQMLLDSELKQISMGAVQQFNLDVIQCELFASSEPVPGFQGDTLQLAFIDL
+RQLLDLFMVWDWSTYLADYGQPASKYLRVNPNTALTLLEKMKDTSKKNNIFAQFRKNDRD
+KQKLIETVVKQLRSLVNGMSQHT
+>tr|A0A3Q1M5J7|A0A3Q1M5J7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=BCL7C PE=4 SV=1
+MAGRTVRAETRSRAKDDIKKVMATIEKVRRWEKRWVTVGDTSLRIFKWVPVVDPQEEERR
+RAGGGAERSRGRERRGRGASPRGGGPLILLDLNDENSNQSFHSEGSLQKGTEPSPGGTPQ
+PSRPVSPAGPPEGVPEDAQPPQLGQERDPGGIPAGSTDEPPMLTKEEPVPELLEAEDSGV
+RVTRRALHEKGLKTEPLRRLLPRRGLRTNARPSSAGPPPPEPRAPGGGSKAPRAPRMIPQ
+GKGR
+>tr|F1MSL2|F1MSL2_BOVIN Thrombopoietin OS=Bos taurus OX=9913 GN=THPO PE=4 SV=2
+MELTELLLVVILLLTARITLSSPAPPACDPRLLNKLLRDSHVLHSRLSQCPDVNPLSTPV
+LLPAVDFSLGEWKTQTEQTKAQDVLGTTTLLLEAVMTARGQLGPTCLSSLLVQLSGQVRL
+LLGALQGLLGTQLPPQGRTTAHKDPSAIFLSFQQLLRGKVRFLLLVVGPSLCAKRAPPAT
+AVPGSISPLLTLNKLPNRTSGLLETNSSVSARTTGFGLPNRLQGFRAKIPGLLNQTSRSL
+GQIPGHLNGTQGPLSGVHGLFPGPSPRALGAPDIPLGTSDMGSLPPYLQPGDSPSPAHPP
+PGQYTLFSPSPTSPIPTVHLQPLLPDPSAITPNSSSSLLVAAHSHFRNLSQEE
+>tr|A0A3Q1MQ56|A0A3Q1MQ56_BOVIN Receptor protein serine/threonine kinase OS=Bos taurus OX=9913 GN=TGFBR1 PE=3 SV=1
+LAAAAGIPSPPLDLFFFFPALQCFCHLCTKDNFTCVTDGLCFVSVTETTDKVIHNSMCIA
+EIDLIPRDRPFVCAPSSKTGSITTTYCCNQDHCNKIELPTVGKPSSGLGPVELAAVIAGP
+VCFVCISLMLMVYICHNRTVIHHRVPNEEDPSLDRPFISEGTTLKDLIYDMTTSGSGSGL
+PLLVQRTIARTIVLQESIGKGRFGEVWRGKWRGEEVAVKIFSSREERSWFREAEIYQTVM
+LRHENILGFIAADNKDNGTWTQLWLVSDYHEHGSLFDYLNRYTVTVEGMIKLALSTASGL
+AHLHMEIVGTQGKPAIAHRDLKSKNILVKKNGTCCIADLGLAVRHDSATDTIDIAPNHRV
+GTKRYMAPEVLDDSINMKHFESFKRADIYAMGLVFWEVARRCSIGGIHEDYQLPYYDLVP
+SDPSVEEMRKVVCEQKLRPNIPNRWQSCEALRVMAKIMRECWYANGAARLTALRIKKTLS
+QLSQQEGIKM
+>tr|A0A3Q1M8G2|A0A3Q1M8G2_BOVIN Tetratricopeptide repeat domain 21B OS=Bos taurus OX=9913 GN=TTC21B PE=4 SV=1
+MESQRALINYYCQERYFHHVLLVASEGMKSYGSDPVFRFYHAYATLMEGKIQEALREFEA
+IKNKQDVSLCSLIALIYAHKMSPNPDREAILESDARVKEQRKGAGPKVLYQAGLFLWHIG
+RHDKAREYIDRMIKISNGSKEGQVLRAWLDITRRKEPYTKKALRYFEEGLQDGNDIFALL
+GKAQCLEMRQNYSGALETVNEIIMNFPSFLPAFVKKMKLQLALQDWDQTVETAQRLMLQD
+NQNLEALRMLALYYLCREGDIEKAATQLENLGNALDAVEPQNAQLFYTITIAFSRTCGRS
+QLILQKTQTLLERAFNLNPQLSEFATELGYQMILHGKVKEALKWYKTAMTLDETSVPALV
+GFIQCQLIEGQLQDADQHLEFLSEVQQSFGKSAELTYLHAVLAMRKNKRQEEVINLLNDV
+LDTHFSQLEDLPLGIQYFEKLNPDFLLEIITEYLNFCPMQPASPGQPLSPLLRRCTSILE
+TIVRTVPGLLQAVFLIAKVKYLSGDIEAAYNNLQHCLEHSPSYADAHLLMAQVYLSQEKF
+KLCSQSLELCLSYDFKVREYPLYHLIKAQSQKKMGEIAEAIKTLHMAMSLPGMRRIGSSS
+KSKYRKTEVDASHRLSVFLELVEVHRLNGEQHEAAKVLQDAIHEFSGTSEELRVTIANAD
+LALAQGDVERALSMLRNVTAEQPYFIEAKEKMADIYLKHRKEKMLYITCYREMAERMPSP
+RSFLLLGDAYMNIQEPEEAIVAYEQALNHNPKDGTLASKIGKALVKTHNYSKAITYYEAA
+LKSGQQNYLCYDLAELLLKLKWYDKAEKVLQHALAHEPVNELSALMEDGRFQVLLAKVYS
+KVDRPDDAVTSLQQARELQARVLKRVQIEQPDAVPAQKHLAAEICAEIAKHSVAQRNYEK
+AIKFYREALVHCETDNKIMLELARLYLLQDDPDACLRHCALLLQSDQDNEAATMMMADLM
+FRKQDYEQAVFHLQQLLERKPDNYMTLSRLIDFLRRCGKLEDVPRFFLMAEKRNSRAKLE
+PGFQYCKGLYLWYTGEPNDALRHFNKARKDSDWGQNALYNMIEICLNPDNETVGGEVFEN
+LDADLGNSTEKQESVQLAVRTAEKLLKELKPQTVQGQVQLRIMENYCLMATKQKSNVEQA
+LNTFTEIAASEKDHVPALLGMATAHMILKQTPRARNQLKRIAKMNWNPIDAEDFERSWLL
+LADIYIQSAKYDMAEELIKRCLRHNRSCCKAYEYMGYIMEKEQAYMDAALNYEIAWKHGN
+QTNPAVGYKLAFNYLKAKRYVDAIDICHQVLEAHPTYPKIRKDILDKARTSLRP
+>tr|F1N5P0|F1N5P0_BOVIN Delta/notch like EGF repeat containing OS=Bos taurus OX=9913 GN=DNER PE=4 SV=3
+MQPRRAPAPALLLLLLLGAGPRSGCLASPVPAAPLPVPGPCSAQPCQNGGVCSQLPAPDP
+QSPAAAGEPGYSCTCPAGVSGTNCQLVADPCTSNPCHHGNCSSSSSDGYLCICNEGYEGP
+NCEQALSSVPASGWTESTAPRQLQPVPATQEPEIMLPRSQATITLPTWQPKTGQKVVEMK
+WDQVEVVPDIACGNASANSSAGGRLVSFEVPQNTSVKIRQDATASLILLWKVTATGFQQC
+SLIDGRSVTLLQAPGGLVLLEEMLALGQNHFIGFVNDSITKSIVALRLTLVVKTSTCVPG
+ESRANDLECSGRGRCSTKPSEATFSCNCDDQYTGTFCEEFDACQKKPCQNNASCVDAKEK
+QDGGNFTCVCLAGYTGELCQSKIDYCILDPCRNGATCTSNLSGFTCQCLEGYLGSTCEEK
+VDPCASAPCQNNGTCYADGLHFGCSCSAGFTGPACAQLVDFCALSPCAHGTCRSVGTSYK
+CLCDPGYHGLYCEEEYDECVSAPCLNAATCRDLVNGYECVCLAEYKGIHCESYKDPCANV
+SCLNGGTCDSEGLNGTCVCTPGFTGEECDIDINECDSNPCHHAGTCLDQPNGYTCHCPHG
+WVGANCEIHLQWKSGHMAESLTNMPRHSLYIIIGALCVAFILMLIILIVGICRISRIEYQ
+GSARPAYEDFYNCRSIDSEFSNAIASIRHARFGKKSRPAMYDVTPIAYEDYSPDDKPLVT
+LIKTKDL
+>tr|A0A3Q1LPQ6|A0A3Q1LPQ6_BOVIN Tropomyosin alpha-1 chain OS=Bos taurus OX=9913 GN=TPM1 PE=1 SV=1
+MDAIKKKMQMLKLDKENALDRAEQAEADKKAAEDRSKQLEEDIAAKEKLLRVSEDERDRV
+LEELHKAEDSLLAADEAAAKLEDELVSLQKKLKATEDELDKYSEALKDAQEKLELAEKKA
+TDAEADVASLNRRIQLVEEELDRAQERLATALQKLEEAEKAADESERGMKVIESRAQKDE
+EKMEIQEIQLKEAKHIAEDADRKYEEVARKLVIIESDLERAEERAELSEGKCAELEEELK
+TVTNNLKSLEAQAEKYSQKEDKYEEEIKVLSDKLKEAETRAEFAERSVTKLEKSIDDLED
+ELYAQKLKYKAISEELDHALNDMTSIESGSCQRRKP
+>tr|A0A3Q1MKG0|A0A3Q1MKG0_BOVIN Synaptonemal complex protein 1 OS=Bos taurus OX=9913 GN=SYCP1 PE=4 SV=1
+MEKQKPFKLFVPPRLSSSQVSAVKPQTSGGDSNFFKTDNSDSCHYQEGLKDSDFENSEPM
+SRLYSKLYKEAEKIKKWKVNVESELKHKENKLQENRKIIEAQRKAIQELQFENEKVSLKL
+EEGIQENKDLIKENNATRHLCNLLKETCARSAEKTKKYEYEREETRQVYMDLNSNIEKMI
+IAFEELRVQAESSRLEMHFKLKEDYEKIQHLEEEYKKEINDKEKQVSLLLIQSTEKENKM
+KELTFLLEESRNKINQLEEKTKLQNENLKESNEKQDHLTSELEDIKMSLQRSVNTQMALE
+EDLQIATKNIYQLTGEKEAQMEEFNKAKAAHSSMVTELKTTILLFVLLVSPLLFFKSTVN
+TFKFFCLFFFFLEEMTKLKNNKEVELEELKKILAEKQKLLDEKKQFEKIAEELKEAEQEL
+TGLLQTREKKVHDLEIQLTAIATSEQHYSNQVKELKTELENEKLKNTELTASCNRLSLEN
+KELAQETSDMALELKKQQEDINNSKRQEERMLKQIEHLGETETQLRNELESVREELKQKG
+DEVKSKLDKSEENCNNLRKQVENKSKFIEELQQEVCTDSQNQITFFKKPFIFTVNKLEIE
+LEGAKQKFKQMTDSYQKEIEDKKLLEENLLGEVAKAKVVADEAVKLQKEIDIRCQHKIAE
+MVALMEKHKHQYDKIVEERDSELGLYKSKEQEHSSMKASLETELCNLRNELWSVKKHLET
+EREEKKTQTSLLETPETNYWQLDSKVPLSQNVSRSFPSANQSRSSKDKRDYLRTPAKNIL
+STPLPKAYTVKTPTKLKIQQRGNKNVPIEESNSKKRKMVFEFDVNSDSSETTDLLSMVSE
+EETLRKLCKDNNPPISHLCVRTPKKTPSSLTTPGSMQKSETMRKTQEDRWAVIAKMNRKQ
+KLKEAEKLFV
+>tr|E1BFD3|E1BFD3_BOVIN Membrane associated ring-CH-type finger 10 OS=Bos taurus OX=9913 GN=MARCH10 PE=4 SV=3
+MLHEAKDRQKFVSDVQYLRDMQHKVDSEYQACLRRQEYKRDPNEKKRDQFWGQETNFERS
+RFSSGSSSKQSSADEDAQAEPRLSATSSACKTDSRLPAIDQTSVKQKHKSTMTPKKLEKA
+GPSKPPPAAEAPQILSRKRRPNLGRLTVSPETQSPRLSGDRSRQKLQRPGKVPALRGIDP
+IVQQEHPAWASDTKLKRPTQERRNLVPSSQVIMVMENSRTERARKGDTGTHSQSTPHSAL
+PQAFQGTHSPQELDESLGPPLVASTLGGPRRTTFRFRDKDFYSILSSNPGGEHDDTEEET
+HMEEELLMAGMHPPRSPSSHKRSRFLGTLATQAKNKNSEENPAHCRANSIRRSEASHGSL
+RISNAMEPVTEQSSVGQRMSQDPGLSDGGSIKGKDSSDCESEKKASHSWDTQSEPSLEDD
+INAENESRDGISMEERPGTHAYERDCQAYLSESSNPLDSFLAGRPMAPRSSVNLSHNMPG
+SVTHFTLRDDTLADLPPSSTLVPSSDSEGSSRFSGHQPLSPIRNRNLLAGAENCSYLPVD
+SAHDLDVRGAEDVTSTSPAQEAPLYTDGLLPNPQGNLSLMESSSSSPSGMNVQGHLHVAR
+SLQENRPFTFFAGSEFPNQNENGSGMEFSGFTKAKGAPKMKTDPEKLKKIQESLLEEDSE
+EEGDLCRICQIAGGSPTNPLLEPCGCVGSLQFVHQECLKKWLKVKITSGADLGAVKTCEM
+CKQSLLVNLDDFNVTEFYQKHQQSRVQNELMNSGLYLVLLLHLYEQRFAELMRLNHDRAT
+RERLSRNYPQPRLEENESSELGDGSGSSL
+>tr|A0A3Q1M0A1|A0A3Q1M0A1_BOVIN EF-hand domain-containing protein OS=Bos taurus OX=9913 GN=CRNN PE=4 SV=1
+MPQLLRNINGIIEAFRRYARMEGDCAVLERGELKRLLEKEFADVIVKPHDPATVDEVLRL
+LDEDDTGTVEFKEFLVLVFKVAQACFETLSEGPEGVCGSQESGRGAPAATQEPGAGQRSS
+TAVGRPGNRQGPAGSSDSQSEQASRGPGGPGPQTQGQDISCAQVSHQDRQSESQRQEGVS
+QSTQARGHVEQAQRMREDKSSQTRERGTERQLQASNQDRAHQTSETITGTITQTRTSATQ
+AVEQDRSHQTGSASTQPRESTRGTETHSQTAETRPQTQIHTHTTEQDRSHQTGSTSTQPR
+ESTRGTETHSQTSQVVTGGHVQAETRPQTQIHTHTTEQDRSHQTGSTSTQPRESTRGTET
+HSQDGNQTSQVVTGGHVQAETRPQTQIHTHTTEQDRSHQTGSTSTQPQESTRGTETHSQD
+GRQTSQVVTGGHIQAETRSQTQTHTHTTEQDRSHQTGSASTQPRESTRGTEAHSQDGRQT
+SQVVTGGHIQAETRSQTQIHTHTLEQDRSHQTGSTSTQPQESTRGTEAHSQSGRQTSQVV
+TGHIQTQTRSQTQTVEQNRSQQTGSTSTQPRESTRGTETHSQDRNQTSQVVTGGHVQAET
+RPQTQTHIRTTEQDRSHQTGSASTQPRESTRGTETHSQTAETRPQTQIHTHTTEQDRSHQ
+TGSVSTQPQESTRGTETHSQDRYQTRQVVTGGHIQTQGGAPQAMEQDRGQITRHTGAGEQ
+GQPQRQSGSSQRQTQVSNCEAGETVLGGQAQTGASTVTGRQDRSSTHPPSSATGGQGERD
+PTVVHQEWVDDHTRETVIRRQDQGSLHASVPSAQGREAAPPDGKRGLTARGLYSYFKSSK
+P
+>tr|A0A3Q1ND05|A0A3Q1ND05_BOVIN Rho-associated protein kinase OS=Bos taurus OX=9913 GN=ROCK1 PE=3 SV=1
+MSTGDSFETRFEKIDNLLRDPKSEVNSDCLLDGLDALVYDLDFPALRKNKNIDNFLSRYK
+DTINKIRDLRMKAEDYEVVKVIGRGAFGEVQLVRHKSTRKVYAMKLLSKFEMIKRSDSAF
+FWEERDIMAFANSPWVVQLFYAFQDDRYLYMVMEYMPGGDLVNLMSNYDVPEKWARFYTA
+EVVLALDAIHSMGFIHRDVKPDNMLLDKSGHLKLADFGTCMKMNKEGMVRCDTAVGTPDY
+ISPEVLKSQGGDGYYGRECDWWSVGVFLYEMLVGDTPFYADSLVGTYSKIMNHKNSLTFP
+DDNDISKEAKNLICAFLTDREVRLGRNGVEEIKRHLFFKNDQWAWETLRDTVAPVVPDLS
+SDIDTSNFDDLEEDKGDEETFPIPKAFVGNQLPFVGFTYYSNRRYLSSANPNDNRTSSNV
+DKSLQENLQKTIYKLEEQLHNEMQLKDEMEQKCRTSNIKLDKIMKELDEEGNQRRNLEST
+VSQIEKEKMLLQHRINEYQRKAEQENEKRRNVENEVSTLKDQLEDLKKVSQNSQLANEKL
+AQLQKQLEEANDLLRTESDTAVRLRKSHTEMSKSITQLESLNRELQERNRILENSKSQMD
+KDYYQLQAVLEAERRDRGHDSEKIGDLQARITSLQEEVKHLKHNLERVEGERKEAQDMLN
+HSEKEKNNLEIDLNYKLKSLQQRLEQEVNEHKVTKARLTDKHQSIEEAKSVAMCEMEKKL
+KEERDAREKAENRVVQIEKQCSMLDVDLKQSQQKLEHLIENKDRMEDEVKNLTLQLEQES
+NKRLLLQNELKTQAFEADNLKGLEKQMKQEINTLLEAKRLLEFELAQLTKQYRGNEGQMR
+ELQDQLEAEQYFSTLYKTQVKELKEEIEEKNRENLKKIQELQSEKETLATQLDLAETKAE
+SEQLARGLLEEQYFELTQESKKAASRNRQEITDKDHTLSRLEETNSMLTKDIELLRKENE
+ELTDKMRKAEEEYKLKKEEEINILKAAFEKNINTERTLKTQAVNKLAEIMNRKDFKIDRK
+KANTQDLRKKEKENRKLQLELNQEREKFNQMVVKHQKELNEMQAQLVEECTHKNELQMQL
+ASKESDIEQLRAKLLDLSDSTSVASFPSADETDGNLPESRIEGWLSIPNRGNIKRYGWKK
+QYVVVSSKKILFYNDEQDKEQSNPSMVLDIDKLFHVRPVTQGDVYRAETEEIPKIFQILY
+ANEGECRKDLEVEPVQQAEKTNFQNHKGHEFIPTLYHFPANCEACAKPLWHVFKPPPALE
+CRRCHVKCHRDHIDKKEDLISPCKVSYDVTSARDMLLLACSQDEQKKWVTHLVKKIPKNP
+PSGFVRASPRTLSTRSTANQSFRKVVKNTSGKAS
+>tr|A0A3Q1LNS4|A0A3Q1LNS4_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC784594 PE=3 SV=1
+MESETNGANQTVVTEFVLLGLHDHHNLEMVLFVLCLGIYSVNVLGNALLIGLNMLDPRLH
+TPMYFFLSNLALMDICGTSSFVPLMLANFLETQSTISFPGCALQMYLTLALGSTECVLLA
+MMACDRYVAICQPLRYSELMNRQTCTWMVALSWGAAFANSLLQSILTWSLPFCGHNIINH
+FFCEILAVLKLACGDISLNALLIMVASSVLTLAPLLLIFLSYVFILTAILRVPSAAGRHK
+AFSTCSAHLTVVVIFYGTISFMYFKPKAKDLYLDKLLALFYGVVTPSLNPIIYSLRKEEV
+KAATIALLRGDLSRKMAPFPVVL
+>tr|A0A3Q1MCF0|A0A3Q1MCF0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC520016 PE=4 SV=1
+GSALRSTRVVQPIFLGKMISYVENYDPTDSAALHEACGYAAGLSACVLLWAVLHHLCFYH
+MQRVGMRLRVAVCHMIYRKALRLSSSAMGKTTTGQIVNLLSNDVNRFDQVTMFLHYLWVG
+PLQAIAVTALLWMEIGMSCLAGMVVLIILLLLQSCFGMLFSSLRSKTAALTDKRIRTISE
+VITGIRTIKMNAWEKSFIDLITRLRKKEISKILRSSYLRGMNLATFFAVSKIMIFVTFIA
+NELLDNLITASQVFVVVTLFEALRFSSTLYFPMAVEKVSEAVVSIRRIKNFLLLDETSQC
+YPQLPSDGRTIVDVQAFTAFGEKASETPTLQGLSFTVRPGELLAVIGPVGAGKSSLLRAL
+LGELPRSQGQVHVHGRVAYVPQQPWEFPGTVKSNILFGKKYEKERYEKVIEACALKKDLQ
+LLEEGDLTEIGDRGIPLSEGQKARVSLARAVYQDADIYLLDDPLSAVDAIVSRHLFEQCV
+RQALKEKITILVTHQLQYLKYASQILILKDGKMVERGTYSEFLKSRVDIFSLFEKVNEQS
+EPSAVPGTPTVISESLVQSLQSPRPSLKDAAPEDQESENIQVTLPLEDHLGGKVGFKTYK
+NYFTGGADWLVIIFLILVNIAAQVRKGVYFDLWLTVSTVLFGITKSLLIFYILVNSSLTL
+HNRMLETILRAPVLFFHRNPIGRILNRFSKDIGHMDDLLPLIFQDFIQAFLLVIGVVGMM
+VAAVPWTAIPVIPLGIIFFFLRRYFLETSRDVKRLECTTRSLVFSHLASSIRGLWTIRAY
+KAEQKFQELFDAHQDLHSEAWFLLLTTSRWLAVYLDVTCAIFVTLVAFGALILAETLDLG
+QVGLVLSLTLTLTGMFQWCVRQSAEIENMMVSVERGIEYTDLEKEAPWELEYRPPPFWPP
+NGRISFSSVNFRYNSDSPLVLRNLEEIINSGEKYGIVGRTGAGKSSLIAALFRLSEPEGD
+ICIDDILTTYIGLHDLRKKMSVAPQEPVLFTGRMRNNLDPFNEHTDEELWNVLEEVQLKD
+TIEHLPGKMNTELAESGLNLSVGQRQLVCLARAILKKNQILIIDKATSNVDPSTDKLIQR
+KIHEKFAQCTVITITHRLSNVIDCEWILVLDSGIYREAGEPHNLMQNENSLFYKMVQQLG
+QAEVTALTERPDVTHSNLVVMNISSGQPSALTIFESTLILP
+>tr|A0A3Q1ND65|A0A3Q1ND65_BOVIN Acyl-CoA synthetase long chain family member 6 OS=Bos taurus OX=9913 GN=ACSL6 PE=4 SV=1
+MQTQEILRMLRLPELADLGQFFRSLSATTLVSMGALAAILAYWFTHRPKALKPPCNLLMQ
+SEEVEDSGGARRSVIGDSPELFTHYYDDARTMYEVFHRGLRISGNGPCLGFRNPKQPYQW
+LSYQEVADRAEFLGSGLLQHNCKPCTDQFIGVFAQNRPEWIIAELACYTYSMVVVPLYDT
+LGPGAVRYIINTADISTVIVDKPQKAVVLLEHVERKETPGLKLIILMEPFEEALKDRGQE
+CGVVIKSMQDVEVRVCFWAPPKPSDLSIVCFTSGTTGNPKGAMLTHGNVVADFSGFLKVT
+EKVIFPRQDDVLISFLPLAHMFERVIQSVVYCHGGRVGFFQGDIRLLSDDMKALHPTIFP
+VVPRLLNRMYDKIFSQADTPLKRWLLEFAAKRKQAEVRSGIIRNDSIWDELFFHKIQASL
+GGCVRMIVTGAAPASPTVLGFLRAALGCQVYEGYGQTECTAGCTFTTPGDWTSGHVGAPL
+PCNHIKLVDVEELNYWTSKGEGEICVRGPNVFKGYLKDPERTKEALDDDGWLHTGDIGKW
+LPTGTLKIIDRKKHIFKLAQGEYVAPEMIENIYIRSEPVAQVYVHGDSLKAFLVGIVVPD
+AEVMPSWAQKRGIEGTYAELCTNKELKKAILEDMVRLGQESGLHSFEQVKAIHIHSDMFS
+VQNGLLTPTLKAKRPELREYFKKQIEELYSTSM
+>tr|F1MF04|F1MF04_BOVIN Seizure related 6 homolog like OS=Bos taurus OX=9913 GN=SEZ6L PE=4 SV=3
+MPAARPAAAGPGGIALLLALLLGSPAAAPAGDEPPEGDAGQSDTYLLPSEILGSSSLSKE
+NPEESVTTAPLNPVQSGDELREPELEGTVPFAPQDPAAVSTLLPLPEEPGTRHSFPARKK
+PPSLKQVNSARKQLRPKATPAAATQRTASQPASPGPVLSIAPGKPRPPGDQDPMASAGEE
+TRPLSLSSEEPLWLERKEGAVPTTPAPLQIAPFTSQPLVAHTLPRSPDPGAPAVPEEAPE
+APPEDASPVTVMDKGETELTGSASEESQETTTSTIITTTVITTEQAPALCSVSFSDPEGY
+IDSSDYPPLPLNNFLECTYNATVYTGYGVELQVKSVNLSEGELLSIRGVDGPSLTVLANH
+TLLVEGQVIRSPTNTISVYFRTFQDDGLGTFQLHYQAFMLSCSFPRRPDFGDVTVMDLHS
+GGEAHFHCHLGYELQGAKTLTCINASKPHWSSREPICSAPCGGAVHNATIGRVLSPSHSA
+NASGSQFCVWTIEAPEGQKLHLHFERLALHERDRMMVYSGLTNKSTLLYDSFQTENVPFE
+GLLSDGHTIRIEFTSNQPPAASAFNIRFEAFEKGHCYEPYIQNGNFTTSDPTYNIGTIVE
+FTCDPGHSLEQGPAIIECINVRDPYWNDTEPLCRAMCGGELSAVAGVVLSPNWPEPYVEG
+EDCVWKIHVGEEKRIFLDIQFLNLSNSDILTIYDGDEVMPHILGQYLGNSGPQKLYSSTP
+DLTIQFHSDPAGLIFGKGQGFIMNYIEVSRNDSCSDLPEIQNGWKTTSHTELVRGARITY
+QCDPGYDIVGSDTLTCQWDLSWSSDPPFCEKIMYCTDPGEVDHSTRLISDPVLLVGTTIQ
+YTCNPGFVLEGSSLLTCYSRETGTPIWTSRLPHCVSEESLACDNPGLPENGYQILYKRLY
+LPGESLTFMCYEGFELMGEVTIRCILGQPSHWNGPLPVCKVNQDSFEHALEEAAAETSLE
+GGNMALAIFIPVLIISLLLGGAYIYITRCRYYSNLRLPLMYSHPYSQITVETEFDNPIYE
+TGETREYEVSI
+>tr|A0A3Q1MFB8|A0A3Q1MFB8_BOVIN Laminin subunit alpha 2 OS=Bos taurus OX=9913 GN=LAMA2 PE=4 SV=1
+HGHIPLFLLSIFLGVGFGGHEEDLGLFPAVLNLASSALITTNATCGEKGPEMYCKLVEHV
+PGQPVRNPQCRICNQNSSNPYQRHPITNAIDGKNTWWQSPSIKNGIEYHYVTITLDLQQV
+FQIAYVIVKAANSPRPGNWILERSLDNVVYKPWQYHAVTDTECLTLYNIYPRTGPPSYAK
+DDEVICTSFYSKIHPLENGEIHISLINGRPSADDPSPELLEFTSARYIRLRFQRIRTLNA
+DLMMFAHKDPREIDPIVTRRYYYSVKDISVGGMCICYGHARACPLDPVTNKSRCECEHNT
+CGDSCDQCCPGFHQKPWRAGTFLTKSECEACNCHGKAEECYYDENVARRNRSLNIHGKYI
+GGGVCINCTRNTAGINCETCIDGFFRPKGVSPNYPRPCQPCHCDPVGSLNEVCVKDEKHA
+RRGLAPGSCHCKPGFRGVSCDRCARGYIGYPDCKPCNCSGAGSTNEDPCFGPCNCKENVE
+GGDCSRCKFGFFNLQEDNHKGCDECFCSGVSNRCQSSYWTYGNIQDMSGWYLTDISGHIR
+VAPQLDDLDPPQQISISSVEARQALPQSYFWSAPAPYLGNKLTAAGGQLMFTVSYDLEEA
+NEDAEHTLQLMVILEGKDLRISSAQEEVYLQPSEEHINVLSLKEESFTTHGTNFPVSRKE
+FMTVLVNLQRILIQITYSLGMDAIFRLSSVNLESAVPISPDGSFATAVEVCQCPPGYTGS
+SCESCWPRHRRVNGTIFGGLCEPCQCFGHAESCDDTTGECLNCKDHTDGPYCDKCLPGFY
+GDPTKGTSDDCQPCACPLNSPSNNFSPTCHMDRSLGLICDECPVGYSGQRCERCAEGYFG
+QPSVPGGSCQPCQCNDNLDFSIPGSCDSLSGSCLICKPGTTGRYCELCADGYFGDAVDAK
+NCQPCHCNANGSFSEVCHAQTGQCECKPNVQGRRCDECKPTMWWDPKKRICVSCGCSPMG
+SVTPWCDITGRCVCKSGFVGKRCNLSRQVHRQEEQPQRAQWVLGSPQRWGASGSSGCPRG
+AYRPAAPPETFGLQSARGCIPCNCNSFGSKSFDCEESGQCWCQPGVTGKKCDRCAHGYFN
+FQEGGCTACDCSHLGNNCDPKTGRCICPPNTIGEKCSKCAPRTWGHSITTGCKACNCSSV
+GSLDFQCDLNMGQCKCHPKFSGAKCTECTRGHWNYPHCSPCDCFLSGTDDSTCDLETKKC
+SCIDQTGQCTCKVNVEGIHCDRCRPGKFGLEAKNPLGCNSCYCFGATTQCSEAKGLIRTW
+VTLKPEQTILPLVDEALQHTTTKGIIFQHPEIVAHMDLVRQELHLEPFYWKLPEQFEGKK
+LMAYGGKLRYTIYFEAREETGFSTYNPQVIIRGGTPAHARIIIRHMAAPLIGQLTRHEIE
+MTEKEWKYYGDDPRISRTVTREDFLDVLYDIHYILIKATYGNIMRQSRISEISMEVAEPG
+RITAVTPPAHLIEKCDCPPGYSGLSCETCTPGFYRLRSEPGGRTPGATLGTCVPCQCHGH
+SNLCDPETSICQNCQHHTAGDFCERCALGYYGIVKGLPNDCQQCACPLISSSNNFSPSCI
+MEGLDDYRCTACPRGYEGKYCERCAPGYAGSPSSPGGSCQECECDPHGSLPVPCDPVMGI
+CTCRPGATGPKCDGCEQGHAREGMECVFCGDECTGLLLGDLARLEQMATSINLTGPLPAP
+YKILYGLENMTQELKNLLSPQRAPERLIQLAEGNLNMLVTEMNELLTRATKVTADGEQTG
+QDAERTNVRADSVGAFIKALAQDAEAVNEKAVKLNETLGTKDKAFERNLQELQNEIDQMM
+TELRRKNLDTQKEVAEDELVAAEGLLKKVKKLFGESRGKNEEMEKDLREKLADYESKVDD
+AWDLLREATDKIREANLLSAENQKNMTALEKKKEAIESGKRQTENTLKEGNDILDEASRL
+VDEINSVIVYVEDIQTKLPPMSKDLKDKIDDLSQKIKDRKLAEKVSQAESHAAQLNDSSA
+VLDGILDEAKNISFNATAAFNAYSNIKDYIDEAEKIAKEAKVLAHEATELVRNK
+>tr|A0A3Q1N3Z8|A0A3Q1N3Z8_BOVIN Platelet-derived growth factor receptor beta OS=Bos taurus OX=9913 GN=PDGFRB PE=3 SV=1
+MKDTMWLPSAMQAVVLKGRVLLLPLLFLLGPQASWGLAIIPPGPELVLNLSSTFVLTCSG
+PAPVVWERMSQKPPQEMTETQDGTFSSVLTLVNVTGLDTGEYFCGYKRSHGLEASERKRL
+YIFVPDPSVGFLPVDPEELFIFLTEITETTIPCRVTDPRLVVMLHEKKVDVPLPIAYDPQ
+RGFSGTFEDKTYICKTTIGDREVDSDAYHVYSLQVSSINVSVNAVQTVVRQGENITIMCI
+VTGNEVVNFEWTYPRMESGRLVEPVTDFLFEMPHIRSILHIPSAELGDSGTYICNVSESV
+SDHRDEKAINVTVVENGYVRLLGELDPVQFAELHRSRTLQVVFEAYPPPTVMWFKDNRTL
+GDSSAGEIVLSTRNVSETRYVSELTLVRVKVAEAGYYTMRAFHEDAEAQLSFQLQVNVPV
+RVLELSESHPANGEQTVRCRGRGMPQPHLTWSTCSDLKRCPRELPPTPLGNSSEEESQLE
+TNVTYWAEEQEFEVVSTLRLRHVDQPLSVRCTLHNLLGYDVQEVTVVPHSLPFKVVVISA
+ILALVVLTIISLIILIMLWQKKPRYEIRWKVIESVSSDGHEYIYVDPMQLPYDSTWELPR
+DQLVLGRTLGSGAFGQVVEATAHGLSHSQATMKVAVKMLKSTARSSEKQALMSELKIMSH
+LGPHLNVVNLLGACTKGGPIYIITEYCRYGDLVDYLHRNKHTFLQRCSDKRRPPSAELYS
+NALPVGLPLPSHVSLPGESDGGYMDMSKDESVDYVPMLDMKGDVKYADIESSNYMAPYDN
+YVPSAPERTCRVTLINESPVLSYTDLVGFSYQVANGMEFLASKNCVHRDLAARNVLICEG
+KLVKICDFGLARDIMRDSNYISKGSTFLPLKWMAPESIFNSLYTTLSDVWSFGILLWEIF
+TLGGTPYPELPMNEQFYNAIKRGYRMAQPAHASDEIYEIMQKCWEEKFEIRPPFSQLVLL
+LERLLGEGYKKKYQQVDEEFLRSDHPAILRSQARLPGFNGLRSPLDSSSVLYTAVQPNEG
+DNDYIIPLPDPKPEVADEGPLEGSPSLASSTLNEVNTSSTISCDSPLEPQEEPEPEPQPA
+SQVEPEPKWPPDSSCPGPRAEAEDSFL
+>tr|A0A3Q1M7Q5|A0A3Q1M7Q5_BOVIN Complement C1s subcomponent OS=Bos taurus OX=9913 GN=C1S PE=3 SV=1
+MCWCPSPLPSHLPALVHSYLGHPPLQLPLLLFFFRCIVLFSLVAWVYAEPTMYGEILSPN
+YPQVYPNEVEKSWDIEVPAGYGIHLYFTHLDIELSENCSYDSVQIMSGGHEEGKLCGRRT
+NKNSNSPVVKEFHIPYSKLQVIFRSDFSNEERFTGFAAYYVAEDIDECTAFADAPCSHFC
+NNFLGGYFCSCPPEYFLHEDKKNCGVNCSGNVFTTMTGEVESPNYPSPYPESSRCDYQIQ
+LEEGFRVVVTMRREDFDVEPADSEGHCPDSLLFVAGDQHFGPYCGNGFPGPLTIETQSSA
+LNIIFQTDGSEQRKGWKFRYHGDPIPCPKEVTANSFWEPERAKYVFRDVVKITCVDGFEV
+VQGSVGSPSFYSTCQSNGKWSNSKLRCQPVDCGAPEPIQHGRVEDPESTLFGSITRYSCE
+MPYYSMEYEGSVCGTPSEPFRSTQRIFGGSIAKIENFPWQVFFSNPWAGGALIDEYWVLT
+AAHVVEGNDIPVMYVGSSSVVTSQLSNAQMLTAERVFIHPGWEVLDPSITRKNFDNDIAL
+VRLRDPVKMGPKVAPICLPGTSSEYDPPENVLGLISGWGRTNVKSHVIKLRGAKLPVAPL
+SKCREMKGVNPGIDISSFVFTENMICAGNDKGVDSCDGDSGGAFAVQDPKENKPKFYVAG
+LVSWGPQCGTYGIYTRVKNYVDWIRKTMQEYSAPSVD
+>tr|F1N622|F1N622_BOVIN DnaJ homolog subfamily B member 6 OS=Bos taurus OX=9913 GN=DNAJB6 PE=4 SV=1
+MVDYYEVLGVQRHASAEDIKKAYRKLALKWHPDKNPENKEEAERKFKQVAEAYEVLSDAK
+KRDIYDRYGKEGLNGGGGGGSHFDSPFEFGFTFRNPEDVFREFFGGRDPFSFDFFGVLGD
+FHFQALRIYTREKKLLHGYYMYEVTMQPAGGFEEAASEELEPEEEAVAESASEEDSEALD
+VVSSEELDLFSDDEPSEGASLGGGEPPSEDLEFISSEDEASPGDPEELLEECEELLSEDS
+GPEPEALPLPRGRPAEQSL
+>tr|A0A3Q1N596|A0A3Q1N596_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=MAZ PE=4 SV=1
+MFPVFPCTLLAPPFPVLGLDSRGVGGLMNSFPPPQGHAQNPLQVGAELQSRFFASQGCAQ
+SPFQAAPAPPPTPQAPAAEPLQVDLLPVLAAAQESAAAAAAAAAAAAAAAVAAAPPAPAA
+ASTVDTAALKQPPAPPPPPPPVSAPAAEAAPPVSAATIAAAAATAVVAPTSTVAVAPVAS
+ALEKKTKSKGPYICALCAKEFKNGYNLRRHEAIHTGAKAGRVPSGAMKMPTMVPLSLLSV
+PQLSGAGGGGGEAGAGGGAAAVAAGGVVTTTASGKRIRKNHACEMCGKAFRDVYHLNRHK
+LSHSDEKPYQCPVCQQRFKRKDRMSYHVRSHDGAVHKPYNCSHCGKSFSRPDHLNSHVRQ
+VHSTERPFKCEKCEAAFATKDRLRAHTVRHEEKVPCHVCGKMLSSAYISDHMKVHSQGPH
+HVCELCNKGTCEVCPMAAAAAAAAAAAAAVAAPPTGVGSLSGAEGVPVSSQPLPSQPW
+>tr|A0A3Q1MIX4|A0A3Q1MIX4_BOVIN Pyruvate carboxylase OS=Bos taurus OX=9913 GN=PC PE=1 SV=1
+MLKFQTVRGSLRLLAIRRTSTATAASPNVRRLEYKPIKKVMVANRGEIAIRVFRACTELG
+IRTVAVYSEQDTGQMHRQKADEAYLIGRGLAPVQAYLHIPDIIKVAKENNVDAVHPGYGF
+LSERADFAQACQDAGVRFIGPSPEVVRKMGDKVEARAIAIAAGVPVVPGTDAPITSLHEA
+HEFSNTYGFPIIFKAAYGGGGRGMRVVHSYEELEENYTRAYSEALAAFGNGALFVEKFIE
+KPRHIEVQILGDQYGNILHLYERDCSIQRRHQKVVEIAPAAHLDPQLRTRLTSDSVKLAK
+QVGYENAGTVEFLVDRHGKHYFIEVNSRLQVEHTVTEEITDVDLVHAQIHVAEGRSLPDL
+GLRQENIRINGCAIQCRVTTEDPARSFQPDTGRIEVFRSGEGMGIRLDNASAFQGAVISP
+HYDSLLVKVIAHGKDHPTAATKMSRALAEFRVRGVKTNIPFLQNVLNNQQFLAGTVDTQF
+IDENPELFQLRPAQNRAQKLLHYLGHVMVNGPTTPIPVKASPSPTDPIVPVVPIGATFDV
+AMRFLYECPWRRLQELRELVPNIPFQMLLRGANAVGYTNYPDNVVFKFCEVAKENGMDIF
+RVFDSLNYLPNLLLGMEAAGSAGGVVEAAISYTGDVSDPSRTKYSLQYYMGLAEELVRAG
+THILCIKDMAGLLKPTACTMLVSSLRDRFPDLPLHIHTHDTSGAGVAAMLACAHAGADVV
+DVAADSMSGMTSQPSMGALVACTRGTPLDTGVPLERVFDYSEYWEGARGLYAAFDCTATM
+KSGNSDVYENEIPGGQYTNLHFQAHSMGLGSKFKEVKKAYVEANQMLGDLIKVTPSSKIV
+GDLAQFMVQNGLTRAEAEAQAEELSFPRSVVEFLQGYIGIPHGGFPEPLRSKVLKDLPRV
+EGRPGASLPPLDLQALEKELTERHGEEVTPEDVLSAAMYPDVFAHFKDFTATFGPLDSLN
+TRLFLQGPKIAEEFEVELERGKTLHIKALAISDLNRAGQRQVFFELNGQLRSILVKDTQA
+MKEMHFHPKALKDVKGQIGAPMPGKVIDIKVAAGAKVTKGQPLCVLSAMKMETVVTSPVE
+GTVRKVHVTKDMTLEGDDLILEIE
+>tr|F1MS55|F1MS55_BOVIN Rho guanine nucleotide exchange factor 10-like protein OS=Bos taurus OX=9913 GN=ARHGEF10L PE=4 SV=2
+MTPQWVENRLKVTNLASGPASGSPPEFSITGPRVQGAQEGLPGGHWAERRGNQGRSGFKP
+GSPLSAGDRLLPGGPGPSPEAEDDPGEGFEFDDSDDDEDTNAGPDVPRSALETEADTPLI
+HLDSAPVIGKVLWEPDVFTAQGLRPAAVSNGDAVDAAFSEVQRSGWRRKSSRRIERFTFP
+AVEEDVIYDDVPCENLDAQQPEAERNQPYEDARQDRAPQEPEDLGWSSSEFESYSEDSGE
+EAKPEAEPTKHRVSFQPKMTQLMKAAKSGTKDGLEKTRIAVMRKVSFLHRKDVLGDSEEE
+DMGLLEVSVSDIKPPAPELGPMPAGLTPQQVVRRHILGSIVQSEGSYVDSLKRVLQDYRN
+PLMEMEPKALSARKCRAVFFRVKEILHCHSMFQIALSSRVAEWDSTEKIGDLFVASFSKS
+MVLDVYSDYVNNFTNAMSIIKKACLTKPAFLEFLKRRQVCSPDRVTLYGLMVKPIQRFPQ
+FILLLQDMLKNTPRGHPDRLSLQLALTELETLAEKLNEQKRLADQVAEIQQLTKSVSDRS
+SLNKLLTSGQRQLLLCETLTETVYGDRGQLIKSKERRVFLLNDMLVCANINFKGQLEISS
+LAPLGPKYVVKWSTALPQVQVVEVGQEGGPYDKDNALIQHAGAKKASAAGQAQNKVYLGP
+PRLFQELQDLQKDLAVVEQITLLVSTLHGTYQNLNMTVAQDWCLALQRLMRVKEEEIHSA
+NKCRLRLLLPGKPDKSGRPISFMVVFITPNPLSKISWVNRLHLAKIGLREENQPGWLCPD
+EDKQSRAPFWCPILACCVPAFSFRGLSLQLGALVHSPVSCPLLGFSAVSTSLPQGYLWVG
+GGQEGAGGQVEIFSLNRPSPRTVKSFPLAAPVLCMEYIPEPEEGDGRNGDKGCPAADASA
+GVHPTICLGLQDGSILLYSSVDTGTQCLAACRSPGLQPVLCLRHSPFHLLAGLQDGTLAA
+YPRTSGSVPWDLESPPMCLPVGPGPVRALLSLEEAVWASCGPRVTVLDATSLQTQQSFEA
+HQDEAVSVTHMVKAGSGVWMAFSSGSSIRLFHTETLEHLQEINIATRTTFLLPGETLLSR
+VGGCGQGNRHRGGKGMVSLNGHCGPVAFLAVATSILAPDILRSDQEEAEGQQAEEDKPDG
+PAPEPAPVPASHVGGELIRKKGILLQYRLRSTSHLPGPLLSVREPEPADGSALEHSEEDG
+SIYEMVDDPDVWVHNRPCARDAHRKEICSVAIISGGRGYRNFGSAAGSPGKPAPCGETDS
+TLLIWQAPLTL
+>tr|F1MU06|F1MU06_BOVIN Annexin OS=Bos taurus OX=9913 GN=ANXA9 PE=3 SV=1
+MSVTHGKMGLSLTQEILSHLGLANKTAAWGTLGTLRTFLSFSADKDVQRLLKAIAGQGVD
+RIAILDVLTNRSREQRQLISRAFHERTQQDLLKSLQAALSGNLERIVVALLQPAAHLDAR
+ELRTALKGSGSAEDVALEILATRTPPQLQECLAVYKHNFQVDAAEDIKSETRGILRDLLL
+ALAKGGREAYTGIIDYNLAAQDIQALKQAEGPSTERTWVLVFTQRNPEHLVRVLNQYQWY
+TGHELEKTVRARFHGAACVALLNLASVIRNTPLYFADKLHQALQETEPNYQALMRILISR
+SETDLLSIRAEFRKKFGKSLYSSLQDAVKGDCRSALLALCRAEDL
+>tr|A0A3Q1MHY8|A0A3Q1MHY8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC504548 PE=4 SV=1
+MPATLLVTVHSEKWKPMTFPAHPGDRVNKINERVRSRTKVPVPDQVLQLGSKTLKPKRTL
+SSYGIDKEKSIHLTLKVVKPSDEELPLVLVKPSDEELPLVLVEPGEGGQRHELQVRRSSS
+VAQVKEMIKMKTAIPPKNQIVNCNGKKLEDGKIMGDYGIKKGHLLFMTYPCIGGGHSVKE
+MVGEAKDWGWIGEED
+>tr|A0A3Q1MKB2|A0A3Q1MKB2_BOVIN NCK associated protein 1 OS=Bos taurus OX=9913 GN=NCKAP1 PE=4 SV=1
+MSRSVLQPSQQKLAEKLTILNDRGVGMLTRLYNIKKACGDPKAKPSYLIDKNLESAVKFI
+VRKFPCILILFSQQQLAQLQKEKSEILKNLALYYFTFVDVMEFKVRILIHTTVNFDLTKN
+YLDLIITYTTLMILLSRIEERKAIIGLYNYAHEMTHGASDREYPRLGQMIVDYENPLKKM
+MEEFVPHSKSLSDALISLQMVYPRRNLSADQWRNAQLLSLISAPSTMLNPAQSDTMPCEY
+LSLDAMEKWIIFGFILCHGILNTDATALNLWKLALQSSSCLSLFRDEVFHIHKAAEDLFV
+NIRGYNKRINDIRECKEAAVSHAGSMHRERRKFLRSALKELATVLSDQPGLLGPKALFVF
+MALSFARDEIIWLLRHADNMPKKSADDFIDKHIAELIFYMEELRAHVRKYGPVMQRYYVQ
+YLSGFDAVVLNELVQNLSVCPEDESIIMSSFVNTMTSLSVKQVEDGEVFDFRGMRLDWFR
+LQAYTSVSKASLSLSDHRELGKMMNTIIFHTKMVDSLVEMLVETSDLSIFCFYSRAFEKM
+FQQCLELPSQSRYSIAFPLLCTHFMSCTHELCPEERHHIGDRSLSLCNMFLDEMAKQARN
+LITDICTEQCTLSDQLLPKHCAKTISQAVNKKSKKQTGKKGEPEREKPGVESMRKNRLVV
+TNLDKLHTALSELCFSINYVPNMVVWEHTFTPREYLTSHLEIRFTKSIVGMTMYNQATQE
+IAKPSELLTSVRAYMTVLQSIENYVQIDITRVFNNVLLQQTQHLDSHGEPTITSLYTNCN
+VYLNKFLIKFSISNGHIAYFPAMKAFVNLPTENELTFNAEEYSDISEMRSLSELLGPYGM
+KFLSESLMWHISSQVAELKKLVVENVDVLTQMRTSFDKPDQMAALFKRLSSVDSVLKRMT
+IIGVILSFRSLAQEALRDVLSYHIPFLVSSIEDFKDHIPRETDMKVAMNVYELSSAAGLP
+CEIDPALVVALSSQKSEEEYKIACLLMVFVAVSLPTLASNVMSQYSPAIEGHCNNIHCLA
+KAINQIAAALFTIHKGSIEDRLKEFLALASSSLLKIGQETDKTTTRNRESVYLLLDMIVQ
+ESPFLTMDLLESCFPYVLLRNAYHAVYKQSVTSSA
+>tr|A0A3Q1LLW3|A0A3Q1LLW3_BOVIN Integrin subunit alpha E OS=Bos taurus OX=9913 GN=ITGAE PE=3 SV=1
+MQHVLDNIFTPNQGSRAKASKVMVVLTDGEIFQDPLNLTTVINSPKMHGVERFAIGVGEA
+FNKSKAYHELKLIASDPDEDHAFKVTNYMALDGLLNKLQESIIRVEGTVGDALHYQLAQV
+GFSAQILDKGQVLLGTVGAFDWSGGALLYDTHRCNGIFLNQTAVDTKSAQYGYLGYSVAV
+LHKAHGLSYVAGAPRHKQQGAVFELQKVGRETNNFMPVLEGEQLGSYFGSELCPVDVDMD
+GITDLLLVAAPFYHIQGEEGRVYMYRVNKQDGSFSLARRLSGHPRLTYARFGFAMATVGD
+ISQDGLTDVAIGAPLEDFEADNGAGFGSVYIYNGRSTNQLADLSATQPQRIRASAVAPGL
+QYFGTSVAGGLDFNGDGLADITVGALGRAAVLRSRPVVHLKVSMNFTPKALPIGFSSSVN
+VCLCFEINSTTLAAESGLGETSLNFTLDVDVVKQRKRLQHSDKRMDQSSLREWGRGPLLC
+ESFLLIPTDGDLCQEDCFSNITIKVSYHLQTPEGRMDQPQPILDFYAEPSAIFQLPYEKN
+CKNKLFCVAELQLATTISQQELVVGLTKELTMNISLTNSGEDSYMTSMAVNFPRNLQFKR
+IQKPPSPDIQCGDPKPIASVLVMKCKIGHPILKRSSANFSLVWQLEESAFPNRTANITVM
+VTNSNERRSLVNKTHSLQIKHAFIAVLPKPSVMYMNTSQGSSHHKEFLFNIHGENLFGAE
+FQLQICVPVKLQGFQFLTVTNLTKIQSVRLGLCCGTASLLLVDSSVVWFPKPAL
+>tr|A0A452DI97|A0A452DI97_BOVIN Myosin light chain 3 OS=Bos taurus OX=9913 GN=MYL3 PE=4 SV=1
+MSFYGPVPIVPCRGGAGVMRCPGGIKAGCRRLTYPVLGFLLYTPASVLTASMAPKKPDPK
+KDEAKAGAKAAAAPAPAPAPPPAPEPSKEPEFDPSKIKIEFTPEQIEEFKEAFTLFDRTP
+KCEMKITYGQCGDVLRALGQNPTQAEVLRVLGKPKQEELNSKMMDFDTFLPMLQHISKNK
+DTGTYEDFVEGLRVFDKEGNGTVMGAELRHVLATLGEKLTEDEVEKLMAGQEDSNGCINY
+EAFVKHIMAG
+>tr|F1MS91|F1MS91_BOVIN KRAB domain-containing protein OS=Bos taurus OX=9913 GN=LOC787554 PE=4 SV=3
+MSKVQGSVSFEDVAVGFSQEEWQILGPSQKALYWDVMLENYCNLVSVGYQAPTPNSLFQL
+EQGGPPWTVEGAALSPTCSGYQATKPVVILTFEPREEPWKVEDEMQHQYFSVIVCA
+>tr|A0A452DHY9|A0A452DHY9_BOVIN PHD finger protein 10 OS=Bos taurus OX=9913 GN=PHF10 PE=4 SV=1
+MGSGDSSRSCDTSSQDLGFSYYPAENLIEYKWPPDETGEYYMLQEQVSEYLGVTSFKRKY
+PDLERRDLSHKEKLYLRELNVITETQCTLGLTALRSDEVIDLMIKEYPAKHAEYSVILQE
+KERQRITDHYKEYSQMQQQNTQKVEASKVPEYIKKAAKKAAEFNSNLNRERMEERRAYFD
+LQTHVIQVPQGKYKVLPTERTKVSSYPVALIPGQFQEYYKRYSPDELRYLPLNTALYEPP
+LDPELPALESDGDSDDAEDGRGDEKGKSKGTSDSSSGNVSEGEGLPEGQEEPLPGRQRPR
+DKAAAPRKDAPKRSALSKAVPGHKPKVIPNALCGICLKGKESSRRGKAEPLVHCSQCDNS
+GHPSCLDMTMELVSMIKTYPWQCMECKTCIICGQPHHEEEMMFCDVCDRGYHTFCVGLGA
+IPSGRWICDCCQRAPPTPRKVGRRGKNSKEG
+>tr|A0A3Q1MMA5|A0A3Q1MMA5_BOVIN Unc-13 homolog B OS=Bos taurus OX=9913 GN=UNC13B PE=4 SV=1
+MTVKRAKFQGSPDKFNTYVTLKVQNVKSTTVAVRGDQPSWEQDFMFEISRLDLGLSVEVW
+NKGLIWDTMVGTVWIALKTIRQSDEEGPGEWSTLEAETLMKDDEICGTKNPTPHKILLDT
+RFELPFDIPEEEARYWTYRLEQMNALGPDNEYSSQEESQRKQLPTAAAQCSFEDPDSAVD
+DRDSDYRSETSNSIPPPYHTTAQPNASVHQFPVPVRLPQQLLLQGSSRDSCNDSVQSYDL
+EYPERRALSPTSSSRYGSSCNVSQGSSQLSELDQCHEQDDDRRERDSIHSCHSSSSLSRD
+GQVGFGEQEKALEVTGEEEKRGVCEPKEMKEDAMAHPPPDMVLHKDLVRSPQESFPEETA
+SSPFTQARAHWIRAVTKVRLQLQEIPDGGDPCMPQWLPEGPAGGLYGIDSMPDLRRKKPL
+PLVSDLSLVQSRKAGITSAMATRTSLKDEELKSHVYKKTLQALIYPISCTTPHNFEVWSA
+TTPTYCYECEGLLWGIARQGMRCSECGVKCHEKCQDLLNADCLQRAAEKSSKHGAEDRTQ
+NIIMAMKDRMKIRERNKPEIFEVIRDVFNVSKVAHVQQMKTVKQSVLDGTSKWSAKITIT
+VVCAQGLQAKDKTGSSDPYVTVQVGKTKKRTKTIFGNLNPVWEEKFHFECHNSSDRIKVR
+VWDEDDDIKSRVKQRLKRESDDFLGQTIIEVRTLSGEMDVWYNLEKRTDKSAVSGAIRLQ
+ISVEIKGEEKVAPYHVQYTCLHENLFHYLTDIQGSGGVQIPEARGDDAWKVYFDETAQEI
+VDEFAMRYGIESIYQAMTHFACLSSKYMCPGVPAVMSTLLANINAYYAHTTASTNVSASD
+RFAASNFGKERFVKLLDQLHNSLRIDLSTYRNNFPAGSPERLQDLKSTVDLLTSITFFRM
+KVQELQSPPRASQVVKDCVKACLNSTYEYIFNNCHDLYSRQYQLNQELPPEEQGPSIRNL
+DFWPKLITLIVSIIEEDKNSYTLVLNQFPQELNVGKVSAEVMWQLFAQDMKYALEEHEKD
+RLCKSADYMNLHFKVKWLHNEYVQDLPALQGQVPEYPAWFEQFVLQWLDENEDVSLEFLR
+GALERDKKDGFQQTSEHALFSCSVVDVFTQLNQSFEIIRKLECPDPNILAHYMRRFSKTI
+GKVLMEYADILSKNFPAYCTKEKMPCILMNNMQQLRVQLEKMFEAMGGKELDPETADSLK
+ELQVKLNTVLDELSMVFGNSFQVRIDECVRQMADILSQVRGPGNASPNARASVTQDADSV
+LRPLMDFLDGNLTLFATVCEKTVLKRVLKELWRVVMNTMERMIVLPPLTDQTGTQLIFTA
+AKELSQLSKLKDHMVREETRNLTPKQCAVLDLALDTIKQYFHAGGNGLKKTFLEKSPDLQ
+SLRYALSLYTQTTDTLIKTFVRSQTAQVHDGKGIRFTANEDIQPEKGSGVDDPVGEVSIQ
+VDLFTHPGTGEHKVTVKVVAANDLKWQTAGMFRPFVEVTMVGPHQSDKKRKFTTKSKSNN
+WAPKYNETFHFLLGNEEGPEAYELQICVKDYCFAREDHVLGLAVMPLRDVAAKGSCACWC
+PLGRKIHMDETGVTILRILSQRSNDEVAREFVKLKSESRSMEEGS
+>tr|G5E5R1|G5E5R1_BOVIN G protein-coupled receptor 174 OS=Bos taurus OX=9913 GN=GPR174 PE=4 SV=1
+MTANDTCAEINRDNTDSRYFIYAVTYTVILVPGLIGNILALWVFYGYMKETKRAVIFMIN
+LAIADLLQVLSLPLRIFYYLNHDWPFGSGLCMFCFYLKYVNMYASIYFLVCISVRRFWFL
+LYPFRFHDCKQKYDLYISISGWLIICLACLLFPLLRSNDDTPSHRTKCFVDLPTRNVNLA
+QSVVMMTIGELIGFITPLLIVLFCTWKTVLSLQDKYPVAQDLGEKKKALKMILICAGVFL
+ICFAPYHFSFPLDFLVKSNEIKSCLARRVILIFHSVALCLASLNSCLDPIIYYFTTDEFR
+RRLSRQELHDNIKLHAKSLVSNHTTSIVSTELC
+>tr|E1BPS1|E1BPS1_BOVIN Bromodomain and PHD finger containing 1 OS=Bos taurus OX=9913 GN=BRPF1 PE=4 SV=2
+MGVDFDVKTFCHNLRATKPPYECPVETCRKVYKSYSGIEYHLYHYDHDNPPPPQQTPLRK
+HKKKGRQSRPANKQSPSPSEVSQSPSREVMSYAQAQRMVEVDLHGRVHRISIFDNLDVVS
+EDEEAPEEAPENGSNKENTETPAATPKSGKHKNKEKRKDSNHHHHHNASASTTPKLPEVV
+YRELEQDTPDAPPRPTSYYRYIEKSAEELDEEVEYDMDEEDYIWLDIMNERRKTEGVSPI
+PQEIFEYLMDRLEKESYFESHNKGDPNALVDEDAVCCICNDGECQNSNVILFCDMCNLAV
+HQECYGVPYIPEGQWLCRRCLQSPSRAVDCALCPNKGGAFKQTDDGRWAHVVCALWIPEV
+CFANTVFLEPIDSIEHIPPARWKLTCYICKQRGSGACIQCHKANCYTAFHVTCAQQAGLY
+MKMEPVRETGANGTSFSVRKTAYCDIHTPPGSARRLPALSHSEGEEDEEEEEDEGKSWSS
+EKVKKAKAKSRIKMKKARKILAEKRAAAPVVSVPCIPPHRLSKITNRLTIQRKSQFMQRL
+HSYWTLKRQSRNGVPLLRRLQTHLQSQRNCDQVGRDSEDKNWALKEQLKSWQRLRHDLER
+ARLLVELIRKREKLKRETIKVQQIAMEMQLTPFLILLRKTLEQLQEKDTGNIFSEPVPLS
+EVTELDEVPDYLDHIKKPMDFFTMKQNLEAYRYLNFDDFEEDFNLIVSNCLKYNAKDTIF
+YRAAVRLREQGGAVLRQARRQAEKMGIDFETGMHIPPSVTGDEAPHHTEDAEEERLVLLE
+NQKHLPVEEQLKLLLERLDEVNASKQSVGRSRRAKMIKKEMTALRRKLAHQRETGRDGPE
+RHGPSSRGGMTPHPAACDKDGQTDSAAEESSSQETSKGLGPNMSSTPALEVGRRTSVLFS
+KKNPKTAGPPKRPGRPPKNRESQMTPSHGGSPVGPPQLPIMGSLRQRKRGRSPRPSSSSD
+SDSDKSTEDPPMDLPANGFSGGNQPVKKSFLVYRNDCSLPRSSSDSESSSSSSSSAASDR
+TSTTPSKQGRGKPSFSRGTFPEDSSEDTSGTENEAYSVGTGRGVGHSIVRKSLGRGAGWL
+SEDEDSPLDALDLVWAKCRGYPSYPALIIDPKMPREGMFHHGVPIPVPPLEVLKLGEQMT
+QEAREHLYLVLFFDNKRTWQWLPRTKLVPLGVNQDLDKEKMLEGRKSNIRKSVQIAYHRA
+LQHRSKVQGEQSSETSDSD
+>tr|F1N2U4|F1N2U4_BOVIN Calcium/calmodulin dependent protein kinase IG OS=Bos taurus OX=9913 GN=CAMK1G PE=4 SV=2
+MAGQLLVLESDQPMKRKISEKGHGSQEGSLLASPEASTLEAMGRKEEDDCSSWKKQTTNI
+RKTFIFMEVLGSGAFSEVFLVKQRVTGKLFALKCIKKSPAFRDSSLENEIAVLKKIKHEN
+IVTLEDIYESTTHYYLVMQLVSGGELFDRILERGVYTEKDASLVIQQVLSAVKYLHENGI
+VHRDLKPENLLYLTPEENSKIMITDFGLSKMEQNGVMSTACGTPGYVAPEVLAQKPYSKA
+VDCWSIGVITYILLCGYPPFYEETESKLFEKIKEGYYEFESPFWDDISESAKDFICHLLE
+KDPNERYTCEKALRHPWINGNTALHRDIYPSVSLQIQKNFAKSKWRQAFNAAAVVHHMRK
+LHMNLQSPGVRPEAENRPPIPAASRPTSPEITITETATAPGPSAAAPTLTRLPCRHGPQP
+TAPGGRSLNCLVNGSLRISSSLVPMQQGPLAIGPCGCCSSCTNVGTKANASYCSEPTLLK
+KANKKQNFKSEVMVPVKAGGSSHCRVGQTGVCLIM
+>tr|A0A3Q1M430|A0A3Q1M430_BOVIN Troponin T, slow skeletal muscle OS=Bos taurus OX=9913 GN=TNNT1 PE=4 SV=1
+PPPAPARGRSPPRPLTPSLILRPLPAPEEPEPAAEPGNPFPFCPRSRPVVPPLIPPKIPE
+GERVDFDDIHRKRMEKDLLELQTLIDVHFEQRKKEEEELVALKERIERRRAERAEQQRFR
+TEKERERQAKLAEEKMRKEEEEAKKRAEDDAKKKKVLSNMGAHFGGYLVKAEQKRGKRQT
+GREMKLRILSERKKPLNIDHMGEEQLREKAQELSDWIHQLESEKFDLMAKLKQQKYEINV
+LYNRISHAQKFRKGAGKGRVGGRWK
+>tr|M0QW24|M0QW24_BOVIN Peptidase A1 domain-containing protein OS=Bos taurus OX=9913 GN=PAG3 PE=3 SV=1
+MKWLVLLGLVAFSECIVKIPLRRVKTMRNTVSGKNILNNILKEHVYRLSQISFRGSNLTT
+HPLRNIKDLIYVGNITIGTPPQEFQVVFDTGSSDFWVPSDFCTSRACSTHVRFRHLQSST
+FRLTNKTFRITYGSGRMKGVVAHDTVRIGDLVSTDQPFGLSVEEYGFEGRAYYDGVLGLN
+YPNISFSGAIPIFDNLKNQGAISEPVFAFYLSKDEQEGSVVMFGGVDHRYYEGELNWVPL
+IEAGDWIVHMDRISMKRKIIACSGSCEAIVDTGTSAIEGPRKLVNKIHKLIGARPRHSKY
+YISCSAVNTLPSIIFTINGINYPVPGRAYVLKDSRGRCYSMFQENKVSSSTETWILGDVF
+LRVYFSVFDRGNDRIGLARAV
+>tr|A0A3Q1MEV1|A0A3Q1MEV1_BOVIN 5'-AMP-activated protein kinase subunit gamma-3 OS=Bos taurus OX=9913 GN=PRKAG3 PE=4 SV=1
+MSFLEQGDSTSWPSPAMTTSAEISLGEQRTKVSRWKSQEDVEERELPGLEGGPQSRAAAE
+STGLEATFPKATPLAQATPLSAVGTPTTERDSLPADCTASASSSSTDDLDQGIEFSAPAA
+WGDELGLVEERPAQCPSPQVPVLRLGWDDELRKPGAQVYMHFMQEHTCYDAMATSSKLVI
+FDTMLQIKKAFFALVANGVRAAPLWDSKKQSFVGMLTITDFILVLHRYYRSPLVQIYEIE
+EHKIETWREIYLQGCFKPLVSISPSDSLFEAVYTLIKNRIHRLPVLDPVSGAVLHILTHK
+RLLKFLHIFQRTLLPRPSFLYRTIQDLGIGTFRDLAVVLETAPILTALDIFVDRRVSALP
+VINEAGQVVGLYSRFDVIHLAAQQTYNHLDISVGEALRRRTLCLEGVLSCQPHETLGEVI
+DRIAREQVHRLVLVDETQHLLGVVSLSDILQALVLSPAGIDALGA
+>tr|A0A3Q1LQJ4|A0A3Q1LQJ4_BOVIN Family with sequence similarity 168 member A OS=Bos taurus OX=9913 GN=FAM168A PE=4 SV=1
+MNPVYSPVQPGAPYGNPKNMAYTGYPTAYPAAAPAYNPSLYPTNSPSYAPATLLMKQAWP
+QNSSSCGTEGTFHLPVDTGTENRTYQASSAAFRYTAGTPYKVPPTQSNTAPPPYSPSPNP
+YQTAMYPIRSAYPQQNLYAQGAYYTQPVYAAQPHVIHHTTVVQPNSIPSAIYPAPVAAPR
+TNGVAMGMVAGTTMAMSAGTLLTAPQHTAIGAHPVSMPTYRAQGTPAYSYVPPHW
+>tr|E1BEL0|E1BEL0_BOVIN Even-skipped homeobox 1 OS=Bos taurus OX=9913 GN=EVX1 PE=4 SV=1
+MESRKDMVMFLDGGQLGTLVGKRVSNLSEAVGSPLPEPPEKMVPRGCLSPRAGPPAARER
+GGGGLEEEPVDGLAGSAAGPGAESRAAGAAVLGPGPPAASADSLSGQGQPSSSDTESDFY
+EEIEVSCTPDCATGNAEYQHSKGPGSEALASSPNGSSETSKSNGSGGSQGTLACSASDQM
+RRYRTAFTREQIARLEKEFYRENYVSRPRRCELAAALNLPETTIKVWFQNRRMKDKRQRL
+AMTWPHPADPAFYTYMMSHAAAAGGLPYPFPSHLPLPYYSPVGLGAASAASAAASPFSGP
+LRPLDTFRVLSQPYPRPELLCAFRHPPLYPGPAHGLGTAAGGPCSCLACHGGPANGLAPR
+AAAASDFTCASTSRSDSFLSFAPSVLSKASSVALDQREEVPLTR
+>tr|F1MVF1|F1MVF1_BOVIN Calcium/calmodulin dependent protein kinase II gamma OS=Bos taurus OX=9913 GN=CAMK2G PE=3 SV=3
+MATTATCTRFTDDYQLFEELGKGAFSVVRRCVKKTSTQEYAAKIINTKKLSARDHQKLER
+EARICRLLKHPNIVRLHDSISEEGFHYLVFDLVTGGELFEDIVAREYYSEADASHCIHQI
+LESVNHIHQHDIVHRDLKPENLLLASKCKGAAVKLADFGLAIEVQGEQQAWFGFAGTPGY
+LSPEVLRKDPYGKPVDIWACGVILYILLVGYPPFWDEDQHKLYQQIKAGAYDFPSPEWDT
+VTPEAKNLINQMLTINPAKRITADQALKHPWVCQRSTVASMMHRQETVECLRKFNARRKL
+KVSCASWARLPKLVLFGPQGSTESCNTTTEDEDLKVRKQEIIKITEQLIEAINNGDFEAY
+TLGGKCPSPGLTPSYLPHPALPTTVLSKNSKPIHTTILNPHVHVIGEDAACIAYIRLTQY
+IDGQGRPRTSQSEETRVWHRRDGKWLNVHYHCSGAPAAPLQ
+>tr|A0A0A0MP91|A0A0A0MP91_BOVIN Complement C2 OS=Bos taurus OX=9913 GN=C2 PE=3 SV=1
+MDPLMAVLCLLPLYPGLATAALSCPKNVNISGGSFTLSNGWNPGSILTYSCPLGHYPYPV
+VTRLCKSNGQWQIPRSTRSTKAICKPVRCPAPVSFENGVYIPRLGSHPVGGNLSFECEDG
+FTLRGSAVRQCRPNGMWDGETAVCDNGASHCPNPGISVGAVRTGSRFGLGDKVRYRCSSN
+LVLTGSAERECQDDGVWSGTEAICRQPYSYDFPEDVAPALGTSFSHLLATTNPIQQKKKQ
+NLGRKIQIQRSGHLNLYLLLDASQSVSKDDFEIFKDSASRMVDRIFSFEIKVSVAIITFA
+SKPKIIMSVLEDRSRDVTEVENSLRNINYKDHENGTGTNIYEALHAVYIMMNNQMNRPHM
+NPGAWQEIRHAIILLTDGKSNMGGSPKVAVDNIKEVLNINQKRKDYLDIYAIGVGSLHVD
+WKELNNLGSKKDGERHAFILKDVQALSQVFEHMLDVSQLTDPICGVGNMSANASAQERTP
+WHVTIKPKSQETCRGALISDQWVLTAAHCFRNAEDRTLWRVSVGDPNFQGSKEFQIEEAV
+ISPGFNVFSKKSQGIPEFYGDDIALLKLTQKVKMSTHARPICLPCTVGANLALRKLPGST
+CRDHEKELLNQVSIPAHFVALNGDKLNINLKTGSEWTNCVKVVLEDKTTFPNLTDVREVV
+TDQFLCSGTQGDDSPCKGESGGAVFLERRLRFFQVGLVSWGLYNPCGGSSKNSRKPAPHG
+KVPRDFHINLFRLQPWLRQHLEGILNFVPL
+>tr|A0A3Q1MGX6|A0A3Q1MGX6_BOVIN AKT interacting protein OS=Bos taurus OX=9913 GN=AKTIP PE=4 SV=1
+MNPFWSMSTSSVRKRSDGEEKTLTGDVKTSPPRTAPKKQLPSIPKNALPITKPTSPAPAT
+QSTNGTHASYGPFYLEYSLLAEFTLVVKQKLPGVYVQPSYRSALMWFGVIFIRHGLYQDG
+VFKFTVYIPDNYPDGDCPRLVFDVPVFHPLVDPASGELDVKRAFAKWRRNHNHIWQVLMY
+ARRVFYKIDTASPLNPEAAVLYEKDIQLFKSKVVDSVKVCTARLFDQPKIEDPYAISFSP
+WNPSVHDEAREKMLTQKKPEEQHNKSVHVAGLSWVKPGSVQPFSKEEKTVAT
+>tr|A0A3Q1LIN6|A0A3Q1LIN6_BOVIN DAB1, reelin adaptor protein OS=Bos taurus OX=9913 GN=DAB1 PE=4 SV=1
+MSTETELQVAVKTSAKKDSRKKGQDRSEATLIKRFKGEGVRYKAKLIGIDEVSAARGDKL
+CQDSMMKLKGVVAGARSKGEHKQKIFLTISFGGIKIFDEKTGALQHHHAVHEISYIAKDI
+TDHRAFGYVCGKEGNHRFVAIKTAQAAEPVILDLRDLFQLIYELKQREELEKKAQKDKQC
+EQAVYQTILEEDVEDPVYQYIVFEAGHEPIRDPETEENIYQVPTSQKKEGVYDVPKSQPV
+SAVTQLELFGDMSTPPDITSPPTPATPGDAFIPSSSQTLPASADVCGSLPFGTAAVPSGY
+VAMGAVLPSFWGQQPLVQQQIAMGAQPPVAQVMPGAQPIAWGQPGLFPAAQQPWPAVAGQ
+FPPAAFMPTQTVMPLPAAMFQGPLTPLATIPATGDSARSSPQTDKPRQKMGKEMFKDFQM
+AQPPPVPSRKPDQPSLTCTSEAFSSYFNKVGVAQDTDDCDDFDISQLNLTPVTSTTPSTN
+SPPTPAPRQSSPSKSSASHASDPTADDIFEEGFESPSKSEEQEAPDGSQASSNSDPFGEP
+SGEPSGDNISPQAGS
+>tr|A0A3Q1MBR5|A0A3Q1MBR5_BOVIN RAP1 GTPase activating protein 2 OS=Bos taurus OX=9913 GN=RAP1GAP2 PE=4 SV=1
+MESQPLGHQGASSFLVCDFPPCLLFLSFHKRFWGEMNQITCTKQELANSSDVTLPDRPLS
+PPLTAPPTMKSAEFFEMLEKMQGIKLEEQKPGPQKNKDDYIPYPSIDEVVEKGGPYPLIV
+LPQFGGYWIEDPENVSTPTSLGSSICEDEEEDSLSPSTFGYKLECKGEARAYRRHFLGKD
+HLNFYCTGSSLGNLLLSVKCEEAEGVEYLRIILRSKVKTVHERIPLAGLSKLPSVPQIAK
+AFCDDAVGLKFNPVLYPKASQMIVSYDEHDVNNTFKFGVIYQKARQTLEEELFGNNEESP
+AFKEFLELLGDTITLQDFKGFRGGLDVTHGQTGVESVYTIFRDREIMFHVSTKLPFTEGD
+TQQLQRKRHIGNDIVAIIFQEENTPFVPDMIASNFLHAYIVVQAENPGTEPPSYKVSVTA
+REDVPAFGPPLPSPPVFQKGPEFREFLLTKLTNAENACCKSDKFAKLEDRTRAALLDNLH
+DELHAHTQAMLGLGPEEDKFENGGHGGFLESFKRAIRVRSHSMETMVSSQKKQHGGGIPG
+SLSGGITHNSVEVTKTTFSPPVAVATAKNQSRSPIKRRSGLFPRLHTGSEGQGDSRTRCD
+SASSNPKTPEGGHSSQEIKSETSSNPSSPEICPNKEKPFIKLKENGRANISRSSSSTSSF
+SSTAGEGEAMEECDSGSSQPSTTSPFKQEVFVYSPSPSSESPSLGAAATPIIMSRSPTDV
+KSRNSPRSNLKFRFDKLSHASSSAGH
+>tr|A0A3Q1MFR6|A0A3Q1MFR6_BOVIN GOLD domain-containing protein OS=Bos taurus OX=9913 GN=TMED2 PE=3 SV=1
+MVTLAELLVLLAALLATASGYFVSIDAHAEECFFERVTSGTKMGLIFEVAEGGFLDIDVE
+ITGPDNKGIYKGDRESSGKYTFAAHMDGTYKFCFSNRMSTMTPKIVMFTIDIGEAPKGQD
+METEGGGDTWDAHQNKLEEMINELAVAMTAVKHEQEYMEVRERIHRAINDNTNSRVVLWS
+FFEALVLVAMTLGQIYYLKRFFEVRRVV
+>tr|A0A3Q1M163|A0A3Q1M163_BOVIN TEA domain transcription factor 4 OS=Bos taurus OX=9913 GN=TEAD4 PE=4 SV=1
+MPRSQPRVPAAAPGPPSPRPLPASQSPPRGPGAGPPLPPAPALDRAHLIPALIPRIPGFL
+LSNPRPGAGRTRSSRLRRAAASPSALARLSGPQRSRSWKSRGAGSAYPPTSSHPGTGPTG
+APPSGALEGKAGTITSNEWGSPNSPEGSNVSGGSQALDKPIDNDAEGVWSPDIEQSFQEA
+LAIYPPCGRRKIILSDEGKMYGRNELIARYIKLRTGKTRTRKQVSSHIQVLARRKAREIQ
+AKLKFWQGALPGQAGTSHDVKPFSQQTYTVQPSLPLPGFESPAGPAPSPSAPPAPPWQGR
+SVASSKLWMLEFSAFLERQQDPDTYNKHLFVHIGQSSPSYSDPYLEAVDIHQIYDKFPEK
+KGGLKELFERGPSNAFFLVKFWADLNTNMEDEGSSFYGVSSQYESPENMIITCSTKVCSF
+GKQVVEKVETEYARYENGHYSYRIHRSPLCEYMINFIHKLKHLPEKYMMNSVLENFTILQ
+VVTNRDTQETLLCVAYVFEVSASEHGAQHHIYRLVKE
+>tr|A0A3Q1MJT9|A0A3Q1MJT9_BOVIN Protein MRVI1 OS=Bos taurus OX=9913 GN=MRVI1 PE=4 SV=1
+MPHIPEDEEPPGEPQAAQSPAGQDPATTGISCSPPTIILTGDASSPEGETDKNPVNRAHS
+PHRRLSHRHLKVSTASLTSVDPAGHVIDLVNDQLPDISISEEDKKKNLALLEEAKLVSER
+FLTRRGRKSRSSPGESSPAVSPNLSPGASPASSQSNSLTVPTPPGLDVCSGPPSPLPGAP
+PQKGDEAEVPSPHLGESNVLKGLADRKQNDQRTLSQGRLTARSPTVEKSKEITIEHKENF
+DPLQRPEAIPKGPASGPGSGGKMALNSPQPGPVESELGKPLAKTAKEGNPLPRGPTQGSG
+GVAPQASQGKSTVGEPAGSKVGSKAELWPPTSRPPLLRGVSWDSGPEEPGPRLQKVLAKL
+PLAEEEKRFTGKAGSKLAKAPGLKDFQIQVQPVRMQKLTKLREEHILLRNQNLVGLKLPE
+LSEAAEQEKGHPSELSSAIEEEESKGGLDVMPNISDVLLRKLRVHKSLPGSAPPLTEKEV
+ENVFVQLSLAFRNDSYTLESRINQAERERNLTEENTEKELENFKASITSSASLWHHCEHR
+ETYQKLLEDIAVLHRLAARLSSRAEMVGAVRQEKRMSKATEVMMQYVENLKRTYEKDHAE
+LMEFKKLANQNSSRSCGPSEDGVPRTARSMSLSLGKNMPRRRVSVAVVPKFNILNLPGQS
+PSSSPIPSLPALSESSNGKGNPPVSSALPALLENGKTNGDPDCEASASVPTPSCLEGISQ
+EAKARMEEEAYNKGYQEGLKKTKELQGLREEEEEQKSESPEEPEEAAETEEEEKEQRSRL
+QPSQLLTWASVPFTAQHPWRGQSKAVQGPSEQPTETRRELVLEEEAEGIPKSPSS
+>tr|F1MYD6|F1MYD6_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC618010 PE=3 SV=3
+MPSFNQSIFHPTVFFLTGIPGFEIYHAWVFIPFCCLYVIAISGNGMILFVIITESSLHEP
+MYYFLSMLSFMDLGLCLSTLVTMMGIFWFNVREISFDACIGQMFFIHGFTLMESSVLLAM
+AFDRYIAICNPLRYATILTNSTIIKVGFAIVVRVTTVVVPLLLLLKRLSFCGSHVLHHSY
+CFHPDVMKLSCTDTKINSAFGLAIVISTAALDSVLILLSYVPIINSVLSIASPEERKKAF
+GTCVSHISAVAIFYIPMISLSLVHRFGKHAPPLVHTLIANVYLLIPPVMNPIIYSVKTKQ
+IQKAMVKVALSKII
+>tr|A0A3Q1MW28|A0A3Q1MW28_BOVIN Pro-cathepsin H OS=Bos taurus OX=9913 GN=CTSH PE=3 SV=1
+MWAVLPLLCAGAWLLGAPACGAAELAANSLEKFHFQSWMVQHQKKYSSEEYYHRLQAFAS
+NLREINAHNARNHTFKMGLNQFSDMSFDELKRKYLWSEPQNCSATKSNYLRGTGPYPPSM
+DWRKKGNFVTPVKNQGSCGSCWTFSTTGALESAVAIATGKLPFLAEQQLVDCAQNFNNHG
+CQGGLPSQAFEYIRYNKGIMGEDTYPYRGQNDEEAMVEAVALHNPVSFAFEVTADFMMYR
+KGIYSSTSCHKTPDKVNHAVLAVGYGEEKGIPYWIVKNSWGPNWGMKGYFLIERGKNMCG
+LAACASFPIPLV
+>tr|F1MB88|F1MB88_BOVIN Zinc finger and BTB domain containing 14 OS=Bos taurus OX=9913 GN=ZBTB14 PE=4 SV=3
+MEFFISMSETIKYNDDDHKTLFLKTLNEQRLEGEFCDIAIVVEDVKFRAHRCVLAACSTY
+FKKLFKKLEVDSSSVIEIDFLRSDIFEEVLNYMYTAKISVKKEDVNLMMSSGQILGIRFL
+DKLCSQKRDVSSPDENNGQSKSKYCLKINRPIGDAADPQDDDVEEIGDQDDSPSDDTVEG
+TPPSQEDGKSPTTTLRVQEAILKELGSEEVRKVNCYGQEVESMETPESKDLGSQTPQALA
+FNDGMSEVKDEQTPGWTTAASDMKFEYLLYGHHREQIACQACGKTFSDEGRLRKHEKLHT
+ADRPFVCEMCTKGFTTQAHLKEHLKIHTGYKPYSCEVCGKSFIRAPDLKKHERVHSNERP
+FACHMCDKAFKHKSHLKDHERRHRGEKPFVCGSCTKAFAKASDLKRHENNMHSERKQVTP
+SAMQSETEQLQAAAMAAEAEQQLETIACS
+>tr|A0A3Q1LV25|A0A3Q1LV25_BOVIN Phosphodiesterase OS=Bos taurus OX=9913 GN=PDE1C PE=3 SV=1
+QKSGNGLGKWILDNNLKYPDRMTLLLRSLVKQLERGEASVVDLKKNLEYAASVLESVYID
+ETRRLLDTEDELSDIQSDAVPSEVRDWLASTFTRQMGMMLRRSEEKPRFKSIVHAVQAGI
+FVERMYRRTSNMVGLSYPPAVIEALKVIPIKNSFIPINLATLFQIPISALVSFVEALEVG
+YSKHKNPYHNLMHAADVTQTVHYLLYKTGVANWLTELEIFAIIFSAAIHDYEHTGTTNNF
+HIQTRSDPAILYNDRSVLENHHLSAAYRLLQEDEEMNILINLSKDDWREFRTLVIEMVMA
+TDMSCHFQQIKAMKTALQQPEAIEKPKALSLMLHTADISHPAKAWELHHRWTMSLLEEFF
+RQGDREAELGLPFSPLCDRKSTMVAQSQVGFIDFIVEPTFTVLTDMTEKIVSPLIDEPSQ
+TGGTGQRRSSLNNISSTDAKRSGVKSSGSEGSAPINNPVIPVDYKSFKATWTEVVHINRE
+RWRAKVPKEEKAKKEAEEKARLAAEEKQKEMEAKSQAEESASGKAEKKTSGEAKNQVNGT
+RTNKSNNPHGKNSKTEKSSGEKQQNGDLKDGKNKTGKKDQSDVGNDSKKTDVTDPSEFLQ
+PKKPRINQFPDL
+>tr|A0A3Q1LMU8|A0A3Q1LMU8_BOVIN HMG-box containing 4 OS=Bos taurus OX=9913 GN=HMGXB4 PE=4 SV=1
+STEAPWSLALFSPSPRWRRSAALRRDPGEPSEDCFDGDHSFEDIGLAAGRSQREKKRSYK
+DFLREEEEIAAQVRNSSKKKLKDSELYFLGTDTHRKKRKHSSDDYYYGDISSLEPSQKKK
+KKSS
+>tr|A0A140T8B5|A0A140T8B5_BOVIN Natural killer cells antigen CD94 OS=Bos taurus OX=9913 GN=KLRD1 PE=4 SV=1
+MAAFRTTAWRLISGVLGVICLVLMAALGVLLKNSLTKRSVQPGPSADLQEEYNLHEEEES
+CLGCLGSGCYSCQEKWIGYQCNCYFISNELKTWKDGRDFCVSHNSSLLQIQTRNEPAFMK
+FSTSFYWIGLSYDEEHHAWLWEDNSTLSQDLLPFLKSVNPKNCIMYTPRGRILDAHCEKK
+FRYICKQQLI
+>tr|F1MXU1|F1MXU1_BOVIN Plasmolipin OS=Bos taurus OX=9913 GN=PLLP PE=4 SV=1
+SLGAFLVAQMVLGLLVWALIADTPYHLYPSYGWVMFVAVFLWLVTIIFFVLYLFQLHMKL
+YMVPWPLVLMVFNVGATVLYITAFITCSASVELTSLKGSQPYNQRAAASFFSCLVMIAYG
+VSAFLSFQAWRGVGSNAATSQMAGGYA
+>tr|A0A3Q1MEK0|A0A3Q1MEK0_BOVIN Transcription factor 20 OS=Bos taurus OX=9913 GN=TCF20 PE=4 SV=1
+MQSFREQSSYHGNQQSYPQEVHGSSRIEEFSPRQAQMFQNFGGAGGGSSGSGGGGGGGRR
+AAAAAAAAMASETSGHQGYQGFRKEAGDFYYMAGNKDPVATGTPQPPPRRPSGPVQSYGP
+PQGSSFGNQYGSEGHVGQFQAQHSALGGVSHYSQDYTGPFSPGSAQYQQQPSSQQQQQQQ
+QVQQLRQQLYQSHQPLPQAASQPASGASHLQPMQRPSTLPASAAGYQLRVGQFGQHYQSS
+ATAASSSFPSPQRFSQSGQSYDGSYSVNAGSQYEGHNVGSNAQAYGTQSNYSYQPQSMKN
+FEQAKIPPGTQQGQQQQQQQQQQQQQQQQQHPPQHVMQYSNAATKLPLQSQVGQYSQPEV
+PVRSPMQFHQNFSPISNPSPAASVVQSPSCSSTPSPLTQSGENLQCGQGNVPMGSRNRIL
+QLMPQLSPTPSMMPSPNSHTAGFKGFGLEGVPEKRLTDPGLSSLSALSTQVANLPNTVQH
+MLLSDALTPQKKTSKRPSSSSKKTDSCPNSEGSSQAEEQLKSPMAESLDGGCSSSSEDQG
+ERVRQLSGQSTSSDTTYKGGASEKAGSSPAQGAQNEAPRLSTSPAVREETASPGAKDTPL
+SSEGNPKVNEKTVGVIVSREAMAGRVEKPGGQDKGSQEEDPAATQRPPSTGGAKEASHAS
+LPQPEPPGGGSKGNKSGDGNSNHNGEGNGQTGHPAGGSGFTGRTEPSKSPGSLRYSYKDS
+FGSAVPRNISSFAQYPTGQDKGDFTSHGERKGRNEKFPSLLQEVLQGYHHHPDRRYSRSS
+QEHQAMAGSLEGATRPNVLVSQTNELASRGLLNKSIGSLLENPHWGPWERKSSGTAPEMK
+QINLADYPIPRKFEIEPPSSAHEPGGSLSERRSVICDISPLRQIVRDPGAHSLGHMGADT
+RLGRNERLNPSLSQSVILPGGLVSMETKLKSQSGQIKEEDFEQSKSQASFNNKKSGDHCH
+PASIKHESYRGNASPGAATHDSISDYGPQDSRPTPMRRVPGRVGSREGMRGRSPSQYHDF
+SEKLKMSPGRSRGPGGDPHHMSPHMTFSERANRSSLHAPFSPNSESLASAYHTNTRAHAY
+GDPSAGLNSQLHYKRQMYQQQQEEYKDWGGSSAQGVIAAAQHRQEGPRKSPRQQQFLDRV
+RSPLKNDKDGMMYGPPMGTYHDPSGQDGGRCLMSSDGLSNKGIELKHGSQKLQQESCWDL
+SRQTSPAKSSGPPGMSNQKRYGPPHETDGHGLAESTQSSKPSNVMLRLPGQEDHSSQNPL
+IMRRRVRSFISPIPSKRQSQDVKNSNTEDKGRLLHPSKEGTDKAYNSYAHLSHSQEIKSI
+PKRESSKDLPSPDSRNCPAVTLTSPAKTKILPPRKGRGLKLEAIVQKITSPNIRRSASSN
+SAEAGGDTVTLDDILSLKSGPPEGGSGAVPDTELEKRKGEVIAELACPAGQELSGEKPLA
+RSSEEWRGGGDDKVKTETHPDTVAAGKEPPGAMASTTSQKPGSNQGRPDGSLGGTAPLIF
+PDSKNVPPVGTLAPEANPKAEEKESDAVTISPKQEGFPPKGYFPSGKKKGRPIGSVNKQK
+KQQQPPPPPPQPPQIPEGSADGEPKPKKQRQRRERRKPGAQPRKRKTKQAIPIVEPQEPE
+IKLKYATQPLDKTDAKNKSFFPYIHVVNKCELGAVCTIINAEEEEQTKLVRGRKGQRSLT
+PPPSSTESKVLPASSFVLQGPVVTESSVMGHLVCCLCGKWASYRNMGDLFGPFYPQDYAA
+TLPKNPPPKRAAETQSKVKVRHKSASNGSKTDTEEEEEQQQQKEQRSLAAHPRFKRRHRS
+EDCAGGPRSLSRGLPCKKATTEGSSEKTVLDSKPSVPTTSEGGPELELQIPELPLDSNEF
+WVHEGCILWANGIYLVCGRLYGLQEALEIAREMKCSHCQEAGATLGCYNKGCSFRYHYPC
+AIDAGETVEMRRWWILVMEWKRSSCAATPHPAPPRVPARASTSFLSSYITLKPVTPQERK
+TQDVGMAVSMDTISIVTVWGEGGGVG
+>tr|F1MYG8|F1MYG8_BOVIN AarF domain containing kinase 1 OS=Bos taurus OX=9913 GN=ADCK1 PE=4 SV=3
+MARRALKLASWTSVALAASGICLYSNKYLDPNDFGAVRVGRAVATTAVISYDYLTSLRSV
+PYGSEEYLQLRSKVHLRSARRLCELCCANRGTFIKVGQHLGALDYLLPEEYTSTLKVLHS
+QAPQSSMKEVRQVIREDLGKEIHDLFLSFDDTPLGAASLAQVHKAVLRDGRTVAVKVQHP
+KVQAQSSKDILLMEVLVLAVKQLFPEFEFMWLVDEAKKNLPLELDFLNEGRNAEKVAQML
+KHFDFLKVPHIYWELSTKRVLLMEFVDGGQVNDRHYMERNKIDVNEISRHLGRMYSEMIF
+VNGFVHCDPHPGNVLVRKRPDTGKVEIVLLDHGLYQALTEEFRLDYCRLWQSLIWTDMQS
+VRKYSQRLGAGDLYPLFACMLTARSWDSVNKGIGQTPVTTTEDSEIRNHAANYLPQISQL
+LNRVPRQMLLIFKTNDLLRGIEAALGTRASASSFLNMSRCCIKALAAHKKQKTCSLFRRA
+RISLREAVSLWKINLQELVLHLKGLRLTGWVWALLCWLLPASH
+>tr|A0A452DIV1|A0A452DIV1_BOVIN 39S ribosomal protein L13, mitochondrial OS=Bos taurus OX=9913 GN=MRPL13 PE=3 SV=1
+MALPRRETDQLQGAACSSALMASLSRAPQQWATFARVWYLLDGKMQPPGKLAALASVRLQ
+GLHKPVYHQLSDCGDHVVIMNTRHIAFSGNKWEQKVYSSHTGYPGGFKQVTAAQLHRKDP
+VAIVKLAIYGMLPKNLHRRTLMQRLHLFPDEDIPEDILKNLTEELPQPRKVPRRLDEYTQ
+EEIEAFPRVWSPPEDYRL
+>tr|G3X6K3|G3X6K3_BOVIN RRM domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=2
+MSQIFLSVVLATSWKFWWAQTVKVKVAQTVKHLPAIVSLFYKLNRYREIVNINLVPDKKT
+GKSKGFCFLCYEDQRSTILAVDSFNGIKIKGRTIRVDHVSNYRLPEDSEEMDEVTRELQE
+RGCGTHTPPPSSSEGSEDDKPTKKHKKGKWKKRKKKERQKTGREVQADKMIKEKDDPGSK
+KHSGKHSEKAEKGQKSESREVRKSHPSSAEVRTTCRGRMEDREREPRKEKSKHEHKSSSR
+REEREDRHRERDRGPLRCSCFA
+>tr|A0A3Q1M0X6|A0A3Q1M0X6_BOVIN Dmx like 1 OS=Bos taurus OX=9913 GN=DMXL1 PE=4 SV=1
+MNLHQVLTGAVNPGDHCFSVGSVGDQRFTAYASGCDIVILGSDFERLQVIPGAKHGNIQV
+GCVDCSMQQGKVCNLEIYKYEICFEYGFCQYSNRLLTGSSCLQLWSNNNLEKPDDCLLKV
+WYNVENWRTSVTSPDKNSEKQSEGETDFSFVYLAHPRAVNGFSWRKTSKYMPRASVCNVL
+LTCCKDNICRLWVETFLPNDCLLYGGDCNHWTEPINLTNNIKRNVSSKERVQNASDVSVN
+QKFFRRGRRSLALVAHTGYLPHQQDPHHVHRNAPLHANALCHFHIAASINPATGNKVLLS
+ENEEKSGPFVVHWLNNKELHFSLSMEVFLQQLRKSFEQPSSETSVEDSNQADVKSDEGKI
+DDSVDDLKINHEKKELGGDKLVPNSSFVPLPSSAIDHQIEVLLSEWSKNADMLFSIHPMD
+GSLLVWHVDWLDEYQPGMFRQVQVSFVSRIPVAFPTGDANSLCKSIVMYACTKNVDLAIQ
+QGKQKPSSLTRSTSMLISSGHSKSTNSLKLSIFTPNVMMISKHADGSLNQWLVSFAEESA
+FSTVLSISHKSRYCGHRFHLNDLACHSVLPLLLTTSHHNALRTPDVDNQKQPDDAVNIEE
+CPSAQQNKSTIDMAFQDPNAVYSELILWRVDPVGPLSFSGGVSELARINSLHVSAFSNVA
+WLPTLIPSYCLGAYCNSPSACFVASDGQYLRLYEAVIDAKKLLYELSNPEISKYVGEVFN
+IVSQQSTARPGCIIALDPITKLQGRKTQLLHVFQEDFILNNLEKKRLGKDRISTFLNSIS
+PNGFSEKFYLIVIECTQDNRSLLHMWNLHLKSIPVSLDTKISEAAWQPEEHYSSSPEKIL
+SPFSQKYQACRANLQSTSKLTLSSEMVYSQELHLPEGVEIISVKPSAGHLSSSSIYPICS
+APYLLATSCSDEKVRFWRCRVTDGESATSKNGETDLTYIWEEWPLLIEDGLQSNSSITVP
+GRPIEVSCAHTNRLAVAYKQPMSNSRSSQDFVMHVSIFECESTGGSCWVLEQTIHLDELN
+TVLDSGISIDSNLVAYNKQEIYLSSKESVTSNTKHLVHLDWMSREDGSHILTVGIGSKLF
+MYGPLSGKVQEQTGKESLAFPLWESTKVVPLSQFVLLRSVDLVSSVEGSPPFPVSLSWVR
+DGILVVGMDCEMHVYSQWQPSSKQEPKTLTRSMTSLAQKICGKKTALDPSVDMEDSGLFE
+AAHVLSPTLPQYHPLQLLELMDLGKVRRAKAILSHLVKCIAGEVVALNEAESNHERRLRS
+LTISASGSTSRDPQAFNKTENTDYTEIDSVPPLPLYALLAADDDSYYSSLERSSNQSTLN
+NSNQLSKENYDELFQMPALVTDCHVLEADEENTEPVVIDLSQYSPTYFGPEHAQVLSGHL
+LHSSLPGLSRMEQMSLMALADTIATTSTDIGESRDRSQGGETLDECGLKFLLAVRLHTFL
+TTSLPAYRAQLLRQGLSTSHFAWAFHSVAEEELLNMLPAMQKDDPTWSELRAMGVGWWVR
+NTRILRKCIEKVAKAAFYKKNDPLDAAIFYLAMKKKAVIWGLYRSQKDSKMTQFFGHNFE
+DERWRKAALKNAFSLLGKQRFEHSAAFFLLAGCLRDAIEVCLEKLNDIQLALVIARLYES
+EFDTSATYKSILRKKILGIDSPVSELNMLNINMHYDPFLRSMAYWILEDYSSALETLIKQ
+PVTKDDDQVMMSACNPAVFNFYNYLRTHPLLLRRHFGSSDAFSTHMSLTGKSGLAGTINL
+SERRLFFTTASAHLKAGCPMLALEVLSKMPKVIKKTKPFCRISSFLDTSKDCSPSSPLKL
+DGSSEKQSNSTLSFDWSQPSIAFQDDSLELKWDSDNDEENEDVPLSMKELKPLEGKIGEK
+MDETSSNYTESLSALDENDILNPSEDVIAVQLKFRACLKILTVELRTLSTGYEIDGGKLR
+YQLYHWLEKEVIALQRTCDYCSHPEEVQSAFGENEDAVELNEDAEDLLHQTKVKQLRENF
+QEKRQWLLKYQSLLRMFLSYCILHGSHGGGLASVRMELILLLQESQQETAEPIFPSPLSE
+QTSVPLLFARTASAKTVVANPLLHLSNLTHDILHAIINFDSPPHPDIQSNKVYVMHTLAA
+SLSACIYQCLCGGHNYSSFQTNQFTGMVYQTVLLPHRHSLKTGSLDEAITPNTSPAQWPG
+ITSLVQLLNSSGEEAQSGLTILLCEILTAVYLSLFIHGLATHSSNELFRIVAHPLNEKMW
+SAVFGGGARFPSKEQAHSKALPGYTQKWNKLLILGYLIACIKSYTEYDSEESLESDDDDD
+DVLASDFHLQEHSNSNSYRYGIFNFNSPLCHAVLKTLQCWEQVLLRRLEIHGGPPQNFIA
+SHTSEESVSAGPAILRHKALLEPTNTPFKSKHHLALSVKRLWQYLVKQEEIQETFIRNIF
+TKKRCLNEIEADLGYPGGKARIIHKESDIITAFAVNKANRNCIAIASSHDVQELDVSGIL
+ATQIYTWVDDDVEMETKGYPFTLFLNAVQGTTPYTHSNPGTPINMPWLGSTQTGRGASVV
+CKVKNVYLTGAQDGSVRMFEWGHSQQITCFRSGGNSRVTRMRFNYQGNKFGIVDADGYLS
+LYQTNWKCCPVTGSMPKPYLTWQCHNKTANDFVFVSSSSLIATAGLSTDNRNICLWDTLV
+APANSLVHAFACHDSGATVLAYAPKHQLLISGGRKGYTCVFDLRQRQQRQLFQSHDSPVK
+AIAIDPTEEYFVTGSAEGNIKIWSLSTFSLLHTFINEHARQSIFRNIGTGVMQIETGPAN
+HIFSCGADGTMKMRILPDQFSPLNEVLKNDVKFML
+>tr|A0A3Q1LRC9|A0A3Q1LRC9_BOVIN IF rod domain-containing protein OS=Bos taurus OX=9913 PE=3 SV=1
+MNKVELEAKVEALMDEINFLRSFYEAELAQLHAQISETSVVLSMDNNRKLDLDSIISEVK
+AQYEDIANRSRAEAESWYQIKYEELQRSAGQHGEDLRSTRMEISELNRVIQRLRSEIENV
+KKQCATLQAAIADAEQRGELALKDAKHKLAELEDALQKAKQDMARQLREYQELMNVKLAL
+DIEIATYRKLLEGEESVVSSSGGSGYSGGGGLCMTGGGYGFGSSGFSSSGGSFSSTSGRG
+MSGTSSSMRIISKTSSSKSYRS
+>tr|A0A3Q1LKM0|A0A3Q1LKM0_BOVIN Layilin OS=Bos taurus OX=9913 GN=LAYN PE=4 SV=1
+MTLGFLPPVTAAADLDFRGGQPVCRLGTQKPCYEVIYFRDASRRLNFEEARAACRRNGGQ
+LVSIESEDEQRLIEKFIENLLASDGDFWIGLRRREDQKSNGTACQDLYAWIDGSTAAFRN
+WYVDEPSCGSEVCVVMYHQPSAPAGIGGPYMFQWNDDRCTMKNNFICKYSDEKPTVPSTR
+TGGEEIEPATPILPEDTEKADATEILKESKEAALNLAYILIPSILVLLIVVTTGVCWVWI
+CRRRKRGQPDPSTKEQRPTWPAPQPGNSPDLEVYNVIRKQSEADLAETRPDLKNISFRAR
+SGEASPDAVSCDYENVAVNPSESGFVTLVSMESGFVTNDDYEFSPGRAGRSKESGWVENE
+IYGY
+>tr|E1B7U5|E1B7U5_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC518464 PE=3 SV=2
+MATPNHTSPSHSLFILLGIPGLEDQHTWISLPFLLSYLVAVLGNSLLVFIIMTERSLHEP
+MYFFLCMLGVADLILSTTTVPKALAIFWFHAGEISLDGCVTQIFFIHATFIAESGILLAM
+AFDRYVAICDPLRYTTVLSRAVIIRVGLAVVLRSFSVILPDVFLVKRLPFCHSNMLPHTY
+CEHMAVAKFACADIRVNVWYGLSVLLSTVVVDALLILVSYIFILNAVFQLPSRGALQKAL
+GTCGSHLGVISMFYLPGIFTIIAQRFEHHVPPYIHILLANVCMLAPPMLNPIIYGVKTRQ
+IRECVIYEVRVVLTVNKTICCDFER
+>tr|A0A3Q1LFK0|A0A3Q1LFK0_BOVIN Amino acid transporter OS=Bos taurus OX=9913 GN=SLC1A2 PE=3 SV=1
+MPKQVEVRMHDSHLSAEEPKPRHLGLRLCDKLGKNLLLTLTVFGVILGAVCGGLLRLASP
+IHPDVVMLIAFPGDILMRMLKMLILPLIISSLITGLSGLDAKASGRLGTRAMVYYMSTTI
+IAAVLGVILVLAIHPGNPKLKKQLGPGKKNDEVSSLDAFLDLIRNLFPENLVQACFQQIQ
+TVTKKVLVAPPSDEDGNATNAVLSLLNETVTEVPEETQVVIKKGLEFKDGMNVLGLIGFF
+IAFGIAMGKMGEQAKLMVEFFNILNEIVMKLVIMIMWYSPLGIACLICGKIIAIKDLEVV
+ARQLGMYMITVIVGLIIHGGIFLPLIYFLVTRKNPFSFFAGIFQAWITALGTASSAGTLP
+VTFRCLEENLGIDKRVTRFVLPVGATINMDGTALYEAVAAIFIAQMNGVVLDGGQIVTVS
+LTATLASVGAASIPSAGLVTMLLILTAVGLPTEDISLLVAVDWLLDRMRTSVNVVGDSFG
+AGIVYHLSKSELDTIDSQHRVHEDIEMTKTQSIYDDLKNHRESNSNQCVYAAHNSVIVDE
+CKVTLAANGKSADCSVEEEPWKHEK
+>tr|A0A3Q1MQJ1|A0A3Q1MQJ1_BOVIN Carboxylic ester hydrolase OS=Bos taurus OX=9913 GN=CES1 PE=1 SV=1
+MWLLALVLTSLGSFTAWGLAPSPPIVDTAQGRVLGKHVSLKGFAQPVAVFLGVPFAKPPL
+GSLRFAPPQPAEPWTFVKNTISYPPMCSQDPVGAQLLSDLFTNRKENISLTFSEDCLYLN
+IYTPADLTKRSRLPVMVWIHGGGLMVGGASTYDGLVLSAHENVVVVTIQYRLGIWGFFST
+GDEHSRGNWGHLDQVAALHWVQENIANFGGDPGSVTIFGESAGAESVSILVLSPLARNLF
+HRAISESGVALISTLVKRDSKAAAEQIAVIAGCKTTTSAVLVHCLRQKTEDELLEITLKM
+KFFALDLHKDSTESHPFLPTVVDGMLLPKMPEEMLAEKNFNNVPYMVGINKQEFGWIIPL
+FMSYPLPEDKLDQKTATSLLWQSYSLLSIPEELSPVATDKYLGGTDDPVKKKDLFLDLIA
+DVLFGVPSVNVARRHRDAGAPTYMYEFQYRPSFSSELKPKTVIGDHGDELFSVFGAPFLK
+DGASEEEINLSKMVMKFWANFARNGNPNGEGLPHWPAYDHKEGYLQIGVNTRAAEKLKDK
+EVAFWNELLSREVAKKAPHLKHVEL
+>tr|G3MY46|G3MY46_BOVIN Histone domain-containing protein OS=Bos taurus OX=9913 PE=3 SV=2
+ESFISCFTMVLKQVHMGLSVSQEAVNIINSFVMDIFKSIAEEAGCLAHSNKHCTITSGEI
+QTSVLLLLPGEISKHTMSEATSSATRAVIKYATSR
+>tr|G3X7P5|G3X7P5_BOVIN Histone deacetylase 10 OS=Bos taurus OX=9913 GN=HDAC10 PE=4 SV=1
+MGTALVYHEDMTATRLLWDDPECEIECPERLTTALERLQQHGLKQRCLQLVAREASEAEL
+GLVHSPEYVALLQGTQALGTRELQALSKDCAPLLSASLSAVTWQLEVGQGGSIYTVEETL
+RISPPGACC
+>tr|E1BHF3|E1BHF3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=GLI4 PE=4 SV=3
+MGCQKWTDGWRSCWQIPSSRLCPRSAPAAHRQQVPGVHSFSRPWGKMAALGDGQEPPHVL
+SPVSFESPGTPGAHHHEAQLHLHLHGHQHGFPSSSPEVPSQPPQEPSDLDFQEVAEVQIC
+RDTCWSGSESEPEQAPSSPSPHGPEDEVHQAGGVLRTLLRSLPRRPGGGDRFGQEPSLER
+SAGQTPRAGPRSQKRDTWLGSQGASGTEGSPGRTAELAGGLSRGSGLGTQQGGPRGGKPH
+RCEACGKSFKYNSLLLKHQRIHTGEKPYACHECDKRFRGWSGFIQHHRIHTGEKPYECGQ
+CGRAFSHSSHFTQHLRVHNGEKPYECGECGQAFSQSSNLVRHQRLHTGEKPYACSQCGKA
+FIWSSVLIEHQRIHTGEKPYECPDCGKAFRGRSHFFRHLRTHTGEKPFACGACGKAFGQS
+SQLIQHQRVHYRE
+>tr|A0A3Q1MEJ2|A0A3Q1MEJ2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC100299712 PE=4 SV=1
+MKDLFLNYCEHDTCGSFYLVDISPTHVIKHLQPKANSDKRAIFQTLMLGRPESCEIKHFH
+LWGSQENTCDFECQGRDGKRNYKGTPVSLNGNVPDGIDSHDGKDAGIKSFGRRLGFKSQD
+KLQIFQTGGIISEYNKVERSVNNSFSFSPLQRIPPCAQTSVSNVYGNDFMNPSVVTQDLK
+AHREKPYKCDECGKAFRVKSILLGHQTVHTGEKPYKCDECGKAFRVKSILLSHQTVHTGE
+KPYKCDECGKTFTHSSNLRRHQKIHTGQKLFKCDICDKVFSRSEQLAGHQSVHSGEKPYK
+CDECGKAFRVKSILLRHQTVHTGEKPYKCDECGKAFTHSSNLRRHQKIHTGQKLFKCDIC
+DKVFSRSEHLAGHQSVHSGAKPYKCDECDKAFRVKSILLIHQTVHTGEKPYKCEECGKAF
+THSSNLRRHQKIHTGQKLFKCDICDKVFNRSEQLAGHQSVHSGAKPYKCNECGKHFGQPS
+QFISHKRLHTAEKPYKCDECGKAFRVKSILLRHQTVHTGEKPYKCDECGKAFRVKSTLLR
+HQTVHTGEKPYKCDECGKAFRVKSMLLSHQTVHTGEKPYKCNECGKAFRVKAILFRHQTV
+HTGEKPYKCDECGKAFRVKSMLLSHQTVHTGEKPYKCDECGKAFCVKAVLLRHQTVHSGE
+KPYKCDECGKAFRAKLILLRHQTIHTGEKPYKCDECGKAFCVKAILLRHQTVHTGEKPYK
+CVECGKVFSQKPHLRLHWRIHTGERPFRCDECGKFFSRNSHLTSHRRIHIEKPFKCFECG
+KSFTQVSALTKHQKIHT
+>tr|A0A3Q1MLW9|A0A3Q1MLW9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MRSSMAWPCSSRCSISMSSFTPSTTICTSSTSEKPRRSAFETSKTPPTAAVSTPPGETPY
+QHPGGTQGTSERAHEALSCFPASRSGTVWSPLRG
+>tr|E1BF80|E1BF80_BOVIN Olfactory receptor OS=Bos taurus OX=9913 PE=3 SV=3
+LEIKMCNILKQKKSGNNLLLTIELIRYNMAQINCTQVKEFILVGLTDQEELKTPLFVLFL
+SIYLFTVAGNLGLILVIRTDSRLHTPMYFFLSNLAFVDFCYASVVTPKMLGNFLYKQNVI
+SFNSCAAQLGCFLTFMVSECLLLTSMAYNRYVGICNPLLYMASMSRGICIQLVAVPYSYG
+FLMALFHTIFTFRLSYCHSNTINHFYCDDMPLLRLTCSDTRSKQLWILACAGVTLISSVL
+IVFISYMFIISTILRMHSAEGRHKAFSTCSSHILAVTIFYGTLIFMYLQPSSQHSLDTDK
+MASVFYTVIIPILNPLIYSLRNKDVKNALKKIITNKNQASVFTFKI
+>tr|A0A3Q1M5U5|A0A3Q1M5U5_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC107133172 PE=3 SV=1
+MVVWNNSDTMEPIFILRGFPGLEYVHSHLSIPFCLAYLLAFIGNATVLSVIWTESSLHQP
+MYYFLSMLALTDLGMSLSTLPTMLAVLCLDVREIQASACYAQLFFIHTFTFLESSVLLAM
+AFDRFVAICHPLHYTTILTNSVIGKVGLACLLRSMGVVLPTSLLLRHYHCCHASALSHAF
+CLHQDVLKLSCSGARISSVYGLSVVIATLGVDSVFILLSYVLILKAVLGIASHEEQLKAL
+NTCVSHMCVVLIFFVPVIGVSMVHRFGKHLSPIIHILMADIYLLLPPVLNPVVYSIRTKQ
+IRLGILCKFGIRRRF
+>tr|E1BAA6|E1BAA6_BOVIN Histone domain-containing protein OS=Bos taurus OX=9913 PE=3 SV=2
+MASAKSAPAPRKGSKKAVTKAQKKDGKKRKRSCKESYSVYLYKVLKQVHLDTGISSKAMG
+IINSFVNDIFEHIAGEASHLVHYNKRSTITSREIQIAMRLLLPGELAKHAVSEGTKAVTK
+YTSSK
+>tr|F1MMZ9|F1MMZ9_BOVIN SAP domain-containing protein OS=Bos taurus OX=9913 GN=CCAR1 PE=4 SV=3
+MAQFGGQKNPPWATQFTATAVSQPAALGVQQPSLLGASPTIYTQQTALAAAGLTTQTPAN
+YQLTQTAALQQQAAAAAAALQQQYSQPQQALYSVQQQLQQPQQTLLTQPAVGLPTSLSLS
+TPQPAAQITVSYPTPRSSQQQTQPQKQRVFTGAVTKLHDTFGFVDEDVFFQLSAVKGKTP
+QVGDRVLVEATYNPNMPFKWNAQRIQTLPNQNQSQTQPLLKTPPAVLQPIAPQTTFGVQA
+QPQPQSLLQAQISAASITPLLQTQPQPLLQQPQQKAGLLQPPVRIVSQPQPARRLDPPSR
+FSGRNDRGDQVPNRKDDRSRERERERRRSRERSPQRKRSRERSPRRERERSPRRVRRVVP
+RYTVQFSKFSLDCPSCDMMELRRRYQNLYIPSDFFDAQFTWVDAFPLSRPFQLGNYCNFY
+VMHREVESLEKNMAILDPPDADHLYSAKVMLMASPSMEDLYHKSCALAEDPQELRDGFQH
+PARLVKFLVGMKGKDEAMAIGGHWSPSLDGPDPEKDPFVLIKTAIRCCKALTGIDLSVCT
+QWYRFAEIRYHRPEETHKGRTVPAHVETVVLFFPDVWHCLPTRSEWETLSRGYKQQLVEK
+LQGERKEADGEQDEEEKDDGEAKEISTPTHWSKLDPKTMKVNDLRKELESRALSSKGLKS
+QLIARLTKQLKVEEQKEEQKELEKSEKEEEEEDDRKSEDDKEEEERKRQEELERQRRERR
+YILPDEPAIVVHPNWAAKSGKFDCSIMSLSVLLDYRLEDNKEHSFEVSLFAELFNEMLQR
+DFGVRIYKSLLSLPEKEDKKEKEKKSKKDERKDKKEDRDERKKEDKRKDDSKDDDETEED
+NNQDEYDPMEAEEAEDEEDDRDEEENKRDDKRDINRYCKERPSKDKEKEKTQMITINRDL
+LMAFVYFDQSHCGYLLEKDLEEIFYTLGLHLSRAQVKKLLNKVVLRESCFYRKLTDTSKD
+EENHEESEALQEDMLGNRLLLPTPVVKQESKDVEENVGLIVYNGAMVDVGSLLQKLEKSE
+KVRAEVEQKLQLLEEKTDKDEKTILNLEISNKNLSGELREVKKDLSQLQENLKISENMNL
+QFENQLNKTIRNLSTVMDEIHTVLKKDNGKNEDKDQKSKENGASV
+>tr|A0A3Q1MBM0|A0A3Q1MBM0_BOVIN 28S ribosomal protein S9, mitochondrial OS=Bos taurus OX=9913 GN=MRPS9 PE=3 SV=1
+MAAPSVSCGAAVPYRLFLAGRVSFAREQGLWKAAASGLQTGTRCQILRLKHSPAVTTTKN
+VAALRRESYTVDFIKKQIEEFNIGKRHLANMMGEDPETFTQEDVDRAITYLFPSGLFEKR
+ARPIMKHPEEIFPKQRAVQWGEDGRPFHFLFYTGKQSYYSLMHEAYGKVLHAEERQDQLR
+AKGLFSEKSKSKDLIGSRWLIKEELEEMLVEKLSDQDYAQFIRLLERLSALPCDAAEEEF
+VGRFRRTVTVQSKKHLIEPLQYDEQGMAFSTGQGKRKTANAEAVVYGHGSGKIEINGVDY
+LLYFPVTQDREQLMFPFHFLDRLGKHDVTCTVSGGGRSSQAGAIRLAMSRALCSFITEDE
+VEWMRQGLGHSILQRRKFPAEGRLDAPAASSSCHPQDSIAPLRC
+>tr|F1N7V1|F1N7V1_BOVIN TBC1 domain family member 32 OS=Bos taurus OX=9913 GN=TBC1D32 PE=4 SV=3
+MAHFSGEDEAMLQAMLRQLFQSVKEKITGAPSLECAEEILLHLEETDENFHNYEFVKYLR
+QYICNTLGSMIEEEMEKWTSEQNQGEEFGYDTVVQHVTKRTQESKEYKEMIHSLKNIMKI
+VVESMINKFEEDEIRSQERQKKIQKEKSSSYCTDNCSDSDSSFNQSYKFCQGKLQLILDQ
+LDPGQPKEVRYEALQTLCSAPPSDVLNCENWTTLCEKLTVYLSDPDPVFSVRKCLQKYKH
+FLFFFPYHSRTKYLELYFLSRENNIPTLSTGIDISNPNVTHLLKKIRLLNEYQKEAPSFW
+IRHPEKYMEEIVESTLSLLSVKHDQSHLVSQKILDPIYFLALVDTKAVWFKKWMHAYYSR
+TAVLRLLEKKYKSLITTAVQQCVQYFELCETMKGDEVLGHSKSCGIKQKTFYYSGQELQY
+IYFIHSLSLLGRLLIYTQGRKLFPVKLKTRKDSVSLTDLLVLFTQLIYHSPTCPKMTSPG
+HLEDYSPASMATEVLCILCDQKECAIECLYNNTVIEALLQPIRNLMKGTKAAPNCSETAL
+IHIAHILARIASVEEGLTLLLYGENMNSSEEKSPTGAHLIAQFSKKLLDEDISIFSGSEM
+FPVVKGAFSSVCRQIYGTCEGLQVLIPYSLHESIAKAWKKTSLLSERIPTPVEGSDSVSL
+VSQESQNIMAWEENLLDDLLNFAATPKGLLLLQRTGAINECVTFMFNQYAKKLQVRHKKF
+GYGVLVTQVASTAAGAIALRNSGFINALITELWANLECGRDDVRVTHPRSTPVDPIDQSC
+QKSFLALVNLLSYPAVFELVGNQSLPNKAEYSLREVPTSVIDIIDRLIILNSEAKIRSLF
+NYEQSHIFGLRLLSVVCCDLDTLLLLEAQYQVSELLLNAQEENTLETSESHREFIIDSLS
+VERNHVLVRINLIGGPMERILPPRLLEKGDEPYPWPMFSSYPLPNCYLSDSVTRSTDLKQ
+DNDLGKLLLRFKMSDKQTAWIENCRRQFCKIMKAKPDIISGGALVELLEKFVLHLSESPS
+ECYFPSVEYTATDANVKNENLSSVQQLGIKMTVRYGKFLNLLKDGAENDLTLVLKHCERF
+LKQQQASIKSSLHILFCLQRNYTSHDWFVSSLFIIMLGDKDKTFQFLQQFSRLLTSAFLW
+LPRLHISRYLPIDTIESGIHPVYFCSTHYIEMLLKAEVPLVFSAFHMSGFAPSQICMQWI
+TQCFWNYLDWIEICHYIATCVFLGPDYQVYICIAIFKHLQQDILQHTQTQDLQVFLKEEA
+LHGFRVSDYFEYMEVLEQNYRPVLLRDMRNMRVQST
+>tr|A0A3Q1MFK3|A0A3Q1MFK3_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+DTMASTLLALLCLGGTPQIQLPPSLLGSYSKPSLSALPSPVVTLGGSVTLQCGSHQGFNR
+FLLTKEGEDESSRTLDGQRAPNWQTQALFSVGPVTPGHRWMFRCYGFYRDTPQVWSAPSD
+PLELLVSGLSGKPSLSVRPGPTVAPGENVTLLCQSGERTDTFLLFKEGTAHRPLRLHSQD
+QAGRYQAEFSLSPVTSAHGGTYRCYGSLSTDPYLLSQPSEPLVLVVSGEAHALIGVLVTF
+VLLLLVLLFLFLQHRGQDRCRKSRE
+>tr|F1N5V9|F1N5V9_BOVIN Trafficking protein particle complex subunit OS=Bos taurus OX=9913 GN=TRAPPC3 PE=3 SV=1
+MSRQANRGTESKKMSSELFTLTYGALVTQLCKDYENDEDVNKQLDKMGYNIGVRLIEDFL
+ARSNVGRCHDFRETADVIAKVAFKMYLGITPSITNWSPAGDEFSLILENNPLVDFVELPD
+NHSSLIYSNLLCGVLRGALEMIRKPRCTADT
+>tr|E1BAW0|E1BAW0_BOVIN Transmembrane 6 superfamily member 2 OS=Bos taurus OX=9913 GN=TM6SF2 PE=4 SV=1
+MDIPPLAGKIAVLSLGALPLSFALNYVSLLSYPLGVVLMSTLILGLLSLAIYSLSRSDIY
+YDPLYAVFAVFAFTSVVDLLIALQEDGYMAGFMAFYTKEGEPYLRTAHGVFICYWDGIVH
+YLLYLAMTGAIRKRKSYRNFGLYWLGSFIMSILVFLPGNILGKYSSEIRPTFFLTIPFLL
+VPCWAGLRVFNQTQVPTCCTPNMVEEDQRKGLLRRPVDLALIIYLIFAAFFTLFRGLVVL
+DCPMDACFVYIYQYEPYLRDPVTYPKVQMLVNMFYVLPFYGLAIYALIFPGCSWLPDWAL
+VFAGAIGQAQFSHMGASMHLRTPFTYRVPEDAWASFFVCNLLYALGPHLLAFRCLWRPAF
+FLRPPPGPPAHHEKQH
+>tr|A0A3Q1LY71|A0A3Q1LY71_BOVIN Bax inhibitor 1 OS=Bos taurus OX=9913 GN=TMBIM6 PE=3 SV=1
+MNIFDRKINFDALFKFSHITPSTQQHLKKVYASFALCMFVAAAGAYIHVVTHFIQAGLLS
+ALGSLGLMIWLMATPHSHETEQKRLGLLAGFAFLTALDITAFSFNSILPTAFMGTAMIFT
+CFTLSALYARRRSYLFLGGILMSAMSLMLLSSLGNLFFGSVWLFQANLYMGLVVMCGFVL
+FDTQLIIEKAENGDKDYIWHCVDLFLDFVTLFRKLMMILAMNEKDKKKKK
+>tr|A0A140T865|A0A140T865_BOVIN Long-chain-fatty-acid--CoA ligase ACSBG1 OS=Bos taurus OX=9913 GN=ACSBG1 PE=4 SV=1
+MPDSRAAPQESLLDASLGTTQENVGTSSLTDGQTLSKEPLSHALKLSTPEKVKDAQLNPP
+EEALWTTRADGRVRLRIDPICSQTPRTVHQMFSTTLDKYGDLSAMGFKRQGTWEHISYTQ
+YYLLARKAAKGFLKLGLERAHSVAILAFNSPEWFFSAVGAVFGGGIITGIYTTSSPEACQ
+YIAYDCRANIIVVDTQKQLEKILKIWKHLPHLKAVVIYREAPPMRMPSVYTMEELMELGN
+EVPEEALDVIINAQKPNQCCALVYTSGTTGNPKGVMLSQDNITWTARYGSQAGDIQPAEI
+QQEVVVSYLPLSHIAAQIYDLWTGIQWGAQVCFAEPDALKGSLVNTLREVEPTSHMGVPR
+VWEKIMEQIQEVAAQSGFIWRKMLLWAMSVTLEQNLTCPSSDLKPFTTRLADYLVLAKVR
+QALGFAKCQKNFYGAAPMTAETQHFFLGLNIRLYAGYGLSETSGPHFMSSPYNYRLYSSG
+KVVPGCQVKLVNEDAEGIGEICLWGRTIFMGYLNMEDKTCEAIDAEGWLHTGDTGRLDAD
+GFLYITGRLKELIITAGGENVPPVPIEEAVKTELPIIRNAMLIGDQRKFLSMLLTLKCTL
+DPDTFEPTDNLTEQAVEFCQRVGSKATTVSEVVGKKDEAVYQAIEEGIQRVNMNAAARPY
+HIQKWAILEKDFSISGGELGPTMKLKRLAVLEKYKDVIDSFYQEQKK
+>tr|G3MYW7|G3MYW7_BOVIN Lactadherin OS=Bos taurus OX=9913 GN=MFGE8 PE=4 SV=1
+MPCPRLLAALCGALFCSSGLFAFSGDFCDSSQCLHGGTCLLNEDRTPPFYCLCPEGFTGL
+LCNETEHGPCFPNPCHNDAECQVTDDSHRGDVFIQYICKCPLGYVGIHCETTCTSPLGMQ
+TGAIADSQISASSMHLGFMGLQRWAPELARLHQTGIVNAWTSGNYDKNPWIQIFIGNVNN
+SGLKINLFDTPLETQYVRLVPIICHRGCTLRFELLGCELNGCTEPLGLKDNTIPNKQITA
+SSYYKTWGLSAFSWFPYYARLDNQGKFNAWTAQTNSASEWLQIDLGSQKRVTGIITQGAR
+DFGHIQYVAAYRVAYGDDGVTWTEYKDPGASESKIFPGNMDNNSHKKNIFETPFQARFVR
+IQPVAWHNRITLRVELLGC
+>tr|A0A3Q1MIM4|A0A3Q1MIM4_BOVIN Heterogeneous nuclear ribonucleoprotein H1 OS=Bos taurus OX=9913 GN=HNRNPH1 PE=1 SV=1
+MMLGTEGGEGFVVKVRGLPWSCSADEVQRFFSDCKIQNGAQGIRFIYTREGRPSGEAFVE
+LESEDEVKLALKKDRETMGHRYVEVFKSNNVEMDWVLKHTGPNSPDTANDGFVRLRGLPF
+GCSKEEIVQFFSGLEIVPNGITLPVDFQGRSTGEAFVQFASQEIAEKALKKHKERIGHRY
+IEIFKSSRAEVRTHYDPPRKLMAMQRPGPYDRPGAGRGYNSIGRGAGFERMRRGAYGGGY
+GGYDDYNGYNDGYGFGSDRFGRDLNYCFSGMSDHRYGDGGSTFQSTTGHCVHMRGLPYRA
+TENDIYNFFSPLNPVRVHIEIGPDGRVTGEADVEFATHEDAVAAMSKDKANMQHRYVELF
+LNSTAGASGGAYEHRYVELFLNSTAGASGGAYGSQMMGGMGLSNQSSYGGPASQQLSGGY
+GGGYGGQSSMSGYGSQGAVNSSYYSSGSRTSMGVNGMGGMSSMSSMSGGWGM
+>tr|E1B8Z9|E1B8Z9_BOVIN Membrane anchored junction protein OS=Bos taurus OX=9913 GN=MAJIN PE=4 SV=2
+MSLKPFTYPFPETRFLHAGPNVYKFKIRYGNSIRGEEVENKEVIVQELEVGKELRMHPYL
+GFSCFLQTESQSPLDYLTSPCHISSAEKGYCFSREIKAYTLSQFS
+>tr|A0A3Q1MBD2|A0A3Q1MBD2_BOVIN RRM domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MWNFIDKGSGHPAFPPRCFHAPPFWVSGCTPPPIAGDTSCGLRTRAPPPGVGLLSLGALC
+LSLPPPAGPEGCNLFIYHLPQEFGDTELTQMFLPFGNIISSKVFMDRATNQSKCFGFVSF
+DNPASAQTAIQAMNGFQIGMKRLKVQLKRPKDPGHPY
+>tr|F1MXE4|F1MXE4_BOVIN 26S proteasome non-ATPase regulatory subunit 6 OS=Bos taurus OX=9913 GN=PSMD6 PE=4 SV=1
+MPLENLEEEGLPKNPDLRIAQLRFLLSLPEHRGDAAVRDELMAAVRDNNMAPYYEALCKS
+LEWQMDVDLLNKMKKANEDELKRLDEELEDAEKNLGESEIRDAMMAKAEYLCRIGDKEGA
+LTAFRRTYDKTVALGHRLDIVFYLLRIGLFYMDNDLITRNTEKAKSLIEEGGDWDRRNRL
+KVYQGLYCVAIRDFKQAAELFLDTVSTFTSYELMDYKTFVTYTVYVSMIALERPDLREKV
+IKGAEILEVLHSLPAVRQYLFSLYECRYSVFFQSLAIVEQEMKKDWLFAPHYRYYVREMR
+IHAYSQLLESYRSLTLGYMAEAFGVGVEFIDQELSRFIAAGRLHCKIDKVNEVVETNRPD
+SKNWQYQETIKKGDLLLNRVQKLSRVINM
+>tr|F1N3F2|F1N3F2_BOVIN Interferon regulatory factor 2 binding protein like OS=Bos taurus OX=9913 GN=IRF2BPL PE=4 SV=2
+MSAAQVSSSRRQSCYLCDLPRMPWAMIWDFSEPVCRGCVNYEGADRIEFVIETARQLKRA
+HGCFQDGRSPGPPPPVGVKTVALSAKEAAAAAAAAAAAAQQQQQQQQQQQQLNHVDGSSK
+AAVLAAPSGLERYGLSAAAAAAVEQRSRFEYPPPPVSLGSSSHAARLPNGLGGPNGFPKP
+NPEEGPPELNRQSPNSSSAAASVASRRGTHGGLVAGLPNPGGGGGPQLTVPPNLLPQTLL
+NGPASAPVLPPPPPHALGSRGPPTPAPPGAPGGPACLGGAPGVSVASSSAASSTSSSVAE
+VGVGAGGKRPGSVSSTDQERELKEKQRNAEALAELSESLRNRAEEWANKPKMVRDTLLTL
+AGCTPYEVRFKKDHSLLGRVFAFDAVSKPGMDYELKLFIEYPTGSGNVYSSASGVAKQMY
+QDCMKDFGRGLSSGFKYLEYEKKHGSGDWRLLGDLLPEAVRFFKEGVPGADMLPQPYLDA
+SCPMLPTALVSLSRAPSAPPGTGALPPATPSGRGAAASLRKRKASPEPPDSAEGALKLGE
+EQQRQQWMANQSEALKLTMSAGGFAAPGHAAGGPPPPPPPLGPHSNRTTPPESAPQNGPS
+PMAALMSVADTLGTAHSPKDGSSVHSTTASARRNSSSPVSPASVPGQRRLTSRNGDLNLQ
+VAPPPPSTHPGMDQVHPQNIPDSPMANSGPLCCTICHERLEDTHFVQCPSVPSHKFCFPC
+SRESIKAQGATGEVYCPSGEKCPLVGSNVPWAFMQGEIATILAGDVKVKKERDP
+>tr|A0A3Q1MH74|A0A3Q1MH74_BOVIN Dipeptidase OS=Bos taurus OX=9913 GN=DPEP1 PE=3 SV=1
+MPGEASESELRGPVQVPDGLTPGNVSSVINLQESSAAEVPELNVNDPDSWSGQTHSSGRQ
+RVSRDSAMWTGWWLWPLVAVCTADQFRDNAVRLMQSTPVIDGHNDLPWQLLKRFNNQLQD
+PRANLTSLNGTHTNIPKLKAGFVGAQFWSAYTPCDTQNKDSVKRTLEQIDVIQRMCQLYP
+ETFLCVTDSAGIQQAFQEGKVASLVGVEGGHSIDSSLGVLRALYHLGMRYLTLTHSCNTP
+WADNWLVDTGEDEAQSQGLSSFGQSVVKEMNRLGVIIDLAHVSVATMEAALQLSKAPVIF
+SHSSAYSVCRHRRNVPDHVLQLVKQTGSLVMVNFYNDYVSCKAEANLSQVADHLDYIKKV
+AGAGAVGFGGDYDGVSRLPSGLEDVSKYPDLVAELLRRQWTEEEVRGALAENLLRVFKAV
+EQASDHKQAPGEEPIPLGQLEASCRTNYGYSGAPSLHLQPGTLLASLVTLLLSLCLL
+>tr|G3MXW7|G3MXW7_BOVIN Interferon regulatory factor 6 OS=Bos taurus OX=9913 GN=IRF6 PE=4 SV=2
+MALHPRRVRLKPWLVAQVDSGLYPGLIWLHRDSKRFQIPWKHATRHSPQQEEENTIFKAW
+AVETGKYQEGVDDPDPAKWKAQLRCALNKSREFNLMYDGTKEVPMNPVKIYQVCDIPQPQ
+GSIINPGSTGSAPWDEKDNDVDEEDEEDELDQSQHHLSVLGSPIAPASVGNCSVGNCSPE
+AVWPKTEPLEMEVPQAPIQPFYSSPELWISSLPMTDLDIKFQYRGKEYGQTMTVSNPQGC
+RLFYGDLGPMPDQEELFGPVSLEQVKFPGPEHITNEKQKLFTSKLLDVMDRGLILEVSGH
+AIYAIRLCQCKVYWSGPCAPSLVAPNLIERQKKVKLFCLETFLSDLIAHQKGQIEKQPPF
+EIYLCFGEEWPDGKPQERKLILVQVIPVVARMIYEMFSGDFTRSFDSGSVRLQISTPDIK
+DNIVAQLKQLYRILQTQESWQPMQPAPSMQLPTTLPAQ
+>tr|A0A3Q1MQM6|A0A3Q1MQM6_BOVIN Cytokine receptor like factor 1 OS=Bos taurus OX=9913 GN=CRLF1 PE=4 SV=1
+MGGNHKQLLCRTAMSLGGPRLVEGPGQLHPSPDRCSSLTPDTAVISPQDPTLLIGSSLQA
+TCSVHGDTPGATAEGLYWTLNGRRLPPELSRMLNASTMALALANLNGSRQQSGDNLVCHA
+RDGSILAGSCLYVGLPPEKPFNISCWSRNMKDLTCRWTPGAHGETFLHTNYSLKYKLRWY
+GQDNTCEEYHTVGPHSCHIPKDLALFTPYEIWVEATNRLGSARSDVLTLDILDVVTTDPP
+PDVHVSRVGGLEDQLSVRWVSPPALKDFLFQAKYQIRYRVEDSVDWKVPAPPLPGRLLPP
+PIPCRAAPASDPALAAQVVDDVSNQTSCRLAGLKPGTVYFVQVRCNPFGIYGSKKAGIWS
+EWSHPTAASTPRSERPGPGGGACEPRGGEPSSGPVRRELKQFLGWLKKHAYCSNLSFRLY
+DQWRAWMQKSHKTRNQVGKWDPWERGGGVGPGGGKGDGR
+>tr|A0A3Q1MAM1|A0A3Q1MAM1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MATRNPPPQEYESDDDSYEVLDLTEYARRHHWWNRVFGHSSGPTVEKYSVATQIVMGGVS
+GWYAGFLFQKVGKLAATAVGGGFLLLQINIVISSGFVGGFLLGLAS
+>tr|A0A3Q1LRK8|A0A3Q1LRK8_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MKEMGIPDHLTCPLRNLYAGQEATVRTGHGTTDWFQIGKGVRQGCILSHCLFNFYAEYIM
+RNTGLEEAQAGIKIAGRNINNLRYADDTALIAESEEELKSLLMKVKVESEKVGLKLNIQK
+TIMASGPIISWEIDGETVGTVSDFIFLGSKITTDGDCSHEIKRRLLFGRKVMTKLDSIFK
+SRDITLPTKVHLVKAMVFPVVMYGCESWTVKKAERRRTDAFELWCWRRRLRVPWTARRSN
+QSILKEMSPGISLEGMMLKLKLQYFGHLMRRVDSLEKTLMLGGMGGRRRRGQQRMRWLDG
+ITDSMDVSLGELRELVMDREAWHAAIHGVAKSRTRLSD
+>tr|A0A3Q1NBD6|A0A3Q1NBD6_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MENELELPDVQAGFRKGRGTRDQIANICWIMEKAREFQKNIYFCFIDYAKAFDCVDHNKR
+WKILKEMGIPDHLICLLRNLYAGQEATVRTGHETTDLFQIGKGVCQGCILSPYLFNLYAE
+YIMRNAGLEETQAGIKIAKKNINNLRYADDTTLMAESEEELKSLLMKVKEESEKIDLKLN
+IQKTKIMASSPITSWEIDGETVETVSDFIFLGSKITADGDCSHEIKRCLLLGRKVMTNLD
+SILKSRDITLPTKVRLVKAMVFPVVMYGCESWTVKKAERRRIDAFELWYWRRLLRVPWTA
+RRSSQSILKEISPGISLEGMMLKLKLQYFGHLMRRVDSLEKTLMLGGIGGRRRRG
+>tr|E1BP47|E1BP47_BOVIN Secreted LY6/PLAUR domain containing 1 OS=Bos taurus OX=9913 GN=SLURP1 PE=4 SV=2
+MAVPRALLALLLAAASAVHLGEALSCITCEQPTALPLCKNITYCKPNEIACKTTLVTVEA
+EFPFNESPVVTSTCASSCEATDPDSIGAAHPIFCCFHDLCNSVGIARLSAGALAPLGAVV
+LSHLLP
+>tr|A0A3Q1LKH3|A0A3Q1LKH3_BOVIN MLX interacting protein like OS=Bos taurus OX=9913 GN=MLXIPL PE=4 SV=1
+MTMAGALAGLVAGLQGPRVVPSPDSDSDTDSEDPNTRRSAGGLLRSQVIHSGHFMVSSPH
+SDSLTRRRDQEGSLGHADFGPRSIDPTLTRLFECMSLAYSGKLVSPKWKNFKGLKLLCRD
+KIRLNNAIWRAWYIQYVERRKSPVCGFVTPLQGPEADEHRKPQAVVLEGNYWKRRIEVVM
+REYHKWRIYYKKRAEVGWQPPERWCEQLFTSVVPVLLGGPEEEPSGRQLLDLNCFLSDIS
+DTLFTMTQPTPTPLQLPPEDAYVGNADMIQPDLTPLQPSLDDFMEISDFFTNYRPPQTPT
+PSNFPEPHGFGPMADPVLGSGILGSEVPPACSGMTHLSGHNRLQARSSCPGSLDSSTYLN
+SDFLLPEDPKPKLPPTPAPPPLLQYPSPAKGLGLEPCPPPPFPPMAPPPALLQEEPLFSP
+RFAFPAIPPAPGVSGLSAPTAFPPTPQPGPGPAPFPIDLLPSGYSETPFGPHFLVPQGTR
+PRGKPPAPTPRGRKPGAPAVAPATASPTATAGSNNPCLTQLLTAAKPEQALEPPLVSSAL
+LRPPGSPQETLPEFPCTFFPPTPAPTPPRLPPGSATPAPPRPLIVPKVERLSPPAPSGGE
+RRLSAELTSLPGPGALSICISPPQPMLSRGRPDSKTENRRITHISAEQKRRFNIKLGFDT
+LHGLVSTLSTQPNLKMSKATTLQKTAEYIAMLQQERAAKQEEAQQLRDQIEELNAAINLC
+QQQLPATGVPITHQRFDQMRDMFDDYVRTRTLHNWKFWVFSILIRPLFESFNGMVSTASL
+QSLRQTSLAWLDQYCSLPALRPTVLNSLRQLSTSTSILTDPDCIPEQATRAVTEGTLGKS
+L
+>tr|G3N3J7|G3N3J7_BOVIN Zinc finger and BTB domain containing 41 OS=Bos taurus OX=9913 GN=ZBTB41 PE=4 SV=2
+MLERSFSTRRSKRNRKCPVKFEDTSDDEQESGDGSDNLNQDSFDKEKSDRNDSEDPGSEY
+NAEEDELEEEMSDEYSDTEEQSEKDQNDAEEEPEAGDSVGSIHEGLTPVIIQNSNKKILQ
+CPKCDKTFDRIGKYESHTRVHTGEKPFECDICHQRYSTKSNLTVHRKKHSNETEFHKKEH
+KCPYCNKLHASKKTLAKHVKSQTHLWSICKKSFTRRPHLEEHMILHSQDKPFKCTYCEEH
+FKSRFARLKHQEKFHLGPFPCDICGRQFNDTGNLKRHIECTHGGKRKWTCFICGKSVRER
+TTLKEHLRIHSGEKPHLCSICGQSFRHGSSYRLHLRVHHDDKRYECDECGKTFIRHDHLT
+KHKKIHSGEKAHQCEECGKCFGRRDHLTVHYKSVHLGEKVWQKYKATFHQCDVCKKIFKG
+KSSLEMHFRTHSGEKPYKCQICNQSFRIKKTLTKHLVIHSDARPFNCQHCNATFKRKDKL
+KYHIDHVHGIKSPDDPLSASEEKLVSLPVEYSSDDKIFQTETKQYMEQPKVYQSEAKTML
+QNVSAEVCVPVTLVPVQMPDTQGDLVRHTTTLPPPAHEILSPQPPATDYPRAADLAFLEK
+YTLTPQPANIVHPVRPEQMLDPREQSYLGTLLGLDNSTAVQNISNSEHHS
+>tr|A0A3Q1MAQ6|A0A3Q1MAQ6_BOVIN Propionyl-CoA carboxylase beta chain, mitochondrial OS=Bos taurus OX=9913 GN=PCCB PE=4 SV=1
+MAAAMRVAVAGARLSVVLRSLRGAGRSLCTQPVSVNERIENKRQAALLGGGQRRIDAQHK
+RFPGDSVVTGRGRINGRLVYVFSQDFTVFGGSLSGAHAQKICKIMDQALTVGAPVIGLND
+SGGARIQEGVESLAGYADIFLRNVTASGVIPQISLIMGPCAGGAVYSPALTDFTFMVKDT
+SYLFITGPDVVKSVTNEDVTQEELGGARTHTTMSGVAHRAFENDVDALCNLREFFNYLPL
+SNQDPAPVLECHDPSDRLVPELDTIVPLESTKAYNMVDIIHAVVDEREFFEIMPNYAKNI
+IVGFARMNGRTVGIVGNQPKVASGCLDINSSVKGARFVRFCDSFNIPLITFVDVPGFLPG
+TAQEYGGIIRHGAKLLYAFAEATVPKVTVITRKAYGGAYDVMSSKHLCGDTNYAWPTAEI
+AVMGAKGAVEIIFKGHENVEAAQAEYIEKFANPFPAAVRGFVDDIIQPSSTRARICCDLD
+VLASKKVNNHGVFKFFVHIF
+>tr|A0A3Q1N877|A0A3Q1N877_BOVIN Phosphorylase b kinase regulatory subunit OS=Bos taurus OX=9913 GN=PHKB PE=3 SV=1
+LIHMHMYYLPNYSVYEPLKSINLPRSDETLWDKLDHYYRIVKSTLLLYQSPTTGLFPTKT
+CGNDQKAKIHDSLYCAAGAWALALAYRRIDDDKGRTHELEHSAIKCMRGILYCYMRQADK
+VQQFKQDPRPTTCLHSIFNVHTGDEILSYEEYGHLQINAVSLYLLYLVEMISSGLQIIYN
+TDEVSFIQNLVFCVERVYRVPDFGVWERGSKYNNGSTELHSSSVGLAKAALEAINGFNLF
+GNQITFVAFHLCGSSWANTDAALLPCISYPAFALDDEVLFSQTLDKVIRKLKGKYGFKRF
+LRDGYRTSLEDPNRRYYKPAEIKLFDGIECEFPIFFLYMMIDGYPVIPKYYYVPADFVEH
+EKRNPGSQKRFPSNCGRDGKLFLWGQALYVIAKLLADELISPKDIDPVQRFVPLQNQRNV
+SMRFSNQGPLENDLVVYVALIAESQRLQVFLNTYGIQTQTPQQVEPIQIWAQQELVKAYF
+HLGVNEKLGLCGRPDRPIGCLGTSKIYRILGKTVVCYPIIFDLSDFYMSQDVLLLIDDIK
+NALQFIKQYWKMHGRPLFLVLIREDNIRGSRFNPILDMLAAFKKGMIGGVKVHVDRLQTL
+ISGAVVEQLDFLRISEIEELPEFKSFEELEVPKHSKVKRQSSTSNAPEQEQKPDVTMTEW
+RNKLTHEILQKLNDCSCLASQAILLGILLKREGPNFITKEGTVSDHIERVYRRAGSKKLW
+SVVRHAASLLSKLVDSLAPSITNVLVQGKQVTLGAFGHEEEVISNPLSPGVIKNIIYYKC
+NTHDEREAVIQQELVIHIGWIISNNPELFSGMLKIRIGWIIHAMEYELQIRGGNKPARDL
+YQLSPSDVKQLLLDILQPQQNGRCWLNRRQIDGSLNRTPPGFYDRVWQILERTPNGIIVA
+GRHLPQQPTLSDMTMYEMNFSLLVEDMLGNIDQPKYRQIVVELLMVVSIVLERNPELEFQ
+DKVDLDKLVKEAFHEFQKDESRLKEIEKQDDMTSFYNTPPLGKRGTCSYLTKVVMNLLLE
+GEVKPSNDDPCLVS
+>tr|A0A3Q1M8V4|A0A3Q1M8V4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MSLWAPPRLLDLAAMSVLRNEDLAMSSLEFLHIKTLKTMVYSWPFVRLPLGGLMEPPHLI
+TLQAVLDGLDVLLSQKEHPRRCKLQVLDLRNTGQNFWSIWSGYMDHMYSSSLTAPVPEDR
+SRTKQPLAPLEVFIEMNLKEWAMDEFLTYLMRWAEQRKGSIHLCCKKLKIFGMPVENIMK
+VLSMVQLDCIQDVEVNQNWHLSSLATFAPLLGQMSNVQRLILSASKEQEQHVVVQFTSQF
+LKLHHLRDLYLESPFFLSGRLDQMLRCLMSPLDNLTITHCLLTESDLTHLSQCPRISQLK
+GLDLSGITLADFSPELLQVLLEQVCEIMDSQIEAILPALSHCSQLRSISMCGNLLSMAAM
+EKLLRHTSGLPRLSQEFYPAPLESNSSQAVLSEERLAQLRAELLEILRDLGHPRTIWLNS
+IFFASYGIFLTQGWNLGLLHCRQILCLSRQGSQPIGYN
+>tr|G3MWQ7|G3MWQ7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC781197 PE=4 SV=2
+MLEHLSSLPTQMDYKGQKLAEQMFQGIILSSAIVGFIYGHLAEQFGWTVYIVMAGFAFSC
+LLTLPPWPIYRRHPFKWLPVQDSSTEDKKPGERKVKRHAKNN
+>tr|A0A3Q1N6U4|A0A3Q1N6U4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MKGALLVLALMVTRELIFQTTEACPVFYGVFGTLILGSKTLLNTTLDFVAATDEEKAALG
+KIQDCYDEAGFDDKVLDLVLTCVIFFF
+>tr|A0A3Q1M2Z3|A0A3Q1M2Z3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+ELPWLLGALVPAPTSEDCKSLHPTLLWPWSLPVQLWSYALPPAHHHRGGEAHRSAEDTTS
+IPGGGGQAASALHEGAGKPLRSPQAQVQCPQRPTLGDLLSASPEEGLLQRAVLALGRMEE
+GSVIPEGFMEVSVEHISRAFWRLTPASPSYARPWVTAGSLAEETRLWHR
+>tr|A0A3Q1LTH0|A0A3Q1LTH0_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC100848982 PE=3 SV=1
+MIGGGNITEITHFILLGFSDFPRIIVVLFVVFLVIYILTLTWNLSLLILIRMDSHLHTPM
+YFFLSNLSFMDICYVTSTAPKMLYEFFQKRQIITYVDCVIQNFIFSTMGLSESCLMTAMA
+YDRYAAICNPLLYSSVMSPALCGRMVLGSYLAGLSATVFQLCFMLQLHFCGPNVINHFFC
+DLPQLLVLSCTDTFFLHLLTVILTMIFGIVNVSVIMISYIYIVISIMKITTSSGRSKAFN
+TCASHLTAVTLFYTSGMFVYLSYSSGGSSSFDRFASFFYTVMIPMLNPLIYSLRNQEIKD
+ALRRLQKKNQESLI
+>tr|A0A3Q1MMN1|A0A3Q1MMN1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=C7orf61 PE=4 SV=1
+MAVVIKFFRWIWQKISRWVFFWKHKAKSVIMDHTDSKKNELKAEKAFKVSETFKLVEPPK
+EAKVSKMDVSPKVVDPCLLAKTTMDGAAVEAGRRRRSLLKLPQAAVKSVSMLMASALQSG
+WQMCSWKVSTMSPLSPAAPPSPPRSPLLIHAGGNVKWCGHTGKTVWSLLIRLNMQLSYGL
+VTVLLGIYPR
+>tr|A0A3Q1LTM7|A0A3Q1LTM7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MDPCTGGNGCAHICQSENGVARCACHPGYQLSEDKKGCADINECAERLAPCSHRCVNTVG
+SFTCACQPGFELGADGKQCYRIELEIVNSCEKNNGGCSHHCEHAVGGPRCSCNHGHQLDS
+DEKTCIDLDECDSGEACCAQFCINYSGGYECSCQEGFQISSDGCGCDDVDECLDVSIICD
+QLCINSVGTYECSCEEGYRIGSDGKTCISLDDELEEEEELDIVKFPALLFKSPPQLLHYV
+ATSLPLSHEDEDHEEQGHDIPGELTDLHNVVCLDNTFGHECSLSCEDCMNGGRCQEGKSG
+CSCPDGWGGILCNETRSPETSVRQYDDICDCQNGGTCDPLTGRCKCPPGVHGKTCGDETN
+QETTFSTWADKTQKKKLLVQSQELKAESHEDDAQKDSLGRIAKGNVTVPTMAIATVYMEP
+VCVSQGAMGGFVI
+>tr|G3N085|G3N085_BOVIN Leuk-A4-hydro_C domain-containing protein OS=Bos taurus OX=9913 GN=AOPEP PE=4 SV=2
+REEEAARGGRCRYQPTPETDPGGLRAPACAPTGASLLPDQLVLLLEHLLEQKTVTPRTLQ
+SLERIYRLSEQDAEVRHRWCELIVKHKYTKAYRDVERFLQEDQAMGIYLYGELMLSEDPR
+QQHLARRCFELSREQMDKSSAEVVAEMLF
+>tr|A0A3Q1M1X8|A0A3Q1M1X8_BOVIN Teashirt zinc finger homeobox 1 OS=Bos taurus OX=9913 GN=TSHZ1 PE=4 SV=1
+MNFRPRYHGKISKNGDLMSSVDVGAYVPEEELKAAVIDEGSVEEDGLPGDVQEADFACSE
+EAEIKEAQSYQNSPISTATNQDAGYGSPFSEHSDSDQPAHFKSSSSKEEKEERQGAEGVS
+YPQDSLAQIKAVYANLFSESCWSSLALDLKKSASTTSTSDAAQKEGSTPAPTPPTSTAGA
+TGTTASTPSSGSGASGGSGYDWHQAALAKTLQQTSSYGLLPEPSLFSTVQLYRQNNKLYG
+SVFTGASKFRCKDCSAAYDTLVELTVHMNETGHYRDDNRDKDSEKTKRWSKPRKRSLMEM
+EGKEDAQKVLKCMYCGHSFESLQDLSVHMIKTKHYQKVPLKEPVPALTKLVPSTKKRALH
+ELAAPGSPEPAGAAAAEAALSEAAKEQKTANPYVTPNNRYGYQNGASYTWQFEARKAQIL
+KCMECGSSHDTLQQLTAHMMVTGHFLKVTTSASKKGKQLVLDPVVEEKIQSIPLPPTTHT
+RLPAAATTAHVKKQPDSPAGPTIAEEKKEPEKDKAALVAGEVDKKIKEEGEDTGEKFEPT
+ALYQYLREEDLDDSAKGGVDILKSLENTVSTAISKAQNGAPSWGGYPSIHAAYQLPGTVK
+PPPAVQSVQMQPSFAGGVKPLSAEHGALLHSPGSLTPPPHKSNVSAMEELVEKVTGKVSV
+KKEERPAEKEKGSPAKATSPAAKENKDFPRADELGGSSKPQPKKGPEADGGKAKKEGTGD
+AHTPNGTEPLKAKVTNGCNNLAIITDHSPEPSFINPLSALQSIMNTHLGKVSRPVSPSLD
+PLAMLYKISTSMLDKPAYPAPPAKPPGAADRYFYENSDQPIDLTKSKSKPLVPGASDGVS
+SPLRESALMDISDMVKNLTGRLTPKSSTPSTVSEKSDADGSSFEEALDELSPVHKRKGRQ
+SNWNPQHLLILQAQFASSLRETAEGKYIMSDLGPQERVHISKFTGLSMTTISHWLANVKY
+QLRRTGGTKFLKNLDTGHPVFFCNDCASQFRTASTYINHLETHLGFSLKDLSKLPLNQIQ
+EQQNVSKVLASKALGPSGAAEDELGSTFQCKLCNRTFASKHAVKLHLSKTHGKSPEDHLI
+YVTELEKQ
+>tr|A0A3Q1LY27|A0A3Q1LY27_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=MS4A7 PE=4 SV=1
+MPSLQRASLFPKERSLDTSTRKMTCQKVCQKKPQFSDPIPIFLEGIGETHSSEFPLRSLS
+QIIQILCFLVISSLGVILVSVPNSSQLSPAISSILMTGYPFLGALCFAITGTVSIISGKK
+SNKPFAINSLIFSAVSSVAAGVGLILLAGSLVALRTASQQCDSGRDYLSLLPYSTYYYSI
+YDVKDCLLTIVDLTVSRLHFLSCDTSVSLLRRLFCLFSSLQPCWFERLEANRKDKKLWLK
+EVGQQAA
+>tr|G3X7D3|G3X7D3_BOVIN RAB39A, member RAS oncogene family OS=Bos taurus OX=9913 GN=RAB39A PE=4 SV=1
+METIWIYQFRLIVIGDSTVGKSCLLHRFTQGRFPGLRSPACDPTVGVDFFSRLLEIEPGK
+RIKLQLWDTAGQERFRSITRSYYRNSVGGFLVFDITNRRSFEHVKDWLEEAKMHVQPFQI
+VFLLVGHKCDLASQRQVTREEAEKLSADCGMKYIETSAKDATNVEESFTILTRDIYELIK
+RGEICIQDGWEGVKSGFVPNTVHSSEEAVKPRKECFC
+>tr|G3N3V6|G3N3V6_BOVIN Zinc finger protein 804B OS=Bos taurus OX=9913 GN=ZNF804B PE=4 SV=2
+DFPEKEKSTAKALEDVKANFYCELCDKQYHKHQEFDNHINSYDHAHKQRLKELKQREFAR
+NVASKSWKDEKKQEKALKRLHQLAELRQQSECVSENGPAYKASKVPIEKQLQQGIFPVKN
+GRKVSCMKNALLLKGKNLSRSIADKQRSTTANRHQLQTDRRRLFGNRVPQTSSDLSNANQ
+RTGVSFSFSKKVHLKLESSASVFSENTEETHDCNKSPIYKTKSTAEKCMCCRFANEDTHL
+TKEKDINISSNHLESILHNTLPINSQILQDKNDFVDEMLENSIGIHASFSKSNIHLSDVD
+FTPSSRAKETRNTLKNTSENRISHSCQANVSSSPTNIYKHSDARVFGCLGEIPSIEPSEQ
+SSSVHLNPNSRKQKREKSLDKTERVSRNAQSFIREAYPHDVKAKALPFLHVKSKDGHTTL
+QWPTELLLFTKTEPCISYGCNPLYFDFKLSRNTKDGRDPEDLKTELQKEASEMKTTMENQ
+VSGLIKDQQKLIQEDNRSLKPKMKIANPDWENFQRKYNLGGNNSEPSMSERNFSASDLEM
+KNPEVPAYLDISLKNCVGNNKNGGNELQEPSRAHWQSCQRVILNDANKGLSFSPCTSRTK
+KHKLISCDPHLDVEEVNQFTWKSSPYTIRDHSDHGKDFSVILNSNYISTTSGVSGCGRAS
+YKISSPKVSLNRCSSPSDTSHVSTSSLRSSHSNYGSSGHDRGNLLYFCKREHNSVERHKR
+KRRKHSCFCFSEELAKSDCPQSETERGRSCKLWESIKNEKYSKHAYGYCREKHKLGKNQQ
+FSGQKSKRITQCDFSSQVFCAGNSENPPNCQGPQHSRMGSHSREKMYYLNKSKMNQQSLD
+SPHICDLGKVKPMQCNSGNISYLLRNCSRSPSDTTELNPIEGERTSLTAKSLLERVQAKK
+CQEQSIQFEISSNSCKNESETLSQIQCVIPFTPPGCNRPSLPLPEKTPNKGRRRKDKGSA
+MQRSIDKNKVKSSPTNDFTVLVGTDCDNHFSKGIIHVVAESHSPNMKKNPTTKEQPKSLI
+NEVQPSIQSCDPVPNGFPGAFPSNRYSGITDSMETKENDMNLDLQDGSMQMNQIEGNINS
+YYDRTMQKHDKVADDLEVCHKSLSPPLIQQPITYSPDEIDKYKLLQLQAQQHMQKQLLSK
+HLRVLPAAGPTAFSSASTVQTVPGHQQASITTIHHTFLQHIVSASINAHSSHLPIAHLHP
+LSQPRFNPFTFSPLTPTVIPAHPTFLAGHPLHLVTTAPFHPSHITFQPLPPAAFIPTLFG
+PHFNPATTSIIHLNPLIQPVFQGQDLCHHSCSSQMQPLNGVKEALNVSARLN
+>tr|E1BEN4|E1BEN4_BOVIN GDP-mannose pyrophosphorylase A OS=Bos taurus OX=9913 GN=GMPPA PE=1 SV=1
+MLKAVILIGGPQKGTRFRPLSFEVPKPLFPVAGVPMIQHHIEACAQVPGMQEILLIGFYQ
+PDEPLTRFLEAAQQEFNLPIRYLQEFAPLGTGGGLYHFRDQILAGSPEAFFVLNADVCSD
+FPLSAMLDVHRHQPHPFLLLGTTANRTQSLNYGCIVENPQTHEVLHYVEKPSTFVSDIIN
+CGIYLFSPEALKPLRDVFQRNQQDGQLEDSSGLWPGAGTIRLEQDVFSALAGQGQIYVHL
+TDGIWSQIKSAGSALYASRLYLSQYQLTHPERLAKHTPGGPRIRGNVYIHPTAKVAPSAV
+LGPNVSIGEGVTIGEGVRLRESIVLHGATLQEHTCVLHSIVGWGSTVGRWARVEGTPNDP
+NPNDPRAHMDSESLFKDGKLLPAITILGCRVRIPAEVLILNSIVLPHKELSRSFTNQIIL
+>tr|A0A3Q1NGC5|A0A3Q1NGC5_BOVIN Peptidase D OS=Bos taurus OX=9913 GN=PEPD PE=3 SV=1
+MAAATGPSFWLGNETLKVPLALFALNRQRLCERLRKNTAVQAGSAVVLQGGEETQRYCTD
+TGVLFRQESFFHWAFGVTEPGCYGVINVDTGTSTLFVPRLPPSHATWMGKIHSKEHFKEK
+YAVDDVQYTDEIASVLTSRSPSVLLTLRGVNTDSGSICREASFEGISKFNVNNTILHPEI
+VECRVFKTDMELEVLRYTNKISSEAHREVMKAVKVGMKEYEMESLFEHYCYSRGGMRHSS
+YTCICGSGENSAVLHYGHAGAPNDKTIQDGDMCVFDMGGEYYCFASDITCSFPANGKFTP
+DQKAIYEAVLRSCRAVMSAMKPGVWWPDMHRLADRIHLEELTRIGLLTGSVDAMVQVHLG
+AVFMPHGLGHLLGLDVHDVGGYPEGVDRIDEPGLQRLRTARHLEPRMVLTVEPGIYFIDH
+LLDEALADPARSCFFNREVLQRFRAFGGVRIEEDVVVTDTGMELLTCVPRTVEEMNTRLD
+LGSDPAEPSGGPNV
+>tr|E1B9F6|E1B9F6_BOVIN Elongation factor 1-alpha OS=Bos taurus OX=9913 PE=3 SV=3
+MGKEKTHINIIVIGHVDSGKSTTTGHLIYKCGGIDKRTIEKFEKEAAEMGKGSFKYAWVL
+DKLKAERERGITIDISLWKFETSKYYVTIIDAPGHRDFIKNMITGTSQADCAVLIVAAGV
+GEFEAGISKNGQTREHALLAYTLGVKQLIVGVNKMDSTEPPYSQKRYEEIVKEVSTYIKK
+IGYNPDTVAFVPISGWNGDNMLEPSANKPWFKGWKVTRKDGNASGTTLLEALDCILPPTR
+PTDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFAPVNVTTEVKSVEMHHEALS
+EALPGDNVGFNVKNVSVKDVRRGNVAGDSKNDPPMEAAGFTAQVIILNHPGQISAGYAPV
+LDCHTAHTACKFAELKEKIDRRSGKKLEDGPKFLKSGDAAIVDMVPGKPMCVESFSDYPP
+LGRFAVRDMRQTNCLSQLAI
+>tr|A0A3Q1LWH9|A0A3Q1LWH9_BOVIN Neuron navigator 3 OS=Bos taurus OX=9913 GN=NAV3 PE=4 SV=1
+MPVLGVASKLRQPAVGSKPVHTALLIPSLGTTGPQRSSSRPLELTELESSMLSCQLTFKS
+NCESEEKKPLQGKAKETEDSKIYTDWANHYLAKSGHKRLIKDLQQDIADGVLLAEIIQII
+ANEKVEDINGCPRSQSQMIENVDVCLSFLAARGVNVQGLSAEEIRNGNLKAILGLFFSLS
+RYKQQQHHQQQYYQSLVELQQRVTHASPQAEASQAKTQQDMQSSLAARYATQSNHSGIAT
+SQKKPTRLPGPSRVPAAGNSSKVQGASNLNRRSQSFNSIDKNKPPNYANGNEKDSSKGPQ
+PSSGVNGNMQPPGTAGQPPASAIPSPSTSKPWRSKSMNAKHSATSTMLTVKQGSPTTSPT
+PSADRLKPPASEGVKTAPSGQKSMLEKFKLVNARTALRPPQSASSGPSDGVKEDDAFSES
+GEMEGLNSGLNSGGSTNSSPKVSPKLAPPKAGSKNLSNKKSLLQPKEKEEKNRDKSKVCT
+EKLVKDEKDQMMETAPKKTSKIASLIPKGSKTTAAKKESLIPSSSGIPKPGSKVPTAKQT
+VSPGNSASKESEKFRTTKGSPSQSFPKPITTEKASTSSCPAPLEGRETSHASLAGSSVGL
+VVQGSGQSMGNGAVQLPQQQQHSHPNTATVAPFIYRAHPENEGTSLPSADSCTSPTKMDL
+SYSKTAKQCLEEISGEDPETRRMRTVKNIADLRQNLEETMSSLRGTQISHSTLETTFDST
+VTTEVNGRTIPNLTGRPTPMTWRLGQACPRLQAGDAPSLGAGYPRSGTGRFIHTDPSRFM
+YTTPLRRAAVSRLGNMSQIDMSEKASSDLDMSAEVDVGGYMSDGDILGKSLRTDDINSGY
+MTDGGLNLYTRSLHRAPDTTASRDVIQRGLHDVAVDADSWDDSSSVSSGLSDTLDNISTD
+DLNTTSSVSSYSNITVPSRKNTQLKTDSEKRSATDEPWESTEELKKPEEDFDSHGDGGGK
+WKGVSSGLPEDPEKTGQKASLAVSQTGSWRRGMSAQGGAPSRQKTGTSALKTPGKTDDAK
+ASEKGKSPLKGSSLQRSPSDAGKSSGDEGKKPPSGIGRSTATGSFGFKKPSGVGSSAMIT
+SSGATITSGSATLGKIPKSAAIGGKSNAGRKTSLDGSQNQDDVGLHVSTKTSLQYRSLPR
+PSKSSTSGIPGRGGHRSSTSSIDSNVSSKSAGATTSKLREPTKIGSGRSSPVTVNQTDKE
+KEKVAVSDSESVSLSGSPKSSPTSASACGTQGLRQPGSKYPDIASPTFRRLFGAKAGGKP
+ASAPNTEGVKSSSVMSSPSTTLARQGSLESPSSGTGSLGSAGGLSGSSSPLFNKPSDLTA
+DVISLSHSLASSPASVHSFTSGGLVWAANLSSSSAGSKDTPSYQSMTSLHTSSESIDLPL
+SHHGSLSGLTTGTHEVQSLLMRTGSVRSTLSESMQLDRNTLPKKGLRYTPSSRQANQEEG
+KEWLRSHSTGGLQDTGNQSPLVSPSAMSSSATGKYHFSNLVSPTNLSQFNLPGPSMMRSN
+SIPAQDSSFDLYDDSQLCGSATSLEERPRAISHSGSFRDSMEEVHGSSLSLVSSTSSLYS
+TAEEKAHSEQIHKLRRELVASQEKVATLTSQLSANAHLVAAFEKSLGNMTGRLQSLTMTA
+EQKESELIELRETIEMLKAQNSAAQAAIQGALNGPDHPPKDLRIRRQHSSESVSSINSAT
+SHSSIGSGNDADSKKKKKKNWLRSSFKQAFGKKKSTKPPSSHSDIEELTDSSLPASPKLP
+HNGGDCGSASMKPSQSASAICECTEAEAEIILQLKSELREKELKLTDIRLEALSSAHHLD
+QIREAMNRMQNEIEILKAENDRLKAETGNVGKPARPPSESASSTSSSSSRQSLGLSLNNL
+NIAESVTSDILLDDPGDATGHKDGRSVKIIVSISKGYGRAKDQKSQAYLIGSIGVSGKTK
+WDVLDGVIRRLFKEYVFRIDTSASLGLSSDCIASYCIGDVTRSHGPEVPELLPCGYLVGD
+NNVITVNLKGVEENSLDSFVFDTLIPKPITQRYFNLLMEHHRIILSGPSGTGKTYLAHKL
+AEYVTTKSGRKKTEDAIATFNVDHKSSKELQQYLANLAEQCSADNNGAELPVVIILDNLH
+HVGSLSDIFNGFLNCKYNKCPYIIGTMNQGVSSSPNLELHHNFRWVLCANHTEPVKGFLG
+RYLRRKLIEMEIERNIRNNDLVKIIDWIPKTWHHLNSFLETHSSSDVTIGPRLFLPCPMD
+VEGSRVWFMDLWNYSLVPYILEAVREGLQMYGKRAPWEDPSKWVLDTYPWSSASLPQEGP
+ALLQLRPEDVGYEGCISTKEATTSKHIPQADTEGDPLMNMLMKLQEAANYSGTQSCDSDS
+TSHHEDILDSSLESTL
+>tr|F1MNS9|F1MNS9_BOVIN Protein phosphatase 1 regulatory subunit OS=Bos taurus OX=9913 GN=PPP1R3D PE=4 SV=2
+MSGGPGSAVLPATVGFRKPAPRSLSCLSDLDGGAAREPRPCRPPGSPGSAPPPPPAPSGC
+DPHLRPIILRRARSLPSSPERRQKGAGAPGAACRPGCSRQHRVRFADALGLELAQVKVFN
+AGEDPSVPLHVLSRLAINSDLCCSSQDLEFTLQCLVPDFPPPVEAPDFGERLGRQLVCLE
+RVTCSDLGISGTVRVRNVAFEKQVAVRYTFSDWRSAHEVAARWRGPAGSGGSEDVFAFGF
+PVPPFLLELGSRVHFALRYRVAGAEHWDNNDGRDYSLTCRNHALHMPRGECEESWIHFI
+>tr|A0A3Q1N8A8|A0A3Q1N8A8_BOVIN Corin, serine peptidase OS=Bos taurus OX=9913 GN=CORIN PE=4 SV=1
+MYYSNNYAISFFIASLPPVQLYRVLLRALVLGADDDNMGGGCSRKLTTVNILRFLLLVLI
+PCICALIVLLVILLSFVGTLKKAYFKSNGSEPLVTDSKVHVSDVILNTTYNDESTVTSAA
+HPTQHIPAWTMDASLSADQNHRNTSACMNITHSQCQILPYYTTLTSPLSIVKSMEMEKFL
+KFFTYLHRLGCYQHIMLFGCSLAFPKCIRDGADSHGLLPCRSFCESAKEGCESVLGMVNA
+SWPDFLKCSQFRNQSENSNVSRICFSPQQENEKQSLCRGGESFLCTSGICVPRKLQCNGY
+NDCDDWSDEAHCNCSENLFHCHTGKCLNYSLVCDGYDDCGDLSDEQNCDCNPIEEHRCGD
+GRCIAIEWVCDGDHDCVDKSDEVNCSCHSQGLVECRNGQCIPSTFQCDGDEDCKDGSDEE
+SCSDGQTLCQEGDQRCLYSSCLDSCGGNSLCDPSNSVNNCSQCEPITLELCLNLPYNHTN
+FPNYLGHRTQKEASISWESSLFPALVQTNCYKYLMFFACTILVPKCDEDTQQRIPPCRAL
+CEHSKERCESVLGIVGLQWPEDTDCNQFPEENADNQTCLMPDDEVEECSPSHFKCRSGRC
+VLASRRCDGQADCDDDSDEENCGCKERDLWECPSSKQCLKHTVICDGFPDCPDNMDEKNC
+SFCQADELECANHECVSRDRWCDGEADCIDSSDEWDCVTLSANVNSSFLMARRSAMEHHV
+CADGWQETLSQLACKQMGLGEPSMTKLIEEQEQDQQWLTLHSNWEITSVCPLSCSLADCG
+RRPAARMNKRILGGRTSRPGRWPWQCSLQSEPSGHICGCVLIAKKWVLTVAHCFEGRENA
+AVWKVVFGINNLDHPSTFMQMRLVKTIILHPRYSRAVVDYDISIVELSQDINETSYVRPV
+CLPSPDQSLEPDTYCYITGWGHMGNKMPFKLQEGEVRVISLEQCQSYFDMKTITNRMICA
+GYESGTVDSCMGDSGGPLVCEKPGGQWTLFGLTSWGSVCFSKVLGPGVYSNVTYFVKWIE
+RQIYIRTFLLN
+>tr|E1BE87|E1BE87_BOVIN Coiled-coil domain containing 87 OS=Bos taurus OX=9913 GN=CCDC87 PE=4 SV=2
+MEPYKLDPEFQRIYHRLLRPLSLFPRRTTRTASQEHLSQEVPMLLPLPVPRLTAELVCRQ
+VAERLTKSGLEARAPHKVRLRFTEVILDELKCGWQEPPTELGMSHLNNQRLRKRLLIYVL
+LSCEQLFVRYLHLQKLMSTSAVVFTESATLTRLAASLARDCTVFLTGPDVYRSLLSDFLA
+LLKEEQARVSAPRLRAAGLGAYRLFQVPWHHITGVTQVPHFNLSLNYLIQLSRPRDLVSE
+PEKDPMKELKSIPQLKKKKPLRWLSSMQKRRESTFTSQIASLPGTSVAPRSRAPPTSHLP
+LYSQLQRGQSMPSLREGWRLADELGLPPLSPRPLTPLVLVAESKPELARDTVAEDLKQMM
+KHMQLGWSQYSPLDSGLPPLLGALTYRPATTHHLEELQRKLNSLEEQEASEHRGLQSPKP
+PPLEPQPVTVTLKLKNQMVQIAAVQVSGRNFLDSFHIEPAGVLYNHLAGELEPKLIEQMD
+IDRTFGSSIREVYKELMSRVSNNHFTFDQGPLVEPAANKDWSAFLSSAFLRQEKQHRIIN
+TKLAELYPQKSSTLQSNADKISSFTSLQASKSWDRWSNRARWLNWWKSTLSVGDYFKYLT
+TQETDFLHVIFQMYEEEGPVETVAPIKESIKIQHPPPLLEDDEPDFVPGEWDWDTVLEHR
+LETKSSLLEDSHKILSLQKRLERVWSMLDVPEKDRLDMAIKYSSNARLRQLPALVSAWER
+ALQPIQLREILLGRLEWFERQASDPNRFFQKTDVGLSRFLEENQIRGRLQRKLSMVEAPL
+VPLLEEIELVFGEPVTFKGRRYLDKMKHDKVEMLYWLQQQRRVRHLTRAKRASHQSVLSR
+KLSSQPLVAPGNTPITL
+>tr|F6RSI9|F6RSI9_BOVIN Downstream neighbor of SON OS=Bos taurus OX=9913 GN=DONSON PE=4 SV=1
+MAWMTRANAPPRTRRERLGDGPARGPHRARAMSGSGAGSRGGGARTRGNLLPGCPPRPPP
+GVMDLSVPGYSPSFKRPPETLRLRRKRGRSLGAAERPEPATRRAARAAGLPLRPFPAAGR
+GGGGGPAGARRNPFARLDNRPRAASEPPEGPPRGQQEAPGRFLDSNQENDLLWEEKVPER
+TAITELHQTPHVSFSESDIPPSESTELPVDWSIKTRLLFTSSQPFTWADHLKAQEEAQGI
+IQHCRATEVTLPQSIQDPKLSTELRCAFQRSLIYWLHPALSWLPLFPRIGADRKMAGKTT
+PWSNDETLQHVLMSDWSVSFTSLYNLLKTKLCPYFYVCTYQFTILFRAAGLAGDDVITAL
+ISPTTRGIREAMKNEGIEFSLPLIKENGPEKRKASGTGLRHGEEQAISDEDEEESFSWLE
+EMGVQDKIKKPDVLSIKLRKEIHEVQMDHRPESVVLVKGMNTFTLLNFLINCKSLIATSG
+PQAGLPPTLLSPVAFRGATMQMLKARSVNVKTQALSGYRNQFSLEITGPIMPHSLHSVTM
+LLQSSQNGSFSAGLYTHEPTAVFNICPPKDNVLDKEAVHEELANCGLHPKTLDHLCRTPV
+LGKLSLRHVEMSDYIYNWRS
+>tr|A0A3Q1MI25|A0A3Q1MI25_BOVIN Homeobox domain-containing protein OS=Bos taurus OX=9913 GN=NKX6-2 PE=4 SV=1
+MDANRPGAFVLSSAPLAALHNMAEMKTSLFPYALQGPAGFKAPALGGLGAQLPLGTPHGI
+SDILGRPVGAAGGGLLGGLPRLNGLASSAGVYFGPAAAVARGYPKPLAELPGRPPIFWPG
+VVQGSPWRDPRLAGPAQAGGVLDKDGKKKHSRPTFSGQQIFALEKTFEQTKYLAGPERAR
+LAYSLGMTESQVKVWFQNRRTKWRKRHAAEMASAKKKQDSDAEKLKVGGSDAEDDDDEYN
+RPLDPNSDDEKITRLLKKHRAPNLALVSPCGGGAGDAS
+>tr|A0A3Q1MCR1|A0A3Q1MCR1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+LDSQTGELLSWIVTQDFAPKGTAGAFQEYDNKYVNVKKGSLARLSTLLAAYKLFNYCHSY
+KEFKHKWPRKYH
+>tr|A0A3Q1LS48|A0A3Q1LS48_BOVIN SUMO specific peptidase 5 OS=Bos taurus OX=9913 GN=SENP5 PE=4 SV=1
+MKKQRKILWRKGIHLAFSEKWNTGFGGFKKFYFHQHLCILKAKLGRPVTWSRHLRQFQCR
+KKGLQIQKTWIQDEPLFAKTKGNVAIQNLCTSASKVKRKDTKHFISSSRTFLKLQAEKLL
+SSAKNSDHEYSGEKSLLKAAADLPVNSVLGQANGHRPRTEPQASDFPMKFNGESQNPGES
+DRIVVTLSNHKRKRFCYGCYPGLEHHRNGGPLIPKKFQLNQHRRIKVSPHMMYEKLPMIR
+FRYRILRSQHFRTKSKVCKLKKARQSWVQVTGDHQETLGENGEGGSCSPFPSPEPKDPSC
+QHPPHFPDMDSDAVVKGKNSHVPEGHTKGSPLLDKELSLDGAFPDQQNGSATYTWDQSPC
+SSPKWEYTELIHDIPLPEHHSSNMFILETEREVTALGQENRTSTVSDDQVKLSVSGADQS
+VRSVDGPVSEETVQNESSCQMDEDGSFKQNILSSKLLDHPYCKSPLEAPLMCSGLKLENQ
+VGGGKNSQKTSPVDDEQLSVCLSGFLDEVMKKYGSLVPLSEKDVLGRLKDVFNEDFSNRK
+PFINREITNYRARHQKCNFRIFYNKHMLDMDDLATLDGQNWLNDQVINMYGELIMDAVPD
+KVHFFNSFFHRQLVTKGYNGVKRWTKKNIRKYLLTEAREKNRPEFLQGWQTAVTKCIPQQ
+KNDSDCGVFVLQYCKCLALEQPFQFSQEDMPRVRKRIYKELCECRLMD
+>tr|A0A3Q1M6E8|A0A3Q1M6E8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=FCGR3A PE=4 SV=1
+MGFEPPWEYQYRACSLLCPYLHSLNTSADPSKAVVLLDPQWNHVLTNDRVTLKCQGDYPV
+EDNSTKWWHNGTLISSQTPSYFIADVKVQDSGEYKCQTGLSAPSDPVKLEVHVGWLLLQV
+AQRVVNVGKPIRLKCHSWKKTPVAKVQYFRNGRGKKYSHGNSDFHIPEAKLEHSGSYFCR
+GIIGSKNESSESVQITVQAPETLQTVSSFFLPWHQITFCLVMGVLFAVDTGLYFSVRRHL
+QSSEEWRDGKVTWSKGP
+>tr|F1N439|F1N439_BOVIN Syntaxin binding protein 5 like OS=Bos taurus OX=9913 GN=STXBP5L PE=4 SV=2
+MKKFNFRKVLDGLTASSPGSGSSSGSNSGGGAGSGSTHPAGTAGILREEIQETLTSDYFQ
+ICKTVRHGFPYQPTTLAFDPVQKILAIGTRTGAIRILGRPGVDCYCQHESGAAVLQLQFL
+INEGALVSASSDDTLHLWNLRQKRPAILHSLKFNRERITYCHLPFQSKWLYVGTERGNTH
+IVNIESFILSGYVIMWNKAIELSTKTHPGPVVHLSDSPRDEGKLLIGYENGTVVFWDLKS
+KRAELRVYYDEAIHSIDWHHEGKQFMCSHSDGSLTLWNLKSPSRPFQTTVPHGKSQREGR
+KPESCKPILKVEYKTCRNSEPFIIFSGGLSYDKACRRPSLTIMHGKAITVLEMDHPIVEF
+LTLCETPYPNEFQEPYAVAVLLEKDLIVVDLTQSNFPIFENPYPMDIHESPVTCTAYFAD
+CPPDLILVLYSTGVKHKKQGYSNKEWPISGGAWNLGTQTYPEIIITGHADGSIKFWDASA
+ITLQMLYKLKTSKVFEKQKVGEGKQTCEIVEEDPYAIQMIYWCPESRIFCVSGVSAYVII
+YKFSRHEITTEIVSLEVRLQYDIEDIITPEPETSPPFPDLSSQLPSRSLSGSTNTVASEG
+VTKDSIPCLNVKTRPVRMPPGYQAELVIQLVWVDGEPPQQITSLAVSSAYGIVAFGNCNG
+LAVVDFIQKTVLLSMGTIDLYRSSDIYQRQPRSPRKNKQFIADNFCMRGLSNFYPDLTKR
+IRTSYQSLTELNDSPVPQELERCKSPTSDHVNGHCTSPTSQSCSSGKRLSSADVSKINRW
+GPGRPPFRKAQSAACMEISLPVTTEESRENSYNRSRSSSISSIDKDSKEAITALYFMESF
+ARKNDSTISPCLFVGTSLGMVLIISLNLPSADEQRFTEPVMVLPSGTFLSLKGAVLTFSC
+MDRTGGLMQPPYEVWRDPNNIDENEKSWRRKLVMNCPSPSQEIGDHQYTIICSEKQAKVF
+SLPSQTCLYVHNITETSFILQADVVVMCNSACLACFCANGHIMIMSLPSLRPMLDVNYLP
+LTDMRIARTFCFTNEGQALYLVSPTEIQRLTYSQEMCDNLQDMLGDLFTPIETPEAQNRG
+FLKGLFGGSGQTFDREELFGEATAGKASRSLAQHIPGPGGIEGMKGAAGGVMGELTRARI
+ALDERGQRLGELEEKTAGMMTSAEAFSKHAHELMLKYKDKKWYQF
+>tr|G5E6I0|G5E6I0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC617709 PE=4 SV=2
+MTLQRRVKMSVQNPSRLLDLAGKHLLRDDALAFSALEDLLTELFPHLFMEAFHGRHIETL
+KAMVQAWPFVRLPLGGLIDMPHMGPLQAVLEALDGLLAQKVRSRGCKLRVLDLRDTGQNF
+WSMWSGASSYERSSSRMAPVAEQSSTAKRHLAPLKIFMDLCLKKRTLDNFLTYLLRWVEQ
+RKASVHLCCKKLKISAMPMDNVVKILSMVQLDCIQEVQVNWIWHLSTLATFASFLGKMSN
+LQRLCLSPIHLSAFTKQEQDHLVQITSQFLRLGHLQDLHLDYPSFLEGFLDQMLRCLKSP
+LNNLSITNCWLTESDLTYLSQSPNISQLKGLDLSGVTMTDFNPEILHVLLEKVEATLQEL
+DLDLCGITDSQLEAILPALSRCSQLRYFSLCENFLSMAVMEKLLRHTAGLPCLTQERYPA
+PQESYRSQGVLLEGRLAQLRAQLLEVLRDLGRPRIIWISPSPCLHCGENICYHLDPIVYR
+CTAPA
+>tr|F1N776|F1N776_BOVIN Kelch like family member 15 OS=Bos taurus OX=9913 GN=KLHL15 PE=4 SV=2
+MAGDMEGFCSSIHDTSVSAGFRALYEEGLLLDVTLVIEDHQFQAHKALLATQSDYFRIMF
+TADMRERDQNKIHLKGLTATGFSHVLQFMYYGTIELSMSTVHEILQAAMYVQLIEVVKFC
+CSFLLAKICLENCAEIMRLLDDFGVNIEGVREKLDTFLLDNFVPLMSRPDFLSYLSFEKL
+MSYLDNDHLSRFPEIELYEAVQSWLRHDRRRWRHTDTIIQNIRFCLMTPSSVFEKVKTSE
+FYRYSRQLRYEVDQALNYFQNIHQQPLLDMKSSRIRSAKPQTTVFRGMIGHSMVNSKILL
+LKKPRVWWELEGPQVPLRPDCLAIVNNFVFLLGGEELGPDGEFHASSKVFRYDPRQNSWL
+RMADMSVPRSEFAVGVIGKFIYAVAGRTRDETFYSTERYDITNDKWEFVDPYPVNKYGHE
+GTVLNNKLFITGGITSSSTSKQVCVFDPSKEGTIEQRTRRTQVVTNCWENKSKMNYARCF
+HKMISYNGKLYVFGGVCVILRASFESQGCPSTEVYNPETDQWTILASMPIGRSGHGVTVL
+DRQIMVLGGLCYNGHYSDSILTFDPDENKWKEDEYPRMPCKLDGLQVCNLHFPEYILDEV
+RRCN
+>tr|A0A3Q1MAJ3|A0A3Q1MAJ3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=TSC22D3 PE=4 SV=1
+MKGEETDRNRGAVERTSEFCYMRVSVSPRRAQRPRTPSRGHALSAPHPTPTPLLEGGVSP
+VGGPVRGGTRHIGPPVELETGGGAAALRDSWPKMRQKQQDSPSQSAAGLTEGGRAGPGSQ
+EDGRSLSVPDTLESPPAGPAGAHLPAAPDLAGQAAPEPENMAQPKSDCRSPVGLDCCNCC
+LDLAHRSGLQRDNGGDNNNSGSPTVSNFRQLQEKLVFENLNTDKLNSIMRQDSLEPVLRD
+PCYLINEGICNRNIDQTMLSILLFFHSASGASVVAIDNKIEQAMDLVKNHLMYAVREEVE
+ILKEQIRELVEKNSQLERENTLLKTLASPEQLEKFQSRLSPEEPAPETPETPEAPGGSAV
+>tr|E1BJE2|E1BJE2_BOVIN Endothelin converting enzyme like 1 OS=Bos taurus OX=9913 GN=ECEL1 PE=4 SV=2
+MEAPYSMTAHYDEFQEVKYVSRCGGGGARGTSLPPGFPLGAGRSATGARAGLPRWNRREV
+CLLSGLVFAAGLCAILAAMLALKYLGPGAAGGAACSEGCPERKAFARAARFLAANLDASI
+DPCQDFYSFACGGWLRRHAIPDDKLTYGTIAAIGEQNEERLRRLLARPGGGPGGAAQRKV
+RAFFRSCLDMREIERLGPRPMLEVIEDCGGWDLGGAAERPGAAARWDLNRLLYKAQGVYS
+AAALFSLTVSLDDRNSSRYVIRIDQDGLTLPERTLYLAQDEESEKILAAYRVFMERLLSL
+LGAEAVEQKAREILQLEQRLANITVSEYDDLRRDVSSVYNKVTLGQLQKITPHLRWKWLL
+DQIFQEDFSEDEEVVLLATDYMQQVSQLIRSTPRRILHNYLVWRVVVVLSEHLSPPFREA
+LHELAREMEGSDKPQELARVCLGQANRHFGMALGALFVHEHFSAASKAKVQQLVEDIKYI
+LGQRLEELDWMDAETKAAARAKLQYMMVMVGYPDFLLKPEAVDKEYEFEVHEKTYFKNIL
+NSIRFSIQLSVKKIRQEVDKSTWLLPPQALNAYYLPNKNQMVFPAGILQPTLYDPDFPQS
+LNYGGIGTIIGHELTHGYDDWGGHYDRSGSLLHWWTEASYGRFLRKAECIVHLYDNFTVY
+NQRVNGKHTLGENIADMGGLKLAYYAYQKWVREHGPEHPLHRLKYTHNQLFFIAFAQNWC
+IKRRSQSIYLQVLTDKHAPEHYRVLGSVSQFEEFGRAFHCPKDSPMNPAHKCSVW
+>tr|A0A3Q1MH97|A0A3Q1MH97_BOVIN Transmembrane protein 203 OS=Bos taurus OX=9913 GN=TMEM203 PE=4 SV=1
+MLFSLRELVQWLGFATFEIFVHLLALLVFSVLLALRVDGLAPGLSWWNVFVPFFAADGLS
+TYFTTIVSVRLFQDGEKRLAVLRLFWVLTVLSLKFVFEMLALVRPDHVSGLHSPAATHDP
+CLPGQLASQKIGWSGAEAEVWASLAGREASRACPRGPPQ
+>tr|E1BE17|E1BE17_BOVIN Dymeclin OS=Bos taurus OX=9913 GN=DYM PE=4 SV=1
+MGSNSSRIGDLPKNEYLKKLSGTESVSENDPFWNQLLSFSFPAPTSSTELKLLEEATISV
+CRSLVENNPRTGNLGALIKVFLSRTKELKLSAECQNHIFIWQTHNALFIICCLLKVFICE
+MSEEELQLHFTYEEKSPGSYSSDSEDLLEELLCCLMQLITDIPLLDITYEISVEAVSTMV
+VFLSCQLFHKEVLRQSISHKYLMRGRCLPYTSKLVKTLLYNFIRQEKPPPPGTHVLPQQS
+DGGGLLYGLASGVATGLWTVFTLGGVGSKVAAASELSSPLANQSLLLLLVLANLTDAADA
+PNPYRQAIMSFKNTQDSSPFPSSIPHAFQINFNSLYMALCEQQTSDQATLLLYTLLHQNS
+NIRTYMLARTDMENLVLPILEILYHVEERNSHHVYMALIILLILTEDDGFNRSIHEVMLK
+NITWYSERVLTEISLGSLLILVVIRTIQYNMTRTRDKYLHTNCLAALANMSAQFRSLHQY
+AAQRIVSLFSLLSKKHNKVLEQATQSLRGSLSSSDVPLPDYAQDLNVIEEVIRMMLEIIN
+SCLTNSLHHNPNLVYALLYKRDLFEQFRTHPSFQDIMQNIDLVITFFSSRLLQAGAELSV
+ERVLEIIKQGVVALPKDRLKKFPELKFKYVEEEQPEEFFIPYVWSLVYNSAVGVYWNPQD
+IQLFTMDSD
+>tr|G3X707|G3X707_BOVIN Condensin-2 complex subunit H2 OS=Bos taurus OX=9913 GN=NCAPH2 PE=4 SV=1
+TRLEARFPYCXLPIRDLTRNWEVDVAAQLGEYLEELDQICISFDKGKTTMNFIEAALLIQ
+GSACVYSKKVEYLYSLVYQALDFISGKKQAKQLSSTPEDGTIGDASSRAPQEAEQKFRAL
+DDLSDSCANVDLRDDQVVSGTLIPLLPNALVAPDEMEKNSNPLYSCQGEVLASRKDFRVN
+TCTPHPRGTFLLEPLGVSLMEALQPWNPKEPGRAEEQPMEVSVCGSPGPALSTSQEPGSS
+PEGPVPRGGGVGEDEEDAEGAAEPPEASAPEVPMEPPEPRSPEQSVAQPRRYTLRERKEA
+PEPASRLKDTPDPWQGLDPFDSPDSKPFRKGRPYSVPPRVEEAPGQKRKRKGAVKLQDFH
+QWYLAAYADHTDSRRSRRKGPSFADMEVLYWKHVKEQLETLRKMQRREAAERWLPRAEQG
+LWPVEEDRLEDSVEDLGAADDFLEPEEYAEPEGAEPGEDADMEAEAMPASLRYEELVQRN
+VELFVTASKQDVFVTTSRQELVQETELKQHIRGWEDAIQSLLQEQEEHVPFDIHTYGDQV
+VSRFSQLNQWCPFAKLVAGQPAFEVCRSMLASLQLANDYTVEITQQPGLEAAVDTMSLRL
+LTHQRARQRFQTYAAPSTVQP
+>tr|A0A140T839|A0A140T839_BOVIN Protein-lysine methyltransferase METTL21E OS=Bos taurus OX=9913 GN=METTL21E PE=4 SV=1
+MILFNLLETQLTRVHSFQEMIKKASVYRHPLANHLMDPEVQKESGEDGDDRTVVAEIMRR
+CFVPAFVTTIPWEGFHFAGHEIRINEATDCYGAVVWPSALVLCYFLETNVKQYNLVDKNV
+IEIGAGTGLVSIVASLLGAHVTATDLPELLGNLQYNISRNTKTKAKHLPQVKELSWGVAL
+DKNFPRASINFDYILAADVVYAHPFLEELLVTFDHLCKETTVILWVMKFRLEKENKFVDR
+FEQLFDLEEISSFPSLNIKLYKAMKKNQKSACYPQRRMWKAKPISGRLLL
+>tr|E1BD04|E1BD04_BOVIN NIPA like domain containing 1 OS=Bos taurus OX=9913 GN=NIPAL1 PE=4 SV=2
+MGGQVRLPPGEPCREGYVLSLSCPNTSQAWCEITRVSQLLASPVLYRDLNSSITNLSVST
+NTENKYNLYIGLVLAISSSIFIGSSFILKKKGLLQLAKKGVTRAGQGGHSYLKEWLWWAG
+LLSMGAGEAVNFAAYAFAPATLVTSLGALSVLVSAILSSYFLNERLNIHGKIGCILSILG
+STVMVIHAPQEEKVATLHEMEMKLRDPGFICFAVIITVISLVLILIVAPKKGQTNILVYI
+SICSLIGAFSVSSVKGLGIAIKELLEWKPVYKHPLVFVLLAVLVLSVATQINYLNKALDT
+FNTSLVTPIYYVLFTSMVVTCSAILFQEWYGMNAGDVIGTLSGFFTIINGIFLLHAFKNI
+DITWSDLTSTTQKEVLSANGSEDKYVLLENTDCSVPGFDDDITLYSRTGQNP
+>tr|A0A3Q1MUN1|A0A3Q1MUN1_BOVIN Anoctamin OS=Bos taurus OX=9913 GN=ANO6 PE=3 SV=1
+NLICDGLQLEATRSLVDDKLVFVKVHAPWEVLCTYAEIMHIKLPLKPNDLKTRSSAFDNF
+NWFTKLLQVDESIIKPEQEFFTAPFEKNRMNDFYIQDRDTFFNPATRSRIVYFILSRIMY
+QVRDNVKKFGINKLVNSGIYKAAFPLHDVSQMDLSCPNERYLLYREWAHPRSIYKKQPLD
+LIRKYYGEKIGIYFAWLGYYTQMLLLAAIVGVACFLYGYFTEDNCTWSKEVCDPNIGGQI
+IMCPQCDNECPFWSLNVTCESSKKLCIFDSFGTLVFAVFMGVWVTLFLEFWKRRQAELEY
+EWDTVELQQEEQARPEYEARCTHVVINEITQVSRMSSACSSIYILLGFSNLVILLIIASV
+IGIIVYRLSVFVIFSVNLRKISNGTDPIQKYVTPQMATSITASLISFIIIMILNTIYEKV
+AIMITNFELPRTQTDYENSLTMKMFLFQFVNYYSSCFYIAFFKGKFVGYPGDPVYWLGKY
+RNEECDPGGCLLELTTQLIIIMGGKAIWNNIQEVLLPWVMNVFGRCRTVSGAEKRTPRWE
+QDYHLQPMGKLGLFYEYLEMIIQFGFVTLFVASFPLAPLLALVNNILEIRVDAWKLTTQY
+RRMVPEKAQDIGAWQPIMQGIAILAVVTNAMIIAFTSDMIPRLVYYWSFSIPPYGDHPDY
+SMEGYINISLSIFNTADFKSRVKENPYAGSKYDTCRYRDFRYPPGHPQEYKHNIYYWHVI
+AAKLAFIIVMEHIIYSVKFFISYTIPDVSKSTKSKIKREKYLTQKLLHENHLKDMTKNIG
+VIAEKMIGAVVDNNLRPKSD
+>tr|A0A3Q1M7Z8|A0A3Q1M7Z8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=ADM5 PE=4 SV=1
+MTAHILLLLLVASSILGDPDSAGRRSQPQVSQQRGRLCSLGTCQTHRLPEIIYWLRSAST
+KEPSGKAGRKPQDPHSYGRRRRREARVPLRFQDPSLRQGQRNAHLAG
+>tr|A0A3Q1MRZ8|A0A3Q1MRZ8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=C18H19orf33 PE=1 SV=1
+MEFDLSAAVESTSKKPQGAGKVGDPKHSSPKVQGGSADNLKHHHGHGHGQGSASDSSSSS
+SDSENEAKVRGFPLCSGCPAPQVRAESQPGTRAEDSPAEPSLPSYPHHPRPHSPARSSTR
+APQARSRNPR
+>tr|A0A3Q1MDJ3|A0A3Q1MDJ3_BOVIN Luc7-like protein 3 OS=Bos taurus OX=9913 GN=LUC7L3 PE=4 SV=1
+MISAAQLLDELMGRDRNLAPDEKRSNVRWDHESVCKYYLCGFCPAELFTNTRSDLGPCEK
+IHDENLRKQYEKSSRFMKVGYERDFLRYLQSLLAEVERRIRRGHARLALSQNQQSSGAAG
+PTGKNEEKIQVLTDKIDVLLQQIEELGSEGKVEEAQGMMKLVEQLKEERELLRSTTSTIE
+SFAAQEKQMEVCEVCGAFLIVGDAQSRVDDHLMGKQHMGYAKIKATVEELKEKLRKRTEE
+PDRDERLKKEKQEREEREKEREREREERERKRRREEEEREKERARDRERRKRSRSRSRHS
+SRTSDRRCSRSRDHKRSRSRERRRSRSRDRRRSRSHDRSERKHRSRSRDRRRSKSRDRKS
+YKHRSKSRDREQDRKSKEKEKRGSDDKKSSVKSSSREKQSEDTNTESKESDTKNEVNGTS
+EDIKSEVQRKYAQMKMELSRVRRHTKASSEGKDSVVLQNILRNTVGRFLEEN
+>tr|F1MZY9|F1MZY9_BOVIN Solute carrier family 2, facilitated glucose transporter member 8 OS=Bos taurus OX=9913 GN=SLC2A8 PE=3 SV=2
+MTPEDQEETQPLLRPPGGSAPRGRRVFLAAFAAALGPLSFGFALGYSSPAIPSLRRAAPP
+APHLDEDAASWFGAIVTLGAAAGGVLGGWLLDRAGRKLSLVLCALPFVAGFAVITAAQNL
+WMLLGGRLLTGLACGIASLVAPVYISEIAYPEVRGLLGSCVQLMVVTGILLAYLAGWVLE
+WRWLAVLGCVAPSFMLLLMCFMPETPRFLLSQHKHQEAMAAMQFLWGYAQGWEEPPLGAQ
+HQDFHVAQLRRPGVYKPFIIGISLMAFQQLSGVNAVMFYAETIFEEAKFKDSSLASVVVG
+VIQVLFTATAALIMDRAGRRLLLTLSGVVMVFSTSAFGAYFKLTEGGPSNSSHVDLPALI
+SMEAADTNVGLAWLAVGSMCLFIAGFAVGWGPIPWLLMSEIFPLHVKGVATGVCVLTNWF
+MAFLVTKEFSSLMEVLRPYGAFWLASAFCIFGVLFTLACVPETKGKTLEQITAHFEGR
+>tr|A0A3Q1NLN3|A0A3Q1NLN3_BOVIN Breast carcinoma amplified sequence 1 OS=Bos taurus OX=9913 GN=BCAS1 PE=4 SV=1
+MGQGPVGSPAHLPRLFDLGISMQEDNVAASSPKTMETSAAAEANGESLGKDAKPQAPAAK
+SRFFLTLSRPVPGRTGDQATDSSSGSVRLDVSSDKAPGNKDPSEPMALPVAAAPGHGPDK
+TPGQTPAQAEGLPATPGPEHPPSESGAAAAAKPKDSSFFDKLFKLDKGRDKAPVDLPLEA
+ESTEHPHPAAEAPGLSKQSEDGPAERDLVDGKGKAGQEVPTVSCSVPGDPEQLEIAKEDA
+QAENSSIMSFFKTLVSPHKAETKKDPEDTASKGESVSDGQAGQKTSEVQAKGAKKKHLHS
+PRLGLAFRKFFRHKGEEKSAAPSANLKSDKANVTLQEPQGAAKNSTPTETAKEKGGPSSL
+PLGKLFWKKTSDPTEKVVAPPQPEAAAAAAGQKGKEGPSKDKKAAAETNKQKGNRQEAKE
+PALGVEPAAAEANSLPNGDKPQKRPEKRRQSLGGFFKGLGPKRMLDAQVQTDPVSIGPAG
+KSK
+>tr|A0A3Q1MCY8|A0A3Q1MCY8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC789138 PE=4 SV=1
+MSVPTPPRLLDLAGMHLIKEDALVCSALEFLPTELFPPLFMDAFRGRHIKTLKAMVQAWP
+FVCLPLGGLIDLPHVGPLQAVLEALDVLLGSGHPGRDIWAGCKLRVLDLRNTGQSFWSMW
+SGASTHGFSRLGMAPVAEQSSKTHQPLAPLKIYIELCLKKMTLDNFLTYLLRWMEQRKAS
+IHLCCKKLTIFAMPVENVMKVLNMVQLDCIQEVQVNWIWHLSTLAKFAPLLGQMSNVQRL
+LLSRSHVSALEKQEQQEQVVQFTSQFLKLHHLQDLYLDSPSFLEGCLDQMLRCLMTPLDH
+LAITNCRLTESDLTHLSQCPNIHQLKGLDLSGVTMTDFSPKILCILLEQVAATLQELNLE
+QCGITESRLESILPVLSCCSQLRTFSLCGNVLSMAIMEKLLSHTTGLFYLSDEFYPAPQE
+SYSPHGALHLGRLAKLRDKLIEIMRDLGRPRAIWLSSSPCPRWSNKTFYPEEPFLYQCYM
+SA
+>tr|A0A3Q1LY57|A0A3Q1LY57_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=RAPGEF6 PE=4 SV=1
+MNSPVDPGARQALKKKPPERTPEDLNTIYSYLHGMEILSNLREHQLRLMSARARYERYSG
+NQVLFCFGKQFGGKRGCDCLVLEPSEMIVVENSKDNEDNILQREIPARQSRRRFRKINCK
+GERQTITDDVDINSYLSLPADLTKMHIADNPHPQVTHVSSSQSGCSIASDSGSSSLSDIY
+QATESEVGDVDLTRLPEGPVDSEDEEEEDEDIDRTDPLQGRDLVRECLEKEPADKTDDDI
+EQLLEFMHQLPAFANMTMSVRRELCSVMIFEVVEQAGAVILEDGQELDSWYVILNGTVEI
+SHPDGKVDNLFMGNSFGITPTLDKQYMHGVVRTKVDDCQFVCIAQQDYWRILNHVEKNTH
+KVEEEGEIVMVHEHRELDRSGTRKGHIVIKGTPERLIMHLIEEHSIVDPTYIEDFLLTYR
+TFLASPLDVGTKLLEWFKIDSLRDKVTRIVLLWVNNHFNDFEGDPAMTRFLEEFEKNLED
+TKMNGHLRLLNIACAAKAKWRQVVLQKASRESPLHFSLSGGSEKGFGIFVESVEPSSKAA
+DAGLKRGDQVMEVNGQNFENITFVKALEILRNNTHLALTVKTNIFVFKELLSRTEQEKSG
+VPHIPKIAEKKSNRHSIQDVPGDLEQTSQEKGNKKIKANTVSGGRNKIRKILDKTRFSIL
+PPKLFSDGGLSQSQDDSIVGTRHCRHSLAIMPIPGTLSSSSPDLLQPTTSMLDFSNPSDI
+PDQVIRVFKADQQSCYIIISKDTTAKEVVCQAIHEFGLTGASDTYSLCEVSVTPEGVIKQ
+RRLPDQFSKLADRIQLNGRYYLKNNMETETLCSDEDAQELVKESQLSMLQLSTIEVATQL
+SMRDFDLFRNIEPTEYIDDLFKLESKTGNTHLKEFEDIVNQETFWVASEILTESNQLKRM
+KIIKHFIKIALHCRECKNFNSMFAIISGLNLASVARLRGTWEKLPSKYDKHFQDLQDLFD
+PSRNMAKYRNILSSQSMQPPIIPLFPVVKKDMTFLHEGNDSKVDGLVNFEKLRMIAKEIR
+QVVRMTSANMDPAMMFRQRKKRWRSLGSLSQGSTNSNMLDVQGGAHKKRARRSSLLNAKK
+LYEDAQMARKVKQYLSSLDVETDEEKFQMMSLQWEPAYGTLTKNLNEKKSMKSSEMSPVP
+LRSAGQTTKAHLHQPHRGSQVLQVPAVNLHPIRKKGQGKDPATLSTGLPQKILGTAEEVS
+GGKKHTEDTVSVASSLHSSPPVSPQGSPRKGYTLIPSAKSDNLSDSSHSEISSRSSIVSN
+CSVDSMSTALQDERCSSQAVAVPESTGALEKTEHSSGIGDHSQLGPGWTLSKPSLINKSL
+AVSSSVSNEEISHEHIIIETADSGRGSWTSCSSSSHDNFQSLPNPKGWEFLNSYRPTHLD
+GPIAEVEPTDCEHYSCPKGCSRTCGQCKGSLETNQMRQSWASSSSLSDTYEPNYGTVKRR
+VLENTPAESSEGLDHKEGIDPVYKTVTSSTEKGLIVYCVTSPKKEDRYREPPPTPPGYMG
+ISLADLKEGPHLHLKPPEYSVAVQRSKMMHNSLSRLPPASLSSSPVACVPSKIVIQPQRH
+KLDVTDGDSEADENEQVSAV
+>tr|A0A3Q1MT90|A0A3Q1MT90_BOVIN Acyl-CoA synthetase long chain family member 6 OS=Bos taurus OX=9913 GN=ACSL6 PE=4 SV=1
+MLTFFLVSGGSLWLFSEFFLSLLEKMQTQEILRMLRLPELADLGQFFRSLSATTLVSMGA
+LAAILAYWFTHRPKALKPPCNLLMQSEEVEDSGGARRSVIGDSPELFTHYYDDARTMYEV
+FHRGLRISGNGPCLGFRNPKQPYQWLSYQEVADRAEFLGSGLLQHNCKPCTDQFIGVFAQ
+NRPEWIIAELACYTYSMVVVPLYDTLGPGAVRYIINTADISTVIVDKPQKAVVLLEHVER
+KETPGLKLIILMEPFEEALKDRGQECGVVIKSMQDVEDCGQQNHCDPVPPKPSDLSIVCF
+TSGTTGNPKGAMLTHGNVVADFSGFLKVTESQWAPTCADVHISYLPLAHMFERMVQSVVY
+CHGGRVGFFQGDIRLLSDDMKALHPTIFPVVPRLLNRMYDKIFSQADTPLKRWLLEFAAK
+RKQAEVRSGIIRNDSIWDELFFHKIQASLGGCVRMIVTGAAPASPTVLGFLRAALGCQVY
+EGYGQTECTAGCTFTTPGDWTSGHVGAPLPCNHIKLVDVEELNYWTSKGEGEICVRGPNV
+FKGYLKDPERTKEALDDDGWLHTGDIGKWLPTGTLKIIDRKKHIFKLAQGEYVAPEMIEN
+IYIRSEPVAQVYVHGDSLKAFLVGIVVPDAEVMPSWAQKRGIEGTYAELCTNKELKKAIL
+EDMVRLGQESGLHSFEQLVCGILPTAASVYPQLTTGEQKPGFVPEKGMLLVVF
+>tr|A0A3Q1M7C6|A0A3Q1M7C6_BOVIN Discs large MAGUK scaffold protein 3 OS=Bos taurus OX=9913 GN=DLG3 PE=3 SV=1
+MHKHQHCCKCPECYEVTRLAALRRLEPPSYGDWQVPDPYGPGGGNGASAGYGGYSSQTLP
+SQAGATPTPRTKAKLIPTGRDVGPVPPKPVPGKSTPKLNGSGPSWWPECTCTGRDWYEQV
+NGSDGMFKYEEIVLERGNSGLGFSIAGGIDNPHVPDDPGIFITKIIPGGAAAMDGRLGVN
+DCVLRVNEVDVSEVVHSRAVEALKEAGPVVRLVVRRRQPPPETIMEVNLLKGPKGLGFSI
+AGGIGNQHIPGDNSIYITKIIEGGAAQKDGRLQIGDRLLAVNNTNLQDVRHEEAVASLKN
+TSDMVYLKVAKPGSLHLNDMYAPPDYASTFTALADNHISHNSSLGYLGAVESKVSYPAPP
+QVPPARYSPIPRHMLAEEDFTREPRKIILHKGSTGLGFNIVGGEDGEGIFVSFILAGGPA
+DLSGELRRGDRILSVNGVNLRNATHEQAAAALKRAGQSVTIVAQYRPEEYSRFESKIHDL
+REQMMNSSMSSGSGSLRTSEKRSLYVRALFDYDRTRDSCLPSQGLSFSYGDILHVINASD
+DEWWQARLVTPHGESEQIGVIPSKKRVEKKERARLKTVKFHARTGMIESNRSIKTKRKKS
+FRLSRKFPFYKSKENMAQESSGQEQGQEDAILSYEPVTRQEIHYARPVIILGPMKDRVND
+DLISEFPHKFGSCVPHTTRPRRDNEVDGQDYHFVVSREQMEKDIQDNKFIEAGQFNDNLY
+GTSIQSVRAVAERGKHCILDVSGNAIKRLQQSQLYPIAIFIKPKSIEALMEMNRRQTYEQ
+ANKIYDKAMKLEQEFGEYFTAIVQGDSLEEIYNKIKQIIEDQSGHYIWVPSPEKL
+>tr|A0A3Q1M7E6|A0A3Q1M7E6_BOVIN Mothers against decapentaplegic homolog OS=Bos taurus OX=9913 GN=SMAD5 PE=3 SV=1
+LNQMTSMASLFSFTSPAVKRLLGWKQGDEEEKWAEKAVDALVKKLKKKKGAMEELEKALS
+SPGQPSKCVTIPRSLDGRLQVSHRKGLPHVIYCRVWRWPDLQSHHELKPLDICEFPFGSK
+QKEVCINPYHYKRVESPDVQPVAYEEPKHWCSIVYYELNNRVGEAFHASSTSVLVDGFTD
+PSNNKSRFCLGLLSNVNRNSTIENTRRHIGKGVHLYYVGGEVYAECLSDSSIFVQSRNCN
+FHHGFHPTTVCKIPSSCSLKIFNNQEFAQLLAQSVNHGFEAVYELTKMCTIRMSFVKGWG
+AEYHRQDVTSTPCWIEIHLHGPLQWLDKVLTQMGSPLNPISSVS
+>tr|G3MZP4|G3MZP4_BOVIN Olfactory receptor OS=Bos taurus OX=9913 PE=3 SV=2
+MTKENQSSMSEFLLLGLSIRPEQQGVFFALFLGMYLTTVLGNLLILLLIRLDSHLHSPMY
+FFLSHLALTDVSFSSVTVPKMLINMHTRDQSIPYAGCVTQMYFFIFFTDLDNFLLSSMAY
+DRYVAICHPLHYGTVMRQGLCTLLVTASWILSCASALCHTLLLTRLSFCADLSIPHFFCD
+LGSLLKLSCSDTSLNELAIFTAGVAVIVLPLICILISYGCISAAILKVPSTRGICKALST
+CGSHLSVVSLYYGTIIGLYFFPSSNTSRDKSTIASVMYTVVTPLLNPFIYSLRNRDMKGA
+LERLLHGAKVLSQ
+>tr|A0A3Q1MV62|A0A3Q1MV62_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MLTLFLERFEKPSASSVASLGYLLILLVSIFPFWVRLVNEESQEVFFSGLFENCFHIKCW
+KPRPLSIYVILGRVSLLSAVVLSFLTTFIMVSFASQLFPRTRKHNFVSAFISFLTGACAF
+LALLLHALEIQSLRMKPSPPQFSIQWPYYVLGFSILLFMVAGAICLIQEIACPRCHLLPI
+SQSTEDTQEISYLENLDSLGGELSSMQKETLLKEETII
+>tr|A0A3Q1MBQ2|A0A3Q1MBQ2_BOVIN CASP2 and RIPK1 domain containing adaptor with death domain OS=Bos taurus OX=9913 GN=CRADD PE=4 SV=1
+MEARDKQVLRSLRLELGAEVLVEGLVLQYLYQEGVLTESHVQEIKAQATGLRKTMLLLDI
+LPSRGPKAFDAFLDSLQEFPWVREKLEKAREEAIVELPADDRMTGIPPHILHSSPSDRQI
+NQLALRLGPEWEPVVLSLGLSQTDIYRCKANHPHNVQSQMVEAFVRWRQRFGKEATFQSL
+HRGLQAVDADPSVLQHMLE
+>tr|F1MWA8|F1MWA8_BOVIN AA_permease_C domain-containing protein OS=Bos taurus OX=9913 GN=MGC157082 PE=4 SV=3
+MRCQELRQFGQKLVRRRLLEPTNDSKSPTAPLNTLKLVAFGVASTLGAGVYILVGEVAMF
+IAGPAIIISFLVAAVSSVLSGLCYAEFGTQVSWTGSAYLYSYISMGELWAFITAWNLILS
+YVIGTGSVARAWSIAFDSLIGNHIFQALEGTFSPYMPYYLAKYPDFLALSLVLLLTGVLV
+LGVRESVLVGKISTGINLLVLSFIILSGFIKGDLHNWKLTEQDYTLNTSESGDIYSLGPL
+GSGGFVPFDYDGILHGAALCFYSFVGFDDIVTKGEEAPNPHRSIPISIMSTVFICFLAYF
+GVSATLTLMVPYYQIQPDSPFPQAFLHVGWGPARYVVAVGILCFLLYRSGKTPYPISLFP
+VPQVIQEMAEDGLLFRGLARTHARMKTPIMATVSSGILAGIMALLFEFSNLVDLMSIGHL
+LVYSLVAFFVLVLRYQPDCNLSKNEKIEDKIQMMPLVKENPLDSEPEAGSSKTLKNLWLP
+VSTTPTQKSAQIVYGCAFLLVLLLTILSLILAQWPSQVFSGDPVLTTVAVLLLLLTTGVT
+VIIRRQPQDPSPLPFRVPALPVLPLVSIFVNVYLMMQTTSATWTLFGIWNAFGKLLSGIK
+RRSTDLAGNNHQQPPATGLHLPDS
+>tr|G3MZY1|G3MZY1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC522146 PE=3 SV=2
+MELFVVLVTCLSFLIFLFLWNQRHAKGKLPPGPTPFPVVGNIFQINTKNVSKSISKLAED
+YGPVFTLYFGMKPTVVLHGYEAVRQVLIDQSEEFSARGSLPAADNINQGLGIVFSNGEKW
+KQTRRFSLMVLRNMGMGKRTIEHRIQEEALCLVEALKKPMVGSPCDPTFLLSYAPCNVIC
+SIVFRNRFEYSDENFLTLAKYFDENAKILSTPWIELYNAFPSLLHYLPGSHNTLFKNMTE
+QRKFILEKIKEHQESLDLNNPQDFIDYFLIKMEKEKHNKQSEFTMDNLITTIWDVLTAGI
+ETTSLTLRYGLLLLLKHPEVTAKVQEEINHVVGRNRSPCMEDRSRMPYTDAVIHEIQRFI
+DLVPNNLPHAAAQDIKFREYLIPKGTTILTSLTSVLHDGKEFPNPEQFDPGHFLDKSGNF
+KKSDYFMAFSAGKRVCVGEGLARMELFLLLVSILQKFTLKPLVDPKNIDTAPLLKGVGSI
+PHFYEVCFIPV
+>tr|A0A3Q1NHK2|A0A3Q1NHK2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MSNLTPESSPELAKKSWFGNFISLEKEEQIFVVIKDKPLSSIKADIVHAFLSIPSLSHSV
+ISQTSFRAEYKATGGPAVFQKPVKFQVDITYTEGGAAQKENGIYSVTFTLLSGEPLGRPG
+AAPWARGCSVGVPAGPAPHPPCLPQAQAAASRGSWRPFRPSC
+>tr|A0A3Q1LZ18|A0A3Q1LZ18_BOVIN NADH-cytochrome b5 reductase OS=Bos taurus OX=9913 GN=CYB5R3 PE=1 SV=1
+MAEVVPSLLPLEALEFSGPFGSLLLGSGACFLPQPPCSVALGGSLPFSGPTRTEHVQCVA
+DLCALTYTRVCRACATCQCHLWCWGRVGALGPVAPLPWVSSSSSASLQVISHDTRRFRFA
+LPSPEHILGLPVGQHIYLSARIDGNLVIRPYTPVSSDDDKGFVDLVIKVYFKDTHPKFPA
+GGKMSQYLESMKIGDTIEFRGPNGLLVYQGKGKFAIRPDKKSDPVIKTVKSVGMIAGGTG
+ITPMLQVIRAIMKDPDDHTVCHLLFANQTEKDILLRPELEELRNEHSARFKLWYTVDKAP
+EAWDYSQGFVNEEMIRDHLPPPEEEPLVLMCGPPPMIQYACLPNLDRVGHPKERCFAF
+>tr|A0A3Q1LIU0|A0A3Q1LIU0_BOVIN Patatin like phospholipase domain containing 1 OS=Bos taurus OX=9913 GN=PNPLA1 PE=4 SV=1
+MLETAHRFAGTSAGAVVAALVICGIEMDEYLRVLNVGVAEVKKSFLGPLSPSCKMVQMMR
+QFLYRVLPEDSYKVTTGKLHVALTRFTDGESVVVSEYTSKEELIEALYCSCFVPVYCGLI
+PPTYRGVRYIDGGFTGMQPCSFWTDSITISTFTGQQDICPRDCPAIFHDFRMFNCSFQFS
+LENIARMTHALFPPDLMILHNYYYRGYEDAVLYLRRLNAAYLNSPSKRVIFPRVEVYCQI
+ELALGNQCPERCQPSLQRQPASPEESRQLHTQRAPKEDGRGGRCPPLSPPMPAPESTCDW
+PVEPPVLSPASPPASSPSRSPTDLPPASLPAVSSLPSSTPGLSPVPPSQQIQPTGSPPKS
+PPPQATASPRPPLGPCSVGAPPALPRYSSSASPAQPPVEELGPEQPQVPFASSNSKSTVP
+LANVKETASKPHAVERPAEESNWVSKVFKKNKQKTSGTRKGCLRHPRSKKTGSKVQSAPC
+PLDFSLTSTSETVWVAYKPHPSRIQEYSCPEETVSRERKLEVKRERT
+>tr|A0A3Q1NF45|A0A3Q1NF45_BOVIN Formin like 1 OS=Bos taurus OX=9913 GN=FMNL1 PE=4 SV=1
+MGNAAGSAEQPASPAALAPKQPAAPKQPMPAAGELEERFNRVLNCMNLPPDKVQLLSQYD
+NEKKWELICDQERFQVKNPPAAYIQKLKSYLETGGVSRKVAADWMPNLGFKRRVQESTQV
+LRELEISLRTNHIGWVQEFLNEENRGLDVLLDYLAFAQCSVAYNMETTDNGAPGSEKSKP
+LEQSVEDLSKGPPSSLPPPPKSRHLTIKLTPAHSKKALRNSRIVSQKDDVHVCIMCLRAI
+MNYQVCGEQHRFEKLMEYFRNEDSNIDFMVACMQFINIVVHSVENMNFRVFLQYEFTHLG
+LDLYLERLRLTESDKLQVQIQAYLDNIFDVGALLEDTETKNAVLEHMEELQEQVALLTER
+LRDAENESMAKIAELEKQLSQARKELETLRERFSESTLMGTSRRPSEPEKVPAPVPARPS
+ALELKVEELEEKGLIRILRGPGDAVSIEILPVAVATPSGSDAPTPGAPTGSPSPAEPAPG
+AAPPPPPPPPPPPPPPLPPLPGLPSQQEAPPLAPPLAPPLPGSPEPPPPPPLPGDQPPPP
+PPPPPPPGADGQVPPPPPPPPGGPTDAQAGPDSEMGPGVKAKKPIQTKFRMPLLNWVALK
+PNQITGTVFTELNDEKVLQELDMSDFEEQFKTKSQGPSVDLSALKSKAAQKAPSKATLIE
+ANRAKNLAITLRKGNLGADRICQAIETYDLQTLGLDFLELLTRFLPTEYERSLITRFERE
+QRPIEELSEEDRFMLRFSRIPRLPERMNTLTFLGNFPDTAQMLMPQLNAIIAASMSIKSS
+DKLRQILEIVLAFGNYMNSSKRGAAYGFRLQSLDALLEMKSTDRKQTLLHYLVKVIAEKY
+PQLTGFHSDLHFLDKAGSVSLDSVLGDVRSLQRGLELTQREFVRQDDCVVLKEFLRVNSP
+VMDKLLADSKTAQEAYESVVEYFGENPKTTSPSMFFSLFSRFIKAYKKAEQEVEQWKKEA
+AAQEAGTDTAGKGEPQAPKSPPKVRRQQMDLISELKRKQQKEPLIYEGDRDGAIEDIITV
+LKTVPFTARTGKRTSRLLYEASLGEEIPL
+>tr|A0A3Q1MKJ4|A0A3Q1MKJ4_BOVIN Arf-GAP with SH3 domain, ANK repeat and PH domain-containing protein 1 OS=Bos taurus OX=9913 GN=ASAP1 PE=4 SV=1
+LFNNSSYLSSVCSKMPDQISVSEFIAETTEDYNSPTTSSFTTRLHNCRNTVTLLEEALDQ
+DRTALQKVKKSVKAIYNSGQDHVQNEENYAQVLDKFGSNFLSRDNPDLGTAFVKFSTLTK
+ELSTLLKNLLQGLSHNVIFTLDSLLKGDLKGVKGDLKKPFDKAWKDYETKFTKIEKEKRE
+HAKQHGMIRTEITGAEIAEEMEKERRLFQLQMCEYLIKVNEIKTKKGVDLLQNLIKYYHA
+QCNFFQDGLKTADKLKQYIEKLAADLYNIKQTQDEEKKQLTALRDLIKSSLQLDQKEDSQ
+SRQGGYSMHQLQGNKEYGSEKKGYLLKKSDGIRKVWQRRKCSVKNGILTISHATSNRQPA
+KLNLLTCQVKPNAEDKKSFDLISREWISVLTNSKEEALTMAFRGEQSAGESSLEELTKAI
+IEDVQRLPGNDVCCDCGSAEPTWLSTNLGILTCIECSGIHREMGVHISRIQSLELDKLGT
+SELLLAKNVGNNSFNDIMEANLPSPSPKPTPSSDMTVRKEYITAKYVDHRFSRKTCSSSS
+AKLNELLEAIKSRDLLALIQVYAEGVELMEPLLEPGQELGETALHLAVRTADQTSLHLVD
+FLVQNCGNLDKQTALGNTALHYCSMYSKPECLKLLLRSKPTVDVVNQAGETALDIAKRLK
+ATQCEDLLSQAKSGKFNPHVHVEYEWNLRQEEMDESDDDLDDKPSPIKKERSPRPQSFCH
+SSSISPQDKLSLPGFSTPRDKQRLSYGAFTNQIFVSTSTDSPTSPIAEAPPLPPRNATKG
+PPGPPSTLPLSTQTSSGSSTLSKKRPPPPPPGHKRTLSDPPSPLPHGPPNKGAVPWGNDV
+GPSSSSKTTNKFEGLSQQSSTGSAKTALGPRVLPKLPQKVALRKTETSHHLSLDKANVPP
+EIFQKSSQLTELPQKPPPGDLPPKPTELAPKPPIGDLPPKPGELPPKPQLGDLPPKPQLA
+DLPPKPQVKDLPPKPQLGELLAKPQTGDASPKAQPPLELTPKSHPADLSPNVPKQASEDT
+NDLTPTLPETPVPLPRKINTGKSKVRRVKTIYDCQADNDDELTFMEGEVIVVTGEEDQEW
+WIGHIEGQPERKGVFPVSFVHILSD
+>tr|A0A3Q1M6Q2|A0A3Q1M6Q2_BOVIN ST3 beta-galactoside alpha-2,3-sialyltransferase 4 OS=Bos taurus OX=9913 GN=ST3GAL4 PE=3 SV=1
+MISKSRWKLLAMLALFLAVMVWYSISREDRYIELFYFPVPGKKEPCLQGEAEKMVSKLFG
+NYSREQPFFLQLKDYFWVKTPSLYELPYGTKGSEDLLLRVLAITSYSIPESIQSLKCRRC
+VVVGNGHRLRNSSLGEAINKYDVVIRLNSAPVAGYEQDVGSKTTMRLFYPESAHFNPKVE
+DNPDTLLVLVAFKAMDFHWIESILSDKKRVRKGFWKQPPLIWDVNPKQIRILNPFYMEIA
+ADKLLSLPIHQPHKIKQKPTTGLLAITLALHLCDLVHIAGFGYPDAHQKKQSIHYYEYIT
+LKSMMLLQH
+>tr|G3MZC6|G3MZC6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=TNFRSF10D PE=4 SV=2
+MALEAVTPRQPGQRTLPDRTGQQGQRAAASSSSRAGCTQGPRPRLRGPWALIFTVLGVLL
+LVKAASAMSVRKDEIHQQSSAPLERSPQQKLCPPGFYMEEAIRGCAPCTDGIDYTNHSNT
+LPSCLLCTTCKSGEEEKNRCTPTKDTECQCKPGTFRGEDAPEFCQKCSTGCPDGKIMVMD
+CTPWSNINCVDQESGPPSRLMIAIIVIGLVITAVLIGCMVWCCLKGLFNECWHKVIGFSS
+IWRPQTLSNCITTKPRVDTVSGKEADRRQRPVPRCSKQTAC
+>tr|A0A3Q1LSB1|A0A3Q1LSB1_BOVIN Solute carrier family 8 member B1 OS=Bos taurus OX=9913 GN=SLC8B1 PE=3 SV=1
+MAGGLLNVSWVLSLLCVLQMVETVSGARAPSAGAHISSQFPAAGVSQTSVVDCREVCGLN
+ASDRCAFVRTNPDCRSDGGYLDYLEGIFCHFPARLLPLAITLYAFWLLYLFLILGVTAAK
+FFCPNLSAISTTLKLSHNVAGVTFLAFGNGAPDIFSALVAFSDPRTAGLAFGALFGAGVL
+VTTVVAGGIAILRPFTAASRPFLRDIIFYMAAVLLIFTALYCGRVTLAWALGYLGFYAFY
+VVTVVLCTWIYQRQRRRSLVCSMPATPEILSDSEEERMSSNTNSYDYGEEYRPLLLYPET
+TAQILVQALNPLDYRKWRTKPMYWRVLKVFKLPVEFLLLLTVPVMDPDKEDRNWKRPLNC
+LHLVISPLFLTLTLQSGAYGVYEIGGLFPVWAAVVIAGTALAAVTFFATSNSEPPRFHWL
+FAFLGFLTSALWINAAATEVVNILRSLGVVFRLSNTVLGLTLLAWGNSIGDVFSDFTLAR
+QGYPRMAFSACFGGIIFNMLVGVGLGCLLQISRSHMEVKLEPDGLLVWILAGTLGLSLVC
+SLVLVPLQCFQLSRVYGCCLLLLYLTFLVVALLTEFGVIHLKTV
+>tr|A0A3Q1MFI7|A0A3Q1MFI7_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 GN=LOC104968484 PE=4 SV=1
+VTLICSKMNPLWTLLFVLSAPRGVLSQVQLRESGPSLVKPSQTLSLTCTVSGFSLSSYAV
+SWVRQAPGKALEWLGDISSGGSTGYNPALKSRLSITKDNSKSQVSLSVSSVTPEDTATYY
+CAKDTVRGNQCEPRQKPPCMGARDHQGARSTHSELSPGAGAEEALGGGGPGGDSPQSFSF
+LLLPRSCTSNPLLCPGNSLCFMSLSENCVYIYFNFSLKQDSFM
+>tr|F6RAP7|F6RAP7_BOVIN SPARC (osteonectin), cwcv and kazal like domains proteoglycan 2 OS=Bos taurus OX=9913 GN=SPOCK2 PE=4 SV=1
+MRARGCGRLALPLLLLSAAALAEGDAKGLKEGETPGNFMEDEQWLSSISQYSGKIKHWNR
+FRDVSLDDYIKSWEDNQQGDEALDTTKDPCQKVKCSRHKVCIAQGYQRAMCISRKKLEHR
+IKQPAVKLHGNKDTVCKPCHMAHLASVCGSDGHTYSSVCKLEQQACLSSKQLTVRCEGPC
+PCPTEQAATSTSDSKPETCTGQDLADLGDRLRDWFQLLHENSKQNGSANSGASPASGLDK
+SLGASCKDSIGWMFSKLDTSADLFLDQTELAAINLDKYEVCIRPFFNSCDTYKDGRVSTA
+EWCFCFWREKPPCLAELERIQIQEAAKKKPGIFIPSCDEDGYYRKMQCDQSSGDCWCVDQ
+LGLELTGTRTHGSPDCDDIVGFSGDFGSGVGWEDEEEKETEEAGEETEEEEGEAGEADDG
+GYIW
+>tr|A0A3Q1M8N4|A0A3Q1M8N4_BOVIN Unc-5 netrin receptor C OS=Bos taurus OX=9913 GN=UNC5C PE=4 SV=1
+NPMDGGACWTQLKTFPSDPPEPLPHFLIEPEEAYIVKNKPVNLYCKASPATQIYFKCNSE
+WVHQKDHIVDERVDETSGLIVREVSIEISRQQVEELFGPEDYWCQCVAWSSAGTTKSRKA
+YVRIAYLRKTFEQEPLGKEVSLEQEVLLQCRPPEGIPVAEVEWLKNEDIIDPVEDRNFYI
+TIDHNLIIKQARLSDTANYTCVAKNIVAKRKSTTATVIVYVNGGWSTWTEWSVCNSRCGR
+GYQKRTRTCTNPAPLNGGAFCEGQSVQKIACTTLCPVDGRWTSWSKWSTCGTECTHWRRR
+ECTAPAPKNGGKDCDGLVLQSKNCTDGLCMQTAPDSDDVALYVGIVIAVIVCLAISVVVA
+LFVYRKNHRDFESDIIDSSALNGGFQPVNIKAARQDLLAVPPDLTSAAAMYRGPVYALHD
+VSDKIPMTNSPILDPLPNLKIKVYNTSGAVTPQDDLSEFASKLSPQMTQSLLENEALNLK
+NQSLARQTDPSCTAFGTFNSLGGHLIIPNSGVSLLIPAGAIPQGRVYEMYVTVHRKENMR
+PPMEDSQTLLTPVVSCGPPGALLTRPVILTMHHCADPSTEDWKIQLKNQAAQGQWEDVVV
+VGEENFTTPCYIQLDAEACHLLSENLGTYALVGQSTTKAAAKRLKLAIFGPLCCSSLEYS
+LRVYCLDDTQDALKEVLQLERQMGGQLLEEPKALHFKGSTHNLRLSIHDIAHSLWKSKLL
+AKYQEIPFYHVWSGSQRNLHCTFTLERFSLNTVELVCKLCVRQVEGEGQIFQLNCTVSEV
+RGARASTITTMTGPSAFSIPPPIRQKLCSSLDAPQTRGHDWRMLAHKLNLDRYLNYFATK
+SSPTGVILDLWEAQNFPDGNLSVLAAVLEEMGRHETVVSLAEGQY
+>tr|A0A3Q1MGU3|A0A3Q1MGU3_BOVIN Chymotrypsin-C OS=Bos taurus OX=9913 GN=CTRC PE=3 SV=1
+HSPDSEHSQHVGHHGLHHVPGLCLQLRGPHLPAQPISPRGGRRGRHSPQLALAGKLTAVG
+VGGRVERGVGSGPLRADTASFHIPPQISLQYLRDNTWRHTCGGTLITPNHVLTAAHCISN
+TLTYRVALGKNNLEVEDEAGSLYVGVDTIFVHEKWNSFLVRNDIALIKLAETVELSDTIQ
+VACLPEEGSLLPQDYPCFVTGWGRLYTNGPIAAELQQGLQPVVDYATCSQRDWWGTTVKE
+TMVCAGGDGVISACNGDSGGPLNCQAENGNWDVRGIVSFGSGLSCNTFKKPTVFTRVSAY
+IDWINQKLQL
+>tr|A0A3Q1LV78|A0A3Q1LV78_BOVIN ATP binding cassette subfamily C member 9 OS=Bos taurus OX=9913 GN=ABCC9 PE=4 SV=1
+MSLSFCGNNISSYNIYDGVLQNPCFVDALNLVPHVFLLFITFPILFIGWGSQSSKVQIHH
+NTWLHFPGHNLRWILTFALLFVHVCEIAEGIVSDSRRESRHLHLFMPAVMGFVATTTSIV
+YYHNIETSNFPKLLLALFLYWVMAFITKTIKLVKYWQSGWGISDLRFCITGIMVILNGLL
+MAVEINVIRVRRYVFFVNPQKVKPPEDLQDLGVRFLQPFVNLLSKATYWWMNTLIISAHK
+KPIDLKAIGKLPIAMRAVTNYVCLKDAYEEQKKKVADDPNRTPSIWLAMYRAFGRPILLS
+STFRYLADLLGFAGPLCISGIVQRVNETQNETNNPTGASETLSSKEFLENAYVLAVLLFL
+ALILQRTFLQASYYVTIETGINLRGALLAMIYNKILRLSTSNLSMGEMTLGQINNLVAIE
+TNQLMWFLFLCPNLWAMPVQIIMGVILLYNLLGSSALVGAAVIVLLAPIQYFIATKLAEA
+QKSTLDYSTERLKKTNEILKGIKLLKLYAWEHIFCKSVEETRMKELSSLKTFALYTSLSI
+FMNAAIPIAAVLATFVTHAYASGNNLQPAEAFASLSLFHILVTPLFLLSTVVRFAVKAIV
+SVQKLNEFLLSDEIGEDSWRTGEGSLPFESCKKHTGVQPKTINRKQPGRYHLDNYEQSTR
+RLRPMETEDIAIKVTNGYFSWGSGLATLSNIDIRIPTGQLTMIVGQVGCGKSSLLLAILG
+EMQTLEGKVHWSNVNETEPSFEATRSRNRYSVAYAAQKPWLLNATVEENITFGSPFNKQR
+YKAVTDACSLQPDIDLLPFGDQTEIGERGINLSGGQRQRICVARALYQNTNIVFLDDPFS
+ALDIHLSDHLMQEGILKFLQDDKRTLVLVTHKLQYLTHADWIIAMKDGSVLREGTLKDIQ
+TKDVELYEHWKTLMNRQDQELEKDMEADQTTLERKTLRRAMYSREAKAQLEDEDEEEEEE
+EDEDDNMSTVMRLRTKMPWKTCWRYLTSGGFFLLFLMIFSKLLKHSVIVAIDYWLATWTS
+EYSINSTGKADQTYYVAGFSILCGAGIFLCLVTSLTVEWMGLTAAKNLHHNLLNKIILGP
+IRFFDTTPLGLILNRFSADTNIIDQHIPPTLESLTRSTLLCVSAIGMISYATPVFLVALV
+PLGVAFYFIQKYFRVASKDLQELDDSTQLPLLCHFSETAEGLTTIRAFRHETRFKQRMLE
+LTDTNNIAYLFLSAANRWLEVRTVLQVILSPHIQCSQKLLLYLLCAHCFCHLTQITNYLN
+WVVRNLADVEVQMGAVKKVNSFLTMESENYEGTMDPSQVPEHWPQEGEIKIHDLCVRYEN
+NLKPVLKHVKAYIKPGQKVGICGRTGSGKSSLSLAFFRMVDIFDGKIVIDGIDISKLPLH
+TLRSRLSIILQDPILFSGSIRFNLDPECKCTDDRLWEALEIAQLKNMVKSLPGGLDAVVT
+EGGENFSVGQRQLFCLARAFVRKSSILIMDEATASIDMATENILQKVVMTAFADRTVVTI
+AHRVSSILDAGLVLVLSEGILVECDTVPNLLALKNGLFSTLVMTNK
+>tr|A0A3Q1LKC2|A0A3Q1LKC2_BOVIN Integrator complex subunit 4 OS=Bos taurus OX=9913 GN=INTS4 PE=4 SV=1
+MAAHLKKRVYEEFTKVVQQQQEEVATKKLRMTKPSKSAALHIDLCKATSPADALQYLLQF
+ARKPVEAESVEGVVRILLEHYYKENDPSVRLKIASLLGLLSKTAGFSPDCIMDDAINILQ
+NEKSHQVLAQLLDTLLAIGTKLPENQAIQMRLVDVACKHLTDTSHGVRNKCLQLLGNLGS
+LEKNVTKDAEGLAVRDVQKIIGDYFNDQDPRVRTAAIKAMLQLHERGLKLHQTIYNQACK
+LLSDDYEQVRSAAVQLIWVVSQLYPESIVPIPSSNEEIRLVDDAFGKICHMVSDGSWMVR
+VQAAKLLGSMEQVSSHFLEQTLDKKLMSDLRRKRTAHERAKELYSSGEFSSGRKWGDDAP
+KEEIDTGAVNLIESGACGAFVHGLEDEMYEVRIAAVEALCMLAQSSPSFAEKCLDFLVDM
+FNDEIEEVRLQSIHTMRKISNNITLREDQLDTVLAVLEVSDHSLAPTPGHAGFRSCNALA
+>tr|A0A3Q1LRH9|A0A3Q1LRH9_BOVIN Phospholipase A(2) OS=Bos taurus OX=9913 GN=PLA2G5 PE=3 SV=1
+MKGLLMLAWFLACSVPAVPGSLLDLKSMIEKVTGKPALKYYGFYGCYCGWGGHGTPMDGT
+DWCCWKHDHCYAQMETQDCDVLTQAYRYRVAWGFIICGKAGASIRVTRRAWVPAVSEVSC
+PESSASLYLGGQRWGQERQDYCSCV
+>tr|A0A3Q1M5B1|A0A3Q1M5B1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MILQLWASCLFPLFLVGLAQLTPKQQQMKVDCYKGVTGTIYEYGALTLNGEEYIQFKQYV
+GKHVLFVNVATY
+>tr|A0A3Q1MMT9|A0A3Q1MMT9_BOVIN Chromosome 3 C1orf210 homolog OS=Bos taurus OX=9913 GN=C3H1orf210 PE=4 SV=1
+MASCPVSRVRAVPSCGCECGPERVSVAVLDPYLRVYIPGLCPRAAWHPGVSLEPGLFWQR
+LRLPVSSGPSMSEANQTIVGSSEVPTTSTVSSGPGSGIQTWLVLVGVVLGAVVLSILIAV
+AAKCHLCRKHRASYQHHPLPETGKGGRPEVVEDEDDDGFIEDNYIQPGFGGLETGASRDH
+FSL
+>tr|A0A3Q1MDN9|A0A3Q1MDN9_BOVIN Tyrosine-protein kinase OS=Bos taurus OX=9913 GN=LCK PE=3 SV=1
+MGCSCSSNPEDDWMENIDVCENCHYPIVPLDGKTTLPMRNGSEVRDPLVTYEGSNPPASP
+LQDNLVIALHSYEPSHDGDLGFEKGEQLQREGPWAGAASGGPGCEEPAGMGGVGTALAEG
+RLAEGHPSPSFAFLHPFFRSGSFSLSVRDFDQTQGEVVKHYKIRNLDKGGFYISPRVTFP
+GLHELVRHYMNTSDGLCTRLSRPCQTQKPQKPWWEDEWEVPRETLKLVERLGAGQFGEVW
+MGYYNGHTKVAVKSLKQGSMSPDAFLAEANLMKQLQHQRLVRLYAVVTQEPIYIITEYME
+NGSLVDFLKTSEGIKLTINKLLDMAAQIAEGMAFIEEQNYIHRDLRAANILVSHSLSCKI
+ADFGLARLIEDNEYTAREGAKFPIKWTAPEAINYGTFTIKSDVWSFGILLTEIVTHGRIP
+YPGMTNPEVIQNLERGYRMVRPDNCPEELYQLMMLCWKERPEERPTFDYLRSVLEDFFTA
+TEGQYQPQP
+>tr|A0A3Q1M144|A0A3Q1M144_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MMNLLQIMCDHWVHSHVPVGLVFRCYLDRKNDGKLTAFRNKNLLYKRKLKSSEEVTRM
+>tr|A0A3Q1M150|A0A3Q1M150_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MNKASGGDGIPVDIFQILKDDTVKVLHSICQQIWKTQQWPQDWKRSVFIPVPKKGNAKEC
+SNYSTITFISHASKVMLKILQARLQQHINRELPDVQADFRKGRGTRDQIANIYWIIEKAR
+EFQKSIYFCFIDYAFDCVDHNKLWKILKEMGVPDHLSCLLRNLYAGQEATVRTGHGTTDW
+FQIGNGVRQGCILSPCLFNFYAEYIMRNTGLEESQAGVKIAGRNINNLRYTDDTTLMAES
+EEELKSLLMKVKEESEKVGLKLNIQKTKIMASGPITSWQIDGETVETVADFIFLGTKITA
+DGDCSHEIKRCLLLGRKVITNLDNILKSRDITLPTKVRLVKAMVFPVVIYGCESWTIKKA
+EH
+>tr|A0A3Q1M7B4|A0A3Q1M7B4_BOVIN Tyrosine-protein kinase receptor OS=Bos taurus OX=9913 GN=ROS1 PE=3 SV=1
+MKNVYWLSLKLVNFATLGCVWISVVQCTVLNSCLKSCVTNLGRQLDVGTPHNLSEPCIQG
+CHFWNSADQENCALKCVTGTHCPASTDMGCDLPTAPFASSIGSHGMTLRWKSANISGVKY
+IIQWKYTQLPGSWTYTEAVSKLSYVVEPLHPFTEYVFRVVWIFTAQLHLYSPPSPSYRTH
+PYGVPETAPFIRNIESSSPDSVEVSWAPPQFPGGPILGYNLRLISKNQKLDSGTQRTSFQ
+FYSTLPNTTYRFSIAAVNEVGEGPEAESSITTPSPAVQEEEQWLFLSRKTSLRKRSLKHL
+VDEAHCLQSEATHHNITGISVNVHQQAVYFSEGTLIWVKDVANMSDVSGLRIFYRGSGLI
+SSISIDWLYQKMYFIMDELVCVCDLENCSNIETIAPPSIIAPQKIVADSYNGYVFYLLRD
+GIYRVDLPVPSGGGSEAMRIVQSGTLKDFAIKPQSKRIIYFNDTAQVFMSTFLDGSASHI
+ILPHVPFTNVKSFTCENNDFLVTDGKAVFQQDSLSFNEFIVGCDLSHIEEFGFGNLVIFG
+SYTQPHPLPGRPQQLSVLFGSHQALVQWKPPTLAIGASPSAWQDWTYEVKVSTQDLPETT
+HVFSNISGTVLNVHDLQSATKYEVSVRASSPKGPGPWSEPSVGTTLVPAAEPPFIMAVKE
+DGLWSKPLNSFGPGEFLSSDIGNVSDMDWYNNSLYYSDMKGDVYVWLLNGTDVSENYHIP
+NIAGAGALAFEWLGHFLYWAGKTYVIQRQSVLTGHTDIVTHVKLLVNDMVVDSVGGYLYW
+TTLYSVESTRLNGESPLVLQAQPWFSGKKVIALTLDLSDGFLYWLVQDSQCIHLYTAVLR
+GQSAGDTTITEFAAWSTSDISQNALMYYSGRLFWINGFRIITAQEIGQRTSVSVLEPAKF
+NQFTIVQTSLKPLPGNFSFTPKVIPDAIPESSFMIEGNASRFHILWNAPPAVDWGIIFYS
+VEFSAHSKLLASEQQSKPGFTVEGLEPYALFNLSVIPYTYWGKGPKTSLSLRTPETVPSA
+PENPRIFILPSEKHRNKNVVVVEFRWNKPQYENGVLTRFEIFYQISNQNDTNKTVEDWIA
+VSVTPSVMSFQLEGMSPGYSVAFQVRVFTSKGPGPFSDIVKSKTSEINPFPYLITLVDNK
+IALLDMDRNQVTWTFSAEGDVGAMGYTADDAVGYCAQGDSLFLLRMDNRSSTEIFRDALV
+FNITFITIDWISRHLYFVLKESQNRMQIFDMDLENKVKYPRKLKVCNRNSTITSFSVYPF
+LSRLYWTEVFDSGSQMFYYSIINKTVHRILQPPVINHPNGSQCSCNVTESELSGAMTIDT
+SDLKKPQIYFVKGLEMWATDLEACQCWQVFILPVPLGKMLVSLTVDGEFLYWISKVEDST
+QIYQAKKSNGAILSQVEAPRSKHILVYSSVLQPFPDRAFLSLASDIAEPTILNTTNTSLT
+IRLPPARTKLTWDGIISPTPTYLVYYKEVIDMKNSSELREKMLEFQGSIALIEGLQPFST
+YMIQIAVKNYYSDPLEQIPLGKEIWGKTKSGVPEAVWLINTTVQSDTSLVISWRESHKPN
+GPRESVRYQVAISHLAPIPEIPLRQSEYPDGSLSLLVTRLSGRKLYVLKVLACHAEEMWC
+AESHPVSVETFDSPEKPYAFLPENTSLQLHWKAPSNVHPIRFWFELQKWKHSEFYHVKAS
+CSQGPAYVCNITDLQPYASYNVRAVVVYRTGANSTSLPGSFKTKAGLPSKPGIPKLLEGS
+KNSIQWEKSDDNGSRLMYYILEIRKSTSNDSRNQNLTWKMAFNGSCNSICTWKSKNLKGT
+FQFRVAAVNHLGFGEYSGISEDITLVGDGFWIPETSFILTIIIGIFLVITIPLIFVWHRL
+KNQSTPKEGLTVLINEDKELAELRSLATGVGLANACYAIHTLPTQEEIESLPAFPRGKLT
+LRLLLGSGAFGEVYEGTAVDILGVGSGETKVAVKTLKKGSTDQEKIEFLKEAHLMSKFNH
+PNILKQLGVCLLNEPQYIILELMEGGDLLTYLRKARMTPFHGLLLTLVDLVDLCVEISKG
+CVYLEQMHFIHRDLAARNCLVSMKDYTSPSRIVKIGDFGLARDIYKNDYYRKRGDGLLPV
+RWMAPESLMDGIFTTQSDVWSFGILIWEILTLGHQPYPAHSNLDVLNYVQTGGRLEPPRN
+CPDDLWNLMTQCWAQEPDQRPTFHKIQEQLQLFRNFSLNSISQCRGEANPGGVINEGFED
+EDGHPICLNSDDVTSVALMETKNQEGLNYMVLATECRQGEANSEGPLGSKESESCGLRKE
+EKEPHADIAICQEKQVAYCLPGKPEGLNYACLTHSGYGDGFD
+>tr|A0A3Q1M0S2|A0A3Q1M0S2_BOVIN NFAT activating protein with ITAM motif 1 OS=Bos taurus OX=9913 GN=NFAM1 PE=4 SV=1
+MGTWGLKLSLCPFPSPAEERSVTHTGLPILVSLANRAVSFSCSITYPYTPKFKDFRVSYF
+HVDLQGQTSSEKKISCQPGPGSENQTHTTACPIFLKLPNSSATGTYYCSVHWQDSRMIGE
+GTFILVRDTGYQEPPQSPQKLLLFCFVGILAVLSILATALLLWKKRQIWALWKHAAKRCP
+GPSATSSPGQPPSESVYTDLQRRETEVYDCIQSEAGSPPTSQGLLSQEKQHKFEGDGEFN
+LVYENL
+>tr|A0A3Q1MRP0|A0A3Q1MRP0_BOVIN Spastin OS=Bos taurus OX=9913 GN=SPAST PE=3 SV=1
+MNSPGGRGKKKGSGGPSSPVPPRPPPPCQARSRPAPKPAPPPQSPHKRNLYYFSYPLFLG
+FALLRLVAFHLGLLFVWLCQRFSRALMAAKRSSGAAPASASPPAPVPGGEAERVRAFHKQ
+AFEYISVALRIDEDEKVGQKDQAVEWYKKGIEELEKGIAVVVTGQGEQCERARRLQAKMM
+TNLVMAKDRLQLLEKLQPSLQFSKSQTDVYNDSTNLTCRNGHLQSESGAVPKRKDPLTHA
+SNSLPRSKTVMKTGPTGLSGHHRAPSCSGLSMVSGVRQGPGSAAATHKSTPKTNRTNKPS
+TPTTAARKKKDLKNFRNVDSNLANLIMNEIVDNGTAVKFDDIAGQELAKQALQEIVILPS
+LRPELFTGLRAPARGLLLFGPPGNGKTMLAKAVAAESNATFFNISAASLTSKYVGEGEKL
+VRALFAVARELQPSIIFIDEVDSLLCERREGEHDASRRLKTEFLIEFDGVQSAGDDRVLV
+MGATNRPQELDEAVLRRFTKRVYVSLPNEEGFTLLLCGDFKSEKVKITCSFFLLLLRMTN
+GYSGSDLTALAKDAALGPIRELKPEQVKNMSASEMRNIRLSDFTESLKKIKRSVSPQTLE
+AYIRWNKDFGDTTV
+>tr|A0A3Q1N541|A0A3Q1N541_BOVIN SPARC OS=Bos taurus OX=9913 GN=SPARC PE=4 SV=1
+MLSLLFLSSKATCRHCFAFVSKRGSKKGDFSTSRLERSGGGKTTYNSVSGGRGEEHFQNL
+LEREDCIQIGVGDTVKQSQAPRVPSTMRAWIFFLLCLAGRALAAPQQEALPDETEVVEET
+VAEVAEVPVGANPVQVEVGEFDDGAEETEEEVVAENPCQNHHCKHGKVCELDENNTPMCV
+CQDPTSCPAPIGEFEKVCSNDNKTFDSSCHFFATKCTLEGTKKGHKLHLDYIGPCKYIPP
+CLDSELTEFPLRMRDWLKNVLVTLYERDEDNNLLTEKQKLRVKKIHENEKRLEAGDHPVE
+LLARDFEKNYNMYIFPVHWQFGQLDQHPIDGYLSHTELAPLRAPLIPMEHCTTRFFETCD
+LDNDKYIALDEWAGCFGIKEKDIDKDLVI
+>tr|A0A3Q1N3J2|A0A3Q1N3J2_BOVIN ER membrane protein complex subunit 1 OS=Bos taurus OX=9913 GN=EMC1 PE=4 SV=1
+MAAASVSRLWLWAALLVPAAAVYEDQVGKFDWRQQYVGKLKFASLEFSPGSKKLVVATEK
+NVIAALNSRTGEILWRHVDKGTAEGVVDAMLLYGQDAITVSNGGRIMRSWETNIGGLNWE
+VTLDSRSLQALGLVGLQEAVRHVAVLKKTTLALLHLSSGHLKWVEHLPESDSIHYQMVYS
+YGSGVVWALGVVPFSHVNIVKFNVEDGEIVQQVRVSTPWLRSLTGACGVVDEAVLVCPDP
+SSRSLQTLALETEWELRQIPLQPFSQAAPLRFGLWQNWNEGRRAALVSFATTGEKTVAAV
+MTCRNEAVSVGNNSVWPHDSLACFNQTYTINLYLVETGRRLLDTAITFSLEQNGTRPERL
+YIQVFLKKDDSVGYRALVQTEDHLLLFLQQLGEVVLWSREESLAEVVCLEMVDLPLTGAQ
+AELEGEFGKKADGLLGMFLKRLSSQLILLQAWTSHLWKVFYDARKPRSQIKNEINIDTLA
+RDEFNLQKMMVMVTASGKTGGSPRLSSGPQLLRICSLFLLVEAERCLPFQETGMSSLYVF
+NPIFGKWSQVAPPVLKRPVLQSLLLPIMDQDYAKVLLLIDDEYKVTAFPATRNVLRQLHE
+LAPSIFFYLVDADQGRLCGYRLRKDLTTELSWELTIPPEVQRIVQVKGKRSSEHVHSQGR
+VMGDRSVLYKSLNPNLLAVVTESTDVHHERTFIGVFLVDGVTGRIVHSSVQRKAKGPVHI
+VHAENWVAYQYWNTKARRNEFTALELYEGTEQYNATAFSSLDRPQLPQVLQQSYIFPSAI
+SAMEATITERGITSRHLLVGLPSGAILSLPKALLDPRRPEIPTEQSREENLIPYSPDVQI
+HAERFINYNQTVSRMRGIYTAPSGLESTCLVVAYGLDIYQTRVYPSKQFDVLKDDYDYVL
+ISSVLFGLVFATMITKRLAQVKLLNRAWR
+>tr|A0A3Q1LL50|A0A3Q1LL50_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC513948 PE=3 SV=1
+MENGTEVTDFILVGLTNAPELQIPLFIVFTLIYLISVLGNLGMITLILLDSCLHTPMYFF
+IINLSLVDFGYSSAVTPKVMAGFLRADKVISYNACATQIFFFAAFASVENFLLAAMAYDR
+HAAVCEPLHYTITMKKSVCAHLAIGSYVCGFVNASIHVGGTFSLSLCESKLVHHFFCDIP
+AVMALSCSDKHVREVVLILISGFNVFFALLVIFISYLLIFITVLKMHSAKGYQKALSTCA
+SHLSAVSIFYGTIIFMYLQPSSNHSMDTDKVASVFYAMVIPMLNPIVYSLRNKEVKSAFK
+KVWGKAKFSLGF
+>tr|A0A452DIF0|A0A452DIF0_BOVIN Keratin, type II cytoskeletal 71 OS=Bos taurus OX=9913 GN=KRT74 PE=3 SV=1
+MSRQLNIKSGGDKGGFSGHSAVVLRKVGGSAASYRAPSKGAGAAFGSRSLYSLCRGDLCV
+PLKVAGSSVRTGGYNFRLGSGYGGVRASSFAGSMFGSVVLGPVCPSMCPPGGIHQVTVNK
+SLLAPLNVELDPEIQKVRAQEREQIMALNNKFASFIDKVRFLEQQNQVLETKWELLQQLD
+LNNCKNNLEPILEGYISNLRKQLETLSGDRVRLDSELRSVRDVVEDYKKRYEEEINRRTA
+AENEFVLLKKDVDAAYANKVELQAKVDSMDQEIKFFKCLYEAEIAQIQSHISDMSVILSM
+DNNRDLNLDSIIDEVRAQYEDIALKSKAEAEALYQTKFQELQLAAGRHGDDLKNTKNEIS
+ELTRLIQRIRSEIENVKKQASNLETAIADAEQRGDNALKDARAKLDELEAALHQSKEELA
+RMMREYQELMSLKLALDMEIATYRKLLESEECRMSGEFPSPVSISIISSTSGSGGYGFRP
+SSVSGGYVANSGSCISGVCSVRGGESRSRSSTTDYKDALGKGSSLSAPSKKASR
+>tr|F1MSA1|F1MSA1_BOVIN Palmitoyl-protein thioesterase 1 OS=Bos taurus OX=9913 GN=PPT1 PE=4 SV=3
+MRCARGRGRPRARACIEAGARGARSRDRVKMASSSCLWLLALAFLLGSCASLALGHLDPP
+APLPLVIWHGMGDSCCNPLSMGAIKKMVEKKIPGIHVLSLEIGKTLREDVENSFFLNVNS
+QVTTVCQILAKDPKLQQGYNAMGFSQGGQFLRAVAQRCPSPPMVNLISVGGQHQGVFGLP
+RCPGESSHICDFIRKTLNAGAYNKAIQERLVQAEYWHDPIREDIYRNHSIFLADINQERG
+VNESYKKNLMALKKFVMVKFLNDTIVDPVDSEWFGFYRSGQAKETIPLQESTLYTQDRLG
+LKAMDKAGQLVFLALEGDHLQLSEEWFYAHIIPFLE
+>tr|E1BN72|E1BN72_BOVIN NIM1 serine/threonine protein kinase OS=Bos taurus OX=9913 GN=NIM1K PE=3 SV=1
+MTAVYVNGGGLVNPHCARWGRRESEESGCQTECAKEDEEGEPRQLTPFEKLTQDMSQDEK
+VVREITLGKRIGFYRIRGEIGSGNFSQVKLGIHSLTKEKVAIKILDKTKLDQKTQRLLSR
+EISSMEKLHHPNIIRLYEVVETLSKLHLVMEYAGGGELFGKISMEGKFSEPESKLIFSQI
+VSAVKHMHENQIIHRDLKAENVFYTNNTCVKVGDFGFSTVSKKGEMLNTFCGSPPYAAPE
+LFRDEHYVGVYVDIWALGVLLYFMVTGTMPFRAETVAKLKKSILEGTYSVPPHVSEPCLR
+LIRGVLQPVPAERYGIDSIMNNEWMQGVPYPTPLEPFQLDPKHLSETSSLKEEENEVKST
+LEHLGITEEHIRNNQGRDARSSITGVYRIILHRVQKKKALESVPIMILPDPKDKDLKKGS
+RVYRGIRHTSKFCSIL
+>tr|A0A3Q1N229|A0A3Q1N229_BOVIN Dermokine OS=Bos taurus OX=9913 GN=DMKN PE=4 SV=1
+MKLKGSLACLLLFLLLGSGEASPLQSGEENTREEVGEAIGHGVGEALGDVLGEAAIHGIE
+KAIGQGTGKTEGLGIREARDPHLGDALAHKLKEASHALKNTGSEADRQAENIIGHGVDPA
+HKSWQGTPGSNGAWGTNGQPPSGGHGIPGSQGSSGGPGDTQDQVFSGGSGGSFGANAQGG
+SWGQGGHRGAFNLGANSQGTSPQPGSVRSNNNRNTECTTPPGSGGSSGNSGGSSSSGSST
+NGGGSSGGSNGGSNGSTSSNSGSSNGGGSSNSGGSNGGGSNGGGSSNGGGSNAGSSGSSG
+SSSDTRNSDHGGSSQGYNPSPSSGSRVGSGVRNKPECDNPHVSGGSGGQGQGSSGEGEAV
+SGINTLNFKSKLGFINWDALNKGQAPPPSTRALLYFRRLWEDFKHNTPFLNWKVITEGED
+LPSLQKRAGGAGQPGTGWQDAVAGTAKNYNYNQQGPPAALGGQYPAKTPAKVRLATAHPL
+RRVYRGTVTTSHAMEKTGILSVRNISLLKNYRTHGKSALYL
+>tr|F1N7S1|F1N7S1_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=OR10AG1 PE=3 SV=3
+MEYKLRTEKSNITTMMEFILLGFSYSPNLQWILFGIFLVLYLTILMCNSVIVLITRIDPT
+LQTPMYFFLNHFSILEICYVTVTIPRMLMDLLSQKGHISFFTCAAQMCLVLLFGGLECLL
+LAVMAYHRYVAICNPLHYGLIMSPQVCVQLVTASWVSGVPVVIGQTWQVFSLPFCGSTTI
+NHFFCDLPPVFKLACGDTFVNEIAVYVVAVVFIMVPFLLIVVSYGKIISNILKLRSARGR
+AKAFSTCSSHLTVVVLFYGTASTTYLQPKPNQSEETGKLISLFYTVLIPTLNPIIYTLRN
+KDITVALRKLLSKLST
+>tr|E1BJF3|E1BJF3_BOVIN Phospholipid phosphatase related 1 OS=Bos taurus OX=9913 GN=PLPPR1 PE=4 SV=1
+MAVGNNTQRSYSIIPCFIFVELVIMAGTVLLAYYFECTDTFQVHIQGFFCQDGDLMKPYP
+GTEEESFITPLLLYCVLAATPTAIIFIGEISMYFIKSTRESLIAQEKTILTGECCYLNPL
+LRRIIRFIGVFAFGLFATDIFVNAGQVVTGHLTPYFLTVCKPNYTSTDCRAHHQFINNGN
+ICTGDLEVIEKARRSFPSKHAALSIYSALYATMYITSTIKTKSSRLAKPVLCLGTLCTAF
+LTGLNRVSEYRNHCSDVIAGFILGTAVALFLGMCVVHNFKGTQGSPSKPKPEDPRGVPLM
+AFPRIESPLETLSAQNHSASMTEVT
+>tr|A0A3Q1MDW7|A0A3Q1MDW7_BOVIN Hypoxanthine phosphoribosyltransferase OS=Bos taurus OX=9913 PE=3 SV=1
+MAGSHSNSVMACHSTCVTISDEEPGDDLDLFCVPNHYAKDLEKVFIPHGLILDRTEKLAR
+DVMGDMGGHHIVALRVLKAGYTFCADLLDYVKTRFFKSYCNDQSTGAIKVLGGDNLSTLA
+GKNILIVEDIIDFGKTMQIFLSLVQQHNPKMVEVANLLVKRTPRSIGYQPDFVGFEIPDK
+FVIGYALDYNEYFRDLNHVCVISETGKAKYKSEAESLG
+>tr|F1MKM6|F1MKM6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=3
+MGFSRQEYWSRLSFPSPGDLPDPGIEPGSPTLPQLFPSLSYIDTSSVFFPSILGLLTIGI
+SSMKRPQRSYLLDTLESLFYSSSLSEQKHFIVLVHLADPDPMWRGQVISNISTIFKPYIQ
+ASQLVVINTPLKSYLPLKSLKRNSNDAPAYVAFRSKRNMDYAFLMNFATNHSDYFLMIED
+DVKCVPGFVTQIATTVSAWENKPWVTLEFSPLGFTGKLFRTKDLPCFVNFLLLFYQEMPC
+DSLLSHFHDLLMQQTPVQFLPSLFQHMGNYFSFEGKLNNLKDKEFEEDDISSPNNPAASI
+YTSLKATNDSVPMNAYSLNKNFFYAKSAEAGSHLTVVLDSPAKVFRVQVLTGSDLKGENQ
+LKEGQIELGYDFNDQINDCDDYILLGLLVNGILNKQVLSNKSGKKVKCVRLLVTATPSSG
+IIVRHINIWVK
+>tr|E1BPM0|E1BPM0_BOVIN RAS protein activator like 1 OS=Bos taurus OX=9913 GN=RASAL1 PE=4 SV=2
+MTDKGRRFRGGAVLGDFKPSASFRSNFSEQQEVASPFGKYRDCGHSSRSGSSDPYCIVKV
+DDEVVARTATIWRSLSPFWGEEYTVHLPLDFHHLSFYVLDEDTVGQDDIIGKISLSREAI
+TADPRGIDSWINLSRVDPDSEVQGEICLSVQTLEDVRGRCLHCHVLQARDLAPRDISGTS
+DPFARVFWGSQSLETSTIKKTRFPHWDEVLELREMPGSPSPLRVELWDWDMVGKNDFLGM
+VEFPPQVLQHNPPNGWFRLLPFPRAEEDSGGSLGALRLKVRLTEDSVLPSRYYQPLRELL
+MESVLGPAEEDAASPLAVLEELTSGDCRQELATKLVKLFLGQGLTGPFLDYLTRREVART
+TDPNTLFRSNSLASKSVEQFMKLVGMPYLHEVLRPVINRVFEERKYMELDPCKMDLGRTR
+RISFKGAPSEEHVREASLGLLTGYLGPIVDAIVGSVGRCPSAMRLAFKQLRQRVEERFPQ
+AEHEDVKYLAISGFLFLRFFAPAILSPKLFDLRDQHADPQTSRSLLLLAKAVQSIGNLGQ
+QLGQGKELWMAPLHPFLLQSISRVRDFLDQLVEVDGKEEAGGPARALVPPSMTVREGYLL
+KRKEEPAGLATRFAFKKRYFRLSGEMLSYSKSPEWQVRSSIPVSHIRAVERVDEGAFQLP
+HVMQVVTQDGAGAPHTTYLQCKNVNELNQWLSALRKASAPNPDKLASCHPGAFRSGHWTC
+CLQAERSASGCSRTHSAVTLGDWSDPLDPDAETQMVYRQLLLGRDRLRMKFLEDSNMDTT
+LEAATEQGSSAMEGACTDALARQREAAARLLKVLTDLDQAHEEFQQQEQGKVVSGPLRP
+>tr|A0A3Q1LJY8|A0A3Q1LJY8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=CRB3 PE=4 SV=1
+MASPSLEPLLALGLPLLLARWGRVGGQVSTTWSTSVNTTTTPSGPSPGSNGGLSQEATTA
+IIVVFSLLAAVLLAVGLALLVRKLREKRQTEGTYRPSSEEQFSHAAEARAPQDSKETVRG
+CLPI
+>tr|A0A3Q1M4R7|A0A3Q1M4R7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=COBL PE=4 SV=1
+MDALRASAAKPPTGRKMKARAPPPPGKPATPNLHSGQRSPRRASPGPPQNQLSRKHSLDD
+GVVAMTVVLPSGLEKRSVVNGSHAMMDLLVELCLQNHLNPSNHALEIRSSETQQPLNFKP
+NTLVGTLNVHTVFLKEKVPEAKVKPVPPKVPEKTVRLVVNYLRTQKAVVRVSPEVPLQSI
+LPVICAKCEVSPEHVVLLRDNVAGEELELSKSLNELGIKELYAWDNKRETFRKSSLSNDE
+TDKEKKKFLGFFKVNKRSNNKGCLTTPNSPCVNPRSVILGPSLSLGNISGVSVKSDLKKR
+RAPPPPTLPRAGPPVQDKTSEKMSLGSQIDLQKKKRRAPAPPPPQPPPPSPLVPPRTEDR
+EEGRKGRTGVGRQVPQKPPRGAARAPPQLVLPPPPPYPPPAADATEPPLGAPGEGAAPEA
+SSPRPAPELSLSMGPGGPCSVDGAPPVPSEAEETVSVSSCFASEDTTEDSGVMSSPSDIV
+SLDSQHDSMKSKDRWSTDREDCSDQDLAGTPEPGPPKSPLWERSSFENRHLRNRKAAPAS
+HEDEEIFMTGQFQKTLAELDEDLEEMEESYEADTGPLANSIHGMSTPHGDPEAIPVTFIG
+EVLDDPVDSGVFSNRNNNAGSFDLGSTADSKAQPPPHQAECPQQHSQRRAAGPSSPAPSR
+EPEREVRSALTNTWKEVDPRKMEPTASSASALHTHHWNGKEEGRAPVSAHSGGTPAPGRV
+IPQLGKEKASDGKGDISTLPPWHQRGQHPAGSYGLKYGLTTYKIVPPKSEMKCYDRGASL
+SVGAIKIDELGNLVSPHVHTGRTVVPSSPTLEAETPPIGKVKEFWRSNSIEKHSGRPMER
+PKRTPTPTTPTNLQPQESRLRGEPTSPDPQGTLPGPQSPHSEDGRAVGEGRSWPPPAATR
+PLQVPAANPAEVPFLKPQRRTSSQYMASAIAKRIGTPKVHSDAGRRQDNTQRTYEGTAPE
+PVVAPPVVKDSTTPSPNPGTGIRREGEESTAGPHPGWQVSSPYRKLSAQDYRSGIHRNSH
+GSLVTAAQRDQASVGQSSGLSGEQNIRTHRTDSASDPKYKSDGLSPSRLHSGDDQTSGST
+LVNGSRWVPIHSEPPCSPRVSDTNGRAGQEPPQREEKPSLLSTGALEADGTLPASIFGPK
+KKFRPVVQRPAPKDTSLHSALMEAIHSAGGKDRLRKIPEPGSKGGPKKPSYTEADGERSA
+LLAAIRGHSGTCSLRKVTSSASEELQSLRDAALSAHGPDPSRVEDLGVQSQPPPPPPTPV
+TQAPTSSWSASRSSEGPLGNPLDARQALMDAIRSGTGAARLRKVPLLV
+>tr|E1BC96|E1BC96_BOVIN Zinc finger CCCH-type containing 18 OS=Bos taurus OX=9913 GN=ZC3H18 PE=4 SV=3
+MDVAESPDRDPRSPEDEEEEQQGLSDDDILRESGSEQDLDAAGGRASDLEDEESVAPGLS
+QEDEESRSDEDDRDSEAQELSRGPASPPRAEGDCASDLRDEASSVTRDLDEHELDYDEEV
+PEEPAPGAQEDDAEKAGPEDDEERGEGAPGEEGKVGVRTVEDKEPPEAAKEKRKEDDDGE
+IDDGEIDDDDLEEGEVKDPSDRKVRPRPTCRFFMKGNCTWGMNCRFIHPGVNDKGNYSLI
+TKAEPFPPNGAPPLGPHPLMPANPWGGPVVDEILPPPPPEPPTESAWERGLRHAKEVLKK
+ATIRKEQEPDFEEKRFTVTIGEDEREFDKENEVFRDWNYRITRDVRDTALEPYADPYYDY
+EIERFWRGGQYENFRVQYTEAEPYHNYRERERERERENRQRERERERERDRERERRQRER
+ERERERERDKERQRRKEEWERERAKRDEKDRQHRDRDKEREKDKEKPKARSPQPPSRQAE
+PPKKETVSTGPQVKRADEWKDPWRRSKSPKKKLGVSVSPSRARRRRKTSASSASASNSSR
+SSSRSSSYSGSGSSRSRSRSSSYSSYSSRSSRHSSFSGSRSRSRSFSSSPSPSPTPSPHR
+PARAKGEPAPPPTKAGEKLLKKPAPPPALPQAPKTTTAPEPTKPGGDPREARRRERQARS
+PPRRRTVSGSGSGSSYSGSSSRSRSLSVSSVSSVSSATSSSSSAHSVDSDDMYADLASPV
+SSASSRSPTPAQTKKEKGKSKKEDGVKVDKRKRDSSTQPPKPSRTPAGGRSSQQPTTPQQ
+APPGQPPAATFIAHKEIKLTLLNKATDKGSRKRYEPSDKDRQSPPPAKRANLSPDRGSRD
+RKSGGRVSSPKPERQRGQNSKAPSAPTDRKRPLSPQSKSSSKVTSVPGKASDTSTTATAG
+TKSGKASTLSRREELLKQLKAVEDAIARKRAKIPGKV
+>tr|A0A3Q1LK00|A0A3Q1LK00_BOVIN Pleckstrin homology and RhoGEF domain containing G7 OS=Bos taurus OX=9913 GN=PLEKHG7 PE=4 SV=1
+MEKMEPSRQEVQPAACKASPPYSLESLSKKHCQNQGNLLHFDRQAPGRLTTSPTLRRLRS
+RGCGSVRGGACGTATGHAPPQHVALEVRTWGDLQEYPGSASPLFNKVCESTEESSHSVWP
+LRLHSRLPLDSERALDSAESVELQMGPGEERALPVPQDLEEGSHSQASFPRKGDHSAPSH
+TPGPPGPQKEELHQYRFYEHRRSSVVLNLPGLEVFPGDLLVSAGAADYLCHPLLLLNSES
+KKPRWPFSKRGVQGKDKNKHISDLEDCLSSLKITDFRDYEFHTLKDKTWNEVMEMHQKLP
+TNQLDLRKQQEAVWELFTSECTYFLDHLLVLKMIFMNTLKYLQTHEYLLDVDLWRLFANL
+EELSQTSFGFVNSLFRIFKDYIDICETSPSLDFISVLTKWCEQHDQCRRLHLPELLVAPL
+HRLTRYPLLLKNIWKRSTDSTEKIMIYSIKEKVEKAIQDLEGKVKWLDNFQKFRHLQEIV
+VWPPLWDRDKRFFIPECLKHIFKEHMAENILSPTNRHLLYEGKLTLAESTRFLDVYLFLF
+DDFLLVTKTKRNKKKLGGSDPGLMCPSLTAELQTVIREGGSCTVIDQPIPLDRLLVRGLD
+PLHVSVFGLRNAFLIQHENRYRQCIAAFLLQAQTENIKRTWIAQITAAISRFTRSQETKK
+LSSFPLPAEPSGI
+>tr|A0A3Q1MUR4|A0A3Q1MUR4_BOVIN Contactin associated protein like 4 OS=Bos taurus OX=9913 GN=CNTNAP4 PE=4 SV=1
+MGSVTGAVLKTLLLLSTPNWNRVLAGNSYNCDDPLVSTLSQASFSSSSELSSSHGPGFAR
+LNRRDGAGGWSPLVSNKYQWLQIDLGERMEITAVATQGGYGSSNWVTSYLLMFSDSGRNW
+KQYRQEDSIWGFVGNANADSVVYYRLQPSIKARFLRFIPLEWNPKGRIGMRIEVFGCAYR
+SEVVDLDGKSCLLYRFDQKSLSPIKDVISLKFKTMQSDGILLHREGQNGDHITLELRRGK
+LFLLINSGDAKPPSARAPVRLTLGSLLDDQHWHSVLIQRLGTQVNFTVDGHRRRFRAQGE
+FSSLHLDYEISFGGIPAPGKPVSFPHKHFHGCLENLFYDGVDIIDLAKRQDPQMIVMGNV
+SFSCSQPQSVPVTFLSPRSYLALPGSFGEDEISATFQFRTWNKAGLLLFTELQLVSGGLL
+LFLNDGKLKLHLYHPGKLPSDITAGCPDSSFGSKCKSPLGGFQGCMRLISIRHEVVDLIS
+LQPGALGNFSDLQIDSCGITDRCLPNYCEHGGECSQSWDTFHCNCANTGYRGATCHNSIY
+EQSCEAYKHKGNTSGFYYIDADGSGPLEPFLLYCNMTETAWTIIQHNGSGVTRVRNTNPE
+NPYTGFFEYVASMEQLQATINRAEHCEQELTYYCKKSRLVSKEDGAPLSWWVGRTNETQT
+YWGGSLPDPQKCTCGLEGNCVDSQYYCNCDADRNEWTNDTGFLSYKEHLPVTRIVITDTG
+RPHSEAAYKLGPLLCRGDKLFWNSASFNTEASYLHFPTFHGELSADVSFFFKTTASSGVF
+LENLGITDFIRIELRSPAVVTFSFDVGNGPFEISVQSPTHFSDNQWHHVRVERNMKEASV
+RVDQLSPKTQPAPADGHVLLQLNSQLFVGGTATRQRGFLGCIRSLQLNGEALDLEERARV
+TPGVEPGCRGHCSSYGRLCHHGGMCMEKPSGFSCDCTSSAYAGPFCSDEISAYFGSGSSV
+IYNFQENYSLSKNSSSHAASFHGDTRLSRETIKFSFRTTRTPSLLLYLSSFYKEYLSVIL
+AKNGSLQIRYKLNRYQEPDVVNFDFKNMADGQLHHVKINREEAVVFVEIDESMRRQVHLA
+SGTELSAVRSLVLGRIIEHSDVDQETALAGAQGFLGCLSAVQVSHVAPLKAALQPSRPAP
+ITVSGHVTESSCVAQAGTDATSRERTHSFADHSGTRDDREPLTKTIKSDSAVIGGLIAVV
+IFILLCIAAIAVRIYQQKRLYKRNEAKRSENVDNAEAVLKSELNIQNPVKENQKEYFF
+>tr|G3MX20|G3MX20_BOVIN G_PROTEIN_RECEP_F1_2 domain-containing protein OS=Bos taurus OX=9913 GN=LOC507247 PE=4 SV=2
+MENTLNSSGSRSSSPGPTPAPPDTVREVLDALVVFTCVGGIVGNGLVVWLLGFQRRRGPL
+GIYLLHLAVADLLFLLCSAMLTVLSTSSWGIHMHRLGPRAVRSAGYLAYTVSLSLLTAAS
+AQSCLPGLFPTWYRGRWHRRLSAAVCAVFWLLAVLLIVPAWYFHDEAEHPHSWLGSKAET
+VLHFFTLVSFTPIMALSGMALCIQVQRSSPPWQRPLTRLYVAVLLCVFVFFACALPLGIS
+GFLLYWLDLPQSAKTLFGHFTCFSLSVSSSTKPMIYFLVGSGGRLSLREPLGAVLSRVLQ
+EEPELEEREMPSTDPSDEGKLPKRKA
+>tr|A0A3Q1MA64|A0A3Q1MA64_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MAMSSWYYQLLRDRRPPSLSYTQGTGNSQVPRSKYAELLTVIELRKVIRPTYPEVSFTLK
+DWIRSTWLKQNTIPDPSHFVSSERFSIYIFLNKMEDYSFSSV
+>tr|G3MZ61|G3MZ61_BOVIN SH2 domain containing 7 OS=Bos taurus OX=9913 GN=SH2D7 PE=4 SV=2
+MEAHLRQLSLGRGPKGAGDRQALAELQELALSWFMETQAPLILQNGVLPAWFHGFITRKQ
+TEQLLRDKALGSFLIRLSDRATGYILSYRGSDRCRHFVINQLRNRRYLISGDTQSHGTLA
+ELVHHYQEVQFEPFGETLSAACPRMEDNDLYDAITVGLHQTDPSLEYPPEEASSPPASPK
+HQVSSLHKRRSLDASPRNLSEEESMEAPVKVPPLPERRGSLLSNSFGGSNDIIYADLRKM
+NQARLGLGTDISGWQGPVPGGSQACSPGKEAQRRLSDGSQNKPDGPGPSLSGVSPDQGPR
+VSPISWGLLLPSSSEASGSSGATWSQGSPKLSRGAQPCFQSSCADTYESIWTEDVPEDTR
+DVPRREDGSAHEQIAVCWGSPARTPYPGMGPTYSKLSGFTDSGYERISEVPELPEPRHTY
+EQIPGATARSKEAGRTHKPDKLRRIFFTDKKHKP
+>tr|A0A3Q1M0U9|A0A3Q1M0U9_BOVIN Transitional endoplasmic reticulum ATPase OS=Bos taurus OX=9913 GN=VCP PE=3 SV=1
+MARGDDLSTAILKQKNRPNRLIVDEAINEDNSVVSLSQPKMDELQLFRGDTVLLKGKKRR
+EAVCIVLSDDTCSDEKIRMNRVVRNNLRVHLGDVISIQPCPDVKYGKRIHVLPIDDTVEG
+ITGNLFEVYLKPYFLEAYRPIRKGDIFLVRGGMRAVEFKVVETDPSPYCIVAPDTVIHCE
+GEPIKREDEEESLNEVGYDDIGGCRKQLAQIKEMVELPLRHPALFKAIGVKPPRGILLYG
+PPGTGKTLIARAVANETGAFFFLINGPEIMSKLAGESESNLRKAFEEAEKNAPAIIFIDE
+LDAIAPKREKTHGEVERRIVSQLLTLMDGLKQRAHVIVMAATNRPNSIDPALRRFGRFDR
+EVDIGIPDATGRLEILQIHTKNMKLADDVDLEQVANETHGHVGADLAALCSEAALQAIRK
+KMDLIDLEDETIDAEVMNSLAVTMDDFRWALSQSNPSALRETVVEVPQVTWEDIGGLEDV
+KRELQELVQYPVEHPDKFLKFGMTPSKGVLFYGPPGCGKTLLAKAIANECQANFISIKGP
+ELLTMWFGESEANVREIFDKARQAAPCVLFFDELDSIAKARGGNIGDGGGAADRVINQIL
+TEMDGMSTKKNVFIIGATNRPDIIDPAILRPGRLDQLIYIPLPDEKSRVAILKANLRKSP
+VAKDVDLEFLAKMTNGFSGADLTEICQRACKLAIRESIESEIRRERERQTNPSAMEVEED
+DPVPEIRRDHFEEAMRFARRSVSDNDIRKYEMFAQTLQQSRGFGSFSRLGELYNQGSGSP
+KDARRGNCENHTQALS
+>tr|A0A3Q1LSQ4|A0A3Q1LSQ4_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC789193 PE=3 SV=1
+MESRNNVTYFVLLGLTQNPKEQKVLSVLFLFIYLLTLVGNLLIIVTIAVSETLNSPMYFF
+LASLSCMDVTYSTSITPRLISELLFGEKYISFESCMTQLFAEHLFAGSGVFLLLVMAYDR
+YVAICKPLHYLVIMRQRVCVVLLVVSWVGGFLHSVIQLSTIYWLPFCGPNVIDHYMCDMY
+PLLELICTDTYVTGILVLANGGLICTIVFLLLLISYGVILHSLKNLSQEGRRKALQTCGS
+HITVVVCFFVPCIFINARPAKTFPIDKLLSVLYTVISPMLNPLIYSLRNSELTNAMKKLW
+RRNIISHIK
+>tr|E1BID4|E1BID4_BOVIN Leucine rich single-pass membrane protein 2 OS=Bos taurus OX=9913 GN=LSMEM2 PE=4 SV=2
+TDFGGQSPNCPTSDTAALTRSRAPLAPSHGQEVRLHRVESISDLHNGGPLCPYLAEEGQP
+WGELLGVLPPALCAQTGCGPVHSRGAFLLLLALLVLICLALAVLAVYLSVLQSESLRVLA
+HTLRTQEEVLLKLRLASLSQLRRLNSSEAQAPS
+>tr|A0A3Q1MGK9|A0A3Q1MGK9_BOVIN Vacuolar protein sorting 13 homolog C OS=Bos taurus OX=9913 GN=VPS13C PE=4 SV=1
+MVLESVVADLLNRFLGDYVENLNKSQLKLGIWGGKREGAASELDVPFKVKAGQIDKLTLK
+IPWKNLYGEAVVATLEGLYLLVVPGASIKYDAEKEEKSLQDIKQRELSRIEEALQKAAEK
+AKEAKKDTFLEKLATQVIKNVQVKITDIHIKYEDDVSSLLGVSNFFQPISASAKLYMNPY
+AETELKTPKLDWNIEVQNIAIELTKPQYLSMIDLLESVDYMVRNAPYRKYKPYLPLHTNG
+RQWWKYAIDSVLEVHIRRYTRMWSWSNIKKHRQLLKNYKSAYKNKLTQTKVPEEIQKQIQ
+VYLACIGKVLFVKSSLTFVSINLGHLLICFDFIHSLCKTEAVDFLKYCLFIAIDDLMTPE
+EKDKLFTAIGYSESTHNLTLPKQYVAYIVALKLVSTSITIRENRNIPEILKIQIIGLGTQ
+VSQRPGAQALKVEAKLEHWYITGLRQQDIIPSLVASIGDTASSLLKIEFETNPESSTADQ
+TLVVQSQPVEVIYDAKTINAVVEFFQSNRGLDLEQITSATLMKLEEIKERTATGLTHIIE
+TRKVLDLRINLKPSYLIVPQTGFHHEKSDLLILDFGTFRLNSKDQGLQKTSNSSLEEIMD
+KAYDKFDVEIKSVQLLFARADENWKECRFQHPSTMHILQPMDIHVELAKAMVEKDIRMAR
+FKVSGGLPLMHVRISDQKMKDVLCLINSIPLPQKSSAQSPEKQSNLCFPLTSLAEKAVDP
+TPVLLPGKSHEWRSLVILEFTKQQKEEDTILVFNVTQLGTEATMRTFDLTAVSYLKKISL
+DYHEIQGSKKKPLHLISSSDKPGLDLLKVEYIKVRVIECLCIYFNTLKLLCLFAVVVSSK
+DSDMIHFRLFAKLNAFCVVVCDEKNNIAEIKIQGLDSSLSLQSRKQSLFARLENIIVTDV
+DPKTVHKKAVSIMGNEVFHFNLDLYPDATEGDSYTDMSKVDGVVSLNVGCIQIVYLHKFL
+MSLLNFLNNFQTAKEALSAATAQAAEKAATSVKDFAQRSFRVSINIDLKAPVIVIPQSSI
+STNAVVVDLGLIRVQNQFSLVSGENYSDPPVIDRMDVQLTELKLSRTVIQPGISHPDIQL
+LHPINLEFFVNRNLAASWYHKVPVVEIKGHLESMNVNLNQEDLNLLFRILAENLGEAPED
+LNKVKPRIQETGKRLTIGAEKKGRPLHELNVLQLGLEARVKAYDLTAEAYLKKISMRCFE
+FTGKYEVSFSSLDLVLHLEALLSFMDFLSSAVPSSEPSKKDSELKPLMGESRISSNSSQD
+DVFDLKVTAKLNAFNVFVCDQKCNIADIRIHGMDASVSVRPKQTDMFARLKDIIVTNVDL
+TSIHKKAVSILGDEVFRFEMTLYPDATEGKAYSDMSKVDGKLSLKVGCIQIVYVHKFFMS
+LLNFLNNFQTAKEALSSATAQAAERAASSMKDLAEKSFRLLMDINLKAPVIIIPQSSVSP
+NAIIADLGLITVENRFNVVSRGHHSLPPIIDKMDIQLTQLKLSRTILQADLPQHDIEILK
+PVNMLLYIQRNLAASWYVQIPGVEVKGRLKPMQVALSRDDLTVLMKILLENLGEASSQPS
+PTQSTQEAVRVRQDVSSRPEHFIEQEDVTDPKLALDQSVTLQFDFHFESLSIVLYNNDTS
+QESKLAFHNDSFRLGELRLHLMASSGKMFKDGSMNVSIKLKTCTLDDLREGIERATSRFN
+GQENNSSMIDISYQQGKNESHIDAVLDKLYVCASVEFLMTVADFFIKAVPQSPENMTKES
+QIPLKQTALAKIKMEKALPLTFLFSITDPEVVFVANLTKADAPALTASFQCDFFLSTSKL
+EQIMTASLGDLKVLACPFLREKRGKNITTVLQPCSLFMKKYTQTSGKENIDIKISPIILN
+TVMTIMAAMSPKTKEDESKDTSKEMENLWGIKSISDCNSWFLGVDMGTELTESFRDVENQ
+SIEENCAVVVESVQVTLECGLGHRTVPLLLAESKFSGNIKNWTSLMAASADMTLEVHYYN
+ETHAVWEPLIERVEEKRPWNLSLAVKKNPVQDKTLMPGDDFIAVPEPQTEIDISSGDTMN
+ITISKICLILEGTASTFDYSLKDRAPFTVTNSVGVPIKVQPNHNLRVMGCPEKSDVCDVD
+AGQILELEYATMEPSRQGKLSILSRQESSLFSLTFAPHGYTEVTKVPIARPGRRLHNVWN
+PNTSHFDSLVVQIDATEGNKVITVRSPLQIKNHFSIAFIIYKYVKNVKLLERIGIARPEE
+EFHVPLDSYRCQLFIQPAGILENQYKESTTYISWKEELHRSKEVRCMLQCPSTEMNFLPL
+IVNTVAVPDELSYISAQGKDWDPAYIIHLYPTVTLRNFLPYSLRYLLEGTAETHELTEGS
+TANVLHSRISGEIMELVLVKYQGKNWNGHFRICSTLPEFFLVCFSSDSEETLTVDLSIHV
+KRIGSQMELSIFSPYWLINKTSRVLQYRAEDTHVKHPADFRDIVLFSFKKKNIFSKNKVQ
+LKISTSSWSNGFSLDTVGSYGCVKCPANNMEYLVGVSIKMSTFNLTRIVTLTPFYTIENK
+SSLELEVGEIASDGSLPTSKWNYIASSENLPFWPEKFSGKLCVRVVSCEGSSRPFFYNQQ
+DNGTLLSLEDLNGGILVDVDTAPHSTVISFSDYHEGCAPALIINHTPWNILTYKQRYEIK
+RVLRKYDLQVRLFAWEDPTGTRKLKWSYAENVGEHDLLKDECGQFAYDANIQIHWVSFLD
+GRQRVLLFTDDVALVSKALQAEEMEQANHEITFSLHSLGLSLVNNETKQEVSYIGITSSG
+VVWEMKRKQKWKPFSRKQIILLEKSYKKYLESRDLGWIKLDDNFEVNFGKDPMEMRLPSR
+CFIRRHFLSGIQVEYKQSPHQRSLRARLYWLQVDSQLPGTVFPVVFHPVAPPKSIALDSE
+PKPFIDVSVITRFNEYSKVLQFKYFMVLIQEMALKVDQGFLGAITALFTPTADPEAERKW
+TELIREDLDAINTELVGTSVTDTSMLSFFEDFHISPIKLHLSLSLGSGGEESNQEKRELI
+PIHSVNLLLKSIGATLTDVDDLIFKLAYYEIRYQFYNRDQLMWSVVRHYSEQFLKQMYVL
+VFGLDVLGNPFGLIRGLSEGFEALFYEPFQGAVQGPEEFAEGLVIGVKSLFGHTVGGAAG
+VVSRITGSVGKGLAAITMDKEYQQKRREEMGRQPKDFGDSLARGGKGLLLGVVGGVTGII
+TKPVEGAKKEGAAGFFKGIGKGLVGAVARPTGGIIDMASSTFQGIQRVAESTEDVSRLRS
+PRLIHEDGIIRPYDRQESEGYDIFELEGETYRYHCAIPGNKKASFMVTNRRVLCVKEVEI
+LGHMSTDWQYLFEDFVQPPTVDGNLLKISVVVRKHESHTFRVKNLLNDALPCEPVLVFAI
+CDKYFVFQRACRAIEEGQSVRQQQKLMKQPSLRILRPQVLS
+>tr|A0A3Q1MRM9|A0A3Q1MRM9_BOVIN Chloride intracellular channel protein 5 OS=Bos taurus OX=9913 GN=CLIC5 PE=4 SV=1
+MNDENYSTTIYNRVQTERVYEDSDPAENGGPLYDEVHEDVRREDNLYVNELENQEYDSVA
+VYPVGHQGRTSASLQPETGEYVLPDEPYSKAQDPHPGEPTEDEDISLEELLSPTKDQESD
+SEEPQASDPEEPQASDPEEPQGPDPEEPQENGSEMEADLPSPSSFTIQNSRAFSTREISP
+TSYSADDVSEGNESASANPEINLFVKAGIDGESIGNCPFSQRLFMILWLKGVVFNVTTVD
+LKRKPADLHNLAPGTHPPFLTFNGDVKTDVNKIEEFLEETLTPEKYPRLAAKHRESNTAG
+IDIFAKFSAYIKNTKQQSNAALERGLTKALKKLDDYLNTPLPEEIDADTRGDDEKGSRRK
+FLDGDELTLADCNLLPKLHVVKVRALYLWVPPRSTSSKARVSCEDETRTGPPADGESEFE
+NLVNRDPKSTSAACWLCSPSELQLHRHVLLNQGCWETKCGLKMPAML
+>tr|A0A3Q1MKB5|A0A3Q1MKB5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+WFIQILCSYYHLLYHHITVVIFVIFQVDCYKGVTGTIYEYGALTLNGEEYIQFKQYVGKH
+VLFVNVATY
+>tr|A0A3Q1LXJ4|A0A3Q1LXJ4_BOVIN Adhesion G protein-coupled receptor F2 OS=Bos taurus OX=9913 GN=ADGRF2 PE=3 SV=1
+MMTQMLLLLLYGFVFLLATESCRTLCQASSKSKEQVSTRPHGECHGTCVDNSYCSQPCPP
+DTPGDMGFLCRQKKWHKVIESCRTLTAFNIFEADSNSVQTFGGSKINEYTNKPETITDLL
+MEKCPQNLSCVIRNIQKSPRIPGNIALIVQLLHNISTVPMKDVDETKMKSYSIMANHILN
+SKSISNWTFIPERNSSCVLLHSVNSFARKLFVNKHPIEIADIFIHTVGTILSRDNAGKNF
+TFSMRVNDTREVTGKVSISREELQEVPSPSRAVSIAFPTLGAILEASVLESITVNGLVLS
+VILPKELERISLIFEKISKSEDRRAQCAGWHSVESRWDHRVCQKIQENSQQVVCKCSPSK
+TFTSFSILMAPHVLQSPILIYITYIGLGASICSLILCLSIEALVWGQVTKTEISYLRHVC
+IANIATTLLMADVWFIVASFLSGPTRHHSACVAATFFVHFFYLSVFFWMLAKALLILYGI
+LIVFHTLPKSVLVAALFAVGYGCPLLIASITVAATEPGKGYLRPEACWLNWDMTKALLAF
+VVPALAIVVVNLVTVTLVIVKTRRAAIGSSMFQEVRAIVRISKNIAILTPLLGLTWGFGI
+ATVLNDSSLAFHIIFSLLNAFQIRDALKDRINSAQWISRISENVSSDFSRHPTKGPS
+>tr|F1N1W7|F1N1W7_BOVIN Neural cell adhesion molecule 1 OS=Bos taurus OX=9913 GN=NCAM1 PE=4 SV=3
+MFKNAPTPQEFREGEDAVIVCDVVSSLPPTIIWKHKGRDVILKKDVRFIVLTNNYLQIRG
+IKKTDEGTYRCEGRILARGEINFKDIQVIVNVPPTVQARQSIVNATANLGQSVTLVCNAE
+GFPEPTVSWTKDGEQIENEEDEKYLFSDDSSELTIRKVDKNDEAEYVCIAENKAGEQDAS
+IHLKVFAKPKITYVENQTAMELEEQVTLTCEASGDPIPSITWRTSTRNISSEEKASWTRP
+EKQETLDGHMVVRSHARVSSLTLKSIQYTDAGEYVCTASNTIGQDSQSMYLEVQYAPKLQ
+GPVAVYTWEGNQVNITCEVFAYPSATISWFRDGQLLPSSNYSNIKIYNTPSASYLEVTPD
+SENDFGNYNCTAVNRIGQESLEFILVQADTPSSPSIDQVEPYSSTAQVQFDEPEATGGVP
+ILKYKAEWRAMGEEVWHSKWYDAKEASMEGIVTIVGLKPETTYAVRLAALNGKGLGEISA
+ASEFKTQPVREPSAPKLEGQMGEDGNSIKVKLIKQDDGGSPIRHYLVKYRALSSEWKPEI
+RLPSGSDHVMLKSLDWNAEYEVYVVAENQQGKSKAAHFVFRTSAQPTAIPATLGGSSASY
+TFVSLLFSAVTLLLLC
+>tr|F1MVI9|F1MVI9_BOVIN CMP-N-acetylneuraminate-poly-alpha-2,8-sialyltransferase OS=Bos taurus OX=9913 GN=ST8SIA4 PE=3 SV=1
+MRSIRKRWTICTISLLLIFYKTKEMARTEEHQETQLIGDGELCLSRSLVNSSDKIIRKAG
+SSIFQHSVEGWKINSSLVLEIRKNILRFLDAERDVSVVKSSFKPGDVIHYVLDRRRTLNI
+SQDLHSLLPEVSPMKNRRFKTCAVVGNSGILLDSECGKEIDSHNFVIRCNLAPVVEFAAD
+VGTKSDFITMNPSVVQRAFGGFRNESDREKFVHRLSMLNDSVLWIPAFMVKGGEKHVEWV
+NALILKNKLKVRTAYPSLRLIHAVRGYWLTNKVPIKRPSTGLLMYTLATRFCDEIHLYGF
+WPFPKDLNGKAVKYHYYDDLKYRYFSNASPHRMPLEFKTLNVLHNRGALKLTTGKCIKQ
+>tr|A0A3Q1MIK8|A0A3Q1MIK8_BOVIN Zinc transporter 6 OS=Bos taurus OX=9913 GN=SLC30A6 PE=4 SV=1
+IKPQRSFFGKLLQEFRLVAADRRSWKILLFGAINLTCTGFLLMWCSSTNSIALTAYTYLT
+IFDLFSLITCLVSYWVMMRKPSPAYSFGFERLEVLAVFASTVLAQLGALFILKESAERFL
+EQPEIHTGRLLVGTFVALSFNLFTMLSIRNKPFAYVSEAASTSWLQEHVADLSRSLCGII
+PGLSSIFLPRMNPFVLIDLAGAFALCITYMLIEINNYFAVDTASAIAIALMTFGTMYPMS
+VYSGKVLLQTTPPHVIGQLDKLIREVSTLDGVLEVRNEHFWTLGFGSLAGSVHVRIRRDA
+NEQMVLAHVTNRLYTLVSTLTVQIFKDDWIRPALLSGPVAANVLNFTDHHVIPMPPLKGT
+DDSNPVTSTPTKPSSPPPEFSFNTPGKNVSPVILLNTQTRPYGLGLNHGHAPYSSVLNQG
+LGVPGIGATQGFRTGFTNIPSRYGTNNRIGQPRP
+>tr|F1N5H2|F1N5H2_BOVIN Alpha-L-fucosidase OS=Bos taurus OX=9913 GN=FUCA2 PE=3 SV=2
+MRAPKLPGPALPLLILVLLLPPPGSAGGPAPFDPTWESLDARQLPAWFDKAKFGIFIHWG
+VFSVPSFGSEWFWWYWQKEKIPAYVDFMKNNYPPDFTYEDFGPLFTAKFFDANQWADILQ
+ASGAKYVVLTSKHHEGFTLWGSEYSWNWNAVDVGPKRDIIKELAVAVRNRTDLRFGLYYS
+LFEWFHPLFLEDESSLFQKRQYPVSKMLPELYELVNKYQPEVLWADGDGGAPDTYWKSLD
+FLAWLYNESPVRDTVVTNDRWGAGSICKHGGYYTCSDRYNPGHLLPHKWENCMTIDKFSW
+GYRRNAGIADYLTIEELVKQLVQTVSCGGNLLMNIGPTQDGTISAIFKERLKQMGIWLKV
+NGEAIYETYAWRSQNDTVTPDVWYTSKPKDKLVYAMFLKWPISGQLFLAQPKATLGATKV
+KLLGHGQPLHWTPLKENGIKVDLPQLTIHQMPCQWGWALALTNVT
+>tr|A0A3Q1M363|A0A3Q1M363_BOVIN Adenylate kinase 5 OS=Bos taurus OX=9913 GN=AK5 PE=3 SV=1
+MNTNEAKEYLARREIPQLFESLLNGLMCSKPEDPVEYLESCLQKVKELGGCDKVKWDTFV
+SQEKKTLPPLNGGQSRRSFLRNGGPGSGKGTQSLKIAERYGFQYISVGELLRKKIHSTSS
+NRKWSLIAKIITTGELAPQETTITEIKQKLMQMPDEVGIVIDGFPRDVAQALSFEDQICT
+PDLVVFLACANQRLKERLLKRAEQQGRPDDNLKATQRRLMNFKQNAAPLVKYFQEKGLIM
+TFDADRDEDEVFYDISMAVDSKLFPNKEAAAGSSDLDPSMMLDTGEVIDTGSDYEDQGDD
+QLNVFGEDTMGGFMEDLKKCKIIFMIGGPGSGKGTQCGKLAEKYGFTHLSTDELLQNELS
+SESGRSKLIRDIMERGELVPSGIILELLKEAMVASLSNTKGFLIDGYPREVKQGEEFGRR
+IGDPHLVICMDCSADTMTNRLLQRSRNSPQADDNTTTIAKCLETYYRASIPVVAYYETKT
+QLHKINAEGTPEEVFLQLCTAIDSIF
+>tr|E1BHT6|E1BHT6_BOVIN Solute carrier family 18 member B1 OS=Bos taurus OX=9913 GN=SLC18B1 PE=4 SV=2
+MDSPGDPEGPRPQGGDGPPAGAMETSRRLSREQIFVLISAASINLGSMMCYSILGPFFPK
+EAEKKGASNTVIGTIFGCYALFDFLASLVFGKYLVHIGAKFMFVAGMFVSGAVTVLFGLL
+DQVPEGPVFIAMCFLLRITDAISFEAAITASSSIVAKAFPNNVATVLGSLETFSGLGLVL
+GPPLGGFLYQSFGYEVPFIFLGCIVLLMVPLNMCILPNYESDKGKHSFWKLITLPKVAFL
+SFVITSFSSCFGFLDPTLSLFVLEKFNLPAGYVGLVFLGLALSYAISSPLVGLLSDKMPH
+LRKWLLVFGNLTLAGCYMLLGPAPFLHIKSQLWLLVLILVINGISAGIGLIPTFPEILSC
+AHENGFEEGLSTLGLVSGLFGAMWSVGAFVGPTLGGFLYEKIGFEWAAALQGLWALTSGL
+AMGLFYLLEHLRRRRRSELQNILGTEEERTALLSDER
+>tr|A0A3Q1LM90|A0A3Q1LM90_BOVIN Phospholipid-transporting ATPase OS=Bos taurus OX=9913 GN=ATP11B PE=3 SV=1
+WDNRIYTVWNFVPKNLFEQFRRVANFYFLIIFLVQLMIDTPTSPVTSGLPLFFVITVTAI
+KQGYEDWLRHISDNEVNGAPVYVVRSGGLVTTRSKNIRVGDIVRVAKNEIFPADLVLLSS
+DRLDGSCHVTTASLDGETNLKTHVAVPETAVLQTVANLDTLIAVVECQQPEADLYRYDVI
+VTIFILSLLSLFCFSGVAVYTGMETKMALNYKSKSQKRSAVEKSMNTFLIIYLIILISEA
+IISTILKYTWQAEEKWDEPWYNQKTEHQRNSSKILKFISDFLAFLVLYNFIIPISLYVTV
+ELQKFLGSFFIGWDLDLYHEESDEKAQVNTSDLNEELGQVEYVFTDKTGTLTENEMQFRE
+CSINGTKYQEINGRLVSEGPTPDSSEGNLSYLTSLSHIKEHDLFFKAVSLCHTVQISSVQ
+TDSIGDGPWQSSFAPAQLEYYASSPDEKALVEAAARFCLSYFFSLRYKLLHILEFDPDRR
+RMSVIVQAPSGLRTLCMAYRQFTSKEYEEINRRLFEARTALQQREEKLAGVFQFIEKDLI
+LLGATAVEDKLQDKVRETIEALRMAGIKVWVLTGDKHETAVSVSLSCGHFHRTMNILELL
+NQKSDSECAEKLGQLFSSSEQASQCSGFFASEHGLKEDHVIQHGLVVDGTSLSLALREHE
+KLFMDVCRHCSAVMCCRMAPLQKAKVIRLIKISPEKPITLAIGDGANDVSMIQEAHVGIG
+IMGKEGRQAARNSDYAIARFKFLSKLLFVHGHFYYIRIATLVQYFFYKNVCFITPQFLYQ
+FYCLFSQQTLYDSVYLTLYNICFTSLPILIYSLLEQHIDPHVLQNKPTLYRDISKNRQLS
+MKTFLYWTTLGFSHAFIFFFGSYFLIGKDTSLLGNGQMFGNWTFGTLVFTVMVITVTAKM
+ALETHFWTWINHLVTWGSILFYFVFSLFYGGILWPFLSSQNMYFVFIQLLSSGSAWFAII
+LMVFTCLFLDIVKKVFDRQFHPTNIEKAQLGLKNFA
+>tr|A0A3Q1MUM9|A0A3Q1MUM9_BOVIN Acetyl-CoA acetyltransferase 2 OS=Bos taurus OX=9913 GN=ACAT2 PE=1 SV=1
+MGSFNGALSTVPVHDLGSTVIREVLKRAAVAPEEVSEVIFGHVLAAGCGQNPVRQASVGA
+GIPYSVPAWSCQMICGSGLKAVCLAAQSIRIGDSSIVVAGGMENMSKAPHLVHLRTGVKI
+GETPLADSILCDGLTDAFHNYHMGITAENVAKQWQVSREDQDKVAVLSQNRTEHAQKAGH
+FDKEIVPVFVSSKKGLTEVKTDEFPRHGSNMEAVSKLKPCFLMDGTGTVTPANASGINDG
+AAAVVLMKKSEAGNRGLTPLAQIVSWAQAGVEPSIMGIGPIPAIKQAVAKAGWSLEDVDV
+FEINEAFAALSVAIAKELGLNPEKVNTEGGAIALGHPLGASGCRILVTLLHTLERKGGHR
+GVAALCIGGGMGIAMCVQRG
+>tr|E1B9E0|E1B9E0_BOVIN Alpha-1,4-N-acetylglucosaminyltransferase OS=Bos taurus OX=9913 GN=A4GNT PE=4 SV=1
+MLTKLQFSLSVTLLFACGFLYQFTLKPSCLICYLPSYKSHQGLEALLGRGHSIVFLETSE
+RMEPTPLVSCAVESAAKVYPEQPVLLLMKGLNNSMQLPPNSTSPALSLLSAIDNVFLFPL
+DMKSLFEDTPLFSWYTQINSSTERFWLHISSDASRLAFIWKYGGVYMDTDVISIRPIPED
+NFLAAQKSRFSSNGVFGFLPHHPFLWQCMENFVENYNPNIWGHQGPELMTRLLRVWCKLR
+DFQEVSDLKCLNFSFLHPQRFYPISFRAWRRYYEVWDTEPSFNNSYALHLWNHMNQEGKA
+VVRGSNTLVEHLYRKHCPKTYRDLLQGPEGSVTREQSPGNK
+>tr|E1BPR2|E1BPR2_BOVIN Inositol-1-monophosphatase OS=Bos taurus OX=9913 GN=IMPA2 PE=3 SV=3
+MKASGEDGEAPAGGPWEECFEAAVQLALRAGQIIRKALSEEKRVSTKTSAADLVTETDHV
+VEALILQELQTRFPSHRFIAEEAAAAGAKCVLTPSPTWIVDPIDGTCNFVHRFPTVAVSI
+GFAVNQELEFGVIYHCTEERLYTGRRGQGAFCNGQRLRVSGETDLSKALVLTEIGPRRDP
+ATLKLFLSNMERLLHAGAHGVRVIGSSTLALCHLAAGTADAYYQFGLHCWDLAAATVIIR
+EAGGVVMDTSGGPLDLMSCRVVAAGTREMAVLIAQALQTINYGRDDER
+>tr|F1N764|F1N764_BOVIN Olfactomedin-like domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=3
+MDELRPLIPVLEEYKADAKLVLQFKEEVQNLTAVLNELQEEIGAYDYDELQSRVSNLEER
+LRACMQKLACGKLTGISDPVTIKTSGSRFGSWMTDPLAPEGDNRVWYMDGYHNNRFVREY
+KSMLDFMTTDNFTSHRLPHPWSGTGQVVYNGSIYFNKFQSHIVIRFDLKTETILKTRSLD
+YAGYNNMYHYAWGGHSDIDLMVDENGLWAVYATNQNAGNIVISRLDPVSLQVLQTWNTSY
+PKRSAGEAFIICGTLYVTNGYSGGTKVHYAYQTNASTYEYIDIPFQNKYSHISMLDYNPK
+DRALYAWNNGHQILYNVTLFHVIRSDEL
+>tr|A0A3S5ZP79|A0A3S5ZP79_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=CYP2C87 PE=3 SV=1
+MDLAVVLVLCLSCLLLLSLWKQSSGKGKLPPGPTPLPILGNIFQLDVKNISKSLTSLSKV
+YGPVFTVYFGMKPTVVLHGYEAVKEALIDLGEEFSRRGSFPVIERNVKGHGIVFSNGKTW
+KETRRFSLMTLRNFGMGKRSIEDRVQEEARCLVEELRKTNGLPCDPTFILGCAPCNVICS
+IIFQNRFDYKDQTFLNLMKTINENIKILGSPWIQVLNIFPVLLDFFPWSYSYKKLYTNTA
+YVKNYVLEKTREHQASLDINNPRDFIDCFLIKMEQEKHNHQSEYTFENLTITVSDLFGAG
+TETTSTTLRYGLLLLLKHPEVTAKIQEEIDRVIGRHRSPCMQDRTHMPYMDAVLHEIQRY
+IDLAPMSVPHAVNCDVKFRNYLIPKGTDILTSLTSVLHDDKEFPNPEVFDPGHFLDENGN
+FRKSDYFMAFSAGKRVCVGEGLARMELFLFLTTILQTFTLKSVVDPKDLDTTPAVTGIAN
+VPPPYQLCFIPV
+>tr|A0A3Q1MB33|A0A3Q1MB33_BOVIN Migration and invasion inhibitory protein OS=Bos taurus OX=9913 GN=MIIP PE=4 SV=1
+MVETRDLVQLRQLNLELLRQLWVRQDAVQRSVAKAASESSLDSSSSYDSEMPSSQEMSSV
+ASRASCPQDAHPGDPCDSSDPELSAELDSPGTQEAQALRSILAQQGKLSKRALWEEGDQP
+HCADGKTEVQRTDLFIVPHGGGSGGSNSYPPAPKPSAEPWGLRQSPRVTNKESPVPEKSW
+RLRPYLGYDWIAGSLDNTFPVTSKPEAFFSKLQKFREANKEECICSGPEPQFLGLQESDA
+VEGDHECVYCYRVNRRLFLVPADPGTPCRLCRKPRDQRGAGTLAEPAQVRVSIPLSVLDP
+PHRHRIHRRKSFDASDTLALPRHCLLGWDIIPPKSEKSSAPKTLDLWSSVSPEAHHQKLS
+ATSCPHLAVPMRVPPPTPIWSEPSSMPRPRSPKPKP
+>tr|E1BEP6|E1BEP6_BOVIN ES cell expressed Ras OS=Bos taurus OX=9913 GN=ERAS PE=4 SV=2
+MEGTVVGWTCPSLLGSGRRQRFMPGGGVPYHLTLAVGTVASPFPRHPAVVCVTSGQSSPL
+TPKPRCSSSSLHGSLSPGDPRPERRRLAPGPVSGPAVHISSLSCPLGAMAQPTKPDMFDL
+GLGTWSPRSREQSHRAWGSPSKGVGKKLPEYKAVVVGASGVGKSALTIQLNNQCFVEDHD
+PTIQDSYWKEMALDHGGCILNVLDTAGQATHQALRDQCVAIGDGVLGVFALDDPSSLAQL
+QQMRATWGPHHTQPLVLVGNKCDLVTTTGDARAAAAALAKSWGAPFVETSAKTRQGVVEA
+FSLLIQEIQRVREAMAKEATTGPGGDKGRHQKAMCHCGCSVA
+>tr|A0A3Q1M205|A0A3Q1M205_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MRWIIHHESFFPGFFLIQSIWAQEGDHYKPSLSACPSPVIPQGQHVTLWCHSPLGFDSFR
+LHKGDRTVVAELQGIIFWKDFIMDPMTEAHVGTYRCHGHYSHLPLASPSSRSVQKTLSLS
+PEGPVVRSGENVTLVCSSESAFNQFHLLREWDELGRLLAGGWGSHGALQAEFPLGPGTPA
+HSGVYRCYGSFTRSPYSWSDFSDQLFLSVTGHSSQLHLLLRLSVAFIYTSIFLTVLVCHW
+LPIK
+>tr|F1MB08|F1MB08_BOVIN Alpha-enolase OS=Bos taurus OX=9913 GN=ENO1 PE=3 SV=2
+MARRRGGGRGLGGVPSGLGRKSCPAARRRARGSRSARSLPLIGHPWCPQHSLPLSRAATS
+GGRKVTMSILKVHAREIFDSRGNPTVEVDLFTAKGLFRAAVPSGASTGIYEALELRDNDK
+TRYMGKGVSKAVEHINKTIAPALVSKKLNVVEQEKIDKLMIEMDGTENKSKFGANAILGV
+SLAVCKAGAVEKGVPLYRHIADLAGNAEVILPVPAFNVINGGSHAGNKLAMQEFMILPVG
+AENFREAMRIGAEVYHNLKNVIKEKYGKDATNVGDEGGFAPNILENKEALELLKNAIGKA
+GYSDKVVIGMDVAASEFYRSGKYDLDFKSPDDPSRYITPDELANLYKSFIRDYPVVSIED
+PFDQDDWEAWQKFTASAGIQVVGDDLTVTNPKRIAKAVSEKSCNCLLLKVNQIGSVTESL
+QACKLAQSNGWGVMVSHRSGETEDTFIADLVVGLCTGQIKTGAPCRSERLAKYNQILRIE
+EELGSKAKFAGRSFRNPLAK
+>tr|E1BB06|E1BB06_BOVIN Formin like 2 OS=Bos taurus OX=9913 GN=FMNL2 PE=4 SV=3
+MGNAGSMDSQQTDFRAHNVPLKLPMPEPGELEERFAIVLNAMNLPPDKARLLRQYDNEKK
+WELICDQERFQVKNPPHTYIQKLKGYLDPAVTRKKFRRRVQESTQVLRELEISLRTNHIG
+WVREFLNEENKGLDVLVEYLSFAQYAVTFDFESVESTVESSVDKSKPWSRSIEDLHRGSN
+LPSPVGNSVSRSGRHSALRYNTLPSRRTLKNSRLVSKKDDVHVCIMCLRAIMNYQYGFNM
+VMSHPHAVNEIALSLNNKNPRTKALVLELLAAVCLVRGGHEIILSAFDNFKEVCGEKQRF
+EKLMEHFRNEDNNIDFMVASMQFINIVVHSVEDMNFRVHLQYEFTKLGLDEYLDKLKHTE
+SDKLQVQIQAYLDNVFDVGALLEDAETKNAALERVEELEENISHLSEKLQDTENEAMSKI
+VELEKQLMQRNKELDVVREIYKDANTQVHTLRKMVKEKEEAIQRQSTLEKKIHELEKQGT
+IKIQKKGDGDIAILPVVASGTLPTGSEVAVGNFVGPVMGAPSSGPLPPPPPPLPLSSDAP
+EAVQNGPATPPVPPPPPPPPPPPPPPPPPPPPPLPGPAAETLPAPPLPPPPPPSAPPLPG
+TSSPTVVFNSGLAAVKIKKPIKTKFRMPVFNWVALKPNQINGTVFNEIDDERILEDLNVD
+EFEEIFKTKAQGPAIDLSSSKQKITQKGSNKVTLLEANRAKNLAITLRKAGKTAEEICKA
+IHVFDLKTLPVDFVECLMRFLPTENEVKVLRLYERERKPLENLSDEDRFMMQFSKIERLM
+QKMTIMAFIGNFTESIQMLTPQLHSIIAASVSIKSSQKLKKILEIILALGNYMNSSKRGA
+VYGFKLQSLDLLLDTKSTDRKQTLLHYISNVVKEKYQQVSLFYNELHYVEKAAAVSLENV
+LLDVKELQRGMDLTKREYTMHDHNTLLKEFIFNNEGKLKKLQDDAKIAQDAFDDVVKYFG
+ENPKTTPPSVFFPVFVRFVKAYKQAEEENELRKKQEQALMEKLLEQEALLEQQDPKSPSH
+KSKRQQQELIAELRRRQVKDNRHVYEGKDGAIEDIITALKKNNITKFPNVHSRVRISSST
+PVVEDTQS
+>tr|F6Q0F9|F6Q0F9_BOVIN Pleckstrin homology like domain family A member 1 OS=Bos taurus OX=9913 GN=PHLDA1 PE=4 SV=1
+MLESSGCKALKEGVLEKRSDGLLQLWKKKCCILTEEGLLLIPPKQLQHHQQQQQQQQQQP
+GQGSVEPSQPGGPAVASLELPVKLKELHFSNMKTVDCVERKGKYMYFTVVMAEGKEIDFR
+CPQDQGWNAEITLQMVQYKNRQAILAVKSTRQKQQHLVQQQPPQPQPLQPQSQAQPQPQS
+QAQPQPQPKPQPQPKPQPQPKPQPQSLHPYPHSHPHPHPHPHAHPLPHPHPPPLSQAHGH
+RLLRSTSNSA
+>tr|A0A3Q1M2B5|A0A3Q1M2B5_BOVIN COMM domain-containing protein 6 OS=Bos taurus OX=9913 GN=COMMD6 PE=4 SV=1
+MVGALNRAMEESSEPQLDAKSKVRPGLKGTGAAGSEARLFPELCFLLPFQVTSQLIDFEW
+KLGMAVSSDSCRSLKYPYVAVMLKVADHSGQVKNKSFEMTIPQFQVCDITHSVLAVIL
+>tr|A0A3Q1MD55|A0A3Q1MD55_BOVIN Phosphatidylinositol 4,5-bisphosphate 3-kinase catalytic subunit OS=Bos taurus OX=9913 GN=PIK3CD PE=3 SV=1
+MPPGVDCPMEFWTKEENQNVAVDFLLPTGVYLNFPVSRNANLSTIKKVLWHRAQYEPLFH
+MLSDPEAYVFTCVNQTAEQQELEDEQRRLCDIQPFLPVLRLVAREGDRVKKLINSQISLL
+IGKGLHEFDSLQDPEVNDFRGKMRQFCEEAAARRQQLGWEAWLQYSFPLQLEPSARSWGP
+GTLRVPNRVLLVNVKFEGSEESFTFQVSTKDVPLALMACALRKKATVFRQPLVEQPEDYT
+LQVNGKHEYLYGSYPLCQFQYICSCLHSGLTPHLTMVHSSSILAMRDEQSNPAPQVQKPR
+TKPPPIPMKKPSSVSLWSLEQPFYIELIQGSKVNADERMKLVVQAGLFHGNETLCKTVSS
+SEVSVCSEPVWKQRLEFDINICDLPRMARLCFALYAVIEKAKKARSTKKKSKKAILELGR
+LGEHGRFTEEEQLQLREILERRGSGELYEHEKDLVWKMRHEIQEHFPEALARLLLVTKWN
+KHEDVAQMLYLLSSWPELPVLSALELLDFSFPDRHVGSFAIKSLRKLTDDELFQYLLQLV
+QVLKYESYLDCELTKFLLDRALANRRIGHFLFWHLRSEMHVPSVALRFGLIMEAYCRGST
+HHMKVLMKQGEALSKLKALNDVVKVSSQKTTKPQTKELMHLCMRQETYLEALSHLQSPLD
+PSTLLAEVCVEQCTFMDSKMKPLWVMYSNEEAGSDGTVGIIFKNGDDLRQDMLTLQMIQL
+MDILWKQEGLDLRMTPYGCLSTGDRTGLIEVVLHSDTIANIQLNKSNMAATAAFNKDALL
+NWLKSKNPGEALDRAIEEFTLSCAGYCVATYVLGIGDRHSDNIMIRENGQLFHIDFGHFL
+GNFKTKFGINRERVPFILTYDFVHVIQQGKTNNSEKFERFRGYCERAYTILRRHGLLFLH
+LFALMRAAGLPELSCSKDIQYLKDSLALGKTEEEALKHFRVKFNEALRESWKTKVNWLAH
+NVSKDNRQ
+>tr|F1MHW1|F1MHW1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=3
+MRDIPTCKWLDQWTELAQRFAFQYNPSLQPRALVVFGCISKRVSHGQIKQIIRILSKALE
+SCLKGPDTYNSQVLIEATVIALTKLQPLLNKDSPLHKALFWVAVAVLQLDEVNLYSAGTA
+LLEQNLHTLDSLRIFNDKSPEEVFMAIRNPLEWHCKQMDHFVGLNFNSNFNFALVGHLLK
+GYRHPSPAIVARTVRILHTLLALVNKHRNCDKFEVNTQSVAYLAALLTVSEEVRSRCSLK
+HRKSLLLTDISMENVPMDTYPIHHGDPSYRTLKEHQPWSSPKASEGYLAASYPPAGQTSP
+RARKSMSLDMGQPSQANTKKLLGTRKSFDHLISDTKAPKRQEMESGITTPPKMRRVAETD
+YEMETQRISSSQQHPHLRKVSVSESNVLLDEEVLTDPKIQALLLTVLATLVKYTTDEFDQ
+RILYEYLAEASVVFPKVFPVVHNLLDSKINTLLSLCQDPNLLNPIHGIVQSVVYHEESPP
+QYQTSYLQSFGFNGLWRFAGPFSKQTQIPDYAELIVKFLDALIDTYLPGIDEETSEESLL
+TPTSPYPPAVQSQLSITANLNLSNSMTSLATSQHSPGIDKENVELSPTTGHCNSGRTRHG
+SASQVQKQRSAGSFKRNSIKKIV
+>tr|A0A3Q1MYV4|A0A3Q1MYV4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LSAMP PE=4 SV=1
+MNADPSITKALMSLFYFARCVVEDKNSKVAWLNRSGIIFAGHDKWSLDPRVELEKRHSLE
+YSLRIQKVDVYDEGSYTCSVQTQHEPKTSQVYLIVQVPPKISNISSDVTVNEGSNVTLVC
+MANGRPEPVITWRHLTPTGREFEGEEEYLEILGITREQSGKYECKAANEVSSADVKQVKV
+TVNYPPTITESKSNEATTGRKASLKCEASAVPAPDFEWYRDDTRINSANGLEIKSTEGQS
+SLTVTNVTEEHYGNYTCVAANNLGMTNASLVLFKRVSPTIPHPLQEIGTTVHFKQKGPGS
+VRGINGSISLAVPLWLLAASLLCLLSKC
+>tr|A0A3Q1LVG3|A0A3Q1LVG3_BOVIN Periplakin OS=Bos taurus OX=9913 GN=PPL PE=1 SV=1
+SNKELSELIEQLQKNADQVERNIVDTEAKMQRDLARLQEGQQPEHRDVALQKVSDSEKLL
+YMLEADAAIAKHMKHPQGDMIAEDIRQLKERVTNLRGKHKQIYNLVVKEVDPQVNWEALV
+EEKLDELSSQGFGTELPLVGQQVEQHNVFHNEVKAIGPHLAKEQNGELQAKYQKLLAASQ
+ARQQHLSSLQDYMQRCTNELYWLDQQAQGRIQYDWSDRNLNYPSRRRQYENFIHRNLEAK
+EERINKLHSEGDQLLAAEHPGRNSIEAHMEAVHADWKEYLNLLICEESHLKYMEDYHQFH
+KDMKDAQELLRKVDSDLNQKYSPDFKDRYQIELLLRELDDQEKALDKYQHVVRGLQKRGQ
+QVVPLKYRRETPLKPIPVEALCDFEGDQGLISRGYSYTLQRNNGESWDLTDSAGNKLTAP
+AVCFMIPPTDPEALALAESLGSQYQSLRQKAAGSRKALQEQHEVLKAESSGADASDLQGR
+QLLAGLDKVASDLDRQEKAITAILRPPLEQGRAVQDSAERAKDLKNITNELLRIEPEKAR
+STAEGEAFVRALPDSGSTALLRTRVEDTQRRYERLVQLLEAAQEKLDIANRLEKSLQQGR
+EMLATYETQLAQEDTVPESGQALDSRRQELAVSVRTPGPGSPLLSWAWALRAPVCSPPLD
+NRGTQGPGQQVSPGLESQPHKGFVRPAEIRVQSLQSARAEHDAFCSGRDRLLQFLSHIPS
+YEPQETDGLGQVETKLNNQKNLLDEIARREEEVQKVHTHSQQYQQAVKDYELEAEKLRSL
+LDLENGRSSHVSKRARLQSPATKVREEEAALAAKFTEVNAINRQRLQNLEFALTLLRQLE
+AGASQEALPGSKPGPGAEETWKIQEELDEEMERRQQLEHEVRSAQEEIRALRSQSPQEAV
+VTREVLRKVPDPALEESFQQAQQTLAEEQHKNRLLQGEVEALRLRLRALEEAARAGGQEY
+VVKEVLRIEPDRAQADEVLRLRGELEVLRRQKGAREAEALLLQQRVAALAEEKRRAREVV
+TEKEVVKVHNDPQLEAEFRQLQEDQQREQGLREKQEEELGFLQDKLKRLEKERAMAEGKI
+TVKEVLKVEKDVAAEREVGALQRRYEDEAARARASQREKTELLRKIWALEEENAKVVVQE
+KVREIVRPDPKAESEVANLRLELVEQERKYRGAEEQLKSYQSELEALRRRGPQVEVKEVT
+KEVIKYTTDPETEKELQRLREEIVDKTRLIERCDLEIYQLKQEIQSLKEAKPQVQTKEVV
+QEILQFQEDPQTKEEVAALRARLAEEHKKQVDLERECVSQEEKIQEKEEELARGKEVVVQ
+QEVVRYEEEPGLRAEVSAFTESIDAELRQIDGLRGELRRLQRRRAELEHQLEELERERQA
+RREAELEVQHLKQQLAQLEAQEGEAHEKVTLTQKVVLQQDPQQAREHALLALQLEEERHR
+RQGLERELETLKQQLEHLEKMEVKEKVVFSESVQVEKGDTEQEIERLRGSLEAESRGKRE
+LDAEVSRLEAKLSELEFCNSKSSKELDFLREENHKLQLERQSLQLEARRLQSEIEMAKAE
+AQSLRSLPTTTGADPGAQLDSRLRSLERELDDLRRLSRDKDQEIEELQRRLGSVAIKREQ
+RENHLRRSIVVIDPDSGRELSPEEAHRAGLIDWNMFVKLRSQECDWEEISVKGPGGESSV
+IHDRKSGRKFSIEEALQSGRLTPAQYDRYVNKSMSIQELAVLVSGQK
+>tr|F1MQ18|F1MQ18_BOVIN Zinc finger protein 746 OS=Bos taurus OX=9913 GN=ZNF746 PE=4 SV=2
+MAEAAAAPISPWTMAATIQAMERKIESQAARLLSLEGRTGMAEKKLADCEKTAVEFGNQL
+EGKWAVLGTLLQEYGLLQRRLENVENLLRNRNFWILRLPPGSKGEAPKEWGKLDEWQKEL
+YKHVMRGNYETLVSLDYAISKPEVLSQIEQGKEPCSWRRAGPKVPDVPVDPSPGSGPPVP
+APDLLMQIKQEGELQLQEQQALGVEAWAAGQPDIGEEPWGLSQLDSGAGDVSTDAASGEW
+LRLRADKFPTSWQGLLQPHPCSSCPQGILLSDAASTEADVKIVIKTEVQEEEVVATPVHP
+TDLEAHGTLFGPGQATRFFPSPVQEGAWESQGSSFPSQDPVLGLREPARPERDLGDPTPT
+VPQDETSPGDWLFGGVRWGWNFRCKPPAGLNPRTGPEGLPFSSSDNGEAVLDPGQAPRPF
+NDPCKYPGRTKGFGHKPGLKKHPAAPPGGRPFTCATCGKSFQLQVSLSAHQRSCGGQPDG
+VPGAAGSARDGSGLRCGECGRCFTRPAHLIRHRMLHTGERPFPCTECEKRFTERSKLIDH
+YRTHTGVRPFTCTVCGKSFIRKDHLRKHQRNHAAGAKPARGQPLPPLPAVPPDPFKSPAA
+KGPLAPTDLVTDWTCGLSVLGPTDGGDL
+>tr|F6QW25|F6QW25_BOVIN Dol-P-Man:Man(5)GlcNAc(2)-PP-Dol alpha-1,3-mannosyltransferase OS=Bos taurus OX=9913 GN=ALG3 PE=4 SV=1
+MAAGLRKRGRAGPATRAAGLCGQWLRRAWQERRLLLLEPRYTLLVAACLCLAEVGITFWV
+IHRVAYTEIDWKAYMAEVEGVINGTYDYTQLQGDTGPLVYPAGFVYIFMGLYYATDRGAD
+IRMAQHIFAVLYLATLLLVFLIYHQTCKVPPFVFFFMCCASYRVHSIFVLRLFNDPVAMV
+LLFLSVNLLLAQRWSWGCCCFSLAVSVKMSVLLFAPGLLFLLLTKFGLRGALPKLGICAV
+LQVVLGLPFLLKNPVGYLSRSFDLGRQFLFRWTVNWRFLPEALFLHRAFHLALLTAHLTV
+LLLFALCRWHRTGEGILSLLKDPSKRKVPPQPLTPNQLFLPSSPPTSLASASAAPFTTSS
+TFGISTHCPTSCGPRLPAGSLTCSGCWCWGSSSSPGTHTHPRPAALLLCMHAMQSSCCSS
+GWAPSPSPRPSRTARKPTETQLFSSSPFSGPRMGMDSALPNKPSLLCSLRGGAWTKLSGT
+GTWQIKNSLRQSQEALYCIGSGGCGSLPFCCNCTELRGEGVEGGRGEREHRQALFVGAGG
+FCGGNEKQWGQAVASPPLPSTERPALGGSDFGLVGGDASRSSG
+>tr|G3N0X0|G3N0X0_BOVIN G_PROTEIN_RECEP_F1_2 domain-containing protein OS=Bos taurus OX=9913 GN=LOC783380 PE=3 SV=2
+MRSFFLPPGNRKLVAAVQLCYKHLNGSCVKTPYSLGPRLILYTVFIFGAVLAVFGNLLVM
+ISILHFKQLHSPTNFLIASLACADFMVGVTVMPFSIVRSVESCWYFGESYCQFHSCFDVS
+FCLASIYHLCFISLDRYIAVTDPLVYPTRFTVSFSGMCIASSWLFSIIYSFSLLGTGANA
+VGLEDLVSALTCVGGCQIAMNQSWVLVNFLLFFIPTLVMIILYSKIFLIAEQQARKIESL
+NIKTGRCSESYQDRVAKRERKAARTLGITVLAFLVSWLPYFLDAITDAFLGFITPTYVYE
+ILVWIAYYNSAMNPLIYAFFYPWFRKAIKLIVTGKVLRANSSTVNLFSE
+>tr|F1MB86|F1MB86_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC510613 PE=4 SV=2
+MKPGDGEVDPGEPPDCLGFDPSHLRTHPEDAEDPEEEAPGGAEAESGTLVQLIDEHGAYS
+TARLVPDGSVEERSEKRGPGLLGAGSEGWEGLARPRRFSCAACGKAFKRAWELLSHEVVH
+TVARPFRCGLCAAAFKRHSDCKSHRLVHSDERPHGCDACGKRFKRASNLQEHRRIHTGER
+PFPCQSCPKRFKTPYELHRHEPLHAPSRPFPCPDCGKAFAAGPALLLHRRQHCVDKPHAC
+GVCGKRFTHSHSLRVHERVHTGDRPFVCPLCAKAFKQSNALASHRRVHSGERPYRCATCG
+KAFKQSSYLAIHRRTHTGERPYPCDACGKAFSRPSLLLQHRRVHSPVRPHACRFCPRHFK
+DLNYRAVHERLHTGDTPYKCGLCGKGFAHPSNLLQHQSVHPDG
+>tr|F6PRF2|F6PRF2_BOVIN Carbohydrate sulfotransferase OS=Bos taurus OX=9913 GN=CHST10 PE=3 SV=1
+MFDNMHHQWLLLAACFWVILMFMVASKFITLTFKDPDAYSAKQEFLFLTAVPDAGRLPGE
+THFPEELKPTGKMLVEPLVYAQRLELMRNVCREDALRNLSHTAVSKFVLDRIFVCDKHKI
+LFCQTPKVGNTQWKKVLIVLNGAFPSIEEIPENVVHDHEKNGLPRLSSFSEAEIQKRLKT
+YFKFFIVRDPFERLISAFKDKFVHNPRFEPWYRHEIAPGIIRKYRRNRTETRGIQFEDFV
+RYLGDPNHRWLDLQFGDHIIHWVTYVELCAPCEITYSVVGHHETLADDAPYILREAGIDH
+LVAYPTIPLGITAYNRTKVEQYFLGISKRDIRRLYARFEGDFKLFGYQKPEFLLN
+>tr|A0A3Q1M2I4|A0A3Q1M2I4_BOVIN Lipase H OS=Bos taurus OX=9913 GN=LIPH PE=3 SV=1
+MGSTFHMLRLYFLLSLMCLVRSDTDETCPSFTKLSFHSAVVGTGLNVKLMLYTRRNPTCA
+QAINSTVLGNLNVTKKTTFVVHGFRPTGSAPVWIEDLVEGLLSVEDMNVVIVDWNRGATT
+VMYNHASSKTRKVATVLKEFIDLMLAEGASLDDIYIIGVSLGAHIAGFVGKMYDGQLGRI
+TGLDPAGPLFNGRPPEDRLDPRDAQFVDVIHSDTDALGYKKQLGNIDFYPNGGLDQPGCP
+QTIFGGMQYFKCDHQRSVYLYLSSLRENCTITAYPCDSYQDYRNGKCINCGISQTEPCPV
+LGYYADNWKDYLREGDPPMIKAFFDTAEEKPFCIYHYFVDIITWNKNVRRGSITIKLKDK
+AGNTTESKINHEPATFQKYHQVSLLARFNQDLDKVEAISLVFSTGSVIGPRYKLRILRMK
+LRSLGHPESTPPMFFAS
+>tr|G3N0J9|G3N0J9_BOVIN Neural precursor cell expressed, developmentally down-regulated 9 OS=Bos taurus OX=9913 GN=NEDD9 PE=4 SV=2
+MSLVADTNLMARALYDNVPECAEELAFRKGDILTVIEQNTGGLEGWWLCSLHGRQGIVPG
+NRVKLLIGPVQETSSNQDLPTSGLGAPPFGQQKLYQIPNPQGAPRDTIYQVPPSYQNQGI
+YQVPTGHGTQEQDVYQVPPSGQRGAGGANGPHLSKKVITPVRTSHGYVYEYPTRYQKDVY
+DVPPFQTAHGVYDIPPSSVKVPVFSVPVGEIKPQGVYDIPPSKGGYSTPPSGYRDEAGLR
+EKEYDFPPPMRQAGRPEGVYDIPPTGAKPLGTDLHPKYNGDAPGAAELAPRRHGGTSLTQ
+PAPQLGPPVGSQNNDAYDIPRGIQFLEAPAETSEKASPEERDGVYDVPLHQPPEAKGPVD
+VVDGINRLSFSSTGSTRSTMSTSSTTSKESSLSTSPAQDRRLFLDPDAALERLLRLQQAL
+DGAVSSLMALVTTDWRSYGYMERHVNGVRAAVDRVELALRDYLHFAQGAAANAACLPELV
+PHHKVKRELQRLEDSHQILGQTSHELSECGWSLNVLAVHKPHNKWDSLDRFVMVAKTVPD
+DAKQLTTTISTNAEALFRPGPGKGGPESITNSTEYPPTGSPGQLLPPGDHKAPALNKPLP
+PSLGKEQPPDCSSSDGSERSWMDDYDYVHLQGKEEFERQQKELLEKENIIKQNKMQLEHH
+QLSQFQLLEQEITKPVENDISKWKPSQSLPTTSSGVSAQDRQLLCFYYDQCETHYISLLN
+AIDALFSCLSSAQPPRIFVAHSKFVILSAHKLVFIGDTLTRQVAAQDVRNKVMNSSNQLC
+EQLKTIVLATKTAALHYPSTPALQEMVHQVTDLSRNAQLFKRSLLEMATF
+>tr|F6QIC1|F6QIC1_BOVIN Troponin I2, fast skeletal type OS=Bos taurus OX=9913 GN=TNNI2 PE=4 SV=1
+QKRHRAITARRQHLKSVMLQIAATELEKEEGRREAEKQNYLSEHCPPLHLPGSMSEVQEL
+CRQLHAKIDAAEEEKYDMEIRVQKSTKELEDMNQKLFDLRGKFKRPPLRRVRMSADAMLK
+ALLGSKHKVCMDLRANLKQVKKEDTEKERDLRDVGDWRKNIEEKSGMEGRKKMFETES
+>tr|F1MZN4|F1MZN4_BOVIN Peptidyl-glycine alpha-amidating monooxygenase OS=Bos taurus OX=9913 GN=PAM PE=4 SV=1
+MAGFRSLLVLLLVFPSGCVGFRSPLSVFKRFKETTRSFSNECLGTTRPVIPIDSSDFALD
+IRMPGVTPKQSDTYFCMSVRLPMDEEAFVIDFKPRASMDTVHHMLLFGCNMPASTGNYWF
+CDEGTCTDKANILYAWARNAPPTRLPKGVGFRVGGETGSKYFVLQVHYGDISAFRDNHKD
+CSGVSLHLTRLPQPLIAGMYLMMSVDTVIPPGGKVVNSDISCHYKKYPMHVFAYRVHTHH
+LGKVVSGYRVRNGQWTLIGRQSPQLPQAFYPVEHPVDVSFGDILAARCVFTGEGRTEVTH
+IGGTSSDEMCNLYIMYYMEAKHAVSFMTCTQNVAPDIFRTIPPEANIPIPVKSDMVMMHG
+HHKETENKDKTSLLQQPKREEEGVLEQGDFYSLLSKLLGEREDVVHVHKYNPTVKAESES
+DLVAEIANVVQKKDLGRSDTRESAEQERGNAILVRDRIHKFHRLVSTLRPAESRVLSLQQ
+PLPGEGTWEPEHTGDFHVEEALDWPGVYLLPGQVSGVALDPQNNLVIFHRGDHVWDGNSF
+DSKFVYQQRGLGPIEEDTILVIDPNNAAVLQSSGKNLFYLPHGLSIDKDGNYWVTDVALH
+QVFKLDPKSKEGPLLTLGRSMQPGSDQNHFCQPTDVAVDPDTGTIYVSDGYCNSRLVQFS
+PSGKFITQWGEASLESSPKPGQFRVPHSLALVPPLGQLCVADRENGRIQCFKTDTKEFVR
+EIKHPSFGRNVFAISYIPGLLFAVNGKPYFEDQEPVQGFVMNFSSGEIIDVFKPVRKHFD
+MPHDIAASEDGTVYVGDAHTNTVWKFTSTEKMEHRSVKKAGIEVQEIKESEAVVETKMEN
+KPASSELQKIQEKQKLVKEPGSGVPAVLITTLLVIPVVVLLAIALFIRWKKSRAFGGKGG
+GGLNLGNFFASRKGYSRKGFDRLSTEGSDQEKDEDASESEEEYSAPPPAPAPSS
+>tr|G5E6T0|G5E6T0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=MAST4 PE=4 SV=2
+MIQSAVNHGPASSCRTSNRKSLIGNGPSPALPRPHSPLSAHAGNSPQDSPRNFSPSASAH
+FSFARRTDGRRWSLASLPSSGYGTNTPSSTVSSSCSSQEKLHQLPYQPTPDELHFLSKHF
+CTTESITTENRCRNTPMRPRSRSLSPGRSPACCDHEIIMMNHVYKERFPKATAQMEERLK
+EIITSYSPDHVLPLADGVLSFTHHQIIELARDCLDKSHQGLITSRYFLELQHKLDKLLQE
+AHNRSESGELAFIKQLVRKILIVIARPARLLECLEFDPEEFYYLLEAAEGHAKEGQGIKT
+DIPRYIISQLGLNKDPLEEMAQLGNYDSGTAETPETDESVSSSNASLKLRRKPRESDFET
+IKLISNGAYGAVYFVRHKESRQRFAMKKINKQNLILRNQIQQAFVERDILTFAENPFVVS
+MYCSFETRRHLCMVMEYVEGGDCATLMKNMGPLPVDMARMYFAETVLALEYLHNYGIVHR
+DLKPDNLLVTSMGHIKLTDFGLSKVGLMSMTTNLYEGHIEKDAREFLDKQVCGTPEYIAP
+EVILRQGYGKPVDWWAMGIILYEFLVGCVPFFGDTPEELFGQVISDEINWPEKDEAPPPD
+AQDLITLLLRQNPLERLGTGGAYEVKQHRFFRCLDWNSLLRQKAEFIPQLESEDDTSYFD
+TRSEKYHHMETEEEDDTNDEDFNVEIRQFSSCSHRFSKVFSSMDRGTQNSGEEKEDPGDK
+TKSTALPSTETLSWSSEYSEMQQLSTSNSSDTESNRHRRGAGLLPKLAVSAEAEHDGAAP
+RPRELHEEPEKPALPAAENAQEEPEVTTPASTISSSTLSVGSFSEHLDQINGRSECVDST
+DNASKPSNEPASHMARQRLESTEKQKASGKVTKSLSASALSLMIPGDMFAVSPLGSPMSP
+HSLSSDPSSSRDSSPSRDSSGASASPHQPIVIHSLGKNYGFTIRAIRVYVGDSDIYTVHH
+IVWNVEEGSPACQAGLKAGDLITHINGEPVHGLVHTEVIELLLKSGNKVSITTTPFENTS
+IKTGPARRNSYKSRMVRRSKKSKKKESLERRRSLFKKLAKQPSPLLHTSRSFSCLNRSLS
+SGESLPGSPTHSLSPRSPTPSYRSTPDFPSGTNSSQSSSPSSSAPNSPAGSGHVRPSTLH
+GLAPKLSGQRYRSGRRKSAGSIPLSPLARTPSPTPQPTSPQRSPSPLLGHSLGNSKMAQA
+FPSKMHSPPTIVRHVVRPKSAEPPRSPLLKRVQSEEKLSPSYGGDKKHLCSRKHSLEVTQ
+EEVPREQQQREVTLQSLEENVCDAPALSRARPVEQGCLKRPVSRKLGRQESVDELDREKL
+KAKVVVKKPDGLSEKQESRPKPHGLGSDLENLCAFRLEERDKKIYPKASERSNHFESKAA
+VQEAQPLCSLLKDALHKQANVRASEGVASEGATAAGDHGQGTCDFKRASTHSTLQDGLCH
+TTHRSTSGKGDTAEKAPQAKEGLRCEKLDGKLANIDYLRKKMSLEDKDESLCSVLKPKVT
+AGAQDCLPGSLGRPVGGQQEAPPASEARTPFIGSAHAAQLSAVSFVPLKALSGRVDSGAE
+KPGLAAPESPVRKSPSEYKLEGRSVSCLKPIEGTLDIALLSGPQASKTELPSPEPAQSPS
+PGSDVESPMPLALPSSTGRKADTAGQRESSPAGFKVNKSYLLEPRFPPASRGLQSSPVVA
+PPDPELKLDKKVSQAARAPATIMESHPQRGEGHPSQHQDHSPDVKLLPFPGQNLQGANTS
+RSRSPLLPESVPPREKPSGRELSERGPSIVGSEWSAVRPDIRRDPSKELCPPEAAKPSDN
+SQTPPVVGRTRLDVSTQTQALEKACGPCGRAKPRDGREEVRLPAREDSSLRSAGAPCERE
+LGAERGLESKMEPASTRWSLEPPRPESEKSGRPSGFQSVQKDGPKEPERKEQPLQKYLTS
+SQPGPKEPERKEQPLQKHLPSSSQPGPKEPERKEQPLQKHVTSSSQPGPKEPERKEQPLQ
+KHLPSSSQPGPKEPERKEQPLQKHVTSSSQLGPKEPERKEQPLQKHLPSSSQPGPKELER
+KEQCLQKHLTSSSQLGPKEPEMKEQPPQKHVTSSSQLCPKELERKEQPPQKHLTISSQLS
+PKEPERKEQPLQEHVTSSSQLSPKEPERKEQCLQKHFTSSSQLGPKEPETKEQPLQKHLT
+SSSQLSPKEPERKEQLLQEHLTSSSQLSPKEPERKEQCLQKHLTSSSQLGPKEPEMKEQP
+LQKHLTSSSQLSPKEPERKEQPLQKHVTSSSQLSPKEPERKEQPLQKHLTSSCQLSPKEP
+ERKEQPLQKHVTSSSQLSPKEPERKEQPLQKHLTISSQLSPKEPERKEQPLQKHVTSSSQ
+LSPKEPERKEQLLQKHLTISSQLSPKEPERKEQLLQKHLTISSQLSPKEPEKKEQPLQKH
+VTSSSQLSPKEPERKEQPLQKHLTISSQLSPKEPERKEQPLQKHVTSSSQLSPKEPERKE
+QLLQKHLTISSQLSPKEPERKEQPLQKHVTSSSQLSPKEPERKEQPLQKHVTSSSQLSPK
+EPERKEQYLQKHLTSSSQLGPKEPETKEQPLQKHLTSSSQVGPKDPERKEQPPQKHLTSK
+SQPGPKEPERKEQCLQKHLTSSSQPGPKELERKEQPPLKHVTSSSQLGPREPERKEQPPQ
+KHLTSSCQPPPPTKELPGLACQHCILPSHPAASLLGSKPCAIDSSFQDALRPTAVRSDSS
+SHKPRSDPDLGPPKSKFPDRPLSSQKPSAEAAVSKEPGAPTLGRAGVGSGKGVSEEFPVL
+PDPQNTAREVIGQGASGYSGPLPMEGGPLDTKLRPTSARCPPEALEMLGHPPGRGLLGAS
+ESVDQKLPTFRERQNLSPKAPTPSTVKDCPLPCKQTDRSPSPLATSTNAGKSEGKKCTEA
+LYTPADGEKLGAGLSSVHSEAGPKGTEKPAAAAGKGWPEAKGKGLSPQKPLTEASKPSGM
+KRSPSATVQSSLRCATLPEKSLSYSCGFPEARPAVPETSTTSSDTSSAKAAGPAAESPAS
+SSRDQQKPLPGGDGRTQMTKSDSLPCFRSSAITLESQHPSPGLVVVTSHRDRALSVTATV
+GETKGKEPAPTQPAQTRNQNVSREAAKPSPAPSTERPIVLPSEKDTGLRQRRGKESLRGS
+PHKKAL
+>tr|A0A3Q1M049|A0A3Q1M049_BOVIN UBIQUITIN_CONJUGAT_2 domain-containing protein OS=Bos taurus OX=9913 PE=3 SV=1
+MALKRINKELSDLAHDPPAQCSVGPVGDDMFHWQATIMGRSDSPYQGGVFFLTIHFPTDY
+PFKSPQVAFTIRIYHPNINSNGSICLDSLRSQWSPALSISKVLLSICSLLCDPNPDDPIV
+PKMENYLSNLDQDMIH
+>tr|A0A3Q1LNE0|A0A3Q1LNE0_BOVIN Zinc finger protein 618 OS=Bos taurus OX=9913 GN=ZNF618 PE=4 SV=1
+MNQPGGAAAPQADGASAAGRKSAASRERLKRSQKSNKLEGPEPVPAEASLSTEQGTMTEV
+KVKTELPDDYIQEVIWQGEAKEEKKAVSKDGTTGDVPAEICVVIGGVRNQQTLGSYECGI
+CGKKYKYYNCFQTHVRAHRDTEATSGEGASQGNNFRYTCDICGKKYKYYSCFQEHRDLHA
+VDDPFDQGVVATDEVKEEPPEPFQKIGPMNNITSEIFKKKEVRQCQKRETGNYTCEFCGK
+QYKYYTPYQEHVALHAPISTAPGWEPPDDPDTGSECSHPEVSPSPRFVAAKTQTNQSGKK
+APASVVRCSTLLHRTPPATQTQTFRTPNSGSPASKATAAESAFSRRVEGKAQNHFEETNS
+SSQNSSEPYTCGACGIQFQFYNNLLEHMQSHAADNENNIASNQSRSPPAVVEEKWKAQAQ
+RNSANNTTTSGLTPNSMIPEKERQNIAERLLRVMCADLGALSVVSGKEFLKLAQTLVDSG
+ARYGAFSVTEILGNFNTLALKHLPRMYNQVKVKVTCALGSNACLGIGVTCHSQSVGPDSC
+YILTAYQAEGNHIKSYVLGVKGADIRDSGDLVHHWVQNVLSEFVMSEIRTVYVTDCRVSA
+SAFSKAGMCLRCSACALNSVVQSVLSKRTLQARSMHEVIELLNVCEDLAGSTGLAKETFG
+SLEETSPPPCWNSVTDSLLLVHERYEQICEFYSRAKKMNLIQSLNKHLLSNLAAILTPVK
+QAVIELSNESQPTLQLVLPTYVRLEKLFTAKANDAGTVSKLCHLFLEALKENFKVHPAHK
+VAMILDPQQKLRPVPPYQHEEIIGKVCELINEVKESWTEEADFEPAAKKPRSAPGENPAT
+QEDDRLGKNEVYDYLQEPLFQATPDLFQYWSCVTQKHTKLARLAFWLLAVPAVGARSGCV
+NMCEQALLIKRRRLLSPEDMNKLMFLKSNML
+>tr|F1MBJ1|F1MBJ1_BOVIN DDB1 and CUL4 associated factor 6 OS=Bos taurus OX=9913 GN=DCAF6 PE=4 SV=2
+MSRGGSCPHLLWDVRKRSLGLEDPSRLRSRYLGRREFIQRLKLEATLNVHDGCVNTICWN
+ETGEYILSGSDDTKLVISNPYSRKVLTTIRSGHRANIFSAKFLPCTNDKQIVSCSGDGVI
+FYTNVEQDAETNRQCQFTCHYGTTYEIMTVPNDPYTFLSCGEDGTVRWFDTRIKTSCTKE
+DCKDDILINCRRAATSVAICPPIPYYLAVGCSDSSVRIYDRRMLGTRATGNYAGRGTTGM
+VARFIPSHLNNKSCRVTSLCYSEDGQEILVSYSSDYIYLFDPKDDTARELKTPSAEERRE
+ELRQPPVKRLRLRGDWSDTGPRARPESERERDGEQSPNVSLMQRMSDMLSRWFEEASEVA
+QSNRGRGRSRPRGGTNQSDVSTLPTVPSSTDLEVGETAMEVDTPDEQFLQPSTSSTVSTQ
+GHLASSSTESPHSTSLLSSPDSEQRQPVEASGHRTHHQSDSPSSVVNKQLGSMSLDEQQD
+NNNEKLSPKPGTGEPVLSLHYSTEGTTTSTIKLNFTDEWSSTASSSRGNGSHCKSEDQEE
+SLVPQSPVQPPEGESEAKTPEESSEDVTLQEGTSAESTVQNHIDTAQSDKFTSESLDSGS
+GERNDFNLDSPCGVAEESTLSEKGKEPGTSDQTSTPSASSTSNPEPQSQTEAIGSLAHEE
+TLTRDSALQDTDDSDDDPVLIPGARYRAGPGDRRSAVARIQEFFRRRKERKEMEELDTLN
+IRRPLVKMVYKGHRNSRTMIKEANFWGANFVMSGSDCGHIFIWDRHTAEHLMLLEADNHV
+VNCLQPHPFDPILASSGIDYDIKIWSPLEESRIFNRKLADEVITRNELMLEETRNTITVP
+ASFMLRMLASLNHIRADRLESDRSEGSGQENENEDEE
+>tr|A0A3Q1N9C8|A0A3Q1N9C8_BOVIN Kinesin-like protein OS=Bos taurus OX=9913 GN=KIF20A PE=3 SV=1
+MSQGILSPPAGLLSDEEVVVSPMFESTAADLGSVIRKDLLSDCSVISTSLEDKQVPSEDG
+TEKVKVYLRVRPLLPSELERQEDQGCVCIENMETLALQAPKDSFAQKSNERGIGQATHRF
+TFSQIFGPEVGQASFFNLTVKEMVKDVLKGQNWLIYTYGVTNSGKTYTIQGTIKDGGILP
+RSLALIFNSLQGQLHPTPNLKPLFSNEVMWLDSKQIRQEELKKLALLNGGLQEEELSTSL
+KKSVYIDSRMGTSTSFDSGIAGSQLDGIGCKLKMSHRWAQPDTVPVSVPADLRFSIWISF
+FEIYNELLYDLLEPPSQQRKRQTLRLCEDQNGNPYVKDLNWIHVQDAEEAWKLLKVGRKN
+QSFASTHLNQNSSRSHSIFSIRILHLQGEGDIIPKISELSLCDLAGSERCKDQKSGERLK
+EAGNINTSLHTLGRCIAALRQNQQNRSKQNLVPFRDSKLTRVFQGFFTGRGRSCMIVNVN
+PCASTYDETLHVAKFSAIASQLVHAPPVQLGFPSIHSFLKEHSLRASPSLETGAKTDPGL
+GDDIENEVDISTYGKEELLQVVEAMKALLLKERQEKLRLEVQLRDEICNEMVEQMQQREQ
+WCSEHLDTQKELLEELYEDKLTILKESLTSFYQEELQERDEKIKELEALLQEARQQQVAH
+QPSGSELSLRRSQRLTSVSTQQFHEIKAKLEQCKAELNSTTEELQKYQKMLEPPPSAKPF
+IVDVDKKLEEGQKNIRLLRTELQKLGESLQSAERACCHNTGAGKLRQALATCDDILIKQD
+QTLAELQNNMTLVKLDLRKKAACIAEQYHTVLKLQGQASTKKRLGANQENQQPNQQPPGK
+KPFLRNLLPRTPTCQSSTDCSPYARILRSRRSPLLKSGPFGKKY
+>tr|A0A3Q1MGR1|A0A3Q1MGR1_BOVIN ATPase copper transporting beta OS=Bos taurus OX=9913 GN=ATP7B PE=3 SV=1
+MFKLVLEKAEEPEIKLPTSILSKLSQPAMKQSFAFDNNGYEDDLDGVRPSHTATGTINIV
+GMTCQSCVKSIEGRVSSLKGIVSIKVSLEQGSAEVRYVPSVVSLVQICHQIEDMGFQASV
+AEGKATSWPSRVSPASEAMVKLRVEGMTCQSCVSSIEGKIGKLQGVLRVRVSLSNQEAVI
+TYQPYLIQPQDLRDHITDMGFEAVIKNKVAPVSLGPIDVRRLQSTLSAAPPAPVNQNDNN
+SETPGGQGIPLHLRVDGMHCKSCVLNIEDNIGRLPGVQSIHVSLESRTAQVQYDPSLVSP
+GALQRAIEALPPGNFKVSLPNGVEGSGPDSRSPPASSAPCTVMLAIAGMTCKSCVQSIEG
+LISQRAGVHQISVFLAEGTAVVLYDPSRTHPEELRAAVEDMGFEASILAECFRISINHIG
+NHSSGSAVGHVAAGTPVPVQGGTPQPGELHTNHIPRQSPKSLPASTTVAPKKCFLQISGM
+TCASCVSNIERNLQKEPGILSVLVALMAGKAEVKYNPEAIQPLEIAKLIQDLGFEAAVME
+DYTGSDGDLELMITGMTCASCVHNIESKLRRTEGITYASVALATSKAHVKFDPEIIGPRD
+IVKLIEEIGFRASLAQRIPNAHHLDHKVEIKQWKNSFLCSLVFGIPVMGLMIYMLIPSHE
+PQSTVLDHNVIPGLSILNLIFFILCTFVQFLGGWYFYVQAYKSLRHGMANMDVLIVLATS
+VAYVYSLVILVVAVAEKAERSPVTFFDTPPMLFVFIALGRWLEHVVKSKTSEALAKLMSL
+QATEATVVTLGEDNVIIREEQVPMELVQRGDIIKVVPGGKFPVDGKVLEGNTMADESLIT
+GEAMPVTKKPGSMVIAGSMNAHGSVLVTATHVGNDTTLAQIVKLVEEAQMSKAPIQQLAD
+RFSGYFVPFIIIISTVTLVVWIGIGFTDFGVVQKYFPVPSKGISQAEVVLRFAFQTSITV
+LCIACPCSLGLATPTAVMVGTGVAAQNGILIKGGKPLEMAHKIKTVMFDKTGTITHGVPK
+VSRVLLLVDVATLPLRKVLAVVGTAEASSEHPLGVAVTRYCKEELGTETLGCCTDFQAVP
+GCGISCKVSSVESILAQGERLQGPLTTHLNRVGSNPTETDAATQTFSVLIGNREWMRRNG
+LTVTSDVRDAMTDHEMKGQTAILVAIDGVLCGMIAIADSVKQEAALAVHTLKSMGVDVVL
+ITGDNRKTARAIATQVGINKVFAEVLPSHKVAKVQELQNQGKRVAMVGDGVNDSPALAQA
+DVGIAIGTGTDVAIEAADVVLIRNDLLDVVASIHLSRRTVWRIRLNLVLALIYNLIGIPV
+AAGVFIPIGVVLQPWMGSAAMAASSVSVVLSSLQLKCYRKPDLARYEAQAHGSMKPLSAS
+QVSVRVGMDDRRRDSPRASAWDQVSYVSQVSLSPLKSDKLSRHSGAADDRGDKWSLLLND
+RDEEQGI
+>tr|F1MYW8|F1MYW8_BOVIN Guanine nucleotide-binding protein subunit gamma OS=Bos taurus OX=9913 GN=GNG8 PE=3 SV=3
+MSNNMAKIAEARKTVEQLKLEVNIDRMKVSQAAAELLAFCETHAKDDPLVTPVPAAENPF
+RDKRLFCVLL
+>tr|A0A452DIG6|A0A452DIG6_BOVIN Eukaryotic translation initiation factor 6 OS=Bos taurus OX=9913 GN=EIF6 PE=3 SV=1
+MAVRASFENNCEIGCFAKLTNSYCLVAIGGSENFYSVFEGELAGTIPVVHASIAGCRIIG
+RMCVGNRHGLLVPNNTTDQELQHIRNCLPDSVQIRRVEERLSALGNVTTCNDYVALVHPD
+LDRETEEILADVLKVEVFRQTVADQVLVGSYCVFSNQGGLVHPKTSIEDQDELSSLLQVP
+LVAGTVNRGSEVIAAGMVVNDWCAFCGLDTTSTELSVVESVFKLNEAQPSTIATSCLALS
+LTTFFYSF
+>tr|A0A3Q1NLJ5|A0A3Q1NLJ5_BOVIN Glycogenin 1 OS=Bos taurus OX=9913 GN=GYG1 PE=4 SV=1
+MHFLISSLLPDQAFVTLTTNDSYAKGALVLGLSLKQHRTTRRLVVLITPQVSDSMRKALE
+TVFDEVIMVDVLDSGDSAHLTLMKRPELGVTLTKLHCWSLTQYSKCVFMDADTLVLANID
+DLFEREELSAAPDPGWPDCFNSGVFVYQPSVETYNQLLHLASEQGSFDGGDQGLLNTFFS
+SWATTDIRKHLPFIYNLSSISIYSYLPAFKAFGANAKVVHFLGRTKPWNYTYDPQTKSIQ
+SESHDPNMTHPEFLSLWWNIFTTSVLPVLQQFGLVKDTRSYVHVENVSGAVSQLSLGEIP
+APAQPFVSSEERKERWEQGQADYMGADSFDNIKRKLDTYLQ
+>tr|A0A3Q1MBC6|A0A3Q1MBC6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=3 SV=1
+FICRSFYHFLPNHLHVLVERSVAETITKGGIMLPEKSQGKVLQAMVVAAGSGSKGKGGQI
+QPVSIKVGDKSFPRIWRHQSSSRQPGLFLIYSGHILGKYVD
+>tr|F1MTT8|F1MTT8_BOVIN Zinc finger protein 567 OS=Bos taurus OX=9913 GN=ZNF567 PE=4 SV=2
+MTKPDVILKLERGEEPWTSFKGHTCLEENWKAEDFLLKFKEQQDKYSRSVILINHKKLVN
+ENRSTCEKTLTLSKNPINSKKLPPEYDTREKILKNVSELIISNLSPTRRRLSECNGYGKS
+LLNTKPETAHSGVKSHNQCGRTISHNEKITQYHKMETPAQSFEYNDCEKAFLKRGGPVTH
+SRTYRRGNTSDYNKRRRTTNIEKKHTCTECGKSFCRKSVLILHQGIHTEEKPYQCHQCGN
+SFRRKSYLIDHQRTHTGEKPFVCNECGKSFRLKTALTDHQRTHTGEKSYECPQCRNAFRL
+KSHLIRHQRTHTGEKPYECSDCGKSFRQKTTLSLHQRIHTGEKPYICKECGKSFHQKANL
+TVHQRTHTGEKPYICNECGKSFSQKTTLALHEKTHNEEKPYICNECGKSFRQKTTLVAHQ
+RTHTGEKSYECPHCGKAFRMKSYLIDHHRTHTGEKPYECNECGKSFSQKTNLNLHQRIHT
+GEKPYICNECGKSFRQKATLTVHQKIHTGQKSYECPQCGKAFSRKSYLIHHQRTHTGEKP
+YKCSECGKCFRQKTNLIVHQRTHTGEKPYICNECGKSFSYKRNLIVHQRTHKGENMEMQ
+>tr|F1N2J2|F1N2J2_BOVIN Dual specificity tyrosine phosphorylation regulated kinase 1A OS=Bos taurus OX=9913 GN=DYRK1A PE=4 SV=3
+MHTGGETSACKPSSVRLAPSFSFHAAGLQMAGQMPHSHQYSDRRQPNISDQQVSALSYSD
+QIQQPLTNQRRMPQTFRDPATAPLRKLSVDLIKTYKHINEVYYAKKKRRHQQGQGDDSSH
+KKERKVYNDGYDDDNYDYIVKNGEKWMDRYEIDSLIGKGSFGQVVKAYDRVEQEWVAIKI
+IKNKKAFLNQAQIEVRLLELMNKHDTEMKYYIVHLKRHFMFRNHLCLVFEMLSYNLYDLL
+RNTNFRGVSLNLTRKFAQQMCTALLFLATPELSIIHCDLKPENILLCNPKRSAIKIVDFG
+SSCQLGQRIYQYIQSRFYRSPEVLLGMPYDLAIDMWSLGCILVEMHTGEPLFSGANEVDQ
+MNKIVEVLGIPPAHILDQAPKARKFFEKLPDGTWNLKKTKDGKREYKPPGTRKLHNILGV
+ETGGPGGRRAGESGHTVADYLKFKDLILRMLDYDPKSRIQPYYALQHSFFKKTADEGTNT
+SNSVSTSPAMEQSQSSGTTSSTSSSSGGSSGTSNSGRARSDPTHQHRHSGGHFTAAVQAM
+DCETHSPQVRQQFPAPLGWSGTEAPTQVTVETHPVQETTFHVAPQQNALHHHHGNSSHHH
+HHHHHHHHHHGQQALGNRTRPRVYNSPTNSSSTQDSMEVGHSHHSMTSLSSSTTSSSTSS
+SSTGNQGNQAYQNRPVAANTLDFGQNGAMDVNLTVYSNPRQETGIAGHPAYQFSANTGPA
+HYMTEGHLAMRQGADREESPMTGVCVQQSPVASS
+>tr|A0A3Q1M2I3|A0A3Q1M2I3_BOVIN Signal peptide, CUB domain and EGF like domain containing 2 OS=Bos taurus OX=9913 GN=SCUBE2 PE=4 SV=1
+MGVAGRGCPRAAPALLLLLLLLLLLLLTAAVPPYRGRAEGPPEDVDECAQGLDDCHINAL
+CENTPTSYKCSCRPGYQGEGRQCEDIDECENELNGGCVHDCLNIPGNYRCTCFDGFMLAH
+DGHNCLDVDECLENNGGCQHTCLNVMGSYECRCQEGFFLSDNQHTCIHRSEEGLSCMNKN
+HGCSHICMEAPKGSVACECRPGFELAKNQRDCILTCNHGNGGCQHSCEDTAEGPECSCHP
+RYKMHSDGRSCLEREDIALEVMESNTTSVADGDKRVKRRLLMETCAVNNGGCDRTCKDTS
+TGVHCSCPVGFTLQMDGKTCKDIDECQTRNGGCDHFCRNTVGSFDCSCKKGFKLLTDEKS
+CQDVDECSLDRTCDHSCINHPGTFTCACSEGYTLYGFTHCGDTNECSVNNGGCQQVCVNT
+VGSYECHCHSGYKLHWNKKDCVEVKGGLPTSLSPHVSLQCGKSGGGDRCFLRCHSGIHLS
+PGLQEAYSVTCGSSSPLRNKQQKSNDSAFGEKHSSVKESFRYANLTCSSGKQVPGGPGRP
+NAPKEMFITVEFELETNQKEVTVSCDLSCIVKRTEKRLRKAIRTLRKAAHREQLHLQLSG
+VNLEVAKKSPRTSERQIESCAMGQDHGGNQCVSCRAGTYYDGAQERCILCPNGTFQNEEG
+QITCEPCPRPGSPGTLKTPEAWNVSECGGLCQPGEYSADGFTPCQPCARGTFQPEAGRTS
+CFPCGGGLPTKHPGATSFQDCETRVQCSPGHFYNTTTHRCIRCPAGTYQPEFGKNHCVSC
+PGNTTTDFDGSTNITQCKNRRCGGELGDFTGYIESPNYPGNYPANTECTWTINPPPKRRI
+LIVVPEIFLPIEDDCGDYLVMRKTASSNSVTTYETCQTYERPIAFTSRSKRLWIQFKSNE
+GNSARGFQVPYVTYDEDYQELIEDIVRDGRLYASENHQEILKDKKLIKALFDVLAHPQNY
+FKYTAQESREMFPRSFIRLLRSKVSRFLRPYK
+>tr|A0A3Q1MNL3|A0A3Q1MNL3_BOVIN Syndecan OS=Bos taurus OX=9913 GN=SDC1 PE=1 SV=1
+MAQSQTQLKRLSMHTQLCPTASHGKKTLLCWPGSQSRGLSLAGLDVRGLPDATSSASGPA
+GALPDITSSHTPSTWKDLGPVTTTATAPEPTSPDAIAASTTILPTGEQPEGGRAVLLAEV
+EPGLTAQKEATHPPSETTLHPTTHSVSTARATMAPGPATSHPHRDVQPDHHETSAPTGRG
+RMEPHRPHVEEGGPPATEKAAEEDPSTQIPVGEGSGEQDFTFDLSGENAAGAAGEPGSRN
+GAPEDPEATGATGASQGLLDRKEVLGGVIAGGLVGLIFAVCLVGFMLYRMKKKDEGSYSL
+EEPKQANGGAYQKPTKQEEFYA
+>tr|A0A3Q1M5X6|A0A3Q1M5X6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=UST PE=4 SV=1
+MKKKQQQQQQHPGGGADPWPHGAPLGGAPPDLGSWKRRVSLLPFLRFSLRDYGFCMATLL
+VFCLGSLFYQLGGGPPRFLLDLRQYLGNSTYLDDHGPPPSKVLPFPSQVVYNRVGKCGSR
+TVVLLLRILSEKHGFNLVTSDIHNKTRLTKNEQMELIKNISTAEQPYLFTRHVHFLNFSR
+FGGDQPVYINIIRDPVNRFLSNYFFRRFGDWRGEQNHMIRTPSMRQEERYLDINECILEN
+YPECSNPRLFYIIPYFCGQHPRCREPGEWALERAKLNVNENFLLVGILEELEDVLLLLER
+FLPHYFKGVLSIYKDPEHRKLGNMTVTVKKTAPSPEAVQILYQRMRYEYEFYHYVKEQFH
+LLKRKFGLKPRVSHPPLRPQFFIPTPLETEEPIDDEEQDDEKWLEDIYKRNQQVALEFFL
+RSLKLDGSQDIKQVIWNLSSVH
+>tr|F1MPW4|F1MPW4_BOVIN Structural maintenance of chromosomes 5 OS=Bos taurus OX=9913 GN=SMC5 PE=4 SV=3
+MATPSRRTSTQSPLASKRAILSDPSSEVPSKKKSSVPPAAPRPLPSSGPFVEGSIVRIAM
+ENFLTYDVCEVSPGPHLNMIIGANGTGKSSIVCAICLGLAGKPAFMGRADKVGFFVKRGC
+SKGMVEIELFRTSGNLVITREIDVAKNQSSWFINKKSTSPKVVEEQVAALNIQVGNLCQF
+LPQDKVGEFAKLSKIELLEATEKSVGPPEMHKYHCELKNFREKEKQLETSCKQKTEYLEK
+MIQRNERYKQDVDRFYERKRHLDLIEMLEAKRPWVEYENVRQEYEEVKLARDQAKEEVRK
+LKESQIPITERIEEMERQRHSLEARIREKALAIKETSQKCKHKQDVIERKDKQIEELQQA
+LTVKQNEEHDRQRRISNTRKMIEDLQNELRTTENCENLQPQIDAITNDLRRVQDEKALCE
+SEIIDKRKERETLEKEKRSVDDHIVRFDNLMNQKEDKLRQRYRDTYDAVLWLRNNRDKFK
+QRVCEPIMLTVRDNKKLRVNAVIAPKNSYADKAPSRSLNDLKQYGFFSYLRELFDAPDPV
+MSYLCCQYHIHEVPVGTERTREKIERVIQETRLKQIYTAEEKYVVKTSFYSNKVISSNTS
+LKVAQFLTVTVDLEQRRHLEEQLKEINRKLQAVDSGLIALHETNKHLEHKDNELRQKKKE
+LLERKTKKRQLEQKISSKLGSLKLMEQDTCNLEEEERKASTKIKEINIQKAKLVTELTNL
+IKNCTALHIQKVDLILQNTTVISEKNKLESDYMATSAQLRITEQHFIELDENRQRLLQKC
+KELMKRARQVCNLGAEQTVPQEYQTQVPTIPNGHNSSPPMAFQDLPNTLDEIDALLTEER
+SRASCFTGLNPTVVEEYTKREEEIEQLTAELKIKKVELDKYRENISQVKERWLNPLKELV
+EKINEKFSYFFSSMQCAGEVDLHTENEEDYDKYGIRIRVKFRSSTELHELTPHHQSGGER
+SVSTMLYLMALQELNRCPFRVVDEINQHSMTVCFNTSQLLQNLPYSEKMTVLFVYNGPHM
+LEPNRWNLKAFQRRRRRITFTQPSQ
+>tr|A0A3Q1MA28|A0A3Q1MA28_BOVIN Solute carrier family 22 member 11 OS=Bos taurus OX=9913 GN=SLC22A11 PE=4 SV=1
+MAFTELLEQAGGVGLFQALQILTFFLFSVWVPFQLVVENFSAAVPGHHCWAHLLENGSGA
+PANLSPEALLLVSIPPGPNRGPHQCLCFRHPQWQLLDPNATATNWSEADREPCVDGWIYD
+HSTFTSTIVTEWDLVCDHQGLKPLGQSIYMAGAMVGCIVCGFLSHRFGRKPVLSRCCVLV
+AVTSISTIAAPRFPVYCGLRFLSALGLSSILLTSAMLMDHDQHKGRHHGDPGVHLRIGQM
+AVGGLAFTLRDWRTLQLAVSVPFFVIFLISWRLPESAQWHVIVGKPDQALQELKKVAKIN
+GHKEARKTLTTEVLMSSMQEVASAKSRQSVLDLFRLPVLRWRTCNLLVVNFFLTVSYYGI
+VLDLQNLGSNIFLPQVLFGAVDLLAWAITTFLLRFFGRRTTLAGSLAGAGLAVLANTLVP
+QDLQTLRVVFAVLGKGCFGLSLTCIMIYKPELFPTSLRSAAARGGQQEVVIIESTWF
+>tr|G3X817|G3X817_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MKRLAGNVLGLLFAQVCCVRGVDVEQSPPALSLQEGASYTILCNFSTSPQSVIWYLQNSG
+GHIIQLFYIPSGTKQDGRLTATTVPTERRSSLHISSSQTTDSGTYFCAVQHSAPQAPAAC
+TQTCSRGSSHTLRCR
+>tr|A0A3Q1LQG0|A0A3Q1LQG0_BOVIN RAD18, E3 ubiquitin protein ligase OS=Bos taurus OX=9913 GN=RAD18 PE=4 SV=1
+MDSLAEPRWPPALAVMKKVDDLLRCGICFEYFNIAMMIPQCSHNYCSLCIRKFLSYKTQC
+PTCCVTVTEPDLKNNRVLDELVKNLNFARNHLLQFALESPPISAASSSSKNLATKADTAV
+AFRHSLKQGSKLMENFLIRESGGSASELLIKENESKLSPQKKLSSPAKTRGTPSAVKSAP
+GSSEDSVLETPSTSALKQVTKVDCPVCGVKIPENHINKHLDSCLSREEKKESLRSSVHKR
+KPLPKTVYNLLSDRDLKKKLKQHGLSVQGNKQQLIKRHQEFVHMYNAQCDALHPKSGKKH
+QDEFHLLVDQAKKGYKKTVGMSKRKATKGDESTEKLSSVCVGQEDNKIKSSDTPSVETNH
+FRQSKLDFPGKLERSRQDDSFSCTDIQEEALSSSESDSFKRNDFQDREISPGQNRRTRSA
+ESAELEPRNKRNRN
+>tr|A0A3Q1MQZ0|A0A3Q1MQZ0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=RYK PE=4 SV=1
+AQSRTRLKRFSSRYLGHLIFSFFFSLGLDAELYYVRNDLISHYALSFNLLVPSETNFLHF
+TWHAKSKVEYKLGFQVDNFVAMDMPQVNISAQGEVPRTLSVFRVELSCTGKVDSEVMILM
+QLNLTVNSSKNFTVLNFKRRKMCYKKLEEVKTSALDKNTSRTIYDPVHAAPTTSTRVFYI
+SVGVCCAVIFLVAIILAVLHLHSMKRIELDDSISASSSSQGLSQPSTQTTQYLRADTPNN
+ATPITSYPTLRIEKNDLRSVTLLEAKAKVKDIAISRERITLKDVLQEGTFGRIFHGILVD
+EKDPNKEKQAFVKTVKDQASEIQVTMMLTESCKLRGLHHRNLLPITNVCIEEGEKPMVIL
+PYMNWGNLKLFLRQCKLVEANNPQAISQQDLVHMAIQIACGMSYLARREVIHKDLAARNC
+VGDCVVFKSDFKITDNALSRDLFPMDYHCLGDNENRPVRWMALESLVNNEFSSASDVWAF
+GVTLWELMTLGQTPYVDIDPFEMAAYLKDGYRIAQPINCPDELFAVMACCWALDPEERPK
+FQQLVQCLTEFHAALGAYV
+>tr|A0A3Q1NDV9|A0A3Q1NDV9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC538435 PE=4 SV=1
+MAQATKRAEKETSTSDPSERNKRDNEKFRKLFVGGLSSETTEETLWNYYRQWGYLTDCVV
+IRDPASQTSRRFAFVTFSSMAEVDAAMAARPHSIDGKRVAPKRAVPREDYGIPGALVTVK
+KLFVCKIGEDTEKHHLRDYFGKYGKINAIEIISDRESGRKRGFGFVTFDDHDPVDKLVLQ
+KYHTINGHHAEVRKALSRPEMQELQHSRIGRGGRKADFLYLHGGGGNFGAGRGRDFREAS
+DGSGSSGESGDGDHGYGGGPGGGSLRVSPGYGEGRGGCDGEGPGHGYQDRGCRAGYINYG
+GRNDGSQNCNNLGNYKQKPSKYELLKSGKLSGRRNMGRSYGGANYCPGGHGGSGGYGETA
+MLSLLFGRGLTV
+>tr|A0A3Q1MIG1|A0A3Q1MIG1_BOVIN Zinc finger matrin-type 1 OS=Bos taurus OX=9913 GN=ZMAT1 PE=4 SV=1
+MVFSSSVVAQSHYVGKVHSKKLKQLMEERGQVSPSRFQPATGVPITTSAESTFLKPLAVK
+PPPGGIKDKIMPSSSSSALDLNNPNKYCKLCPASFNSPLMAQQHYVGKKHKRNEARKKFI
+DKIREKPLPAKSDANAFSMRTYDCRICNITFTSLEMFRSHMQGSEHQLKESIVINLVKKS
+RKPPESCQDEYTDYIKVQKARGSEPKTCFRKMEEGSLEARGYREAVDSRSRHRMFEQRSP
+GETFWTYPGPYNISQTVENQLPHCLPAQSKKTYDSFQDELEDYIKAQKARGLDPKTCFRK
+ERESSVETHGYREIDSGPRPRMCEQRYSFETSQTYQRPYTTSPVESQLYHWLPAQSKRTY
+NSFQDELEDYIKVQKARGLEPKTSFRKISDSSVETHKHREMVDSRLRPRMFEQKLPFETF
+QTYPGSYSISQAEENLLPHPLPTHDKKQRLDPVTYCQPTRDYFPEKPVPLSLSQQDNNSG
+TYSVESEVYKHLSSGNDTSEHQAGRKRKHQKRRRHMEKGEERPEKEQSKHKRKKGYGDTG
+LDKDKGTGEGKRGREKLSVSSGKLKHRKKKKSHGVPSQKEERKHKKEKKKPVEEKTEEEM
+LWDESILGF
+>tr|F1MYI7|F1MYI7_BOVIN Neuronal acetylcholine receptor subunit alpha-3 OS=Bos taurus OX=9913 GN=CHRNA3 PE=3 SV=3
+MGAHPRGVRSAKLSPLPAAPLLLLLLLPVASTSDAEHRLFERLFEDYNEIIRPVANVSDP
+VIIQFEVSMSQLVKVDEVNQIMETNLWLKQIWNDYKLKWNPSDYDGAEFMRVPAQKIWKP
+DIVLYNNAVGDFQVDDKTKALLKYTGEVTWIPPAIFKSSCKIDVTYFPFDYQNCTMKFGS
+WSYDKAKIDLVLIGSSMNLKDYWESGEWAIIKAPGYKHDIKYNCCEEIYPDITYSLYIRR
+LPLFYTINLIIPCLLISFLTVLVFYLPSDCGEKVTLCISVLLSLTVFLLVITETIPSTSL
+VIPLIGEYLLFTMIFVTLSIVITVFVLNVHYRTPTTHTMPAWVKTIFLNLLPRVMFMTRP
+ASNEGNTQRPRPFYSAELSNLNCFSRIESKVCKEGYPCQDGLCGYCHHRRAKISNFSANL
+TRSSSSESVDAVLSLSALSPEIKEAIQSVKYIAENMKAQNEAKEIQDDWKYVAMVIDRIF
+LWVFILVCILGTAGLFLQPLMTRDDA
+>tr|G3N278|G3N278_BOVIN Ligand dependent nuclear receptor corepressor like OS=Bos taurus OX=9913 GN=LCORL PE=4 SV=2
+MDKGRERMAAAAAAAAAAAAAAAQCRSPRCAAERRGFRRELDSWRHRLMHCVGFESILEG
+LYGPRLRRDLSLFEDCEPEELTDWSMDEKCSFCNLQREAVSDCIPSLDSSQSTPTEELSS
+QGQSNTEKIECQAENYLNALFRKKDLPQNCDPNIPLVAQELMKKMIRQFAIEYISKSGKI
+QENRNGSIGPSLICKSIQMNQAENSLQEEQEGPLDLTVNRMQEQNTQQGDGVLDLSTKKT
+SIKSEESSICDPSSENSVAGRLHRNREDYVERSAEFADGLLSKALKDIQSGALDINKAGI
+LYGIPQKTLLLHLEALPAGKPASFKNKTRDFNDSYSYKDSKETCAVLQKVALWARAQAER
+TEKSKLNLLETSELKFPTASSYLHQLTLQKMVTQFKEKNESLQYETHPTVQLKIPQLRVS
+SISKPQPDGPGLLDVMYQVSKTSPVLEGSALQKLKNILPKQNKIECSGPVTHSSVDSYFL
+HGDLSPLCLNSKNGTVDGTSENTEDGLDRKDNKQPRKKRGRYRQYDHEIMEEAIAMVMSG
+KMSVSKAQGIYGVPHSTLEYKVKERSGTLKTPPKKKLRLPDTGLYNMTDSGTGSCKNSSK
+PV
+>tr|G3N0A2|G3N0A2_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC789041 PE=3 SV=2
+MKQGNESSITDFILLGLFSDSRHPGLLVTIILFVLGVAITGNSVLALLVWHDAHLHTPMY
+FLLSQLSLMDLTLISTTVPKMVTDFFSGQSFISHIGCGAQLFLYLMLGVAECVLLTLMAF
+DRYLAICYPLRYPVIMTPRVCLQMAIGSWVGGMLISLMHTVYAMNFSTCDSREVHHFFCE
+VMALLKLSCEDTSTYEKVVLASGIVFLLIPFGLILTSYILIFLTVLHMNAPEGRNKALAT
+CSSHLSVVSLYFGPAMIIYTTPGSSLPADVDQCLFVFDVIITPMLNPLIYSLRNKEVLEA
+LRNILWRKLMFIVKR
+>tr|F1MSJ5|F1MSJ5_BOVIN Neuralized E3 ubiquitin protein ligase 1 OS=Bos taurus OX=9913 GN=NEURL1 PE=4 SV=3
+MGGQITRSTLHDSTGGPCPVPSHRCHHKQKHCPPVLPGGGLPATPLLFHPHTKGSQILMD
+LSHKAVKRQASFCNAITFSNRPVLIYEQVRLKITKKQCCWSGALRLGFTSKDPSRIHPDS
+LPKYACPDLVSQSGFWAKALPEEFANEGNIIAFWVDKKGRVFYRINDSAAMLFFNGVRTA
+DPLWALVDVYGLTRGVQLLDSELVLPDCLRPRSFTALRRPSLRREADEARLSVSLCDLNV
+PGSDGDEGTPAAGCPIPQNSLNSQHSRALPPQLDGDLRFHALRAGAHVRILDEQTVARLE
+HGRDERALVFTSRPVRVAETIFVKVTRSSGARPGALSLGVTTCDPGTLRPADLPFSPEAL
+VDRKEFWAVCRVPGPLHSGDILGLVVNADGELHLSHNGVAAGMQLCVDASQPLWMLFGLH
+GSITQIRILGSTILAERGIPSLPCSPASTPTSPSTLGIRLSDPSLGTCSSGPLGSSAGGT
+APNSPVSLPESPVTPGGGPWSDECTICYEHAVDTVIYTCGHMCLCYACGLRLKKALHACC
+PICRRPIKDIIKTYRSS
+>tr|F1N3L2|F1N3L2_BOVIN ATP binding cassette subfamily G member 5 OS=Bos taurus OX=9913 GN=ABCG5 PE=4 SV=1
+MGELPFLTLGESSELQVNRSSQCSLEEVPAKPRHSLGIFHASYSVSHHVGPWWDIASRRQ
+KWTRQILKDVSLYVESGQIMCILGSSGSGKTTLLDAMSGRLRRTGTLLGEVFVNGQELHR
+EQFQDCFSYVLQSDTLLSNLTVRETLNYTALLAIRRGSQSFFQKKVEAVMTELSLSHVAD
+QLIGNYSFGGISHGERRRVSIAAQLLQDPKVMLFDEPTTGLDCMTANQIVVLLAELARRD
+RIVILTIHQPRSELFQLFDKIAILSCGELVFCGTPVEMLDFFSGCGYPCPEHSNPFDFYM
+DLTSVDTQSKEREIETYRRVQMIEVAYRESAMYHKTMEAIERTKHLKTLPKIPFKTRDSP
+GALSKLCVLLRRVMRNLLRNKLAVTMRLTQNLIMGLFIIFFLLRLGKDVLKGAVQDRVGL
+LYQFVGAMPYTGMLNAVTLFPVLRAVSDQESQDGLYHKWQMLLAYMLHVLPFSVTATLVC
+CSVCYWTLALYPEAARFAYFSVALLAPHLIGEFLSLVLLGVIQNPNVVNSVVALLSIAGV
+LMGSGFVRNIQEMPIPFKILGYFTFQKYCSEILVVNEFYGQNFTCGNSNASVSANPMCTI
+TQGAQFIERNCPGATSRFTANFLILYSFIPALVILGIIVFKIRDYLISR
+>tr|F1N5B5|F1N5B5_BOVIN REX4 homolog, 3'-5' exonuclease OS=Bos taurus OX=9913 GN=REXO4 PE=4 SV=3
+MVKARGPAAGRAPRGPAPDAGPVRKPRRKKRVRKNKAREAGGTPGVDPGVVAVRPPKAPE
+DFSPNWKALQELLKQRSRTPQEPPLLSQTDSKKQPQRVQQNRNSISDKAKTDAMGTENTD
+PEVTGASGPSGSLMSRKIQAQPKEKGAQKRTNGDISPQQGDIKHKKRKAREPTAPSPPAP
+PTKDDIWFDDVDPADIEAAIGPEAASIARQQLGQSESSSTLVKERPFGGLTKALAMDCEM
+VGVGPEGEESVVARVSLVNQHGRCVYDKHVKPTQPVTDYRTAVSGVRPADLAQGEEFEVV
+QREVAELLKGRILVGHALHNDLKALFLGHPKKKIRDTQKYKPFRTQVKSGRPSLKLLAER
+ILGIQVQQAEHCSVQDAQVAMRLYVLVKRDWESLAGDRRPPAPEAHSQDA
+>tr|A0A3Q1MBM3|A0A3Q1MBM3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MAALCWSSCEEIPHDQGKRNPSKMVGVARGHQRADTLKPYSQKTSQSNHTRTTTLSNSMK
+LSHARGATQDGWVMVERSDRMWSTGEGNGKPLQYSCLENPMNSMKRQNDRILKEKLPRSV
+GAQYATGDQWRNNSRKNEGMEPKQKEYPAVDVTGDRSKVRCCKEQYCIGTWNVRSMNQGK
+LEVVKQEMARVNVDILGISKLKWTGMGEFNSDDHYIYYCGQESLRRNGVAIMVNKRVRNA
+VLGCNLKNDRMISVRLQGKPFSITVIQVYAPTSNAEEAEVEWFYEDLQDLLELTPQKDVL
+LIIEDWNAKVGSQETPGVTGKFGLGIRNEAGQRLIEFCQENALVITNTLFQQHKRRLYTW
+TSPDGQH
+>tr|A0A3Q1M2H3|A0A3Q1M2H3_BOVIN Transforming acidic coiled-coil containing protein 2 OS=Bos taurus OX=9913 GN=TACC2 PE=4 SV=1
+MGNENSSSGNQQEDSGLNNVILWPPNPEPLQKTSLARSPGSVQPPGNSQRVERKPEEAPE
+GTDPGDQPSIWHGGSSSASEGAASPDPCLVSPEVTEPREHPQAARGPEDSPRPSAPEPQE
+RESPSSSMRFAEGPLEGSLASPEGEPESWPLFQHPQRELSPNGPGEAPAASVPQDDNLTQ
+CKVVSVIPSAGGETGSKEEEGQRLSSSSSTDQLAGIPPTAPPEPMKVQLRGEDARPGDFE
+SQGQEAAAGLPWPESWQGAPKAPAAQLGQESSASLEEPPLKPETSTSQEPAPECPVEGPP
+QDFTDDAGFLGACPPTGTSSGAAQEAKAKADFQESCQQPMGALPPTGLPWDSPGAALALG
+DKGSWEETLGAPDALGHSQTGSQDAEPGQVTGAVTGDQSEGILFMSSEPAPHTATKDMGP
+ASSLPSQPAALASMAAEQAREMVSVAEVPVLTDPATEWAEAGLSGLEKQASDLRGEGEGL
+EGGPSEIPAPIPPEERAELGNRELSHEVQPKVPALPAPSASDESASKSPGLKDEAEPREG
+PTVANEESRVSGADPRGQGAAPGPLGGADPGNLQAEQPEAWDCKPDPEVDKDKVSQLTGD
+EESQGLPNTVLAQPLGEEIPGHVDRSDSPSEDAAWNVVARGMMGESQVDHVSGSLHQLPE
+QHPSPPSGPEGAGERVLPGGAIWVGPGPQTKCPDTLQDVEGLGRMDSLPALESEKSDFLS
+APAPEVVPKAQEAESMPEIKSGSHPSAQRPGRGEGEGSLTSPYPRGLGRDTAGQEVHADG
+PPPPEKENWAVDCRLTMLSLEQDRQGNLSHPGDSSIEVTASERPLLCPENHLQTSQTHPE
+ASVFDMLRETSQGCESKEETYPGDTDFKNLGADSPQIHIPVGPREDVNLSTHGGKQQASE
+MELPSELPKGSLSDAPSLPPRDTVPENPTTEKSQLSAPMKPELPALKEKGQEGECSSSQP
+SRSSSPAADTFQDPSLAGSLSREEYSCAGQGPNESQQELVDGLNAGSQHEEACLEDAGIS
+GAADAWPQLQDLGKTEKASGNTVGAPPCRPDSVALLEAAHSQPVPAPASPRATPSQDAPV
+REAGEETQEGGQQPGLVPQKEMEHLTASDAEVPKLSGIFPSAKDQGVGGAETCGKADRGV
+LGMWQALGEPGSLQIEQRSSPGEEASTSTLGEQPSASSQDAWPLARELAESPRSVADLSA
+VQVVPDPKRLLPSGPPEEAAPGTPYLHIEGAARKGLEDSVMKAVSPQGPGAPGESPCSTR
+EPLLSSEKASSREGSAESSLQAGAAGEGISAAPVSPGSSKAATSEGPVDSVPYLDRMPFL
+AKGKETTGEEKWSGAPGASAEPSEIPARPGSEESKAGEAARETEGSRERMLEPSKDPRQG
+ASAGVDASCRQTGMLSGLPDFREHITKIFETSVLGALAADWPQSTKGEKAGARKRVMGKG
+LTVPSPEKLPDGTQRVAVAPLPTLPTGLWVDSKERKQELAIEAEISHLGPQDPAPGKLPG
+LAAEHTLPGASEEKEISEAPQMLADSKKPEGAGEGWWPGPGGGQAHSQQAGGPQEAASGL
+SAQAASPEISGAELQVAPQSRGEGDSGPDDSIPSGKQSQETAGQDSQPREDCPRGSSHPR
+ALGDMPGSTSAQGASPHRDVPPLPEAISEPCTPDPLGGERRRAGAAGISETQDALGTQGA
+PEPPAGEVAENPLEPGLEAGAAGEAEGDVTLSTAGTWACVSEDLPETGTTRMFSGAAAAS
+AVPGSPGDPGCSQGALRMDAEVAPGGGRPAGPPQAEEQPRPEFPAFAEDGKIGVSSPPEP
+DETRDLKLQNLDPEALDAERKIPKAGPSTLPLVPEKDAADITDEVISDDASSAGGAESTI
+PAAARVDLTAPASEYASLPSVPAGDGVEASTPSCQRLAKDLSRSSDSEEAFETPESTTPV
+KAPPAPPPPPPEVIAEPEVSVQAPPEEPGCGSEPVSIPDGPRSSSVEGSPFHPPSHSFSA
+VFDEDKPIASSGTYNLDFDNIELVDNLQTLEPRPSDPKNQDCKESPKLPQQSYNFDPDAC
+DESTDPFKTCSKAPSSPSKSPASFEIPASAIEANGVDGDGLSKPAKKKKTPLKTDTFRVK
+KSPKRSPLSDPPSQDPTPAATPETPPVISAVVHATDEEKLAVTNQKWTCMTVDLEADKQD
+YPQPSDLSTFVNETKFNSPTEELDYRNSYEIEYMEKIGSSLPQDNDAPKKQALYLMFDTS
+QESPVKSPPVRMSESPTPCSGSSFEETEALLNTGAKIQHPVARGLAPNQEPHLQVPEKSS
+QKELESMALGTASEVMEITAPEGSFASADALLSRLAHPASLCGALDYLEPDLAEKNPPVF
+AQKLQEELEFAIMRIEALKLARQIALAARSRQDTKREAAHPPDVSISKTALYSRIGTAEV
+EKPAGLLFQQPDLDSALQIARAEIITKEREVSEWKDKYEESRREVMEMRKIVAEYEKTIA
+QMIEDEQREKSVSHQTVQQLVLEKEQALADLNSVEKSLADLFRRYEKMKEVLEGFRKNEE
+VLKKCAQEYLSRVKKEEQRYQALKVHAEEKLDRANAEIAQVRGKAQQEQAAYQASLRKEQ
+LRVDALERTLEQKNKEIEELTKICDELIAKMGKS
+>tr|A0A3Q1LIL5|A0A3Q1LIL5_BOVIN Neuron navigator 3 OS=Bos taurus OX=9913 GN=NAV3 PE=4 SV=1
+MPVLGVASKLRQPAVGSKPVHTALLIPSLGTTGPQRSSSRPLELTELESSMLSCQLTFKS
+NCESEEKKPLQGKAKETEDSKIYTDWANHYLAKSGHKRLIKDLQQDIADGVLLAEIIQII
+ANEKVEDINGCPRSQSQMIENVDVCLSFLAARGVNVQGLSAEEIRNGNLKAILGLFFSLS
+RYKQQQHHQQQYYQSLVELQQRVTHASPQAEASQAKTQQDMQSSLAARYATQSNHSGIAT
+SQKKPTRLPGPSRVPAAGNSSKVQGASNLNRRSQSFNSIDKNKPPNYANGNEKDSSKGPQ
+PSSGVNGNMQPPGTAGQPPASAIPSPSTSKPWRSKSMNAKHSATSTMLTVKQGSPTTSPT
+PSADRLKPPASEGVKTAPSGQKSMLEKFKLVNARTALRPPQSASSGPSDGVKEDDAFSES
+GEMEGLNSGLNSGGSTNSSPKVSPKLAPPKAGSKNLSNKKSLLQPKEKEEKNRDKSKVCT
+EKLVKDEKDQMMETAPKKTSKIASLIPKGSKTTAAKKESLIPSSSGIPKPGSKVPTAKQT
+VSPGNSASKESEKFRTTKGSPSQSFPKPITTEKASTSSCPAPLEGRETSHASLAGSSVGL
+VVQGSGQSMGNGAVQLPQQQQHSHPNTATVAPFIYRAHPENEGTSLPSADSCTSPTKMDL
+SYSKTAKQCLEEISGEDPETRRMRTVKNIADLRQNLEETMSSLRGTQISHSTLETTFDST
+VTTEVNGRTIPNLTGRPTPMTWRLGQACPRLQAGDAPSLGAGYPRSGTGRFIHTDPSRFM
+YTTPLRRAAVSRLGNMSQIDMSEKASSDLDMSAEVDVGGYMSDGDILGKSLRTDDINSGY
+MTDGGLNLYTRSLHRAPDTTASRDVIQRGLHDVAVDADSWDDSSSVSSGLSDTLDNISTD
+DLNTTSSVSSYSNITVPSRKNTQLKTDSEKRSATDEPWESTEELKKPEEDFDSHGDGGGK
+WKGVSSGLPEDPEKTGQKASLAVSQTGSWRRGMSAQGGAPSRQKTGTSALKTPGKTDDAK
+ASEKGKSPLKGSSLQRSPSDAGKSSGDEGKKPPSGIGRSTATGSFGFKKPSGVGSSAMIT
+SSGATITSGSATLGKIPKSAAIGGKSNAGRKTSLDGSQNQDDVGLHVSTKTSLQYRSLPR
+PSKSSTSGIPGRGGHRSSTSSIDSNVSSKSAGATTSKLREPTKIGSGRSSPVTVNQTDKE
+KEKVAVSDSESVSLSGSPKSSPTSASACGTQGLRQPGSKYPDIASPTFRRLFGAKAGGKP
+ASAPNTEGVKSSSVMSSPSTTLARQGSLESPSSGTGSLGSAGGLSGSSSPLFNKPSDLTA
+DVISLSHSLASSPASVHSFTSGGLVWAANLSSSSAGSKDTPSYQSMTSLHTSSESIDLPL
+SHHGSLSGLTTGTHEVQSLLMRTGSVRSTLSERYTPSSRQANQEEGKEWLRSHSTGGLQD
+TGNQSPLVSPSAMSSSATGKYHFSNLVSPTNLSQFNLPGPSMMRSNSIPAQDSSFDLYDD
+SQLCGSATSLEERPRAISHSGSFRDSMEEVHGSSLSLVSSTSSLYSTAEEKAHSEQIHKL
+RRELVASQEKVATLTSQLSANAHLVAAFEKSLGNMTGRLQSLTMTAEQKESELIELRETI
+EMLKAQNSAAQAAIQGALNGPDHPPKDLRIRRQHSSESVSSINSATSHSSIGSGNDADSK
+KKKKKNWVNSRGSELRSSFKQAFGKKKSTKPPSSHSDIEELTDSSLPASPKLPHNGGDCG
+SASMKPSQSASAICECTEAEAEIILQLKSELREKELKLTDIRLEALSSAHHLDQIREAMN
+RMQNEIEILKAENDRLKAETGNVGKPARPPSESASSTSSSSSRQSLGLSLNNLNIAESVT
+SDILLDDPGDATGHKDGRSVKIIVSISKGYGRAKDQKSQAYLIGSIGVSGKTKWDVLDGV
+IRRLFKEYVFRIDTSASLGLSSDCIASYCIGDVTRSHGPEVPELLPCGYLVGDNNVITVN
+LKGVEENSLDSFVFDTLIPKPITQRYFNLLMEHHRIILSGPSGTGKTYLAHKLAEYVTTK
+SGRKKTEDAIATFNVDHKSSKELQQYLANLAEQCSADNNGAELPVVIILDNLHHVGSLSD
+IFNGFLNCKYNKCPYIIGTMNQGVSSSPNLELHHNFRWVLCANHTEPVKGFLGRYLRRKL
+IEMEIERNIRNNDLVKIIDWIPKTWHHLNSFLETHSSSDVTIGPRLFLPCPMDVEGSRVW
+FMDLWNYSLVPYILEAVREGLQMYGKRAPWEDPSKWVLDTYPWSSASLPQEGPALLQLRP
+EDVGYEGCISTKEATTSKHIPQADTEGDPLMNMLMKLQEAANYSGTQSCDSDSTSHHEDI
+LDSSLESTL
+>tr|A0A3Q1NK51|A0A3Q1NK51_BOVIN Collagen type IV alpha 6 chain OS=Bos taurus OX=9913 GN=COL4A6 PE=4 SV=1
+MHPGLWLLLVTLCLTEELAGAGEKKPYGKPCGGQDCSGGCKCSPEKGARGRPGPIGIQGP
+TGPQGLPGPSGLSGLKGERGSPGPLGPYGPKGDKGPMGVPGFLGINGIPGHPGQPGPRGP
+PGLDGCNGTQGAVGFPGPDGYSGLPGPPGLPGQKGSKGEPVLAQGSFKGMKGESGLPGLD
+GITGPQGAPGSPGAVGPRGPPGLQGPPGPPGFPGPDGNMGLGFQGEKGVKGDVGLPGPAG
+PPPSTGELEFMGFPKGKKGSKGEPGPQGFPGVSGPPGLPGFGIAGEKGEKGIPGLPGPRG
+PMGLEGVQGPPGSQGRKGSSGFPGLNGFPGIKGEKGGIGLPGPDIFIDTDGAVISGYPGD
+PGMPGLPGLKGDEGIQGLPGPPGAPGLPALPGGPGALGPQGALGLKGERGNTGRTTTGAA
+GLPGRDGLPGLPGLPGPPGAASETGTLQSTEPGSPGLRGERGPKGHPGLKGIKGDPGFCA
+CDGGVPNMGPPGEPGLPGPPGLLGLPGLKGTKGDPGSGGAQGPSGDPGVFGPRGPTGPKG
+KKGDPTLSTRSGMPGEQGDPGPQGLPGETGAPGKDGIPGLPGLPGLLGDGAQGFPGEKGL
+PGLPGEKGHTGPTGPPGIGLPGAPGPRGLPGDKGVDGLPGQPGPRGVPGDCCCKEEVGKT
+DLDTEGGITLPCIIPGPYGPSGLPGAPGFPGPKGARGLPGAPGQPGLRGNKGDPGSPGLV
+HLPELPGFPGPHGEKGLPGFPGLPGKDGLPGKVGSPGLPGPKGAPGDILGAENGAPGEQG
+LQGLPGDRGFPGDSGLPGLKGLLGKSGLLGPKGERGSPGIPGRVGQPGPPGPSGLFGIKG
+KPGLRGPPGFPGISGYPGKKGPRGEIGLPASAGKRGLPGLKGLPGLPGPIGFLGNSGLPG
+ATGLPGLPGLKGEKGSVGLTGFPGIPGLPGIPGASGLKGIPGSTGRVGPSGWAGSPGEKG
+DRGDPGPFGIPSHRPPMLNLRFKGDKGSQGSPGAIGFPGPRGDKGEAGHPGPPGLPGAPG
+FPSLIKGLSGRPGPPGSTGLRGLPGLKGYPGIAGFQGIPGESGSQGLSGVPGLPGASGLP
+GLKGDQGQTLGISGSPGPKGQPGESGFKGVKGKDGPVGDVGFPGNKGEDGKVGISGDVGL
+PGSLGLPGVAGMRGNPGLPGSPGHPGATGPLGPPGLIGTKGFPGLPGLHGLNGLPGTKGT
+HGTPGPSITGVPGPAGLPGPKGEKGSPGIGIGAPGKPGIRGPKGSQGFPGLQGAAGLPGA
+LGLSLPSVIEGQPGDPGRPGLDGERGRPGPPGPPGPPGPASDQGDPGDPGFPGIPGPQGP
+KGDQGIPGFSGLPGELGLKGMRGEPGFMGTPGKVGPPGDPGLPGMKGKAGPRGLSGPRGA
+PGHTPIAEAIQAPPGPMGLPGIDGIPGLMGDPGVQGPVGLQGSKGLPGIPGKDGASGLPG
+PPGALGDPGLPGLQGPPGFEGAPGKPGPFGRAGVPGQSLRVGYTLVKHSQSEQVPLCPSG
+MSQLWVGYSLLFVEGQEKAHNQDLGFAGSCLPRFSTMPFIYCNINEVCHYARRNDKSYWL
+STTAPIPMMPVGQTQIPQYISRCSVCEAPSQAIAVHSQDITIPQCPLGWRSLWIGYSFLM
+HTAAGAEGGGQSLVSPGSCLEDFRATPFIECSGARGTCHYFANKYSFWLTTVEERQQFGE
+EPVSETLKAGQLHTRVSRCQVCMKSV
+>tr|E1BIH3|E1BIH3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=2
+MRHRSGAVRWVPSPPVPQPPAPVFSLQARPHLHRMRLQGSSVPPALWVLGCLTLLLWLWV
+LCTTCNRKQARRQPSRLQGSAMPAEVSLLRRPHCSLSKSDTRLHELHRSRPCSRAPRPAS
+MDLLRPQWLEASRGITRPPTPFSHQELPLAAPSTGPEATYSNVGLATIPRARLAVSSGVW
+AGARLTSSYARPGPEARPVVAEYACVQKFRGTDRGPQGLGQGKVEAIPPTQVDILYSRVN
+KPKRRDPGPATDQPDPKGGGAILALGSDPAYEVLPLGGLGMDKSLLENVYESIQEMGAPL
+EPPSSSSYQERTCAGHRDTLLPV
+>tr|F1N222|F1N222_BOVIN Protein SDA1 OS=Bos taurus OX=9913 GN=SDAD1 PE=3 SV=3
+MSSRNNNKLPSNLPQLQNLIKRDPPAYVEEFLQQYNHYKSNVEIFKLQPNKPSKELAELV
+MFMAQISHCYPEHLSNFPQELKDLLSYNHTVLDPDLRMTFCKALILLRNKNLINPSSLLE
+LFFELLRCHDKLLRKTLYTHIVTDIKNINAKHKNNKVNIVLQNFMYTMLRDSNATAAKIS
+LDVMIELYRRNIWNDAKTVNVITTACFSKVTKILVAALTFFLGKDEEEKQDSDSESEDEG
+PTARDLLVQYATGKKSSKNKKLEKAMKVLTKHKKKKKPEVFNFSAIHLIHDPQDFAEKLL
+KQLESSKERFEVKMMLMNLISRLVGIHELFLFNFYPFVQRFLQPHQREVTKILLFAAQAS
+HHLVPPEIIQSLLMTVANNFVTDKNAGEVMTVGINAIKEITARCPLAMTEELLQDLAQYK
+THKDKNVMMSARTLIQLFRTLNPQMLQKKFRGKPTEASIEARVQEYGELDAKDYIPGAEI
+LEVENEEENAEGDEDGWESASLSDEADSDGEWVDVHHSSDEEQKEISEKLNSMPLEERKA
+KAAAVSTSRVLSQEDFQKIRMAQMRKELDAAPGKAQKRKYIEIDSDEERRGELLSLRDIE
+RLHKKPKSDKETRLATAMAGKTDRKEFVRKKTKMNPFSSSTNKEKKKQKNFMMMRYSHNV
+RSKNKRSFREKQLALRDALLKKRKRMK
+>tr|F1MIA0|F1MIA0_BOVIN MRN complex-interacting protein OS=Bos taurus OX=9913 GN=MRNIP PE=4 SV=1
+MAPPQQARVLRCCCCSLFQAHQVKKSLKWTCKACGKKQSFLQAYGEGSGADCRRHVQKLN
+LLQGQISEMSLRSLGESISANEEENVGPWQAARASPQETLQPSKNRWLKYLERDSKELGL
+KGGGVCFNSQPSSETEKPDPPFSTGLPRKRKWNQSTVQPLCGPHVQDSRNCEVTLKSLKA
+RPLHPTWGARSSPDCSTWDLPCISEELPPSFTQDRAGLAGKGRESSREDLDTTELVPRGE
+PPCPAQQVRTMSKWEQCLGNSSHLDTEPLTPLQRGLRPIQAAQAEQGAPRAQTPREGGLC
+RLPGARQSPQTTHTPMPGPKWLCGRIPEQSQGIGPWAEGVPLVKGMQARSSLMRLCDLFK
+TGEDFDDDL
+>tr|E1BBM2|E1BBM2_BOVIN Fukutin OS=Bos taurus OX=9913 GN=FKTN PE=4 SV=3
+SEHCKLMSRISKNVILVVLTLTSSAFLLFQLYYYKHYLSAKNGSGLSKSKGSRIGFDGMQ
+WRAVKKFIMLTSSQNVRVFLIDPLILELINKNFEQVKNTSHASSTSECKVFCVPRDFTTF
+ALQYQLWKNEEGWFWIAENMGFQCLKIESKDPRLDWIDSLSGTEIPLHYICKLASHAIHL
+VVFHERSGNYLWHGHLRLKGHVDRKFVPFRKLQFGRYPGAFDRPELQQITVDGLDVLIPK
+DPVHFLEEIPHSRFIECRYKEARAFFQQYLDDNTVEAMTFQKRAKELLQLAAKTLKKLGV
+RFWLSSGTCLGWFRQCSIIPYSKDVDLGIFIQDYKSDIISAFQDAGLPLKHKFGKVEDSL
+ELSFQGKDDVKLDIFFFYEETDHMWNGGTQAKTGKKFKYLFPKFTLCWTEFVDTKFRVPC
+ETVEYIEANYGKTWKIPVKTWDWKRSPPNVQPNGVWPVSEWDEVIQLY
+>tr|A0A3Q1MSX8|A0A3Q1MSX8_BOVIN Solute carrier family 2, facilitated glucose transporter member 5 OS=Bos taurus OX=9913 GN=SLC2A5 PE=3 SV=1
+MEPQDPVKREGRLTPVIVLATLIAAFGSSFQYGYNVAAINSPSEFMKDFYNYTYYDRVGE
+YMNEFYLTLLWSVTVSMFPFGGFLGSLMVGPLVNNLGRKGTLLFNNIFSIVPALLMGFSE
+LAKSFEMIIVARVLVGICAGLSSNVVPMYLGELAPKNWRGALGVVPQLFITIGILVAQIF
+GLRSLLANEEGWPILLGLTGIPAVLQLLFLPFFPESPRYLLIQKKDEAAAKSALRRLRGW
+HDVDAEIEEILEEDRAEKAVGFISVLKLFKMRSLRWQVISIIVLMAGQQLSGVNAIYYYA
+DQIYLSAGVNEDDVQYVTAGTGAVNVLITVCAIFVVELMGRRFLLLLGFSVCFTACCVLT
+GALALQDVISWMPYVSIACVISYVIGHALGPSPIPALLVTEIFLQSSRPAAYMVAGTVHW
+LSNFTVGLVFPFIQVSVTQGPRGTRYSSSKLRTFSKMWTLSPPTGGPRSLQLRHFCGDMS
+SHHRLHLPDHP
+>tr|E1BNW0|E1BNW0_BOVIN Casein kinase I isoform gamma-1 OS=Bos taurus OX=9913 GN=CSNK1G1 PE=3 SV=2
+MDHPSREKDERQRTTKPMAQRREHCSRPSGSTSSGVLMVGPNFRVGKKIGCGNFGELRLG
+KNLYTNEYVAIKLEPIKSRAPQLHLEYRFYKQLGSAGEGLPQVYYFGPCGKYNAMVLELL
+GPSLEDLFDLCDRTFTLKTVLMIAIQLLSRMEYVHSKNLIYRDVKPENFLIGRQGNKKEH
+VIHIIDFGLAKEYIDPETKKHIPYREHKSLTGTARYMSINTHLGKEQSRRDDLEALGHMF
+MYFLRGSLPWQGLKADTLKERYQKIGDTKRNTPIEVLCENFPEEMATYLRYVRRLDFFEK
+PDYEYLRTLFTDLFERKGYTFDYAYDWVGRPIPTPVGSVHVDSGASAITRESHTHRDRPS
+QQPLRNQVVSSTNGELNVDDPTGAHSNAPITAHAEVEVVEEAKYVHLSRGLLSYRLKNLF
+SVFSSGVLLISDWIPHACAHCFLPLTILFCAWYLSCCHPSLAVISGNTVTFSSPHG
+>tr|A0A3Q1LV15|A0A3Q1LV15_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MARARVSPEKPGSRRRRLVLKPSQKDALQALFQQNPYPGIATRERLARELGIDESRVQVW
+FQNQRRRRSKQSRPPSEHVRQEGEGGPTEARRKRTVISPSQTRILVQAFTRDRFPGIAAR
+EELARQTGIPEPRIQIWFQNRRARHPQRSPSGPGNGRAQGPGGAPATTTTPAPEDRRAPP
+APLMIFVVQPSPAALQPSGRPPPPPQGAAPWAACSPAVTAPGLPGQGAILPPGQPETHIP
+RWPESPSGEGTAPPLEPQPQAPSLPSSTSLLDELLAATGVPDTQAPSPGAAADEGVGPAL
+PGAPSFLDELLAATGTPDTPGPSLGPSADERAHLALPGELLAAAGLPGSPGPSPGSSPVV
+AGPHPALPGPPSLLEEILAATAIQDTPWSSPGSPAGEEGVEATLETPLSEDEYQALLDML
+PGSPGPGA
+>tr|A0A3Q1MC87|A0A3Q1MC87_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=WC-7 PE=4 SV=1
+MALGRHVSLRGLCVLLLGIVVGGQVLELRLKDGAHRCEGRVEMKYKGEWGTVYTEYWLIE
+SIEVVCRRLECGVAIDAPRGSYFGPRLGPIWFLYVSCESREVESTLSLSECVHIDFKNYN
+DTFSHDQDIGAVCSGSVRLFGGDRPCSGRVEVHSGKAWIPVSDGNFTLSTAQVICAELGC
+GKAVSVLKHMPFRESDGQVWAEEFRCEGEEPELRVCPRVPCPGGMCHHSGAAQIACSAYL
+EVRLMTNGSSQCEGQVEMNISGRWRALCASHWSLANANVVCRQLGCGVAISTPRGPHLVG
+RDDQISTVRFHCLGAESFLWSCPVTALGGSGCSHGNTASVICSGNQTQVLPQCSDSLSEP
+TGSAASENSAPYCSDSRQLRLVDGGGHCAGRVEILDQGSWGTICDDGWDLDDAHVVCRQL
+GCGQALNATRSAHFGAGSGPIWLDDLNCTGKESHVWRCPSRGWGQHDCRHKQDAGVICSE
+FLALRMVSEDQQCAGWLEVFYNGTWGSVCRSPMEDITVSMICRQLGCGESGTLNSSVGLR
+EGSRPQWVDGIRCQKSDTSLWQCPSDPWNYTSCSPKEEAYILCEDSRQLRVVDGRGPCAG
+RVEILDQGSWGTICDNGWDLDDARVVCRQLGCGDALSAMGSAHFGAGSGRILLNTLDCRG
+KESHVWKCPSQGWRVHNCSHENDAGVVCSGFVRLVGDDGPCSGRVEVRPGEDWTPVSDGN
+FTLPTAQVICAELGCGEAVSVLGHELFRESDGQVWAEEFRCEGEERKLWWCPRVPCPGGT
+CHHSGAAQVVCSAYSEVRLMTNGSSQCEGQVEMKISGRWRALCASHWSLANANVVCRQLG
+CGVAISTSRGPHLVERGGQISTVRFHCSGAESFLWSCPVTVLGGPDCSHGNTASVTCSGN
+QTQVLPQCNDAVSEPAGSVASEESAPYCSDSRQLRLVDGGGPCAGRVEILDQGSWGTICD
+DGWDLDDAHVVCRQLGCGQALNATRSAHFGEGSGPIWLDDLNCTGKESHVWRCPSRGWGR
+HDCRHKEDAGVICSEFLALRMVSGDQECAGWLEVFYNGTWGSVCRSPMDDVTVSILCSQL
+GCGDSGSLNTSVGLREGSRPHWVDGIQCQRTDASLWQCPSDPWNYNSCSPKEEAYISCAV
+RRPKSCPTAAPCTDREKLRLRGGDSECSGRVEVWHSGSWGTVCDDSWSLAEAEVVCQQLG
+CGQALEAVRAATFGPGNGSIWLDEVRCGGRESSLWECAAEPWGQSDCKHEEDAGVRCSGV
+RTTLPTTTAGTRTTSNPLPGIFSLPGILCLILGALLFLVLIILVTQLLRWRAERRALSSY
+EDALAEAVYEELDYLLTQKEGLGSPDQRTDVPAENYDDAEEVPVPGTPSASQGSEEEVPP
+EKEDGVRSSQTGSCLNFSREAADPGQGEESFWLLQGKKGDAGYDDVELSALGTSPVTFS
+>tr|F1N087|F1N087_BOVIN G_PROTEIN_RECEP_F1_2 domain-containing protein OS=Bos taurus OX=9913 GN=LOC615150 PE=3 SV=2
+LSNQNYSMMSEFILIGFSNFPQQLLPIFFLLYLLMYLFTLLGNLLIMGTIWREHSLHTPM
+YLFLCALSISEILFTVAITPYMLVHMLSTHHSITFVACASQMFFSFTFGFTHSFLLMIMG
+YDRYVAICHPLRYNVLMSTRDCARLVSWCWAGGSVMGMMVTLIVFHLSFCGSNEIHHFFC
+HVLSLLKLACGKGTASVTMVVIMVCVTALMGCLFLIILSYVFIVAAILRIPSTEGRHKTF
+STCVSHLTIVVVHYGFASLIYLKPKGAHSMDSNTLLATTYTIFTPFLSPIIFSLRNKELK
+NAIKRTFCRTFCPLSS
+>tr|F1MC13|F1MC13_BOVIN Laminin subunit alpha 5 OS=Bos taurus OX=9913 GN=LAMA5 PE=4 SV=3
+MAKPGARPRDSWGPAPLLLAGLALLGAARARAAGGGFSLHPPYFNLAEGARIAASATCGE
+EAPARGAPRPTEDLYCKLVGGPVAGGDPNQTIQGQYCDICTAASSNRAHPVSNAIDGTER
+WWQSPPLSRGLEYNEVNVTLDLGQVFHVAYVLIKFANSPRPDLWVLERSTDFGHTYQPWQ
+YFASSKRDCLERFGLRTLERITQDDDVICSTEYSRIVPLENGEIVVSLVNGRPGAMNFSY
+SPLLRDFTKATNIRLRFLRTNTLLGHLMGKALRDPTVTRRYYYSIKDISIGGRCVCHGHA
+DVCDAKDPTDPFRLQCACQHNTCGGSCDRCCPGFNQQPWRPATTDSANECQSCNCYGHAH
+DCYYDPEVDRRNASQNQDQVYQGGGVCIDCQHHTTGINCEQCLPGFYRSPDHPLDSPHAC
+RRCNCESDFTDGTCEDLTGRCYCRPNFTGARCDACAEGFTDFPHCYREGVRCRDPGQCLP
+EGPAGGALLCGCSPVGTLPEGCDDAGRCLCRPEFNGPHCDPTCQECSPGFHGFPDCAPCH
+CSPEGSLHAACDPHSGQCSCRPRVTGLRCDMCVPGAYNFPYCEGGAAGSCHPAGPTLTLS
+LIVSQAQAPCTCRAHVEGPSCDRCKPGFWGLSPSNPEGCTRCNCDPRGTLGGAAQCQLGN
+GQCFCKPHVCGQTCAACKDGFFGLDQADYFGCRSCRCDVGGALGQSCELRTGACMCRPNT
+QGSTCSEPAQDHYLPDLHHLRLELEEAATPEGHAVRFGFNPLEFENFSWRGYAQMTPIQV
+RLNLTSPDLFRLVFRYVNRGPTSVSGRVSMRDQDNQPVAFPPSTEPAFVTVPQRGLGEPF
+VLNPGAWALLVEAEGVLLDYVVLLPSAYYEAALLQLRVTEPCTFRPDAQRSGDNCLLYTH
+LPLDGFPSAAGPEALCRHDNSLPRPCPTEQLSPAHPPLVVCWGTDVRVPGAPWGQVGSSP
+GEACLWSGGWGSVLQKGHGSGSGAGGLAGAAGWWRGHRSSPVYPLPQCCLRALPLPEAAS
+ARAPQRLPGAAAATRPPADPLAGANTGRTPTWAPAPAPHCRGPRRRAHAAAPPPGEGPAR
+THSLVGTLPLLVWAHRSLSLQGTVVFTTHVPALGRYAFLLHGYQPAHPTFAVEVLINGGR
+VWQGHANASFCPHGYGCRTLVVCEGRAILDVTDGELTVTVRVPEGRWLWLEYVLVVPEEA
+YSSSYLREEPLDKSYDFISQCATHGYHISPSSSSAFCRSAATSLSLFYNNGARPCGCHEV
+GAMSPTCEPFGGQCPCRAHVIGRDCSRCATGYWGFPSCRPCDCSGRLCDELTGQCICPPR
+TIPPDCLVCQPQTFGCHPLVGCEECNCSGPGVQELMDPTCDADSGQCKCRPNVAGRRCDT
+CAPGFHGFPSCRPCDCHEAGSAPGTCDPLTGQCYCKENVQGPRCDQCRLGTFSLDAANPK
+GCTRCFCFGATDRCRSSTYARREFVDMEGWTLLSGDRQVVPHKRQEALMLRADLQRVPEA
+IPELYWQAPPSYLGDRVSSRVSPGRAPREDPGPSGASWPSSAHVPPQGNQMSITFLEPVY
+PAPGDVHHGALQLVEGNFRHMETHSTVSREELMMVLAGLEQLHIRALFSQASSAVSLRRV
+ALEVASEMGGGPPASNVELCMCPANYLGDSCQECAPGYYRDVKGLFLGRCIPCQCHGHSD
+RCLPGSGVCIGCQHNTEGDHCERCQAGFVRTGSEDPAAPCISCPCPLAVFATGCILRGGR
+TQCLCKPGYAGASCERCAPGFFGNPLVLGSSCQPCDCSGNGDPNMLFSDCDPLTGTCRGC
+LRHTTGPRCESCAPGFYGNALLPGNCTRKWGGQGQGVSPPSRLCPGLSPAWMVEQGWGRG
+SPLTYPSPQEYEHLAASLDGARTPLLEKMHAFSPASSKLELVEAAEAHAWQLDQLALNLS
+RYGTQTGWVGQSWFARALRWSRHCSCRAGQKLGPRFADRSRKGTLGAEPPAGPRGTQCQP
+GSVSQYRPRAGAHRPGPWCRQQGGCECQGQVGIWETRRWTLMGRVSPQVKVPMKFNGSSG
+VQLRTPRDLTNLASSTALKFYLQSPEPTAGQVTGDQFVLYMGGRQVAGGLCGLGGAGLWP
+RVHTSPPTSALRILQFGRMSVTVENRMVQETKGDTVAPGAEGLLNVQPDDFVFYVGGYPS
+NFTPPEPLRFPGYRGCIELDTLNEEVVSLYNFEKTFQLDTAVDKPCARYVWSEPTLAPTL
+QLSNTKRFDQELRLVSYSGIIFFLQHQACPLSMPLPYPHHPRPPRQLSTPSPLPRWLTEL
+KTQERFDDGAPHYVTFYSNSTGLLGPQRVFDLQENLGSFNVSSGCAPAPRTQLLEQVPQG
+LRATVSRKVGYRRGVGGGAGVGRKPPPHVPAPPSDPPQAARRSRQPAQDSACLPPGSLRT
+MRDTYQFGGPLTSYLEFGRGVRFVAQTEGPGPQLQVQSRQRSRTGQWHTVRDWERGHGAG
+GRGGHLGTPTLMCLSPPPGVRALGEKSDPFRGCVKRLRLDGRLLRAPTRMVGVTPCFSGP
+LEKGLFFTGSGGTVTLGLSLTGALPVLLGWPGGLQVLGKQVLLWANDGAGEFSTLVTHPA
+ALCDGRWHRLAGEPGLCSNWALSSSPSEPWKRPHYNGCMRNLVLNQVSVTWPRTAGVQGA
+VGASGCPAT
+>tr|A0A3Q1N0B5|A0A3Q1N0B5_BOVIN COX6C domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MSTALAKPQMHGLLAKRLQFHIVGAFMVSLGFATFCKFSVAEKRKKAYADFYRNYDSMKD
+FEEMRKPWDFFGRNDAKAETPVLWPPHKKS
+>tr|F1N0Y8|F1N0Y8_BOVIN Phosphodiesterase OS=Bos taurus OX=9913 GN=PDE2A PE=3 SV=2
+MRRQPAASRDLFAQEPVPPGSGDGALQETVYTYLLDGESRLVCEEPPHELPQEGKVREAV
+ISRKRLGCNGLGPSDLPGKPLARLVAPLAPDTQVLVIPLVDKEAGAVAAVILVHCGQLSD
+NEEWSLQAVEKHTLVALKRVQALQQRESSVAPEATQNPPEEAAGDQKGGVAYTDQDRKIL
+QLCGELYDLDASSLQLKVLQYLQQETQASRCCLLLVSEDNLQLSCKVIGDKVLEEEISFP
+LTTGRLGQVVEDKKSIQLKDLTSEDMQQLQSMLGCEVQAMLCVPVISRATDQVVALACAF
+NKLGGDLFTDQDEHVIQHCFHYTSTVLTSTLAFQKEQKLKCECQALLQVAKNLFTHLDDV
+SVLLQEIITEARNLSNAEICSVFLLDQNELVAKVFDGGVVEDESYEIRIPADQGIAGHVA
+TTGQILNIPDAYAHPLFYRGVDDSTGFRTRNILCFPIKNENQEVIGVAELVNKINGPWFS
+KFDEDLATAFSIYCGISIAHSLLYKKVNEAQYRSHLANEMMMYHMKVSDDEYTKLLHDGI
+QPVAAIDSNFASFTYTPRSLPEDDTSMAILSMLQDMNFINNYKIDCPTLARFCLMVKKGY
+RDPPYHNWMHAFSVSHFCYLLYKNLELTNYLEDMEIFALFISCMCHDLDHRGTNNSFQVA
+SKSVLAALYSSEGSVMERHHFAQAIAILNTHGCNIFDHFSRKDYQRMLDLMRDIILATDL
+AHHLRIFKDLQKMAEVGYDRTNKQHHSLLLCLLMTSCDLSDQTKGWKTTRKIAELIYKEF
+FSQGDLEKAMGNRPMEMMDREKAYIPELQISFMEHIAMPIYKLLQDLFPKAAELYERVAS
+NREHWTKVSHKFTIRGLPSNNSLDFLDEEYEVPDLDGARAPINGCCSLDAE
+>tr|E1BNW4|E1BNW4_BOVIN Transmembrane channel-like protein OS=Bos taurus OX=9913 GN=TMC4 PE=3 SV=3
+MEERPPWDPEAWGSVEGRPAPRAARTGPSLSSVLNELPSAATLRYRGPGVLPWGSEEAED
+EEAWRSMQTSADAALQEQPEPGASRELPWPMLARRAHRQRLARDRVAQGAGSTGAQWTRL
+IRRSKEKVREGLRSLQPWAWTLKRIGGQFGAGTESYFSLLRFLLLLNVLASVLTACMVLL
+PTWLEGTPPGPPAPNASSPCGSYNPGSHGLVPFATELFNLLSGEGFLEWSPLFYGFYPPR
+PHLAITYLCAAFAIGLLYLLLILHRSVSGLKQTLLAESEALTRYSHRVFSAWDFGLSGEV
+HVRLRQRLILYELQVELEEARVRRRAAVRTLGQQARLWSVRLLLNLVVLALLGAAFYGIY
+WATGATVGLQDKPLIQRTPVLKLVVDYLPSIFISLVNFVLPPVFKLIAPLEGYTRSRQIV
+FILLRTVSLRLVSLLVLLFSLWNEITCGGDAEDERCKTCGYNYKELPCWETRMGQEMYKL
+LLFDLLTGLAVILLYQFPRKLLCGLCPGALGRFARTQEFQVPDEVLGLIYAQTVVWVGSF
+FCPLLPLLNTVKFLLLFYLKKITLFSTCSPASRTFRASTVNFFFPLVLLLGLAISAVPVL
+YSIFLIPPSKLCGPFRGQSSIWVVIPASIRELPQTAQNVLFFLGTQAFAVPLLLISSILM
+AYTVALANSYGRLISELKRQIQTEAQNKVFLAQRAVALSSADRTL
+>tr|F1N3W9|F1N3W9_BOVIN Prolyl 4-hydroxylase, transmembrane OS=Bos taurus OX=9913 GN=P4HTM PE=4 SV=3
+MAAAAGPRPEAPSPQWPPRHHDSAEAAARLSDCEDAPVRPLCKPRGICSRAYFLVLMVFV
+HLYLGNVLALLLFVHYSNGDESSDPGPQRRAQGPGPAPTLAPLTRLEGIKVGHERKIQLV
+ADRDHFIRTLSLKPLLFEIPGFLSDEECRLVIHLAQMKGLQRSQILPTEEYEEAMGTMQI
+SLLDLFQLLDQNHDGRLQLREVLAQNRLGNGRWMTPETIQEMYSAIKADPDGDGVLSLQE
+FSNMDLRDFHKYMRSHRAESSQLVRNSHHTWLYQGEGAHHVMRAIRQRVLRLTRLSPEIV
+ELSEPLQVVRYGEGGHYHAHVDSGPVYPETICSHTKLVANESVPFETSCRYMTVLFYLNN
+VTGGGETVFPVADNRTYDEMSLIQDDVDLRDTRRHCDKGNLRVKPRQGTAVFWYNYLPDG
+QGWVGDVDDYSLHGGCLVTRGTKWIANNWINVDPSRARQALFQQEMARLAGEGGADSQPE
+WALDRAYRDTRVEL
+>tr|G5E571|G5E571_BOVIN Golgi SNAP receptor complex member 1 OS=Bos taurus OX=9913 GN=GOSR1 PE=3 SV=1
+NFVKDLRKQARQLENELDLKLVSFSKLCTSYSHSSARDGRRDRYSSDTTPLLNGSSQDRM
+FETMAIEIEQLLARLTGINDKMAEYTSSAGVPSLNAALMHTLQRHRDILQDYTHEFHKTK
+ANFVAIRERENLMGSVRKDIESYKSGSGVNNRRTELFLKEHDHLRNSDRLIEETISIAMA
+TKENMTSQRGMLKSIQSKMNTLANRFPAVNSLIQRINLRKRRDSLILGGVIGVCTILLLL
+YAFH
+>tr|F1MW16|F1MW16_BOVIN G protein-coupled receptor 137C OS=Bos taurus OX=9913 GN=GPR137C PE=4 SV=2
+MRVSVPGPAAGREPSTPGGGGGDAVAAASGAAVPGSVQLALSILHALLYAALFAFAYLQL
+WRLLLYRERRLSYQSLCLFLCLLWAALRTTLFSAAFSLSGSLPLLRPPAHLHFFPHWLLY
+CFPSCLQFSTLCLLNLYLAEVICKVRCATELDKHKILLHLGFILASLLFLVVNLTCAMLV
+HGDVPENQLKWTVFVRALINDSLFILCAISLVSYICKITKMSSANVYLESKGMSLCQTVV
+VGSVVILLYSSRACYNLVVITISQDTLESPFNYGWDNLSDKAHVKDISGEEYIVFGMVLF
+LWEHVPAWSVVLFFRAQRLNQNLAPAGMINSHSYSSRAYFFDNPRRYDSDDDLPRLGSSR
+EGSLSNSQGLGWYGTMTGCGSSSYTVSPHLNGPLTDTAPLLFTCSNLDMNSHHSLYVTPQ
+N
+>tr|A0A3Q1MTV7|A0A3Q1MTV7_BOVIN UTP20, small subunit processome component OS=Bos taurus OX=9913 GN=UTP20 PE=4 SV=1
+MKPKPVSHKTENTYRFLTFAERLGNVNIDIIHRIDRTASYDEEVETYFFEGLLKWRELNL
+TEHFGKFYKEVIDKCQSFNQLVYHQNEIVQSLKTHLQIKNSYAYQPLLDLVVQLARDLQT
+DFYPHFQDFFLTITSILETQDTELLEWAFTSLSYLYKYLWRLMVKDMSNIYSMYSTLLAH
+KKLHIRNFAAESFTFLMRKVSDKNALFNLMFLDLDEHPEKVEGVGQLLFEMCKGVRNMFH
+SCTEKAIKLILQKLGPVTETEAQLPWILVGETLKNMVKSTALYIYKEHFGIFFECLQESL
+LDLHTKVTETNCGESSEQIKRLLETYLILVKHGNGSKITKPVDVCKVLSQTLQTAHLSTS
+CRETLLGVVSALILGENVSLPETLIRETIEKVFESSFERHLILDFSEVMFTMKQFEQLFL
+PSFLLYIENCFLTNDAAVRDEALAILAKLILNKAAPPTTGSMAIEKYPLLFSQQTVGSYI
+RQKKTKSKEGKEQISVLEHLLSIIQSPPNQDTTYLSCSWAALVVLPHIRPLEKEKVIPLV
+TYFIESLFMTVDRGSFGKGNLFVLCQAVNTLLGLEESSELLRLVPVERVKNLVLTFPLEP
+SVLLLADLYYQRLSLCGCRGPLSEEALMELFPKLQANISTGISKFLSFRLLSTVNDYREK
+LLHLRKLRHDVVQSVVPDGPLQEVPLRYLLGMLYVNFSALWDPVIELISSYAHGMENKQF
+WKVYYEHLEKAATHAEKELQNDTRDEEKSIGDANWEQTQEGNVGALYHKQLTLKTALQER
+LDHTNFRFLLWRALAKFPERVEPRSRELSPLFLRFINNEYYPADLQVAPTQDLRRKGRGL
+AIEEVEEETAARDDDELEEELGPQEEPAQKKKTRRAAAKQLIAHLQVFSKFSNPRALYLE
+SKLYELYLQLLLHQDQMVQKITLDCILTYKHPHILPYRENLQRLLEDRNFKEEIVHFSIS
+EDNTVVKAAHRAELFPILMRILYGRMKNKTGSKTQGKSASGTRMSIVLRFLAGTQPEEIE
+IFLDLLFEPVKHFRNGECHTAVIQAVEDLDLSKVLPLGRQHGILNSLEIVLKNISHLISA
+YLPKILQLLLCMTATVSHILDQREKIQLRFINPLKNLRRLGIKMVTDIFLDWESYPFRTE
+EIDAVFHGVVWPQISRLGSESQYSPTPLLKLISIWCRNARYFCFLAKQKPGHPECDILTN
+VFAILSAKNLSEATASLVMEIADDLLSLPDFEPSEAVLSLPVTGCVYTESADESITTGGR
+LILPHVPAILQYLSKTTISAEKLKKKKNRAQVSKELGILSKISKFVKEKEQSSLLITLLL
+PFLYRGSVAQDTEIDILVTVQNLLKHCLEPTSFLKPLAKLFSVIKNKLSRQLLCTVFQTL
+SDFESGLTYITDVVKLNAFDQRHLDDINFDVRFSAFQTITSYIKEMQTVDMNYLIPVMHN
+CFYNMELGDMSLSDNASMCLMSIIKKLAALNVTEKEYREIIHRSLLEKLRKGLKSQTETI
+QQDYTTLLSCLIQTFPNQPEFEDLVQLTDCHDPEMDFFENMKHIQIHRRARALKKLAKQL
+MEGKVVLSSKSLQNYIMPYAMAPVFDEKMLKHENITIAATEVIGAVCKHLSWSAYVYYLK
+HFIHVLQAGQINQKLGVSLLVIVLEAFHFDHKTLEEQMRKLQNEEDTTEVIELPEHEAME
+LEHMDEDEKEDECNKTLLDKNEEPENPDPADHEGTAAKESECITKSISFLPRNKEELERT
+IKNIQGTITGDILPRLHKCLASTTKRDEEHKLIKSKVVNDEEVVRVPLAFAMVKLMQSLP
+REVMETNLPSILLKVCALLKNRAQEIRDIARSTLAKIIEDLGVHYLQYILKELQTTLVRG
+YQVHVLTFTVYMLLQGLTNKLQVGSLDSCLDIMIEIFNHELFGAVAEEKEVKQILSKVME
+ARRSKSYDSYEILGKFVGKDQVTKLILPLKEILQNTTSLKLARKVHETLRRIISGLLVNQ
+EMTAESILLLSYGLVSENLPLLTQKEKNPAAPAPDPRLPPQSCLLLPPTPVRGGQKAVVS
+KKTNMHIFIESGLRLLHLSLKTSKVKSSSEHVLEMLDPFVSLLIDCLGSMDVKVITGALQ
+CLIWILRFPLPSIETKAEQLTKHLFILLKDYAKLGAARGQNFHLVVNCFKCVTILVKKVK
+SYQITQKQLQVLLAYAEEDIYDTTRQATAFGLLKAILSRKLLVPEMDDVMRKVSKLAISA
+QSEPARVQCRQVFLKYILDYPLGDKLRPNLEFMLAQLTYEHETGRESALEMIAYLFDTFP
+QGLLHENCGMFFIPLCLMMVNDDSAMCKKMASMAIKSLLSKISLEKKDWLFDMVTTWFGA
+KKHLNRQLAALICGFFAESEGVNFERRLGIVLPVIEKEIDPENFTDIMEETEEKAADRLL
+FSFLILISKLIKECNIIQFTKPSETLSKIWSHVHSHLRYPHSWVWLTAAQIFGLLFASCQ
+PEELIRKWHVKKTKKKVSESVAIRFLTSDLEQKMKSISLASCHQLHSKFLDQSLGEQVVK
+NLLFVAKVLYLLEPDSGDIKEDTEEQEVLGDGMAGEGVEEHKACADEKEEHSRPATLLWL
+IQKLSRIAKVEAAYSPRNPLKRTCIFKFLGAIAMDLGIDKVKPYLPVIIAPLFRELNSTY
+SEQDPSLKNLSQEIIELLKKLVGLESFSLAFASVQKQATEKRALRKKRKALEFVTNPDIA
+AKKKLKKHKNKSEAKKRKIEFLRPGYKAKRQKSHSLKDLAMVE
+>tr|E1BC20|E1BC20_BOVIN Ladybird homeobox 1 OS=Bos taurus OX=9913 GN=LBX1 PE=4 SV=1
+MTSKEDSKAAPGEERRRSPLDHLPPPANSNKPLTPFSIEDILNKPSVRRSYSLCGAAHLL
+AAADKHAPGGLPLAGRALLSQTSPLCALEELASKTFKGLEVSVLQAAEGRDGMTIFGQRQ
+TPKKRRKSRTAFTNHQIYELEKRFLYQKYLSPADRDQIAQQLGLTNAQVITWFQNRRAKL
+KRDLEEMKADVESAKKLGPSGQMDIVALAELEQNSEAAGGGGGGGGGRAKSRPGSPALTT
+GAPQAPGAGPLQLSPASPLTDQPASSQDCSEDEEDEEIDVDD
+>tr|E1BH14|E1BH14_BOVIN Left-right determination factor OS=Bos taurus OX=9913 GN=LOC100336971 PE=3 SV=2
+MQPLWLCWALWALPLAGPGVALMEEWILDSLLQQLHLSKVPIVDKATVEGLVIPAHVRTQ
+YVALLQRGHGARSRGKRFSQNFREVVGRFLESEASSYLLVFDMEQRLPPRSELVQAVLRL
+FQEPVPRAALRRHERLFPRSDRARVTVQWLHVRDDGSNRTALIDSRLVTIHESGWKALDV
+TEAVNFWQQLRSPRQPLLLQVLVQREHLGPLASSAHRLVRFAPQGPSSGRQGEPQLELHT
+LDLRDYGAQGNCDPKAPVTKGTRCCRQEMYIDLQGMKWAENWVLEPPGFLAYECVGTCQQ
+PPESLTFKWPFLGPRQCIASETTSLPMIVSIQEGGRLQPHVVSLPNMRVQTCSCASDGAL
+VPRKLEP
+>tr|E1BIU2|E1BIU2_BOVIN Regulator of hemoglobinization and erythroid cell expansion OS=Bos taurus OX=9913 GN=RHEX PE=4 SV=2
+MEVWHGVVIAVVSLILQTCLLAVINYLLSRHMAKEIERILKGARSQAPSLCPTHCCPPAA
+EERKETRAERNVLVPEPRYRHDSDTSSDSSDSSNSSPPTTSQVTKDVNYTQVVFAAPGDR
+RNDSVLDYENIKEATDYVNVNPKRQKPDFWTFVNPAVSEPVEYTQVVM
+>tr|F1MHQ6|F1MHQ6_BOVIN UBX domain protein 2B OS=Bos taurus OX=9913 GN=UBXN2B PE=4 SV=2
+MAEGGGGEAGEEEPRAAGPRPPSARDLQLALAELYEDEVKCKASKSDRPKATAFKSPQTA
+PQRFYSSEHECSGLHIVQPSTGKIVNELFREARQHGAVPLNEATRASGDDKSKSFTGGGY
+RLGNSFCKQSEYIYGENQLQDVQILLKLWSNGFSLDDGELRPYSDPTNAQFLESVKRGEI
+PLELQRLVHGGHLNLDMEDHQDQEYVKPRLRFKAFSGEGQKLGSLTPEIVSTPSSPEEEE
+KSLFNAVVLIDDSMPTTKIQIRLADGSRLIQRFNSTHRILDVRDFIVQSRPEFATLDFIL
+VTSFPNKVLTDESLTLQEADILNTVILQQLK
+>tr|G5E6T2|G5E6T2_BOVIN Rho GTPase activating protein 23 OS=Bos taurus OX=9913 GN=ARHGAP23 PE=4 SV=2
+MSVGEEGRGEQGSGHSRVGAPRPSPQVLQAAGGCVWTGISPLLALLPQLPLGPRDGCSPA
+RPLPWQGPRTLLLRKSLQDGFGFTLRHFIVYPPESAVHCSLKEEENGGRGGGSSPRHRLE
+PMDTIFVKNVKDDGPAHRAGLRTGDRLVKVNGESVIGKTYSQVIALIQNSDDTLELSIMP
+KDEDILQLAYSQDAYLKGNEPYSGEARSIPEPPPICYPRKTYAPPARVSTWAAMVPEPLS
+ALPGDPRSPAAWSDPGPRIPSTARSHPDHPSLGMSQPRPSPGAFPRLPPEPRTPRAFPEP
+GSRAPPSRLECQQALSNWLSNQVPRRAGERRCPAMPPRARSASQDRLEEVTAHRPWPCST
+SQGALSQLGQEGWHRARSDDYLSRATRSAEALGPGALLSPRFERCGWASQRPSARTPACA
+PRDLPGPQAPAPPGLQGLDDLGYIGYRSYSPSFQRRTGLLQALSFRDSPFGGLPTFNLAQ
+SPSPFPPEASEPPRVVRPEPSTRASEPPAEDRRDEVILRQKPPTGRKVQLPPARQMNLGF
+GDESPEPEASGRGERPARKVAPLATTEDSLASIPFIDEPTSPSIDLQAKHVPASAVVSSA
+MNSAPVLGTSPSSPTFTFALGRHYSQDCSSIKAGRRSSYLLAITTERSKSCDDGLNTFRD
+EGRALRRLPNRVPSLRMLRSFFTDGSLDSWGTSEDADAPSKRHSTSDLSDATFSDIRREG
+WLYYKQVLTKKGKKAGGGLRQWKRVYAALRARSLSLSKERREPGPAAAGAAAAVAGEDEA
+APVCIGSCLVDISYSETKRRHVFRLTTADFCEYLFQAEDRDDMLGWIRAIRENSRAEGED
+PGCANQALISKKLNDYRKVSHSSGPKADSSPKGSRGLGGLKSEFLKQSTARGFRTQDQPA
+GSKDDSVSTSKTPWGISIIKKNKKAAPRAFGVRLEECQPATENQRVPLIVAACCRIVEAR
+GLESTGIYRVPGNNAVVSSLQEQLNRGPGDINLQDERWQDLNVISSLLKSFFRKLPEPLF
+TDDKYNDFIEANRIEDSRERLKTLRKLIRDLPGHYYETLKFLVSHLKTIADHSEKNKMEP
+RNLALVFGPTLVRTSEDNMADMVTHMPDRYKIVETLIQHSDWFFSDSEDKGERTPVDDKE
+PQSVPNIEYLLPNIGRTVPPGEPGSGSTTCSSAKSKGSWAPKKEPYTREMLAISFISAVN
+RKRKKRREARGLGSSTDDDSEQEAHKPEAGAPAPRAQDRPEGQRPGAAAPEAPGRLSPPA
+APEERPAADTRSIVSGYSTLSTMDRSVCSGNGGRRAGAGDEADDERSELSHVETDTEGGA
+GSGGRPARRPSFSSHHLMPGDTLARRRLARSRADGEGAGAAAGLPGSASSSSQESLRPPA
+AVAAAAAALGSRPSRLEALRLRLRGTADDMLAVRLRRPLSPETRRRRSSWRRHTVVVQSP
+LTDLNFNEWKELGGGGPPVSAGPRAHSDNKDSGLSSLESTKARAPSTAASLPPAPGDPGA
+PQSQPPRRSAASRLHQCL
+>tr|F1MKT7|F1MKT7_BOVIN Disco interacting protein 2 homolog C OS=Bos taurus OX=9913 GN=DIP2C PE=4 SV=2
+MADRSLEGMALPLEVRARLAELELELSEGDITQKGYEKKRSKLIGAYLPQPPRVDQALPP
+ERRAPVTPSSASRYHRRRSSGSRDERYRSDVHTEAVQAALAKHKERKMAVPMPSKRRSLV
+VQTSMDAYTPPDTSSGSEDEGSVQGDSQGTPTSSQGSINMEHWISQAIHGSTTSTTSSSS
+TQSGGSGAAHRLADVLAQTHIENHSAPPDVTTYTSEHAIQVERPQGSTTSRTAPKYGNAE
+LMETGDGVPVSSRVSAKIQQLVNTLKRPKRPPLREFFVDDFEELLEVQQPDPNQPKPEGA
+QMLAMRGEQLGVVTNWPPSLEAALQRWGTISPKAPCLTTMDTNGKPLYILTYGKLWTRSM
+KVAYNILHKLGTKQEPMVRPGDRVALVFPNNDPAASMVAFYGCLLAEVVPVPIEVPLTRK
+DAGSQQIGFLLGSCGVTVALTSDACHKGLPKSPTGEIPQFKGWPKLLWFVTESKHLSKPP
+RDWFPHIKDANNDTAYIEYKTCKDGSVLGVTVTRIALLTHCQALTQACGYTEAETIVNVL
+DFKKDVGLWHGILTSVMNMMHVISIPYALMKVNPLSWIQKVCQYKAKVACVKSRDMHWAL
+VAHRDQRDINLSSLRMLIVADGANPWSISSCDAFLNVFQSKGLRQEVICPCASSPEALTV
+AIRRPTDDSNQPPGRGVLSMHGLTYGVIRVDSEEKLSVLTVQDVGLVMPGAIMCSVKPDG
+VPQLCRTDEVGELCVCAVATGMSYYGLSGMTKNTFEVFPVTSSGAPVSEYPFVRTGLLGF
+VGPGGLVFVVGKMDGLMVVSGRRHNADDIVATALAVEPMKFVYRGRIAVFSVTVLHDERI
+VIVAEQRPDSTEEDSFQWMSRVLQAIDSIHQVGVYCLALVPANTLPKTPLGGIHLSETKQ
+LFLEGSLHPCNVLMCPHTCVTNLPKPRQKQPEIGPASVMVGNLVSGKRIAQASGRDLGQI
+EDNDQARKFLFLSEVLQWRAQTTPDHVLYTLLNCRGTIANSLTCVQLHKRAEKIAVMLME
+RGHLQDGDHVALVYPPGIDLIAAFYGCLYAGCVPITVRPPHPQNIATTLPTVKMIVEVSR
+SACLMTTQLICKLLRSREAAAAVDVRAWPPILDTDDLPKKRPAQIYKPSNPDTLAYLDFS
+VSTTGMLAGVKMSHAATSAFCRSIKLQCELYPSREVAICLDPYCGLGFVLWCLCSVYSGH
+QSILIPPAELETNPALWLLAVSQYKVRDTFCSYSVMELCTKGLGSQTESLKARGLDLSRV
+RTCVVVAEERPRIALTQSFSKLFKDLGLHPRAVSTSFGCRVNLAICLQGTSGPDPTTVYV
+DMRALRHDRVRLVERGSPHSLPLMESGKILPGVRIIIANPETKGPLGDSHLGEIWVHSAH
+NASGYFTIYGDESLQSDHFNSRLSFGDTQTVWARTGYLGFLRRTELTDANGERHDALYVV
+GALDEAMELRGMRYHPIDIETSVIRAHKSVTECAVFTWTNLLVVVVELDGSEQEALDLVP
+LVTNVVLEEHYLVVGVVVVVDIGVIPINSRGEKQRMHLRDGFLADQLDPIYVAYNM
+>tr|E1BDW8|E1BDW8_BOVIN Polypeptide N-acetylgalactosaminyltransferase OS=Bos taurus OX=9913 GN=GALNT11 PE=3 SV=2
+IMGSVTVRYFCYGCLFTSAAWTLLLFIYFNFSEVTQPLKNVPIKGSGPHGPFPKKFYPRF
+TRGPSLESQFKANKIDDMIDNHVEDPERGNVKFSSELGMIFNERDQELRDLGYQKHAFNM
+LISNRLGYHRDVPDTRNAACKDKSYPADLPVASIVICFYNEALSALLRTVHSVLDRTPAR
+LLHEIILVDDDSDFDDLKGELDEYIQKYLPGKIKVIRNPKREGLIRGRMIGAAHATGEVL
+VFLDSHCEVNVLWLQPLLAAIREDRRTVVCPVIDIISADTLAYSSSPVVRGGFNWGLHFK
+WDLVPLSELGGPEGATAPIKSPTMAGGLFAMNRNYFNELGQYDSGMDIWGGENLEISFRI
+WMCGGKLFIIPCSRVGHIFRKRRPYGSPEGQDTMTHNSLRLAHVWLDEYKEQYFSLRPDL
+RTRNYGNISERVELRKKLDCKSFKWYLDNIYPEMQISGPNVKPQQPIFINRGPKRPKVLQ
+RGRLYHLQTNKCLVAQGRPSEKGGLVVLKACDYSDPNQVWIYNEEHELVLNNLLCLDMSE
+TRSSDPPRLMKCHGSGGSQQWTFGRNNRLYQVSVGQCLRAVDPLSRKGSVAMAICDGSPS
+QQWHLES
+>tr|G3X8E8|G3X8E8_BOVIN Oxysterol-binding protein OS=Bos taurus OX=9913 GN=OSBPL10 PE=3 SV=2
+MERAAQGAESGGGSNSSSRSSSRATSAGSSPSCSLAGRGVSGRSAAAGLGGGGSRSSPGS
+VAASPCGGSGRRREPALEGVLSKYTNLLQGWQNRYFVLDFEAGILQYFVNEQSKHQKPRG
+ALSLSGAIVSLSDEAPHMLVVYAANGEMYKLRAADAKEKQFWVTQLRACAKYHMETNSKM
+MTQVEGQQKNLVHAIESLPGSGPLTALDQDLLLLKATSAATLSCLGECLSLLQQSLHHAG
+QSSHRPGASESILGWHGPKSHSTEQLKNGTLGSLPSASASITWAILPNSTEDEQAFPPEP
+EPEPEPNSGPELVLSEDEKSDTEDQEETELGVMEDQRSVILHLISQLKLGMDLTKVVLPT
+FILEKRSLLEMYADFLAHPDLLLAVAAGATPQDRVIGFVEYYLTAFHEGRKGALAKKPYN
+PIIGETFHCSWEVPKDKVKARRTAPPAPAGHEHPMADDSSKNYKLRFVAEQVSHHPPISC
+FYCECKEKRLCVNTHVWTKSKFMGMSVGVSMIGEGVLRLLEHGEEYVFTLPSAYARSILT
+LPWVELGGKVSITCAKTGYSATVIFHTKPFYGGKVHRVTAEVKHNPTNTIVCKAHGEWNG
+TLEFTYNNGETKVIDTTTLPVYPKKIRPLEKQGPMESRNLWREVTRCLRLGDMEAATEQK
+RRLEEKQRAEERKRENLRAPWRPKYFIQEGDGWVYFNPLWKAH
+>tr|E1BNS3|E1BNS3_BOVIN Bromodomain containing 4 OS=Bos taurus OX=9913 GN=BRD4 PE=4 SV=3
+MSAESGPGTRLRNLPVMGDGLETTQMSTTQAQAQPQQANTASTNPPPPETSNPNKPKRQT
+NQLQYLLKVVLKTLWKHQFAWPFQQPVDAVKLNLPDYYKIIKTPMDMGTIKKRLENNYYW
+NAQECIQDFNTMFTNCYIYNKPGDDIVLMAEALEKLFLQKINELPTEETEIVIVQAKGRG
+RGRKEAGTAKPGVSTVPNTTQASTPPQTQTPQQNPPSVQATPHPFPAVTPDLIVQTPVMT
+VVPAQPLQTPPPVPPQPLPPPAPAPQPVQSHPPIIAATPQPVKTKKGVKRKADTTTPTTI
+DPIHEPPSLPPEPKTAKLGPRRESSRPVKPPKKDVPDSQQHPAPDKSSKVSEQLKCCNGI
+LKEMFAKKHAAYAWPFYKPVDVEALGLHDYCDIIKHPMDMSTIKSKLEAREYRDAQEFGA
+DVRLMFSNCYKYNPPDHEVVAMARKLQDVFEMRFAKMPDEPEEPAVAVSSPVVPPPTKVA
+APPSSSDSSSDSSSDSDSSTDDSEEERAQRLAELQEQLKAVHEQLAALSQPQQNKPKKKE
+KDKKEKKKEKHKKKEEVEENKKSKAKELPPKKTKKNNSSNSSTSKKEPAPLKSKPPPAYE
+SEEEDKCKPMSYEEKRQLSLDINKLPGEKLGRVVHIIQSREPSLKNSNPDEIEIDFETLK
+PSTLRELERYVTSCLRKKRKPQAEKVDVIAGSSKMKGFSSSESESTSESSSSDSEDSETE
+MAPKSKKKGHPGREQKKHHHHHHQQMQQAPAPMPQPPPPPPPQQPPPPPPPPQQQPPQQP
+PAPPPPPSMPQQAAPAMKSSPPPFIAAQVPVLEPQLPGSVFDPIGHFTQPILHLPQPELP
+PHLPQPPEHSTPPHLNQHSVVSPPALHNALPQQPSRPSNRAAALPPKASRPPTVSPALAQ
+PPLLPQPPMAQPPQVLLQEDEEPPAPPLTSMQMQLYLQQLQKVQPPTPLLPSVKVQSQPP
+PPLPPPPHPSVQQLQQQQQQPPPPPPPQPQPPPQQQHQPPHGLCTCSPCSSPLTSSSPRR
+PLASSHPTHPQASSHPRRSLPSLNKSSSTTLHPGTTSQTPTPLVTSVKPPPRL
+>tr|G3N0Q4|G3N0Q4_BOVIN Peptidase A1 domain-containing protein OS=Bos taurus OX=9913 PE=3 SV=2
+MKWLVLLGLVALSECVVILPLKKMKTLRETLREKNLLNNFLEEQAYRLSKNDSKITIHPL
+RNYLDIAYVGNITIGTPPQEFRVVFDTGSANLWVPCITCTSPACYTHKTFNPQNSSSFRE
+AGSPITIFYGSGTIQGFLGSDTVRIGNLVSLKQSFGLSQEEYGFDGAPFDGVLGLAFPCI
+STKGAFSEPVFAFYLSKYKPEGSVVMFGGVDHRYYKGELNWIPVSQPRHWLISMNHISMN
+GNIVACSHGCQAFVDTGTSLIYGPTDLVTNINKLMNARLENSEYAVLCDAVKTLPPVIFN
+INGIDYPLPPQAYITKDKNSCISIFQGGLENLSPDNWLLGDVFLRQYFSVFDRKNERIGL
+NQV
+>tr|A0A3Q1LPV4|A0A3Q1LPV4_BOVIN Calcium/calmodulin dependent serine protein kinase OS=Bos taurus OX=9913 GN=CASK PE=3 SV=1
+MADDDVLFEDVYELCEVIGKGPFSVVRRCINRETGQQFAVKIVDVAKFTSSPGLSTEDLK
+REASICHMLKHPHIVELLETYSSDGMLYMVFEFMDGADLCFEIVKRADAGFVYSEAVASH
+YMRQILEALRYCHDNNIIHRDVKPHCVLLASKENSAPVKLGGFGVAIQLGESGLVAGGRV
+GTPHFMAPEVVKREPYGKPVDVWGCGVILFILLSGCLPFYGTKERLFEGIIKGKYKMNPR
+QWSHISESAKDLVRRMLMLDPAERITVYEALNHPWLKERDRYAYKIHLPETVEQLRKFNA
+RRKLKGAVLAAVSSHKFNSFYGDPPEELPDFSEDPTSSGAVSQVLDSLEEIHALTDCSEK
+DLDFLHSVFQDQHLHTLLDLYDKINTKSSPQIRNPPSDAVQRAKEVLEEISCYPENNDAK
+ELKRILTQPHFMALLQTHDVVAHEVYSDEALRVTPPPTSPYLNGDSPESANGDMDMENVT
+RVRLVQFQKNTDEPMGITLKMNELNHCIVARIMHGGMIHRQGTLHVGDEIREINGISVAN
+QTVEQLQKMLREMRGSITFKIVPSYRTQSSSCERDSPSTSRQSPANGHSSTNNSVSDLPS
+TTQPKGRQIYVRAQFEYDPAKDDLIPCKEAGIRFRVGDIIQIISKDDHNWWQGKLENSKN
+GTAGLIPSPELQEWRVACIAMEKTKQEQQASCTWFGKKKKQYKDKYLAKHNADLVTYEEV
+VKLPAFKRKTLVLLGAHGVGRRHIKNTLITKHPDRFAYPIPHTTRPPKKDEENGKNYYFV
+SHDQMMQDISNNEYLEYGSHEDAMYGTKLETIRKIHEQGLIAILDVEPQALKVLRTAEFA
+PFVVFIAAPTITPGLNEVRINQFVLYSAIPSKDAFVLLVQGQSPISLRQLSEALLCPPCQ
+PLRFPEIKVLLQKEELHPTEKYGFSEIRVIRQIQA
+>tr|A0A3Q1LVR0|A0A3Q1LVR0_BOVIN TPR_REGION domain-containing protein OS=Bos taurus OX=9913 GN=TTC6 PE=4 SV=1
+MSTISKHFGLKYKEESYIFKELEKVRKETKKEFLRIKEKLAAKPAVDEIPVFGLRVPSPA
+RYATKGSASRVGSPKPAGSPRVKGRARPTGTLPQPVPRGAPRPPGPREVAAPGKGRPFRP
+QDFYLRSSAFLRHRPQQPPVIAPRAGTSRPVVLMPPRVPTGRARAHRGSRSPPPADSKPV
+LDLARGRVVSLEPAQLAEVGQARERLPDSFSSDEGDVEAAGRRKRVRINTRFLHEDSGGE
+SREAPGSASKTDRESTPPSDSREATLQAPPPVRAIPKSIEEIIASLQSEAQLASDQTIKE
+LIQSVLGQNYDIKMEDISLMGKMYWQKSPQMQAEQGPQISIKETQMNVLEKLPETVSSIF
+QIEQEDVLEWGTSDAESVLFKPQEASEVQSASESSKPLEGAQPIGDSKIAKRISLKVKSS
+ELLQIKGKEVKRRRKSKFGVIPQRPKKISKPMCDQKLPKKKFPEDYSTWTLHNLCATIPA
+KELPVDLRVGSRVYHTADKKGHDTVLGVFGTSLLDDHYTDEEQRDRILHGAPVMDVSQEY
+VAVVPTRPGILPELIPGTGPSAHKPQLQLLGEEVSAYPGVTKLFWNLTSPKFSVPVSVMK
+ETIYPKYEVKSTKLILYFSIFCFLMNKRVKSAVELNKEETVVLSEFQDDMKSNIKEVMLQ
+KAKELERWMTEQKETKESVSEKENIDSILDYMHCRYGSRDLSFTLIEASRQAGITYIVYP
+KKKKMRRRKGLRLSKLTLVYDELSKPPKILERSVSHGVLPGQKKYLLRIPLYERVLRCPS
+APLCLNSDKRAQIKRILEHNDPQTWTPEMVSQPKQKKTTPRVKISVDEDSFEILKQSTKL
+ELSDSLTCGLPPAVIKHYESEVEILTEEINSKKKYPAFLYCRRGALYRKLGKLQSAMNDL
+QEAIILEPLFLNAYWHRHFIYLFQDKINEALDDLNFINKYNKNNADAYFSRAEIFREKNE
+ITLAILNYTQAIKCRPMDADMYFRRGEMYEKENKMLAIDDFSKCIFYDPKRTDALFKRGM
+FYYENANWIAAEQDFTALLNIDPQNSQARMYRGRAYFKRQFYKQAVQDLSIAVHLDPNNW
+LALYYRACLFRKSSPVRALQDYSASVLINDGYENLSCFLHRGILYADLKFWLLAICDFEA
+VISLERTMTLAYINIGLIYLLHLDNYIEATSYFSEAIRHDPMYIQSYICRAEAYSKLHKL
+KKAVRELSYAIHLQPDGIQLYIIRGQYLLRMRCYDLAKFTIYQVAELNKGLIELSPIQQA
+LIYSFCENHDKAIQVLDGITLNKPDVPMCTLLAKAQMKAKRNKEAMRMFKKALDLISSSD
+KGLNPLAASADCLYHLGLCYMEEGSLQLAFDSFTKAVKANPDFAESFYHRGLCKVKLHKS
+GSILDFNRAITLDPKHYQAYLSRVAYYGLKGRYSKAILSCNEAIKIYPQSVRAYVYRGVL
+KYYSRNYKLAITDLTTAINMDKNSYIAFYNRALCYTKINELQMALTDYGIVLLLNAGETV
+TLNTFINRGLIYAELEQFNFALEDFKQAALISKTNVSLCQATAVCYHRNKEFEEAVNFFT
+WAVKIDPRFLDAYIGRGNSYMEYGHDEATKQAQKDFLKALHFNPVYTKARICLGYNLQAQ
+GKFQKAWNHFTIAMEVDPKSYLAYEGRAVVCLQMGDNFAAFQDINAAIKINATAEFLTNR
+GVIHEFMGQQQNAMRDYQEAISLNPTYSLAYFNAGNIYFHHRQFSQASDYFSKALKFDPE
+NECATMNRAIANTVLKRYEEAKEDFAYVVESCPLWAAVYFNRAYFYCCLKQYELAEEDLS
+KALSLKPNDALIYNLRAEVRGKIGLIEEAMADYNKALDLQEHASVV
+>tr|A0A3Q1MTT3|A0A3Q1MTT3_BOVIN Histone domain-containing protein OS=Bos taurus OX=9913 PE=3 SV=1
+MGIMNSFVNDIFERIAGEASHLAHYNKHSTITSREIQTALRLLLPGELAKHTVSEGTKAV
+TKYTSSK
+>tr|F1MJS1|F1MJS1_BOVIN BPI fold containing family B member 6 OS=Bos taurus OX=9913 GN=BPIFB6 PE=4 SV=3
+MLWILCLALCSLLTPTRADPGALLRLGMDVLNREVQNAMDESHILEKMAAEAGQNRPGMK
+PIKGITDLKVKDVLVPVITMNFMPRVGIFQCVSTGMTITGKSFMGGNMEIIVVLNITATN
+RLLQDEETGLPMFKSEGCEIILVSVKTNLPSNMLPKMVNKFLDSTLHKVLPGLMCPAIDA
+VLVYVNKKWASLNAPMPVGQMGTVQYVLTSVPTTTPSYIQVDFSPVVQQQKGNTIQLADA
+GGAEFPEDYAEGSSQLLLSATFLTAELALLQKSFNVKVKDTMIGELPPQTTVTLAGFIPK
+VAEAYPKPKPLVTQIRINKPPKVTMKTGKSLLHLHGTLEMFAARWRGKPPVSLFVLETHF
+NLKIQYSVHEDRLQMTTSLDRLLSMSRKSSSIGPFKEKKLTGFITDFLQEAYIPVINDVL
+QVGFPLPDLLDMNYNLAELDITENALVLNLKLD
+>tr|A0A3Q1M4U7|A0A3Q1M4U7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=ABCB1 PE=4 SV=1
+SKRKKKQLPFRYSNWLDRLYMVLGTLAAIIHGAGLPLMMLVFGDMTDSFAASSRLCTKDT
+KVNDTVVFSAFLLLFRYAYYYSGIGAGVLIAAYIQVSFWCLAAGRQVHRIRKQFFHAIMK
+QEIGWFDVHDVGELNTRLTDDVSKINEGIGDKIGMFFQAMATFFTGFIIGFTEGWKLTLV
+ILAISPVLGLSAAIWAKILSSFTDKELLAYAKAGAVAEEVLAAIRTVIAFGGQKKELERY
+NKNLEEAKRIGIKKAITANISMGAAFLLIYASYALAFWYGTSLVLSGEYSIGQVLTVFFS
+VLIGAFSIGQASPNIEAFANARGAAYEVFKIIDHKPSIDSYSNTGHKPDNIKGNLEFRNV
+HFHYPSRNEVKILKGLNLKVGSGQTVALVGNSGCGKSTTVQLMQRLYDPTEGMVSIDGQD
+IRTINVRYLREIIGVVSQEPVLFATTIAENIRYGREDVTMDEIQKAVKEANAYDFIMKLP
+NKFDTLVGERGAQLSGGQKQRIAIARALVRNPKILLLDEATSALDTESEAVVQAALDKAR
+EGRTTIVIAHRLSTVRNADVIAGLDDGVIVEEGNHNELMGKRGIYFKLVTMQTKGNELEL
+ENTPGESLSKIEDLYTSSQDSRSSLIRRKSTRRSIRGSQSRDRKLSSEETLDESVPPVSF
+WRILKLNITEWPYFVVGVFCAIINGALQPAFSVIFSRIIGIFTRNVDDETKRQNSNLFSL
+LFLILGIISFITFFLQVTGEILTRRLRYLVFRSMLRQDVSWFDDPKNTTGALTTRLANDA
+AQVKGAIGSRLAIITQNIANLGTGIIISLIYGWQLTLLLLAIVPIIAVAGVIEMKMLSGQ
+ALKDKKELEGAGKIATEAIENFRTVVSLTREERFEYMYAQSLQVPYRNSLRKAHVFGITF
+AFTQAMMYFSYAGCFRFGAYLVAQGIMEFQDVLLVFSAIVFGAMAVGQVSSFAPDYAKAK
+VSAAHVINIIEKIPLIDSYSTEGLKPSTVEGNVAFNDVVFNYPTRPDIPVLRGLSLEVKK
+GQTLALVGSSGCGKSTVVQLLERFYDPLAGTVLIDGKEIKQLNVQWLRAHMGIVSQEPIL
+FDCSIGENIAYGDNSRVVSQEEIERAAKEANIHPFIEMLPDKYNTRVGDKGTQLSGGQKQ
+RIAIARALVRQPRILLLDEATSALDTESEKVVQEALDKAREGRTCIVIAHRLSTIQNADL
+IVVFQNGRIKEHGTHQQLLAQKGIYFTMVSVQAGTKRQSTVTI
+>tr|A0A3Q1LWA3|A0A3Q1LWA3_BOVIN Endonuclease V OS=Bos taurus OX=9913 GN=ENDOV PE=3 SV=1
+MARKAAGKPPEEILLDWKREQASLKARVVDRDTEAWQRDPAFSGLQRVGGVDVSFVKDDS
+GSACASLVVLSYPELEVLYEDCRMVTLTAPYVSGFLAFRELPFLVDAVQQLRQREPRLMP
+QVLFVDGNGVLHHRGFGVACHLGVLTDLPCIGVAKKLLQVDGLENNALHKEKIQLLKAGG
+DSFPLMGGSGTVLGRALRSHDHSTKPLYVSVGHKISLEAAVRLTHSCCKFRIPEPVRQAD
+IRSRDYIRRTQEVQGVPAPPSGRSKEAQRPKACPQGVSEEPAGFSKWLASCGF
+>tr|A0A3Q1M5Q6|A0A3Q1M5Q6_BOVIN Extracellular matrix protein 1 OS=Bos taurus OX=9913 GN=ECM1 PE=4 SV=1
+MGTMSGAALVLACLTVASVASDGGFKASGQRELGPQPLTHPLQQVGYAAPPSPPLSRALP
+QGHPRTSQHSLHSEGQSEVQPSPSQDAIPLQEELPAPQLPVEKKGGPPFPQEAIPLQEEL
+PASQLPVEKKGGPPLPQEAIPLQEELPPPQVPIEQKEEKPAPSMDHSPPEPESWNPAQHC
+QHGRPRGGWGHRLDGFPPGRPSLDNLDQICLPSRQHVVYGPWNLPQTGFSHLSRQGETLN
+LLETGYSRCCRCRNRTNRLDCAELVWEDSVTRFCEAEFSVKTQPHGCCKKQGEARLSCFQ
+EEAPRPHYQLRACPSHQPGISLGPELPFPPGLPTVDNIKNICHLKRFRSVPRNLPATDSI
+QRQLQALTRLEAEFQRCCRQGNNHTCTWKAWEDTLDGYCDWEQAIKTHHHSCCNYPPSPL
+RDKCFARRAPYPNYDRDILTLDLSRITPNLMNHLCGNRRVLSKHKQIPGLIQKVTARCCD
+LPFPEQACCAEEEKSAFIDELCGSRRNFWRDSALCCKLSPGDEQINCFNTKYLRNVAVVT
+GDTRDAKGPGEQGPTQGTNSSPTSEPKEE
+>tr|A0A3Q1MN27|A0A3Q1MN27_BOVIN Adhesion G protein-coupled receptor L1 OS=Bos taurus OX=9913 GN=ADGRL1 PE=3 SV=1
+MARLAAVLWSLCVTAILVTSATQGLSRAGLPFGLMRRELACEGYPIELRCPGSDVIMVEN
+ANYGRTDDKICDADPFQMENVQCYLPDAFKIMSQRCNNRTQCVVVAGSDAFPDPCPGTYK
+YLEVQYDCVPYSFPPFQGMGGWGGAPHLSDISAALVPPPEPGMVAVFVCPGTLQKVLEPT
+STHESEHQSGAWCKDPLQAGDRIYVMPWIPYRTDTLTEYASWEDYVAARHTTTYRLPNRV
+DGTGFVVYDGAVFYNKERTRNIVKYDLRTRIKSGETVINTANYHDTSPYRWGGKTDIDLA
+VDENGLWVIYATEGNNGRLVVSQLNPYTLRFEGTWETGYDKRSASNAFMVCGVLYVLRSV
+YVDDDSEAAGNRVDYAFNTNANREEPVSLAFPNPYQFVSSVDYNPRDNQLYVWNNYFVVR
+YSLEFGPPDPSAGPATSPPLSTTTTARPTPLTSTASPAATTPLRRAPLTTHPVGAINQLG
+PDLPPATAPAPSTRRPPAPNLHVSPELFCEPREVRRVQWPATQQGMLVERPCPKGTRGIA
+SFQCLPALGLWNPRGPDLSNCTSPWVNQVAQKIKSGENAANIASELARHTRGSIYAGDVS
+SSVKLMEQLLDILDAQLQALRPIERESAGKNYNKMHKRERTCKDYIKAVVETVDNLLRPE
+ALESWKDMNATEQAHTATMLLDVLEEGAFLLADNVREPARFLAAKQNVVLEVTVLNTEGQ
+VQELVFPQEYPSENSIQLSANTIKQNSRNGVVKVVFILYNNLGLFLSTENATVKLAGEAG
+SGGPGGASLVVNSQVIAASINKESSRVFLMDPVIFTVAHLEAKNHFNANCSFWNYSERSM
+LGYWSTQGCRLVESNKTHTTCACSHLTNFAVLMAHREIYQGRINELLLSVITWVGIVISL
+VCLAICISTFCFLRGLQTDRNTIHKNLCINLFLAELLFLVGIDKTQYEIACPIFAGLLHY
+FFLAAFSWLCLEGVHLYLLLVEVFESEYSRTKYYYLGGYCFPALVVGIAAAIDYRSYGTE
+KACWLRVDNYFIWSFIGPVSFVIVVNLVFLMVTLHKMVRSSSVLKPDSSRLDNIKSWALG
+AIALLFLLGLTWAFGLLFINKESVVMAYLFTTFNAFQGVFIFVFHCALQKKVHKEYSKCL
+RHSYCCIRSPPGGAHGSLKTSAMRSNARYYTGTQSRIRRMWNDTVRKQTESSFMAGDINS
+TPTLNRGTMGNHLLTNPVLQPRGGTSPYNTLIAESVGFNPSSPPVFNSPGSYREPKHPLG
+GREACGMDTLPLNGNFNNSYSLRSGDFPPGDGAPEPPRGRNLADAAAFEKMIISELVHNN
+LRGGSSGAKGPPPPEPPVPPVPGGSGEEEAGGPGADRAEIELLYKALEEPLLLPRAQSVL
+YQSDLDESESCTAEDGATSRPLSSPPGRDSLYASGANLRDSPSYPDSSPEGPSEALPPPP
+PAPPGPPEIYYTSRPPALVARNPLQGYYQVRRPSHEGYLAAPGLEGPGPDGDGQMQLVTS
+L
+>tr|A0A3Q1LTM1|A0A3Q1LTM1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=NLRP2 PE=4 SV=1
+MASSAELGFHLQSLLEELGQDKLSKFKSLLRDHSLKEELQHLLQTEVEEASRKQLAYLLT
+KERKRRRSTPVLCQAHFNLYFAELAQIQEEDVTNLQETKEDLEGEKPGKQDKYRNILKKK
+FCQHWKNFWPRLSEDVCIVTQRYETLIPFCNPKMPAGPFPHTVVLHGPAGVGKTTLAKKL
+MLDWTQDNLAETFNFAFYLSCKELNHMGTCTFAELISTNWPHVQEDIPAILAQAQKVLFI
+LDSFDELKVPSEALIHDICGDWKKQKPVPVLLGSLLKRKMLPKATLLITTRPGALRELRL
+LTEQPLFIEIEGFLEEDRKAYFLKHFEEESQALRAFDLMKNNEALFQLGSAPSVCWMVCT
+CLRQQMERGEDPAATCRTTTALFLRFLCSRFPPPHGGGPRRGLRAPLKPLCLLAAEGVWM
+RSSVFDGEDLRRLGVDPSALCPFLDGNILQKSEDGEACYSFIHLSVQQLLAAMFYVLELE
+EQEEEGLGGRQWHVGNVGKLLSKEERLKNPSLTHVGYFLFGLCNERRAMELETTFGCLVS
+TEIKRELLKYTLMPHGKKSFSVMDTKEVLSCLYESQEEQLVKDAMAHVKEMSLHLKNETD
+VVHSSFCLKHCGNLQKLSLQVEEGIFLDNDTALESGTQVERSELIFHLQHMLPLWMDLCS
+VFDSSRSLLFLDISQSFLSTSSVRILCEKIASAASSLQKVVLKNISPADTYRNFCMAFGG
+HKTLTHLTLQGNDQNDMLPPLCEVLRNPKCNLQYLRLVSCSATTQQWADLSCCLKTNQSL
+TCLNLTANEFLDEGAKLLYMTLRYPTCFLQRLSLENCQLTEAYCKDLSSALIVNQRLTHL
+CLAKNALGDRGVKLLCEGLTYPECQLQTLVLWCCNITSDGCIHLSTLLQQNSSLTHLDLG
+LNHIGIIGLKFLCEALKKPLCKLRCLWLWGCAITPFSCAELSSALRSNQNLITLDLGQNS
+LGSSGVNMLSIRKHSLSHILPPRLKIDESDAQIQKLLREMKESNPQLTIESDHRDPKDNR
+PSSHDFIF
+>tr|F1N1I6|F1N1I6_BOVIN Gelsolin OS=Bos taurus OX=9913 GN=GSN PE=1 SV=2
+MSRKKSRPDSRPSPPAGAQPGPPPSQTGRSLAWAEWAGRLLKAGASLAAPAAPCLPPGSS
+AAVAAMAAHRCALLGALVLALGALSQPARLATPARPGATQARAPQGRVTEARPGSMVVEH
+PEFLKAGKEPGLQIWRVEKFDLVPVPPNLYGDFFTGDAYVILKTVQLRNGNLQYDLHYWL
+GNECSQDESGAAAIFTVQLDDYLNGRAVQHREVQGFESATFLGYFKSGLKYKKGGVASGF
+KHVVPNEVVVQRLFQVKGRRVVRATEVPVSWESFNNGDCFILDLGNDIYQWCGSSSNRFE
+RLKATQVSKGIRDNERSGRARVHVSEEGAEPEAMLEVLGPKPALPAGTEDTAKEDAANRK
+LAKLYKVSNGAGTMSVSLVADENPFAQGALRSEDCFILDHGKDGKIFVWKGRQANTEERK
+AALKTASDFISKMDYPRQTQVSVLPEGGETPLFKQFFKNWRDPDQTDGPGLSYLSSHIAN
+VERVPFDAATLHTSTAMAAQHGMDDDGRGQKQIWRIEGSDKVPVDPATYGQFYGGDSYII
+LYNYRHGGRQGQIIYNWQGAQSTQDEVAASAILTAQLDEELGGTPVQSRVVQGKEPAHLM
+SLFGGKPMIIYRGGTSREGGQTAPASTRLFQVRASSSGATRAVEVMPKAGALNSNDAFVL
+KTPSAAYLWVGAGASEAEKTGALELLRVLRAQPVQVAEGSEPDSFWEALGGKAAYRTSPR
+LKDKKMDAHPPRLFACSNKIGRFVIEEVPGELMQEDLATDDVMLLDTWDQVFVWVGKDSQ
+EEEKTEALTSAKRYIETDPANRDRRTPITVVKQGFEPPSFVGWFLGWDDNYWSVDPLDRA
+LAELAA
+>tr|A0A3Q1LPX9|A0A3Q1LPX9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=ZNF775 PE=4 SV=1
+MLQRPKLNAHREPRVVGEGPGHWDPDGRAGSQADADGPPGMENGLAGGTGDGLVMKIKQE
+KPEWLLQTQAALSQKDKENIFRPRRVPPPCQTAAGKSQAWGHPDGTGGPRWAPPSEQAVG
+RAGRAPRAASGPLSPALPAGEGHFVCPDCGKRFSWWSSLKIHQRTHTGEKPYPCGKCGKS
+FSQKPNLARHQRHHTGERPFCCPECARRFSQKQHLLKHQKTHSRPATHPCPECARCFRHQ
+VGLRIHQRAHARDRQGPRAGLQALRRDTAAPRGRRPRPGPQRGRPEWAWLGLGQGWWRPP
+GARPAAPGEPRQFICNECGKSFTWWSSLNIHQRIHTGERPYPCPECGRRFSQKPNLTRHL
+RNHTGERPHPCAHCGRRFR
+>tr|E1BJC0|E1BJC0_BOVIN Carnosine N-methyltransferase 1 OS=Bos taurus OX=9913 GN=CARNMT1 PE=4 SV=2
+MRRRCRFGSSEDLAEPGADGGLRAAGAERAASRGHVRGGRRLEEGRAATTTLSVAARRKR
+ARLRAAAAMQRRRRPPPPASQLPEGCGGGGGGRGGGGGSEEVEVQFSAGRLGSAAAVSAA
+AAAAAARSTEEEEERLEREHFWKIINAFRYYGTSMHERVHRTERQFRSLPANQQKLLPQF
+LLHLDKIRKCVDHNQEILLTIVNDCIHMFENKEYGEDGNGKIMPASTFDMDKLKSTLKQF
+VRDWSETGKAERDACYQPIIKEILKNFPKEKWDPSKVNILVPGAGLGRLAWEIAMLGYAC
+QGNEWSFFMLFSSNFVLNRCSEINKYKLYPWIHQFSNNRRSADQIRPIFFPDVDPHSLPP
+GSNFSMTAGDFQEIYSECNTWDCIATCFFIDTAHNVIDYIDTIWKILKPGGIWINLGPLL
+YHFENLANELSIELSYEDIKNVVLQYGFQLEVEKESVLSTYTVNDLSMMKYYYECVLFVV
+RKPQ
+>tr|F1MG31|F1MG31_BOVIN Cell division cycle-associated protein 2 OS=Bos taurus OX=9913 GN=CDCA2 PE=4 SV=3
+MDTCSQESEPLQTKESPINNAGKTPLVLGNGKLVTPHKQAAEMTPNCYTSETFKSPLNFS
+TVTVEQLGISPESFVNNSSGKSSPYLKKSRRRSTVGLRGLPETNHLIRFVAEQRSLKNAS
+LTQTSPFQGSPALYRNVYSLREQMSAFHLAFNSIKENEKMTDCPEFSEAEGVFKTRGSTK
+KESLGECQLSEFSAQSSSKRRRLSSPSSSDVNLTDAVDLQACGVNMAACPSTDMKCAVET
+CAGLSQKSSASGLNLQCGCLMNESPLLSELTEASSGIQDAASVEERGSNDAVSVDKCTEV
+STDTAPEVRSLVTPLCQKDLPSSKTFVLRSVLKKPAVKLCVESLQEHLDNLYNDETCPSL
+TSSLANSCKEQTAALPNTKKRKRVTFGEDLSPEVFDESLPANTPLRKGQTPVRKKDLSSL
+SPPLLEQSPVPEWLPQPNFDDKEENLENIEPLQVSFAVLSSLNMSSIAETLSGTDTSASS
+SNHENIAPFRVGRATRTSDRRSKLISFSQESVCNLLNAEAQPCKEKKTNRRKSQESKHAD
+KVLPRKNRVLKGCKKKKGKGKRKGVQKSLYGERDLASKKPLLSPIPELPEVSETPLVGSL
+VRRTYPDDFNSNGKFEEMMLPKRENLLSQDPEDWQVIQGFNKDNASESCSSDMKSSSSFS
+NATFEQDANINSIEMDENENIPKAITLESENERKTGTECENSHISCTLVTVTPVVSDNPK
+PDFPLQSQELSAAGQNVENLFQIVKISEDMNIKCEKQSGFSVIPEDKLQTEHLIPDSQKE
+CDCSEDVLTDQRKVSKSQGEDLGRNSAASCSGVSDRERKYRGHSVGGSDGPGLHLERTNN
+LQPSYSMSSLVEISLENSELCKDLSDSIEQSLQRTKSETKVRRSLRLQKSLEREGGLVWV
+SPPPPPASCTSQRTKRRTVGTLDSRGFEPVSSRQDPCTLPSTSSEENGEGFTAAPDASLP
+GKRRRRSFCTSTLANPKSTTQSRGCKRRSFLGQKRENTLQETSRESDLSEN
+>tr|A0A3Q1N459|A0A3Q1N459_BOVIN C2 calcium dependent domain containing 5 OS=Bos taurus OX=9913 GN=C2CD5 PE=4 SV=1
+MPGKLKVKIVAGRHLPVMDRASDLTDAFVEVKFGNTTFKTDVYLKSLNPQWNSEWFKFEV
+DDEDLQDEPLQITVLDHDTYSANDAIGKVYIDIDPLLYSEAATVISGWFPIYDTIHGIRG
+EINVVVKVDLFNDLNRFRQSSCGVKFFCTTSIPKCYRAVIIHGFVEELVVNEDPEYQWID
+RIRTPRASNEARQRLISLMSGELQRKIGLKVLEMRGNAVVGYLQCFDLEGESGLVVRAIG
+TACTLDKLSSPAAFLPACNSPSKEMKESPLVHPPSHGCRSTHNSPIHTATGSRLTQNFSV
+SVPTLIYTGMGSGSAGKEGGPFKALLRQQTQSALEQRGGSPHRFCRRREFPFFTLTAFPP
+GFLVHVGGVVSARSVKLLDRIHNPAFVGIMGNTRSYKLLDWNSFNSDEPETRDAWWAEIR
+QEIKSHAKALGCHAVVGYSESTSICEEVCILSASGTAAVLNPRFLQDGTVEGCLEQRLEE
+NLPAGCGFCHIPYDELNMPFPAHLTYCNNCRKQKVPDVLFTTIDLPVDATVIGKGCLIQA
+RLCRLKKKAQAEANATAISNLLPFMEYEVHTQLMNKLKLKAMNALFGLRIQITVGENMLM
+GLASATGVYLAALPTPGGIQIAGKTPNDGSYEQHISHMQKKINDTIAKNKELYEINPPEI
+SEEIIGSPIPEPRQRSRLLRSQSESSDEVTELDLSHGKKDAFVLEIDDTDAMEDVHSLLT
+DVPPPSGFYSCNTEIMPGINNWTSEIQMFTSVRVIRLSSLNLTNQALNKNFNDLCENLLK
+SLYFKLRSMIPCCLCHVNFTVSLPEDELIQVTVTAVAITFDKNQALQTTKTHAEKLLQRA
+STDNEELLQFPLELCSDSLPSHPFPPAKEHLESASSNSGIPAAQRAVTVEKASPMGEGNF
+RNRSAPPCANSTVGVVKMTPLSFIPGAKITKYLGIINMFFIRETTSLREEGGVSGFLHAF
+IAEVFAMVRAHVAALGGNAVVSYIMKQCVFMENPNKNQAQCLINVSGDAVVFVRESELEV
+VSTPQPAASCQPSCTGGEVTT
+>tr|A0A3Q1LY90|A0A3Q1LY90_BOVIN SUMO specific peptidase 5 OS=Bos taurus OX=9913 GN=SENP5 PE=4 SV=1
+MKKQRKILWRKGIHLAFSEKWNTGFGGFKKFYFHQHLCILKAKLGRPVTWSRHLRQFQCR
+KKGLQIQKTWIQDEPLFAKTKGNVAIQNLCTSASKVKRKDTKHFISSSRTFLKLQAEKLL
+SSAKNSDHEYSGEKSLLKAAADLPVNSVLGQANGHRPRTEPQASDFPMKFNGESQNPGES
+DRIVVTLSNHKRKRFCYGCYPGLEHHRNGGPLIPKKFQLNQHRRIKVSPHMMYEKLPMIR
+FRYRILRSQHFRTKSKVCKLKKARQSWVQVTGDHQETLGENGEGGSCSPFPSPEPKDPSC
+QHPPHFPDMDSDAVVKGKNSHVPEGHTKGSPLLDKELSLDGAFPDQQNGSATYTWDQSPC
+SSPKWEYTELIHDIPLPEHHSSNMFILETEREVTALGQENRTSTVSDDQVKLSVSGADQS
+VRSVDGPVSEETVQNESSCQMDEDGSFKQNILSSKLLDHPYCKSPLEAPLMCSGLKLENQ
+VGGGKNSQKTSPVDDEQLSVCLSGFLDEVMKKYGSLVPLSEKDVLGRLKDVFNEDFSNRK
+PFINREITNYRARHQKCNFRIFYNKHMLDMDDLATLDGQNWLNDQVINMYGELIMDAVPD
+KVHFFNSFFHRQLVTKGYNGVKRWTKKVDLFKKSLLLIPIHLEVHWSLITVTLSNRIISF
+YDSQGIHFKFCVECIPQQKNDSDCGVFVLQYCKCLALEQPFQFSQEDMPRVRKRIYKELC
+ECRLMD
+>tr|A0A3Q1MUG4|A0A3Q1MUG4_BOVIN COUP transcription factor 1 OS=Bos taurus OX=9913 GN=NR2F1 PE=3 SV=1
+AGGSGRGEQGSVQRGRMPPTQPNPGQYALTNGDPLNGHCYLSGYISLLLRAEPYPTSRYG
+SQCMQPNNIMGIENICELAARLLFSAVEWARNIPFFPDLQITDQVSLLRLTWSELFVLNA
+AQCSMPLHVAPLLAAAGLHASPMSADRVVAFMDHIRIFQEQVEKLKALHVDSAEYSCLKA
+IVLFTSDACGLSDAAHIESLQEKSQCALEEYVRSQYPNQPSRFGKLLLRLPSLRTVSSSV
+IEQLFFVRLVGKTPIETLIRDMLLSGSSFNWPYMSIQCS
+>tr|A0A3Q1NM03|A0A3Q1NM03_BOVIN Unc-13 homolog A OS=Bos taurus OX=9913 GN=UNC13A PE=4 SV=1
+LFLSLSTVKKAKFDGAQEKFNTYVTLKVQNVKSTTIAVRGSQPSWEQDFMFEINRLDLGL
+TVEVWNKGLIWDTMVGTVWIPLRTIRQSNEEGPGEWLTLDSQVIMADSEICGTKDPTFHR
+ILLDTRFELPLDIPEEEARYWAKKLEQLNAVLASTACSLSLSLPPFLTLSPHLLSLSDDD
+PDSAVDDRDSDYRSETSNSIPPPYYTTSQPNASVHQYSVRPPPLGSRESYSDSMHSYEEF
+SEPRALSPTGSSRYASSGELSQGSSQLSEDFDPDEQSLQGSELEDERDRDSYHSCHSSVS
+YHKDSPRWDQDEDELDEELDEELDEDLEDFLEEEEELPEDEEEAKANWLRAFNKVRLQLQ
+EVSGSSSLATPGGGLIIIDSMPDIRKRKPIPLVSDLSLVQSRKAGITSALASSTLNNEEL
+KNHVYKKTLQALIYPISCTTPHNFEVWTATTPTYCYECEGLLWGIARQGMRCTECGVKCH
+EKCQDLLNADCLQRAAEKSSKHGAEDRTQNIIMVLKDRMKIRERNKPEIFELIQEIFAVT
+KTAHTQQMKAVKQSVLDGTSKWSAKISITVVCAQGLQAKDKTGSSDPYVTVQVGKTKKRT
+KTIYGNLNPVWEENFHFECHNSSDRIKVRVWDEDDDIKSRVKQRFKRESDDFLGQTIIEV
+RTLSGEMDVWYNLDKRTDKSAVSGAIRLHISVEIKGEEKVAPYHVQYTCLHENLFHFVTD
+VQNNGVVKIPDAKGDDAWKVYYDETAQEIVDEFAMRYGVESIYQAMTHFACLSSKYMCPG
+VPAVMSTLLANINAYYAHTTASTNVSASDRFAASNFGKERFVKLLDQLHNSLRIDLSMYR
+NNFPASSPERLQDLKSTVDLLTSITFFRMKVQELQSPPRASQVVKDCVKACLNSTYEYIF
+NNCHELYSREYQTDPKGEVPPEEQGPSIKNLDFWSKLITLIVSIIEEDKNSYTPCLNQFP
+QELNVGKISAEVMWNLFAQDMKYAMEEHDKHRLCKSADYMNLHFKVKWLYNEYVAELPAF
+KDRVPEYPAWFEPFVIQWLDENEEVSRDFLHGALERDKKDGFQQTSEHALFSCSVVDVFS
+QLNQSFEIIKKLECPDPQIVGHYMRRFAKTISNVLLQYADIISKDFASYCSKEKEKPCIL
+MNNTQQLRVQLEKMFEAMGGKELDAEASDILKELQVKLNNVLDELSRVFATSFQPHIEDC
+VKQMGDILSQVKGTGNVPASACSSVAQDADNVLQPIMDLLDSNLTLFAKICEKTVLKRVL
+KELWKLVMNTMEKTIVLPPLTDQTGTQMIFNAAKELGQLSKLKDHMVREEAKSLTPKQCA
+VVELALDTIKQYFHAGGVGLKKTFLEKSPDLQSLRYALSLYTQATDLLIKTFVQTQSAQV
+HGGKGTRFTLSEDIYPEKGSGVEDPVGEVSVHVELFTHPGTGEHKVTVKVVAANDLKWQT
+SGIFRPFIEVNIIGPQLSDKKRKFATKSKNNSWAPKYNESFHTLSADTGPECYELQVCVK
+DYCFAREDRTVGLAVLQLRELVQRGSAACWLPLGRRIHMDDTGLTVLRILSQRSNDEVAK
+EFVKLKSDTRSAEEGGAAPAP
+>tr|E1BK78|E1BK78_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=3
+MTQVELKLPLLEKPKTPKNYSHPEVLHRTFETLSNLHKLLPNHLMELLHSCKSEEDKKKC
+ENSEFSGLERILERHQFPREINLTPKPSSMPLWRRRQNNNANQGWKTCRLWGKNTKDPPT
+STIVVRWLKKNMQPTEDLASVTHRLSVFGPIESVTLCGRQSAVVVFKHMVSACNAVSAFQ
+SRAPGSMFQCAWQQRFMSREKCAEKEHNQKTKEPTLESVTNRSTES
+>tr|A0A3Q1M6M8|A0A3Q1M6M8_BOVIN Huntingtin OS=Bos taurus OX=9913 GN=HTT PE=4 SV=1
+IDAIQTFHPLPSPSPPAPSLSQSFLSLSHQEASIKGKKELSATKKDRVHHCLTICENIVA
+QSLRNSPEFQKLLGIAMELFLLCSDDAESDVRMVADECLNKVIKALMDSNLPRLQLELYK
+EIKKNGAPRSLRAALWRFAELAHLVRPQKCRPYLVNLLPCLTRTSKRPEESVQETLAAAI
+PKIMASFGNFANDNEIKVLLKAFIANLKSSSPTVRRTAAGSVVSICQHSRRTQYFYSWLL
+SVLLGLLVPVEGEHPTLLILGVLLALRYLVPLLQQQVKDTSLKGSFGVTRKEMEVSPSTE
+QLVQVYELTLHYTQHQDHNVVTGALELLQQLLRTPPPELLRALTTAGGVRQLAASKDEPG
+GRSRSGSIVELIAGGGSSCSPVLSRKQKGDYFRNDLNVPLSAASVKGEVGGELAASSGIS
+TPGSASSAADSVGHDIITEQPRSQHTLQTDAVDLAACDLTSAATDGDEEDILSHSSSQMS
+AVPSDPAMDLNDGTQASSPISDSSQTTTEGPDSAVTPSDSSEIVLDGTDSQYPGMQVGQT
+QDEDEDATAVLPDEDAEAFRNSSIALQQAHLLKSMGHCRQSSDSSVDKFVSREEAAEPGD
+PENKPCRVKGDIGQSTDEDSAPLVHCVRLLSASFLLTGEKNALVPDRDVRVSVKALALSC
+IGAAVALHPESFFSKLYRAPLDTVEYPEEQYVSDVLNYVDHGDPQVRGATAILCGTLICS
+VLGRCRFHVAGWMGAVRARTGNTFSLADCIPLLQKTLKDESSVTCKLACAAVRVSVSVCD
+VISLISGNFTNVNNERLVSFLEAKAESLHRGAHHYTGLLKLQERVLSNVVIHLLGDEDPR
+VRHVAAASLMRLVPKLFYKCDQGQADPVVAVARDQSSVYLTLLMHETQPPSHFSVSAVTR
+IYRGYNLLPSITDVTLENNLSRVIAAVSHELITSTTRALTFGCCEALCLLSTAFPVCIWS
+LGWHCGVPPLSASDESRKSCTVGMVSMILTLLSSAWFPLDLSAHQDALILAGNLLAASAP
+RSLRSSWASEDEASTAATKQEEAWPALGDRTLVPMVEQLFSHLLKVINICAHVLDDVAPG
+PAVKAALPSLTNPPSLSPIRRKGKEKEPGEQASVPVSPKKGSEASPASRPPETSGPVATN
+KSSSLGSFCHLPSYLKLHDVLKATHANYKVTLDLQSSGEKFGGFLRSALDVLSQILELAT
+LQDIGKCVEEILGYLKSCFSREPMMATVCVQQLLKTLFGTNLASQLDGLSSHASKSQGRA
+QRLGSSSARPGLYHYCFMAPYTLFTQALADASLRNAAQAEQDQDTAGWFDVLQKVSTQLK
+TNLTSVTKNRADKNAIHNHIRLFEPLVIKALKQYTTTTSVQLQKQVLDLLAQLVQLRVNY
+CLLDSDQVFIGFVLKQFEYIEVGQFRESEAIIPNIFFFLVLLSYERYHSKQIIGIPKIIQ
+LCDGIMASGRKAVTHAIPALQPIVHDLFVLRGTNKADAGKELETQKEVVVSMLLRLIQYH
+QVLEMFTLVLRQCHKESEDRWKRLSRQVADVILPMLAKQQMHIDSHEALGVLNTLFEILA
+PSSLRPVDMLLRSMFVTPDTLAAVSTVQLWVSGILAILRVLISQSTEDIVLSRIQELSFS
+PYLVSCPTISRLRDGDSTSALEEHTEGRQMKNLPEETFARFLLQLVGVLLEDIVTKQLRV
+EVSEQQQTFYCQELGTLLMCLIHIFKSGTFRRITAAASRLLRDGADGGFYGLESLNAWVR
+SMVPTHPALVLLWCQILLLVSHTDYRWWAEVQQTPKRRSLSSTKSLSPETSGEDEDPDVA
+SKLGMCNREIVRRGALILFCDYVCQNLHDSEHLTWLIVNHIQDLINLSHEPPVQDFISAI
+HRNSAASGLFIQAIQSRCENLSTPTMLKRTLQCLEGIHLSQSGAVLMLYVDKLLCTPFRV
+LARMVDTLACRRVEMLLAANLQSSTAQLPAEELIRIQEHLQSSGLAQRHQRLYSLLDRLR
+LATAPGSHGPTPPVTSHPLDGDGPLALEAVNPDKDWYVQLVKAQCWTRSDSALLEGAELV
+SRIPAGDLGAFMMHSEFNLSLLAPCLGLGMREISGGQESPLFEAARTATLDRVTVVVQQL
+PAVHEAFQPFLPTQPSAYWSKLDDLFGDAALYRTLTTLAQALAQYLLVFSKLPSHLHLPP
+EKERDTVKFMVMTVEALSWHLIHSRVPLSLDLQAGLDCCCLALQLPGLWSLLAAPDMVTH
+ACSLIHCVRFILEAIVVQPGDQLLSPERRTSTPKAAREDSVDSDTQNPQYITAACGMVAE
+MVECLPSVLALGHKRNSRTPAFLTPVLRNIVISLARLPLVNSYMRVPPLVWKLGWSPKPG
+GDFGTVFPEIPVEFLQEKEVFREFIYRINTLGWTSRTQFEETWATLLGVLVTQPLMMEQE
+ESPPEEDVERTQIHVLAVQAITSLVLSAMTVPVAGNPAVSCLEQQPRNKPLKALDTRFGR
+KLSIIRGIVEQEIQAMVSRRENAATHHLYQAWDPVPSLAPATTGALISHDKLLLQLNPER
+ELGDMSYKLGQVSIHSVWLGNSITPLREEEWDEEEEETEAPAPFPPPSVQASGSSVQKHR
+AGVDIHSCSQFLLELYSRWILPSSSARRTPVTLISEVVRSLLVVSDLFTERSQFETMYLT
+LTELRKVHPSEDEILLQYLVPATCKAAAVLGMDKAVAEPVSRLLESALRSSHLPSTMGAL
+HGVLYVLECDLLDDTAKQLVPVVTDYLLSNLQGRAHCVSVHSQQHVLVMCATAFYLIENY
+PLDVGPDFSASVIQMCGVMLSGSEEATPSVVYHCVLRGLERLLLSEQLSRLDAESLVKLS
+VDRVNVHSPHRAMAALGLMLTCMYTGKEKVSPGRASEPSTAAPDSESVIVAMERVSVLFD
+RIRKGFPCEARVVARVLPQFLDDFFPPQDVMNKVIGEFLSSQQPYPQFMATVVYQVFQTL
+HGAGQSPMVRDWVMLSLSNFTQRSPVAMAMWSLSCFFVSASTSPWVSAILPHVVSRMGKL
+EQVDVNLFCLVATDFYRHQIEEELDRRAFQSVFEVVAVPGNPYHRLLACLRSVQKAAAC
+>tr|A0A3Q1LN28|A0A3Q1LN28_BOVIN Cell adhesion associated, oncogene regulated OS=Bos taurus OX=9913 GN=CDON PE=4 SV=1
+MHPDSRPLQTLLYVTLLMLCASVSPDLAPYFVSEPLSAVQKLGGPVVLHCSAKPVTARIS
+WLHNGKRLDRNVEQIKVHQGTLTILSLNPSLSGHYQCVANNSIGAILSGPATVSTAVLGD
+FGASGKHVITAEEKSTGFIACRVPDSNPKAEVRYKIRGKWLKQSTENYLILPSGNLQILN
+VSLEDKGSYKCAAFNPVTHELKVEPIGQKLLVSRPSSGDFHILHPTFSQALAVLSRSPVT
+LECVVSGVPASHVRWLKGGQDAVVGSTWRRLYTHLATDSIDPADSGNYSCVVGNKAGDAK
+HVTYMVNVLEHASISKGLQDQTVSLGATVQFTCEVHGNPAPNRTWFHNAQPIRPSPRHLT
+VGNGLKISGVTMEDSGLYQCVADNGIGFTQSTGRLEIEKGSGLKPIITRSPASAEVAVGD
+SVTLSCNATGLPVPAIHWYDKRGLITSHLSQALRSKSRKPHLLRPGSSDPEPVTSRAGSG
+SLYIQAVRREHAGKYTCEATNVHGTTRSEAILVVVPFETDTKAEIVTSSDPTQNDKSDDS
+ETGLLSSFPVKEHSSAKESSSEKNASGPSVPDAPIILSPPQTHTPDTYSLVWRAGKDGGL
+PINAYFVKYRKLEDGVGVVGSWHTVRVPGSENELHLTELEPSSLYEVLMVARSAAGEGQP
+AMLTFRTSKEKTASSKNTQASSPPVGIPKRPVVSEAADNFGVVLTDASRHSGVPEAPDRP
+TISTASETSVYVTWIPRANGGSPITAFKVEYKRMRTSDWLVAAEDIPPSKLSVEVRSLEP
+GSTYKFRVIAINHYGESFRSSASRPYQVAGFPNRFSNRPITGPHIAYTEAVSDTQIMLKW
+TYIPSSNNNTPIQGFYIYYRPTDSDNDSDYKRDIVEGSKQWHMIGHLQPETSYDIKMQCF
+NEGGESEFSNVMICETKEYDPPGYLYQGSDVNGQMVEYTTLPGTNRINGSVHGSFSNGCS
+HLHHKVPNGVSGSLNGGLYPGHVSSLTRTRVDFEHPRRLVNGGGLYTAVPPADPMECINC
+RNCRNNNRCFTKTSSTFSSSPLPVVPVIAPYPQDGLEMKPFGHMKMPVCLASTVPDCVQP
+PEEGIKEDETPTPAQPICCQDSRDGASSDCVEDTAEFHRGDSCVPSEAENNILSWNPLVL
+PPVSKDCTEKTLWCPPGTPVDSPPGVLQQPQET
+>tr|A0A3Q1LJH6|A0A3Q1LJH6_BOVIN Centrosomal protein 192 OS=Bos taurus OX=9913 GN=CEP192 PE=4 SV=1
+MTVFSLAVSILRSLDWSFSCSKINFFSGLQFLGQTLSEMEDFRGIAEESFPSFLTSSLLG
+NSGILENVTLSSNLGLPVAVSTLARNRSSSENRYSDVQTSYLVEGKFSVPSETSPSSQSE
+DEPRERLRLVFSDDDSLAKKKNQTENQCLSDAVLRESAFPSDGARAEEEQARAAEPFLNQ
+GPSNRASPLGQEQDSPIDFCSQSWMNKENKIGVPDVGKHFEKRNPNSDLSLTSFLENEKL
+ISLASLEDSSDDDIDDEEFYDDHLEAYFEQLAIPGMMYEDLEGQEPPENYFKLPTSDPSQ
+ESGRAEVLVKSLRTPNTKLNPIDFNDTNASKDDFDLMDSLKLEEVSPHQNKHLTSDSETV
+LPVDRFLDTEMPQVSIQTNVDVASLKPVSDNAFNSTDAPWSPTSERQTCECYELVGKTKD
+QADPPQSVVYQDEEGRWVTDLAYYTPFDKEQDFNVSLSNELSEDFRSGSDALDLIAQDEE
+EFNKEHQFMQEENIDAQNMSVALGDTSWGTSVNCGLLRRSLSASDVDRDDASYLRLSLGE
+FFAQRSEALGCLGGGYNVKRPSFGYFIRSPEKREPIALIRKSDLSRSNLGKEVADLNHGL
+FSGDLKAQLSEGSVTLQAEELESISQVDENDVTLTANKGKAEDTFLVSSKPQRHTDELPS
+ESDSVLRISTIASAIAEASVSADPLQLATMIKALSNKTREKTCQEDGKQRHYSAVSHFLP
+NDLEKSNGSSTFDMERYLKKTDISQYEGGLDNFSRAGVSGIWDLSLSKERTTHNIHVVDL
+GATSISVREPEVGTAAGFYGESGDSVDQASLRTISSSNSVLTNVGEREGTAVDVKTHSID
+HKSPDSGDGEKAASVPIPASDSCSVIGNPRVASLWLSEECKGLQESRDYQRQNECIGETS
+NSEKHVAFENHSIISPKRVDLKSPSPERGGQGSENGEDSFRPSTSPLSHSSPSEISGTSL
+SGCALESFGSAAQPQKGPCERELSQLTCPEASVSRLTYVSEQESISPSMASRRVLDDRKS
+DITSELSTTIIRASPVPAQEPAADKLGEKSPFQSRGKGALSYIIQKNLDTEKVTETTSLS
+SRPEDVKLDFSSSRLPSSKSEDRSQTSATGLTSDRGDLEQVSLALRSESSLHPATLAIPC
+LPVSRQAPVLDGGHVTPRDTSATDSEFSGQGPYRTSVPSVPSTEGCGPAPMRQHMPLTGP
+AVSVHYPLPVAPSAQPHSGALPAASSATLPRCRACGATACGLSCGLPGSCHPAVVGEHVQ
+HSVTAGLCLGQDIGSGLMASSSLCNLYSDTLSQNLLNAAKVLPVQSLHPDCGIEPWDSAV
+VSGLGRVSVPEELKFPHACCVGIASQTYLSVLNPADRWLQVSIGVLSVSVDGEKVDFSAY
+PCLVFKNRVIIRPHATEEIKVLFIPSDPGIFRCIFSVASWPFSADAETVAQAEALASRVV
+LTAIAETPMIEVETEKKGVLDFGDLTYGSWKALPLKLVNKTHANIPIRLIISANAVAWRC
+FTFSKEPIHAALRALPYAHAIAQLAAPSVINHMMPASDDGQDPEFLVIWVLFHSPKKQIT
+SSEILDSAEEFLARVDIEVDSPNPTPVIKSISLRARTGIARIHAPKDLQTLHLFASVASS
+ARQYLPLKNAGNIDVHLDIKIPDQGSHFSVDPEKLFLKPGEEHEVIVSFSPKDPMGCEER
+ILKIFVQPFGPQYEVMLKGEIVSSGNRPLAPESLTSDIPSILSNKQFLAWGGVPLGRTQL
+QKLALRNNSASTAQHLRLLVRGQDQDCFQLQHTFGSEERLTSNCEVRIRPKEDIYISVLF
+APTRLSCMLAKLEIKQLGVRSQPGVKFTIPLSGYGGTSNLILEDVKKLSDSYMVTVNDLV
+PGQESRIVISVRNTGSRAAFVKAVGFKDSQKKVLLDPKVLRIFPDKFVLKERTQEDVTII
+YNPSDRESSCKTTTELSTIYFFGGDEISRQQYRRAVLHMPEVRERVLPSLSVLHSIDFAE
+AFQDELLVTEVYDLPQQPNDVQLFYGNMRKIILSVIGEFRDSISSREFLPPSAKPSLEFK
+SESGSCGAHGGNISLDVLPVKGPQGSPLLSQAVPPPQDNSASEEVWAVHPEHLVLVAPSC
+DMAKTGRFQILNNSIRLLKFELYWPAHCLTVTPQHGFIAPESKMQILVSPNSSLSTKHSM
+FPWSGLIYIHCDNGQKKIVKVQIREDLTQEELFTHLTSGPLGILSQETEHVVNLVKPAAK
+PPSTKVELRNKTVTFPPTEPGETSGNYLELENHGDTEVRWHLSSLAPPYVKGVDESGDVF
+RATYAAFRCSPISGVVEGHSVQKVSIMFLPRDRGDYAQFWDVECHPLKEPHLKHTLRFQL
+SGHSVRAESEPGISRISANSLIKIDNLLKLRRQAVSEASALIPGRQLDLTHRGVYAPEDV
+YQFLPTRVGESRTLKVNLRNNSFITHSLKFLSPREPFYVKHSKYSLRAQHYINMPVQFKP
+KSVGKFEDLLVVQTDEGKSVAVRLTGEALGNIS
+>tr|A0A3S5ZPH0|A0A3S5ZPH0_BOVIN Iroquois homeobox 3 OS=Bos taurus OX=9913 GN=IRX3 PE=4 SV=1
+MSFPQLGYQYIRPLYPPERPGAAAGGGSAGARGGPGAGASELAASGSLSNVLSSVYGAPY
+AAAAAAAAAAQGYGAFLPYAAELPIFPQLGTQYELKDSPGVQHTAAATAFPHPHPAFYPY
+GQYQFGDPSRPKNATRESTSTLKAWLNEHRKNPYPTKGEKIMLAIITKMTLTQVSTWFAN
+ARRRLKKENKMTWAPRSRTDEEGNAYGSEREEEDEEEDEEDSKRELELEEEELGGEEEDT
+GGEGLADDDEDEEIDLENLDGAVAGPELALTGASHRDGDLGLEPISDSKNSDSEDSSEGL
+EERPLPILSLAPVPPPVATAPPSPPSPPAGLDPCAPAPAPASALQKPKIWSLAETATSPD
+NPRRSPQGAGGSPPGAAVAPSALQLSPAAAAAAHRLVSAPLGKFPAWTNRPFPGPPPGPR
+PHPLSLLGDCGAAYHAAPASRSPGHRCSALEVEKKLLKTAFQPVPRRPQNHLDAALVLSA
+LSSS
+>tr|A0A3Q1MCQ9|A0A3Q1MCQ9_BOVIN Choline kinase alpha OS=Bos taurus OX=9913 GN=CHKA PE=4 SV=1
+MRRGRRAAANQRRARGRAVTRPALLVRRRYRSERHGERDQPSAAAAAAAARRSLPPRRRP
+APVRRAPSRPRPGPARQRGGKRPRCPASVRGASGRTLSLAFTRCGRPRLLGRLPGMKTKF
+CNGGEAEPSPLGLLLSCGSGSAAPAPGVGQQRDGASDREPKQLGGRQPPLALPPPPPPPL
+PLPPPPPPPPPADDQPELRTRRRAYLWCKEFLPGAWRGLREDQFHISVIRGGLSNMLFQC
+SLPDTLATVGDEPRKVLLRLYGAILKMACPCDRAWSTLVSR
+>tr|A0A3Q1N7R7|A0A3Q1N7R7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=KIAA0556 PE=4 SV=1
+PGYTKDMVTDFDEKHDEYLILLQQRNRILKHLKTKDPVQLRLEHLEQGFSVYVNGANSEL
+KTSPRKAVHSDFSRSASHTEGTHDCGRRTLFREAEEALRRSARTAPSKVQRRGWHQKSVQ
+IRTEAGPRLHIQPPPDYSEDSEPCGGVTVKVKDASGDRPQDTKSPTSTTPCDFNFSLPNA
+KGRPDPPSSTDRERILLTIQDVMELRKSLELSVNLQRKEKDGSSDEYDSIEEDVLPEPDP
+EDQVLVGCPRDDSPLSQRDSVQKDAPKDQETEERPPQGPDTLIVLEFNPTSKSNKKERNL
+SAKRKDNAEVFIPSKPEPHPSPQPPTMFPDQDRACSRPGSRRERPLSATRKPVREDEDRE
+EDASAVLRAIQVENEALQRAILSRKSEPPTSPPQVRSLQRESMEQDSVTSTQEPARAAGG
+ARAVSEAINRIGLLGSRQQQKLLKVLQAIESDSARLGWVVSPTEEQVLDPEDKSGPKAEE
+IKDAIYVTMEILSNWGNTSWVGLTEVEFFDLNNTKLYVSPHDVDIRNTDMPGDLGHLVSR
+NLAGKKDPSLWTCPFHPPLQLFFVIRNTGQLRDFGLTKIKVWNYWTADGDFDIGAKNVKL
+YVNKKLIFDGKLDKGGGEAPQSILVGLQDERMEESDAALLEESRGVRKTPGTEGDEELRA
+SHSQPAGAGEDVKVSSQGNLFVGRMNSPDSVKDSLSQLEEELSNLAAPSSTGGEPRGPPH
+CPSVECPPPPDQELSLLQQLENLTGRKVSEPPGKTPSWLQPSPAGKGRKPGGPKLKPLWL
+SPEKPLDWRDELPSDDVMGEGPGGSKARDKGSRREQGRVNSWNVITAERAQRTTPKVHGD
+DFDIFNQPPHREQPASGRRGLRRDALSLSHGDSQPASREGAWSTRMPPQPQWHSELEHTL
+HESWDSLTAFDRSHRGRISNMEFQGDILDEFLQQQKSSRQSDQPPPWKEEKPEPVKGQDH
+GSPEADDGGDFKIPVLPYGQHLVIDIKSTWGDRHYVGLNGIEIFSSKGEPVRIENIQADP
+PDINILPAYGRDPRVVSNLIDGVNRTQDDMHVWLAPFTPGKSHSISMDFLQPCQVALIRI
+WNYNKSRIHSFRGVKDITMLLDAQCIFKGEIAKASGTLTGAPEHFGDTILFTTDDDILEA
+IFCSDETFDLDVESLCSLQSEEALQRPSTADGQGEERPHTQAGSWADDQGLEPELPPSPP
+VPDVTTPEPGIYHGICLQLNFTASWGDLHYLGLTGLEVVGQDGQALPINPHQISASPRDL
+NDLPEYTDDSRTLDKLIDGANITMEDEHMWLIPFSPGLDHVVTIRFDRAESIAGLRFWNY
+NKSPEDTYRGAKIVHVSLDGLCVSPPEGFLIRKGPGNCHFDFAQEILFVDYLQARPLPPP
+AQRLDTKRLERASMDYEAPPMPCGFIFQFQLLTSWGDPYYIGLTGLELYDERGEKIPLSE
+NNIAAFPDSVNSLEGVCGDVRTPDKLIDQVNNTSDGRHMWLAPILPGLVNRVYVIFDLPT
+TVSMIKLWNYAKTPHRGVKEFGLLVDDLLVYNGILAMVGHLVGGILPTCEPTVPYHTILF
+TEDADICNQEKHTAISNQVEDQDVQMMNENQIITNSKRKQNAVDPALRPKTCISEKETAR
+PWWC
+>tr|A0A3Q1ME02|A0A3Q1ME02_BOVIN Rho family-interacting cell polarization regulator 2 OS=Bos taurus OX=9913 GN=RIPOR2 PE=4 SV=1
+MQYLDPEELLMDEEDDVFGEGLPARLPENMLVGSQSFSPGGPNGIIRSQSFAGFSGLQER
+RSRCNSFIENSSAVKKPQAKLKKMHNLGHKNSSPPKEPQPKRVEEVYRALKNGLDEYLEV
+HQTELDKLTTQLKDMRRNSRLGVLYDLDKQIKTIERYMRRLEFHISKVDELYEAYCIQRR
+LQDGASKMKQAFATSPASKAARESLAEINRSYKEYTENMCAIEAELEKQLGEFSIKMKGL
+AGFARLCPGDQYEIFMKYGRQRWKLKGRIEVNGKQSWDGEEMVFLPLIVGFISIKVTELK
+GLATHLLVGSVTCETKELFAARPQVVAVDINDLGTIKLNLEITWYPFDVEDMTPSSGAGN
+KVAALQRRMSMYSQGTPETPTFKDHSFFSNLPDDIFENGKAAEEKMPLSLSFSDLPNGDC
+TLAPGPADSLPGACAANPEITITSTELPPGSQSSQNEGLKDSSSASCSSSSREGSEPRPH
+PEGETQGLGKPEGCPVATGARPERLFLQKGVAEALLQEALLQEPSELKPVELDTFEGNIT
+KQLVKRLTSAEVPAATERLLSEGSISAESEGCRSFLDGSLEDAFNGLFLALEPHKEQYKE
+FQDLNQEVMHLDDILKCKPAVSRSRSSSLSLTVESALESFDFLNTSDFDEEEDGDEVCNV
+GGGADSVFSDTETEKNSYRSVHPEARGHLSEALTEDTGVGTSVAGSPLPLTTGNESLDLT
+IIRHLQYCTQLVQQIVLSSKTPFVASNLLEKLSRQIQVMEKLSAVSDENIGNISSVIEAI
+PEFHKKLSLLSFWTKCCTPIGVYHSSADRVIKQLEASFARTVNRDYPGLADPVFHTLVSQ
+ILDRAEPLLPASLSSEVITVFQYYSYFTSHGVSDLESYLNQLAKQVLVVQTLQSLRDEKL
+LQAVSDLAPGSFPAPQEEVLRTLALLLTGEDSGASEAVTLYLTAASRSEHFREKALLYYC
+EALTKTDFRLQKAACLALKSLKATESIKMLVTLCQSDTEEIRNVASETLLSLGEDGRLAY
+EQLDKFPRDCVKVGGRLASEVATAF
+>tr|F6PWC4|F6PWC4_BOVIN Calpain-3 OS=Bos taurus OX=9913 GN=CAPN3 PE=3 SV=1
+MPTVISASVAPRTGAEPMSPGPIAQAAQDKGTEAGGGNPSGIYSAIISRNFPIIGVKEKT
+FEQLHKKCLEKKVLFVDPEFPPDETSLFYSQKFPIQFVWKRPPEICENPRFIVGGANRTD
+ICQGDLGDCWFLAAIACLTLNKRLLFRVIPHDQSFTENYAGIFHFQFWRYGDWVDVVIDD
+CLPTYNNQLVFTKSNHRNEFWSALLEKAYAKLHGSYEALKGGNTTEAMEDFTGGVTEFFE
+IKDAPRDMYKIMKKAIERGSLMGCSIDDGTNMTYGTSPSGLKMGELIERMVRNMDNSRLR
+DSDLIPEGCSDDRPTRMIVPVQFETRMACGLVKGHAYSVTGLEEALYKGEKVKLVRLRNP
+WGQVEWNGSWSDSWKDWSYVDKDEKARLQHQVTEDGEFWMSYDDFIYHFTKLEICNLTAD
+ALESDKLQTWTVSVNEGRWVRGCSAGGCRNFPDTFWTNPQYRLKLLEEDDDPDDSEVICS
+FLVALMQKNRRKDRKLGANLFTIGFAIYEMHGNKQHLQKDFFLYNASKARSRTYINMREV
+SERFRLPPSEYVIVPSTYEPHQEGEFILRVFSEKRNLSEEVENTISVDRPVPIIFVSDRA
+NSNKELGVDQETEEGKDNTSPDKQAKSPQLEPGNTDQESEEQRQFRNIFRQIAGDDMEIC
+ADELKNVLNRVVNKHKDLKTQGFTLESCRSMIALMDTDGSGRLNLQEFHHLWKKIKTWQK
+IFKHYDTDQSGTINSYEMRNAVKDAGFHLNNQLYDIITMRYADKYMNIDFDSFICCFVRL
+EGMFRAFNAFDKDGDGIIKLNVLEVKPNSTLPPHFKI
+>tr|F1MTW3|F1MTW3_BOVIN Centromere protein U OS=Bos taurus OX=9913 GN=CENPU PE=4 SV=3
+MDRPRPARLSHARFSKNHSGRTHSMKDKAGRKHRPLDVFDFPDHSQLSSLSRLGENEKDE
+ESYETFDPPLHSTAIYADEEEFSKQCGSHLPSTPQEKEAKRSSDTSEIEASENESVKISA
+KKPRRKLKPISDESESPEESDVRRKVKPTENISTQHEAVSATALPGLSEKPAEAVTPQKT
+GPQSAESSAEKATLATEHQLETQKNKMLPGKRKKPRSYTTDTSDCAPVWCLKEKKASDIM
+ELDVFLSAFENILLEYEQKIDSRVCKAAINKFHSNLKEELIKMVQEIQMLKTLKRKNAKI
+ISNIEKKRQRLIEVQDELLQVEPELKQLQIKYEELQERKASLRKAAYFLSNLKQLHQDYS
+DVQEEEPSVKETYDSSSLPALLFKARPLLGAEHHLQNINYQLENLLDQK
+>tr|M0QW43|M0QW43_BOVIN Peptidase A1 domain-containing protein OS=Bos taurus OX=9913 GN=MGC157405 PE=3 SV=1
+MLRTQPSLSTWSQERSMKWLVVLGLVAFSECIVKIPLRRVNTMRKILSEKNMLNNFLKER
+AYRLSQISFRGSNLTHPLRNIRDLVYVGRITIGTPPQEFQVVFDTGSSDLWVPSDFCTSP
+ACSTHVRFRHLQSSTFRPTNKTFRITYGSGRMKGVVAHDTVRIGDLVSTDQPFGLSTAEY
+GFKDMPFDGVLGLNYPNISSSGAIPIFDKLKNQGAISEPVFAFYFSKDKREGSVVMFGGV
+DHRYYKGELKWVPLIQAGGWIVHMDRISFERKVIACSGGCEALVDTGAPLIDGPKPLVDN
+IQKLIGATPRGSKYYVSCSAVSTLPSIIFTINSINYRLPARAYILKDSRGHCYTAFKEHR
+LSLPKEFWILGDVFLRRYFSVFDRGNDRIGLARAV
+>tr|A0A3Q1NJ46|A0A3Q1NJ46_BOVIN Mitogen-activated protein kinase kinase kinase kinase OS=Bos taurus OX=9913 GN=MAP4K1 PE=3 SV=1
+MDLVDPDIFNRDPRDHYDLLQRLGGGTYGEVFKAREKVTGDLVALKMVKMEPDDDVSTLQ
+KEILILKTCRHANIVAYHGSYLWLQKLWICMEFCGAGSLQDIYQVTGSLSELQISYVCRE
+VLQGLAYLHSQKKIHRDIKGANILINDAGEVRLADFGISAQIGATLARRLSFIGTPYWMA
+PEVAAVALKGGYNELCDIWSLGITAIELAELQPPLFDVHPLRVLFLMTKSGYQPPRLKDK
+GKWSAAFHNFVKVTLTKSPKKRPSATKMLTHQLVSQPGLNRGLILDLLDKLRNPGKGAPV
+GEIEDEDPELPPAIPRRIRSTHRASSLGIPDADCCRRHMEFRKLRGMESRPPVDTALLQP
+PEDFKSGSPRRNLSESDDDYDDVDIPAPAEDTPPPLPPKPKFRSPSDEGPGGTGDDGQLS
+PGVLVRCASGPPPRTPHLGPPPATRSPHLTAHSEPSLWNPAPREPDQPPLLPPKKEKMKR
+KGCALLVKLFNGCPLRIHSTAAWTHPSTKDQHLLLGAEEGIFILNRNDQEATLEMLFSGR
+TTWVYSINNVLMSLSGKTPYLYSHSILGLLERKEGRTGSPIAHISPHRLLARKNIVSTKI
+PDTKGCRACCVAEGTSSGGPFLCGALETSVVLLQWYQPMNKFLLVRQVLFPLPTPLPVFA
+LLTGPGSELPSVCIGVSPGRPAKSVLFHTVRFGALSCWLGEMSTEHKGPVQVTQVEEDKV
+MVLMDGSLKLVTPEGAPVRGLRTPEIPMTEAVEAVAMVGGRLQAFWKHGVQVWALGSDQV
+SSSLLAPASPSHVSHLTHQIDWENKLSV
+>tr|A0A3Q1LPZ3|A0A3Q1LPZ3_BOVIN SLAIN motif family member 1 OS=Bos taurus OX=9913 GN=SLAIN1 PE=4 SV=1
+MANCCCLFSASVTASRWRSLFPSNVSLAFPYSPVARLSPYSNGINTPSFSKTSNKAILTP
+ERTGYTSRGSPLSPQSSIDSELSTSELEDDSISMGYKLQDLTDVQIMARLQEESLRQDYA
+STSASVSRHSSSVSLNSGKKGTCSDQEYDRFSLEDEEEFDHLPPPQPRLPRCSPFQRGIP
+HSQTFSSIRECRRSPSSQYFPSNNYQQQQYYSPQVQTPDQQPNRTNGGDKLRRSMPNLAR
+MPSTTTISSNGSSPVTVRNSQSFDSSLHGAANGISRIQSCIPSPGQLQHRIHSVGHFPAS
+VRQPLKATAYVSPTVQGSSNAPLSNSLQLYSNTGIPTPTKAAASGIMGRSALPRPSLAIN
+GSNLPRSKIAQPVRSFLQPPKPLSSLSTLRDGNWRDGCY
+>tr|A0A3Q1LSQ2|A0A3Q1LSQ2_BOVIN Transcription elongation factor A protein-like 1 OS=Bos taurus OX=9913 GN=TCEAL1 PE=4 SV=1
+MLTVSSNQQKAHINKREPVSAQVLLVLVLFACPDTICLSPFGRGEKQKKSAAPQVTAGHL
+EERPPRNQPPGETILNMEKACKEPEEQPQSPPKADEERPSVEPSPEKSSPEEQSSEEVSS
+EEEFFPDELLPELLPEMLESEERPPQERLSRKDLFEARPPMEQPPCGVGKHKLEEGSFKE
+RLARSRPQFRGDIHGRNLSNEEMIKVAEEMEEMKRVRNKLMVMHWKARRNRPYPI
+>tr|F1MSF6|F1MSF6_BOVIN UTP3, small subunit processome component OS=Bos taurus OX=9913 GN=UTP3 PE=4 SV=2
+MVGRSGRRARGAAKWAAVRAKASRDPADDDGEDLESPPSPGDSSYYQDKVDDFHEARSRA
+ALAKGWSEIESGDEEDGDEEEEVLALDVADEDDEDGESEEGDDDDGGSSVQSETEASVDP
+SLSWGQRKKLYYDTDYGSKSRGRQSQQEVEEEEREEEAEAQLIQRRLAQALQEDDFGVTW
+VEAFAKPVPQVNEAETRVVKDLAKVSVKEKLKMLRKESPELLELIDDLKVKLTEMKDELE
+PLLQLVEQKIIPPGKGSQYLRTKYNLYLNYCSNISFYLILKARRVPAHGHPVIERLVTYR
+NLINKLSVVDQKLSSEIRYMLTLKDGAGKKELNLKAKSMKAKPKSVSETSAAALAVRDLS
+DDSDFDEEAALKYYKEMEERQKLKRKKEENSTEEQALEDQNAKRAITYQIAKNRGLTPRR
+KKIDRNPRVKHREKFRKAKIRRRGQVREVRREEQRYTGELSGIRAGVKKSIKLK
+>tr|A0A3Q1LJF1|A0A3Q1LJF1_BOVIN Gamma-tubulin complex component OS=Bos taurus OX=9913 GN=TUBGCP2 PE=3 SV=1
+MSEFRIHHDVNELLSLLRVHGGDGAEVYIDLLQKNRTPYVTTTVSAHSAKVKIAEFSRTP
+EDFLKKYDELKSKNTRNLDPLVYLLSKLTEDRETLQYLQQNAKERAELAASAAASSTASF
+GAPATASKISMQELEELRKQLGNVATGPTWQQSLELTRKMLRDKQNKKNSGQRLPVFPAW
+VYERPTLLGDFLTGSGTSTDAAVPIGTLPLASQEAAIVEDLLYVLVGVDGRYITAQPLTG
+RQSRTFLVDPNLDLSIRELVSRILPVAASYSTVTRFIEEKSSFEYGQVNHALAAAMRTLL
+KEYLILVTQLEQLQRQGLLSLQKLWFYIQPAMRTVDILASLATSVDKGECVGGATLSLLH
+DRSFSYTGDSQAQELCLYLTTAASVPYFEILEKWIYRGIIDDPYSEFMVEEHELRKEKIQ
+EDYNDKYWELRYTVVQRHIPSFLQKMAGKVLSTGKYLNVVRECGHDVTCPAAKEVIYTLK
+ERAYVEQIEKAFGYASKVLLGFLMEEKELVAHLRSIKRYFLMDQGDFFVHFMDLTEEELK
+KPVDDITPTRLEALLELALRMSTANTDPFKDDLKIDLMPHDLITQLLRVLAIETKQEKAM
+VHADPTELTLSGLEAFSFDYVVKWPLSLIINRKALTRYQMLFRHMFYCKHVERQLCSVWI
+SNKTAKQHSLHSAKWFAGAFTLRQRMLNFVQNVQYYMMLEVVEPTWHVLEKSLRSASTID
+DVLGHHASFLDGCLKDCMLTNPELLRVFSKLMSVCVMFTNCMQRFTQSMKLDSELGRLTL
+EHGTMQGPPTEAERAEERTRKELARKCLAEHVDAPQLASSFEATINKFDKNFSAHLLDLL
+ARLSVYSTSDCEHGMASVISRLDFNGFYTERLEHLSAERSQKVAPPVPGPRGPPAPAPRV
+AVPAQ
+>tr|A0A3Q1LXW7|A0A3Q1LXW7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=TIAM2 PE=4 SV=1
+MGNSESQYTLQGPKNHSNTITGAKQKPCSLKIRGLHAKDDKSLHGWGHGSSGAGYKSRSL
+ARSCLSHFKSNQPYASRPGGPTCKASKGSAYAKHRTGAAGSDFQGTDAAFSPENGFHYVG
+RPPEENHSASRDCRNGHLLNCYGGNESIASTPPGEDRKSPRVLIKTLGKLDGCLRVEFHS
+GAAPPGASPSGGPVQLLRYSAGSAPSPSASPAAAARPRSSKGSSLSSESSWYDSPWGPAG
+EVSEAEGSFVAPDTPEPGLHTGFPTRDAPKPFSQSASLSSLRDPYPNASLGSLAPAALRL
+SDDYMGTRASLSARVSFASDMDVPARVERGEPGQFASFTLPCRKSRALGEESSKKDTLKA
+RMRRISDWTGSLSRKKRRLQEPRSKEGSDYFDSRSDGLNVDTQGPSQGSVSLWSGGSAQI
+LSHRSESAHAIGSDPLQQNIYENFMRELEMSRTNTENLETSTETAESSSESLSSLEQLDL
+LFEKEQGVVRKAGWLFFKPLVTLQKERKLELVARRKWKQYWVTLKGCTLLFYETYGKNSM
+DQSSAPRCALFAEDSIVQSVPEHPKKENVFCLSNSFGDVYLFQATSQTDLENWVTAIHSA
+CASLFAKKHGKEDTVRLLKNQTRNLLQKIDMDSKMKKMAELQLSVVSDPKNRKAIENQIQ
+QWEQNLEKFHMDLFRMRCYLASLQGGELPNPKSLLAAASRPSKLALGRLGILSVSSFHAL
+VCSRDDSALRKRTLSLTQRGRHKKGLFSSLKGLDTLARKGKEKRPSITQIFDSSGSHGFS
+GTQLPQSSNNSSEVDDLLHLYGSAVDGVPRDGTWESQVDVHFPDRQVVTVMVKPETRVED
+VLTLACKMRQLEPSHYGLQLRKLVDENVEYCIPAPYEYMQDQVYDEIEVFPLSVYDVQLT
+KTGGVSDFGFAVTAQVDEHQHLSRIFISDVLPDGLAYGEGLRKGNEIMTLNGEAVSDLDL
+KQMEALFSEKSVGLTLIARPPDTKATLCSSWSDSDLFSRDQKGLLPPPNQSQLLEEFLDH
+FKKDTANDFSNVPDVTTGLKRSQTDGTLDQVSHREKKEQTFRSAEQITALCRNFNDTQAN
+GMEGPREGQDPAPRPLARHLSDADRLRKVIQELMDTEKSYVKDLSCLFDLYLEPLQSETF
+LTQDEMESLFGSLPEMLEFQKVFLETLEDGISASSDFNILETPSQFRKLLFSLGGSFLYY
+ADHFKLYSGFCANHIKVQKVLERAKTDKAFKAFLDARNPTKQHSSTLESYLIKPVQRVLK
+YPLLLKELVSLTDHESEEHYHLTEALKAMEKVASHINEMQKIYEDYGTVFDQLVAEQSGT
+EKEVTELSMGELLMHSTVSWLNPFLSLGKARKDLELTVFVFKRAVILVYKENCKLKKKLP
+SNSRPAHSNADLDPFKFRWLIPISALQVRLGNTAGTENNSLWELIHTKSEIEGRPETIFQ
+LCCSDNESKTNIVKVIRSILRENFRRHIKCELPLEKTCKDRLVPLKNRVPVSAKLASSRS
+LKVLKNSSSSEWPGEPGKGSSLDSDEGSLSSSTQSSGCPPAGRGQDTQQHPHAGLADFSD
+SLIKESDILSDDEDDYPQARRQGSPTKDIEIQFQRLRISEDPDAHPAEEPPSKDGQPKLV
+RGHFCAIKRKANSTKRDRGTLLKAQTRHQSLDSHPGNANLDLSSVLEREFSVQSLTSVVN
+EECFYETESHGKS
+>tr|F1MER5|F1MER5_BOVIN [F-actin]-monooxygenase MICAL2 OS=Bos taurus OX=9913 GN=MICAL2 PE=4 SV=3
+MGENEDEKQTQAGQLFENFVQASTCKGTLQAFNILTRQLDLDPLDHRNFYSKLKSKVTTW
+KAKALWYKLDKRGSHKEYKRGRSCMNTKCLIIGGGPCGLRTAIELAYLGAKVVVVEKRDT
+FSRNNVLHLWPFTIHDLRGLGAKKFYGKFCAGSIDHISIRQLQLILFKVALILGVEIHVN
+VEFVKVLEPPEDQENQRFRRKEFRGKLAIAITANFINRNSTAEAKVEEISGVAFIFNQKF
+FQDLKKETGIDLENIVYYKDCTHYFVMTAKRQSLLDKGVIINDYTDTETLLRAENVNQDN
+LLSYAREAADFATNYQLPSLDFAMNHYGQPDVAMFDFTSMYASENAALVRERQSHLLLVA
+LVGDSLLEPFWPMGTGCARGFLAAFDTAWMVKSWDQGTSPLELLAERESLYRLLPQTTPE
+NINKNFEQYTLDPATRYPNLNSNNIKPNQVKHLYITKELHQYPLERLGSVRRSVGLSRRE
+SDVRPSKLLTWCQQQTEGYQHVNVTDLTTSWRSGLALCAIIHRFRPDLINFDSLNEDHAV
+ENNQLAFDVAEREFGIAPVITGKEMASAQEPDKLSMVMYLSKFYELFRGTPLRPVDSWRK
+NYEENADLGLAKSSIPHHYLNLTFPRKRTPRVDSRTEENDMNKRRRKGFNNLHEPSAFSS
+QSLGSNQEGIKEGGNQNKVKSMASQLLAKFEESSRNPSILRQESVRKTFPLNVGGSDTCY
+FCKKRVYVMERLSAEGRFFHRECFRCSVCATTLHLATYAFDVDEGKFFCKPHFIHCKTNS
+QQRKRRAELKQQKEEEGMWKEQEAARRDPPSESSCAAAAIATPEGSPPDEPTSPKKPKSI
+PEPEPGDVAGGAASPLPSEWTAVRISPGEDVVGQDVLAVRVLVTSDDSSSDAELDRGDSE
+ASSVEPFDERPQQPELQLPPLLKPFTRHSSLREALPRAVSPHDLGEPEAEPALQRANSFQ
+CPTPSEYQSGRRFQSNFMPVNSKMTVSPPKQACPPPPSPSPPSSSSIGDLNALDSPSLPQ
+VTVSNTASQISRGHCSPSTPIFLRRAKAHGPPKDLPLRLPRGQVLERTEYCLVRPGGNGL
+QSSRPPSPTEMASDGCQEAVAPPRDIRSIHRGPHPVEGKDRCLPDHPLSPWAGEEPGEGS
+TRPRRVEDGGLELAEEKSGLKKLVLTPEQKTWLLDWNDSNLENLYLETGARLSQKSTRNG
+SGGHVLKPVHPLLLPLAEKETLPAQRQSQEKMGAPAERAPGERSMAPPKSPLRLIANAIR
+RSLEPLLPNSDSGRKAWAKPESKTLPTSPPHAYTCSFSFRKSSSSKDSDQPSPKRDVASK
+ASAFFSLGSPTARTAQPSAPSPPAPALCTHSLPSRSSKVFPALVPPPCGKMEDVPTLLEK
+VSLQETVPDASRVPKKRTSLFSSFRLKDKSFESSLQESGPRKDSQDLFSSPKGKVQPMGN
+AQPPEKQGQPISSTCLGQRVHPVSPEKDASPTHVPIRAQGTGTVSSTSSSTTSSADEEFH
+PQPSSRSKERKALRRRRKLEKATKQLVKQEELKRLHKAQAIQRQLEEVEEQQRAFEIQGV
+RLEKALRGEAADSGTQDEAQLLQEWLKLVLEKNKLMRYESELLIM
+>tr|A0A3Q1LKK7|A0A3Q1LKK7_BOVIN NCK associated protein 5 OS=Bos taurus OX=9913 GN=NCKAP5 PE=4 SV=1
+MHEKLIHELEEERHLRLQSEKRLQEVTLESERNRIQMRGLQQQFSRMEETVRNLLQSQGP
+PEQKKEETVNIMVYQAENSALALENENQREQYERCLDEVANQVVQALLTQKDLREECVKL
+KTRVFDLEQQNRTLSILFQQRVRPTSDLLLQKLHSRILDLSSGDLLSDVEGSQSLTHSRT
+DVEMHGCQLNTKAGTPALKCPGTGIAVSGHLCPRSSYSSSELSLSSTCSEYSSGSSYTWH
+DGKNLRKRQSSQNWDKRLSIDSSLPSGFASPMDELPPTRIKESHILEGLRKLQKRKVLLE
+PPSVITKWGYKDCMNSNEGIYSPGINSNSLKEYPPCKPTDTRSPCTDPHKAFVYDTDSHD
+EADEDASSLASVQAVPNQGCRLHSCKLTHSVSDSLFGWELNGKHCSEVTSSVYSRERPEK
+LTGCASNCPLEQKLCPGMQGPRVQREKVSPSQGCQALSLQPSDTDDPETLDELHIESSDE
+KSPSDVSATADTDQSTESLDILTGFEKSSCGSPEEEENQVPVHLESRPKTFSFIKQQRVV
+KRTSSEECITVIFDAEDGEPIEFSSHQTGLVTVTRKEISIHQAPAGPQMEHTELSPQGIA
+HLQPGAAARDYPFLKRSEEETERNIPRDEVDDPAMTPAVSFHPRTVTQNTQRLAKPTHVT
+PCQSHSRSSVGTGVCQKKSLTKIPTRGKSSPQKSRVREPEASLMMPSAGSVTLEKSPAPG
+KLSQFKKTEGPAPLFDLQPDSHIPKPPTQLPHGSKMSSRRDWVQSSKNQMLASQLLSRPP
+TERSDDGEPPTRDKHCDPGPEAGVKSPSPPSPPGRSVSLLIRPSYDFLPPPSSAKSESRV
+STETARMVLKSPPLKGSSAPVIYFNQTLTDVQGKKPSVAFKKPIFTPSPPSAETAIQMRC
+PAHSPSSSFAVMVPEPPKVSPKRNAPRAPPHQTLGTTQNNTGLQTPKNYASPREPLEIPS
+SKGVSPGRKEKLSGSVSASSKPSFLGVNESPSSQVNSPLSSASFKSHNPLHGCQNLHERG
+LKTRFPVGLKVFMKSPQLLRKSSTVPGKQEKDSLNEASKTSVAVSKAKPGASRNPASLET
+TGGERNTSPVSLPAQESLAKGLPLERAMPESLENSMPGADRKDGVENRSVKRSLSSSKPH
+LKPALGMNGAKARSQSFSAHSGEKPPTPPTEGLGKVRTQIITNTAERGNSLTRQSSSAEG
+SPSKSASTPVSDGLPSTGKPLGHPSPRQGSLGSTGSSSSQHGSPSKLPLRIPPKSEEPLT
+PAGTEEQQAYAQGEGPRVTVPEEPGSDHCRCPPTPTDSSGGPQSLGRTPHPSSFTASRTS
+KLETSGRYPDTSTTRAGVVSPEAPLSPTIEEKVMLCIQENVEKGQVQTKSTSVEAKPKPG
+PSFASWFGFRRSRLPALSSRKMDVSRTKAEKKDAKGLGFGNKQLKSERKKEKKKPELQCE
+MENELHRDIELADGPDSGLQNRNNPKTPPDIYDQVKFESRNRPSPVPCSAKDTFMTELLN
+RVDKKAAQQTESGSNSLSCRSVLKGSSQGSCLTSSSLSTQGNHKKNIKTKADMEKPKGSL
+VREANDHLQEDEEDTVADSAFQSHTIETNCQMRTLDSGIGTFPLPDSGTRAAGRYIRQAD
+SPEDTDPILSLQPALCAASSIRAQTLEREVPSSADSQGSADNAIVHSTSDPIMTARGTRP
+LQSLLPKPASSGKINSQMKSEAEPRSQNCSPFQYVENTMASKPLPAWEGDDAAAETQKLK
+QVEETREDPENRLCKISLESFNKYDGNTVILLGKEKNSLNKVEGQKEEKEKTEEASLSSS
+ERPGVDHLESLSDSLYDSFSSCASQGSNDV
+>tr|A0A3Q1M528|A0A3Q1M528_BOVIN RRM domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+ENFRLKAGYRAGAAGGRGSRPGRRRHLVPGAGGEAGEGAPGGAGDYGTAWSRRNWSLRSC
+CWSPSRSPSPKRSRPGPDPELEAIKARVREMEEEAEKLKELQNEVEKQMNMSPPPGNAGP
+VIMSIEEKIEADARSIYVGNVDYGATAEELEAHFHGCGSVNRVTILCDKFSGHPKGFAYI
+EFSDKESVRTSLALDESLFRGRQIKVIPKRTNRPGISTTDRGFPRTRYRARTTNYNSTRS
+RFYSGFNSRPRGRVYRGRARATSWYSPY
+>tr|F1N0X9|F1N0X9_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 GN=BOLA-DQA5 PE=3 SV=1
+MVLNRALILGTLALTTMMSPSGGEDIVADHVGIYGVNIYQSYGPSGQFTHEFDGDEQFYV
+DLEKKETVWKLPLFSRMLSFDPQFALRNIAIMKLHVDFLTKFSNSTAATNKVPEVTVFSK
+SPVMLGQPNTLICHVDNIFPPVINITWLRNGHSVTEGVSETSFLIKSDYSFLKIKYLTFL
+PSDDDIYDCKVEHWGLDEPLLKHWEPEIPAPMSELTETVVCTLGLTVGLVGIMVGTIFII
+QGLRSGDPSRHQGPL
+>tr|A0A3Q1M0E8|A0A3Q1M0E8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=DNM3 PE=3 SV=1
+MGNREMEELIPLVNRLQDAFSALGQSCLLELPQIAVVGGQSAGKSSVLENFVGRDFLPRG
+SGIVTRRPLVLQLITSKAEYAEFLHCKGKKFTDFDEVRHEIEAETDRVTGMNKGISSIPI
+NLRVYSPHVLNLTLIDLPGITKVPVGDQPPDIEYQIREMIMQFITRENCLILAVTPANTD
+LANSDALKLAKEVDPQGLRTIGVITKLDLMDEGTDARDVLENKLLPLRRGYVGVVNRSQK
+DIDGKKDIKAAMLAERKFFLSHPAYRHIADRMGTPHLQKVLNQQLTNHIRDTLPNFRNKL
+QGQLLSIEHEVEAYKNFKPEDPTRKTKALLQMVQQFAVDFEKRIEGSGDQVDTLELSGGA
+KINRIFHERFPFEIVKMEFNEKELRREISYAIKNIHGIRTGLFTPDMAFEAIVKKQIVKL
+KGPSLKSVDLVIQELINTVKKCTKKLANFPRLCEETERIVANHIREREGKTKDQVLLLID
+IQVSYINTNHEDFIGFANAQQRSSQVHKKNTIGNQGTNLPPSRQIVIRKGWLTISNIGIM
+KGGSKGYWFVLTAESLSWYKDDEEKEKKYMLPLDNLKVRDVEKSFMSSKHIFALFNTEQR
+NVYKDYRFLELACDSQEDVDSWKASLLRAGVYPDKSLTENDENGQAENFSMDPQLERQVE
+TIRNLVDSYMSIINKCIRDLIPKTIMHLMINNVKDFINSELLAQLYSSEDQNTLMEESVE
+QAQRRDEMLRMYQALKEALVVIGDINTATTFTPAPPPVDDSWIQHSRRSPPPSPTTQRRP
+TLSAPLPRPTSGRGPAPAIPSPGPHSGAPPVPFRPGPLPPFPNSSDSFGAPPQVPSRPTR
+APPSVPRRPPPAVPGRPS
+>tr|F1N343|F1N343_BOVIN Coiled-coil domain containing 136 OS=Bos taurus OX=9913 GN=CCDC136 PE=4 SV=3
+MEAGAGAGAGASGWSCPGPGPTVTTLGSYEVSEGCERKKGQRWGSLERRGMQAMEGEVLL
+PALYEEEEEEEEEEEEMEEEEDSGQKGGNAGSLSMSKHRGLSLTETELEELRAQVLRLVA
+ELEETRELAGQHEDDSLELQGLLEDERMASAQQAEVFTKQIQQLQGELRSLREEISLLER
+EKECELKEIEQELHLARAEIQTLRQAAEDSATEHDSDIASLQEDICRMQNELEDMDRIRG
+EYEMEITSLRAEIDMKNSDPSNSFSLSDFSEMQEELQQLRERYHFLNEEYRALQESNSSL
+TGQLADLESERTRRATERWLESQTLRTMRSAESQTSEEDFLEPDPGMHLLRQQLLGAKEQ
+MHDMQSKCKKLTCELQELHHHRRTSEEEQRRLQRELKCAQNEVLRFQTSHSVTQNEELKT
+RLCALQQKYDASQDEQSELLKVQLQLQAELRQLKVMKSTVESQSEKELQCQLQKLQLQCQ
+SISCEKDKLLDVQQHLRDTLRCHEAEVQHLRGVVTTYQESSEKSAEVHAQLQETKRLYQC
+SQETLDRQKHMYDQLEQEFLLCQQELQQLRSTQSILEDKGKCANKCDALLFRLTELQETY
+KASQKEMAQLQMEQCELLEEQRRMQEEQGQLQEELHRLTFPLPKSGLFHKSQELLTKLQD
+LCEVQMLYQGMQEEQKKLIQNQENIVKEQLELQGALHRFKESSFREVLENPEDPKWPKSS
+KCGHNKSKMIIAQMQALQELYEASETEQELLQQEQERLLEERKRLQADLQLCLEEMQLLQ
+DQSPSIKMSLDSYRKSYSSTTTSNENCHKSCNINDDEGYQKSYSSSQASEESLLKSYESS
+TSASESYQRSYLSSSSSSSDTYKRSYGSSSSSDTCHKSYVSSSMDDELAKPGDVEHLEDV
+VAKVLIKLQGVQAMYQLSQEEHTLLQQRMKKLLDEQKELKEELDACEKEFKECMEGLEKP
+VASQNDKDKNEIKELQAKLRELQLQYQASMDEQGRLLAVQEQLEGQLQCCQEELRQLKEK
+KSSVTKEIRGKNGNKNMNKNANGVKNKKVAKPSLESTESGLETTKNLEVVLYYKASHTSL
+DGPTKEEKKEETEEEKEEEVKEGTKEESQDGMVSEPSGPKEVKFKDDQEEKDEEFPSQEG
+KDEDDEEEDDDEEASEESNPLKLSESKKSSPAPDPPIFSLPLVGLVVISALLWCWWAETS
+S
+>tr|F1N2E3|F1N2E3_BOVIN Family with sequence similarity 189 member A1 OS=Bos taurus OX=9913 GN=FAM189A1 PE=4 SV=3
+MALGCLIVAVSFAALALTTSARVRHSCPFWAGFSVLLSGLIGVVSWKRPLSLVITFFMLL
+SAVCVMLNLAGSILSCQNAQLVNSLEGCQLIKFDSVEVCVCCETQHQVSGCSNLGETLKL
+NPLREDCNAVRLTLKELLFSVCALNVLSTIVCALATAMCCMQMVSADVLQMFLPQRAPSA
+SPACLTPHGTVLHQTLDFDEFVPPLPPPPYYPPEYTCTPTAEAHRGLHLDFASSPFGTLY
+GVAINSPGLLYPAELPPPYEAVVGPSPANQLPSTEPQMTEPGPGDPDIAAGFSSQALTDS
+SSLLASEGSAAPGLSHLSPDNPTGTPLPTPHTHHTSPEGPHKGTRVRPGPRRMARSISDP
+TSCTSRTTGDASSYTPSCSQDLEAGLSRAALGTATLSHSITAAYAFRHQLSPRESDAWKA
+DPRSTPEALQTVSKERLRSLVDSKAFEDARVLVAKFLEHSSSSLPVEVRHVVGSARSAVP
+PEECLMEEAVLSTRVLGQL
+>tr|A0A3Q1MNS9|A0A3Q1MNS9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=THEGL PE=4 SV=1
+MESQEFSGPSGLSDGHHTAETSARSEALQKPLVRIFDMNKQLEESEEAGFSEESEEIPNH
+PKAYELYQSYESHKPLKPHAPYEPHAPREVRKPREPRKPRGPPVPREPHKSQEIELLPRA
+GVMVSPSLLMRLPPRIPPSSLSGRCWGLSGALSNPTPSRPGGSNGKVCLSFSRKRIQDLS
+RPKKQWRAPDRKLFWGNQDPIRPVSRNALKAQMTQRLENLAQSKEVSHRYVPNRVQYYYS
+CGRESVIWEIPSPALFCQPSKRIQKLAQPNRSKRGDYLIRGSLQFSDPSPRILRLSIAKA
+TNPNYVPPKSIETKILSSTLTAIAAPRIVDLAHPRIKIEGLCFERERSEKPIRPISHAAL
+LAHPSPRILALAKAKPLHKDYLPPRDALWPVSYAAIHSKISPRIQELANPNTRTPVHIVY
+YDPEVFKVKPAALKTQCSPRIQELAEPITR
+>tr|G3MWX5|G3MWX5_BOVIN Ribosomal_L18e/L15P domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=2
+MPSRLRKTRKLRGHVSHGHGRIGKHRKHPGGRGNAGGMHHHRINFDKYHPGYFGKVGMRH
+YHLKRNQSFCPTVYLDKLWTLVSEQTRVNAAKNKTGAAPIIDVVRSGYYKVLGKGKLPKQ
+PVIVKAKFFSRSQAEEKTNSFPKEEGTVNYESEITTVWCQMFRKENLSGRKAWSIIRTLL
+WHGVQTIQLTLISLSITLIKTILVTQPWPNHRKTPQLTRS
+>tr|A0A3Q1MVR1|A0A3Q1MVR1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=PTPRJ PE=4 SV=1
+MSPGKPGAGGAGTRRTGGRRRRRRRRLEAVTQAPGLGRTAGPGSRVPGTFQGARGMKPAA
+REARPPPRSPGLRWALPPLLLLLRLGQVSCRVVESSPVKAVTTSPSSVTATWKNSTTASD
+SMGGIKSTNENLHTSFANQTGDNSMDSGPGGNGTSGDTTVGKTSGSQEPHPVMDLRAAFV
+GVTHVTLTWKTDNITDTCRMLLEGSQELTTNLTVNIFDLKPGSQYTVTLYPLGLNETQGS
+PLSTENGLEGRDEVTLVSLPASFNRPSPWSRSSAFLLLRLKPGTQYKATVYPQADKGAEG
+QPQATEFKTNSSQVFDIKFVNISATSLTMTWKINDNESSSVYTYKIQVVDETDSFNLIVN
+ETHAVITELSSGTLYNITVYPVLEDGSEGTPGFIQVYTPPSPVSDFRVTSVSTREVGLAW
+NSNDTHSFEIHITPHEAGEPRIITTTEQSIVFGGLYPGTMYRFDIYPQGPNGTKGDSQTV
+STTTDCSAVFDIRVINVTTTDMQLAWQNTDNASEYIYSVEIQSEHSSDRKNSSHTGIAFH
+DLTPGTLYKITVTPQMDFVQGLANSTLQYTRPSKVSSIEIMTNTTAATLNWQNVDEASSN
+YTYCLLIRQDGSSSNFPPIVTDVGVTHATVTNLIPGSSYTVEIFTRMGDVKSLGPSRQSF
+CTDPESVASFDCEAVPKKATLVLRWACPLGTSTGFELEVSHGYWKNKTTLEGCSLNNGSE
+FMTEVTHLNFSTLYDISIATHSCNKTAPPAQNTCLSGITDPPLPDGSPNITSVSHNSVKV
+KFSGFEASHGPIKAYALVLTTVDADRPSADVLRFTYGDFKRGASNTYVTYLITTEAKRRA
+QGLSEVLKYEVDVGNESTTHGYYNGKLEPLGSYRACVAGFTNIAFNPRNAGLIDGNESYV
+SFSPCSEAVFLPQDPGVICGAVFGCIFGALVIVAVGGFIFWRRRRKGVKNNDVTFSQIKS
+KLIKVENFEAYFKKQQADSNCGFAEEYEDLKLVGINLPKYAAELAENRGKNRYNNVLPYD
+VSRVKLSVQTHSTDDYINANYMPGYHSKEDFIATQGPLPNTLKDFWRMVWEKNVYAVVML
+TKCVEQGRTKCEEYWPSKQAQDYGDITVAMTSEIVLPEWTIRDFTVKNTPTSESHPLRQF
+HFTSWPDHGVPDTTDLLINFRYLVRDYMKQSPPESPILVHCSAGVGRTGTFVAIDRLIYQ
+IENENTVDVYGIVYDLRMHRPLMVQTEDQYVFLNQCVLDIIRSQKDSKVDLIYQNTTAMT
+IYENLSPITTFGKTNGYIA
+>tr|E1BIG6|E1BIG6_BOVIN Transferrin receptor OS=Bos taurus OX=9913 GN=TFRC PE=4 SV=3
+MMDQARSAFSNLFGGEPLSYTRFSLARQVDGDNSHVEMKLAADEEENVDSNMRGNQTSIA
+KPKRLNGYVCYGIIAVIVFFLIGFMIGYLGYCRRVESQDCGKEAGTQPSCPEETETFESE
+EQLPGVPRIFWADLKSTLSGKLDAVDFARAIKMLNENSYVPREAGSEKDTSLAFFIENQL
+QDCKLGKVWHDEHFIKIQVKGSSQNSVSIVSTSGNGSQAYPVESPEGYVAYSKAATVTGK
+LVHANFGTKQDFEDLNMPVNGSLVIVRAGKISFAEKVANAESLNAIGVLIYMDYSKYPIV
+NANLPVFGHAHLGTGDPYTPGFPSFNHTQFPPSQSSGLPNIPVQTITRAGAEKLFQNMEG
+DCPRIWGTDSSCKLVSSQDKNVKLSVNNVLKEIRILNVFGVIKGFEEPDRYVIVGAQRDA
+WGPGAAKSSVGTSLLLTLARILSDMVLKGQFKPSRSIVFASWSGGDFGAVGATEWLEGYL
+SSLHLKAFTYINLDKAVVGTTNFKVSASPLLYSLIEKIMKDVKHPLNGLSLYRDSNWISK
+VQKLSLDNAAFPFLAYSGIPAVSFCFCEDTDYPYLGTPMDTYETLNREVPQLNRVARAAA
+EVAGQLVIKLTHGVELNLNYEMYNDEILRFVKEMNLFRADIRDMGLNMQWLYSARGDFFR
+ATSRLTTDYKNAEKTDRSVMREINDRIMKVEYHLLSPYVSPREFPFRHIFWGSGSHTLSA
+LLEHLKLRKKNNGAFNQTLLENQLALATWTIQGAANALSGDIWDIDNEF
+>tr|A0A3Q1MX15|A0A3Q1MX15_BOVIN Stathmin 4 OS=Bos taurus OX=9913 GN=STMN4 PE=4 SV=1
+MTLAAYKEKMKELPLVSLFCSCFLADPLNKSSYKYEGWCGRQCRRKDESQRRDSADWRER
+REQADTVDLNWCVISDMEVIELNKCTSGQSFEVILKPPSFDGVPEFNASLPRRRDPSLEE
+IQKKLEAAEERRKYQEAELLKHLAEKREHEREVIQKAIEENNNFIKMAKEKLAQKMESNK
+ENREAHLAAMLERLQEKDKHAEEVRKNKELKEEASR
+>tr|E1BBJ8|E1BBJ8_BOVIN Mitogen-activated protein kinase kinase kinase 6 OS=Bos taurus OX=9913 GN=MAP3K6 PE=4 SV=3
+MAGPSPRSGALERAGSCWQDPLAEALSRGRPLAASPGRGCARSRPLSVVYVLTREPLPEV
+EPETGAEAEPLPLRCLREACAQLPGPRPRPQLHSLPFGTLALGDTAALDSFYNADVVVLE
+VSSSLAQPSLFYHLGVRESFSMTNNVLLCSQADLPDLQALREDIFQKNSDCIGSYTLIPY
+VVTATGRVLCGDAGLLRGLADGLLQAGVGTEALLTPLVGRLAHLLEATPTDSCGYFRETI
+RQDIRRARERFSGLQLRQELARLQRRLDSVELLSPDIIMNLLLSYRDVQDYSAIIDLVET
+LQALPTCDVAEQHNVCFHYTFALNRRNRPGDREKALAVLLPLVQVEGPVAPDLYCMCGRI
+YKDMFFSSRFQDAGHREQAYHWYRKAFDIEPSLHSGINAAVLLIADGQRFEDSEELRLIG
+MKLGCLLARKGCVEKMQYYWDVGFYLGAQILANDLTQVALAAEQLYKLNAPIWYLVSVME
+TFLLYQHFRPTLELPGEAPHGAHFWLHFLLQSCQPLKTACPQGDQCLVLVLEMNKVLLPA
+RLEVQGTNPVSAVTLSLLEPEKQVKSPDVPSSWTFQVASISGVSVSKRDERCCFLYAPPP
+AQDVQLCFPSAGHCQWFCGLIQALVKSPDSGAPAEETEGAGEVLEFDYEYTESGERLVLG
+KGTYGVVYAGRDRHTRVRIAIKEIPERDSRFSQPLHEEIALHKRLRHKNIVRYLGSVSQG
+GYLKIFMEEVPGGSLSSLLRSVWGPLQDNESTISFYTRQILQGLSYLHDNHIVHRDIKGD
+NVLINTFSGLLKISDFGTSKRLAGITPCTETFTGTLQYMAPEIIDQGPRGYGKAADIWSL
+GCTVIEMATGHPPFHELGSPQAAMFQVGMYKVHPPMPGSLSAEAQAFLLRTFEPDPRLRA
+SAQALLADPFLQPGKRSRSPGSPRQALRSSEAPSASLAPSADSTSQSQTFPRPQAPSQHP
+PSPPKRCLSYGDTSQLRVPEEPGADEPASPEESSGLSLLHQESRRRATLATVLEQELPAL
+AESLRLEQEQGPRLERSHVEQLLLCLRAHIHTPNRRQLAQELRGLQGQLRAQGLEPELLQ
+GPLFAFPDEVKQVLRRRQIRPHWMFVLDSLLSRAVRAALAVLAPEVEEEAVLPKSEEASN
+AEESEPKQQETPAEPSPLLGEPEQGTPSLMVQLGLLRAETDRLRDVLAEKERECQALVQL
+ALQRVSGEARTCALASEPPAALAVDQGLVQWLQELNVDSGTIQTLLNHSFTLHALLTCAT
+RDDLIYTGIRGGMICRIWRAILAQRTRSTPVTPGPQEAE
+>tr|G3N065|G3N065_BOVIN Zinc finger protein 385C OS=Bos taurus OX=9913 GN=ZNF385C PE=4 SV=2
+MKRPLSPSPAGEKEPPVCEAAECPPRPPEAPKPKRERKRPSYTLCDVCNIQLNSAAQAQV
+HCGGRAHQRRLRQLSLEKTTPGPAGPASGAPSPLLASLALPARPLQPPLDFKHLLTFHFN
+GATPLSLFPNFSTMDPVQKAVISHTFGVPSPLKKKLFISCNICHLRFNSANQAEAHYKGH
+KHARKLKAVEAAKSKQRPQTLTQDEMRVPPTPTPASGAPEERHSKVPAAPPPGPEIQSPR
+SPEPTPREPVPSDLFDAASSSSSSSCLPCSPEPGPEAPEPEPAAVAMGSGVSGEGRSEKG
+RLYCPTCKVTVNSASQLQAHNTGAKHRWMVEGQRGAPRRGRGRMVPRGGAGHKAKRVTGG
+RGGRQGPSPPFHCALCQLQVNSETQLKQHLSSRRHKDRLAGKPPKPSSQHSKLQKHAALA
+VSLLKSKLALQKQLTKTLAARFLPSPLPTAAAAICALPGPLALRPAPTAATTLFPAPILG
+PALFRTPAGAVRPATGPIVFAPY
+>tr|A0A3Q1LL67|A0A3Q1LL67_BOVIN Golgi glycoprotein 1 OS=Bos taurus OX=9913 GN=GLG1 PE=1 SV=1
+MAACGRVLRMFRLAAALPLLLLAADGAQKIQGPGGHGQGPGPGSNLLPLGAQPGGGVLPG
+QLLLPQSSQLQQQQQQQQQQPPQQQQPQQQQQPPFPAGGSPVRRGGAGPGGAGGGWKLAE
+EESCREDVSRVCPKHTWSNNLAVLECLQDVREPENEISSDCNHLLWNYKLNLTTDPKFES
+VAREVCKSTISEIKECADEPFGKGYLVSCLVDHRGNITEYQCHQYITKMTAIIFSDYRLI
+CGFMDDCKNDINILKCGSIRLGEKDAHSQGEVVSCLEKGLVKEAEERDPKIQVSELCKKA
+ILRVAELSSDDFHLDRHLYFACRDDRERFCENTQAGEGRVYKCLFNHKFEESMSEKCREA
+LTTRQKLIAQDYKVSYSLAKSCKSDLKKYRCNVENLPRSREARLSYLLMCLESAVHRGRQ
+VSSECQGEMLDYRRMLMEDFSLSPEIILSCRGEIEHHCSGLHRKGRTLHCLMKVVRGEKG
+NLGMNCQQALQTLIQETDPGADYRIDRALNEACESVIQTACKHIRSGDPMILSCLMEHLY
+TEKMVEDCEHRLLELQYFISRDWKLDPVLYRKCQGDASRLCHTHGWNETSELMPPGAVFS
+CLYRHAYRTEEQGRRLSRECRAEVQRILHQRAMDVKLDPALQDKCLIDLGKWCSEKTETG
+QELECLQDHLDDLAVECRDIVGNLTELESEDIQIEALLMRACEPIIQNFCHDVADNQIDS
+GDLMECLIQNKHQKDMNEKCAVGVTHFQLVQMKDFRFSYKFKMACKEDVLKLCPNIKKKV
+DVVICLSTTVRNDTLQEVKEHRVSLKCRKQLRVEELEMTEDIRLEPDLYEACKGDIKNHC
+STVQYGNAQIIECLKENKKQLSPRCHQKVFKLQETEMMDPELDYTLMRVCKQMIKRFCPE
+ADSKTMLQCLKQNKNSELMDPKCKQMITKRQITQNTDYRLNPVLRKACKADIPKFCHGIL
+TKAKDDSELEGQVISCLKLRYADQRLSSDCEDQIRIIIQESALDYRLDPQLQLHCSDEIA
+SLCAEEAAAQEQTGQVEECLKVNLLKIKTEGCKKEVLNMLKESKADIFVDPVLHTACALD
+IKHHCAAITPGRGRQMSCLMEALEDKRVRLQPECKKRLNDRIEMWSYAAKVAPADGFSDL
+AMQVMTSPSKNYILSVISGSICILFLIGLMCGRITKRVTRELKDR
+>tr|E1BCZ2|E1BCZ2_BOVIN RB binding protein 6, ubiquitin ligase OS=Bos taurus OX=9913 GN=RBBP6 PE=4 SV=3
+MSCVHYKFSSKLNYDTVTFDGLHISLCDLKKQIMGREKLKAADCDLQITNAQTKEEYTDD
+NALIPKNSSVIVRRIPIGGVKSTSKTYVISRTEPVMGTSKAIDDSSASISLAQLTKTANL
+AEANASEEDKIKAMMSQSGHEYDPINYMKKPLGPPPPSYTCFRCGKPGHYIKNCPTNGDK
+NFESGPRIKKSTGIPRSFMMEVKDPNMKGAMLTNTGKYAIPTIDAEAYAIGKKEKPPFLP
+EEPSSSSEEDDPIPDELLCLICKDIMTDAVVIPCCGNSYCDECIRTALLESDEHTCPTCH
+QNDVSPDALIANKFLRQAVNNFKNETGYTKRLRKQLPPPPPPIPPPRPLIQRNLQPLMRS
+PISRQQDPLMIPVTSSSTHPAPSMSSLTSNQSSLAPPVPGNPSSAPAPVPDITATVSISV
+HSEKSDGPFRDSDNKILPAAALASEHSKGASSIAITALMEEKGYQVPVLGTPSLLGQSLL
+HGQLIPTTGPVRINAARPGGGRPGWEHSNKLGYLVSPPQQIRRGERSCYRSINRGRHHSE
+RSQRTQGPSLPATPVFVPVPPPPLYPPPPHTLPLPGVPPPQFSPQFPPGQPPPAGYTVPP
+PGFPPAPTNLSAPWVSSGVQTAHSNTIPTTQAPPLSREEFYREQRRLKEEEKKKSKLDEF
+TNDFAKELMEYKKIQKERRRSFSRSKSPYSGSSYSRSSYTYSKSRSGSTRSRSYSRSFSR
+SHSRSYSRSPPYPRRGRGKSRNYRSRSRSHGYHRSRSRSPPYRRYHSRSRSPQAFRGQSP
+NKRNVPQGETEREYFNRFREVPPPYDMKAYYGRSVDFRDPFEKERYREWERKYREWYEKY
+YKGFATGAQPRPSANRENFSPERFLPLNLRNSPFTRGRREDYSGGQSHRSRNVGGNYPEK
+LSSRDSHNQKDNTKSKDKEGENAPGDGKGNKHKKHRKRRKGEESEGFLNPELLDTSRKSR
+EPTSGEENKTDSLFVLPSRDDATPVRDEPMDAESITFKSVSEKDKREKDKPKAKGDKTKR
+KNDGSTVSKKETVVKPAKGPQEKLDGEREKSPRSEPPLKKAKEETPKTDNVKSSSSSQKD
+EKTVGTPRKAHSKSVKEHQETKLVKEEKVKKDYSKDIKSEKVASKEEKARKPNEKSKPLD
+SKGEKRKRKTEEKSVDKDFESSSMKISKLEVTEIVKPSPKRKMELDIEKMDRTPEKDKIS
+SSAAPAKKIKLNRETGKKIGSTENVSNTKEPSEKLESTSGKVKQEKVKGKVRRKVTGTEG
+SSSTLVDYTSTSSTGGSPVRKSEEKTDTKRTVIKTMEEYNNDNTAPAEDVIIMIQVPQSK
+WDKDDFESEEEDVKSTSQPISSVGKPASVIKNVSTKPPNAIKYTEKESESSEKIQKLAKE
+VSHETAQHEVKSSKNSASSEKGKTKDRDHSVLEKENPEKRKNNSTQPEKDSNLDRLNEQG
+NFKSLSQSSKETRTSGKEDKHDSVRGSSNKDFTPNRDKKTDYDNREYSSSKRRDERNELT
+RRKDSPSRSKDSASGQKTKPREERDLPKKGTGDSKKSNSSPTRDKKPHDHKATYDTKRLS
+EETKSVDKNPCKDREKHMLEAKNSKESSGNKSLSILNPPDPQIEKEPVTGQIDKNTIKPK
+PQLSHSSRLSSDLTRETDEAAFEPDYNESDSESNVSIKEEETAGKVSKELKDKVVEKAKE
+SLDTAAVSQAGVTRSQSQSSPSVSPSRSHSPSGSQTRSHSSSASSAESQDSKKKKKKKEK
+KKHKKHKKHKKHKKHAGTEAELEKSQKHKHKKKKSKKSKDKEKEKEKDDQKVKSVTV
+>tr|F1MJD1|F1MJD1_BOVIN Melanin concentrating hormone receptor 1 OS=Bos taurus OX=9913 GN=MCHR1 PE=4 SV=1
+MDLEASLLPTGPNASNTSESPDNLTSAGLPPRTGSISYINIIMPSVFGTICLLGIVGNST
+VIFAVVKKSKLHWFSNVPDIFIINLSVVDLLFLLGMPFMIHQLMGNGVWHFGETMCTLIT
+AMDANSQFTSTYILTAMAIDRYLATVHPISSTKFRKPSVATLVICLLWALSFISITPVWL
+YARLIPFPGGTVGCGIRLPNPDTDLYWFTLYQFFLAFALPFVVITAAYVRILQRMTSSVA
+PASQRSIRLRTKRVTRTAIAICLVFFVCWAPYYVLQLTQLSLSRPTLTFVYLYNAAISLG
+YANSCLNPFVYIVLCETFRKRLVLSVKPAAQGQLRAVSNAQTADEERTESKGA
+>tr|A0A3Q1MM18|A0A3Q1MM18_BOVIN Small nuclear ribonucleoprotein D3 polypeptide OS=Bos taurus OX=9913 GN=SNRPD3 PE=4 SV=1
+MARGWGVGAPGRRRWGPPAPLTVGVGRVVVEDAAGSPAVAAQHNEVALVVGGAAEAAGPA
+GREAAVLWGAGAEVAAQHARVHQHDGHVALRQAPCGRRGCWEGLVGSTCRGTPGPSGVRV
+GTWWPGPAPAPPSHRAAWSDAVAQEVCHFSTGSLRGSSWLNSQQKNTRDPTWSWLSLYEG
+RKLARDGRRKTGAEAGPAGAATKGRSMSREGTSASRQSSLPAEMSIGVPIKVLHEAEGHI
+VTCETNTGEVYRGKLIEAEDNMNCQMSNITVTYRDGRVAQLEQVYIRGSKIRFLILPDML
+KNAPMLKSMKNKNQGSGAGRGKAAILKAQVAARGRGRGMGRGNIFQKRR
+>tr|G5E675|G5E675_BOVIN AP-2 complex subunit alpha OS=Bos taurus OX=9913 GN=AP2A2 PE=3 SV=2
+MEWPKQQTESKQKERTAETGKSKEAEIKRINKELANIRSKFKGDKALDGYSKKKYVCKLL
+FIFLLGHDIDFGHMEAVNLLSSNRYTEKQIGYLFISVLVNSNSELIRLINNAIKNDLASR
+NPTFMGLALHCIANVGSREMAEAFAGEIPKILVAGDTMDSVKQSAALCLLRLHRASPDLV
+PVGDWTSRVVHLLNDQHLGVVTAATSLITTLAQKNPEEFKTSVSLAVSRLSRIVTSASTD
+LQDYTYYFVPAPWLSVKLLRLLQCYPPPEDPAVRGRLTECLEAILNKAQEPPKSKKVQHS
+NAKNAVLFEAISLVTHHDSEPNLLVRACNQLGQFLQHRETNLRYLALESMCTLASSEFSH
+EAVKTHIETVINALKTERDVSVRQRAVDLLYAMCDRSNAQQIVAEMLSYLETADYSIREE
+IVLKVAILAEKYAVDYTWYVDTILNLIRIAGDYVSEEVWYRVIQIVINRDDVQGYAAKTV
+FEALQAPACHENLVKVGGYILGEFGNLIAGDPRSSPLTQFHLLHSKFHLCSVPTRALLLS
+TYIKFVNLFPEVKGTIQDVLRSDSQLKNADVELQQRAVEYLRLSTVASTDILATVLEEMP
+PFPERESSILAKLKKKKGPSTVTDLEEAKRERSADVNGGPEPALASTSAVSTPSPSADLL
+GLGAAPPVPAGPPPSSGGLLVDVFSDSPSAAAPLAPGSEDNFARFVCKNNGVLFENQLLQ
+IGLKSEFRQNLGRMFIFYGNKTSTQFLNFTPTLICSDDLQANLSLQTKPVDPTVDGGAQV
+QQAVNIECVSDFTEAPVLNIQFRYGGTFQNVSVKLPITLNKFFQPTEMASQDFFQRWKQL
+SNPQQEVQSIFKAKHPMDTEVTKAKIIGFGSALLEEVDPNPANFVGAGIIHTRTAQIGCL
+LRLEPNLQAQMYRLTLRTSRETVSQRLCELLSEQF
+>tr|E1BH64|E1BH64_BOVIN GTP binding protein 3, mitochondrial OS=Bos taurus OX=9913 GN=GTPBP3 PE=3 SV=2
+MLLEEHHFTAPQRASPRLLSLSLASRSEDLQWMGGTFSTGPNDNRELLSTLPVTPRSGRN
+LLRGLADPRGRVGRRGADCESMWRGLWTLVSRAARGPHSPRLCTRQGSGYRAPGSGATIF
+ALSSGQGRCGIAVIRTSGPASGHALQSLTAPRDLPLARKACLRLLSDPRSGEPLDRALVL
+WFPGPQSFTGEDCAEFHVHGGPAVVSGVLQALGSVPGLRPAEAGEFTRRAFAHGKLSLTE
+VEGLADLIHAETEAQRRQALRQLDGELGDLCRGWAETLTKALAHVEAYIDFSEDDNLEEG
+VLDQADSEVRKLEVALGVHLRDARRGQRLRSGAHVVVAGPPNAGKSSLVNLLSRKPVSIV
+SPEPGTTRDVLETPVDLAGFPALLSDTAGLREGVGPVEQEGVRRAQKRLEQADLILAVLD
+ASDLASPASCNFLDTVVIPAGARNPNGSRQRLLLVLNKSDLLPAGRSDLHPDLPSHLLLS
+CLTGEGLDGLLEALKKELAALCGDPSTGPLLTRSRHQHHLRGCLDALGQYKQAKDLALAA
+EALRVARGHLSHLTGGGGTEEILDIIFRDFCVGK
+>tr|F1MIV2|F1MIV2_BOVIN Solute carrier family 2, facilitated glucose transporter member 12 OS=Bos taurus OX=9913 GN=SLC2A12 PE=3 SV=1
+MVPVENAEGPSLLKPKGRAAETDGSDRASGGPHPPWARGCGMYTLLSSVTAAVSGFLVGY
+ELGIISGALLQIRTLLVLTCHEQEMVVSSLLIGALLASLIGGVLIDRYGRRAAIILSSCL
+LGLGSLVLIISLSYTTLIGGRIAIGVFISLSSTATCVYIAEIAPQHRRGLLVSLNELMIV
+IGILFAYISNYAFANISHGWKYMFGLVIPLGVLQAIAMYFLPPSPRFLVMKGHEEAASKV
+LGKLRAISDTTEELTVIKSSLKDEYQYSFWDLFRSKDNMRTRIMIGLMLVFFVQITGQPN
+ILFYASTVLKSVGFQSNEAASLASTGVGVVKVISTIPATLLVDQVGSKTFLCIGSSVMAA
+SLVTMGIVNLNIHMNFTSICRNHSPINQSLDESVFYGPGNLSASNDTLRESFKGMTFHSR
+SSLRPTRNDINGKGETTLASLPNAGLSQTEYRIVTDSADVPTFLKWLSLASLLVYVAAFS
+IGLGPMPWLVLSEIFPGGIRGRAMALTSSMNWGINLLISLTFLTVTDLIGLPWVCFIYTV
+MSLASLVFVIVFIPETKGCSLEQISVELAKENYVKNNICFMSHHREELVPKQLQKRKPQE
+QFLESKKLRGKGQPRQLSSEV
+>tr|A0A3Q1MH10|A0A3Q1MH10_BOVIN NOVA alternative splicing regulator 1 OS=Bos taurus OX=9913 GN=NOVA1 PE=4 SV=1
+MMAAAPIQQNGTHTGVPIDLDPPDSRKRPLEAPPEAGSTKRTNTGEDGQYFLKVLIPSYA
+AGSIIGKGGQTIVQLQKETGATIKLSKSKDFYPGTTERVCLIQGTVEALNAVHGFIAEKI
+REMPQNVAKTEPVSILQPQTTVNPDRIKQTLPSSPTTTKSSPSDPMTTSRANQVMVLHEV
+RSKLALLMHEVGKVYMHFHSDFFSYEF
+>tr|F1MY54|F1MY54_BOVIN Nitric oxide synthase OS=Bos taurus OX=9913 GN=NOS3 PE=3 SV=2
+MGNLKSVGQEPGPPCGLGLGLGLGLCGKQGPASPAPEPSRAPAPATPHAPDHSPAPNSPT
+LTRPPEGPKFPRVKNWELGSITYDTLCAQSQQDGPCTPRRCLGSLVLPRKLQTRPSPGPP
+PAEQLLSQARDFINQYYSSIKRSGSQAHEERLQEVEAEVASTGTYHLRESELVFGAKQAW
+RNAPRCVGRIQWGKLQVFDARDCSSAQEMFTYICNHIKYATNRGNLRSAITVFPQRAPGR
+GDFRIWNSQLVRYAGYRQQDGSVRGDPANVEITELCIQHGWTPGNGRFDVLPLLLQAPDE
+APELFVLPPELVLEVPLEHPTLEWFAALGLRWYALPAVSNMLLEIGGLEFSAAPFSGWYM
+STEIGTRNLCDPHRYNILEDVAVCMDLDTRTTSSLWKDKAAVEINLAVLHSFQLAKVTIV
+DHHAATVSFMKHLDNEQKARGGCPADWAWIVPPISGSLTPVFHQEMVNYILSPAFRYQPD
+PWKGSATKGAGITRKKTFKEVANAVKISASLMGTLMAKRVKATILYASETGRAQSYAQQL
+GRLFRKAFDPRVLCMDEYDVVSLEHEALVLVVTSTFGNGDPPENGEVRAPEAAPGRCSGP
+DGSPPLSYKIRFNSVSCSDPLVSSWRRKRKESSNTDSAGALGTLRFCVFGLGSRAYPHFC
+AFARAVDTRLEELGGERLLQLGQGDELCGQEEAFRGWAKAAFQASCETFCVGEEAKAAAQ
+DIFSPKRSWKRQRYRLSTQAEGLQLLPGLIHVHRRKMFQATVLSVENLQSSKSTRATILV
+RLDTAGQEGLQYQPGDHIGICPPNRPGLVEALLSRVEDPPPPTESVAVEQLEKGSPGGPP
+PSWVRDPRLPPCTLRQALTFFLDITSPPSPRLLRLLSTLAEEPSEQQELETLSQDPRRYE
+EWKWFRCPTLLEVLEQFPSVALPAPLLLTQLPLLQPRYYSVSSAPNAHPGEVHLTVAVLA
+YRTQDGLGPLHYGVCSTWLSQLKTGDPVPCFIRGAPSFRLPPDPYVPCILVGPGTGIAPF
+RGFWQERLHDIESKGLQPAPMTLVFGCRCSQLDHLYRDEVQDAQERGVFGRVLTAFSREP
+DSPKTYVQDILRTELAAEVHRVLCLERGHMFVCGDVTMATSVLQTVQRILATEGDMELDE
+AGDVIGVLRDQQRYHEDIFGLTLRTQEVTSRIRTQSFSLQERHLRGAVPWAFDPPGPDTP
+GP
+>tr|A0A3Q1N3Z3|A0A3Q1N3Z3_BOVIN Lipin 1 OS=Bos taurus OX=9913 GN=LPIN1 PE=4 SV=1
+MEAQTMNYVGQLAGQVFVTVKELYKGLNPATLSGCIDIIVVRQPNGSLQCSPFHVRFGKM
+GVLRSREKVVDIEINGESVDLHMKLGDNGEAFFVQETDNDQEVIPMHLATSPILSEGASR
+MESQLKRNSTDRIRGLDTNTSAQVSPPSETPSSGSLVKKRRKRRRKSQLDSLKRDENMNT
+SEDEDMFPIEMSSDEEAEPLDSSRTIPDDIPPFQEDVPKESRCPVMTYPQSASYPNSDRE
+WSPSASPSVSRPSTPKSDSELVSKSADRTIQKNNLEMLWLWGELPQATKSSPHKMKESSP
+LNSRKICDKMHFQAIHSESSDAFSDQSPTLVRASPVQPLLEQNKPQTEIQFVNEDDVEAL
+GAAAPPLPMIEEPKAHSANTAPVAASKTDSPSRKKDKRSRHLGADGVYLDDLTDMDPEVA
+ALYFPKNGDPSGLTKPTSDNGARSANQSPQSVGSSGVDSGVESTSDGLRDLPSIAISLCG
+GLSDNREITKDAFLEQAVSYQQFADNPALIDDPNLVVKIGNKYYNWTTAAPLLLAMQAFQ
+KPLPKATVESIMRDKMPRKGGRWWFSWRGRNTTIKEESKPEQCLAGKSHSTGEQPSQLGM
+ATRIKHESSSSDEEHAAAKPSSTSHLPLLSSVSYKKTLRLTSEQLKSLKLKNGPNDVVFS
+VTTQYQGTCRCEGTIYLWNWDDKVVISDIDGTITRSDTLGHILPTLGKDWTHQGIAKLYH
+KVSQNGYKFLYCSARAIGMADMTRGYLHWVNERGTVLPQGPLLLSPSSLFSALHREVIEK
+KPEKFKVQCLTDIKNLFFPNTEPFYAAFGNRPADVYSYKQVGVSLNRIFTVNPKGELVQE
+HAKTNISSYVRLCEVVDHVFPLLKRSHSSDFPCSDTFSNFTFWREPPPPFENQDVHSASA
+>tr|E1BJ58|E1BJ58_BOVIN G_PROTEIN_RECEP_F1_2 domain-containing protein OS=Bos taurus OX=9913 GN=LOC100337324 PE=3 SV=3
+MALMKNQTHISHFILLGLFNHTPLHLLLFSIIMVMFLVALSGNGLMILLINTDSRLHSPI
+YFFLSWLSLMDLTLISTSVPRMAIDYLLGHGSISFTGCGLQILFFVTLLGDECFLLAFMA
+YDRYVAISNPLRYSVVMSCRVCWLMVALCWLSGLVDGLIQAIYTLSFPYCGSQEIDHFFC
+DIPAVLKLACADTSLYQTMIYVCCVIMLLLPFSVISVSYLLIFVTVLRMHSAEGQKKAFA
+TCSSHMAVVSLFYGAAMIAYMRPQTYHSSKQDKVVSAFYTMITPMLNPLIYSLRNKEVAG
+ALKKLLGRCPCGGRQE
+>tr|A0A3Q1N1G9|A0A3Q1N1G9_BOVIN Spermatogenesis associated 6 like OS=Bos taurus OX=9913 GN=SPATA6L PE=4 SV=1
+MPLEVVVELQIRAISCPGVFLPDKHDVFLGVYLLNQYLETDCFPSMFPIMVQRSMRFEKV
+FENAIDPGAVADILESFLTRFELIQLVSPVWQELAYYEENTRDFLFPEPRLTPSHPGMCR
+EVLMKTVEGFPGIAPKIEFSTRTAIRERVFLHRNRFFEERRKSQRPLSTPNGPKFPLSCV
+KMKPRESNLDRVPPGMQSRPLSPYCTRRFFQDQPAQLNLGYTFKIPGESKPPFVVRHVDS
+AKPFGENSSQHHSQKSRRKPNFSNFPFPVRRVSSLDSLGANVKVIKEPDERIVLRNESPS
+SLDSSKFGKPSPSYSNQGDADFHQETSFDTSQNSRSPSPLLDQPLLRERPHPGFQSTWKK
+IHERVCSLLTSHRAQQHLNKEESISEIKNILNRPGYPLKKYRAHEQKYF
+>tr|E1BK08|E1BK08_BOVIN Ubiquinone biosynthesis protein COQ4 homolog, mitochondrial OS=Bos taurus OX=9913 GN=COQ4 PE=3 SV=3
+MATLLRGVLRPLYAFRGGPGPPAGVPFRAVSHGTGLLYPEHIPTSVLQKVLLAAGSAGMA
+LYDPYRHDMVAVLGETTGRRTLKVLRDQMKRDPEGAQILQERPRISLSTLDMGKLRSLPE
+GSFGRAYLHFLDVNRVSPDTRAPTRFVDDEELAYVIQRYREIHDMLHALLGMPTNILGEI
+VVKWFEAVQTGLPMCVLSALFGPIRLSAQSLQLLISELIPWAVENGRRAPCVLNVYYERR
+WEQPLQALRQELGITEPPLRVEGLV
+>tr|E1BLL1|E1BLL1_BOVIN C-type lectin domain-containing protein OS=Bos taurus OX=9913 GN=CLEC1B PE=4 SV=3
+MDETGTYYTISLTDSVGEGEEHDRNNLWKRMEPAPSPLWRVMALTLLVLCVGMVVGLVAL
+GLMWARMLSDISKESDIITNLYKIVHQNKNEYSGITWKHNNRVMQQNYLQAENENLSGTM
+KLLVKKVCQDLIQLSGHKCNPCDTHWRYYGDSCYGFFRHNLTWEESKKYCIDVNATLVKI
+SMLSQHNMPSQFLISFPFSWIGLSRQKSSDVWRWEDGSVLSKKIFSALEY
+>tr|A0A3Q1MIN3|A0A3Q1MIN3_BOVIN Myelin regulatory factor-like protein OS=Bos taurus OX=9913 GN=MYRFL PE=4 SV=1
+DDLTGSNLTTQGANGTLGNPTLDTSLLEEFLGNDFDLGALQGQLPDTPPYSASDSCSPPQ
+VKGACCPTLTPAARGTPAALLHSANAPGILPAHQSHSSSEMSNSGLPHRTFHNCYPDASH
+LMSPLDQSVSGGIGCSYHQQPLCHSPGVSLPPTKKRKCTQVLEDSGDCHVWTHHSRPMTG
+RSHSIEVQDLDSERQNMMLADQPSPALKWQPYRSVPWHSLLNSQYEKLPDVGYRVVTDKG
+FNFSPADEAFVCQKKNHFQITIHIQVWGSPKFVKTQMGLKPIEMFYLKPFGVKVEATNQI
+IAIEQSQADRSKKIFNPIKINLLADQVTKVTLGRLHFSETTANNMRKKGKPNPDQRYFML
+VVGLYAANQDQFYLLSAHISERIIVRASNPGQFENDIDALWQRGQVPESVVCHGRVGINT
+DAPDEALVVCGNMKVMGTIMHPSDSRAKQNVQEVDTNEQLRRIAQMRIVEYDYKPEFASA
+MGINTAHQTGMIAQEVREILPRAVREVGDVTCENGETLENFLMVDKDQIFMENVGAVKQL
+CKLTNNLEERIEELEIWNRKLARLKRLSSSKSSASEASSISKFSRALSASSPKRTISKKN
+SKVSFSGKKQSCPNWVFQSLVITLIAVMAFCLKDQDRCTPSVNLITFCEILPCQQTYCCP
+IWGTRRGLSSPMQRQLEEQEPHQGPWAGRSTSFLAAEAQTNVDWRSDCKFTFTLFFGFVE
+RGRATTSYESDTGERSGNYYYHIPVNKYTPTNVKFSLEINTTEPLIVFQCKFTLGNMCFR
+SKIEAKGMESQQEVSQEMTQGYQHIWSLPVAPLFDSGYHFRVAAPDLADCSTDPYFAGKF
+FTDYFFYFYRHCV
+>tr|A0A3Q1NGJ3|A0A3Q1NGJ3_BOVIN CRIB domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MTEECEGIWDKPGCCMVEKPELNKRSRIDWAVVGEPMNFVHLIHIGPGDMRAGDGLATTG
+ASQGQLRPREAKTENAPHLFSRGLDSSIFPQPALCSVSFAS
+>tr|A0A3Q1MSD7|A0A3Q1MSD7_BOVIN Myosin phosphatase Rho interacting protein OS=Bos taurus OX=9913 GN=MPRIP PE=4 SV=1
+MSAAKENPCRKFQANIFNKSKCQNCFKPRESHLLNDEDLTQAKPIYGGWLLLAPDGTDFD
+NPVHRSRKWQRRFFILYEHGLLRYALDEMPTTLPQGTINMNQCTDVVDGEGRTGQKFSLC
+ILTPEKEHFIRAETKEIISGWLEMLTVYPRTNKQNQKKKRKVEPPTPQEPGPAKMAVTSS
+SSSIPSAEKVPTTKSTLWQEEMRAKDQPDGSSPSPAQSPSQGQPPAASTLREPGLEGRDE
+ESTTSGDRVDCGRKVRVESGYFSLEKTKQDVKAEEQQLPPPLSPPSPGAPTNSSSFNSLD
+VASHPPAHVDSGSAGGRGAERPGRAYAFKASRQYATLADVPKAIRISHREAFQVERRRLE
+RRTRARSPGREEVARLFGNERRRSQVIEKFEALDTEKAEHMETNLSTGPSASSDTRQGRS
+EKRAFPRKRDLPSEAPLPDASASPLSPHRRAKSLDRRSTESSLTPDLLNFKKGWLTKQYE
+DGQWKKHWFVLADQSLRFYRDSVAEEAADLDGEIDLSTCCNVTEYPVQRNYGFQIHTKEG
+AFTLSAMTSGIRRNWIQTIMKHVHPTSAPDVTSSLPQVKARSSSPSETRPLEKQDGELGE
+PDPEQRRSRARERRREGRSKTFDWAEFRPIQQALAQERAGGPRAEVEPGELERERARRRE
+ERRKRFGTPDVEGPSADDVALRMEVDRGMGPPTAAELRTQNVHVEIEQRWHQVETTPLRE
+EKQVPIAPLHLSSEDGSDRLSTPELTSLLEKELEQSQKEASDLLEQNRLLQDQLRVALGR
+EQSAREGYVLQTEVATSPSGTWQRLHRVNQDLHGELEAQCQRQELITRQIQALKRSYGEA
+KDAIRHHEAEIRSLQVRLGNAAAELAIKEQALAKLQGELKLEKDKVREQLEEWQQSEAAL
+SAQLRTSERKLQSAEALLLGRTQELRDLERQQALQRDRHKEVQQLQERITDLSQQLGASE
+RAQRLMEEKLQRNYELLLGSCEREKQELLRSLAEAEDRARAFEGRLQEHEHRVEALQEEK
+LSPGSEGSEAVQRLEEQLEMKEASIQKLAEHVQSLRDERDLTQQRFQELLGRVTLSDGDV
+AALQEKLRGREADYQSLEHSFRRVAGQLQGAHTRLQEKEEELRRLQDAHEKALEREGRGL
+QQAVLGPSTLGSSLDEADGKLQAEGEIEQRVATESLEDVEDSLARVGLQCQTAVMPPGLP
+CSGLEDVAPGEEELGDSSPSRDENTVPLRSEVSSKPDQGAPGIKRQRIRFSTIQCQKYTH
+PDGCEKTGASSVSSDTSQERSPSEESMSSEATPSSLPMPSDPDAYLSIIHSLETKLYVTE
+EKLKGVTARLESQQGQSQEALLVLHQQWASTEARLCEQLRASLLQVTALAAQLEQERQAR
+AEAVESHVGELSDFQVKNSQALACLESCREQLRSLPGDAGAGSLAGLESLLVGAVQALRP
+QPASTGETGFSEEEKAPSQQLPHMLTLSEQEQLQLLSEQIALEAALIDQIADSLRNTTSD
+VSQVFLEISQSDRWRLESESAVVCPGAPADAWAKKVLVDGEFWSQVESLSRHLGGEAASA
+LGGRQPCARPAPAPALADATWVRAELSLAACSMRESLHRRLRSVQETLQGTQAALQQHKC
+MLQEVLGAYRTPAFERVMQQVSEALQLPLGTRDGDQVSWAGHPPVEAPSHQGPADSLACP
+LSSQSSGVLAAIQEELALQLKDKASLLGEISASLTSLPSVEALGDCRKLLQASQHLSRRA
+CLGGLGQYSSLLVQDAVLQAQVCYAACRIRLEYENELRGYKESWLGQGAPYQGHEQALGA
+LQGESELLRKQKGEYLDEIAFTQRENVELQTKVTQLDQQQRHLELVHGEHCASLAAPPEQ
+SKEEVVPVEGLLRAACGGVWGQPEAGARAEQDLGGRPVEQVQALEARFQLKVRELQTIHE
+EELRALQEHYSQTLRCLQETLCHYQSHPPCGSLAEGEGEAESVARLRERVRELEAQVDVL
+REELEHQDPAGGVASLQEERQRDLESLKATCERGFAAMEETHQKKIEDLQRQHQRELEKL
+REEKDRLLAEETAATISAIEAMKNAHREEMERELEKSQRSQISSVNADVEALRRQYLEEL
+QSVQRELEVLSEQYSQKCLENAHLAQALEAERQALRQCQRENQELNAHNQELNNRLAAEI
+SRLRTLLTGDAGGEAAGSPLTQGKDAYELEVLLRVKESEIQYLKQEISSLKDELQTALRD
+KKYASDKYKDIYTELSIVRAKADCDVSRLKEQLKAATEALGEKSPENPPVSGYDIMKSKS
+NPDFLKTDRSCVGRQLRGLRSKSVIEQVSWDN
+>tr|G3N308|G3N308_BOVIN Sosondowah ankyrin repeat domain family member D OS=Bos taurus OX=9913 GN=SOWAHD PE=4 SV=1
+MAEPRGAAKPAPKASFAQTKLSPRSAPQPRPSRVDAGNLSRYRGNATASREPPLLGGLMP
+SGTGSARRRGARLELLGLQGAAPAGWLSEERLEEQSPGGPNGPGGSRLCLEPREHAWILA
+AAEGRFEALQELLEAEPGLLLRGDPITGYSVLHWLAKHGRHEELILVHDFAQRQGLRLDV
+SAPGSGGLTPLHLAALQGHEMVIKVLVGALGADPTRRDHSGHRPCHYLRPDAPWSLRELS
+GAEDWERAGGRDGDPNNANNNSSNAAAWTVRRAPSAVGAQVVEKTARAAAAPAKAKDSVG
+NRVAQIQGLLRHMFPFFQDR
+>tr|A0A3Q1MGE6|A0A3Q1MGE6_BOVIN Transportin-1 OS=Bos taurus OX=9913 GN=TNPO1 PE=4 SV=1
+MEYEWKPDEQGLQQILQLLKESQSPDTTIQRTVQQKLEQLNQYPDFNNYLIFVLTKLKSE
+DEPTRSLSGLILKNNVKAHFQNFPNGVTDFIKSECLNNIGDSSPLIRATVGILITTIASK
+GELQNWPDLLPKLCSLLDSEDYNTCEGAFGALQKICEDSAEILDSDVLDRPLNIMIPKFL
+QFFKHSSPKIRSHAVACVNQFIISRTQALMLHIDSFIENLFALAGDEEPEVRKNVCRALV
+MLLEVRMDRLLPHMHNIVEYMLQRTQDQDENVALEACEFWLTLAEQPICKDVLIRHLPKI
+FLLKNTQGDVEEDETIPDSEQDIRPRFHRSRTVAQQHDEDGIEEEEDDDDEIDDDDTISD
+WNLRKCSAAALDVLANVYRDELLPHILPLLKELLFHHEWVVKESGILVLGAIAEGCMQGM
+IPYLPELIPHLIQCLSDKKALVRSITCWTLSRYAHWVVSQPPDTYLKPLMTELLKRILDS
+NKRVQEAACSAFATLEEEACTELVPYLAYILDTLVFAFSKYQHKNLLILYDAIGTLADSV
+GHHLNKPEYIQMLMPPLIQKWNMLKDEDKDLFPLLECLSSVATALQSGFLPYCEPVYQRC
+VNLVQKTLAQAMLNNAQPDQYEAPDKDFMIVALDLLSGLAEGLGGNIEQLVARSNILTLM
+YQCMQDKMPEVRQSSFALLGDLTKACFQHVKPCIADFMPILGTNLNPEFISVCNNATWAI
+GEISIQMGIEMQPYIPMVLHQLVEIINRPNTPKTLLENTAITIGRLGYVCPQEVAPMLQQ
+FIRPWCTSLRNIRDNEEKDSAFRGICTMISVNPSGVIQDFIFFCDAVASWINPKDDLRDM
+FCKILHGFKNQVGDENWRRFSDQFPLPLKERLAAFYGV
+>tr|A0A3Q1M233|A0A3Q1M233_BOVIN Transcription factor 23 OS=Bos taurus OX=9913 GN=TCF23 PE=4 SV=1
+MSQREARGARAMPGLGQSPAKATPRLLAGTERKRSRLSRRGQDLWEESSWSNQRWSRTAP
+NPRGARARSLARGRSEASPENAARERSRVRTLRQAFLALQATLPAVPPDTKLSKLDVLVL
+ATSYIAHLTRTLGQEMPGPSWPPFLRGLRYLHPLKKWPMRSRLYAGGLGCSGLDSTTASN
+SGQRTKEAEAGPHVSGEPDALLSTRPLSPAPSDK
+>tr|F6RT22|F6RT22_BOVIN Hyaluronan binding protein 4 OS=Bos taurus OX=9913 GN=HABP4 PE=4 SV=1
+MKGALGSPVAAAAAGAAMQESFGCVVANRFHQLLDDESDPFDILREAERRRQQQLQRKRR
+DEAAAAAAGAGNRGGRSPAGASGHRPGAGGGRRESQKERKSLPAPSSQQPDSPGGGPQPP
+GQKRTPRRGEQQGWSDSRGTEVTLDRAERRSYREYRPYDTERQADFPPEKFTDEKPIDRF
+DRDRPLRGRGGPRGGMRSRGRGGPGNRTFDGFDQRGKREFERYGGNDKIASRTEDNMGGY
+GVRTWGSGKDTSDTDPAAPMEETTVVEESLGPLEDESPAKVPELEVEEETQVQEMTLDEW
+KNLQEQTRPKPEFNIRKPESTVPSKAVVIHKSKYRDDMVKDDYEDDAHVFRKAANDITSQ
+LEINFGNLPRPGRGARGGTRGGRGRIRRAENYGSRAEVVIQDVAPNPDDPEDFPALA
+>tr|E1BB77|E1BB77_BOVIN DAB2 interacting protein OS=Bos taurus OX=9913 GN=DAB2IP PE=4 SV=3
+MRSPRLTSSPIFLFQHWPQAVRDEEQRRAESPQERPGSRRSLPGSLSEKSPSMEPSATTP
+FRVTGFLSRRLKGSIKRTKSQPKLDRNHSFRHILPGFRSAAAAAAASAADNERSHLMPRL
+KESRSHESLLSPSSAVEALDLSMEEEVVIKPVHSSILGQDYCFEVTTSSGSKCFSCRSAA
+ERDKWMENLRRAVHPNKDNSRRVEHILKLWVIEAKDLPAKKKYLCELCLDDVLYARTTGK
+LKTDNVFWGEHFEFHNLPPLRMVTVHLYRETDKKKKKERSSYLGLVSLPAASVAGRQFVE
+KWYPVVTPNPKGGKGPGPMIRIKARYQTITILPMEMYKEFAEHITNHYLGLCAALEPILS
+AKTKEEMASALVHILQSTGKVKDFLTDLMMSEVDRCGDNEHLIFRENTLATKAIEEYLKL
+VGQKYLQDALGEFIKALYESDENCEVDPSKCSAADLPEHQGNLKMCCELAFCKIINSYCV
+FPRELKEVFASWRQECSSRGRPDISERLISASLFLRFLCPAIMSPSLFNLLQEYPDDRTA
+RTLTLIAKVTQNLANFAKFGSKEEYMSFMNQFLEHEWTNMQRFLLEISNPETVSNTAGFE
+GYIDLGRELSSLHSLLWEAVSQLEQSIVSKLGPLPRILRDVHTALSTPGSGQLAGTNDLA
+STPGSGSSSVSAGLQKMVIENDLSGLIDFTRLPSPTPENKDLFFVTRSSGVQPSPARSSS
+YSEANEPDLQMANGGKSLSMVDLQDARVLDGEAGSPAGPDALTADGQAPATQLVAGWPAR
+AAPVSLAGLATVRRAGQTPTTPGTSEGAPGRPQLLAPLSFQNPVYQMAAGLPLSPRGLGD
+SGSEGHSSLSSHSNSEELAAATKLGSFSSSTAAAAPEDLGRRPGELARRQVSLTEKGGQP
+TVPRQNSAGPQRRIDQPPPPPPPPPPAPRGRTPPTLLSTLQYPRPSSGTLASASPDWAGP
+GARLRQQSSSSKGDSPELKPRAVHKQGPSPVSPNALDRTAAWLLTMNAQLLEDEGLGPDP
+PHRDRLRSKEELSQAEKDLAVLQDKLRISTKKLEEYETLFKCQEETTQKLVLEYQARLEE
+GEERLRRQQEDKDIQMKGIISRLMSVEEELKKDHAEMQAAVDSKQKIIDAQEKRIASLDA
+ANARLMSALTQLKESMH
+>tr|A0A3Q1MMW4|A0A3Q1MMW4_BOVIN Cytochrome c oxidase assembly factor COX20 OS=Bos taurus OX=9913 GN=COX20 PE=4 SV=1
+MAAAPEPGEPGKGKPFKLLGILDVENIPCARDSVLYGSLGSVVAGLGHFLLTSRIRRSCD
+VGVGGFIVVTLGCWFHCRYNYAKLRIQERLAREGIKNKILYESTHLDPARKQTNGGSSSS
+>tr|A0A3Q1LLZ4|A0A3Q1LLZ4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=MVB12B PE=4 SV=1
+MSRPKYRTHQEAILRFHGGRLSACVRYPYGWCPELAGRLPGCCMDPDLSLQSPEEEQATM
+PEVKDLSEALPETSMDPITGVGVVASRNRAPTGYDVVAQTADGVDADLWKDGLFKSKVTR
+YLCFTRSFSKENSHLGNVLVDMKLIDIKDTLPVGFIPIQETVDTQEVAFRKKRLCIKFIP
+RDSTEAAICDIRIMGRTKQAPPQYTFIGELNSMGIWYRMGRVPRNHDSSQPTTPSPSSVA
+STPAPNLPRHISLTLPATFRGRNSTRADYEYQHSNLYAISAMDGVPFMISEKFSCVPESM
+QPFDLLGITIKSLAEIEKEYEYSFRTEQSAAARLPPSPTRCQQIPQS
+>tr|A0A3Q1M938|A0A3Q1M938_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC511229 PE=4 SV=1
+MSQDSGVAESSDDHHGSLEEKDYPSPNLDTSGDSKSGEDSSFESGSEAESDNEEAKPEKT
+NGKLGESSTEEASAQVEEWEDKELPTQHYNSESNKIQSHCEHCNAENKHNELVTPRLLSR
+GQFLLKVDGEGTYQCTETGLIFEVNGKVDIKYCVLSWSKYSDLIVKPWAVGGPLFDVKCD
+PLCLTSIQFPHSLCLGHRDANMTFKVFHVKSTGASLEYTVDHSATHVKWRVSSLSPVGPV
+VQSEETVFHHGAVILYKAIDHNPSLSFRVYVATNNESFIKDISKSVKHSSKKFMKIDKPP
+VCQKLLQNGKKYRLISEPEAEITPEEIEFVDGSLLKLKSYIEVYLEQPVEFKLLLVEMDS
+EEIVWKAKLRECDWVQHHQNQNISKSSTSGNRRRKMSSSLPDEMVYDKRMKQIDSSDGVK
+TKTLTDTQLFNLAEKLGKEWLKIAIANLKLNISEIDAIREKEDNVTISKFKMLKKWQEKE
+QNNATAQNLCNCLKNVDSVEVQDVLRGFLQET
+>tr|F1MZQ5|F1MZQ5_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 GN=BOLA PE=3 SV=3
+MGPRTLLLLLSEVLVLTETWAGSHSLSYFCTCVSRPGLGEPRFFAVGYVDDTQFARFDSD
+APNPRMEPRAPWMEQEGPEYWEAMTRDAKKAQQRLRTGLNTLRGFYNQSEAGSHTLQWVF
+GCDVGPEGRLLRGIWQNAYDGADYLALNEDLRSWTAANTVAQITKRKWETSGEAEFQRNY
+LEVKCVQWLLRHLETGKDTLLRADPPKTHVAHHPISDREVTLRCWALGFYPEEISLTWQQ
+DGEDQTQDMELVETRPSGDGTFQKWAALVVPSGEEQRYTCHVQHEGLQEPLSLRWKPPQP
+SIPIMGIIVGLVLLMVTGAVVTGAGKEGGICSDSAQGSDVSLMVPKGETLGRLDWERG
+>tr|E1BJF8|E1BJF8_BOVIN Anoctamin OS=Bos taurus OX=9913 GN=ANO8 PE=3 SV=3
+MAEATSGAGGTSLEGERGKRPPPEGEPAAPASGVLDKLFGKRLLQVGRYLVSHKAWMKTV
+PTENCDVLMTFPDTTDDHTLLWLLNHIRVGIPELIVQVRHHRHTRAYAFFVTATYESLLR
+GADELGLRKAVKAEFGGGTRGFSCEEDFIYENVESELRFFTSQERQSIIRFWLQNLRAKQ
+GEALHNVRFLEDQPIIPELAARGIIQQVFPVHEQRILNRLMKSWVQAVCENQPLDEICDY
+FGVKIAMYFAWLGFYTSAMVYPAVFGSVLYTFTEADQTSRDVSCVVFALFNVVWSTLFLE
+EWKRRGAELAYKWGTLDSPGEAVEEPRPQFRGVRRISPVTQAEEFYYPPWKRLLFQMLVS
+LPLCLTCLACVFLLMLGCFQLQELVLSVKGLPRLARFLPKVMLALLVSASAEGYKKLAVW
+LNDMENYRLESAYEKHLIIKVVLFQFVNSYLSLFYIGFYLKDMERLKEMLATLLITRQFL
+QNVREVLQPHLYRRLGRGELGLRAAWELARALLGLLSLWRPARRHLEPPAEEGGGGSSSG
+GGRRCLSGGCGAPEEEEEAAVERRPAGEGGEVGDGPRGGREEEDEEEEEEDEEEEDEDEE
+GEEGGLLDCGLRLKKVSFAERGAGRRRPGPSQEALLEEGSPTMVEKGLEPGVFTLAEEDD
+EAEGAPSSPERETPPAVLLRRAGGEGRDQGPDGGPDPEPGSGDSARKQRRQNRSSWIDPP
+EEEHSSQLTQAELESCMKKYEDTFQDYQEMFVQFGYVVLFSSAFPLAALCALINNLIEIR
+SDALKLCTGLQRPFGQRVESIGQWQKVMEVMGVLAIVVNCYLIGQCGQLQRLFPWLSPEA
+AIVSVVVLEHFALLLKYLIHVAIPDIPGWVAEEMAKLEYQRREAFKRHERQAQHRYQQQQ
+RRRREEEERQRHAEHHARRERDASGREEARAEGSGLDPAAPEKTSAKAKGSGAGGHGPER
+PKRPGSLLAPNNVMKLKQIIPLQGKFLSSGASSSSPAGTGANLTTRPTPAQSPTGSDTRL
+PAFLSFKFLKSPETRRDPERSHSPPKAFHAGKLFPFGGARAEAGSNGAGGQARQDGTLGG
+GGCRAQRSGLADEAAAEEPDTPRPEEESSGHKL
+>tr|E1BFL4|E1BFL4_BOVIN Cysteine rich protein 3 OS=Bos taurus OX=9913 GN=CRIP3 PE=4 SV=1
+MSWTCPRCQQPVFFAEKVSSLGKNWHPFCLKCEHCHSVLSPGGHAEHNGRPYCHKPCYGA
+LFGPRGVNIGGVGSYLYKPPTPTPASITHLSPSSFSPPRPRTGLPQGKKSPPHMKTFTGE
+TSLCPGCEEPVYFAETVMSLGRNWHRPCLRCQRCRKTLTAGSHAEHDGAPYCHIPCYGYL
+FGPKGVNIGDVGCYIYDPVEIKSK
+>tr|A0A3Q1MJT8|A0A3Q1MJT8_BOVIN B30.2/SPRY domain-containing protein OS=Bos taurus OX=9913 GN=SPSB2 PE=4 SV=1
+MGQTALAGGSSDPSTPQALYPDRSRPEGLEELLSAPPPDLGAQRRHGWNPKDCSENIEVK
+EGGLCFERRPVAQSTDGARGKRGYSRGLHAWEISWPREQRGTHAVVGVATARAPLQADHY
+AALLGSNSESWGWDIGRGKLYHQSKGPGAPQYPPGPQGEPLEVPERLLVVLDMEEGTLGY
+AVGGTYLGPAFRGLKGRTLYPAVSAVWGQCQVRINYLGERRALPSPPLGAHLMSLAQNKE
+HLLALEPIRRPGRALGLSIWPYQWARI
+>tr|F1N6I9|F1N6I9_BOVIN TRIO and F-actin binding protein OS=Bos taurus OX=9913 GN=TRIOBP PE=4 SV=3
+MSILDEPGEWKKHWFVLTDSSLKYYRDSTAEEADELDGEIDLRSCTDVTEYAVQRNYGFQ
+IHTKDAVYTLSAMTSGIRRNWIEALRKTVRPTSAPDVTKLSDCNKENTLHSYGTPKGSLK
+AGEQRVGSEVISRGGPRKADGQRQLLDYVELSPLTQGSPQRARTPARTLDRPAKQEELER
+DLAQRSEERRKWFEATDSRATETPAGEGPRRVLGAPLTEDQQSRLSEEIEKKWQELEKLP
+LRENKRVPLTALLNQGRGERRGAPSDSHEALEKEVQSLRAQLEAWRLQGEAPQGAPKSQE
+DGHIPPGYISQEACERSLAEMESSHQQVMQELQRHHERELQRLQQEKEWLLAEETAATAS
+AIEAMKKAYQEELSRELNKTRTLQQGPDGLRKQHQSDVEALKRELQVLSEQYSQKCLEIG
+ALTRQAEEREHTLRRCQQEGQELLRHNQELHTRLSEEIDRLRSFIASQGTGNGCGRSSER
+SSCELEVLLRVKENELQYLKKEVQCLRDELQMMQKDKRFTSGKYQDVYVELNHIKTRSER
+EIEQLKEHLRLAMAALQEKEAVRNSLAE
+>tr|A0A3Q1N3R2|A0A3Q1N3R2_BOVIN SCY domain-containing protein OS=Bos taurus OX=9913 GN=XCL1 PE=4 SV=1
+KLHFIFVCLVFCTGVGSEVLEKSICVSLTTQRLPIKNIKTYTIKEGSVKAVIFITRRGFK
+ICADPQAAWVKKAVQKIDRKNISQAKPTGA
+>tr|A0A3Q1LV04|A0A3Q1LV04_BOVIN Methyltransf_11 domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MALTILILWLAVCILAFPMYLLDFLGLWNWICKQWFPYFLARFTVMYNEQMASKKREFFS
+NLWEFTGPSRKLSMLELGYSTGANFKFYLPWMPEVLDQAHCPGQHLQFEHFIVAARENMH
+QVATGSMEVVVCTLVLCSVKNQEQIFQEVCQVLRTDAFELCPEYSLEGLMLKLKLQYFGH
+LMRRTDPLEKTLMLGQIESRRRGQQRMRRLDGITDWMDMSLNKLWKLVMDREAWCAAVHG
+AEKNQT
+>tr|A0A3Q1N4C7|A0A3Q1N4C7_BOVIN Rho GTPase-activating protein 10 OS=Bos taurus OX=9913 GN=ARHGAP10 PE=4 SV=1
+MGLQPLEFSDCYLDSPWFRERIRAHEAELERTNKFIKELIKDGKNLIAATKTLSAAQRKF
+AHSLRDFKFEFIGDAETDDERCIDASLREFSNFLKNLEEQREIMALSVTETLIKPLEKFR
+KEQLGAVKEEKKKFDKETERNYSLIDKHLNLSAKKKDSHLQEADIQVEQNRQHFYELSLE
+YVCKLQEIQERKKFEFVEPMLSFFQGMFTFYHQGHELAKDFNHYKMELQINIQNTRNRFE
+GTRSEVEELMNKIRQNPKDHKRASQFTAEGYLYVQEKRPPPFGSSWVKHYCMYRKAAKKF
+TMIPFEHRSGGKLGDGEVFFLKECIRRHTDSIDRRFCFDVEAADRPGISLTMQAFSEEER
+KQWLEVLGGKEALFPSFNRAIIPRPEGSAQLDKMGFTILRKCIRAVETRGINDQGLYRVV
+GVSSKVQRLLSMLMDVKTCNEVDLENSVDWEVKTITSALKQYLRSLPEPLMTYELHGDFI
+VPAKSGSPESRVNAIHFLVHKLPEKNKEMLDILVKHLTNVSNHSKQNLMTVANLGVVFGP
+TLMRPQEETVAAIMDLKFQNIVVEILIENHEKIFRTPPDATLPEPGPLSAPPNAPPRQSK
+RQGQRTKRPVAVYNLCLELEDGDRPSLPKEDTPPSSLDSLSSPSPTTATALGHPGPDRNH
+LLTDGGSFGDWAPTAPSQARSSAVQWLNPQSPTTPSCSPAVTPPSPKLPPVPLSLPATVA
+DKPPESANLKGTWLAGGDFEWQEGADSTELRQAAVAPGCRPWPQSTFLGAASPVHLLGGT
+HGHLDTPGWGPGGTDGSRRGENRFGRCGSE
+>tr|A0A3Q1MD96|A0A3Q1MD96_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=ARL16 PE=4 SV=1
+MRAELRVGLAWLSELRLSSGAGSGMCLLLGATGVGKSLLVKRLQTILPCRAGGGGGPEGR
+GDPRGAGGERGGVRRGRSGWGRGPAGEPSLAPLRVLDFLAQLSSRDGKGDLGDPPPTRPT
+VGTNLTDIVAQKKITIRELGGCMGPIWSSYYGNCHSLLFMVDASNPTQLSASCVQLLGLL
+SAEELAKASVLILFNKMYVSVSDLPCYMTIEEMKSLIRLPDLMACAKQNITTLEISAWKG
+TGLSDVLRWLQDTHRTNG
+>tr|A0A452DID3|A0A452DID3_BOVIN Phosphoinositide-3-kinase-interacting protein 1 OS=Bos taurus OX=9913 GN=PIK3IP1 PE=4 SV=1
+MLLAWVRTILVSNMLLAEAYGSGGCFWDNGHLYRADQPSPAPGHSCLNWLDAQSGLAFAP
+ESGAGNHSYCRNPDQDPRGPWCYVSGEAGAPEKRPCQDLRCPDTTSQGLPTSATETEEAP
+EVPGGDEVFAPANALPARSEAAAVQPVIGISQRVRVNSKEKKDLGTLGYVLGITMMVIIV
+VIGAGIVLGYTYKRGKDLKAQHEQKVCERELQRITLPLSAFTNPTCEIVDEKTVVVHASQ
+TPVDLQEGSAPLMGQAGTPGA
+>tr|A0A3Q1MXN3|A0A3Q1MXN3_BOVIN B cell linker OS=Bos taurus OX=9913 GN=BLNK PE=4 SV=1
+MDKLNKITVPASQKLRQLQKMVHDIKNNEGGIMNKIKKLKVKAPPSVPRRDYAPESPADE
+EEQWSDDFTHRVHPPSGLDAHTFPQDTVQDSDYENPDEHSDSEMYVLPAEEAGDDSYEPP
+PVEQETRTVHPALPFTRGEYVDNRSSQRQSPPFSKTLPSKPNWPSAKARLATSLPANTSL
+HKPQVPPKPKELLEDEADYVVPVEDEDENYIHPTEDSSVPSEKAPMVNRSIKPNNSSKSA
+SPPGTAPGRKSGAWDSKSTSLPAAPSPLPRAGKKTAMPLKTTPVASQQNASSVCEEKPIP
+AERHRGSSHRQENMQSPVFPPPAQKAVHQKPIPLPRFPEGGSPTVDGPLPSFSSNSSLSE
+QEADVHCKPWYAGACDRKSAEEALYRSNKDGSFLIRKSSGHDSKQPYTLVVFFNKRVYNI
+PVRFIEATKQYALGRKKTGEEYFGSVAEMIKNHQHNPLVLIDSQNNTKDSTRLKYAVKVS
+>tr|A0A3Q1MDV6|A0A3Q1MDV6_BOVIN Rhomboid like 2 OS=Bos taurus OX=9913 GN=RHBDL2 PE=4 SV=1
+MVIICKQVASVAEWKHFGVLGIWIAIPPLPEGGGGPRTMAAAHDLEIEEDVNLNMETEMK
+EELEEEKMREGRADKDPFKPRKVHRIVSKWMLPESVRRTYLERANCLPPPLFIIFISIAE
+LAVFIYYAVWKPQKQWITLDTGILESPFIYRPEKREEAWRFISYMLVHAGVQHILGNLIM
+QLGLGIPLEMVHKGLRVGLVYLAGVIAGSLASSIFDPLKSLVGASGGVYALMGGYFMNVL
+VNFQEMIPAFGVVRLLIIVVIIVSDMGFALYRRFFVPENGSPVSFAAHIAGGFAGMSIGY
+TVFSCFDQALLKDPRFWTAIAAYLAFVLFAVFFNIFLSPAN
+>tr|A0A3Q1MUY8|A0A3Q1MUY8_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC783998 PE=3 SV=1
+MKISNTPNTSSTITGFILLGFPCPREGQILLFVLFSALYLLTLMGNGSIICAVSWDQRLH
+TPMYILLANFSFLEIWYVTSTVPNMLANFLSDNKFISFSGCFLQFYFFFSLGSTECFFLA
+IMAFDRYLAICWPLHYPTLMTGRLCANLVISCWVLGFLWFLIPIIIISQMSFCGSRIIDH
+FLCDPGPLLALTCTRVPVIELTSSTLSSLLLFIPFLFTMVSYALVLQAVLKFPSSAGRRK
+AFSTCGSHLTVVSLFYGSVMVMYVSPTSEHDAGMQKIVTLFYSVVTPLINPVIYSLRNKD
+MKHAMKKLLGT
+>tr|G3N252|G3N252_BOVIN Clathrin light chain OS=Bos taurus OX=9913 GN=CLTB PE=3 SV=1
+MADDFGFFSSSESGAPEAAEEDPAAAFLAQQESEIAGIENDEGFGAPAGSQGGLAQPGPA
+SGASEDMGATVNGDVFQEANGPADGYAAIAQADRLTQEPESIRKWREEQRKRLQELDAAS
+KVMEQEWREKAKKDLEEWNQRQSEQVEKNKINNRIADKAFYQQPDADIIGYVVRGEPLPC
+WSASSTASEEAFVKESKEETPGTEWEKVAQLCDFNPKSSKQCKDVSRLRSVLMSLKQTPL
+SR
+>tr|A0A3Q1M2U3|A0A3Q1M2U3_BOVIN Epidermal growth factor receptor pathway substrate 8 OS=Bos taurus OX=9913 GN=EPS8 PE=4 SV=1
+MNGHISSHPSGFGMNPSQMNGYGSSATFSMDRDHSSRTSAKALYEQRKNYARDSVSSVSD
+ISQYRVEHLTTFVLDRKDAMITVDDGIRKLKLLDAKGKVWTQDMILQVDEKAVSLIDLES
+KNELENFPLNTIQHCQAVMHSCSYDSILALVCKEPTQSKPDLHLFQCDEIKANLISEDVE
+SAISDSKGGKQKRRLDVLRMISKADPGIPPPPKAPAPVPPGTVTQVDVRSRVAAWSALAA
+DQADFEKQRNYYQQEETPEMMAARIDRDVQILNHILDDIEFFITKLQKAAEAFSELSKRK
+KAKKGKKKGPGEGVLTLRAKPPPPDAFVDCFQKFKHGFNLLAKLKSHIQNPSAADLVHFL
+FTPLNMVVQATGGPELAGSVLSPLLTKDTIDFLNYTVSADERQLWMSLGDSWMKTRAEWP
+KEQFIPPYVPRFRSGWEPPMLNFMGSSVEPDLYQLNESVANAAEHHRKQETKRLSTEHSS
+VSEYPSADGYTAFNNIYGRGPHSDQGEAAVAFKPTPLRHVDRNYDLQPKKYAKSKYDFVA
+RNNSELSVQKDDILEILDDRKQWWKVRNASGDSGFVPNNILDIVRPPESGLGRADPPYMH
+TIQKQRMEYGPRATDIPSAPSPPPTPAPVPVPLPPSTPAPIPVSKLPANITRQNSSSSDS
+GGSIVRDSQRQKQVPVDRRKSQMEEVQDELIHRLTIGRSAAQKKFHVPRQNVPVVNITYD
+STPEEVKTWLQSKGFNPVITVAALSCKKSCEDDRKKSVLLQATQEWSLLMREASLISFKI
+PSFLACVHHALF
+>tr|A0A3Q1NIQ4|A0A3Q1NIQ4_BOVIN Cell cycle progression 1 OS=Bos taurus OX=9913 GN=CCPG1 PE=4 SV=1
+MSENSSDSDSSCGWTVINHEGSDIEMVNSEHGAASDSCEPAPECASLSQEELQELQVEQG
+ESSQNGTVLLGGAAYPALEEMKSALEGEEEKLPDDNLYFGTVSDDSDIVTLEPPKLEDIG
+NQEEALIVKEAESPEDFNMGSSSSSQYTFCQPETERWWEKLWKIPECIRGWDDQLKHHVP
+SQLTFQVFSSQPSDDESSSDETSHQPSATFRRRRARKKTVSSSESEERLLAEQEPEPPQE
+LCKRQFSSGLNKCVILALVIAISMGFGHFYGKRGGNKGEGTIQIQKRQQLVKKIHEDELN
+DMKDYLSQCQQEQESLIEYKSLKENLARCWTHTEAEKMSFETQKKNLDTENQYLRTSLEK
+EEKALSSLQEELRKLREQIRILEDKGTSAELVTENQKLKQHLEEEKLKTHSFLNQRETLL
+AEAKMLRKELERERLITMALRVELQQLSSSHSYGNPDSPSVVTEKKEIEMLRERLTELER
+KLTFEQQRSDLWERLYVEAKDQNEKQETDGKKKGNRGNHRAKNKSKETFLGSVKETFDAM
+KNSTKEFVRHHKEKIKQAKEAVKENLKKFSDSVKSTFRHFKDTTKNIFDEKGNKRFGATK
+ETAAKKPTTFSEYFHPQYKARTQNQNSRGPTMQREGRKEKPHFEEFGKNTNSQKCSAEHD
+CGGNYNSFRKACSGVFECAQQESINLFNVKMLNPVRIDEFRQLIEGYLLEKLDSFHHWKE
+LDHFINKFFLNGVFIHDQKLFTDFVNDVKDYLKDMKEYQVDNDGVFEKLDGYIYRHFFGH
+TFSPPYGPSRPDKKQRMVNIESSRHRKQEQKHPQPQPYKREEPVDL
+>tr|A0A3Q1MBY9|A0A3Q1MBY9_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC781483 PE=3 SV=1
+MSISNVTIFMPSVLTLIGIPGLESVQCWIGIPFCAMYLIAMIGNFLLLIIIISEHSLHQP
+MYIFLGMLGVTDIALSTSIVPKMLGIFWFHVTEIYFDSCLLQMWLIHTFQCTESGILLAM
+ALDRYVAICYPLRHAAIFSHQLVTQIAAMVTLRAAILVAPCLVLIRFRLQFYHTTVISHS
+YCEHMAVVKLAAENIRVNKIYGLFVAFTVAGLDIVLIMLSYIQIFITVFRLPQKEARLKA
+FNTCVAHICVFLQFYSLGFFSFFAHRFGSHIPPYIHILFSSTYLLVPPFLNPLVYGAKTK
+QIRVPMVKIFCSKNLL
+>tr|E1BKE0|E1BKE0_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 GN=LOC100297263 PE=4 SV=3
+MGSRLLCCVTLCLLGAGLVDSGVTQTPKYLIKSRNQQATLRCSPHSGHFSVYWYQQALGQ
+GPQFLVQYYNQKVRGESHLLDRFSGKQFSDSRSELNLSSLELTDSAMYLCASSEDTALHD
+QMPLVQKHSYPSSGSGCQGVNCQPGRPRPR
+>tr|A0A3Q1MKU7|A0A3Q1MKU7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=C18H19orf33 PE=1 SV=1
+MEFDLSAAVESTSKKPQGAGKVGDPKHSSPKVQGGSADNLKHHHGHGHGQGSASDSSSSS
+SDSENEAKSGSEQHKSASGKVKKPKVKKEKKKKEEGKKKASH
+>tr|E1BIE7|E1BIE7_BOVIN PGAM family member 5, mitochondrial serine/threonine protein phosphatase OS=Bos taurus OX=9913 GN=PGAM5 PE=4 SV=1
+MAFRQALQLAACGLAGGSAAVLFSAVAVGKPRAGGDAEPRVVEPPAWAGTSRPGPGVWDP
+NWDRREPLSLVNLRKRNLETGEEELTSRLDHCKAKATRHIFLIRHSQYHVDASLEKDRTL
+TPLGREQAELTGLRLASLGLKFNKIVHSSMTRAVETTDIISKHLPGVCKVSTDLLREGAP
+IEPDPPVSHWKPEAVQYYEDGARIEAAFRNYIHRADAKQQEDSYEIFICHANVIRYIVCR
+ALQFPPEGWLRLSLNNGSITHLVVRPDGRVALRALGDTGFMPPDKISRS
+>tr|A0A3Q1NK79|A0A3Q1NK79_BOVIN Kazal-like domain-containing protein OS=Bos taurus OX=9913 GN=SPINK9 PE=4 SV=1
+MRTTAFVLLSALMLATILNVDCVKHHEQIDCSKYKMLPSEERFCYEIYAPICGSDGKTYD
+NDCYFCYEVEKTNNKLKFVHFGKC
+>tr|E1BPG1|E1BPG1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=ZGRF1 PE=4 SV=3
+MTTDYFLDLTVISEEIMESQEFIVLYTHQKTKKSKVWQDGILKITHLGNKAILYDDKGGC
+LESLFLKCLEVKPGDDLESDRYLITVEEVKVAGSTAVKQDVIKEAPALNSKRFMSSGRSL
+GCQPAGLKRKFTGFRGPHQVPKKMVITENSDPAASLESEKPGPAFLSPLYSTPPLFPTIG
+KKDASNMPTDSENIVTYKNRERNGIPFSSVISTPSFKINPEVLCEENYVCSPVSSVNKHS
+DSLLTDESMKRDSLVSHSLGVSQNIRSKAQILALLKSTSTSTSKELNSEIIGHFPQIQPQ
+GSLEIPAEPNCLPEQEEYAEVKSTESLHNQHQSENTMRNKSRWAVYLSSQSSPVHSSTVD
+GKDIEKKPKTQEGHLNLKDLSMQNRIQFLETCAEKGKRYNEDKSIDDNDQSWDQEGKLEI
+PSFCENSSLPINCNIVVNDGLLLDSDIQKNNKRSVDQNDQIGKKGSILLREKAQEINING
+TPEKKYEHLQIESSLSNNSGISDDIFSKSSTNNESLSSIHEPMSDISQPFVEVTFNLNNF
+ETSDTEEESQESSKTSQDSEDSTLVNSSNSRCENISCKEVGSEHLPLLTSTGGKLSETFP
+MKEILPSQFCDKTCVGYDTETWKAGNTGKERKEVCSDTLSNFDSSLEWTDDRYVENDEGA
+NKSISASFPSKSESMNTNLHIPHLLNIATDQKPENNLFSEQAQTVLGSDLDKTTNQVLPL
+TSSSGNSIQILNAKQIHSKECTALDKSQTQGSNSLCYPLGKTHISKDTESHISEAEEVGR
+SRGLSQDHIDVETSGENKQYGNNLRNSSEISGLVNSISLLKSLTEHSTALEGLEVLKKKN
+TSLKQQGTLQTYEPDSSPEVGKPLTTIVSQAIPKSPHLNQDPHQMIKENEAEPSESRQSL
+QFIPLGTEEETAFLAVIPKQMERETCNDPKPVEFQGHQVKGSASSAVMVRGHSSQVECSQ
+LSDSTVYGSCTTNTCFLTPELPSTRMQMGFLQFPNEENFTKGDTSFTIEDNLQVRAEFNK
+DLTKNDSILDFPPKDSDHLYNYLDYNFKSTERTSWEKNKVTSPEQKISTLSPVSTLSFNS
+RDDDFMLEFSEESLKGQTLPVMKKSSSLENKNLQRLSFEEVKDSELCFPSGQKVKSACLP
+QRQIHIPAVFQSPAHYKQVFTSCLTEHLNILLFGLAQRLHKALSKVDISFYTSSNGDKLK
+NVENNVPSCHHQQPAKLVMVKKEGPNKGRLFYTCDGPKADQCKFFKWFEEMTPGYLTQEK
+SLPSMVLSDIKSIGFYLRSQKIPLYEECQLFVRKGFDFQRKRYGKLKKFTTVDPEFYNEP
+KSKLYLKLSRKESSSAYSKDDLWVVSKTLDFELDTFIACSTFFGPSSINEVELLPLKGYF
+PSNWPTNTVVHALLVCNASTELTTLKNIRDCFNPATLPLTQYLLTMSSSTTTSNKRVNKR
+KFIPPAFSNINTKFELLSIEATLKLASELIQVHKLNKDQAAALIQIAQMMASRQSVEEAK
+ELAIHTLPITIIHGVFGAGKSYLLAVVILFFVQLFEKCEALTVGNARPWKLLISSSTNVA
+VDRVLLGLLSLGFEKFVRVGSVRKIAKPVLPYSLYAGSENENEQLKELHALMKEDLTPVE
+RVYVRKSIEQHKLGTNKTLLKQVRVVGVTCAACPFPCMNDLKFPVVVLDECSQMTEPASL
+LPIARFECEKLILVGDPKQLPPTIQGSDAAHENGLEQTLFDRLCLMGHKPILLRTQYRCH
+PTISAIANDLFYEGNLMNGISETERSPLLEWLPTLCFYNVKGLEQIERGNSFHNVAEAAF
+TLKLIQSLIASGIAGSMIGVITLYKSQMYKLCHLLSAMDFDHPNLKAVQVSTVDAFQGAE
+KEIIILSCVRTRQVGFIDSEKRMNVALTRGRRHLLIVGNLACLRKNRLWGRVIQHCEGRE
+GGLQHASQYEPQLDHLLKDYLEKQAEEKQKKKAEKDKLTDKKSYSQKDMV
+>tr|A0A3Q1M7R6|A0A3Q1M7R6_BOVIN E74 like ETS transcription factor 2 OS=Bos taurus OX=9913 GN=ELF2 PE=3 SV=1
+MATSLHEGPTNQLDLLIRAVEASVHSSNAHCTDKTIEAAEALLHMESPTCLRDSRSPVEV
+FVPPCVSTPEFIHAAMRPDVITETVVEVSTEESEPMDTSPIPTSPDSHEPMKKKKVGRKP
+KTQQSPISNGSPDLGIKKKPREGKGNTTYLWEFLLDLLQDKNTCPRYIKWTQREKGIFKL
+VDSKAVSKLWGKHKNKPDMNYETMGRALRYYYQRGILAKVEGQRLVYQFKDMPKNIVVID
+DDKSETCSEDLAGATDEKSLERVSLSAESLLKAATSVRGGKNSSPLNCSRAEKGVARVVN
+ITPPGHDASSRSPTTTTSVSATAAPRTVRVAMQVPVVMTSLGQKISTVAVQSVNAGAPLI
+TSTSPTTAASPKVVIQTIPTVMPASTENGDKITMQPAKIITIPATQLAQCQLQTKSNLTG
+SGSINIVGTPLAVRALTPVSIAHGAPVMRLSVPPQQASGQTPPRVISAVIKGPEVKSEAV
+AKKQEHDVKTLQLVQEEKPADGNKTVTHVVVVSAPSALALPVTMKTEGLVTCEK
+>tr|A0A3Q1LW11|A0A3Q1LW11_BOVIN Eukaryotic translation initiation factor 2A OS=Bos taurus OX=9913 GN=EIF2A PE=1 SV=1
+MRKHGIQLYTCECRQETYHSVRGSEGLYMVNGPPHFTESTVFPRESGKNCKAYTFSKDGT
+LFAWGNGEKINVISVTKKGLLHSFDLPKAVCLEFSPKNTVLATWQPYTASKDDTAGIPNL
+QLYDVKTGTCLKSFIQKKMQNWCPSWSEDETLCARNVNNEVHFFENNNFNTIANKLHLKK
+INDFVLSPGPQPYKVAVYVPGSKGAPSFVRLYQYPNFDEPHAALANKSFFKADKVTMLWN
+KKATAVLVIASTDVDKTGASYYGEQTLHYIATNGESAVVQLPKNGPIYDVVWNSSSTEFC
+AVYGFMPAKATVFNLKCDPVFDFGTGPRNAAYYSPHGHILVLAGFGNLRGQMEVWDVKKY
+KLISKPVASDSTYFAWCPDGEHILTATCAPRLRVNNGYKIWHYTGSVLHKYDVPSNAELW
+QVSWQPFLDGIFPEKAITYQVVPSDVPSEEPKVATAYRPPALRNKPVTNSKLHEEEPPQN
+MKPQPGNEKPLSKTALKNQRKHEAKKAAKQEARIDKSPDMPPAPAQQSTPRSTISQSTSG
+DPETDRKIKNLKKKLRAIEQLKEQAAAGKQLEKNQLEKIQKEKALLQELEDLELGI
+>tr|F1MNT1|F1MNT1_BOVIN Nucleoporin 155 OS=Bos taurus OX=9913 GN=NUP155 PE=4 SV=3
+MQASNSPPDEPEVRHAVAPGRSFGARALSFGLELASWVLSYFSLLFDFLRSLLSSILDMP
+SSLLGSAMPASTSAAALQEALENAGRLIDRQLQEDRMYPDLSELLMVSAPNNPTVSGMSD
+MDYPLQGPGLLSVPNLPDISSIRRVPLPPELVEQFGHMQCNCMMGVFPPISRAWLTIDSD
+IFMWNYEDGGDLAYFDGLSETILAVGLVKPKPGIFQPHVRHLLVLATPVDIVILGLSYTN
+LQTGSGVLNDSMCGGMQLLPDPLYSLPTDNTYLLTITSTDNGRIFLAGKDGCLYEVAYQA
+EAGWFSQRCRKINHSKSPLSFLVPSLLQFTFSEDDPIVQIAVDNSRNILYTRSEKGVIQV
+YDLGHDGQGMNRVASVSQNSIVSAAGNIARTIDRSVFKPIVQIAVIENSESLDCQLLAVT
+HAGVRLYFSTCPFRQPLARPNTLTLVHVRLPPGFSASSTVEKPSKVHKALYSKGILLMAA
+SENEDNDILWCVNHDTFPFQKPMMETQMTTRVDGHSWALSAIDELKVDKIITPLNKDHIP
+ITDSPVVVQQHMLPPKKFVLLSAQGSLMFHKLRPVDQLRHLLVSNVGGDGEEIERFFKLH
+QEDQACATCLILACSTAACDREVSAWATRAFFRYGGEAQMRFPTTLPTPSNVGPILGSPV
+YSSSPVPSGTLYPNPSFLGTPSQGVHPPAVSTPVCALGSPATQATSMSCMAGPEIVYSGK
+HNGICIYFSRIMGNIWDASLVVERVFKSGNREITAIESSVPSQLLESVLLELKGLQEFLD
+RNSQFTGGPLGNPNTAAKVQQRLIGFMRPENGNTQQMQQELQRKLHEAQLSEKISLQAIQ
+QLVRKSYQALALWKLLCEHQFTVIVGELQKEFQEQLKITTFKDLVIRDKELTGALIASLI
+NCYIRDNAAVDGISLHLQDICPLLYSTDDAICSKANELLQHSRQVQNKIEKERMLRESLK
+EYQKISNQVDLSSVCAQYRQVRFYEGVVELSLTAAEKKDPQGLGLHFYKHGEPEEDIVGL
+QAFQERLNSYKCITDTLQELVNQSKAAPQSPSVPKKPGPPVLSSDPNMLSNEEAGHHFEQ
+MLKLSQRSKDELFSIALYNWLIQADLADKLLQIASPFLEPHLVRMAKVDQNKVRYMDLLW
+RYYEKNRSFSSAARVLSKLADMHSTEISLQQRLEYIARAILSAKSSTAISSTAADGEFLH
+ELEEKMEVARIQLQIQETLQRQYSHHSSVQDAISQLDSELMDITKLYGEFADPFKLAECK
+LAIIHCAGYSDPILVQTLWQDIIEKDFIVQFLEQQVCTLNWDVGFVIQTMNEIGVPLPRL
+LEVYDHLFKSRDPFWNRMKKPLHLLDCIHVLLTRYVENPSQVLNCER
+>tr|G3MZE5|G3MZE5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC100299503 PE=4 SV=2
+MLGTSAFPTTSGSASATGKGKMAAVTVVVPVEWIKNWEKSGRGEFLHLCRILSENKSLDS
+STYRDFQQALYELSYHVIKGNLKHEQASNVLSDISEFREDMPSILADVFCILDIETNCLE
+EKSKGDYFTQLVLACLYLVSDTVLKERLDPETLESLGLIKQSQQFNQKSVKIKTKLFYKQ
+QKFNLLREENEGYAKLIAELGQDLSGNITSDLILENIKSLIGCFNLDPNRVLDVILEVFE
+CRPEQDDFFISLLESYMSMCEPQTLCHILGFKFKFYQEPNGETPSSLYRVAAVLLQFNLI
+DLDDLYVHLLPADNCIMDEHKREIVEAKQIVRKLTMVVLSSDKIDEREKEKEKEEEKVEK
+PPDNQKLGLLEALLKIGDWQHAQSIMDQTPPYYAASHKLIALAICKLIHITIEPLYRKVG
+IPKGAKGSPVSALQNKRAPKQAESFEDLRRDVFNMFCYLGPHLSHDPILFAKVVRIGKSF
+MKEFQSDGRKQEDKEKTEVILSCLLSITDQVLLPSLSLMDCNACMSEELWGMFKTFPYQH
+RYRLYGQWKNETYNSHPLLVKVKAQTIDRAKYIMKRLTKENVKPSGRQIGKLSHSNPTIL
+FDYILSQIQKYDNLIAPVVDSLKYLTSLNYDILAYCIIEALANPEKEKMKHDDTTISSWL
+QSLASFCGAVFRKYPIDLAGLLQYVANQLKAGKSFDLLILKEVVQKMAGIEITEEMTMEQ
+LEAMTGGEQLKAEGGYFGQIRNTKKSSQRLKDALLDHALALPLCLLMAQQRNGIIFQESG
+EKHLKLVGKLYDQCHDTLVQFGGFLASNLSTEDYIKQVPSIDVLCNEFHTPHDAAFFLSR
+PKYAHRILSKYDELKKSEKGSKQQHKVHKYITSCEMVMAPVHAAVVSLHVSKVWDDISPQ
+FYATFWSLTMYDLAAPHTSYEREVNKLKIQMKAIDDNQEMPPNKKKKEKERCTALQDKLL
+EEEKKQMEHVQRVLQRLKLEKDNWLLAKSTKNETITKFLQLCIFPRCIFSAIDAVYCARF
+VELVHQQKTPNFSTLLCYDRVFSDIIYTVSSCTENEASRYGRFLCCMLETVTRWHSDRAT
+YEKECGNYPGFLTILRATGFDGGNKADQLDYDNFRHVVHKWHYKLTKASVHCLETGEYTH
+IRNILIVLTKILPWYPKVLNLGQALERRVHKICQEEKEKRPDLYALAMGYSGQLKSRKSY
+MIPENEFHHKDPPPRNAVASVQNGPGAGPSSSSIGSVSKLDESSAEDTDKSRERSQCGVK
+AVNKASSATPKGNSNNGNSGSNSSKTVKENDKEKGKEKEKEKKEKTPTTTPEARVLGKDG
+KEKPKEERPNKDEKAREMKERTLKSDKEKEKFKKEEKAKDEKFKTTVPNVESKSTQEKER
+EKELSRERDTAKEMKSKENVKGGEKTPVSGFLKSPVPRSDIAEPEREQKRRKIDTHPSPS
+HSSTVKDSLTELKESSAKLYINHIPPPLSKREMDKKDLDKSRERSREREKKDEKDKKERK
+RDHLNNDREVPLDLTKRRKEENGTMGVSKHKSESSCESPYPNEKDKEKNKSKSSGKEKGG
+DSFKSGKMDKISSGGKKGVDRSLS
+>tr|G3N1E9|G3N1E9_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC788210 PE=3 SV=2
+MKNHTTVTTFILLGLSNDPQLQVVIFLLLFFTYLLSVTGNLIIITLTLLDSHLKTPMYFF
+LRNFSFLEISFTTVCIPKFLVSMATGDKTISYNNCAAQLFFTILLGATEFFLLAAMSCDR
+YVAICKPLHYTTIMSDRVCNLLVFASWLAGFIIIFPPLLVGLQLDFCAANTVDHFFCDVS
+PILQLSCTDIDKVEIMMLLSAILTLLVTLVLVIFSYTNIIRTILKIPSFQKRRKAFSTCS
+SHTVVVSISYGSCIFMYVKPSAKQRVSLNKGIALLSTSVAPMLNPFIYTLRNRQVKYAFK
+LMIKNIEAFLIK
+>tr|A0A3Q1M8G0|A0A3Q1M8G0_BOVIN DNA annealing helicase and endonuclease ZRANB3 OS=Bos taurus OX=9913 GN=ZRANB3 PE=4 SV=1
+MIQWLPKYFLSTWIMFSRRISTSKVTVLGYGLLTTDAETLIDALNNQNFKVVIVDESHYM
+KSRSATRSRILLPIVQKAKRAILLTGTPALGRPEELFMQIEALFPQKFGTWTEYAKRYCN
+AHVRYFGRRSQWDCRGASNLNELHQLLSDIMIRRLKTEVLTQLPPKIRQRIPFDLPSAAA
+KELNSSFEEWEKLMRDPYSGATETVMGLITRMFKQTAIAKAGAVKDYIKMMLQNDSLKFL
+VFAHHLSMLQACTEAVIENKTRYIRIDGSVPSSERIHLVNQFQKDPETRVAILSIQAAGQ
+AQVTGSTLNGRKEKLQAEEGDKEKWDFLQFAEAWTPNERSEELRDEMLFTHFEKEKQRDI
+RSFFLPNAKKRQLETSCDESRVSQEKNTIVPADPVKTATRGDESDLEPEAKKLKSVAIDD
+PCRPPEEQPCRPGQAEALLTFGICKAKAQATTPAFCGEGWQCAFCTYINNSVLPYCEMCE
+NPRGGADSLNQTQNKNKNEKDDSQDTSKKIQTSSDGEKQVLAHSTPEPLAKSKEEISTTE
+SEDRLTPQPGDEQLKNWPVYDTLMFCASKNTDRIHVYTKDGNQMNCNFIPLDIKLDLWED
+LPASFQLKQNRSLILRFVREWSSLTAMKQKIIKKSGQLFRSPVLALEEIAKQQTKQNSTK
+RYITKEDVAAASMDKVKNDGGHVRLITKGPKPGDPSTKEFLEGGECVPFLNPCTAQGDLI
+LKASTSKGYLQAVDNEGNPLCLRCQQPTCQTKQERKADAWDSRFCSLKCQEEFWIRSNNS
+YLRAKVFEIEHGVCQLCNLNAQELFLRLRDAPKSQRKSLLDATWTSKLPLEQLNEMIRSP
+GEGHFWQVDHIKPVSGGGGQCSLDNLQTLCTVCHRERTAQQAKERSQVRRQSLASNHGSD
+ITRFLVKK
+>tr|A0A3Q1NNM0|A0A3Q1NNM0_BOVIN Diacylglycerol kinase OS=Bos taurus OX=9913 GN=DGKB PE=3 SV=1
+MTNQEKWAHLSPSEFSQLQKYAEYSTKKLKDVLEEFHGNGVLAKYNPEGTIDFEGFKLFM
+KTFLEAELPDDFTTHLFMSFSNKFPHSSPMVKSKPALLSSGLKMNKGAITPPRTSPANTC
+SPEVIHLKDIVCYLSLLERGRPEDKLEFMFRLYDTDGNGFLDSSELENIISQMMHVAEYL
+EWDVTELNPILHEMMEEIDYDHDGTVSLEEWIQGGMTTIPLLVLLGLENNVKDDGQHVWR
+LKHFNKPAYCNLCLNMLIGVGKQGLCCSFCKYTVHERCVARAPPSCIKTYVKSKKNTDVM
+HHYWVEGNCPTKCDKCHKTVKCYQGLTGLHCVWCQITLHNKCASHLKPECDCGPLKDHIL
+PPTTICPVVLQTLPTSGVSAPEERQATVKREKGSSQQSNKVTDKNKMQRANSVTVDGQGL
+QITPVPGTHPLLVFVNPKSGGKQGERIYRKFQYLLNPRQVYSLAGNGPMPGLNFFRDVPD
+FRVLACGGDGTVGWILDCIGYEGENLMKILKDIENSTEIMLDRWKFEVIPNDKDEKGDPV
+PYSIINNYFSIGVDASIAHRFHIMREKHPEKFNSRMKNKFWYFEFGTSETFSATCKKLHE
+SVEIECDGVQIDLINISLEGIAILNIPSMHGGSNLWGESKKRRSHRRIEKKGSDKRTTLI
+DAKELKFASQDLSDQLLEVVGLEGAMEMGQIYTGLKSAGRRLAQCSSVVIRTSKSLPMQI
+DGEPWMQTPCTIKIIHKNQAPMLMGPPPKTGLFCSLVKRTRNRSKE
+>tr|A0A3Q1LLK6|A0A3Q1LLK6_BOVIN Mitochondrial ribosomal protein L39 OS=Bos taurus OX=9913 GN=MRPL39 PE=4 SV=1
+MAVVVRGMRLWRAAPSGGAAWRSVVTSPASQLSPTELIEMQNDLFNKEKNRQLSLTPRTE
+KIEVKHVGKTDPGTIFVLNKNVSTPYSCAMHLSEWYCRKSILALVDGQPWDMYKPLTKSC
+EIKFLTFKDDDPGEVNKENLRSFTKDARALIYKDLPFETLEVEAKVALEIFQHNKYKVDF
+IEEKASQNPERIVKLHRFGDFIDVSEGPLIPRTSICFQYEVSVVHNLQATQSSLVRRFQG
+LSLPVHLRAHFTIWNKLLERSRKMVTEDQTKPTEKSAST
+>tr|A0A3Q1MJY4|A0A3Q1MJY4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MCFTKRDPRVLASFRVLMVRSSFPRIAGVREAWVLLGEAENILAHLGPSREKNRDSFTQV
+HLCSQHNLVDEFFDTMENEPEGAQMEAVLAETKEKFIKDAFKVMDNHIQENSPETLKESS
+PLLQEARQEVRCRIQRRSVSTSLEVQNPEESIWARALRQFLGILQSFLSGCRDALTWLWE
+KAAACLQAICSAVEALWEVLTDFSSFVGQLLCRSLIQV
+>tr|A0A3Q1MKG1|A0A3Q1MKG1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MKVIENQALKDEEKMELQEIQLKEAKHIAEEAHRKYEEVARKLVIIEGDLELVDQFSQHH
+LLKRLSLIRCIFLPPLSKIRCP
+>tr|A0A3Q1N158|A0A3Q1N158_BOVIN Kinesin family member 9 OS=Bos taurus OX=9913 GN=KIF9 PE=3 SV=1
+LKLLCAGSRASQFPRSCGSVPQLPRPWLRACSSRSLSGLIGPIACPPLSALTLFISQQRT
+ADFVSATTSYFRNSQKRPVATATVYTDWQRRCRYSVAANAAVRLGEGSCLPSAKMGSRKK
+VHAFVRVRPTDDFAHEMIKYRDDNKTIDIHLKKDSRRGVVNNQQTDWSFKLDRVLHDASQ
+DLVYETVAKDVVSQALNGFNGNLVN
+>tr|A0A3Q1MAC9|A0A3Q1MAC9_BOVIN Transmembrane protein 237 OS=Bos taurus OX=9913 GN=TMEM237 PE=4 SV=1
+TLGLVAKRLRLSTPKPRNAKAAKAEAGGDLARGRRPSPAPQSPRLPLVPKAAGLRGTRLP
+PAALAPREPRRAQRHEGRLQARGGRGPPELETTLTQKKTASPSLLPNENGINVEPDEEPV
+IQKPRRKTKKTQPAELHYANELGVEDEDIITDEQSSPEQQSVFTAPTGISQPVGKVFVEK
+SRRFQAADRAELIKTTEKIDVSMDMKPSWTTRDVALSVHRAFRMIGVFSHGFLAGCAVWN
+IVVIYVLAGDELSDLSNLLQQYKTLAYPFQSLLYLLLALSTVSAFDRIDFAKTSVAIRNF
+LALDPRALASFLYFTALVLSLSQQMTSDRIHLYTPSSVNGSLWAEGIEEQVLQPWIVVNL
+VVAVLVGLSWLFLSYRPGMDLSEELMFSSDVEEYPDKGIKVSS
+>tr|A0A3Q1M1R1|A0A3Q1M1R1_BOVIN Muscleblind like splicing regulator 2 OS=Bos taurus OX=9913 GN=MBNL2 PE=4 SV=1
+MALNVAPVRDTKWLTLEVCRQFQRGTCSRSDEECKFAHPPKSCQVENGRVIACFDSLKGR
+CSRENCKYLHPPTHLKTQLEINGRNNLIQQKTAAAMLAQQMQFMFPGTPLHPVPTFPVGP
+AIGTNTAISFAPYLAPVTPGVGLVPTEILPTTPVIVPGSPPVTVPGSTATQKLLRTDKLE
+VCREFQRGNCARGETDCRFAHPADSTMVDTNDNSVTVCMDYIKGRCMREKCKYFHPPAHL
+QAKIKAAQHQANQAAVAAQAAAAAATVMTQSTAKAMKRPLEASVDLAFPPGALHPLPKRQ
+ALEKSNGASTVFNPSVLQYQQALTSAQLQQHTAFIPTVPMMHSATSATVSAATTPATSVP
+FAATATANQIILK
+>tr|E1B829|E1B829_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC509280 PE=3 SV=3
+MDQRNYTSLRGFILLGFSDHPKLETVLSGVVTVFYLITLVGNTAIILASLLDSHLHTPMY
+FFLRNLSLLDLCFTTSIVPQMLVNLWGHDKTISYVGCIIQLYVYMWFGSIECLLLAVMSY
+DRFTAICKPLHYLVIMNPHLCLKMVIIVWSISLANSVVLCTLTLNLPRCGNNLLDHFLCE
+LPAMVKIACIDTTAVEMSVFALGIVIVLTPLVLILISYGYIAKAVLRMKSKAGQRKAINT
+CGSHLTVVSIFYGAIIYMYLQPGNNASKEQGKFLTLFYTIITPSLNPLIYTLRNKDMKDA
+LKKLMRVDHKFTTLKRN
+>tr|F1MKB6|F1MKB6_BOVIN G_PROTEIN_RECEP_F1_2 domain-containing protein OS=Bos taurus OX=9913 GN=PPYR1 PE=3 SV=2
+MNTSHFLALLFLGSPQGQNRSKSASLYAPYNFSDHCQDSVDPLVFIVTSYSIETIVGVLG
+NLCLICVTIRQKEKANVTNLLIANLAFSDFLMCLICQPLTAIYTIMDYWVFGEVLCKIST
+FIQCMSVTVSILSLVLVALERHQLITNPTGWKPSVTQAYLGIVVIWLIACFLSLPFLANS
+ILENVFHKNHSKAVEFLADKVVCTESWPLDHHRIIYTTFLLLFQYCIPLAFILVCYVRIY
+QRLRNQRQVFHKGTCSSRAWQMKRINGILVAMVAAFAVLWLPLHVFNSLEDWYHEAIPIC
+HGNLIFLVCHLLAMASTCVNPFIYGFLNTNFKKEIKALVLTCQQSVPVEESEHLPLSTVH
+TEVSKGSLRLSGRSNPI
+>tr|A0A3Q1M078|A0A3Q1M078_BOVIN KRAB domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MMLLCLTCQAPVILKDVVVTFTRQEWKLLDLTQRTLYQEVVLETSRLLVSLGKFLFTSAP
+CPPQRPGPGCALRHFLGLPLTFPQLVLIL
+>tr|A0A3Q1MBS4|A0A3Q1MBS4_BOVIN ATPase copper transporting beta OS=Bos taurus OX=9913 GN=ATP7B PE=3 SV=1
+HIQKQRHYFILSKLSQPAMKQSFAFDNNGYEDDLDGVRPSHTATGTINIVGMTCQSCVKS
+IEGRVSSLKGIVSIKVSLEQGSAEVRYVPSVVSLVQICHQIEDMGFQASVAEGKATSWPS
+RVSPASEAMVKLRVEGMTCQSCVSSIEGKIGKLQGVLRVRVSLSNQEAVITYQPYLIQPQ
+DLRDHITDMGFEAVIKNKVAPVSLGPIDVRRLQSTLSAAPPAPVNQNDNNSETPGGQGIP
+LHLRVDGMHCKSCVLNIEDNIGRLPGVQSIHVSLESRTAQVQYDPSLVSPGALQRAIEAL
+PPGNFKVSLPNGVEGSGPDSRSPPASSAPCTVMLAIAGMTCKSCVQSIEGLISQRAGVHQ
+ISVFLAEGTAVVLYDPSRTHPEELRAAVEDMGFEASILAENCSSNHIGNHSSGSAVGHVA
+AGTPVPVQGGTPQPGELHTNHIPRQSPKSLPASTTVAPKKCFLQISGMTCASCVSNIERN
+LQKEPGILSVLVALMAGKAEVKYNPEAIQPLEIAKLIQDLGFEAAVMEDYTGSDGDLELM
+ITGMTCASCVHNIESKLRRTEGITYASVALATSKAHVKFDPEIIGPRDIVKLIEEIGFRA
+SLAQRIPNAHHLDHKVEIKQWKNSFLCSLVFGIPVMGLMIYMLIPSHEPQSTVLDHNVIP
+GLSILNLIFFILCTFVQSKTSEALAKLMSLQATEATVVTLGEDNVIIREEQVPMELVQRG
+DIIKVVPGGKFPVDGKVLEGNTMADESLITGEAMPVTKKPGSMVIAGSMNAHGSVLVTAT
+HVGNDTTLAQIVKLVEEAQMSKAPIQQLADRFSGYFVPFIIIISTVTLVVWIGIGFTDFG
+VVQKYFPVPSKGISQAEVVLRFAFQTSITVLCIACPCSLGLATPTAVMVGTGVAAQNGIL
+IKGGKPLEMAHKIKTVMFDKTGTITHGVPKVSRVLLLVDVATLPLRKVLAVVGTAEASSE
+HPLGVAVTRYCKEELGTETLGCCTDFQAVPGCGISCKVSSVESILAQGERLQGPLTTHLN
+RVGSNPTETDAATQTFSVLIGNREWMRRNGLTVTSDVRDAMTDHEMKGQTAILVAIDGVL
+CGMIAIADSVKQEAALAVHTLKSMGVDVVLITGDNRKTARAIATQVGINKVFAEVLPSHK
+VAKVQELQNQGKRVAMVGDGVNDSPALAQADVGIAIGTGTDVAIEAADVVLIRNDLLDVV
+ASIHLSRRTVWRIRLNLVLALIYNLIGIPVAAGVFIPIGVVLQPWMGSAAMAASSVSVVL
+SSLQLKCYRKPDLARYEAQAHGSMKPLSASQVSVRVGMDDRRRDSPRASAWDQVSYVSQV
+SLSPLKSDKLSRHSGAADDRGDKWSLLLNDRDEEQGI
+>tr|G3X6I9|G3X6I9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=3 SV=2
+MGPVEAQKPPPPTSGPGLLHLLQPNLPIHLLSLTQKLGPVYRLRLGLQEVVVLNSKRTIE
+EAMIRKWVDFAGRPQIPSYKLVSQRCQDISLGDYSLLWKAHKKLTRSALLLGTRSSMEPW
+VDQLTQEFCERMRVQAGAPVTIQKEFSLLTCSIICYLTFGNKEDTLVHAFHDCVQDLMKT
+WDHWSIQILDMVPFLRFFPNPGLWRLKQAIENRDHMVEKQLTRHKESMVAGQWRDMTDYM
+LQGVGRQRVEEGPGQLLEGHVHMSVVDLFIGGTETTASTLSWAVAFLLHHPEIQQYLQEE
+MDRELGAHLDGTVWEQPHEFWPDRFLEPGANPSALAFGCGARVCLGESLARLELFVVLLR
+LLQAFTLLPPPVGALPSLQPDPYCGVNLKVQPFQVRLQPRGVEAGAWESASAQ
+>tr|A0A3Q1LTT5|A0A3Q1LTT5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+SFLGGARISLDPATDPDINMNSIKDYQINPNPYFSLMVRVNSDGGRYPELSLEKPLDREE
+QRSHSLILTALDGGDPARSATAQIEISVKDTNDNPPVFSQDEYRLSVSENLPPGSSVLLV
+TATDQDEGANAEINYYFRSTAQSTRHKFSLDEKTGMIKNNQSLDFEDIERYTMEVEAKDG
+GGLSSQCKVIIDILDENDNIPEIIITSLSDQILEGSLPGMVVALFKTRDRDSGGNGEVTC
+HVGRDVPFKIYSSSNNYYKPSPIWHCPHQCDCFRYK
+>tr|F1MYE0|F1MYE0_BOVIN Insulin receptor substrate 1 OS=Bos taurus OX=9913 GN=IRS1 PE=4 SV=3
+MAGGVRMASQGPLGLRDIKVTWEKQAVQEHQALLQLHNRAKGHHDGAAAPGAGGGGGSCS
+GSSGLGEAGEDLSYGDVPPGPAFKEVWQVILKPKGLGQTKNLIGIYRLCLTSKTISFVKL
+NSEAAAVVLQLMNIRRCGHSENFFFIEVGRSAVTGPGEFWMQVDDSVVAQNMHETILEAM
+RAMSDEFRPRSKSQSSSNCSNPISVPLRRHHLNNPPPSQVGLTRRSRTESITATSPASLV
+GGKQGSFRVRASSDGEGTMSRPASVDGSPVSPSTNRTHAHRHRGSSRLHPPLNHSRSIPM
+PSSRCSPSATSPVSLSSSSTSGHGSTSDCLFPRRSSASVSGSPSDGGFISSDEYGSSPCD
+FRSSFRSVTPDSLGHTPPARGEEELSNYICMGGKGASTLTAPNGHYILPRGGNGHRYVPA
+AGLGTSPALPGEEAAGAADLDNRFRKRTHSAGTSPTISHQKTPSQSSVASIEEYTEMMPA
+YPPGGGSGGRVPSYRHSAFVPTHSYPEEGLEMHPLERRGGHHRPDTSSLHTDDGYMPMSP
+GVAPVPGSRKGSGDYMPMSPKSVSAPQQIINPIRRHPQRVDPNGYMMMSPSGSCSPDIGG
+GPSSGGSSSGAAPSGSSYGKLWTNGVGGHHSHALPHPKLPVESGSGKLLSCTGDYMNMSP
+VGDSNTSSPSDCYYGPEDPQHKPVLSYYSLPRSFKHTQRPGELEEPRHHQHLRLSSSSGR
+LLYTAAAEDSSSSTSSDSLGGGYCGARPEPGLPHLHHQVLQAHLPRKVDTAAQTNNRLAR
+PTRLSLGDPKASTLPRAREQQPPPPLLLPPEPKSPGEYVNIEFGSDQPGYLSGPVASHSS
+PSIRCPSQLQPAPREEDTGAEEYMNMDLGPGRRATWQKSVGVQPGRVGPAPPGAASVCRP
+TRAVPSSCGDYMTMQMGGPRQSYVDTSPVAPISYADMRTGVVVEDASLPRATAAAPSSSS
+TASVSSTAPPPGTGELAARSALLGGPSAFTRVNLSPNRNQSAKVIRADPQGCRRRHSSET
+FSSTPSATRVGNTMPFGAGAAVGGSGGGSSSSAEDVKRHSSASFENVWLRPGELGGAPKE
+LAQVCGAAGGLENGLNYIDLDLVKDFKQRPQECPPQPQPPPLPAPHQPLASSQSGPTSRS
+SEDLSAYASITFQKQPEDLQ
+>tr|G3MXN1|G3MXN1_BOVIN Ferritin OS=Bos taurus OX=9913 PE=3 SV=2
+MMPIPPSQVRQNYRLECEAALNSHAALEFHASFQCLALAFYLDRDDVALKHFHRFFLLRS
+HEHSKTAESLMFLQNRRGGRVSFLDIRKPETQQWESALQAMQDTLHLEKCVNQSLLDLHK
+LATDSSDAHLCDFLETGYLDQQVKFIKELGDHVSKLSNVGSPEGSLAGYFSDKLTLGDGD
+KED
+>tr|F1MYH6|F1MYH6_BOVIN Sorting nexin-1 OS=Bos taurus OX=9913 GN=SNX1 PE=4 SV=2
+MASGGGGCSASERLPPPFPGLEPESEGAVGGSEPEAGDSDTEGEDIFTGAAAVSKPQSPK
+RIASLLPINSGSKENGIHEEQDQEPQDLFADATVELSLDSTQNNQKKVPAKTLISLPPQE
+ATNSSKPQPSYEELEEEEQEDQFDLTVGITDPEKIGDLPMFRSKHFAVKRRFSDFLGLYE
+KLSEKHSQNGFIVPPPPEKSLIGMTKVKVGKEDSSSAEFLEKRRAALERYLQRIVNHPTM
+LQDPDVREFLEKEELPRAVGTQTLSGAGLLKMFNKATDAVSKMTIKMNESDIWFEEKLQE
+VECEEQRLRKLHAVVETLVNHRKELALNTAQFAKSLAMLGSSEDNTALSRALSQLAEVEE
+KIEQLHQEQANNDFFLLAELLSDYIRLLAIVRAAFDQRMKTWQRWQDAQTTLQKKREAEA
+RLLWANKPDKLQQAKDEIVEWESRVTQYERDFERISTVVRKEVIRFECLLAKYWEAFLPE
+AKAIS
+>tr|A0A3Q1LY00|A0A3Q1LY00_BOVIN Membrane palmitoylated protein 5 OS=Bos taurus OX=9913 GN=MPP5 PE=3 SV=1
+MTTSHMNGHVTEESDNEVKNVDLASPEEHQKHREMAVDCPGDLGTRMMPVRRSAQLERIR
+QQQEDMRRRREEEGKKQELDLNSSMRLKKLAQIPPKTGIDNPIFDTEEGIVLESPHYAVK
+ILEVEDLFASLKHIQHTLVDSQSQEDISLLLQLVQNKDFQNAFKIHNAVTVHMNKASPPF
+PLISNAQDLAQEVQTVLKPVHQKEGQELTALLSAPHIQALLLAHDKVAEQEMQLEPITDE
+RVYESVGQYGGETVKIVRIEKARDIPLGATVRNEMDSVIISRIVKGGAAEKSGLLHEGDE
+VLEINGIEIRGKDVNEVFDLLSDMHGTLTFVLIPSQQIKPPPAKETVIHVKAHFDYDPSD
+DPYVPCRELGLSFQKGDILHVISQEDPNWWQAYREGDEDNQPLAGLVPGKSFQQQREAMK
+QTIEEDKEPEKSGKLWCAKKNKKKRKKVLYNANKNDDYDNEEILTYEEMSLYHQPANRKR
+PIILIGPQNCGQNELRQRLMNKEKDRFASAVPHTTRNRRDHEVAGRDYHFVSRQAFEADI
+AAGKFIEHGEFEKNLYGTSIDSVRQVINSGKICLLSLRTQSLKTLRNSDLKPYIIFIAPP
+SQERLRALLAKEGKNPKPEELREIIEKTREMEQNNGHYFDTAIVNSDLDKAYQELLRLIN
+KLDTEPQWVPSTWLR
+>tr|E1BP79|E1BP79_BOVIN WD repeat domain 47 OS=Bos taurus OX=9913 GN=WDR47 PE=4 SV=3
+MTAEETVNVKEVEIIKLILDFLNSKKLHISMLALEKESGVINGLFSDDMLFLRQLILDGQ
+WDEVLQFIQPLECMEKFDKKRFRYIILKQKFLEALCVNNAMSAEDEPQHLEFTMQEAVQC
+LHALEEYCPSKDDYSKLCLLLTLPRLTNHAEFKDWNPSTARVHCFEEACIMVAEFIPADR
+KLSEAGFKASNNRLFQLVMKGLLYECCVEFCQSKATGEEITESEVLLGIDLLCGNGCDDL
+DLSLLSWLQNLPSSVFSCAFEQKMLNIHVDKLLKPTKAAYADLLTPLISKLSPYPSSPMR
+RPQSADAYMTRSLNPALDGLTCGLTSHDKRISDLGNKTSPMSHSFANFHYPGVQNLSRSL
+MLENTECHSIYEESPERDTPVEAQRPISSEILGQSSVSEKEPANGAQNPGPAKQEKNELR
+DSTEQFQEYYRQRLRYQQHLEQKEQQRQIYQQMLLEGGVNQEDGPDQQQNLTEQFLNRSI
+QKLGELNIGMDSLGNEVPALNQQCNGNKGNGSNNSSVTSFSTPPHDSSQRLTHDASSIHA
+STPRIPGSVNHMPFLEESPCGNQISSELSIIKPPLGDSSGNLSRSREEEDDKSKKQFVCI
+NTLEDTQAVRAVAFHPGGGLYAVGSNSKTLRVCAYPEVIDPSAHDIPKQPVVRFKRNKHH
+KGSIYCVAWSPCGQLLATGSNDKYVKVLPFNAETCNATGPDLEFSMHDGTIRDLAFMEGP
+ESGGAILISAGAGDCNIYTTDCQRGQGLHALSGHTGHILALYTWSGWMIASGSQDKTVRF
+WDLRVPSCVRVVGTTFHGTGSAVASVAVDPSGRLLATGQEDSSCMLYDIRGGRMVQSYHP
+HSSDVRSVRFSPGAHYLLTGSYDMKIKVTDLQGDLTKQLPIMVVGEHKDKVIQCRWHTQD
+LSFLSSSADRTVTLWTYNG
+>tr|A0A3Q1NCS8|A0A3Q1NCS8_BOVIN Nostrin OS=Bos taurus OX=9913 GN=NOSTRIN PE=4 SV=1
+MRDPLTDCSYNKVYKNLKEFSQNGEDFCKQITSILQQRANLEINYAKGLQKLATKLSKTL
+QSAKKNCLVSAWAWVSEGMKSAGDLHQKLGKAIELEAIKPAHQVLSAHEKKRKSLENEVE
+KTANLVISNWNQQIKAKKKLMVSTKKHEALFHLVESSKQITTEKEKQKLLNKLKKSTEKL
+SKEDENYYQKNVASCSTRLKWENTLENCFQSILELEKERIQLLCNNLNQYSQHISVFGQT
+LTTCHTQIHCAISKIDIEKDIQALMEETTVSSTENKSEFLLTDYFEEDPKNAMSKERQTS
+SIKSKLLRLQKDIEKASRDQEGLERMLRAYSSHSSFSDSESEKSTAALMDENSLKLDLLQ
+ANSYKLSSVLAELEQRPQPNHPCSNSIFKWKEKQQTHSSVKISRPVLMKRLENVVNRASS
+DGQRIPSPSSTASGVTQLGNGLCKALYPFQARQDDELDLEKGDIVTIHKKKDEGWWFGSL
+KGKKGHFPAAYVEELPLNAGDTASQA
+>tr|A0A3Q1M081|A0A3Q1M081_BOVIN Splicing factor SWAP OS=Bos taurus OX=9913 GN=SFSWAP PE=4 SV=1
+MYGASGGRTKTERKSGAKEETGPGGAGGGGNRVELLVFGYACKLFRDDERALAQEQGQHL
+IPWMGDHKILIDRYDGRGHLHDLSEYDAEYSTWNRDYQLSEEEARIETLCDEERYLALHT
+DLLEEEARQEEEYKRLSEALAEDGSYNAVGFTYGSDYYDPSEPTEEEEPSKQREKNEAEN
+LEENEEPFIAPLGLNVPPDVELPPTAKMHAIIERTANFVCKQGAQFEIMLKAKQARNSQF
+DFLRFDHYLNPYYKFIQKAMKEGRYTVLAENKSEEKKKSGVSSDNEDDDDEEDGSYLHPS
+LFASKKCNRLEELMKPLKVVDPDHPLAALVRKAQADSPAPTPPTADGAAVQPSQVEYTTD
+STVAAMYYSYYMLPDGTYCLAPPPPGVDVATYYSTLPAGVAVSSSTGVTATAPPPPGTTP
+PPPPTTAETSSGVTSTTITTSALAPVATIIPPPPDIQPVIDKLAEYVARNGLKFETSVRA
+KNDQRFEFLQPWHQYNAYYEFKKQFFLQKEGGENAQAVSAPEETPAEPAPEKPSDAGEDS
+VSEDAADAGGRGVSSGKKEASSSRAVPDGKLVKAKQKLEDRLAAAAREKLAQASKESKEK
+QLQAERKRKAALFLQTLKNPLPEAEVGKVEESPFSIEEAGTVPCPLLAGGRPLPTLDVKP
+PEGRSSRSKDPPREEERERKKKKHKKRSRTRSRSPKYHSSSKSRSRSHSKAKHCLPSAYR
+TARRSRPTASPGTLSTEPCQSSSAVAAAAERDSYQAASTTSRFASWSSFEGKPGKMSRSR
+SRSPRRRAHSPERRREDRSVPTAYRMSNSPGVSRKRTRSRSPHEKKKKRRSRSRTKSKAG
+SQPASPSKQPARRHSASISPVESRGSSQERSRGVSQEKDGQISSAIVSSVQSKITQDLMA
+KVRAMLAASKNMQTSAS
+>tr|G5E669|G5E669_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC112447333 PE=3 SV=2
+MDRFLAEAPLVLDAEELTTLHETHRGLLQETSHILLSDVISAFITNKDTENLRSPVTFVF
+QHVMTPGPREKVHCVYWEHGQNGSGHWDTRGCWVTSTRDNSTTCQCSHLSIFAVLMAHYS
+VQEEDPALTVITYVGLSLSLLCLLLAALTFLLCKAIQNTSTSLHLQLSLCLFLAHLLFLT
+AIDRTESKVLCAIIAGALHYLYLASFTWMLLVGLHLFLSARNLTVVNYSGINRFMKKAMF
+PVGYGVPAVIVAISAASRPHLYGTSTSCWLHSEKGFKWGFLGPVCAIFSVNLAFFLMTLW
+ILKRKLCSLNSEVSTLQNTRMLTFKATAQLFILGCTWFLGILQVGLAARVMAYLFTIINS
+LQGVFIFLVYCLLSQQVREQYRKWLKRIRKSKAESEKYTLSSRTMSDGCKHSEVRSCTNR
+KR
+>tr|A0A452DJI3|A0A452DJI3_BOVIN 40S ribosomal protein S27-like OS=Bos taurus OX=9913 PE=4 SV=1
+MLLPTQSFCLCYFFLPGILFPSCLDLANTSIGGGGGLVAKSCPSLATPWTVACQAHLSMG
+FSRQEYWSGLPFPSPTSCLNINLTCLMPRASSRAARWGCRLRDLHGLGLRKDQHAWCYKI
+TTVFSHAQTVVLCVGCSTVLCQPTGGKARLTEGCSFRRKQH
+>tr|G3N0W3|G3N0W3_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC520399 PE=3 SV=1
+MDKQNQTVPGEFILMRITDRPELQAPFFVLFLTIYAVSAVGNLGMIVLTKVDSKLQTPMY
+FFLRNLAFIDLGYSTSVGPKMLVAFVTDQNTISYHWCAMQLTFFILFIISELFILSAMAY
+DRYVAICNPLLYTVVMSPRICWVLVAVPYVYSASVSLITTIQIFISSFCGYNIISHFYCD
+SLPLLTLLCSSTWEIELFILICSVFNLVSSFLIVLVSYILILKAILKMNTAQGRQKAFST
+CGSHLTVVAVLYATLAFMYMQPKSSHSFDTDKMASVFFTLVIPMLNPMIYSLRNKEVKGA
+LHRMWKNLCKIPI
+>tr|A0A3Q1N4Z4|A0A3Q1N4Z4_BOVIN Serine/threonine-protein kinase receptor OS=Bos taurus OX=9913 GN=ACVR2B PE=3 SV=1
+MTAPWAALALLWGSLCAGSGRGEAETRECIYYNANWELERTNQSGLERCEGERDKRLHCY
+ASWRNSSGTIELVKKGCWLDDFNCYDRQECVATEENPQVYFCCCEGNFCNERFTHLPEAG
+GPEVTYEPPPTAPTLLTVLAYSLLPVGGLSLIALLAFWMYRHRKPPYGHVDIHEDPGPPP
+PSPLVGLKPLQLLEIKARGRFGCVWKAQLMNDFVAVKIFPLQDKQSWQSEREIFSTPGMK
+HENLLQFIAAEKRGSSLEAELWLITAFHDKGSLTDYLKGNIITWNELCHVAETMSRGLSY
+LHEDVPWCRGEGHKPSIAHRDFKSKNVLLKSDLTAVLADFGLAVRFEPGKPPGDTHGQVG
+TRRYMAPEVLEGAINFQRDAFLRIDMYAMGLVLWELVSRCKAADGEQGRSPERPGCGGGE
+VGQSGDPLPTPPRDSLSPPGPVDEYMLPFEEEIGQHPSLEELQEVVVHKKMRPAIKDHWL
+KHPVRAGGGRCGGGCGHRMLTPGGELHPASCVAGPEGRAQAAGPAPPHAGAVPPFHLGSV
+CAG
+>tr|A0A3Q1N535|A0A3Q1N535_BOVIN Serpin A3-1 OS=Bos taurus OX=9913 GN=SERPINA3-1 PE=3 SV=1
+MVIYPFQSEDRVLCCPRQCFTSLGGGLCRINRQQHPGNSSIRAGGGSGSTAHIPLSQADM
+RAERTSFLLALGLLVAGIRSVHCLPENVVVKDQHRRVDGHTLASSNTDFAFSLYKQLALK
+NPNKNVILSPLSVSIALAFLSLGARGSTLTEILEGLKFNLTEIQEKEIHHSFQHLLQALN
+QPSNQLQLSVGNAMFVQEELKLLDKFIEDAQVLYSSEAFPTNFRDSEAARSLINDYVKNK
+TQGKIEELFKYLSPRTELVLVNYIYFKAQWKTPFDPKHTEQAEFHVSDNKTVEVPMMTLD
+LETPYFRDEELGCTLVELTYTSNDSALFILPDEGKMRDLEAKLTPETLTRWRNSLQPRRI
+HELYLPKFSIKSNYELNDILSQLGIRKIFANADLSGITGTADLVVSQVVHGAALDVDEEG
+TEGAAATGISMERTILRIITIPIRQKRCARRERAANTHL
+>tr|A0A3Q1M0L3|A0A3Q1M0L3_BOVIN Eukaryotic initiation factor 4A-II OS=Bos taurus OX=9913 GN=EIF4A2 PE=1 SV=1
+MWNLELWKGGLVHPIYSFIFFFFNLQSNWNEIVDNFDDMNLKESLLRGIYAYGFEKPSAI
+QQRAIIPCIKGYDVIAQAQSGTGKTATFAISILQQLEIEFKETQALVLAPTRELAQQIQK
+VILALGDYMGATCHACIGGTNVRNEMQKLQAEAPHIVVGTPGRVFDMLNRRYLSPKWIKM
+FVLDEADEMLSRGFKDQIYEIFQKLNTSIQVVLLSATMPTDVLEVTKKFMRDPIRILVKK
+EELTLEGIKQFYINVEREEWKLDTLCDLYETLTITQAVIFLNTRRKVDWLTEKMHARDFT
+VSALHGDMDQKERDVIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTNRENYIH
+RSR
+>tr|A0A3Q1LPQ0|A0A3Q1LPQ0_BOVIN Protein disulfide-isomerase OS=Bos taurus OX=9913 GN=P4HB PE=1 SV=1
+MLRRALLCLALTALFRAGAGAPDEEDHVLVLHKGNFDEALAAHKYLLVEFYAPWCGHCKA
+LAPEYAKAAGKLKAEGSEIRLAKVDATEESDLAQQYGVRGYPTIKFFKNGDTASPKEYTA
+GREADDIVNWLKKRTGPAASTLSDGAAAEALVESSEVAVIGFFKDMESDSAKQFLLAAEA
+IDDIPFGITSNSDVFSKYQLDKDGVVLFKKVSAGTSLLVQWLTTAPKIFGGEIKTHILLF
+LPKSVSDYEGKLSNFKKAAESFKGKILFIFIDSDHTDNQRILEFFGLKKEECPAVRLITL
+EEEMTKYKPESDELTAEKITEFCHRFLEGKIKPHLMSQELPDDWDKQPVKVLVGKNFEEV
+AFDEKKNVFVEFYAPWCGHCKQLAPIWDKLGETYKDHENIVIAKMDSTANEVEAVKVHSF
+PTLKFFPASADRTVIDYNGERTLDGFKKFLESGGQDGAGDDDDLEDLEEAEEPDLEEDDD
+QKAVKDEL
+>tr|A0A3Q1MCM2|A0A3Q1MCM2_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC781828 PE=3 SV=1
+LEPAEKKMDPGNHSSVTEFILAGLTEKPELQIPLLVFFLGIYSVTVVGNLGMITLIGLSS
+HLHTPMYYFLTNLSFIDFCHSTVVTPKMLVNFMTEKSVISYPECMTQLYFFIVFIIAECY
+MLAVMAYDRYVAICNPLLYNVIMSCYRCFQLTVTVYILCIIESAIHTGFMLRLHFCKANV
+INHYFCDVFPLLELSCSSISMNELLALVFSAFNVLIPVLTILASYIFILSTILHIHSTEG
+RSKAFSTCSSHISAVAVFYGSAAFMYLKPSSVSSMDQGKVSSVFYTCIVSMLNPLIYSLR
+NKDVKFALKKILESGKCR
+>tr|A0A3Q1M7E7|A0A3Q1M7E7_BOVIN UPF0113_N domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MRSPKHKETHVMFEKTAKFVRENLQLLVNRSYGTHGFRRHNDWTVYYVNEMILKLVVGIS
+GDKLVLLGRYFGKFPKTHKFQLDISALDYLAPYAKNGASQNTGS
+>tr|A0A3Q1LRN6|A0A3Q1LRN6_BOVIN C2 domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MVVFNGLLKIKICEAVSLKPTAWSLRHAVGPRPQTFLLDPYIALNVDDSRIGQTATKQKT
+NSPAWHDEFVTDVCNGRKIELAVFHDAPIGYDDFVANCTIQFEELLQNGSRHFEDWSFPF
+CCLGQNSHLEQAVLEILTSPYLEKH
+>tr|A0A3Q1N849|A0A3Q1N849_BOVIN Olfactory receptor OS=Bos taurus OX=9913 PE=3 SV=1
+MEPDNQTNSSEFILLGLSEKPEQETLLFALFLCMYVVTVVGNLLIILAISTDFHLHTPMY
+FFLANLSLVDFCLATDTVPKMLVSIQIKSKSISYSCCLTQIYFFHFFGIMDSVLIAVMAY
+DRFMAICHPLHYTSIMSPRLCVLLVGGPWVFSGFISLTHILLMAHLVFCGNTELPHYFCD
+LTLLFRLSCTDTSVNKIFVLIVIGMVIAMPFICILALPSAEGRRKTFSTCSSHLSVVALL
+YMTTFGEKASGVMYAALTPMLKPFIYSLRNRDLKRRFVLFVILISTSIIC
+>tr|A0A3Q1N609|A0A3Q1N609_BOVIN Amyloid beta precursor like protein 1 OS=Bos taurus OX=9913 GN=APLP1 PE=4 SV=1
+MGPASPATRGLGPLLRLLPLLMLLLRMQLAEGNLAGGSPSAAEAPGSAQVAGLCGRPTLH
+RDLRTGRWEPDPQLSRRCLRDPQRVLEYCRQMYPELQIARVEQATQAIPMEQWCGGTRGG
+HCAHPHHQVVPFHCLPGEFVSEALLVPEGCRFLHQERMDQCESSARRQQEAQEACSSQGL
+ILHGSGMLLPCGADRFRGVEYVCCPPPATPNPSGTAVGDPSTRSWPPGGRVERGDDEEEE
+ESFLQPVDDYFVEPPRADEEEEDRVPPSSSQTPAGVSKVTPTPRPTDGVDVYFGMPGEIS
+EHEGFLRAKMDLEERRMRQINEVMREWAMADNQSKNLPKADRQALNEHFQSILQTLEEQV
+SGERQRLVETHATRVIALINDQRRAALEGFLAALQGDPPQPERVLLALRRYLRAEQKEQR
+HTLRHYQHVAAVDPEKAQQMRFQVQTHLQVIEERMNQSLGLLDQNPRLAQELRPQIQELL
+HSEHLGPNELEAPAPGGSSEDKSGLQPLDPKGEQDATSSGKDKMTPLEQYERKVNMSIPR
+GFPFHSSEIQRDELAPAGTGVSREAVSGLLIMGAGGGSLIVLSLLLLRRKKPYGAISHGV
+VEVDPMLTLEEQQLRELQRHGYENPTYRFLEERP
+>tr|F1MVL2|F1MVL2_BOVIN Short-chain-specific acyl-CoA dehydrogenase, mitochondrial OS=Bos taurus OX=9913 GN=ACADS PE=3 SV=3
+MAATLLARACGLVRGAPCPWGWRRLHTVYQSVELPETHQMLRQTCRDFAEKELFPIAAQV
+DKEHRFPAAQVKKMGELGLMAMNVPEELSGAGLDYLAYSIAMEEISRGCASTGVIMSVNN
+SLYLGPILKFGTKEQKQRWVAPFTSGDKIGCFALSEPGNGSDAGAAATTARADGDSWVLS
+GTKAWITNAWEASAVVVFASTDRSLHNKGISAFLVPMPTPGLTLGKKEDKLGIRASSTAN
+LIFEDCRIPKDSLLGEPGLGFKIAMQTLDTGRIGIASQALGIAQAALDCAVTYAENRSAF
+GAPLTKLQAIQFKLADMALALESARLLTWRAAMLKDNKKPFTKEAAMAKLAASEAATAIT
+HQAMQILGGMGYVKEMPAERHYRDARITEIYEGTSEIQRLVVAGHLLKSYRS
+>tr|G3MY92|G3MY92_BOVIN Achaete-scute family bHLH transcription factor 4 OS=Bos taurus OX=9913 GN=ASCL4 PE=4 SV=1
+MEKRPQDGLLTLPHHLSQVPLGVPRSLPRLLMRDSFRVSVSWEPALGGGGAPRRPYLPLR
+LDGAFEPAFLRKRNERERQRVRCVNEGYARLRDHLPREVADRRLSKVETLRAAIGYIKQL
+QEVLERHAPGQEGAAGAGSSRRAECNSDGESKASSAPSPSSEPEDGAS
+>tr|F1MVV5|F1MVV5_BOVIN Mitogen-activated protein kinase 4 OS=Bos taurus OX=9913 GN=MAPK4 PE=4 SV=3
+MAEKGGCLASVYGYDLGGHFVDFQPLGFGVNGLVLSAVDSRARRKVAVKKITLSDARSRK
+HALREIKIIQRLDHDNIVKVYEVLGPQGTDLQGELFKFSVAYIVQEYMETDLARLLEQGT
+LTEEHAKLFMYQLLRGLKYIHSANVLHRDLKPANIFISTEDLVLKIGDFGLARIVDQHYS
+HKGYLSEGLVTKWYRSPRLLLSPNNYTKAIDMWAAGCILAEMLTGRMLFAGAHELEQMQL
+ILETVPVIREEDKDELLKVMPSFVSSTWEVKRPLRKLLPEVNSEAIDFLEKILTFNPMDR
+LTAEMGLQHPYMSPYSCPEDEPTSQHPFRIEDEIDDILLMAASQSQLSNWDRYPVSLSSD
+LEWRPDRCQDASEGQRDPRAGSAPLVEDVQVDPRKDSQSSSERFLEQSHSSMERAFEADY
+GRSCDYKVGSPSYLDKLLWRDSKPHHYSEPKLILDLSHWKQAAGAPPAAGVAGVATVTVD
+PGPRDDEPASLFLEIAQWVKSTQGGPERGASPPPDGPERRRSPPGRPAPIDGGASPQFDL
+DVFISRALKLCTKPEDLPDNKLGDLNGACISEHPGDLVQTEAFSKERW
+>tr|G5E577|G5E577_BOVIN Mitogen-activated protein kinase 6 OS=Bos taurus OX=9913 GN=MAPK6 PE=4 SV=1
+MAEKFESLMNIHGFDLGSRYMDLKPLGCGGNGLVFSAVDNDCDKRVAIKKIVLTDPQSVK
+HALREIKIIRRLDHDNIVKVFEILGPSGSQLTDDVGSLTELSSVYIVQEYMETDLANVLE
+QGPLLEEHARLFMYQLLRGLKYIHSANVLHRDLKPANLFINTEDLVLKIGDFGLARIMDP
+HYSHKGHLSEGLVTKWYRSPRLLLSPNNYTKAIDMWAAGCIFAEMLTGKTLFAGAHELEQ
+MQLILESIPVVHEEDRQELLSVIPVYIRNDMTEPHKPLTQLLPGISREALDFLEQILTFS
+PMDRLTAEEALSHPYMSIYSFPMDEPISSHPFHIEDEVDDILLMDETHSHIYNWERYHDC
+QFSEHDWPIHNNFDIEEVQLDPRALSDVTDEEDVQVDPRKYLDGDREKYLEDPAFDTSYS
+TEPCWQYPDHHENKYCDLECSHTCNYKTRSSSYLDNLVWRESEVNHYYEPKLIIDLSNWK
+EQSKEKSDKKGKSKCERNGLVKAQIALEEASQQLAEKEREKNQGFDFDSFIAGTIQLSSQ
+HEAADVVDKLNDLNSSVSQLELKSLISKSVSREKQEKGMANLAQLEALYQSSWDSQFVGG
+GEDCFLINQFCCEVRKDEQIEKENTYTSYLDKFFSRKEDTEMLETEPVEDGKLGERGNEE
+GFLNSSGEFLFNKQLESIGIPQFHSPVGSPLKSIQATLTPSAMKSSPQIPHKTYSSILKH
+LN
+>tr|A0A3Q1N950|A0A3Q1N950_BOVIN Tryptophan 2,3-dioxygenase OS=Bos taurus OX=9913 GN=TDO2 PE=3 SV=1
+MSGCPFLGKSFGYAFKPLSAQGSEEDKSQAGVNRASKGGLIYGNYLQLEKVLNAQELQSE
+MKGNKIHDEHLFIITHQDKINEGRMTAAYELWFKQILWELDSVREIFQNGHVRDERNMLK
+VITRMHRVVVILKLLVQQFSVLETMTALDFNDFREYLSPASGFQSLQFRLLENKIGVLQS
+LRVPYNRRHYRDNFRGKDNELLLKSEQERTLLQLVEAWLERTPGLEPHGFNFWGKLEKNI
+VKGLEEEFTKIQAKEESEEKEEQMAEFQKQKEVLLSLFDEKRHEHLLSKGERRLSYKALQ
+GALMIYFYREEPRFQVPFQLLTFLMDVDSLMTKWRYNHVCLVHRMLGSKAGTGGSSGYQY
+LRSTVSDRYKVFVDLFNLSTYLVPRHWIPKMNPVIHKFLYTAEYCDSSYFSSDESD
+>tr|A0A3Q1MFR2|A0A3Q1MFR2_BOVIN Phospholipase OS=Bos taurus OX=9913 GN=PLD2 PE=3 SV=1
+MWFAARPGNEHCQVPAPEARPRRRGPGVLVPEWRKVAERPQGTVRARADRRRETDGQPGG
+WHRTDARSGRLCPVELRAAPASRLRAGRRGGPRAAGAGQDRRESRGGEAGGPSRPRGQAL
+CARPALALWGVDSSSGSAPLPQLAKPRRRPLGLSGMAATPQSLFPSGDDLDSSQLQMEPD
+EVDTLKEGEDPADRMHPFLAIYHLQPLKLHPLVFAPGVPVIAQVVGTERYTSGSKVGTCT
+LYSVRLTHGDFTWTTKKKFRHFQELHRDLLRHKVFMSLLPLARFAVASSPAPEGDSREIP
+SLPRAGPEGSSRRTASKQKYLENYLNRLLTMSFYRNYHAMTEFLEVSQLSFIPDLGCKGL
+EGVIRKRSGGHRVPGLTCCGRDQVCYRWSKRWLVVKDSFLLYMCLETGAISFVQLFDPGF
+KVQVGKRSTEARYGVRVDTSHRSLILKCSSYRQARWWAQEITELAQGPGRDFIQLHRHDS
+YAPPRPGTLARWFVNGAGYFAAVADAILRAQEEIFITDWWLSPEIYLKRPAHSDDWRLDI
+MLKKKAEEGVHVSVLLFKEVELALAINSGYSKKALMLLHPNIKVMRHPDQVTLWAHHEKL
+LVVDQVVAFLGGLDLAYGRWDDLHYRLTDLGDSSESAAPQPPTSCSDLPATPDLTHNQLF
+WLGKDYSNLITKDWVQLDRPFDDFIDRETMPRMPWRDIGVVVHGSPARDLARHFIQRWNF
+TKTTKTKYKIPIYPYLLPKSTSTANQLPFTLSGGQCATVQVLRSVDRWSAGTLENSILNA
+YLHTIRESQHFLYIENQFFISCSDGRTVLNKVGDEIVDRILKAHKTLCRGEYSILHRLKA
+AMGTEWRNYISVCGLRTHGELGGHPVSELIYIHSKMLIADDRTVIIGSANINDRSLLGKR
+DSELAVLIEDTEMEPSLMNGVEYQAGRFALSLRKHCFSVILGAAARPHLDLRDPVCDAFF
+QLWQDTAESNANIYEQIFRCLPSNATRSLRALREYVVVEPLATVSPPLARSELNQVQGHL
+VHFPLKFLEDEYLLPSLGSKEGVMPLEVWT
+>tr|A0A3Q1MLX1|A0A3Q1MLX1_BOVIN Denticleless E3 ubiquitin protein ligase homolog OS=Bos taurus OX=9913 GN=DTL PE=4 SV=1
+MAHWNAVFDLAWVPGEFKLVTAAGDQTAKFWDVNAGELIGTCKGHQCSLKSVAFSKFEKA
+VFCTGGRDGNIMVWDTRCNKKDGFYRQVNQISGAHNTLDKQTPSKPKKKQNSKGLAPSVD
+FQQSVTVVLFQDENTLVSAGAVDGIIKIWDLRKNYTAYRQEPIASKSFLYPGSSTRKLGY
+SSLILDSTGSTLFANCTDDNIYMFNMTGLKTSPVAVFSGHQNSTFYVKSSISPDDQFLVS
+GSSDEAAYIWKVSMPWHPPTVLLGHSQEVTSVCWCPSDFTKIATCSDDNTLKVWRLNRDL
+EEKSGGDKLSIVGWASQKKREVKADLVAVPSSQSTPAKAPRAKSSPCTSSPSSAACAPSC
+AGDLPLPSNTPTFSLKTPPAKARSPISRRGSVSSVSPKPPSSFKMSIRNWMTRTASLSPP
+VTPPASETKITSPRKALIPVSLKSSQAVACSESRNRVKRRLDSSCLENVKQKCVKSCSCV
+TELDDPVEKLHLDLCCLAGAQEDLGKDSLGPTKPSKIEGASMSVSEPPSPASPYASEGCG
+TLPLPLGSCGEGSEVVGKENSSPENKNWLLAMAAKRKAENSSPRSPSSQTPNPRRQSGKT
+SPGPVTITPSSMRKICTYFHRKSQDDFCSPEQSTEL
+>tr|E1BNL8|E1BNL8_BOVIN Zinc finger protein 786 OS=Bos taurus OX=9913 GN=ZNF786 PE=4 SV=3
+MRANYEILLSLDNGLPKPELISWIEQGRELFKKWGESQESRNIICSAADLHFDPLIEGQP
+FSVLENQQAVSSEEAHYYFQVDPLQSHRSCKPLSEKSEDVSFRPDQAVALVNPQRPNTWA
+LLPAVHSSREPTQRDKIASPRALGIPGFQKTASGEGLQQSCAFCGESFWNEDLLEWHQGS
+HSKAWKQLSKQPEAQQLRSQTHFRCPGCGRGFRRKPHLLSPLAVRAEESRPLGLESEETC
+GHRVTPPRPSPYPGCDEGASRGPPGMERPVSPREGAGAASEQDELPPSSNLAGQKCGRWA
+GGPEALKPGPGGERPSSYGACSRRPSQRCRLSDHTHVHRAERPCRCAECGRAFRQRGRLR
+LHRQPPSHEPPCTGPECGLGFRLRSALQAHGLRHGGERPLACDECGRGFAHPCKLREHLR
+VHSGERPFCCAECGKSFRLKGILKAHERTHSRERPFQCAECGRGFTRPSKLAEHFRVHSG
+ERPFSCVDCGRRFRLQGQLRSHRRLHTGERPFPCPDCGKSYRVKADLKAHQLLHGGPMPF
+SCECGKGFAKQSKLVEHVRTHTGEKPFQCPQCDKRFRLKAQLLSHQGLHTGERPFRCPEC
+DKNFRERGHMLRHQRIHRPDRPFACADCGKGFIYKSKLAEHVRVHTKSCRVRREPDVKKR
+LSQLFEMIEADWS
+>tr|A0A3Q1MXM1|A0A3Q1MXM1_BOVIN MARVEL domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MIPCFVHTMPGLLKVLETFVACVIFAFLSNTSLYIDQPALEWCVAVYSICFILAAVAMLM
+DREEWENRLPISFPVFHLGLNFLLSVLLYIGALVLWPLYQFDEKFGGQPERSRDVSCQHR
+LTNYVCVWDQRLAVAILTAINLLIYVADLVYWARQVSVGTEDQPGDS
+>tr|E1BHT5|E1BHT5_BOVIN Ubiquitin protein ligase E3 component n-recognin 4 OS=Bos taurus OX=9913 GN=UBR4 PE=4 SV=2
+MATSGGEEAAAVAPAVGAPAPAGDTTPGWEVAVRPLLSASYSAFEMKELPQLVASVIESE
+SEILQHEKQYEPFYSSFVALSTHYITTVCSLIPRNQLQSVAAACKVLIEFSLLRLENPDE
+ACAVSQKHLILLIKGLCTGCSRLDRTEIITFTAMMKSAKLPQTVKTLSDVEDQKELASPV
+SPELKQKEVQMNFLNQLTSVFNPRTVASSPVGPQTQAEGESEEQSSTDQASAIKTKNVFI
+AQNVASLQELGGSEKLLRVCLNLPYFLRYINRFQDAVLANSFFIMPATVADATAVRNGFH
+SLVIDVTMALDTLSLPVLEPLNPSRLQDVTVLSLSCLYAGVSVATCTAILHVGGAQQART
+GCTSSKEEDHEGDAATIVQKCLEIYDMIGQAISSSRRAGGEHYQNFQLLGAWCLLNSLFL
+ILNLSPTALADKGKEKDPLAALRVRDILSRTKEGVGSPKLGPGKGHQGFGVLSVILANHA
+VKLLTSLFQDLQVEALHKGWEADGPPAVLSIMAQSTSIQRIQRLIDSVPLTNLLLTLLST
+SYRKACVLQRQRKGSMSSDASASTDSNTYYEDDFSSTEEDSSQDEDSEPILGQWFEETIS
+PSKEKVAPPPPPPSPPLESSPRVKSPSKQAPGEKGNILASRKDPELFLGLASNILNFITS
+SMLNSRSNFIRNYLSVSLSEHHMATLASIIKEVDKDGLKGASDEEFAAALYHFNHSLVTS
+DLQPPNLQNTLLQQLGVAPFSEGPWPLYIHPQSLSVLSRLLLIWQHKASAQGDPDVPECL
+KVWDRFLSTMKQNALQGVVPAETEDLNVEHLQLLLLIFHNFSEKGRRDILTLFVQITQEL
+SASMDAQMRSVPLILARLLLIFDYLLHQYSKAPMYLFEQVQHNLLSPPFGWASGSQDSSS
+RRTTTPLYHGFKEVEENWSKHFSSDAVPQPRFYCVLSPEASEDDLNRLDSVACDVLFSKL
+VKYDELYTALTSLLAAGSQLDTVRRKENKNVTALEACALQYYFLILWRILGILPPSKTYM
+NQLAMNSPEMSECDILHTLRWSSRLRISSYVNWIKDHLIKQGMKAEHAGSLLELASSKCS
+SVKYDVDIVEEYFARQISSFCSIDCTTILQLHEIPSLQSIYTLDAAVSKVQVSLDEHFSK
+VAAETDPQKSSEVTKNLLPATLQLIDTYASFTRAYLLQNFNEEGSTEKPSQEKLHGFAAV
+LAIGSSRCKANTLGPTLVQNLPSSVQTVCESWNNISTNEFPNIGSWRNAFANDTVPSESY
+ISAVQAAHLGTLCGQSLPLAASLKHTLLSLVRLTGDLIVWSDEMNPPQVIRTLLPLLLES
+STESVAEISSNSLERVLGPAESDEFLARVYEKLITGCYNILANHADPNSGLDESILEECL
+QYLEKQLESSQARKAMEDFFSDSGELVQIMMATANENLSAKFCNRVLKFFTKLFQLTEKS
+PNPSLLHLCGSLAQLACVEPARLQAWLTRMTASPPKDSDQLDVIQENRQLLQLLTTYIVR
+ENSQVGEGVCAVLLGTLIPMATEMLANGDGTGFPELMVVMATLAGAGQGAGHLQLHNAAV
+DWLSRCKKYLSQKNVVEKLNANVMHGKHVMVLECTCHIMSYLADVTNALSQSNGQGPSHL
+SVDGEERAIEVDSDWVEELAVEEEDSQAEDSDEDSLCNKLCTFTITQKEFMNQHWYHCHT
+CKMVDGVGVCTVCAKVCHKDHEISYAKYGSFFCDCGAKEDGSCLALVKRTPSSGMSSTMK
+ESAFQTEPRVSESLVRHTSTSPADKAKVTISDGKVADEEKPKKSSLCRTVEGCREELQNQ
+ANFSFAPLVLDMLSFLMDAIQTNFQQASAVGSSSRAQQALRELHTVDKAVEMTDQLMVPT
+LGSQEGAFENVRMNYSGDQGQTIRQLISAHVLRRVAMCVLSSPHGRRQHLAVSHEKGKIT
+VLQLSALLKQADSSKRKLTLTRLASAPVPFTVLSLTGNPCKEDYLAVCGLKDCHVLTFSS
+SGSVSDHLVLHPQLATGNFIIKAVWLPGSQTELAIVTADFVKVYDLSVDALSPAFYFLLP
+SSKIRDVTFLFNEEGKNIIVIMSSAGYIYTQLMEEASSAQQGPFYVTNVLEVNHEDLKDS
+NSQVAGGGVSVYYSHVLQMLFFSYCQGRSFAATVSRTTLEVLQLFSINIKSPNGGSKAPP
+ALCQWSEVMNHPGLVCCVQQTTGVPLVVMVKPDTFLIQEIKTLPAKAKIQDMVAIRHTAC
+NEQQRTTMILLCEDGSLRIYMANVENTSYWLQPSLQPSSVISIMKPVRKRKTATITARTS
+SQVAFPIDFFEHNQQLSDVEFGGNDLLQVYNAQQIKHRLNSTGMYVANTKPGGFTIEISN
+NNSTMVMTGMRIQIGTQAIERAPSYIEIFGRTMQLNLSRSRWFDFPFTREEALQADKKLN
+LFIGASVDPAGVTMIDAVKIYGKTKEQFGWPDEPPEEFPAASVSNICPSNLTQSNGTGDS
+DSAAPAVTGGTVLERLVVSSLEALESCFAVGPIIEKERNKNAAQELATLLLSLPAPASVQ
+QQSKSLLASLHTSRSAYHSHKDQALLSKAVQCLNTSSKEGKDLDPEVFQRLVVTARSIAV
+TRPNNLVHFTESRLPQTETEGADEGREPQKQLEGDSCSFITQLVNHFWKLHASKPKNAFL
+APACLPGLTHIEATVNALVDIIHGYCTCELDCINTASRIYMQMLLCPDPAVSFSCKQALI
+RVLRPRNKRRHVTLPSSPRSNTPMGDKDDDDDDDADEKMQSSGIPNGGHIRQESQEQSEV
+DHGDFEMVSESMVLETAENVNNGNPSPLEALLAGAEGFPPMLDIPPDADDETMVELAIAL
+SLQQDQQGSSSSALGLQSLGLSGQAPSSSSLDAGTLSDTTASAPASDDEGSTAATDGSTL
+RTSPADHGGSVGSESGGSAVDSVAGEHSVSGRSSAYGDATAEGHPAGPGSVSSSTGAIST
+TTGHQEGDGSEGEGEGDGEADVHASNRLHMVRLMLLERLLQTLPQLRSVGGVRAIPYMQV
+ILMLTTDLDGEDEKDKGALDNLLSQLIAELGMDKKDVSRKSERSALNEVHLVLMRLLSVF
+MSRTKSGSKASVCESSSLISSATAAALLSSGAVDYCLHVLKSLLEHWKSQQSDEEPVATS
+QLLKPHTTSSPPDMSPFFLRQYVKGHAADVFEAYTQLLTEMVLRLPYQIKKIADTNSRIP
+PPVFDHSWFYFLSEYLMIQQTPFVRRQVRKLLLFICGSKEKYRQLRDLHTLDSHVRGIKK
+LLEEQGIFLRASVVTASSGSALQYDTLISLMEHLKACAEIAAQRTVNWQRFCIKDDSVLY
+FLLQVSFLVDEGVSPVLLQLLSCALCGSKVLAALAASAGSSSTSSSSAPAAASSGQATAQ
+PKSSTKKSKKEEKEKEKEGESSGSQEDQLCTALVNQLNKFADKETLVQFLRCFLLESNSS
+SVRWQAHCLTLHIYRNSSKSQQELLLDLMWSIWPELAAYGRKAAQFVDLLGYFSLKTPQT
+EKKLKEYSQKAVEILRTQNHILTNHPNSNIYNALSGLVEFDGCYLESGPCLVCNNPEVPL
+CYIKLSSIKVDTRYTTTQQVVKLIGSHTISKVTVKIGDLKRTKMVRTINLYYNNRTVQAI
+VELKNKPARWHKAKKVQLTPGQTEVKIDLPLPIVASNLMIEFADFYENYQASTETLQCPR
+CSASVPANPGVCGNCGENVYQCHKCRSINYDEKDPFLCNACGFCKYARFDFMLYAKPCCA
+VDPIENEEDRKKAVSNINTLLDKADRVYHQLMGHRPQLENLLCKVNEAAPEKPQDDSGTS
+GGISSTSASVNRYILQLAQEYCGDCKSSFDELSKIIQKVFASRKELLEYDLQQREAATKS
+SRTSVQPTFTASQYRALSVLGCGHTSSTKCYGCASAVTEHCITLLRALATNPALRHILVS
+QGLIRELFDYNLRRGSAAMREEVRQLMCLLTRDNPEATQQMNDLIIGKVSTALKGHWANP
+DLASSLQYEMLLLTDSISKEDSCWELRLRCALSLFLMAVNIKTPVVVENITLMCLRILQK
+LIKPPAPTSKKNKDVPVEALTTVRPYCNEIHAQAQLWLKRDPKASYDAWKKCLPIRGVDG
+NGKSPSKAELRHLYLTEKYVWRWKQFLSRRGKRTPPLDLQLGHNNWLRQVLFTPATQAAR
+QAACTIVEALATIPSRKQQVLDLLTSYLDELSVAGECAAEYLALYQKLITSAHWKVYLAA
+RGVLPYVGNLITKEIARLLALEEATLSTDLQQGYALKSLTGLLSSFVEVESIKRHFKSRL
+VGTVLNGYLCLRKLVVQRTKLIDETQDMLLEMLEDMTTGTESETKAFMAVCIETAKRYNL
+DDSRTPVFIFERLCSIIYPEENEVTEFFVTLEKDPQQEDFLQGRMPGNPYSSNEPGIGPL
+MRDIKNKICQDCDLVALLEDDSGMELLVNNKIISLDLPVAEVYKKVWCTTNEGEPMRIVY
+RMRGLLGDATEEFIESLDSTTDEEEDEEEVYKMAGVMAQCGGLECMLNRLAGIKDFKQGR
+HLLTVLLKLFSYCVKVKVNRQQLVKLEMNTLNVMLGTLNLALVAEQESKDSGGAAVAEQV
+LSIMEIILDESNAEPLSEDKGNLLLTGDKDQLVMLLDQINSTFVRSNPSVLQGLLRIIPY
+LSFGEVEKMQILVERFKPYCSFDKYDEDHSGDDKVFLDCFCKIAAGIKNNSNGHQLKDLI
+LQKGITQSALDYMKKHIPSAKNLDADIWKKFLSRPALPFILRLLRGLAIQHPATQVLIGT
+DSITNLHKLEQVSSDEGIGTLAENLLEALREHPDVNKKIDAARRETRAEKKRMAMAMRQK
+ALGTLGMTTNEKGQVVTKTALLKQMEELIEEPGLTCCICREGYKFQPTKVLGIYTFTKRV
+ALEEMESKPRKQQGYSTVSHFNIVHYDCHLAAVRLARGREEWESAALQNANTKCNGLLPV
+WGPHVPESAFATCLARHNTYLQECTGQREPTYQLNIHDIKLLFLRFAMEQSFSADTGGGG
+RESNIHLIPYIVHTVLYVLNTTRATSREEKNLQGFLEQPKEKWVESAFEVDGPHYFTVLA
+LHVLPPEKWRAVRVEILRRLLVTSQARAVSPGGATRLTDKALKDYSAYRSSLLFWALVDL
+IYNMFKKVPTSNTEGGWSCSLAEYIRHNDMPIHEAADKALKAFQEEFMPAETFSEFLDAA
+GLLSEVTDAESFLKDLLNSVP
+>tr|A0A3Q1MCK6|A0A3Q1MCK6_BOVIN DUF4592 domain-containing protein OS=Bos taurus OX=9913 GN=KIAA1211 PE=4 SV=1
+MIFFFQQVTRAVIPRLSQQCTLFLGTLTTEDKFFFLQEENFGEELSTRKGRIAIQRDKKK
+GGKFQPFKKLFGKRKKKGTSVSQEASAGRKCRSPPSVSNGTFSSDEETLEGSLRSFNYSM
+GTRAFSHDSIFIPDGGAESEQTVQAMSQDNILGKVKTLQRQLGKNIKFGQPPPNAIPMKK
+ADSGEARFEEDPFLTSPVEVVTQQDLILSDTENKSSETPSSPSPLNLPGARSEMEEKVAP
+VKPSRPKRHLSSAGTIESVNLDAIPLAIARLDNSAAKHKLSIKPKNQRVSRKHRRLVGDR
+QNEHGGLLHQLSLDQNGHPGEDKPIWHEREPEPLDSEEEKRRQEEYWRELEAKCKRQKAE
+AAERRRLEEQRLQALERRLWEENRRQEFLEEEEEEEEEREIQLEAKKRQREEETQSLEEP
+GSQGPEQREEEEGGLPEAKEPVCPEEEVQHLGRCLVQEEMEARSQQEAEKLWQEEERELE
+ELRRLEELEEQRRWAAERQRLEEEERQRLEEEERQRLEEEERQRELEEEKQMEELRRQEE
+LRRQEELRRLEELRRQEELRRQEELEAQRWREEEEVRKREELKKREDLEAKRRQEVEKKL
+QEAPRVEEENQPQLDHKNGLRSPFQSDFAEKAEEQDHLKLEKLRENSEKPSIWVKQSQEA
+TETSGEQPRKQEDVPGDRGCGRQEMREETNMQLPQKQEAQVEEMLVPGEKKEAATPETDR
+KLEELRWQEVDERQTMPRPYTFQVSSGGKQILFPKVNLSPVKPMKDEGLTSAPQEPKAPK
+ASPASHALPSTLSIPHTAILVTGAQLCGPAVNLSQIKDTACKSLLGLSEEKKLVDVPALE
+NPPRALGEPRAGSAKTRPPPESPSSAAALAEWASIRSRILKSSESDQRGEREQSRAGDEH
+TPRGRCDSRGNLRRTPPVNAKFSIKPAWQKFSDGGGEGESIRKRSGPGPSEEAVPQPPAI
+DTKEALKDAEKPRAHQEPTDTTEGCKFAKDLPSFLVPGLPYPPQKAVAQVEPVTTSDSQT
+TDGAGKSEPTMPGGEDKASLFGIKLRRTNYSLRFHCDQQTEQKKKKRHSSTGDSIDGAPS
+APGSTHGEPETEAAALKPGPLLPPERKPAPAPWKDSSESPPSLSPPVAQPGPPLAGSQPP
+APEQDKAANRLPLSQKPALAPKPAGQTPPPSPLSKVSRPYLVELLTRRVGKPEMEPSEPC
+KEGRDSGWPPSPPPPEERKEQKRDKEEEVETERKPASPVPSTGQEKPVPTPEAGRKEKPM
+LQSRHSLDGSKLVEKVETAQPLWITLALQKQKGFREQQATREERKQAREAKQAEKLAREQ
+VSVTPQPGSSSVSRASSLHKPTTQPEEKKPETPVSRLERREQLKKANTLPTSVTVEISDS
+APPAPLVKEVTKRFSNPDAAPVSTEPAWLALAKRKAKAWSDCPQIIK
+>tr|A0A3Q1MKU3|A0A3Q1MKU3_BOVIN Unc-13 homolog A OS=Bos taurus OX=9913 GN=UNC13A PE=4 SV=1
+LFLSLSTVKKAKFDGAQEKFNTYVTLKVQNVKSTTIAVRGSQPSWEQDFMFEINRLDLGL
+TVEVWNKGLIWDTMVGTVWIPLRTIRQSNEEGPGEWLTLDSQVIMADSEICGTKDPTFHR
+ILLDTRFELPLDIPEEEARYWAKKLEQLNAMRDQDEVSVGIIFLSFLPLSLLVSPYDDPD
+SAVDDRDSDYRSETSNSIPPPYYTTSQPNASVHQYSVRPPPLGSRESYSDSMHSYEEFSE
+DTHSGPTGSSRYASSGELSQGSSQLSEDFDPDEQSLQGSELEDERDRDSYHSCHSSVSYH
+KDSPRWDQDEDELDEEAKRVLALEHHETLCLSFRPREDEEGQEGQDSMSRAKANWLRAFN
+KVRLQLQEVSGSSSLATPGGGLIIIDSMPDIRKRKPIPLVSDLVSACGSMSHALLPPQKN
+HVYKKTLQALIYPISCTTPHNFEVWTATTPTYCYECEGLLWGIARQGMRCTECGVKCHEK
+CQDLLNADCLQRAAEKSSKHGAEDRTQNIIMVLKDRMKIRERNKPEIFELIQEIFAVTKT
+AHTQQMKAVKQSVLDGTSKWSAKISITVVCAQGLQAKDKTGSSDPYVTVQVGKTKKRTKT
+IYGNLNPVWEENFHFECHNSSDRIKVRVWDEDDDIKSRVKQRFKRESDDFLGQTIIEVRT
+LSGEMDVWYNLDKRTDKSAVSGAIRLHISVEIKGEEKVAPYHVQYTCLHENLFHFVTDVQ
+NNGVVKIPDAKGDDAWKVYYDETAQEIVDEFAMRYGVESIYQAMTHFACLSSKYMCPGVP
+AVMSTLLANINAYYAHTTASTNVSASDRFAASNFGKERFVKLLDQLHNSLRIDLSMYRNN
+FPASSPERLQDLKSTVDLLTSITFFRMKVQELQSPPRASQVVKDCVKACLNSTYEYIFNN
+CHELYSREYQTDPKGEVPPEEQGPSIKNLDFWSKLITLIVSIIEEDKNSYTPCLNQFPQE
+LNVGKISAEVMWNLFAQDMKYAMEEHDKHRLCKSADYMNLHFKVKWLYNEYVAELPAFKD
+RVPEYPAWFEPFVIQWLDENEEVSRDFLHGALERDKKDGFQQTSEHALFSCSVVDVFSQL
+NQSFEIIKKLECPDPQIVGHYMRRFAKTISNVLLQYADIISKDFASYCSKEKEKPDLMFL
+LAPQLDAEASDILKELQVKLNNVLDELSRVFATSFQPHIEDCVKQMGDILSQVKGTGNVP
+ASACSSVAQDADNVLQPIMDLLDSNLTLFAKICEKTVLKRVLKELWKLVMNTMEKTIVLP
+PLTDQTVRPAGAQREHLGHSSVRDHMVREEAKSLTPKQCAVVELALDTIKQYFHAGGVGL
+KKTFLEKSPDLQSLRYALSLYTQATDLLIKTFVQTQSAQGSGVEDPVGEVSVHVELFTHP
+GTGEHKVTVKVVAANDLKWQTSGIFRPFIEVNIIGPQLSDKKRKFATKSKNNSWAPKYNE
+SFHTLSADTGPECYELQVCVKDYCFAREDRTVGLAVLQLRELVQRGSAACWLPLGRRIHM
+DDTGLTVLRILSQRSNDEVAKEFVKLKSDTRSAEEGGAAPAP
+>tr|F1MXL7|F1MXL7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC100126230 PE=4 SV=1
+MRRQVGRLAVIIVGALVSKLLEVLHRPPRSLLGSPANTQLPDWAQESIDLLPPSCPLRIL
+ITVPRLGSLNAIPAPDLCMFSLCH
+>tr|A0A3Q1MEI7|A0A3Q1MEI7_BOVIN Presenilin OS=Bos taurus OX=9913 GN=PSEN1 PE=3 SV=1
+MLGKEPRLLEAGGGGGEERNDSRERHEHGNERRRRGNTESVSNGRAPSSSQQVVEQEEEE
+DEELTLKYGAKHVIMLFVPVTLCMVVVVATIKSVSFYTRKDGQLIYTPFTEDTETVAQRA
+LHSILNAVIMISVIVIMTILLVVLYKYRCYKVIHAWLIVSSLLLLFFFSFIYLGEVFKTY
+NVAMDYISVALLIWNFGVVGMIAIHWKGPLRLQQAYLIMISALMALVFIKYLPEWTAWLI
+LAVISVYDLVAVLCPKGPLRMLVETAQERNETLFPALIYSSTMVWLVNMAEGDPEAQRKV
+SKNSNYNAQRPANSPVTTTGTESESQDPVTESDDGGFSEEWEAQRDSRLGPHHSTAESRS
+AVQDLSSSILASEDPEERGVKLGLGDFIFYSVLVGKASATASGDWNTTIACFVAILIGLC
+LTLLLLAIFKKALPALPVSITFGLIFYFATDYLVQPFMDQLAFHQFYI
+>tr|F1N594|F1N594_BOVIN Radial spoke head 14 homolog OS=Bos taurus OX=9913 GN=RSPH14 PE=4 SV=2
+MADAKISMYLPPNVNPTQAAIAYGCRALPKLNEELQSDDLLTRQKALMALCDLMHDPEHV
+YTAISIGCLESLKALLKDTNDLVRIKTTEVLCIMATHNVGREGFLEHNVIQALSFLMSDA
+QPACRENLYTAFKHLAQLPAELQVVAAELHAEDDRGDALEAVDPLFALRPLAAHVHHLEG
+ALGIVNSGLIPSLVWKLQREEEEIQVLLLDTLAACLTEDATEALASRAVPFLKEKLLSTN
+CNIRSKAARTLIAVSVPPEGKIQVWQHDVIPILVHLLKDRDEEVQANAAGALMNATVTTE
+GKYAALDAEATRPLLQLLTSSLSKARLNAIKALTMLAEAPEGRKLLQPHVATFRALEEDF
+SPAVQRAAQIAIRVIEWKP
+>tr|A0A3Q1M521|A0A3Q1M521_BOVIN ATP binding cassette subfamily B member 5 OS=Bos taurus OX=9913 GN=ABCB5 PE=4 SV=1
+SCLENPMDREAWLVFGVCFFNSIMLPCFICFPQFRFADGLDITLMILGLLASLVNGACLP
+VMSLILGEMSDNLISGCLVKTNTSEYNIYFSILTKISNNLFFRLTLYYVGIGVTALIFGY
+IQISFWVMTAARQTKRIRKQFFHSVLAQDISWFDSCDIGELNTRMTEDINKINDGIGDKI
+ALLFQNMSTFSVGLAIGLVKGWKLTLVTLSTSPLIIASAAIFSRVVISLSSKELNAYSKA
+GAVAEEVLSSIRTVIAFGAQEKEIQRYTQNLKDAKDVGIKKAIASKLSLGAVYFFMNGTY
+GLAFWYGTSLILSGEPDYTIGTVLAVFFSVIHSSYCIGAAAPNFETFTIARGAAFNIFHI
+IDKKPTIDNFSTTGYKLECIEGTVEFKNVSFSYPSRPSIKILKGLNLKIKSGETVALVGP
+NGSGKSTAVQLLQRLYDPDDGFVSITVDGNDIRTLNVRHYREHFGVVSQEPVLFGTTINN
+NIRYGQDGVTNEDIEKAAKEANAYDFIMEFPKKFNTLVGEKGIQMSGGQKQRIAIARALV
+RNPKILILDEATSALDTESESAVQAALVKASKGRTTIVVAHRLSTIRSADMIVTIKDGMV
+VEKGTHAELMTKQGLYYSLAMSQIESVAYSAEKDTSSIPLCSVNSMKSDFTDKVEESTQY
+KEVMGQLSTSYQHTNLPEVSLLKIFKLYKSEWPSVVLGTLASVLNGTVHPIFSIIFAKIV
+TMFENDDKTTLKHDAEIYSMIFVILGVMCFVSYFIQGLFYGRAGEILTMRLRHLAFKAML
+YQDISWFDDKENTTGALTTILAIDIAQIQGATGSRVGVLTQNATNMGLSVIISFIYGWEM
+TLLILSIAPVLALTGMIETAAMTGFANKDKQELLRAGKIATEAVENIRTIMSLTREKAFE
+QMYEETLQTQHRNTLKKAQIIGICYAFSHAFVYFAYAVGFRFGTYLIQAGRMTPEGMFIV
+FTAIAYGAMAIGETLVLAPEYSRAKSGAAHLFALLEKKPTIDSYSQEGKKTDICEGNIEF
+REVSFFYPSRPDVLILRSLSLSIEKGKTVAFVGSSGCGKSTSVQLLQRFYDPVKGQVLFD
+GVDAKELNVQWLRSQIAIVSQEPVLFNCSIAENIAYGDNSRVVSLNEIKEVANAANIHSF
+IESLPEKYNTHVGLKGTQLSGGQKQRLAIARALLRKPKILLLDEATSALDNESEKVVQHA
+LDKASKGRTCLVVAHRLSTIQNADLIVVLHNGKIKEQGTHQELLRNRDIYFKLVNAQSVQ
+>tr|F1MGA9|F1MGA9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=ZNF624 PE=4 SV=2
+MAAVVFSVGPLSPQVFQPEEDLHLQAEEPELVKESVTFKDVAVDFTLEEWRLMDPTQRDL
+HKDVMLENYRNLVSLGLAISKPDMISHLENGKGPWVVVREISRTPFSELETKPTTKNTIP
+TEDFSKKHLSQDAIVEKLTENGLWDPIMGGLWKWGDRILRLQYSQQSPVGQKTVKPKKIP
+TIQKGFEFGTVLLPEPEVITEEPHGKYQTHDGNFTEGLDLITDTHLRKEICKDTEGSKVT
+SPASELTLEKKPNKKEKPYKCSTCEKAFRYRSLLNQHQRTHTKEKPYECNECGKMFSQPS
+YLSQHKKIHTGEKPYKCSECGKAFIASSSLMVHQRIHTKEKPYQCNVCGKSFSQCARLNQ
+HQRIQTGEKPYKCSECGKAFSDKSKLARHQETHNGDKPYKCNDCGKAFRNKSYLSVHQKT
+HTEEKPYKCNECGKSFKNTTIFNVHQRIHTGEKPFRCNECGKAYRSNSSLIVHIRTHTGE
+KPYECSECGKAFNRIANFTEHQRIHTGEKPYKCNECGKAFINYSCLSVHHRMHTGEKPYK
+CNECGKAFMRSSSLIIHQRIHTEEKPYLCNECGESFRIKSHLTVHQRIHTGEKPYKCTDC
+ERAFTKMVNLKEHQKIHTGVKPYTCYNCGKSFRTKSYLIVHQRTHTGEKPYKCNECEKAF
+TNTSQLTVHQRRHTGEKPYKCNECGKVFTSNSGFNTHQRTHTGEKPFKCNDCGKAFSQMV
+HVTEHQKIHSGEKPYKCDVCGKAFRRGSYLTVHWRTHTGEKPYTCKECGKGCITLSQLTL
+HQRIHTGERPYRCEECGKAFRTNSDFIVHLRMHTGEKPYKCSECGKAFRSSSSLTVHQRT
+HQREIQLI
+>tr|A0A3Q1MEW2|A0A3Q1MEW2_BOVIN Nucleotide-binding oligomerization domain-containing protein 2 OS=Bos taurus OX=9913 GN=NOD2 PE=4 SV=1
+MCAQDAFQTQRSQLVELLVSGSLEGFESILDRLLSREVLSWEDYEGLSLVGQPISHLARR
+LLDTIWNKGTWGCEQLTAAVREAQADSQPPELPSSWDPHSPHPARDLQSHRPAIVRRLYG
+HVEGVLDLTQQRGFISQYETDEIRRPIFTSSQRARRLLDLATVKANGLAAFLLQCIQELP
+VPLALPFEDAACKKYVSKLRTVISAQSRFLSTYDGAENLCLEEVYTENVLEIQMEVGMAG
+PSQQSPTTLGLEELFSTRDHFNKEADTVLVVGEAGSGKSTLLQQLHLLWASGRAFQEFLF
+VFPFSCRQLQCLVKPLSMRTLLFEHCCWPDLGPQDVFQVLLDHPERILLTFDGFDEFRFR
+FTDQERHCCPTAPTSVQSLLFNLLQGNLLKNARKVLTSRPSAVSASLRKHVRTELSLKGF
+SEEGIELYLRKRHREPGVADRLLCLLRATSALHGLCHLPVFSWMVSKCHEELLLQGRGSP
+KTTTDMYLLILRHFLLHASPLPLATHGLGPSLIQGRLPTLLHLGRLALWGLGTCCYVFSA
+KQLQAAHVDSEDLSLGFLVLAKRVVPGSTAPLEFLHITFQCFFAAFYLALSADTPPSSLR
+HLFQDHRPESSPLARVLPKLFLRGSRCREGSVAALLQGAEPHNLQITGAFLAGLLSQEHR
+SLLAECQASETALLRRWDCVRRCLTRSLREHFRSIPPALPGEAKSMHALPGFLWLIRSLY
+EMQEERLAREAVCRLNVGHLKLTFCGVGPAECAALAFVLRHLRRPVALQLDHNSVGDIGV
+EQLLPCLGVCKALYLRDNNISDRGICKLVEHALRCEQLQKLALFNNKLTDGCAHSMARLL
+ACKQNFLALSRPGLVAMCAMHFALLELSLKSLTALTYFCFLTTSSLVGNNIGSVGAQALA
+LMLEKNMALEELCLEENHVQDEGVCFLAKGLARNSSLKVLKLSNNHISSLGAEALLRALE
+KNDTILEVWLRGNTFSPEEIEKLSHQDTRLLL
+>tr|A0A3Q1LTV1|A0A3Q1LTV1_BOVIN Wntless Wnt ligand secretion mediator OS=Bos taurus OX=9913 GN=WLS PE=4 SV=1
+MFGNGEERSFRNFAPGPTTAVSYMSVKCVDVRKNHHKAKWLMPWGPNQCDKIRDIEEAIP
+REIEANDIVFSVHIPLPSMEMSPWFQFMLFILQLDIAFKLNNQIRENAEVSMDVSLAYRD
+DMFAEWTEMAHERVPRKLKCTFTSPKTLEHEGRYYECDVLPFMEIGSVAHKYYLLNIRLP
+VNEKKKINVGIGEIKDIRLVGIHQNGGFTKVWFAMKTFLTPSIFIIMVWYWRRITMMSRP
+PVLLEKVIFALGISMTFINIPVEWFSIGFDWTWMLLFGDIRQGIFYAMLLSFWIIFCGEH
+MMDQHERNHIAGYWKQVGPIAVGSFCLFIFDMCERGVQLTNPFYSIWTTDVGTELAMAFI
+IVAGICLCLYFLFLCFMVFQVFRNISGKQSSLPAMSKVRRLHYEGLIFRFKFLMLITLAC
+AAMTVIFFIVSQVTEGHWKWGGVTVQVNSAFFTGIYGMWNLYVFALMFLYAPSHKNYGED
+QSNGDLGVHSGEELQLTTTITHVDGPTEIYKLTRKEAQE
+>tr|E1BEL6|E1BEL6_BOVIN Neuropilin OS=Bos taurus OX=9913 GN=NRP2 PE=3 SV=1
+MDMFPLTWIFLALYFSGHEVRGQADQPCGGRLNSKDAGYITSPGYPQDYPSHQNCEWIVY
+APEPNQKIVLNFNPHFEIEKHDCKYDFIEIRDGDSESADLLGKHCGNIAPPTIISSGSVL
+YIKFTSDYARQGAGFSLRYEIFKTGSEDCSKNFTSPNGTIESPGFPDKYPHNLDCTFTIL
+AKPKMEIILQFLTFDLEHDPLQVGEGDCKYDWLDIWDGIPHVGPLIGKYCGTKTPSELRS
+ATGILSLTFHTDMAVAKDGFSARYYLVHQEPLENFQCNVPLGMESGRIANEQISASSMYS
+DGRWTPQQSRLHGDDNGWTPNLDSSKEYLQVDLRFLTVLTAIATQGAISRETQNGYYVKS
+YKLEVSTNGEDWMVYRHGKNHKVFQANNDATEVVLNKLHSPLLTRFVRVRPQTWHTGIAL
+RLELFGCRVTDAPCSNMLGMLSGLIADSQISASSTREYLWSPSAARLVSSRSGWFPRIPQ
+AQPGEEWLQVDLGAPKTVKGVIIQGARGGDSITAVEARAFVRKFKVSYSLNGKDWEHIQD
+PRTQQPKLFEGNMHYDTPDIRRFDPVLAQYVRVYPERWSPAGIGMRLEVLGCDWTDSKPT
+VETLGPTVKSEETTTPYPTDEEATDCGENCSFEDDKDLQLPSGFNCNFDFPEEPCGWMYD
+HAKWLRSTWASSSSPDDRTFPDDRNFLRLQSDGRREGQYARLISPPVHLPRSPVCMEFQY
+QATGGRGVALQVVREASQESKLLWVIREDQGGEWKHGRIILPSYDMEYQIVFEGVIGKGR
+AGEIAIDDIRISTDVPLENCMEPISAFAVDIPEIHGREGYEDEIDDDYEVDWSNSSSPTS
+GSGAPLADKEKSWLYTLDPILITIIAMSSLGVLLGATCAGLLLYCTCSYSGLSSRSCTTL
+ENYNFELYDGLKHKVKMNHQKCCSEA
+>tr|F1N218|F1N218_BOVIN NOP58 ribonucleoprotein OS=Bos taurus OX=9913 GN=NOP58 PE=4 SV=3
+MLVLFETSVGYAIFKVLNEKKLQEVDSLWKEFETPEKANKIVKLKHFEKFQDTAEALAAF
+TALMEGKINKQLKKVLKKIVKEAHEPLAVADAKLGGVIKEKLNLSCIHSPVVNELMRGIR
+SQMDGLIPGVEPREMTAMCLGLAHSLSRYRLKFSADKVDTMIVQAISLLDDLDKELNNYI
+MRCREWYGWHFPELGKIISDNLTYCKCLQKVGDRKNYASAQLSELLPEEVEAEVKAAAEI
+SMGTEVSEEDICNILHLCTQVIEISEYRTQLYEYLQNRMMAIAPNVTVMVGELVGARLIA
+HAGSLLNLAKHAASTVQILGAEKALFRALKSRRDTPKYGLIYHASLVGQTSPKHKGKISR
+MLAAKTVLAIRYDAFGEDSSSAMGVENRAKLEARLRTLEDRGIRKISGTGKALAKAEKYE
+HKSEVKTYDPSGDSTLPTYSKKRKIEQVDKEDEVIEKKAKKAKVKIKVEEEVVEDVEDTE
+EEGVQVVEEQETSVKKKKKKDKKKHVKEEPLSEEEPCTSTAVASPEKKKKKKKKKVNED
+>tr|F1MLB3|F1MLB3_BOVIN Coiled-coil domain-containing protein 130 OS=Bos taurus OX=9913 GN=CCDC130 PE=4 SV=2
+GVRYNAEKKVGNYYTTPIYRFRMKCHLCVNYIEMQTDPANCDYVIVSGAQRKEERWDMED
+NEQVLTTEHEKKQKLEMDAMFRLEHGEADRSTLKKALPTLSHIQEAQSAWKDDFALNSML
+RKRFREKKKAMQEEEERDQALQAKASLAIPLVPETEDDRRLAALLKFHTLDSYEDKQKLK
+RTEIISRSWFPSTPGASASSSSSSKTNSVLKKLAQNRRATPTSSPVTMGHLGIVRRRSRE
+VPESPQHVAETFKSGEPQLPEGTNQNRPVSPQDCSLETAETPKNSSALGQEESCQDRPQP
+PPDTSPEAPNPQDTPQPCSLGSSLVADYSGSESE
+>tr|F1MN37|F1MN37_BOVIN Leucine-rich single-pass membrane protein 1 OS=Bos taurus OX=9913 GN=LSMEM1 PE=4 SV=1
+MKRSSQDSGSRSIPEDRKLYVVDSINDLNKLNLCPAGSQQLFPLEEKLQDISTDSGNGSH
+SLFLVGLIIVLIISLALVSFVIFLIVQTENKMEDVSRRLAAEGKDIDDLKKINSIIVKRL
+NQLDSEQS
+>tr|A0A3Q1ME53|A0A3Q1ME53_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MKTILSNQTVDIPENVDINLKGRTVIVKGPRGTLRRDFNHINVELSLLGKKKKRLRVDKW
+WGNRKELATVLTICSHVQNMIKGVTLGFRYKMRSVYAHFPINVVIQENGSLVEIRNFLGE
+KYIRRVRMRPGVACSVSQAQKDELILEGNDIELVSNSAALIQQATTVKNKDIRKFLDGIY
+VSEKGTVQQADE
+>tr|A0A3Q1MAZ2|A0A3Q1MAZ2_BOVIN Phosphorylase b kinase regulatory subunit OS=Bos taurus OX=9913 GN=PHKA2 PE=3 SV=1
+MRSRSNSGVRLDGYARLVQQTILCYQNPITGLMSASHEQKDAWVRDNIYSILAVWGLGMA
+YRKNADRDEDKAKAYELEQNVVKLMRGLLQCMMRQVDKVERFKHTQSTEDSLHAKYNTAT
+CSTVVGDDEWGHLQVDATSLFLLFLAQMTASGLRIIFTLDEVAFIQNLVFYIEAAYKVAD
+YGMWERGDKTNQGIPELNASSVGMAKAALEAIDELDLFGAHGGRKSVIHVLPDEVEHCQS
+ILFSMLPRASTSKEIDAGLLSIISFPAFAVEDVNLVNVTKNEIISKLQGRYGCCRFLRDG
+YKTPREDPNRLHYDPAELKLFENIECEWPVFWTYFIIDGVFNGDAVQVQEYREALEGILI
+RGNNGVHLLPELYAIPPDKVDEEYKNPHTVDRVPLGKVPHLWGQSLYILSSLLAEGFLAA
+GEIDPLNRRFSTSVKPDVVVQVTVLAENNHIKELLRKHGVNVQSIADIHPIRVQPGRILS
+HIYAKLGRNKNMRLSGRPYRHIGVLGTSKLYVIRNQIFTFTPQFTDQHHFYLALDNEMIV
+EMLRIELAYLCTCWRMTGRPTLTFPITHTMLTNDGSDIHSAVLATIRKLEDGYFGGARVK
+LGSLSEFLTTSFYTYLTFLDPDCDEKLFDDASEGTFSPDSDSDLGGYLEDTYNQESQDEL
+DQYINHLLQSTSSKCYLPPLCKKTEDNQVFSAIHSTRDILSVMAKAKGLEIPFVPMALPT
+KVLSAHRKSLNLVDSPQPLLKKAPEDDFQFPRDDHGDVDCEKLVEQLKDCSNLQDQADIL
+YILYVIKGPSWDTNLSGQHGVTVHNLLSELYAKAGLNQEWGLIRYISGLLRKKVEVLAEA
+CTDLLSHQKQLTVGLPPEPREKTISAPLPPEELTKLIYEASGQDISIAVLTQEIVVYLAM
+YVRAQPSLFVEMLRLRIGLIIQVMATELARSLNCSGEEASESLMNLSPFDMKNLLHHILS
+GKEFGVERSMRPIHSSTPSPAVSIHEVGHTGVTKTERSGINRLRSEMKQRSSTPSSPTGT
+SSSDSGRQHMGWGERQGQWLRRRRLDGAINRVPVGFYQKVWKILQKCHGLSIDGYVLPSS
+TTQEMTPQEIKFAVHVESVLNRVPQPEYRQLLVEAIMVLTLLSDTEMNSIGGIIHVDQIV
+HMANQLFLQDQVSSGATDTLEKDQATGICHFFYDSAPSGAYGTMTYLTKAVASHLQELLP
+NSGCQMQ
+>tr|A0A3Q1MBQ3|A0A3Q1MBQ3_BOVIN Golgin A4 OS=Bos taurus OX=9913 GN=GOLGA4 PE=4 SV=1
+MFKKLKQKISEEQQQLQQALASPQASSSSSTPTRTRSRTSSFTESLDEGTPNRELLAGMI
+AEPAFLSEYTIFALDSSKQPKTQTESVNASFQATKSPDSVNGSEPTTPQSSDTQSFAQKL
+QLRVPSVESLFRSPVKESLVRSSSKESLVRTSSRESLNRFDLDSSAAFFDPPSDMESETE
+DSLGNLDSLSKEQLIQWLRRTERRLNGYKGKCCELVTAYQTLHREKKKLQGILSQSQDKA
+LRRIGELREELQMDQQAKKHLQEEFDASLEEKDQYISVLQTQVSLLKQRLRNGPVNADLP
+KPLPQMEPEAEGVTKENTDSDVEPVVGDGASAKAVEALQQRVKRQENLLQRCKETIRSHK
+EQCAQLTSEKEALQEQLDERLQELEKMKELHMAEKTKLITQLRDAKNLIEQLEQDKGMVI
+AETKRQMHETLEMKEEEIAQLRSRIKQMTTQGEELREQKEKSERAAFEELEKALSTAQKT
+EEARRKMKAEMDEQIKAIEKASEEERVRLQQELSCVKQEVVDVTKKSSEQIAKLQKLHEK
+ELASKEQELTKKLQTQETEFQEQMRIALEKSQSEYLKITQEKDQQESLALEELELQKKAI
+LTESENKLRDLKQEAETYRTRILELESSLEKSLQENKNQSEDLTSHLEAEKNKHNKEITI
+MVEKHKTELESLQHQQDNIWTEKLQVLKQQHQTEMEELREKYEQEKETLVKDRETLFQAH
+IEEMNEKTLEKLDVKQTELESLSSELSEVLKTRDKLEEELSVLKDQADRVKQELEAKLDE
+QKNHHQQQVDNIIKEQEISIQRTEKALKDEINQLGLLLKEKDRHLKEHQARVESLEADIK
+RSEGELQQASTKLELFQSLQNTTHEQAKVHEEQLAQLQQQLLDLETERILLTKQVAEVEA
+QKKDVCAELDAHKIQVQDLLQKLEKQNREMEEKVKSLTQLSESQLKDNNTEQEQRKQLLM
+EKENVILQMREAQSKETEILKQKLSAKEDSIRVLQEEYEAKFKNQEKKMEKIKQKAKEMQ
+EILKKKLLDQEAKLKKELENTALELSQKEKQFNAKILEMAQANSAGINDAVSRLETNQKE
+QIESLTEAHRRELDDFIAVWERKLSQQAEELQEKHDIQLQEKEQEVAELKQKMLLFGCEK
+EEMNKEMAWLKEEGARQDTALKELQGQLKQNAALMDSLTQNEAKLKAELEKLEVDLNGSL
+KENIFLQEQVAELKVLAEKDRLKVLEFTEKLKTTDEEFQSLKSSHDSSKKSLEDKSLEFK
+KLSEELAVQLDIYSKKTEALLQAKTSELIDISSSKISAILSRISHCQQHTAKVKEALLSK
+TCQVSELEAQLRQLTEEQNILNSSFQHAAHQLEEKESQIQSMKADIEGLVTEKEALQKEG
+GNQQQAASEKESCITQLKKELSENINAVTMMKEELKEKKAEISSLSKQLADLNAQLQNSI
+SLAEKEAAISSLSKRHDEAQQELLDQVRDLSLKVETLSKEKTSALEQADHLSIKFSEWKK
+KAQSRFTQYQNTSKELQVQLELKTKETSEKEEQLTLLKEDLDQQKKRFEYLKSEMEDKKS
+EMEKRDFNLETELKTQTVRIVELEEHVAQKTIEIESLNEVLKNYHQQKDSEQKEMIQKLQ
+HIQELGEEKDNRVKEAEEKVLRLEEQASSMKSELESVKKELEHVNSIVKGKEEELKALED
+RLELEGAAKLAELKKKAEQKIAAIKKQLLSQMEEKEQQYRKDKESHLSELTTKLQERDRE
+IHILEEKLKSAESSPQSETSVVPRLSENVAVCTEQEEADSQGCVHNACEEKLGVLQRNLI
+EKEMLVQRLEQEKEEIISSHSEIQCRYQELLIKIEQAEAKQHEDRVMINQLQEELEGKNK
+KHSLVSSQHLEAEGDKNNAGAKQNLENVVDNVQKTLQGEDLTCQILEQKMKELDSCLLKE
+REGHRIEIEELTSKLESLQALQQMNGKSKPTEVLEEGAEGKSTSHVVQPSSLSNMEADHN
+DLEFKLAGAEQEKRKLSQEVVKLQKDIRMLRKEHQQELDIIKKEYEKEMEEKIKQEQEDL
+ELKHNSTLKQLMREFHTQLAQKEQELEMTIKETIDKAQEVEAELLESHQEETNQLYKKIA
+EKEDDLKRTAKRYEEILDAREEEMTAKVTDLQTQFEDLQKKYQQRLEQEETPGSDKVTIM
+ELQTQLAQKTTLISDSKLKEQEFREQIHNLEDRLKKYEKNVYATTVGTPYRGGNLYHTDV
+SLFGEPTEFEYLRKVLFEYMMGRETKGLRILYVE
+>tr|E1BIA1|E1BIA1_BOVIN PTPRF interacting protein alpha 3 OS=Bos taurus OX=9913 GN=PPFIA3 PE=4 SV=2
+MMCEVMPTISEDGRRGSALGPDEAGGELERLMVTMLTERERLLETLREAQDGLATAQLRL
+RELGHEKDSLQRQLSIALPQEFAALTKELNLCREQLLEREEEIAELKAERNNTRLLLEHL
+ECLVSRHERSLRMTVVKRQAQSPGGVSSEVEVLKALKSLFEHHKALDEKVRERLRMALER
+VAVLEEELELSNQETLSLREQLSRRRSGLEEPGKDGDGQTLANGLGPGGDSNRRTAELEE
+ALERQRAEVCQLRERLAVLCRQMSQLEEELGTAHRELGKAEEANAKLQRDLKEALAQRED
+MEERITTLEKRYLSAQREATSLHDANDKLENELASKESLYRQSEEKSRQLAEWLDDAKQK
+LQQTLQKAETLPEIEAQLAQRVAALNKAEERHGNFEERLRQLEAQLEEKNQELQRARQRE
+KMNDDHNKRLSETVDKLLSESNERLQLHLKERMGALEEKNSLSEEIANMKKLQDELLLNK
+EQLLAEMERMQMEIDQLRGRPPSSYSRSLPGSALELRYSQAPTLPSGAHLDPYGAGSGRA
+SKRGRWSGVKDEPSKEWERSAPAGSMPPPFPGELDGSDEEEAEGMFGAELLSPSGQADVQ
+TLAIMLQEQLEAINKEIKLIQEEKETTEQRAEELESRVSGSGLDSLGRYRSSCSLPPSLT
+TSTLASPSPPSSGHSTPRLAPPSPAREGTDKANHVPKEEAVAPRGEGPAIPGDTPPPTPR
+SARLERMTQALALQAGSLEDGGPSRGSEGTPDSLHKAPKKKSIKSSIGRLFGKKEKGRMG
+PPGRDSSSLAGTPSDETLATDPLGLAKLTGPGDKDRRNKRKHELLEEACRQGLPFAAWDG
+PTVVSWLELWVGMPAWYVAACRANVKSGAIMANLSDTEIQREIGISNPLHRLKLRLAIQE
+MVSLTSPSAPASSRTSTGNVWMTHEEMESLTATTKPILAYGDMNHEWVGNDWLPSLGLPQ
+YRSYFMESLVDARMLDHLNKKELRGQLKMVDSFHRVSLHYGIMCLKRLNYDRKDLERRRE
+ESQTQIRDVMVWSNERVMGWVSGLGLKEFATNLTESGVHGALLALDETFDYSDLALLLQI
+PTQNAQARQLLEKEFSNLISLGTDRRLDEDSAKSFSRSPSWRKMFREKDLRGVTPDSAEM
+LPPNFRSAAAGALGSPGLPLRKLQPEGGRIPNGTASAPLPFLGVGGETISTFQQPSRVLK
+WSSPFFPTHDKWTAPTSQLPP
+>tr|A0A3Q1MHI7|A0A3Q1MHI7_BOVIN COesterase domain-containing protein OS=Bos taurus OX=9913 GN=NLGN4X PE=4 SV=1
+MSRPQGLLWLPLAFTPVCVMVNSNVLLWITALAVKFTVIDSQAQYPVVSTNYGKIRGLRT
+PLPNEILGPVEQYLGVPYASPPTGERRFQPPEPPSSWTGVRNATQFAAVCPQHLDERSLL
+HDMLPVWFTANLDTLMTYMQDQNEDCLYLNIYVPTEDDIHDQNSKKPVMVYIHGGSYMEG
+TGNMIDGSILASYGNVIVITINYRLGILGLFQKAIIQSGTALSSWAVNYQPAKYTRILAD
+KVGCNMLDTTDMVECLRNKNYRELIQQTITPATYHISFGPVIDGDVIPDDPQILMEQGEF
+LNYDIMLGVNQGEGLKFVDGIVDHEDGVTPNDFDFSVSNFVDNLYGYPEGKDTLRETIKF
+MYTDWADKENPETRRKTLVALFTDHQWVAPAVATADLHAQYGSPTYFYAFYHHCQSEMKP
+SWADSAHGDEVPYVFGIPMIGPTELFSCNFSKNDVMLSAVVMTYWTNFAKTGDPNQPVPQ
+DTKFIHTKPNRFEEVAWSKYNPKDQLYLHIGLKPRVRDHYRATKVAFWLELVPHLHNLNE
+IFQYVSTTTKVPPPDMTSFPYGTRRSPSKIWPTTKRPAITPANSNPKHSKDPHKTGPEDT
+TVLIETKRDYSTELSVTIAVGASLLFLNILAFAALYYKKDKRRHETHRRPSPQRNAANDI
+AHIQNEEIMSLQMKQLDHECESLQAHDTLRLTCPPDYTLTLRRSPDDIPLMTPNTITMIP
+NTLTGMQPLHTFNTFSGGQNSTNIPHGHSTTRV
+>tr|A0A3Q1LUD1|A0A3Q1LUD1_BOVIN KN motif and ankyrin repeat domains 3 OS=Bos taurus OX=9913 GN=KANK3 PE=4 SV=1
+MAKFALNQNLPDLGGPRLCPSATGGARSPSSPYSVETPYGFHLDLDFLKYVEELERGPAA
+RRSAGPLPARRPRAPRAGLAGARSPGAWTSSESLASDDGAAPGAFSPGAPSTLMLPPLSP
+RAPVRNPRVERTLLETSRRLELAQAQEGAPSPARAAPRSPRGSGHSSPAPNPALASPGPA
+QLQLVREQMAAALRRLRELEEQARALPELQEQVRALRAEKARLLAARRQPESDGEAEGRP
+DKLAQLRRLTERLATSERGVRARASPGADGPDGSASSHSEGAPPGLDRAPQTREAGTQIV
+PETQKAAVQAVPETQEAGVGAAPETLEAEAWVTEALLGLPAAAERELELLRASLEHQRGV
+SELLRGRLCELEEAREAAEEAKAEAEASRPQPCVATTQTSWDYVEKTTQTDAPEEAPAPM
+PENPLGSTDGDRAVAPAGTLKSIMKRKDGKPGGQTSPGPKSLQFVGVLNGEYESSSSEGD
+SEDGAAEPPRSSSSGSGDDSGAASDSETPGAPSGKEDRDAEPEAEQEPQPGAQGRCELSP
+RLREACAALQRQLSRPRGVPRDSGAARLVAQEWFRVSSQRRSQAETVAGVLGAVARLGRE
+LLEHVVNLADGNGNTALHYSVSHGNLAIASLLLDTGVCKIDHQNRAGYSALMLAALTSVG
+REEDMAVVQRLFQMGNVNAKASQEGTSALAIALEAEQDEVAALLHAHLSSGQPGSQLSPD
+SSTATPGEGGCSDSGEDPLPQ
+>tr|A0A3Q1LY64|A0A3Q1LY64_BOVIN Tensin 3 OS=Bos taurus OX=9913 GN=TNS3 PE=4 SV=1
+MTFMHCHQALCFLVNLALVMQRSFCSFLRDLFTAPVSGLVSMAGSSTQVRRLWEAGGREV
+SSGPAKFLAPGASSTPASLCCDKPFRPAGLSPAMEDGRELDLTYITERIIAVSFPAGCSE
+ESYLHNLQEVTRMLRSKHGDNYLILDVGWPELHAPPLDKVCTICKAQEAWLNSDPQHVVV
+IHCRGGKGRIGVVISSYMHFTNVSASADQALDRFAMKKFYDDKLAALMQPSQKRYVQFLS
+GLLSGTVKMNASPLFLHFVILHGTPNFDSGGVCRPFLKLYQAMQPVYTSGIYNVGPENQS
+RIYIAIEPAQLLKGDIMVKCYHKKYRSATRDVIFRLQFHTGAVQGYRLVFAKEDLDNASK
+DDRFPDNGKIELVFSATPEKIQGCEHLQNDHRVIVDFNTADPLIRWDSYENLSADGEVLH
+TQGPVDGSLYAKVRKKSTSDPSVPGGSPAVPAASSPDHSDHTLSVSSDSGHSTASVRTDR
+TEEHLTPGSKRGLSPQEKAELDQLLSGFGLEDSGSPLKDMSDARSKYSGTRHVVPAQVHV
+NGDTTPKDRETDILDDEMPSHDLHSVDSIGTLSSSEGHPSAHLSPFTCHQSSQNSLLSDG
+FGSTAGEDQHGALAPDLGLAAEPLFERERAFGSCEPKQPQPVLRKPSVPAQTQAYGPSSY
+STQTWVRQQQMVAAHQYSFAPDGEARLSGRGAADSSGLLQTQPRVPLTPTRGASSRVAVQ
+RGIGPGPHPPDIQRPPPGKAAFKPRIPDVKVVNGAGPELGTDLSPGSPTLDIDQSIEQLN
+RLILELDPTFEPIPTHMNMSSSQANGPMPPDGMAGGLWASGRLQDTGDGPGRAPVRQGDE
+PLGGRFQKLSLEQYDNEAGEQPTFSKCSWGKTTSSEQTPGLAPFLSPDNTKEAVITTYPP
+DLDVIDGRIFSPTNSGSESISPTPAFPVSPETPYGTTSPRYSPFSPSVPQMGSPSALYKG
+AHEPRGCPEILSHSVGMSESPVGPKPTMLRADMPTAPSFQRAFTSSCTISGNSPSQRRGS
+PSSAEHQWVETSPKSTLTLLGGSRPGKDSPARPHFPPADLQTSFHGPELSLAEPPEALGP
+PSNQAFLSFSTAPMAGGGLPAGEDPGALLANSHGAAQAPGNPLTAAEAADNSFLSHSFLT
+VAPGHSGHHSPVLQGPGLALPGQPPLPEKKRTSEGDRSFGSVSPSSSGFSSPHSGSTMSI
+PFPNIIPDFSKAAEGAAPSPDNPGDKHVSVNFVQDTSKFWYKADISREQAIAMLKDKEPG
+SFIVRDSHSFRGAYGLAMKVATPPPSVLQMNKKAGDLANELVRHFLIECTPKGVRLKGCS
+NEPYFGSLTALVCQHSITPLALPCKLLIPDRDPLEEIAENPQQTAANSAAELLKQGAACN
+VWYLNSVEMESLTGHQAIQKALSMTLVQEPPPLSTVVHFKVSAQGITLTDNQRKLFFRRH
+YPVSSVIFCALDPQDRKWVTDGPCSRVFGFVARKQGSATDNVCHLFAEHDPEQPASAIVN
+FVSKVMIGSPKKI
+>tr|F1MXG4|F1MXG4_BOVIN Transmembrane and tetratricopeptide repeat containing 4 OS=Bos taurus OX=9913 GN=TMTC4 PE=4 SV=1
+MAEWDASLDHVIPSSLLPPFWAKFLVGFASLMCFARSYDGDFVFDDSEAIVNNKDLRAET
+PLGDLWHHDFWGSRLSSNTSHKSYRPLTVLTFRMNYYLSGGFHPVSFHVVNIALHGGVCV
+LLVDVFSVLFGGLQYTSRGRRLNLAPRSSLLAALLFAVHPVHTECVAGVVGRADLLGALF
+FLLSFLGYCKAFRETNKEGTHSTFWVLLSILLGAVAMLCKEQGITVLGLNAIFDILVIGK
+LNVLEIVRKLLRKDRSLESPGMLRTGGLLFRMTLLALGGAGVLYVRWKIMGTGPPVFTEV
+DNPASFADSVLVRAINYNYYYSLNAWLLLCPWWLCFDWSMGCIPLIKSVGDWRVIALAAL
+WFCLIGLICQALCSEDSHKRRILTLGLGFLIIPFLPASNLFFRVGFVVAERVLYLPSAGY
+CMLLTFGFAALSKHTKKKKLIAALILGILFLNTLRCVVRSGEWRSEDHLFRSALSVCPLN
+AKVHYNVGKNLADKGNQTAAIRYYREAVRLNPKYVHAMNNLGNILKERNELQEAEELLSL
+AVQIQPDFAAAWMNLGIVQNSLKRFQAAEQSYRTAIKHRRKYPDCYYNLGRLYADLNRHV
+DALNAWRNATVLKPEHSLAWNNMIILLDNTGNLAQAEAVGREALELIPNDHSLMFSLANV
+LGKSQKYKESEALFLKAIKAHPNTASYHGNLAVLYHRWGHLDLAKKHYEISLRLDPTASG
+TKENYGLLRRKLEQMQKKNV
+>tr|A0A3Q1M1G2|A0A3Q1M1G2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MFPVGPAPWPRLRVLWALWALLVVLLAPWRLWAIQETQECTWQVVLNNLESVGKNDAIDH
+FVDQELYTVDKVFDLLVDAPIDRDETYLGFPYYLKINYSCTGEVVSGCGGRGCSFCWGLS
+FPPF
+>tr|A0A3Q1LWK3|A0A3Q1LWK3_BOVIN alpha-1,2-Mannosidase OS=Bos taurus OX=9913 GN=EDEM1 PE=3 SV=1
+MQWRALVLGLVLLRLGLHGVLWLVFGLGPSMGFYQRFPLSFGFQRLRGPDGSASPASGPA
+DRPGGLSGPSWLQPPAPGAAEGKRRRAPRRPGQGVCGPAHWGYVLGGRGRGRDEYEKRYS
+SAFPPQLRAQMRDLARGMFVFGYDNYMAHAFPQDELNPIHCRGRGPDRGDPSNLNINDVL
+GNYSLTLVDALDTLAIMGNSSEFQKAVKLVINTVSFDKDSTVQVFEATIRVLGSLLSAHR
+IITDSKQPFGDMTIKDYDNELLHMAHDLAVRLLPAFENTKTGIPYPRVNLKTGVPPDSNN
+ETCTAGAGSLLVEFGILSRLLGDSTFEWVARRAVKALWSLRSSDTGLLGNVVNIQTGHWV
+GKQSGLGAGLDSFYEYLLKSYILFGEKEDLEMFNAAYQSIQNYLRRGREACNEGEGDPPL
+YVNVNMFSGQLMNTWIDSLQAFFPGLQVLIGDVEDAICLHAFYYAIWKRYGALPERYNWQ
+LQAPDVLFYPLRPELVESTYLLYQATKNPFYLHVGMDILQSLEKYTKVKCGYATLHHVID
+KSKEDRMESFFLSETCKYLYLLFDEENPVHKSGTRYMFTTEGHIISVDEHLRESPWKEFF
+SEEGGPDQVGKSGHRPKPQELNVINSSSNVG
+>tr|F1MM80|F1MM80_BOVIN Abnormal spindle-like microcephaly-associated protein homolog OS=Bos taurus OX=9913 GN=ASPM PE=4 SV=3
+MATWQRGSGCWERSPPEPRPRAGPRGPRAEEEAASPAVLSLSHFCRSPFLCFGDVRLGAS
+RTLPLILDNPNEEAAAVWLSRGPAAERGFTIWPSAFVLQPKEKIVVSITWTPLKGGRIRE
+TITFLVNDILKHQAILLGNAEEPKKKKRTLWDTINKKKASASSRHNKKASNIQNVNKTFN
+VSPKADRVRSPLQACENLATNGNCSPPESNPLILEENKLPISPISPASQECHRGTCLPLP
+VRRSTTYTSLPASENGGLVKADGANTAEDFHFNEKGITETSFDSIDNVNSQIEENGKLTL
+TPNYSSSLNITQSQGHFLSPDSFVNNSHASNNEPEFVKCLSPDMFVKGNTRPVILESKRV
+HEICRKILSPDSFINDNYGLNEDLETESINPILSPNQFLKDNMAYICVSQQTCQLPLSTG
+HFQDSQPPQDERKNAAVPCISECQQLESPKATFEASKALEVMSNSYTFKKQNQPKFSAVQ
+DISSHSRKKPIKRRPILSATVTKRKPTCAVENQMETVKPKAKRCLNVVVGDCKKETDDQK
+EKDDFHPFLPIRDLIQSRPKSSKNIVTPPCKVASVARKRKSEGHTGDENVRITVTECMEA
+QEVKRPHFSPVESKTSTVKHTKKVVTSSLKRVSHREKLNLKKKTDSLGYRTPKTNRRTRP
+FVPVAQSNLTFIKPLKGIPRHPMPFAAKNMFYDERWKEKQEQGFTWWLNFILTPDDFTVK
+TNISEVNASTLLLGVESQHKVSVPKAPTKDEVSLRAYTARCRLNRLRRAACRLFTSEKMV
+KAMKKLEIEIEARRLIVRKDRHLWKDVGERQKVLNWLLSYNPLWLRIGLETIYGELVPLE
+DNSDVTGLAMFILNRLLWNPDIAAEYRHPSVPHLYRDGHEEALSKFTLKKLLLLICFLDY
+AKISRLIDHDPCLFCKDAEFKASKEILLAFSRDFLGGEGDLSRHLSLLGFPVTHVQMPFD
+EFDFAVKNLAVDLQCGVRLVRTMELLTQNWNLSKKLRIPAISRLQKMHNVDIVLEILKSR
+GIQLNDEHGNAILSKDIVDRHREKTLALLWKIALAFQVDISLNLDQLKEEIDFLKNTQST
+KKTMSALSCRPDAVISKKRDERHSGPFEQCSESVKLLMDWVNAVCGFYNKKVENFTVSFS
+DGRVLCYLIHHYHPCYVPFDAICQRTTQTVECTHTGSVVLNSSSESDGSFLDFSLKPPDQ
+ENTSELYKELLENEKKNFQLVRSAARDLGGIPAMIHHSDMSNTIPDEKVVITYLSFLCAR
+LLDLRKETRAARLIQTTWRQYKLKKDLKHHEERDKAARIIQSAIINFLTKQRFKKKVSAA
+LVIQKYWRRALAKRKLLMLKKEKLERVHSKSASIIQRHWRRYSTRKQFLKLKYYSIFLQS
+KIRMIIAVASYKRYHWATVTIQRRWRAHVRSKQDRQRYELLRSSTLVIQFAFRRWRRRKR
+QSQINAAITLQRAFRQWHVRKCAQEERAAVVIQSWYRMHRELRKYIHLRSCVIIIQARFR
+CFQAQKLYTRTRESILTLQKHYRAYVKGKVECTGYLQKRAAAIRLQAAFRGRRARNLYRQ
+IKAACVLQSYWRMRQDRLRFLNLKKNIIRLQAHIRRRQQLHTYQKMKKAALIIQIHFRAY
+MSAKEVLASYQKTRSAVIVLQSACRRMQARKKFLHILTSIVKIQSYYRAYASRRKFLRLK
+KATVKLQSIVRMKLARKQYLHLRAIAQQREEHRRASCIKLQAFLRGYLVRKQVRLQRKAA
+VSLQSYFRMRKMRLDYLKVCHTAVVIQRYYRAHRAGAQQRKHFLQVRRAVTCLQATYRGY
+KVRRQLQQQSSAALKIQAAFRGYRQRTKYQSVLQSAFKIQRWYRTHKTVSAIRSHFFKTR
+TAAISLQSAYRGWKVRKQMRKEHEAAVKIQSAFRTARAQKEFRVLKTAASVIQQHLRARA
+AGRRQRTEYMALRRAAVMLQSAWRGRAARRRIQKQQRCAIIIQAYYRRHVQRKRWEIMKK
+AAHLIQMHYRAYRTGRKQHHLFLKTKAAAIILQSAFRGVRVRKKVKEMHQAAATIQSRYR
+AYQARKKYASYRAAAVIIQRWYRAAKLAGRQREEYLAVKKAALKIQAVYRGVRARRQIRR
+MHTAATLIKATFKMQQSRRRYQQMRTAAIIIQVRYRAYRQGRAQRAKYLTILKAVALLQA
+ALRGARVRQSLRRMRTAATLIQAHYRGRRQQAYFNKLKKVTKTVQQKYRAARERHAQLRR
+YNQLRRSAICIQAAFRGMRARRRLKAMHSAAAVIQRRFRTLGMRRRFLSLRKTAVWVQRK
+YRAKVCTRHHVQQLRLQKAAIKIQSWYRGWMVRKKIQEMRRAATILQAAFRRHRTRARYQ
+AWRCASQVIQQRFRAGRAARLQRRQYLQQRHSALVLQAAFRGMRVRRRLKRMHVSATLIQ
+SRFRSIMRRKRFLSLKKAAVFVQRKYRATICAKHHLHRFLELQKAIIIIQASYRRRMVKK
+QLQEMHRAAALIQASFRMHRARLAFQTWKHAAVLIQQRYRACRAAKLQRALYIRWRHSAV
+VIQAAYKGLKARQLLREKHRAAVIIQSTYRMYRQHFFYQKLQWATKVIQERYRASKRKAL
+QHDALKAATCARAGFQDMVVRRLIEERRHQAAITIQEHFRAFKTRKHYLHFRAKVVFVQR
+RYRELMAVRTQAVICIQSCFRGFKARRGIQRMHLAATRIQSCYRRHRARADYQAKKRAVV
+VIQNHYRSYIRVKMERKEFLAIQKSAPTIQAAFRGMKVRQKLKTMPDKKMAAPATQPAFY
+CHRTESQHEAGHSPALVAQGLYKTSLVGPSQETEQHSQRKAAVTIQKAFHKMVTRRLEKQ
+RRAAVRIQSFLQMAVYRRRFLQQKRAALTLQRCFRIQQSRKQFLLYREAAVGLQNPHRAS
+LPAKHQRELYLQIRSSVIIIQARVKGFIQKRKFRELKDSTIKIQAVWRRHKARKYLREVK
+AACRIQAWYRCWKARREYLAVLRAVRIIQRCFCTQQQRRRFLNVRASAVIIQRRWRTVLS
+GRTTHEQSLMTKRHQAACLIQANFRGYKARQAFLQQKSAALTIQRYIRARKAGKHQRMKY
+VELKKSTVVLQALVRGWLVRKRISEQRAKIRLLHFAAAAFYHLSALRIQRAYRRHVALKH
+ANNKQLNSAICIQRWFRARSQRKRFLQKYSIINIQREAREQARQRSRAASVIQRAVRRFL
+LRKKQENCNKRIAKIQALWRGYSWRKKNDSTKTKAIRQRLQCVNREIREESKLYHRTAVA
+LHHLLTYKYLSTVLEALKHLEAVTRLSSICCEKMAQSGAISKIFVLIRSCNRSVPCMEVI
+RYAMQVLLNVAKYEKTTSAIYDVENCVDTLLELMQMYQEKSGDKVADKSRSIFTKTCCLL
+AVLLKTTTRALDVQSRSKVVDRIYSLYKLTAHKHKVNTERILCKQKKNSSVSLSFFPETP
+VRTTMVSRLKPDWVLRRDNVEEVMTPLQAIQMVLDTLSLPY
+>tr|E1BCA1|E1BCA1_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC509073 PE=3 SV=3
+MERLNQNNSVSEFILLGLSSRPEDQKPLFILFLIIYLVTITGNLLIILAICCDPQLHTPM
+YFFLSFLSFIDICFSTTIVPRMLVNFLSEKKTISYAGCLAQMYFTYALGNTDSYLLAAMA
+YDRYVAICDPFHYITIMSRRPCVLLVAFSCSLPHFHSLLHILLLNQLTFCDSNVVHHFFC
+DINPLLKLSCSSIFVNDLTIKTEGLVFWVTPFLCIVFSYVRILIAVLRIPSAAGKRKAFS
+TCGSHFTVVILFYGSIFYVYLQPLSSYTVQDRVATIVYTVLTSMLNPFIYSLRNKDMKRG
+LGKLLGRRKSYLEGGNPS
+>tr|A0A3Q1MGX3|A0A3Q1MGX3_BOVIN Tumor protein 63 (p63) OS=Bos taurus OX=9913 GN=TP63 PE=3 SV=1
+MLYLENNAQTQFSEPQYTNLGLLNSMDQQIQNGSSSTSPYNTDHAQNSVTAPSPYAQPSS
+TFDALSPSPAIPSNTDYPGPHSFDVSFQQSSTAKSATWTYSTELKKLYCQIAKTCPIQIK
+VMTPPPQGAVIRAMPVYKKAEHVTEVVKRCPNHELSREFNEGQIAPPSHLIRVEGNSHAQ
+YVEDPITGRQSVLVPYEPPQVGTEFTTVLYNFMCNSSCVGGMNRRPILIIVTLETRDGQV
+LGRRCFEARICACPGRDRKADEDSIRKQQVSDSTKNGDGTKRPFRQNTHGIQMTSIKKRR
+SPDDELLYLPVRGRETYEMLLKIKESLELMQYLPQHTIETYRQQQQQQHQHLLQKQTSMQ
+SQSSYGNSSPPLNKMNSMNKLPSVSQLINPQQRNALTPTTIPDGMGANIPMMGTHMPMAG
+DMNGLSPTQALPPPLSMPSTSHCTPPPPYPTDCSLVSFLARLGCSSCLDYFTTQGLTTIY
+QIEHYSMDDLASLKIPEQFRHAIWKGILDHRQLHDFSSPPHLLRTPSGASTVSVGSSETR
+GERVIDAVRFTLRQTISFPPRDEWNDFNFDMDARRNKQQRIKEEGE
+>tr|E1BDW5|E1BDW5_BOVIN Aquaporin OS=Bos taurus OX=9913 GN=AQP12B PE=3 SV=3
+MASLNVSLCFFLATFALCQASRRAAKALLPGGAYAHFAREAAGAAQLGACCLEMRMLVEL
+GPWAGGFGPDLLLTLVFLLLLVHGATLDGASANPTVSLQRFLLAEASLPCTLLELAAQAL
+GVQAAGALTRLYWAWGLSDLHVLQSLMDPHCSSALRTAVPHGALLEGSCAFLLHLVLLRL
+QGSLAIHRVLAVALLLTAAACTAGPLTSAFLNPALAASVTFRCSGHSLLEYVQVYWLGPV
+TGMLLAVLLYHGRLPRLFQRNLLYSQKSKYRVPRGRPVPGPGGSQTWGFLQPQPEREVGR
+DPSPGPHPLLG
+>tr|A0A3Q1LYM9|A0A3Q1LYM9_BOVIN DEAD-box helicase 42 OS=Bos taurus OX=9913 GN=DDX42 PE=4 SV=1
+MNWNKGGPGTKRGFGFGGFAISAGKKEEPKLPQQSHSAFGAASSASGFGKSAPPQLPSFY
+KIGSKRANFDEENAYFEDEEEDSSNVDLPYIPAENSPTRQQFHSKPVDSDSDDDPLEAFM
+AEVEDQAARDMKRLEEKDKERKNVKGIRDDIEEEDDQEAYFRYMAENPTAGVVQEEEEDN
+LEYDSDGNPIAPSKKIIDPLPPIDHSEIDYPPFEKNFYNEHEEITNLTPQQLIDLRHKLN
+LRVSGAAPPRPGSSFAHFGFDEQLMHQIRKSEYTQPTPIQCQGVPVALSGRDMIGIAKTG
+SGKTAAFIWPMLIHIMDQKELEPGDGPIAVIVCPTRELCQQIHAECKRFGKAYNLRSVAV
+YGGGSMWEQAKALQEGAEIVVCTPGRLIDHVKKKATNLQRVSYLVFDEADRMFDMGFEYQ
+VRSIATLLFSATFRKKIEKLARDILIDPIRVVQGDIGEANEDVTQIVEILHSGPSKWNWL
+TRRLVEFTSSGSVLLFVTKKANAEELANNLKQEGHNLGLLHGDMDQSERNKVISDFKKKD
+IPVLVATDVAARGLDIPSIKTVINYDVARDIDTHTHRIGRTGRAGEKGVAYTLLTPKDSN
+FAGDLVRNLEGANQHVSKELLDLAMQNAWFRKSRFKGGKGKKLNIGGGGLGYRERPGLGS
+ENSDRGSNNNVMSNYEAYKPSTGAMGDRLTAMKAAFQSQYKSHFVAASLSNQKAGSSAAG
+ASGWTSAGSLNSVPTNSAQQGHSSPDSPIASATKGIPGFGSPGNLSSAPVTYPSAGAPGV
+NNTASGSNSREGTGGGNGKRERYTENRGGSRHSHGESGNRHGDNGGRHGDGYRYPESSSR
+HTDSRRHADGHPHGENRHGGGGGRHGESRGANDGRNGESRKESCNRESKMDPKVDSPKVD
+KMDSKTDKTPDGFAVPEPPKRKKSRWDS
+>tr|A0A3Q1MRB6|A0A3Q1MRB6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC524391 PE=4 SV=1
+MDTLWLNQFAILLWKNFILKKRKMGSLIVEISMTVLFCSLILLLRKHSKREFTQATLFQS
+LPLNKLPAFLTNKKKDYELVYVPSGSDAAKNITEKVKDDLNANLTVRGFPSEEDFEKYIK
+YENQSVLVLAAIIFDHEFKNSNDRLPLKESEKVGLKLNIQKTKIIASSPIT
+>tr|A0A3Q1M4U2|A0A3Q1M4U2_BOVIN Nuclear receptor coactivator 5 OS=Bos taurus OX=9913 GN=NCOA5 PE=4 SV=1
+AYHLPSEDPYGFGDSRDTRRDRSPIRGSPRREPRDGRNGRDARDGRDMRDPRDLRDHRDS
+RDIRDHRDSRSMRDARDMRDLRDFRDLRETRNFRDHRDPMYDRYREMRDSRDPILRREGS
+YDRYLRMDDYCRRKDDAYFDRYRDSFDGRGPPGPESQSRVKERLKREERRREELYRQYFE
+EIQRRFDAERPVDCSVIVVNKQTKDYAESVGRKVRDLGMVVDLIFLNTEVSLSQALEDVS
+RGGSPFAIVITQQHQIHRSCTVNIMFGTPQEHRNMPQADAMVLVARNYERYKNECREKER
+EEIARQAAKMADEAILQERERGGPEEGMRGGHPPAIQSLLNLLADNRYLTAEETDKIINY
+LRERKERLMRSSTDSLPGELRGRAEVKF
+>tr|A0A3Q1M8B9|A0A3Q1M8B9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MATFYFLLPSRVIPMPTGVKRSPGLACVLGVHWTDHHNFFFYSLNRTLKDKVDPEGVWPC
+AAPIVVSQLSPCSSYLVLACEDGVLSLWDLAQGLTLGVVALPEKCFCQSIHFLKYFLVHK
+GQNVYPEGSVKCHMKCVVLCTDASLHLVTASGIQNSTISLLVERPVKYLDEAICAVAPVQ
+ALPGMVLTFSRDGSVFLMDVAKSQIICSFALPRSYSLAAPWNPVFVVSLHHPCFLLRGDH
+PDEIGSASKAEDIPNSIFYFNFEDYPLLKNFSRNCTIPQASILAHPTFAQALPLEERCEK
+FFQKSIQNLAKYKVKEEEHWTRLRRYSLLLQKEDLKK
+>tr|A0A3Q1LY31|A0A3Q1LY31_BOVIN RING-type domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+METGKMVVLLNLQNLYESLYDALNQYYVYLGGQKYVDLGLGTHRVKCRVHPDFRLIVIEE
+KDVVYKHFPIPLINRLEKHYLDINTVLEKWQKNIVEELKVWVEKFIDVKAEQFLARPKYS
+PSDVFIGYHSDTCASVVLQVLEQLGHEALTDELYQKVSEQAKSVLLDCATPDAVVRLKTS
+ALGLFAESLLQEYCYRQQHNSFGDFLQAHLCTTDSERHAIFTEITTFSRLLTSHDCELLE
+SEVKDWAVKLTILSLQQFDTKYSFLKEVRNCLVHVARYNILIIQTDFEDKIHSAQLIASA
+KHLTINEINKIQGNKDYILVCFITKLSRMGSGTSYVGFHGGLWHSVHIDDLRGSTVLVLD
+IPKLQDVAISQLFKPEDPPKVKEGCQIQEDGEEAMETEAVTSQQVADVEMETESPEKNTS
+PTSGPENDILDTTRLLRSCIQGAVGMLRDQNEYRQRSVRRVSILLSLLDKDGEYRATFLR
+AAKMRLYDLLKKQEENSVYDVKDWVVREAFNQDALQEAGTFRQTLWKRVQGAVTPLLASV
+ISCVDRDGNLELLVSPHSPSWARDLWMFIFSDIKLLNIPLVTMDTRSKGKMSYTMVQNNM
+NISEKTSNSVPFSWRINDYLEDLWVHTQYITGNEGLAEKLLELFQKTSLGSFLAQFTVDQ
+QQELLQCYLKDFLLLTMRVSTWEQLNVLQMALWSCVNQLNAGRPEEEVSLLSVHLAYHHF
+RSRLQNFSRILTIHSPILLSLTKGTQNHSWALREMSLDVLAAVACAEMLTENLLKPSPQA
+WLQTVKNLSMPLELLCSEGYMQDCGMMTRTLIRDIRTHWNRIFSISLFVEHVLLETESQI
+PELLELVTEYVSLLNKCLLEDSDTKTHRPFIAVMTTLRECKDQVTKSLSRFVVQPCPICL
+GDAQDPVCLPCDHIICLRCIEVHLTRGQMRCPHCLTNLPNTFSPTVSQEHREVIEKHARF
+RQMCNSFFVDLVSTMCFKDNSPPQKEVVKDLLSLLFVEKAPQRCCEHTKSLSPFDDVVDK
+TPVIRSVVLKLLLKYSFHDVKEYIQAYLSQLENKLFLAEDKTELYMFFTNCLEDSIYEKN
+NAFFIRDELKYLREEGQFLTTYQPYPHQSLGLSHWLCRGGRGEASLPATGGALLVPGQEA
+HQPAGDGVCEVIAQQRDHPGQMDRYLVHGKDYKAVRDAVGRAILESKPLAIETALEACRS
+STTQKAVYLLLALFREVTTLYRSQNADLHPKPQQCEAMKKFIEKSNTLSPDISAFAISLV
+NNELPLLRTGPGVSNLEGTVIEMAVHAATVLLCGQSQVLGPLKNSAFFPHLMVNAFLPTM
+PEDLLAQARNWKGLEEVTWYSNMSNGHVCSVGECGKPMEQSFCIDCRAPIGGINHKPEER
+FRVIDDTTDRTQTGHVLGNPPPRGAPVVSDRQMSPVVFLLIRLLTHLAMLLGATQSPQDL
+MNIIKPPVSDPKRFLQQHIQRDLEQLMNTLGRSADETASVVHLVLCRLLQEQSHNFDAEL
+STREERNRWEKLVETIILHELEYLDKTLLAVNAQISQDERISSNPVAKIVYGDPATFLPH
+LPKNSMVHCSKMWSCRKRITIEYLQHIVEQKNGKESVPILWKFLQKEAELRLVKFLPEVL
+ELQRNLVKRFQNVSEVEYKSIRSFISSHHSDGLKKLALSRITIFLSTWNKLRRSLETNGE
+IKLPKDYCSSDLDLDTDLEVILPRRKGRGLCSTALVSYLINLHNEIVYAVEKFSKEDNSY
+SVDASEIADLHVISYEVERDLIPLILSNCQYQVEQGGETLQEFDLEKIQRQIISRFLQGK
+PRLTLKGLPTLVYRRDWNYEHLFMDIKNKMPQSPLPNAAITAIRGQLQSYSDACEALSAT
+EVTLGFLSAAGGDPDMHLNVYIQDMLKMGDPTSLVSKAFNRCQLKHIIALWRILSAHKSE
+LMLRQEKEPFGEIDSRYKADLNPENAKLLNTFLNHIGLEAFLLDLHDMMILKLKNPKATE
+NFNPEWSLRDTLVSYMETTDSEIPPEMESQFPEEILLSNCVAVWKMAAELKRNRQMR
+>tr|E1BJU8|E1BJU8_BOVIN UDP-glucuronosyltransferase OS=Bos taurus OX=9913 GN=LOC615303 PE=1 SV=3
+MSMKQLSFLMLLQLTCYFNSGNCGKVLVWPVEYSHWINIKTILDELVSRGHEVTVMTSSA
+SILINTRKEFGIKFENFPVSLTKGDFEYIFKDLLEKWTYMEKDSFLSYFSAVQSLFWKYS
+DVSMKMCKEVVSNKKLITKLQESRFDVLLADAIGPCGELLAEILKVPLVYSLRFSPGFSI
+EKYSGGLSFPPSYVPVIMSELSDQMTFMERVKNMIYVLYFDFWFQTFNEKKWNQFYSEVL
+GRQTTLSETMGKAEMWLIRTYWDFQFPHPLLPNFEFVGGLHCKPAKPLPKEIEEFVQSSG
+ENGIVVFTLGSMITNMTEERANTIASALAQIPQKVLWRYSGKKPDTLGPNTRLYDWIPQN
+DLLGHPKTKAFLTHGGTNGIYEAIYHGIPMVGIPLFADQPDNIAHMKAKGAAVSLDLETM
+STRDLLNALNEVINNPSYKKNVMWLSSIQRDQPMKPLDRAVFWIEFVMRHKGAKHLRPAA
+HDLTWYQYHSLDVIGFLLACVATAAFVITKCYLFCYRKFLKTGKKKRE
+>tr|A0A3Q1LQS1|A0A3Q1LQS1_BOVIN Synergin gamma OS=Bos taurus OX=9913 GN=SYNRG PE=4 SV=1
+MPMQQQGFPMVSVMQPNMQGMIGMNYSSQMSQGPIAMQAGIPMGPIPAGGMPYLGQAPFL
+GMRPPGPQYTPDMQKQFAEEQQKRFEQQQKLLEEERKRRQFEEQKQKLRLLSSVKPKTGE
+KSRDDALEAIKGNLDGFSRDAKMHPTPASHPKKPDAYKKILETTMTPTGIDTAKLYPILM
+SSGLPRETLGQIWALANRTTPGKLTKEELYAVLAMIAATQRGVPAMSPDALNQFPAAPVP
+TLSGFPVTLPAAVSQPTGMPSGPAGSMPLTLGQPVMGINLVGPVGGAAAQASSGFMSAYA
+ANQVVKPEDDDFQDFQDASKSGSLDDSFSDFQELPASSKSNNSQHGNSAPSLLMPLPGTK
+ASASMDKYAVFKGIAADKPSENTVPFGEPGDKYSAFRELEQTAENKSLGENFAEFRSAGT
+DDGFTDFKTADSISPLEPPAKDKPFPATFPSGATQQKPQTQVKNPLNLADLDMFSSVTCS
+NEKPLSFSAAFSVSKPVSTRPQPAGSAAPSAALVSTKTAGLADDFGEFNLFGEYSSPASV
+GEQDDFADFMAFSNSSVSSEQKADDKYEALKEEASPGPLTSSTGIAGKSGQNSAATSTKY
+DVFKQLSLEGSGLGGEELKDSTSSGKSDDDFADFHSSKFSSVNSDKSLGEKAVAFRHTKE
+DSASVKSLDLPSLGGSSVGKEDSEDALSVQFDMKLADVGGELKHVMSDSSLDLPTVSGQH
+PPAADIEDLKYAAFGTYSSSFAVSTLTSSDWSDRDDASQGRKLSPFVLSAGSGASAAASV
+LQKKETSFGSSENLTVASLSKVTTFASEDPLPETTFLPFANFKDVIPQASEPKEYESGDF
+RDFARQDLPVGERSQEAMCLSPASSSASHDTPKECTDDFGEFQSEKPKISKFDFLVANSQ
+GKMKSSEEMIKNELATFDLSVQGSHKRSLSLGDKEISRSSPSPALEQPFRDRSNTLSEKP
+ALPVIRDKYKDLTGEVEENERYAYEWQRCLGSALEVIKKANDTLNGISSSSVCTEVIQSA
+QGMEYLLGVVEVYRVTKRVELGIKATAVCSEKLQQLLKDIDKVWNNLIGFMSLATLTPDE
+NSLDFSSCMLRPGIKNAQELACGVCLLNVDSRSRKEEKPAEEHPKKAFNSETDSFKLAYG
+GHQYHASCANFWINCVEPKPPGLILPDLL
+>tr|Z4YHD9|Z4YHD9_BOVIN Hepatocyte growth factor receptor OS=Bos taurus OX=9913 GN=MET PE=3 SV=1
+MKAPAVLAPGILVLLFTFVQKSNGECKEALVKSRMNVNMQYQLPNFTAETSIQNVVLHKH
+HIYLGAINYIYVLNDKDLQKVAEYKTGPVLEHPDCFPCQDCSHKANLSGGVWKDNINMAL
+LVDTYYDDQLISCGSVHRGTCQRHVLPPNNTADIESEVHCMYSPQADEETNQCPDCVVSA
+LGTKVLLSEKDRFINFFVGNTINSSYLPDYILHSISVRRLKETQDGFKFLTDQSYIDVLP
+ELRDSYPIKYVHAFESNHFIYFLTVQRETLDAQTFHTRIIRFCSADSGLHSYMEMPLECI
+LTEKRRKRSTKQEVFNILQAAYVSKPGAQLARQIGASLNDDILYGVFAQSKPDSSEPMNR
+SAVCAFPVKYVNEFFNKIVNKNNVRCLQHFYGPNHEHCFNRTLLRNSSGCEVRNDEYRTE
+FTTALPRVDLFTGQFNQVLLTSISTFIKGDLTIANLGTSEGRFMQVVVSRSGSLTPHVNF
+HLDSHPVSPEVIVEHPLNQNGYTLVVTGKKITKIPLNGLGCEHFQSCSQCLSAPSFVQCG
+WCHDKCVRLEECSSGTWTQETCLPTIYKVFPTSAPLEGGTTLTVCGWDFGFKRNNKFDLK
+KTRVLLGNESCTLTLTESTTNMLKCTVGPAMNEHFNMSIVISNSRGSVEYSAFSYVDPII
+TSISPNYGPKTGGTLLTLTGKHLNSGNSRHISIGGKTCTLKSVSHSILECYTPAQSAPTE
+FSVKLKIDLANREVNSFIYREDPIVYEIHPTKSFISGGSTITGVGKNLNSVSVLRMVINV
+HEAGRNFTVACQHRSNSEIICCTTPSIEQLNLQLPLKTKAFFMLDGIHSKYFDLIYVHNP
+VFKPFEKPVMISVGNENVLEIKGNDIDPEAVKGEVLKVGNKSCENIHSHSEAVLCTVPSD
+LLKLNSELNIEWKQAISSTVLGKVIVQPDQNFTGLIVGVVSISIILLLLLGLFLWLKKRK
+QIKDLGSELVRYDARVHTPHLDRLVSARSVSPTTEMVSNESVDYRATFPEDQFPNASQNG
+SCRQVQYPLTDLSPILTSGDSDISSPLLQNTVHIDLSALNPELVQAVQHVVIGPSSLIVH
+FNEVIGRGHFGCVYHGTLLDNDDKKIHCAVKSLNRITDIGEVSQFLTEGIIMKDFSHPNV
+LSLLGICLRSEGSPLVVLPYMKHGDLRNFIRNETHNPTVKDLIGFGLQVAKGMEYLASKK
+FVHRDLAARNCMLDEKFTVKVADFGLARDVYDKEYYSVHNKTGAKLPVKWMALESLQTQK
+FTTKSDVWSFGVLLWELMTRGAPPYPDVNTFDITVYLLQGRRLLQPEYCPDPLYEVMLKC
+WHPKAELRPSFSELVSRISVIFSTFIGEHYVHVNATYVNVKCVAPYPSLLSSQDNVSGED
+DDDT
+>tr|A0A3Q1MHK7|A0A3Q1MHK7_BOVIN Outer dense fiber of sperm tails 3B OS=Bos taurus OX=9913 GN=ODF3B PE=4 SV=1
+MGSDVWVGPWRPHRPRGPIGALYRGPGPKYKLPPSTGYIMHDPSRPRAPAFTFGARLPAQ
+QTSCGPGPGHLVPARMTVRGLDSAPAYSIFGRPRHAAPFLTPGPGRYFPERAGNAAYPSA
+PRHTIAPRNWGLRLESQTPGEPKKAHPARAVAGTPASPQRPTLAGPRRPRDLHYALAPGP
+ARSRQSLGAELLHLWPQRGGQLLRGPQQGGVGGWGGAAFRGRRGVGGRRARKLDTAPLLP
+HPTQTPGPCAYHAVNPGVYKPRAPQFSMLPRTSFPQGNTLNPGPAAYNVDQVVCSFRVKG
+RRRVKGLGSGSRVRGASLGAGSPRPADSTRPATSPGSAAPEASRLDLRDPALGLPGPDDD
+RG
+>tr|A0A3Q1NHT2|A0A3Q1NHT2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=SECTM1A PE=4 SV=1
+MLMSASTLLAPRMFWVLLLLAAFRSAQSGTWDNPNCTRGVVSVPRGKPAMMSCRISNAFS
+HINISLKVNPTAPWKLICSVKPPGDFCQDGWGLWVWQSEAYLITDKAQDTQSGLYKWTLQ
+GRQINTEITTLTVVDPHYLPLTPPTGQQRSTPRSDHNPEHTERDPADLHTGLVPKVWLPE
+LQAPEEHE
+>tr|A0A3Q1LZX5|A0A3Q1LZX5_BOVIN SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily a, member 1 OS=Bos taurus OX=9913 GN=SMARCA1 PE=4 SV=1
+MEPDTAAVAGTVAASDATAAIVLIEDDQPGPSTSKEEGAAAAATAATEATVATEKGEKKK
+EKNVSPFQLKLAVKASKSEKEMDPEYEEKMKADRAKRFEFLLKQTELFAHFIQPSAQKSP
+TSPLNMKLGRPRIKKDDKQSLISVGDYRHRRTEQEEDEELLSESRKTSNVCVRFEVSPSY
+VKGGPLRDYQIRGLNWLISLYENGVNGILADEMGLGKTLQTIALLGYLKHYRNIPGPHMV
+LVPKSTLHNWMNEFKRWVPSLRVICFVGDKDARAAFIRDEMMPGEWDVCVTSYEMVIKEK
+SVFKKFHWRYLVIDEAHRIKNEKSKLSEIVRDFKSTNRLLLTGTPLQNNLHELWALLNFL
+LPDVFNSAEDFDSWFDTKNCLGDQKLVERLHTVLKPFLLRRIKTDVEKSLPPKKEIKIYL
+GLSKMQREWYTKILMKDIDVLNSAGKMDKMRLLNILMQLRKCCNHPYLFDGAEPGPPYTT
+DEHIVSNSGKMVVLDKLLAKLKEQGSRVLIFSQMTRLLDILEDYCMWRGYEYCRLDGQTP
+HEEREEAIEAFNIPNSSKFIFMLSTRAGGLGINLASADVVILYDSDWNPQVDLQAMDRAH
+RIGQKKPVRVFRLITDNTVEERIVERAEIKLRLDSIVIQQGRLIDQQSNKMAKEEMLQMI
+RHGATHVFASKESELTDEDITTLLERGEKKTAEMNERLQKMGESSLRNFRMDIEQSLYKF
+EGEDYREKQKLGMVEWIEPPKRERKANYAVDAYFREALRVSEPKVPKAPRPPKQPNVQDF
+QFFPPRLFELLEKEILYYRKTIGYKVPRNPDIPNPAVAQREEQKKIDGAEPLTPEETEEK
+EKLLTQGFTNWTKRDFNQFIKANEKYGRDDIDNIAREVEGKSPEEVMEYSAVFWERCNEL
+QDIEKIMAQIERGEARIQRRISIKKALDAKIARYKAPFHQLRIQYGTSKGKNYTEEEDRF
+LICMLHKMGFDRENVYEELRQCVRNAPQFRFDWFIKSRTAMEFQRRCNTLISLIEKENME
+IEERERAEKKKRATKTPMSQKRKAESATESSGKKDVKKIPHRPVRCEMGGDRLHTVTPGS
+SLTEAPLFRICTI
+>tr|A0A3Q1MFR7|A0A3Q1MFR7_BOVIN Kelch-like protein 20 OS=Bos taurus OX=9913 GN=KLHL20 PE=4 SV=1
+PQGKPLECTNIRPGETGMDVTSRCTLGDPNKLPEGVPQPARMPYISDKHPRQTLEVINLL
+RKHRELCDVVLVVGAKKIYAHRVILSACSPYFRAMFTGELAESRQTEVVIRDIDERAMEL
+LIDFAYTSQITVEEGNVQTLLPAACLLQLAEIQEACCEFLKRQLDPSNCLGIRAFADTHS
+CRELLRIADKFTQHNFQEVMESEEFMLLPANQLIDIISSDELNVRSEEQVFNAVMAWVKY
+SIQERRPQLPQVLQHVRLPLLSPKFLVGTVGSDPLIKSDEECRDLVDEAKNYLLLPQERP
+LMQGPRTRPRKPIRCGEVLFAVGGWCSGDAISSVERYDPQTNEWRMVASMSKRRCGVGVS
+VLDDLLYAVGGHDGSSYLNSVERYDPKTNQWSSDVAPTSTCRTSVGVAVLGGFLYAVGGQ
+DGVSCLNIVERYDPKENKWTRVASMSTRRLGVAVAVLGGFLYAVGGSDGTSPLNTVERYN
+PQENRWHTIAPMGTRRKHLGCAVYQDMIYAVGGRDDTTELSSAERYNPRTNQWSPVVAMT
+SRRSGVGLAVVNGQLMAVGGFDGTTYLKTIEVFDPDANTWRLYGGMNYRRLGGGVGVIKM
+THCESHIW
+>tr|A0A3Q1LJY7|A0A3Q1LJY7_BOVIN Protein lin-7 homolog OS=Bos taurus OX=9913 GN=LIN7B PE=3 SV=1
+MAALVEPLGLEREVSRAVELLERLQRSGELPPQKLQALQRVLQSRFCSAIREVYEQLYDT
+LDITGSAEIRAHATAKATVAAFTASEGHAHPRVVELPKTDEGLGFNIMGGKEQNSPIYIS
+RVIPGGVADRHGGLKRGDQLLSVNGVSVEGEQHEKAVELLKAAQGSVKLVVRYTPRVLEE
+MEARFEKMRSARRRQQHQSYSSLESRG
+>tr|A0A3Q1LUK6|A0A3Q1LUK6_BOVIN V-type proton ATPase subunit d 1 OS=Bos taurus OX=9913 GN=ATP6V0D1 PE=4 SV=1
+MRWGVLPGVVRALWLWDGEETEVWEARAEAGRLVVATIPRDSPVCFPRALLLCLLTSVPV
+PSPLFRRAQESYGLGYLPPAALGHGQSVFPARLLLTPLICPLGHSLLMPSCSCFLCIFLS
+AFYLAQPGVLPYPLPCLGSLSTLPAAWGMSLISYASFLPQDLKLHLQSTDYGNFLANEAS
+PLTVSVIDDRLKEKMVVEFRHMRNHAYEPLASFLDFITYSYMIDNVILLITGTLHQRSIA
+ELVPKCHPLGSFEQMEAVNIAQTPAELYNAILVDTPLAAFFQDCISEQDLDEMNIEIIRN
+TLYKAYLESFYKFCTLLGGTTADAMCPILEFEADRRAFIITINSFGTELSKEDRAKLFPH
+CGRLYPEGLAQLARADDYEQVKNVADYYPEYKLLFEGAGSNPGDKTLEDRFFEHEVKLNK
+LAFLNQFHFGVFYAFVKLKEQECRNIVWIAECIAQRHRAKIDNYIPIF
+>tr|E1B8K4|E1B8K4_BOVIN Hyaluronidase OS=Bos taurus OX=9913 GN=HYAL4 PE=3 SV=2
+MKPLSEGQLRFYVVQAIHLISWLFILFILKSISSLKPARLPVYQRKPFIAAWNAPTDQCL
+IKYNIRLNLKMFQVIGSPLARARGQNVTIFYVNRLGYYPWYTPQGVPINGGLPQNISLQV
+HLEKADQDIRYYIPSEDFSGLAVIDWEYWRPQWARNWNTKDIYRQKSRKLISETQENASA
+ADIEYLAKATFEESAKAFMKETIELGIKSRPKGLWGYYLYPDCHNYNVYGPNYTGSCPEE
+EVLRNNELSWLWNISAALYPSIGVRRSLGDSENVLRFSQFRVHESMRISIMTSRDHALPV
+FVYTRLGYRDQPLLFLSKQDLISTIGESAALGAAGIVIWGDMNLTSSKGNCTKVKQFVSS
+DLGSYIVNVTRAAEVCSLHVCRNNGRCIRKVWKMPDYLHLNPASYHIEASEDGEFTVKGR
+ASDTDLAVLAERFSCHCYQGYQGADCREMKTADGCSGPSSFSGSLLTLCLLVSTGYQSRQ
+W
+>tr|F1MCX3|F1MCX3_BOVIN V-set and transmembrane domain containing 5 OS=Bos taurus OX=9913 GN=VSTM5 PE=4 SV=3
+MRPPPGGRTRRRGLSLGVLALCVAAARCLQSQGVSLYIPQPAINATVEEDILLSVDYSCH
+GIPTIEWKYTSSWGVQKIVEWKPGTQANISQSHKDRVCTFDNGSIQLFSVGVRDSGSYIV
+TVTERLGSSRFGTIVLHVSEILYEDLHFVAVFLAFLVAVAALLISLMWVCNKCAYKFQRK
+RRHKLKESTTEEIELQDVEC
+>tr|A0A3Q1MAP0|A0A3Q1MAP0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=INCENP PE=4 SV=1
+MGTTAQGPIHLLELCDQKLLEFVCNVDNKDMLWLEEIEEEAQRMFTREFSKEPELMPRTP
+SQKNRRKKRRISSTQDENRDPVRKRLSRRKTRSSQRISRHLRSKDKVEKLATVVGENGSV
+LQRVTRAAAAAAAASVATAPPSPTCRSPTVLTKNPKESPTQCQLVPAVEIGASERRSAEQ
+HLNHLQSAQAPTSTLAPASHIPSSSDEDSTPQKAEAGRLESVTVSSLMTTPRDPKGRGAG
+AGRSASKLRIAAAAPGPQDSPSSPASPWRERVLAPLQPDNFSTPVGARVDRQSVRRSLIA
+PSSPGPQASLAQNRSPVSKEESTVRRSSRRIARKAAKEPTASARIICESGLGVGAPCSAS
+SPKEEEPSKEAEPEEAAEPEVPKNNGGALQLRSTTNITLSPPSSQPAASSQEAETDQADG
+PKEPPQSVRRKRSYKQAVNELDEEQQLEDEELQPPRSKTPSPPCPSSKVVRPLRTFLHTV
+QRNQMLMTPTSTPHGNIMKSFIKRNTPLRVDPKEKERQRLENLRRKEEAEQLRRQKVEED
+KRRRLEEVKLKREERLRKVLQARERVEQMKEEKKKQIEQKFAQIDEKTEKAKEERLAEEK
+ARKKAAAKKMEEVEARRKQEEEARRLKWLQQEEEERRHQELLQKRREEEQERLRKAAEAR
+RLAEQREQERQLAEQREQERKREQERLQAERELQEREKALRLQKERLQRELEEKKRKEEQ
+QRLAEQRLQEEQEKKAKEAAAASNSLNVTVDVQSPACTSYQMTPQGHRAPPKINADDYGM
+DLNSDDSTDDEAHPRKPIPSWARGTQLSQAVIRQYYQPPDLLDLFGSLLQLDLEEIFQKS
+KPRYHKRTSSAVWNSPPLQGPQGPWQPGLQPEEQMLAI
+>tr|A0A3Q1MAH8|A0A3Q1MAH8_BOVIN Actin binding LIM protein 1 OS=Bos taurus OX=9913 GN=ABLIM1 PE=4 SV=1
+MSARVAHPQDPHHPSEKPVIHCHKCGEPCKGEVLRVQTKHFHIKCFTCKVCGCDLAQGGF
+FIKNGEYLCTLDYQRMYGTRCHGCGEFVEGEVVTALGKTYHPNCFACTICKRPFPPGDRV
+TFNGRDCLCQLCAQPMSSSPKEASCSGNCAGCGRDIKNGQALLALEKQWHLGCFKCKSCG
+KVLTGEYISKDGAPYCEKDYQGLFGVKCEACHQFITGKVLEAGDKHYHPSCARCSRCNQM
+FTEGEEMYLQGSTVWHPDCKQSTKTEEKLRPTRTSSESIYSRPGSSIPGSPGHTIYAKVD
+NEILDYKDLAAIPKVKAIYDIERPDLITYEPFYSSGYDDKQERQSLGESPRTLSPTPSAE
+GYQDGRDRMIHRSTSQGSINSPVYSRHSYTPTTSRSPQHFHRPGNEPSSGRNSPLPYRPD
+SRPLTPTYAQAPKHFHVPDQGINIYRKPPIYKQHAALAAQSKSSEDIIKFSKFPAAQAPD
+PSEIPKIETDHWPGPPSLAAVGADTRRRSSGREEEDEELLRRRQLQEEQLMKLNSGLGQL
+ILKEEMEKERESRERASLAASRYDSPINSASHVLSSKTASLPGYGRNGLHRPVSTDFAQY
+NSYGDVSGGARDYQVWTPVFLKLTTWAKQSFSNPDVLFCYSREEFLLRRP
+>tr|A0A140T859|A0A140T859_BOVIN G2/mitotic-specific cyclin-B2 OS=Bos taurus OX=9913 GN=CCNB2 PE=3 SV=1
+MALLRRPTVSTDLENNDTGVNSKPKSHVTIRRAVLEEIGNRVTTRAIQVAKKAQNTKVPV
+PPTKTTNVSKHPKPTASVKPVQMDVLAPKGPSPTPQDISMKEENLCQAFSDALLCKIEDI
+DTEDWENPQLCSDYVKDIYQYLRQLEVLQSINPHFLDGRDINGRMRAILVDWLVQVHSKF
+RLLQETLYMCVAVMDRYLQVQPVSRKKLQLVGITALLLASKYEEMFSPNIEDFVYITDNA
+YTSSQIREMETLILKELKFELGRPLPLHFLRRASKAGEVDVEQHTLAKYLMELTLVDYDM
+VHYHPSKVAAAASCLSQKVLGQGKWNLKQQYYTGYTESEVLEVMRHMAKNVVRVSENMTK
+FTAIKNKYASSKLLKISTIPQLNSKAIQELASPLLGRS
+>tr|E1BDZ1|E1BDZ1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC523244 PE=3 SV=2
+MAPAWSLLLALLLLNCNAICSLGCHLPHTHSLANRRVLTLLRQLRRVSPSSCLQDRNDFS
+FPQEALGGSQLQKAQAISVLHEMTQHTFHLFSTEGSDTTWDESLLDKLCAALDQQLTDLK
+ACLRQKEGLRGAPLLKEDSSLAVRKYFHTITLYLQEKRHSPCAWEVVRAEVMRAFSSSTN
+LQERFRRKD
+>tr|F1MN84|F1MN84_BOVIN Aminopeptidase OS=Bos taurus OX=9913 GN=ANPEP PE=3 SV=3
+MAKGFYISKALGILAILLGVAAVATIIALSVVYAQEKNKNAERGTAAPTSPTGPTTTSAT
+TLDQSKPWNRYRLPTTLLPDSYRVTLRPYLTPNNNGLYIFTGSSTVRFTCKEPTDVIIIH
+SKKLNYTQHSGHLAVLRGVGDTQAPEIDRTELVLLTEYLVVHLKSSLEAGKTYEMETTFQ
+GELADDLAGFYRSEYMDGNVKKVLATTQMQSTDARKSFPCFDEPAMKATFNITLIHPKDL
+TALSNMPPKGPSVPFDGDSNWSVTEFETTPVMSTYLLAYIVSEFTSVESVAPNDVQIRIW
+ARPKATADNHGLYALNVTGPILNFFANHYNTAYPLPKSDQIALPDFNAGAMENWGLVTYR
+ENALLYDPQSSSSSNKERVVTVIAHELAHQWFGNLVTLAWWNDLWLNEGFASYVEYLGAD
+YAEPTWNLKDLMVPNDVYRVMAVDALVTSHPLTTPANEVNTPAQISEMFDTISYSKGASV
+IRMLSNFLTEDLFKKGLASYLQTFAYQNTTYLNLWEHLQMAVENQLSIRLPDTVSAIMDR
+WTLQMGFPVITVDTNTGTISQKHFLLDPNSTVTRPSQFNYLWIVPISSIRNGQPQEHYWL
+RGEERNQNELFKAAADDWVLLNINVTGYYQVNYDENNWKKIQNQLMSRRENIPVINRAQV
+IYDSFNLASAHMVPVTLALNNTLFLKNEMEYMPWQAAVSSLNYFKLMFDRTEVYGPMQNY
+LKNQVEPIFLYFENLTKNWTEIPENLMDQIDPNLRSTIYCNAIAQGGQEEWDFAWNQLQQ
+AELVNEADKLRSALACTNHVWLLNRYLSYTLNPDLIRKQDATSTITSIASNVIGQSLAWD
+FIRSNWKKLFEDYGGGSFSFSNLIQGVTRRFSTEFELQQLEEFKENNMDVGFGSGTRALE
+QALEKTKANINWVKENKEVVLNWFKDHS
+>tr|A0A3Q1LJS1|A0A3Q1LJS1_BOVIN Epithelial cell transforming 2 OS=Bos taurus OX=9913 GN=ECT2 PE=4 SV=1
+MWIVTFEETGDRDSCLELLFFTNHHRREQTMADDSALASTTGSTSLADSSIFDSKVAETS
+KENLFIRSTSCVEEEMPQIETRVILVQESGKQEELIRALKTINIMEVPVIKIKESCPGKS
+DEKLIKSIVNMEIKLPFVKMESVEEFKSLDSPEFENVFIVMDFQDSVFNELYKADCRVIG
+PPVVLNCAEKGEPLPFSCRPLYCTSMMNLVLCFTGFRKKEELARLVTLVHHMGGVIRKDF
+NSKVTHLVANCTQGEKFRVAVSLGTPIMKPEWIHKAWERRNEQDFCASVDDFRNEFKVPP
+FQDCILSFLGFSDEEKTNMEEMTKMQGGNCLPVGDERCTHLIVEENIVKELPFEPSKKLY
+VVKQEWFWGSIQMDARAGETMYLYEKANTPELKKSVSLLSLNTPNSNRKRRRLKETLAQL
+SREADLSPFPPRKRPSAEHSLSIGSLLDISNTPESSINYGETPKSCTKSSKNSTPVPSKQ
+SARWQVAKELYQTESNYVNILATIIQLFQVPLEEEGQRGGPILAPEEIKTIFGSIPDIFD
+VHTKIKDDLEDLIVNWDESKSIGDIFLKYSKDLVKIYPPFVNFFEMSKETIIKCEKQKPR
+FHAFLKINQAKPECGRQSLVELLIRPVQRLPSIALLLNDLKKHTFEENPDKSTLEKAIES
+LKEVMTHINEDKRKTEAQRQIFDVVYEVDGCPANLLSSHRSLVQRVETISLGEHPCDRGE
+QVTLFLFNDCLEIARKRHKVIGTFRSPHGQTRPPASLKHIHLMPLSQIKKVLDIRETEDC
+HNAFALLVRPPTEQANVLLSFQMTSEELPKENWLKMLCRHVANTICKADAENLIYTADPE
+SFEVNTKDMDSTLSRASRAIKKTSKKVTRAFSFSKTPKRALRRALMASQSSAEGRSPSSN
+EKHVMSRLASTSSLAGIPSPSLVSLPAFFERRSHTLSRSTTHLI
+>tr|F1MPJ9|F1MPJ9_BOVIN Growth factor independent 1 transcriptional repressor OS=Bos taurus OX=9913 GN=GFI1 PE=4 SV=2
+MPRSFLVKSKKAHSYHQPRSPGPDYSLRLENVLAPGGADGISSTGGAKAELRGRVSPESQ
+LTEAPDRASASPGSCEGSVCDRSSEFEDFWRPPSPSVSPASEKSVCPSLDEAQPFPLPFK
+PYSWSGLAGSDLRHLVQSYRPCAALERGAGLGLFCERAPEPGHPAALYGPERAAGGAGAG
+APGGGSAGGGTAGGAGLGLFGDFGPAAAGLYERPATGGLYPERGHGLHGDKGTGVKVESE
+LLCTRLLLGGGSYKCIKCSKVFSTPHGLEVHVRRSHSGTRPFACEICGKTFGHAVSLEQH
+KAVHSQERSFDCKICGKSFKRSSTLSTHLLIHSDTRPYPCQYCGKRFHQKSDMKKHTFIH
+TGEKPHKCQVCGKAFSQSSNLITHSRKHTGFKPFGCDLCGKGFQRKVDLRRHRETQHGLK
+>tr|F6QQ96|F6QQ96_BOVIN NEDD8 ultimate buster 1 OS=Bos taurus OX=9913 GN=NUB1 PE=4 SV=1
+MKINGGRSRIRALGGSRLERLWRRMAQKKYLQSKLTQFLREDKIQLWKPPYTDENKEVGL
+ALKDLAKKYSDKLECCENEVEEIMEEIRCKAVERGTGNEHYKTTGIATIEVFLPARLKKD
+RKNLLETHLHITGRELRSKIAETFGFQENYIKIVINKKQLQLGETLKEQGVTHNVKAMVL
+ELKQSEEDVRKNVQVEEEKRNEAKLEEKRIQRTKRGLEILAERAEMVVDPEATPYLDIAN
+QTGRSIRIPPSERKALMLAMGYHEKGRAFLKRKEHGIALPCLLDADRYFCECCTELLDTV
+DNYAVLQLDIVWCYFRLGQLECLDDAEKKLKLAQECFRKCYGENHQRLLHIKGNCGKEKV
+LFLRLYLLQGIRNYHSGNGEEAYENLNKARQLFKELYIDPSKVHNLMQLGFSAQEARLGL
+RACDGNVDHAAVHIANRREELDQIKKEEREKKKRRLENINHLKGMGYSMRAARQALHQAA
+GNLEEALKILLHNPQLWWLNDSAPESNNRQQSPSQEKIDQLVYMGFDAVAAKAALRVFRD
+NVQLAAQTLVHNGGRLPPDLQLSAEDSSSTPSTSPSDSAGTSSASTDEDMETEAVNEILE
+DIPEHEEDYLDSTLEDEEIIIAEYLSYVENIKSAAKKN
+>tr|A0A3Q1MVU3|A0A3Q1MVU3_BOVIN Glial fibrillary acidic protein OS=Bos taurus OX=9913 GN=GFAP PE=3 SV=1
+MERRRVTSATRRSYVSSSEMVVGGRRLGPGTRLSLARMPPPLPARVDFSLAGALNSGFKE
+TRASERAEMMELNDRFASYIEKVRFLEQQNKALAAELNQLRAKEPTKLADVYQAELRELR
+LRLDQLTANSARLEVERDNLAQDLGTLRQKQDESGVRVALCPTLLQEADEATLARLDLER
+KIESLEEEIRFLRKIHEEEVRELQEQLAQQQVHVEMDVAKPDLTAALREIRTQYEAVASS
+NMHEAEEWYRSKFADLNDAAARNAELLRQAKHEANDYRRQLQALTCDLESLRGTNESLER
+QMREQEERHAREAASYQEALARLEEEGQSLKDEMARHLQEYQDLLNVKLALDIEIATYRK
+LLEGEENRPPLLMSAETSLDTKSVSEGHLKRNIVVKTVEMRDGEVIKESKQEHKDVM
+>tr|A0A3Q1MFG2|A0A3Q1MFG2_BOVIN SPG11, spatacsin vesicle trafficking associated OS=Bos taurus OX=9913 GN=SPG11 PE=4 SV=1
+MAESEEELKSLLMKGALPVLLVPVPAEAVGRLGSRAQLHREQAALGSLTAAGSLHVLPLA
+PGGRGGGDCRLEGCFRQFVWEDSHHSGTPNNKPRLLALTENYELLICEFNLEHGRCDATI
+LYSYSEETLQKLVEDQNISISLLSLRILSFHNNTSLLFINKCVVLHVMFPERDAEIRVLS
+CCTLPLPAQAVDRIIDTQLCRGILFILSSLGWIYIFDIVDGKQVAHVDLALAQEDMCNEQ
+QQESAKSSSFTSLKVSQDLDVVVVVGFSNTAVALNLTLYFRQYPGHLLCEKTLQDSPIEG
+PKGIDEDDPVNSDYNMKLTKFSFRIDRSWKAQLSSLNETIKSSKLGGSCCAPWFQDISHL
+ESPESGNRSTSVSDWAFIPQDVTCSPCNFPQKGPARTSDPGRVWKRMHIREQEEPLELTC
+VSVTGFTALFTWAGESTGWTIVLWDLETQSTQCFSLGKKCIPVDSGGVQQLCLVLTESGL
+SLILFGLTQEEFLNRLMIHGSASTVDSLCHLNGWGRCSIPIHALEAGIENRQLDTVDFFL
+KSKENLLTPSSKSSVPDQFDHFASHLYLKHVEELTPALDLLCSAIRENDSETQSKHFSEQ
+LLNLTLSFLNKQIKELFIHIEELDEHLQKGVDILTSYIDELRTFMIKFPWKLTDAIDEYD
+VNENVPKVKESNIWEKLSVEEVIANAILNNKIPEAQTFFRINSHSAQRLEELIRIGLDLV
+FDSLKKNNMEEASELLKNMGFNVKDQLLKICFYTADKSVRDFLVEIFKEKNYFSEKEKRT
+VDFVHQVEKFYSGHLQENIQIQSLPRYWIKEQDFSKHKSVLDSFLKYDHKDKLNKQDHRI
+VLNWAQWWNQPTQESILLPWINPEGYNSYSPEGLWRYLTARHDWPSIILWIGEFQTQSSY
+ASLEQNKWPPLTVDVVDQNTCCNNYMRNEILDKLARNGIFLTSELEDFELFLVRLNRVGG
+VMQDALAVQNYRSTEGWGFHSHFILYCLEHSLQYLLYVYLDHYKLSPPNCPFLGKKELHE
+AHPWFEFLVQCRQVSSNLTDPRLIFQASLANAQILIPSNQASVSSMLLEGHTLLALATTM
+YAPGGVSQVVQNGENENSLKKVDPQLLKMALTPYPKLKAALFPQYTAPSVLPPDITLYHL
+IQSLLPFDPSRLFGWQSANTLAIGDAASQLPHFSSPDLVNKYAVVERLNFAYYLHHGRPS
+FAFGTFLVQELTKSKTPKQLIQQVGHEAYVLGLSCFHISSIGAACVCFLELLGLDSLKLR
+VDMKVANIILNYKCGNEDAPYNSIRESLTEKLSKLALGERATIEELLVLLEEGIWSNIQQ
+QQIQRLSSESSSQWALVVQFCRLHNIKPSTSYLRECARANDWLQFIIHSQLHNHHPEEVK
+YLLQYFSPVLQDHLRLAFENLPSVSNSRMDSDQVCNRSSQELQRHKEEMTDFFQILLQCS
+EVPDSWCWLLGEAVRQKAPILSVLASCLQDASALSCLCVWIIASVEPHVAAEAVGPLQDS
+AEGHVWGLEDLSVIWRTLLTRQKIRTLIRGFQLFFKDSPLLLMMEMYELCMFFKNYKEAE
+AKLVEFQQSLETLETAATRVPAAIPAPWLKEHVCFLLKLMLQQCSTQYELGRLLQLFVGI
+EHLFSDGPDVKKLCVLSQILKDTPIAINRAIITSYSLENFQRECRSVLEKLETDGHFALA
+RRVAELAELPVDDLVIEEITQEMQTLKHIDQWSLKQARIDFWKKCHENFKKNSVSSRAAS
+SFFSAQALWAGECPAAGGPSSAEERHLLLTLAGHWLAREDPVPLEDLEGLEKQIWLCRVA
+QHTLRGSQEEGGPRAPQQASAGGELSFDGLASEFSFSNLAVLNTSKYLELTGFPSRDICD
+NELNWKEQASLNCLIGRLLDDGCVHEASRACRYFRFYHQDVALVLHCRALASGEASVDDL
+HPEILALLRSTELPEEEEEAGIPLRKVQSTSSLDSWSLVMLPPADEVVTNLETLTSKCLR
+GKNYCRQVLCLYELAKELGCSYADVAAQDGEAVLRAILASQQPNRCKRAQAFISTQGLRP
+DTVAELVAEEVTRELLTPSEGTGHRQVFAPAEESQTFLQLTALCQDRTLVGMKLLEKISS
+VPHGELSCTTELLILAHHCFTLTCHMEGIMRVLQAARLLTDNHLAPSEEYGLVVRLLTGI
+GRYNEMTYIFDLLHKKHYFEVLMRKKLDPSGTLKTALLDYIKRCRPGDSEKHNMIALCFS
+MCREIGENHEAAACIQLKLIESQPWGKGDVTKSLSVPTSTLTTQDSCVRQALHCHRLTKL
+ITLQIHFLNTGQNTMLINLSRHRLMDCIMALPRFYQASIVAEAYDFVPDWAEILYQQVIL
+KGDFNYLEEFKQQRLLRPSVFEEISIKCKHHQPTEFVLKNLKKLLTYCEDIYLYYKLAYE
+HKLYDVVNVLLKDPQTGCCLKDMLAG
+>tr|A0A3Q1MS79|A0A3Q1MS79_BOVIN ULP_PROTEASE domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MDPVVLSYMDNVLRQLDVSLLDPPSCLNDHDIGFAFEYFANSQFHDCTDHVCFISSEVTQ
+FIKCTGNPAEMAMYLEQLDLPNKRVIFLAINDNSTHTAGGTTGACLFICKIKMAFFHYDS
+HSSSNSFRTKKVAEKLEAFLGRKGYKLAFVAEKAPARQNSYDCGMYVICNTEALCQNFFR
+QQPESLLQLLTPTYITQKREEWKYLIARLVKN
+>tr|A0A3Q1MWP5|A0A3Q1MWP5_BOVIN Protein phosphatase 3 catalytic subunit gamma OS=Bos taurus OX=9913 GN=PPP3CC PE=4 SV=1
+MGVFYIFSCLNFFFLFFLAVPFPPTQRLSLKEVFENGKPKIDVLKNHLVKEGRLEEEVAL
+KIINDGAAILRQEKTMIEVDAPVTVCGDIHGQFFDLMKLFEVGGSPSNTRYLFLGDYVDR
+GYFSIEVNIKLDILKLSYCRIKYSEQVYDACMETFDCLPLAALLNQQFLCVHGGMSPEIT
+CLDDIRKLDRFKEPPAFGPVCDLLWSDPSEDYGNEKTLEHYTHNTVRGCSYFYSYPAVCE
+FLQNNNLLSIIRAHEAQDAGYRMYRKSQMTGFPSLITIFSAPNYLDVYNNKAAVLKYENN
+VMNIRQFNCSPHPYWLPNFMDVFTWSLPFVGEKVTEMLVNILNICSDDELISDDELEGGT
+TVRKEIIKNKIRAIGKMARVFSILREESESVLTLKGLTPTGTLPLGVLSGGKQTIETAIR
+GFSLQHRIRSFEEARGLDRINERMPPRKDSQYFDGPVKLVQANAAHRSDKGRRASNDSEP
+CGGTGGSKT
+>tr|A0A3Q1N2N7|A0A3Q1N2N7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC530599 PE=4 SV=1
+MMPPPGCSGGNCHPPTGNLVIGRGQSLRTSSTCGLHGPELYCVVSKLQDSQNCCFCDSRD
+GKSHGIENVVSRSDPDGRKTWWQAESGVENVTIQLDLEGAFYFTHLIMTFKTFRPAALLL
+ERSVDHGHSWHVFRYFAHNCSGLFPGIPPAPGRRVSDLVCDQRYSDIEPATEGEVIFQVL
+DPSFLAENTNNAEIQELLRVTNLRVNFSKLHTLGDRPLGGLRGHPFYYYALYELVIAGSC
+LCHGHASECRPAPGSPPSVHGHCVCRHHTTGTHCERCQGLYQDHPPLHAHLLCPAPECKC
+HGHARSCHFDMALYLASGNVSGGVCDACQHNTAGRHCELCQPFFHRDPLEDPPCDCNPMG
+ALEGGLCDAYTDTTRGLLSGQCRCKVHVWGQRCDSCRPGHYGLSLTQSEGCQPCRCNVRG
+RVPSTQACDPSSGACHCKRFVSGRDCSRCLPEFWGLSSDSLGCRPCDCDFGGAYSNRCSA
+GQGLCLCRPHLHGRHCQELQSGYFCATLDQATAEAEHGRSLQPADPQLPVSVQQGQGEIK
+AHTLGLRAGRARPERASGLGERVAMCHLQGSLSTECAPLGGQCPCRPNITGRTCDRCLPG
+TFGLGPTGCHECRCHPEGAASAVCNSTSGQCTCWAGCAGRRCDRCLSGRWGFPRCQPCAC
+NGHAELCHPLTGVCQDCRGATTGRHCERCLDGYYGDPTLGSGQRCQPCPCPGHPGSGLYH
+GTSCHVDSTSGRVLCLCAPGYAGPRCDRCSPGYFGRPWPGDDPRRSPCRPCQCNNNIDPR
+DPAACDPHSGHCQRCLHHSHGAGCAHCRPGFHGSALRPGGCRRCSCDPRGTSPVRCPPEA
+EACFCDPVSGQCPCRPHTLGRDCSRCAPLFWNLGGPRGCEPCSCHARHTLQPGCHPVTGQ
+CPCRAGFGGRTCSRCQDGYWGDPEQECRACACDPQGSISPSCDPHTGTCRCREGISGPRC
+QACARGSTGGFPHCTSCPPCFASWDQRLAPLQLHLDTMVHEVAALRQGMPGWGAGLRGDQ
+SEPGSDDLNPQGWNAAWLRMRRHWARKWPRCGLSSSGQQSYWATCGCGPGHTAPADFGLT
+PSDPTVTQESPDLESPETPECLLTLSDPCDSLSFSGALILTNRRGHGQLPEAI
+>tr|F1MWR3|F1MWR3_BOVIN Electron transfer flavoprotein subunit alpha, mitochondrial OS=Bos taurus OX=9913 GN=ETFA PE=1 SV=1
+MFRAAAPGQLRRATSLLRFQSTLVIAEHANDTLAPITLNTITAAKHLGGEVSCLVAGTKC
+DKVAQDLCKVAGVAKVLVAQHDAYKGLLPEELTPLILATQKQFNHTHICAGASAFGKNLL
+PRIAAKLDVAPISDIIAIKSPDTFVRTIYAGNAICTVKCDEKVKVFSVRGTSFEAAAASG
+GSASSEKASSTSPVGISEWLDQKLTKSDRPELTGAKVVVSGGRGLKSGENFKLLYDLADQ
+LHAAVGASRAAVDAGFVPNDLQVGQTGKIVAPELYIAVGISGAIQHLAGMKDSKTIVAIN
+KDPEAPIFQVADYGIVADLFKVVPEMTELLKKK
+>tr|A0A3Q1N1U9|A0A3Q1N1U9_BOVIN Intraflagellar transport protein 43 homolog OS=Bos taurus OX=9913 GN=IFT43 PE=4 SV=1
+MEDLLDLGEERRRGPATSGAKMGRRAQQESTQAENHLSGKNSSLTLTAEVPPPKPPRRQG
+RWAEASVKVSNFAYPLVADIPVIPDLEEVQEEDFVLQVAAPPSIQVNRVMTYRDLDNDLM
+KYSAFQTLDGEIDLKLLTKVLAPEHEVREDDVSWDWDHLYTEVSSELLSEWDALQTEKEG
+PVGQPAHT
+>tr|A0A3Q1MF74|A0A3Q1MF74_BOVIN Protein kinase C OS=Bos taurus OX=9913 GN=PRKCE PE=3 SV=1
+IDLEPEGRVYVIIDLSGSSGEAPKDNEERVFRERMRPRKRQGAVRRRVHQVNGHKFMATY
+LRQPTYCSHCRDFIWGVIGKQGYQCQVCTCVVHKRCHELIITKCAGLKKQETPDEVGSQR
+FSVNMPHKFGIHNYKVPTFCDHCGSLLWGLLRQGLQCKVCKMNVHRRCETNVAPNCGVDA
+RGIAKVLADLGVTPDKITNSGQRRKKLIAGAESPQPASGSSPSEEDRSKSAPTSPCDQEI
+KELENNIRKALSFDNRGEEHRAASSTDGQLASLGENGEVRQGQAKRLGLDEFNFIKVLGK
+GSFGKVMLAELKGKDEVYAVKVLKKDVILQDDDVDCTMTEKRILALARKHPYLTQLYCCF
+QTKDRLFFVMEYVNGGDLMFQIQRSRKFDEPRSRFYAAEVTSALMFLHQHGVIYRDLKLD
+NILLDAEGHCKLADFGMCKEGILNGVTTTTFCGTPDYIAPEILQELEYGPSVDWWALGVL
+MYEMMAGQPPFEADNEDDLFESILHDDVLYPVWLSKEAVSILKAFMTKNPHKRLGCVAAQ
+NGEDAIKQHPFFKEIDWLLLEQKKIKPPFKPRIKTKRDVNNFDQDFTREEPVLTLVDEAI
+VKQINQEEFKGFSYFGEDLMP
+>tr|A0A3Q1M5U4|A0A3Q1M5U4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+QELGRLEIPAELSVMLKTAEGRQHARVQSITEAMPPEVPARPSLTLPPDINQFPFSSFIS
+IGFQEPSLPHPGQLLTKPLTRLDGENPQHALDINKVMLRLLGDGSLAPWQEQTMGQYLVR
+QGQRQPGLRDELFSQLVAQLWRNPDEQQSQRGWALMAILLSAFPPMHTLQKPLLKFVSDQ
+APRGMAALCQHKLLGALEQMQLAPGSARAHPPTQLEWTAGWRRGRMALDVSTFNEECYSA
+EVESWTTGEQFAGWILQSRGLDPPPRGWSVSLHCRDSWRDLAGCDFVLDLIGQTEDPGDP
+AWHHSYPIAPRGLAENIPLAPSIQAPSLPPGPPPGPAPTLPSRGLTGESRTSGSLDGFLD
+HLFKPVLSPGLSDLEQGRALSGRMKGGGAMGPMQQGSYPMGECRAEPIRAMVVPPQPQPV
+LPSLDVRQLAAQQQDFINQQARILAQQMTTQAMTLSLEQQAKQLQKQTSLTSPPPAITHE
+PKTPTPQKEPESGLELVGARLREAPQEAEDRHPRPRSFQQKRDYFQKLGQQPSKVKTMKP
+PAKVKIPQAEVQDEEPEEEEQRAGPSAPPPQVVKKPPLSQGAARAAQEAEAEPATEVGPG
+GGHRPAGGKAVVRSSDPEPWRAEPSREIRNIIRMYQSRPGPVPVPVQPARKPPQSFLKKN
+NPKDEALAKLGISGASSPPQTLPSPGKAPPPAVAPRPRARPRLMPSSSIKEKQGPLQELF
+GQNPPTAQKPPPPPAPPLPPPWEPASPPAEPRLVEPMGDQGVSTQLLVPSGSVCFSYASA
+PWRLFLRKEVFYPRESFSHPYCLRLLCEQILRDTFAESCIRISQDERRKMKDLLGDLEVG
+LDSLDTAEDGVKKRIVVAARNNWANYFSRIFPVSGESGSDVQLLAVSHRGLRLLKMTQGP
+SLHPDQLKTLCSYSFAEVLGVECPASSTLELSLKSEQLVLHTARAGAIKAMVELFLSELK
+KDSGYVIALRSYITDDHSLLSFQRGDLIKLLPVATLEPGWQFGSTGGRSGLFPADIVQPA
+AAPDSFFSTEQRSSQGKTGEAEFPTHAWSQARSDNSATSLSPSVAYVALPTDSDYTMQEF
+ALRYFRKPQTLARARAPSQLTMHLVLPTALMQFMGDQSKPRGKNELDLLYGLLKLCQEKE
+DLRDEVYCQAIKQVTGHPRPKLCARGWRFLSLLTGCVPPSNTLMPYVTKFLQDWGPHQEL
+AQSSQEHLQRTVKYGGRRRLPSPGEMQAFLKGQVVHLVLIHLPGGVDYKTNIRTFTVAAE
+VLEELCRQMGITDPQEVQEFALFLIKGDGELVRPLWPQEYLNSVLGGLDVSLHSRRLGWE
+TRLHFDNPTYISTHYGQVLRDYLQGKLGLSPQEDARLARLAALQQLSRSTEEPPSEQDLL
+AYVPRQQQWQQQWQMNVATVKSLMGRELRQLQGCSPQEAQISFISGSGLPLFGYTVYLVL
+RVSNPALPSPGLLGLNRQHLVLMDPGSQELCCSIALRDLQRLHLLSPLEAEGSPGLELNY
+GSADNPQTIWFELPQVGGLCAP
+>tr|A0A3Q1M8V2|A0A3Q1M8V2_BOVIN Ubiquitin protein ligase E3 component n-recognin 5 OS=Bos taurus OX=9913 GN=UBR5 PE=4 SV=1
+MTSIHFVVHPLPGTEDQLNDRLREVSEKLNKYNLNSHPPLNVLEQATIKQCVVGPNHAAF
+LLEDGRVCRIGFSVQPDRLELGKPDNNDGSKLNSSSGAGRTSRPGRTSDSPWFLSGSETL
+GRLAGNTLGSRWSSGVGGSGGGSSGRSSAGARDSRRQTRVIRTGRDRGSGLLGSQPQPVI
+PASVIPEELISQAQVVLQGKSRSVIIRELQRTNLDVNLAVNNLLSRDDEDGDDGDDTASE
+SYLPGEDLMSLLDADIHSAHPSVIIDADAMFSEDISYFGYPSFRRSSLSRLGSSRVLLLP
+LERDSELLRERESVLRLRERRWLDGASFDNERGSTSKEGEPNLDKKNTPVQSPVSLGEDL
+QWWPDKDGTKFICIGALYSELLAVSSKGELYQWKWSESEPYRNAQNPSLHHPRATFLGLT
+NEKIVLLSANSIRATVATENNKVATWVDETLSSVASKLEHTAQTYSELQGERIVSLHCCA
+LYTCAQLENNLYWWGVVPFSQRKKMLEKARAKNKKPKSSAGISSMPNITVGTQVCLRNNP
+LYHAGAVAFSISAGIPKVGVLMESVWNMNDSCRFQLRSPESLKSMDKASKTTEAKPESKQ
+EPVKTEMGPPPSPASTCSDASSIASSASMPYKRRRSTPAPKEEEKVNEEQWSLREVVFVE
+DVKNVPVGKVLKVDGAYVAVKFPGTSSNTNCQSNSGSDADPSSLLQDCRLLRIDELQVVK
+TGGTPKVPDCFQRTPKKLCIPEKTEILAVNVDSKGVHAVLKTGNWVRYCIFDLATGKAEQ
+ENNFPTSSIAFLGQNERNVAIFTAGQESPIILRDGNGTIYPMAKDCMGGIRDPDWLDLPP
+ISSLGMGVHSLINLPANSTIKKKAAIIIMAVEKQTLMQHILRCDYEACRQYLMNLEQAVV
+LEQNLQMLQTFISHRCDGNRNILHACVSVCFPTSNKETKEEEEAERSERNTFAERLSAVE
+AIANAISVVSSNGPGNRAGSSSSRSLRLREMMRRSLRAAGLGRHEAGASSSDHQDPVSPP
+IAPPSWVPDPPAMDPDGDIDFILAPAVGSLTTAATGTGQGPSTSTIPGPSTEPAVVESKD
+RKANAHFILKLLCDSVVLQPYLRELLSAKDARGMTPFMSAVSGRAYPAAITILETAQKIA
+KAEVSSSEKEEDVFMGMVCPSGTNPDDSPLYVLCCNDTCSFTWTGAEHINQDIFECRTCG
+LLESLCCCTECARVCHKGHDCKLKRTSPTAYCDCWEKCKCKTLIAGQKSARLDLLYRLLT
+ATNLVTLPNSRGEHLLLFLVQTVARQTVEHCQYRPPRIREDRNRKTASPEDSDMPDHDLE
+PPRFAQLALERVLQDWNALKSMIMFGSQENKDPLSASSRIGHLLPEEQVYLNQQSGTIRL
+DCFTHCLIVKCTADILLLDTLLGTLVKELQNKYTPGRREEAIAVTMRFLRSVARVFVILS
+VEMASSKKKNNFIPQPIGKCKRVFQALLPYAVEELCNVAESLIVPVRMGIARPTAPFTLA
+STSIDAMQGSEELFSVEPLPPRPSSDQSSSSSQSQSSYIIRNPQQRRISQSQPVRGRDEE
+QDDIVSADVEEVEVVEGVAGEEDHHDEQEEHGEENAEAEGQHDEHDEDGSDMELDLLAAA
+ETESDSESNHSNQDNASGRRSVVTAATAGSEAGASSVPAFFSEDDSQSNDSSDSDSSSSQ
+SDDIEQETFMLDEPLERTTNSSHANGAAQAPRSMQWAVRNTQHQRAASTAPSSTSTPAAS
+SAGLIYIDPSNLRRSGTISTSAAAAAAALEASNASSYLTSASSLARAYSIVIRQISDLMG
+LIPKYNHLVYSQIPAAVKLTYQDAVNLQNYVEEKLIPTWNWMVSIMDSTEAQLRYGSALA
+SAGDPGHPNHPLHASQNSARRERMTAREEASLRTLEGRRRATLLSARQGMMSARGDFLNY
+ALSLMRSHNDEHSDVLPVLDVCSLKHVAYVFQALIYWIKAMNQQTTLDTPQLERKRTREL
+LELGIDNEDSEHENDDDTNQSATLNDKDDDSLPAETGQSHPFFRRSDSMTFLGCIPPNPF
+EVPLAEAIPLADQPHLLQVLPTKMSYAANLKNVMNMQNRQKKEGEEQTVVPEEAESSKPG
+PSAHDLAAQLKSSLLAEIGLTESEGPPLTSFRPQCSFMGMVISHDMLLGRWRLSLELFGR
+VFMEDVGAEPGSILTELGGFEVKESKFRREMEKLRNQQSRDLSLEVDRDRDLLIQQTMRQ
+LNNHFGRRCATTPMAVHRVKVTFKDEPGEGSGVARSFYTAIAQAFLSNEKLPNLDCIQNA
+NKGTHTSLMQRLRNRGERDREREREREMRRSSGLRAGSRRDRDRDFRRQLSIDTRPFRPA
+SEGNPSDDPDPLPAHRQALGERLYPRVQAMQPAFASKITGMLLELSPAQLLLLLASEDSL
+RARVDEAMELIIAHGRENGADSILDLGLLDSSEKVQENRKRHGSSRSVVDMDLDDTDDGD
+DNAPLFYQPGKRGFYTPRPGKNTEARLNCFRNIGRILGLCLLQNELCPITLNRHVIKVLL
+GRKVNWHDFAFFDPVMYESLRQLILASQSSDADAVFSAMDLAFAIDLCKEEGGGQVELIP
+NGVNIPVTPQNVYEYVRKYAEHRMLVVAEQPLHAMRKGLLDVLPKNSLEDLTAEDFRLLV
+NGCGEVNVQMLISFTSFNDESGENAEKLLQFKRWFWSIVEKMSMTERQDLVYFWTSSPSL
+PASEEGFQPMPSITIRPPDDQHLPTANTCISRLYVPLYSSKQILKQKLLLAIKTKNFGFV
+>tr|G3MXM9|G3MXM9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=ZBTB8B PE=4 SV=2
+MEMQSYYAKLLGELNEQRQRDFFCDCSIIVEGRIFKAHRNILFANSGYFRALLIHYIQDS
+GRHSTASLDIVTSDAFSTILDFLYSGKLDLCGENVIEVMSAASYLQMNDVVNFCKTYIRS
+SLDICRKMEKEAAVAAAVAAAAAAAAAAAAAAAAHQMDSGSPKKGTAGETKSLVSRAEGE
+ESEDRPREPPSGDLRGCCPLELVGKDSQGSGWVDSDRSTRPEQMEPKVELGAAEVEVDGQ
+LQPPATPLSLAHREEGLPSSQAIDLAYSNYHVKQFLEALLRNSAVQSKEDVDHHFSQSLE
+GRPGGAGVAAMSSTMDVQTDWYGEDSGDVLVVPIKLHKCPFCPYSAKQKGILKRHIRSHT
+GERPYPCETCGKRFTRQEHLRSHALSVHRSNRPIICKGCRRTFTSHLSPGLRRFGLCDSC
+TCITDTHDDDDELMPINLSLVEASFESQEKSDTDSDWPIYVESGEENDPAGEDSDDRPQI
+QPNLSGGDTLT
+>tr|A0A3Q1LGA6|A0A3Q1LGA6_BOVIN Alpha-enolase OS=Bos taurus OX=9913 GN=ENO1 PE=3 SV=1
+MSILKVHAREIFDSRGNPTVEVDLFTAKGLFRAAVPSGASTGIYEALELRDNDKTRYMGK
+GEHRPRPARPWRPWPPSSLQLASEAKFGANAILGVSLAVCKAGAVEKGVPLYRHIADLAG
+NAEVILPVPAFNVINGGSHAGNKLAMQEFMILPVGAENFREAMRIGAEVYHNLKNVIKEK
+YGKDATNVGDEGGFAPNILENKEALELLKNAIGKAGYSDKVVIGMDVAASEFYRSGKYDL
+DFKSPDDPSRYITPDELANLYKSFIRDYPVVSIEDPFDQDDWEAWQKFTASAGIQVVGDD
+LTVTNPKRIAKAVSEKSCNCLLLKVNQIGSVTESLQACKLAQSNGWGVMVSHRSGETEDT
+FIADLVVGLCTGQIKTGAPCRSERLAKYNQILRIEEELGSKAKFAGRSFRNPLAK
+>tr|F1MES5|F1MES5_BOVIN PR/SET domain 11 OS=Bos taurus OX=9913 GN=PRDM11 PE=4 SV=3
+MTENMKECLAQTKAAVGEMVTVVKTEVCSPLRDQECGQPCSRRSDPSVMEVEPRKLKGKR
+DLIMPKSFQQVDFWFCESCQEYFVDECPNHGPPVFVSDTPVPVGIPDRAALTIPQGMEVV
+KEASGENDVRCINEVIPKGRIFGPYEGQISTQDKSAGFFSWLIVDKNNRYKSIDGSDETK
+ANWMRYVVISREESEQNLLAFQHSERIYFRACRDIRPGERLRVWYSEDYMKRLHSMSQET
+IHRNLARGEKRLQKEKSEQALDNPEDLRGPLQLPVLRQGKSPYKRGFDEGDVHPQAKKKK
+IDLIFKDVLEASLESAKVEAHQLALSTSLVIRKVPKRQNDAYGQCAMTMSHGVQNASRTQ
+GDGDWKVPQGASKEAGPLEDEEEEPSSFKADSPAEASLASDPPELPTTSFCPNCIRLKKK
+VRELQAELDMLKSGTLPEPPTLPAQVLELPEFSDPAASESMVSGPAIMEDDDQEVDSADE
+SVSNDIMTATDEPSKMSSATGRRIRRFKQEWLKKFWFLRYSPTLNEMWCHVCRQYTVQSS
+RTSAFIIGSKQFKIHTIKLHSQSNLHKKCLQLYKLRMHPEKTEEMCRNMTLLFNTAYHLA
+LEGRPYLDFRPLAELLRKCELKVVDQYMNEGDCQILIHHIARALREDLVERIRQSPCLSI
+ILDGQSDDLLADTVAVYVQYTSSDGPPATEFLSLQELGFSSTESYLQALDRAFSALGIRL
+QDEKPTVGLGIDGANVTASLRASMFMTIRKTLPWLLCLPFMVHRPHLEILDAISGKELPC
+LEELENNLKQLLSFYRYSPRLMCELRSTASTLCEETEFLGDIRAVRWIIGEQNVLNALIK
+DYLEVVAHLKDVSSQTQRADASAIALALLQFLMDYQSIKLIYFLLDVIAVLSRLAYVFQG
+EYLLVSQVDDKIEEAIQEISRLADSPGEYLQEFEENFRESFNGIAMKNLRVAEAKFQSIR
+EKICQKTQVILAQRFDSRSRIFVKACQVFDLAAWPRSSDELLSYGKEDMVQIFDHLEAIP
+TFSRDVCREGLDPRGSLLMEWRELKADYYTKNGFKDLIGHICKYKQRFPLLNKIIQVLKV
+LPTSTACCEKGRNALQRVRKNHRSRLTLEQLSDLLTIAVNGPPIANFDAKRALDSWFEEK
+SGNSYALSAEVLSRMSALEQKPVLQTVDHGSEFYPDI
+>tr|F1MFP5|F1MFP5_BOVIN Paired box 9 OS=Bos taurus OX=9913 GN=PAX9 PE=4 SV=2
+MEPAFGEVNQLGGVFVNGRPLPNAIRLRIVELAQLGIRPCDISRQLRVSHGCVSKILARY
+NETGSILPGAIGGSKPRVTTPTVVKHIRTYKQRDPGIFAWEIRDRLLADGVCDKYNVPSV
+SSISRILRNKIGNLAQQGHYDSYKQHQPAPQPALPYNHIYSYPSPITAAAAKVPTPPGVP
+AIPGSVAMPRTWPSSHSVTDILGIRSITDQGVSDSSPYHSPKVEEWSSLGRSNFPAAAPH
+AVNGLEKGALEQEAKYAQAPNGLPAVSSFVSASSMAPYPTPAQVSPYMTYSAAPSGYVAG
+HGWQHASGTPLSPHNCDIPASLAFKGMQAAREGSHSVTASAL
+>tr|A0A3Q1LNR2|A0A3Q1LNR2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MAAIIICSDFGAPKNKKEEQVISLGPQVAEGENIFGLCHVFASFNDTFVHVTNLSGKETI
+CHVTGGIKVKVDRDEYSPYRCKELGITALHIKLQATGGNRTKTPGPGTQSALTALAHSGM
+KIGCIEDVTLIPSNSTHRKGSCCGHSL
+>tr|A0A3Q1LWA9|A0A3Q1LWA9_BOVIN FA complementation group I OS=Bos taurus OX=9913 GN=FANCI PE=4 SV=1
+MLEVHRFPGQLLVELANEFVSAINEGKLTNGKSLELLPVILTALGTKKENLTYGKGELSG
+EECKKQLINTLCSGRWDHQYVIQLTSMFKAVPLTTEEVEFVVEKVLKVFSKLNLQEIPPL
+VYQLLVLSSKGSRKRVLVGIIAFFNKLDQQHNEEQSGDEYLDLITVPLDELRHVEGTIIL
+HIVFAIKLDFELGRELLRHLKATQQGDFSSICPFSIALLLSVTRIQRFEEQVFDFLKSSV
+IKNFKDLQLLQGSKYIQSLFPNECCISTMILEVVNNSVHSWDHVTQGLVEFGFILMDSYG
+PKKILDGKTAETSSGLSRMPNQHACKLGANILLETFKIHEMIRQEILEQVLNRVVTRASS
+PINHFLDLLSDIIKYSPLVLQSCSSKVTETFDYLSFLPVQTVQGLLKAVQPLLKVSMSIR
+DSLILVLRKAMFASQLDARKSAVAGFLLLLKNFKVLGSLSSSQCSQSIGVSQVHVDIHSR
+YNSVANETFCLEIMDSLKRCLGQQADVRLMLYEGFYDVLRRNSQLANSVMQTLLSQLKQF
+YEPEPDVLPPLKLEACILTQGDQVFLQEPLDYLLCCIQHCLAWYKSRVVPLQQEEEEEEE
+GFYQYLDDMLESITNRMIKSELEDFELDKSADFSQSTGIGIKNNICASLVMGICEVLIEY
+NFFISNFSKTKFETILSLFMCYKKLSDILNEKSGKGKTKMANRPTDSFLSMKFVSDLLTA
+LFRDSTQSHEESLSVLRSSNEFMRYAVNVALMKVQQLKETGHVSGPDGQNPEKVFQNLCD
+ITRVLLWRYTSIPTSVEESGKREKGKNISLLCLEGIQKILSAVQQFYQPKCHQFLKALDA
+TDKEEEEEEVSVSERAAFQIRQFQRSLLNLLSSQEEDFKSKEALLLATVLTSLSKLLKPI
+SAEFVQMLSWTSKICTENSCEDASFCKGMLSLLFNLHVSCKSPVGLLRDLSQDIHGLLGD
+IDEDVEVEKTNNFALVNLKTAAPTVCLLVLSKAEKVLEEVDWLITKLKGQANQKIIPEEA
+SSQAALTNHPMEKTTIIQLGTLLTFFHELVQTALPSGSCVDTLLKDLGKMYTTLTALVKY
+YLQVYQPSTGIPKNMEKLVKLSGSHLTPLCYSFISYVQNKHSRSLKCTGEKEKTAAGPTA
+IARALRETKPIPNLIFAIEQYEKFLIHLSKRSKVNLMKHIKLSTSRDFKIKGNILDVVLR
+QEEDENEEGTASEHEGQNKEPAKKKRKKEMKCLS
+>tr|F1MUK4|F1MUK4_BOVIN CDK2 associated cullin domain 1 OS=Bos taurus OX=9913 GN=CACUL1 PE=3 SV=3
+MEESMEEEEGGSYEAMMDDQNHNNWEAAVEGFRQPPPPPPSIPAPARETPGGQLLAMPAV
+SVDRKGPKEGLPMGPPPPPPEANGVIMMLKSGDAASVAKAAPAPTPGSTININTSTSKFL
+MNVITIEDYKSTYWPKLDGAIDQLLTQSPGDYIPISYEQIYSCVYKCVCQQHSEQMYSDL
+IKKITNHLERVSKELQASPPDLYIERFNIALGQYMGALQSIVPLFIYMNKFYIETKLNRD
+LKDDLIKLFTEHVAEKHIYSLMPLLLEAQSTPFQVTPSTMANIVKGLYTLRPEWVQMAPT
+LFSKFIPNILPRAVESELSEYAAQDQKLQRELIQNGFMRGDQSRKRAGDELTYNSSSACA
+SSRGYR
+>tr|A0A3Q1MRT2|A0A3Q1MRT2_BOVIN Centrosomal protein 83 OS=Bos taurus OX=9913 GN=CEP83 PE=4 SV=1
+MVVHSVTNMDAFPTIFPPGGDSELRHSQSELQKMLIDERLRCEHHKTNYQTLKAEHTRLQ
+DEYIKSQNELKRVLNEKQTHQEKFQLLLEEVRGELVEKTKDLEEMKLQVLTPQKLELLRA
+QIQQELETPMRERFKNLDEEVEKYRAEYNKLRYEHTFLKSEFEHQKEEFARILEEEKIKH
+KSEIARLEEDKELLNQLHSVDPTRESNRMEQLVRAKAHLHQKLKSLEAEIAELRAEKENS
+SAQAENVQRIQVRQLAEMQATVRSLEAEKQSAKLQAERLEKELQSSNEQNTALTSKLHKA
+EREVNTLASKVKELKHSNKLEITDIKLEAARAKSELERERNKIQSELDGLQSDNEILKSA
+VEHHKALLVEKDRELIRKVQAAKEEGYQKLLELQDEKLELESRLADLEKMKVEHDVCRQS
+EKDQCEEKLRASQMAEESARRELQSIRLKLQQQIVDIENAEKEKKENSDLKQQISGLQIQ
+VTSLAQSENELLNSNQILKEMVERLKQECRNLRSQAEKAQLEVEKALEEKQIQWLEEKHK
+LHERITDREEKYNQAKEKLQRAAIAQKKRKSLHENKLKRLQEKVEILEAKREELETENQV
+LNRQNVPFEEYTRLQKRLKDIQRRHNEFRSLILVPNIPPSASINPVSLQSSTVIPGMELS
+FPPHMQEEQHQRELSLLRKRLEELETTQRKQLEELGSPGE
+>tr|A0A3Q1MU42|A0A3Q1MU42_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=CYP2B6 PE=3 SV=1
+MELSVLLLFALLTGLLVLLARGRPKAHGRLPPGPRPLPFLGNLLQMDRKGLLKSFLRFQQ
+KYGDVFTVYLGPRPVVIICGTEAIREALVDQAEVFSGRAKIAVVDPIFQGYGVIFANGER
+WKALRRFSLATMRDFGMGKRSVEERIQDEAQCLVEELRKSQGALQDPVFYFHSITANIIC
+SIVFGKRFDYRDPEFLRLLELLFQSFVLISSLSSQLFELYSSFLKYFPGSHRQIYKNLQE
+INVFIGRSVEQHRETLDPNAPRDFIDCYLLRMEKDKSNPQSQFDHQNLIMSVLSLFFAGT
+ETTSTTLRYGFLLMLKYPHITERIQKEIDQVIGSYRPPALDDRAQMPYTDAVIHEIQRFA
+DLIPIGVPHMVTKDTHFRGYILPKGTEVYPVLSSALHESCYFEKPDDFNPDHFLDANGVV
+KKNDAFMPFSIGKRICLGEGIARIELFLFFTTILQNFSVASPVAPEDIDLTPQESGVGNV
+PPNYRIQFLPRQRG
+>tr|A0A3Q1MMP2|A0A3Q1MMP2_BOVIN Sorting and assembly machinery component 50 homolog OS=Bos taurus OX=9913 GN=SAMM50 PE=4 SV=1
+MSGSTVSQGAGRRRDIMAAPSPQRLGACGCCLNPELGHRSPALCPHPPSLRPAGTALGGG
+GQRGSHGDGARPESFLRRCLNALEVMSLQTEGLLSGICCSPQCSLEPLPASGPDFGALGE
+EAEFVEVEPEAKQEILENKDVVVQHVHFDGLGRTKDDIIMYEIRDVFKAKNLIEVMRKSH
+EAREKLLRLGIFRQVDVLIDTCQGDDALPNGLDVTFEVTELRRLTGSYNTMVGNNEGSMV
+LGLKLPNLLGRAEKVTFQFSYGTKETSYGLSFFKPQPGNFDRNFSVNLYKVTGQFPWSSL
+RETDRGVSAEYSFPTWKTSHTVKWEGVWRELGCLSRVASFAVRKESGHSLKSSLSHSMVI
+DSRNSSILPKRGALLKVNQELAGYTGGDVSFLKEDFELQLNKQLILDTVFSASLWGGMLV
+PMGDKPSSIADRFYLGGPTSVRGFSMHSVGPQSEGDYLGGEAYWAGGLHLYTPLPFRPGQ
+GGFGELFRTHFFLNAGNLCNLNYGEGPKAHIRKLAECIRWSYGAGIVLRLGNIARLELNY
+CVPMGVQRGDRICDGVQFGAGIRFL
+>tr|E1BFD8|E1BFD8_BOVIN Zinc finger protein 619 OS=Bos taurus OX=9913 GN=ZNF619 PE=4 SV=3
+MGQPGLCAEGPVQGGDAGELPKHNFLDSISIPQTRSDLLAGARGRTRAPGSLDTGQGRGP
+ERHLRRCTAEQTAELRISKGPESHKLITEVLLVDVPRHPLFENSLEKLQLYDPGKKKHSK
+NGDFTDLPVQDHPSSTVEREEIARQLQGSRGVCMHLITKQGLPREQVFYNCGECGRYFNQ
+HSDLHQHQRIHMDKKPYKCKERGKAFRYNSKLSRHQKIHIGEKPYSCQECGQAFNQNSHL
+LQHQKLHGGEKPYECKDCGKTFSYNSKLIWHQRIHTGEKPFKCKECGKAFKCNYDRVIHE
+RIHTGEKPYECKECGKSFSSNSVLIQHQRIHTGEKPYKCKECSKAFHRSSLFLQHQRFHT
+GEKLYKCNECWKTFSCSSRFIVHQRIHTGEKPYECQECGKAFNQKITLVQHQRVHTGEKP
+YECKVCGKSFRWSTSFIHHQKLHTRKKPDRVTRPSPVKPCRPTSVLSPLSPQHARPAPAT
+PGAPLSCPRAPLLPPSVPLFLLLPSSEMAMSSPVQVVHFFQNHASPWKSTTHSLNPLPHS
+L
+>tr|A0A3Q1LX08|A0A3Q1LX08_BOVIN RAN binding protein 3 OS=Bos taurus OX=9913 GN=RANBP3 PE=4 SV=1
+MADLANEEKPAIAPPVFVFQKEKGQKSSAEQKDLSDSGEEPRGEAEAPHHGTGHPESAGE
+HALELPAPASALARAPEAQLLPFPRELAGRSAGGSSPEGGEDSDREDGNYCPPVKRERTS
+SLTQFPPSQSVSKNNVFMPSTFCEPSAGNSDSEPEEKSSGFRLKPPTLIHGQAPSAGLPS
+QKPKEQQRSVLRPAVLQAPQPKALSQTVPSSGTNGVSIPADCTGAATSALPDNPAQRSPS
+DETPALEEKSQRNESSNASEEENCEKKEQGAQQAFVFGQNLRDRVKLINENTEVADMENA
+GHPSSETPAATNYFLQYISSSLENATNSADAASSKFVFGQNMSERVLSPPKLNELSSDAN
+RENTAAESGSESSSQEATPEKESLAESAAAYTKATARKCLLEKVEVITGEEAESNVLQIQ
+CKLFVFDKTSQSWVERGRGLLRLNDMASTDDGTLQSRLVMRTQGSLRLILNTKLWAQMQM
+DKASEKSIRITAMDTEDQGVKVFLISASSKDTGQLYAALHHRILALRSRVEQEQEAKAPA
+PEPGTAPSNEDDSDDDDVLTPSGAPTGGAGDEGDGQTAGST
+>tr|A0A3Q1MVE5|A0A3Q1MVE5_BOVIN Thymopoietin OS=Bos taurus OX=9913 GN=TMPO PE=1 SV=1
+MPEFLEDPSVLTKEKLKSELVANNVTLPAGEQRKDVYVQLYLQHLTARNRPPLATSANSK
+GPPDFSSDEEREPTPVLGSGAAVAGRSRAAVGRKATKKTDKPRPEDKDDLDVTELSNEDL
+LDQLVKYGVNPGPIVGTTRKLYEKKLLKLREQGTESRSSTPLPTISSSVENTRQNGSNDS
+DRYSDNEEDSKIELKLEKREPLKGRAKTPVTLKQRRVEHNQVETSEHFRIDGAIISESTP
+IAETIMASSNETLVVNRVTGNFKHAAPILPITEFSDIPRRTPKKPLTRAEVGEKTEERRV
+ERDILKEMFPFEAATPTGISASCRRPIKGAAGRPLELSDFRMEESYSSKYIPKYVPLADV
+KSEKTKKGRSIPMWIKILLFVVVAGFLFLVYQAMETNQGNPFYKFLSNDSKKVN
+>tr|A0A3Q1LQV4|A0A3Q1LQV4_BOVIN Tetratricopeptide repeat protein 4 OS=Bos taurus OX=9913 GN=TTC4 PE=4 SV=1
+MAGSPGTHMEQQEPGATLDDGMDSFLEKFQSQPYRGGFHESQWEEEFEKIPLFMKNSPSE
+IDPLENPDLACLQSIIFDEERSPEDQARTYKDEGNDYFKEKDYKKAVISYTEGLKKKCAD
+PDLNAVLYTNRAAAQYYLGNFRSSLNDVTAARKLKPCHLKAIIRGASCHLELKNYVEAVN
+WCDEGLQIDATEKKLLDLRAKADKLKRTEQRDVRKAKLKEKKQQDQNEALLQAIKARNIR
+LVAEAAGEDEDSASEGLSELVLHGLGSENPCGARLGVDDQGRLSWPVLFLYPEHAQSDLV
+SAFHEDSRFIDHLMVMFGETPSWDLDQKYCPDNLEVYFEDEDRAELYCVPPSSTLLQVLQ
+HPRYFVKALTPTFLVCVGSSGFCRNYLRGKKVHQGQAFPASWLGRTCCLLELQPLGSDR
+>tr|A0A3Q1MMY4|A0A3Q1MMY4_BOVIN Glutamine and serine rich 1 OS=Bos taurus OX=9913 GN=QSER1 PE=4 SV=1
+MLIYIFTLGYILSSNVGLSGIFDTSVNNASTNTKESSVMNFLSAVESRTAQAASSGTTLL
+PQFRAPSWQTGMHSSAPTELFVTGPLPTTGTLPSPALPAYQHPTTFSNRNFATTSPLVLQ
+DSTFNTTSNGILSSHDPLLQIKTSQGTVPTALTFERLGSSAISNSIPPQSSTYRSAQESA
+PHLLQPQFSLLPSALGGAQQTPQAYGSTLFTSSTASIERALLRECSVIKHHQRPSGTQSI
+QAQLTGSQHSLHSYLSNASGVNFQETSRQSSLSCSPIGESTQVSNGGLQQKPSQVSVELA
+QSYSSAIPSSGYPTAKVKSCSTKQPLASTKSPKPPSIIPPVQTLSYSKPLHNQSSVISGQ
+AQIYSTAQLPSLLSVSQSQNYGLVQPHNVPSIVHSQVYRSSKVEKLPSLYKTLTFSGSSQ
+TITSENSTLNYSSNQQEVLSSVTNENYPAPTRDPSSDSQSQSYASGHSQGLSPVSQTQVS
+FSSQSQVLSVVSPSESYASGQSLTLTAPSLSYSSASRAQNVPDASPTQNFISMHSSQNAQ
+TQGSSSPQSQKFLPAVQSSSFATSTHCQTLQNNLPSPDPKSYAERKLDSSVYTSSKQEED
+FPMQELQVLQPQVSLESSTSRLSDGEMNVPESAYKVSKADDRYSQSITRSNSCLEDQVVG
+IALQGSKKEENIVGSMTQLNQQIGQVNSSATLDIKKTTNLMQTPQIRLNTKDLNQQHSLI
+QKVHEAKVQEQHDQIINASSQIQIPNNALGHGHQASLPNTQVLLDSACDLQILQQSILQA
+SLGQIKTSLQVQRVQSPQQIVHPFLQMDGHIIRSNGEHSQQQLHPQNSEIMKMDLSDSSK
+PLQQHLTTKGHFSETTQHDSKNHFVSLGSICFPEAMLLSDERNILSNVDDILAATAAACG
+VTPSDFSKSTSNETIPAVEDGDSKSHFQQSLDVGHVTSDFNSIAVTVGTPPNINDISLNG
+NQVTVNLSPVPTLQSKMTLDQQHVEVPGQNKASKVTSPVVGPGHEVQEQSSGPFKKQSAT
+SHEPEEDSEVAVDSTLNNNRNQEFVSSSRSISGESATSESEFALGGDDSGVSVNSSRNTL
+AVLAMAQPGETVSVKIEEENQDLMHFNLQKKKTKGKGHTKEEDNSHQKQLKRPAQGKRQN
+PRGTDIYLPYTPPSSESCHDGYQHQEKMRQKIKEVEEKQPEVKTGFIASFLDFLKSGPKQ
+QFSTLAVRMPNRTRRPGTQTVRTFCPPPLPKTAAATPTPLVSEAGANSPSEKLDNELKNL
+EHLSSLSSDEDDPGVCSHDIYKSSSTTLNTSDATSDKKKKTVSEATQVATASTTAIAPGT
+AAPSSTAVGAVKQETLYSTPSAVKTPENINSSEPPKSIELDSLPSDQFAKGQDTVAIEGF
+TDEEDPESGGEGQYRERDEFVVKIEDIETFKEALKTGKEPPAIWKVQKALLQKFVPEIRD
+GQREFAATNSYLGYFGDAKSKYKRIYVKFIENTNKKEYVRVCSKKPRNKPSQTIRTVQAK
+PSNSSKTSDPPTPKTATTKAPSMKPKVKQLKVKAEPPPKKRKKWKEEFSSSQSDSSPEIH
+SSSSDDEEFNPPAPFVTRFLNTRAMKETFKSYMELLVSIALDPDTMQALEKSNDELLLPH
+MKKIDSMLNDNRKRLLLNLHLDQSFKNALESFPELTIITRDSKAKSGGSAMSKIKMNGKA
+YNKKTLRTSKTTTKSAQEFAVDPEKIQLYSLYHSLHHYKYHVYLICKDEISSVQKKNEDL
+GQEEIVQLCMKNVEWVEDLFEKFGELLNHVQQKCS
+>tr|A0A3Q1MPH1|A0A3Q1MPH1_BOVIN Solute carrier family 31 member 1 OS=Bos taurus OX=9913 GN=SLC31A1 PE=4 SV=1
+MSAVDEDEDLEKHSIQLFLLHFLNSVLSESSADSTFPGKMGHSHIMGMNMDMSGGDNSTM
+PPHHHPTTSSDHSHDHMMMMMPMTFYFGFKNVELLFSGLVINTAGEMAGAFVAVFLLAMF
+YEGLKIAREGLLRKSQVSIRYNSMPVPGPNGTILMETHKTVGQQMLSFPHLLQTVLHIIQ
+VVISYFLMLIFMTYNGYLCIAVAAGAGTGYFLFSWKKAVVVDITEHCH
+>tr|A0A3Q1LSI2|A0A3Q1LSI2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=SLC35F2 PE=4 SV=1
+MQETRVQSLGWEDPLEKGKATHSSIPAWRIPWTARNILKTIALGQMLSLCICGTAITSQY
+LAERYKVNTPMLQSFINYCLLFLIYTVMLAFQSGSDNLLCILKKKWWKYILLGLADVEAN
+YLIVRAYQYTTLTSVQLLDCFGIPVLMALSWFVLYARYRVIHFIAVAVCLLGVGTMVGAD
+ILAGREDNTGNNVLIGDILVLLGASLYAVSNVCEEYIVKKLSRKEFLGMVGLFGTIISGI
+QL
+>tr|G3X8B1|G3X8B1_BOVIN Peptidyl-prolyl cis-trans isomerase OS=Bos taurus OX=9913 GN=LOC613401 PE=3 SV=1
+MVNPTVFFNIAVDGEPLGRVSFELFADKVPKTAENVHALRTGEKGFGYKGSCFHRIIPGF
+MCQGGDSTCHNGTGGKSIYGEKFDDENFILKHTGPGILSTANAGPNTNGSQFFTCTAKTE
+WLDGKHVVFGKVKEGMDVVEAMERFGSRNGKTSKKITIADCGQI
+>tr|F1N3G1|F1N3G1_BOVIN Protein tyrosine phosphatase domain-containing protein 1 OS=Bos taurus OX=9913 GN=PTPDC1 PE=4 SV=3
+MLTSQCNFIMEQAGADISPPALPSWKPSTMQDPPRRLSAVPFLSSFFEGRRHSASDPILR
+LQQGRRSSAAKVLSSSSLQVMVAMSSVSCAERNPTCPERKRNSGRPTPKYTKVGERLRHV
+IPGHVACSMACGGKACKYENAARWSEQEQAVKGIYSSWVTDNILAMARPSTEVLEKFCII
+EQFRSHGIKSIINLQRPGEHASCGNPLEQESGFTYLPEAFMEAGIYFYNFGWKDYGVASL
+TTILDMVKVMTFALQEGKVAIHCHAGLGRTGVLIACYLVFATRMTADQAIIFVRAKRPNS
+IQTRGQLLCVREFTQFLIPLRNIFSCCDPKAHAVTLAQYLIRQRHLLHGYEARLLKHIPK
+IIHLVCKLLLDLAENRPVVTEVADIPGLSAEIEKTVSEMITRQLDKELLRHDSDASDSFT
+PTAVVMDFENQDVVLSSEQELDPLWKRRNVECLQPLAHLKRQLSYSDSDLKRAESLLEQG
+RTPWTVPAQALLCHNPRQQKHISRCYSPQSPQLDLNKETLVRNTFSFWNHTKFGVPEGLK
+DDGSSIFHRTSIPKEVQRSRTFSSGISDSYNPGEPVTPNFANIPKGPNSSQQKVYHCECE
+SHGGCGPSSVAEDGETCRRPVDCGSSPKAQFLGNETQNSKYLSEVAAHMPLQSELSVEAR
+RILAAKALANLNEIAEKEEVKKKVEMWQKELNSRDGAWERICGEKDPFILCSLMWSWVEQ
+LKEPVITKEDMDMLVDRCADASEALFLLEKGQQQTILCVLHCIVSLQTIPADVEEAVLAR
+AIKAFTKVNFDSENGPIVYNTLKKIFKRTLEEKRKMTKDNPEPGI
+>tr|A0A3Q1MT09|A0A3Q1MT09_BOVIN Kelch like family member 2 OS=Bos taurus OX=9913 GN=KLHL2 PE=4 SV=1
+METPPRPPAQNLLCDVTIVAEDMEISAHRVVLAACSPYFHAMFTGEMSESRAKRVRIKEV
+DGWTLRMLIDYVYTAEIQVTEENVQVLLPAAGLLQLQDVKKTCCEFLESQLHPVNCLGIR
+AFADMHACTDLLNKANTYAEQHFADVVLSEEFLNLGIEQVCSLISSDKLTISSEEKVFEA
+VIAWVNHDKDVRQEFMARLMEHVRLPLLPREYLVQRVEEEALVKNSSACKDYLIEAMKYH
+LLPTEQRILMKSIRTRLRTPMNLPKLMVVVGGQAPKAIRSVECYDFKEERWHQVAELPSR
+RCRAGMVYMAGLVFAVGGFNGSLRVRTVDSYDPVKDQWTSVANMRDRRSTLGAAVLNGLL
+YAVGGFDGSTGLSSVEAYNIKSNEWFHVAPMNTRRSSVGVGVVGGLLYAVGGYDGASRQC
+LSTVECYSATANEWTYIAEMSTRRSGAGVGVLNNLLYAVGGHDGPLVRKSVEVYDPATNT
+WRQVADMNMCRRNAGVCAVNGLLYVVGGDDGSCNLASVEYYNPTTDKWTVVSSCMSTGRS
+YAGVTVIDKPL
+>tr|E1BJV3|E1BJV3_BOVIN KIAA0895 like OS=Bos taurus OX=9913 GN=KIAA0895L PE=4 SV=1
+MVLDSGAQVYEQAPPSPPASPSSLAHRPGPSDQDGTALFPWPQSLALPLALSVPSALRPR
+AERQPFSELHLGRRGHMRRSESTYTVNSTGRRGGSTQSWAPPGRGRDPGGGTLRPAASLP
+HIAKARKEAGRGASKSPCMLVALRPTNMDRERDKFFQSHYTYNPQFEYQEPMPTAVLEKY
+CEASGQFIHQAVGIIEAVLEKFGTYEHFEAATGGQLLTKCQIWSIVRRYMQKEGCVGEVV
+VQLSEDLLSQAVMMVENSRPTLAINLTGARQYWLEGMLRHEIGTHYLRGVNNARQPWHSA
+EGRQQYGLRPANPTEEGLASLHSVLFRKQPFLWRAALLYYTIHRAARMSFRQLFQDLARY
+VQDADVRWEYCVRAKRGQTDTSLPGCFSKDQVYLDGILRILRHRQTIDFPLLTSLGKVSY
+EDVDHLRPHGVLDNTRVPHFMQDLARYRQQLEHIMTTNRLDEAELGRLLPD
+>tr|A0A452DJ05|A0A452DJ05_BOVIN Meiosis regulator and mRNA stability factor 1 OS=Bos taurus OX=9913 GN=MARF1 PE=4 SV=1
+MMEGSGTENPCSRRVGWLQQDNDAKPWLWRFSNCFSRPQQTLPLSPQTKDYMENKKVAVE
+LKDVPSPLHAGSKLFPAVPLPDIHSLQQPKVQLSTVPKVSCCAHCTNDPSTSPVRFGGGS
+GGGAGSLVPPGALLDSQSTRTITCQVGSGLAFQSAPPLQNASARNTLAGVASDFPSMCLE
+SNLSSCKHLPCCGKLHFQSCRGNVHKLHQFPALQGCPSSAGYFPCSDFTSGAPGHVEEHV
+SQSELTPHLCTNSLHLNVVPPVCLKGSLYCEDCLSKPARNSIIDAAKVWPNIPPPNTQTA
+PVTVPLCNGCGTKGMGKETTLLLATSLGKAASKFGSPEVALAGQMLENLPPIGVFWDIEN
+CSVPSGRSATAVVQRIREKFFKGHREAEFICVCDISKENKEVIQELNNCQVTVAHINATA
+KNAADDKLRQSLRRFANTHTAPATVVLVSTDVNFALELSDLRHRHGFHIILVHKNQASEA
+LLHHANELIRFEEFISDLPPRLPLKMPCHTLLYVYNLPANKDGKSISNRLRRLSDNCGGK
+VLNITGCSAILRFINRDSAERALKRMENEDVFGNRIVVSFTPKSSELCETKSSNATADKV
+KSPKKLKNPKLCLIKDISESPSSAKAAPGKGSQANSGSATRNANVKSLQELCRLESKTGT
+RSSEPQQGHLRLGAPPHRSSSAAAPAPKAPGLAESVYKTNPKKESLGARSVTSSPVEKKE
+KEETLFQVSYPSAFSKLIASRQVSPLLTAQPWSSRNLSPNLLNRASPLAFNAAHSSVGAD
+GPDPFAHGVDVQISNLDYRLSRKELQQLMQEAFSRHGKVKSVELSPHTDYQLKAVVQMEN
+LQEAIGAVNSLHRYKIGSKKILVSLATGAANKSLSLLSAETMSILQDAPACCLPLFKFTD
+IYEKKFGHRLNVSDLYKLTDTVAIREQGNGRLVCLLPSSQARQSPLGSSQSHDGSSTNCS
+PIIFEELEYHEPVCRQHCPNKDFSEHEFDPDSYKIPFVILSLKTFAPQVHSLLQTHEGTV
+PLLSFPDCYAAEFGELEIVQENRGGGVPLEHLITCVPGVNIATAQNGVKVVKWIHNKPPP
+PNTDPWLLRSKSPVGNPQLIQFSREVIDLLKNQPSCVIPISNFIPSYHHHFAKQCRVSDY
+GYSKLIELLEAVPHVLQILGMGSKRLLTLTHRAQVKRFTQDLLKLLKSQASKQVIVKEFA
+QAYHWCFSKDWDVTEYGVCELIDIISEIPDTTICLSQQDSEAMICIPRRERTQDEIERTK
+QFSKDVVDLLRHQPHFRMPFNKFIPSYHHHFGRQCKLAYYGFTKLLELFEAIPDILQVLE
+CGEEKILTLTEVERFKALAAQFVKLLRSQKDNCLMMTDLLKEYAKTFGYTFRLQDYDVSS
+VSALTQKLCHVVKVADMESGKQIQLINRKSLRALTAQLLVLLMSWEGTTHLSVDELKRHY
+ESTHSTPLNPCEYGFMTLTELLKSLPYLVEVFTNDKTEECVKLTSLYLFAKNVRSLLHTY
+HYQQLFLHEFSMAYSKYVGETLQPKTYGFSSVEELLGAIPQVVWIKGHGHKRIVVLKNDM
+KSRVNSLGPSPASHETQPSAPERILEVPESPPASELRLGVGGDGPHPAEQELLRLTDDSP
+VDLLCAPVPSCLPSPQLRPDPVVLQAADLIWFEEHPQEPSEIMILNQEEKIEIPVPIRNE
+NLPPDPSSPGVSAAVPAPPSPSSETPESLLSKDPTESPAKKQPKNRVKLAANFSFAPITK
+L
+>tr|A0A3Q1NJ76|A0A3Q1NJ76_BOVIN Apolipoprotein C-II OS=Bos taurus OX=9913 GN=APOC2 PE=4 SV=1
+THTASLWGAEDFRLLGLGWRVKAGEQLASPPPLPAAPPSEFCLHLPCDGDPRPGAWWAPR
+TPDPSAAPHSTCLLLCPHATSPPTLPLPPLQPCWPGLWPRCRGGGGGVDLKSYKAFLCPF
+GAAEDSRQSLPPQCSRSPDAMGTRYFLVGFLILLVLGFEVQGAHVPQQDEASSPALLTQV
+QESLLGYWDTAKAAAQKLYKKTYLPAVDEKIRYHLPPTHTPGARSPRPQPLLPHTQESRF
+KPVIFQGPGILAPNT
+>tr|A0A3Q1LMI6|A0A3Q1LMI6_BOVIN Beta-defensin OS=Bos taurus OX=9913 PE=3 SV=1
+MLLDHSSSLSGHIKILFLAVAVLVVLDQASPDGWTRKCGYGTGNCRKHCKKSEKKKEKCG
+LRKLCCIPIKHKSSKLVRKEETAYRVMASTTKYEFMTCDKRILAAF
+>tr|A0A3Q1MQY5|A0A3Q1MQY5_BOVIN Ras association domain family member 4 OS=Bos taurus OX=9913 GN=RASSF4 PE=1 SV=1
+MKEDCPPSSHVPISDSKSILKSELLSLLKTYNCYHEGKSSQLRRREEEGALIIEGLLNIS
+WGLKRPIRLQIQDDRERVHLSWTPGQSSCHSKEPPVQDGRVTAQEPSSQAGPQEESSRDR
+SEPVEEDEETPQLLRTKSDAACVIQRRPRSRAPGEAQRTRRHRFSINGHFYNHKTSVFTP
+AYGSMTNVRVNSTMTTLQVLTLLLNKFRVILPPHKFCLPHSFSERTKLKDCEYPLIARIL
+HGPCEKIARIFLMEADLGEEVPHDGSQLPLGSEWAPSSLVHTKLCRGVGGRRAASSLETK
+PC
+>tr|A0A3Q1NGK9|A0A3Q1NGK9_BOVIN Paired box 8 OS=Bos taurus OX=9913 GN=PAX8 PE=4 SV=1
+MPHNSIRSGHGGLNQLGGAFVNGRPLPEVVRQRIVDLAHQGVRPCDISRQLRVSHGCVSK
+ILGRYYETGSIRPGVIGGSKPKVATPKVVEKIGDYKRQNPTMFAWEIRDRLLAEGVCDND
+TVPSVSSINRIIRTKVQQPFNLPMDSCVATKSLSPGHTLIPSSAVTPPESPQSDSLGSTY
+SINGLLGIAQPGSDSKRKMDDSDQDSCRLSIDSQSSSSGPRKHLRTDTFSQHHLESLECP
+FDRQHYPEAYASPSHTKGEQGLYPLPLLNSALDDGKATLTPSNTPLGRNLSTHQTYPVVA
+GSEYSGNAYGHTPYSSYSEAWRFPNSSLLSSPYYYSSTSRPSAPPTTATAFDHL
+>tr|F1MEN8|F1MEN8_BOVIN Protein disulfide-isomerase A4 OS=Bos taurus OX=9913 GN=PDIA4 PE=3 SV=1
+MRPRKAWMLVLLLALVQLLAVASAGAPDEDSSDKEDAIEEDEEEDEDDDDDDDDLEVKEE
+NGVLILNDANFDNFVADKDTVLLEFYAPWCGHCKQFAPEYEKIAATLKENDPPIPVAKID
+ATSESALASRFDVSGYPTIKILKKGQEVDYEGSRTQEEIVAKVKEVSQPNWTPPPEVTLV
+LTKDNFDEVVNDADIILVEFYAPWCGHCKKLAPEYEKAAKELSKSSPPIPLAKVDAIAET
+DLAKRFDVSSYPTLKIFRKGKAFSYNGPREKYGIVDYMMEQSGPPSKQILALKQVQEFLK
+DGDDVIIIGVFKSESDPAYQLYQDAANSLREDYKFHHTFSTEIAKFLKVSLGKLVVMQPE
+KFQSKYEPKSYVMDIKDSTEAAAITEHVVKHTLPLVGHRKAADAKRYTRRPLVVVYYSVD
+FSFDYRAATQFWRNKVLEVAKDFPEYTFAVADEEDFATELKDLGLSESGEEVNAAILDEG
+GRRFAMEPDDFDADALRDFVTAFKKGKLKPVIKSQPVPKNNKGPVKVVVGKTFDSIVMDP
+KKDVLIEFYAPWCGHCKQLEPVYTSLGKKYKGHKNLVIAKMDATANDVTSDRYKVEGFPT
+IYFAPSGDKKNPIKFEDGNRDLEHLSKFIEEHATKLSRTKEEL
+>tr|A0A3Q1LY72|A0A3Q1LY72_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=KRTAP9-2 PE=4 SV=1
+MTHSCCSPCCQPTCCRNTCCESSCCKPCCPPTCCQTTCCRTTCCKPTCVTTCCQPSCCSK
+PCCQPTCCESICCQPSCPPTCYQASETTCCRTTCRKPTCVTTCCQPTCCVSSSCGQTFSG
+SSCSQSCCQPACCAPVYCHRICYHPTCCCLPGCQDQSCGSSCCQPCSRPVCCQTTCCRTT
+CCRPSCVSSCCQPSCC
+>tr|A0A3Q1LMF1|A0A3Q1LMF1_BOVIN Fibronectin type 3 and ankyrin repeat domains protein 1 OS=Bos taurus OX=9913 GN=FANK1 PE=4 SV=1
+METLKRHSLLSEIASSSKPHPPVVGKVTHHSIELYWDLEKKAKRQGPQEQWFRFSIEEED
+PKLHTYGIIYTGYATKHVVEGLEPRTLYRFRLKVTSPSGEYAYSPVVSVSTTREPISSEH
+LHRAVNVNDEDLLVRILQGGNVKVDVPNKFGFTALMVAAQRGYTRLVKILISHGTDVNLQ
+NGSGKDSLMLACYAGHLDVVKYLRRHGASWDTRDLGGCTALHWAADGGHCNVIEWMIGDG
+CEVDAVDAGSRWTPLMRVSAVSGNQEVASLLIDAGADVNVKDKDGKTPLMVAVLNNHEEL
+VQLLLDRGADASVKNEVCDSISISRFPWRAGGNRGTKEFFFSCFVFQFGKGVLEMARVFD
+RQVGMLLLPVEANFVAFKKKLKNPNSWMTCTCFCVSRMSSPY
+>tr|G3X8G3|G3X8G3_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=OR2V2 PE=3 SV=2
+MEIWLNQSSTDDFVLLGIFSHSSTDLVLFSAVMMVFIVALCGNILLIFLIFMDPQLHTPM
+YFFLSQLSFMDLMLVCTNVPKMAANFLSGRKSISFVGCGMQIGLFVCLVGSEGLLLGLMA
+YDRYVAISHPLHYPILMSQRVCLQIVGSSWVFGITDGLIQMVVVMTFPYCGLREVDHFFC
+EMLSLLKLACIDTSIFENVIFACCVFMLFLPFSIIVASYARILRTVLHMHSAQAVRKALA
+TCSSHLTAVFLFYGAAMFIYLRPKRYRAPSHDKVVSVFYTVLTPMLNPLIYSLRNREVMG
+ALRKGLDHCRIVNQH
+>tr|F1MUI7|F1MUI7_BOVIN Non-SMC condensin I complex subunit G OS=Bos taurus OX=9913 GN=NCAPG PE=4 SV=1
+MGKEKRLLLIKEAFQLAQQPHQNQAKLVVALNRTYGSVDDKTDFHEEFVHYLKYAMVVYK
+REPAVERVIEFAAKFVTSFHQSDMENDEEEEEDGGILNYLLTFLLKSHEANSNAVRFRAC
+QLINKLLGNMPENAQIDDDLFDKINEAMLIRLKDKVPNVRIQAVLALSRLQDPKDDECPV
+VNAYATLIENDSNPEVRRAVLSCIAPSAKTLPKIVGRTKDVKETVRKLAYQVLAEKVHMR
+ALSIAQRVMLLQQGLNDRSDAVKQAMQKHLLQGWLRFTEGNILELLHRLDVENSSEVAVS
+VLNALFSMTPLNELAEICKNNDGRKLIPADTLTPEFALYWRVLCEHLKSKGEEGEEFLEQ
+ILPEPVVYAEYLLSYIQSIPVVTEEQRGDFSYIGNLMTKEFIGQQLILIIKSLDTNEEGG
+RKRLLGILQEILTLPTTPISLISFLVERLLHIIIDDNKRIQIVTEIISEIRAPIVTVAVN
+NDPADARKKELKMAEIKVKLIEAKDSLENCITLQDFHRASELKEEIKALEDAKINLLKET
+EQHEMKEVHIEKNDAETLQKCLILCYELLKQMSTSTGIGATMDGIIESLILPGIINVHPV
+VRNLAVLCLGCCGLQNQDFASKHFVLLLQVLQIDDVTIKISALKAIFDQLMTFGFEPFKT
+KKIKATQKEGAEINSSEEQESKESEEETAIAKNVLKLLSDFLDSEVSELRTGAAEGLAKL
+MFSGLLVSSRILSHLVLLWYNPVTEEDIRLRHCLGVFFPMFAYASRTNQECFEEAFLPTL
+QTLANAPASSPLAEIDITNVAELLVDLTRPSGLNPQAKNPPDYQALTVHDNLAMKICNEI
+LTCPHSPEVRVYTKALSSLELSSDLAKDLLVVLNEILEQVKDRTCLRALEKIKIQIEKGI
+KEHSDQAVAAQDDITTMTVLQSEDEKNKDVYITPVKEVKATRMKSTQQKTNRGRRKVVAS
+ARTNRRRQTIEAEANSESDHEVPEPESEMKMRLPRRAKTAALEKSKLNLAQFLNEDTS
+>tr|G3MX31|G3MX31_BOVIN Mitotic arrest deficient 2 like 1 OS=Bos taurus OX=9913 GN=MAD2L1 PE=4 SV=2
+LIFMFSAFGINSILYQRGLYPSETFTRVQKYGLTLLVTTDPELIKYLNNVDQLKVHPEKS
+FRKLSKMKSVQWSDRSATVTFLPLLEVSCSFDLLICTDKDLVVPEKWEESGPQFITNSEE
+VRLRSFTTTIHKVNSVVAYTIPVND
+>tr|E1BKQ1|E1BKQ1_BOVIN Sulfotransferase OS=Bos taurus OX=9913 GN=HS3ST2 PE=3 SV=1
+MAYRVLGRAGPPQPRRARRLLFAFTLSLSCTYLCYSLLCCCDDLGQSRLLGAPRCLRGPG
+AGGQKLLQESRPCDPSGPTPGEPGAPSAPAAGAPSPRLSGSNHSGSPKLGTKRLPQALIV
+GVKKGGTRAVLEFIRVHPDVRALGTEPHFFDRNYGRGLDWYRSLMPRTLESQITLEKTPS
+YFVTQEAPRRIFNMSRDTKLIVVVRNPVTRAISDYTQTLSKKPDIPTFEGLSFRNRTLGL
+VDVSWNAIRIGMYALHLESWLRYFPLAQIHFVSGERLITDPAGEMGRVQDFLGIKRLITD
+KHFYFNKTKGFPCLKKTESSLLPRCLGKSKGRTHVQIDPEVIDQLREFYRPYNIKFYETV
+GQDFRWE
+>tr|A0A3Q1N9M7|A0A3Q1N9M7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=C18H19orf12 PE=4 SV=1
+MAHQCQGTLTPRSAAMPVAVEDIMRLLCSISEERKMKAAVKHSGRGALVTGAVAFVGGLV
+GGPPGLAVGGAVGGLLGAWMTSGQFKPVPQIIMELPPAEQQKLFNEATAIIRHLEWTDAV
+QLTMLVMGSEALQKQLLAMLANYVTRELRAEVQYDD
+>tr|F1MQ43|F1MQ43_BOVIN Dedicator of cytokinesis 9 OS=Bos taurus OX=9913 GN=DOCK9 PE=3 SV=2
+MQADKCRTSSRSVKKELVIESPLRCRDAAQGEVEAESPGPVLAKPKLIEPLDYENVIVQK
+KTQILNDCLREMLLFPYDDFQTALLRRQDRYQRSTVPHNALQDAQSLFVTECIKTYNSDW
+HLVNYKYEDYSGEFRQLPNKMAKLDKLPVHVYEVDEEVDKDEDAASLGSQKGGITKHGWL
+YKGNMNSAISVTMRSFKRRFFHLIQLGDGSYNLNFYKDEKISKEPKGSIFLDSCMGVVQN
+NKVRRFAFELKMQDKSSYLLAADSEVEMEEWITILNKILQLNFEAVMQEKRNGDSHEDDE
+QSKLEGSGSGIDSYLPELAKSAREAEIKLKSESRVKLFYLDPDAQKLDFSTAEPEVKPFE
+EKFGKRILVKCNDLSFNLQCCVAENEEGPTTNVEPFFVTLSLFDVKCNRKISADFHVDLN
+HFSVRQMLATTSPSLRNGDRQSLPAPLGILHEASMQYPKQGIFSVTCPHPDIFLVARIEK
+VLQGSITHGAEPYMKSSDSSKVAQKVLKNAKQACQRLGQYRMPFAWAARTLFKDASGNLD
+KSARFSALYKQDSNKLSNEDMLKLLADFRKPEKMAKLPVILGNLDITIDNVSSDFPNYVN
+SSYIPTKQFETCTKMPITFEVEEFVPCIPKHTQPYTIYNNHLYVYPKSLKYDSQKSFAKA
+RNIAICIEFKDSDEEDSKPLKCIYGRPGGPLFTESAFAAVLHHHQNPEFYDEIKIELPTQ
+LHEKHHLLFTFFHVSCDNSNKGSTKKKDVVETQVGYSWLPLLKDGRVVTSEQHIPVSANL
+PSGYLGFQEHGMGRHYGPEIKWVDGSKPLLKVSTHLVSTVYTQDQHLHNFFQYCQKTESG
+AQALGSELVKYLKSLHAMEGHVMIAFLPTILNQLFRVLTRATQEEVAVNVTRVIIHVVAQ
+CHEEGLEGHLRSYVKYAYKAEPYIASEYKTVHEELTKSMTTILKPSADFLTSNKLLKYSW
+FFFEVLIKSMAQHLIENSKVKLLRNQRFPASYHHAVETVVTMLMPHITQKYRDNPDASKN
+ANHSLAVFIKRCFTFMDRGFVFKQINNYISCFAPGDPKTLFEYKFEFLRVVCNHEHYIPL
+NLPMPFGKGRIQRYQDLQLDYALTDEFCRNHFLVGLLLREVGAALQEFREVRVIAISVLK
+NLLIKHSFDDRYASRSHQARIATLYLPLFGLLIENVQRINVRDVSPFPVNPGSTVKEESL
+TLPAVNPLVTPQKSGGTLDTSLHKDLFGAISGIASPYTNSTPNINSVRNADSRGSLISTD
+SGNSLPERNSEKSNSLEKHQQSGTLGNSVVRCDKLDQSEIKSLLMCFLYILKSMSDDALF
+TYWNKASTAELMDFFTISEVCLHQFQYMGKRYIARNQEGLGPIVHDRKSQTLPVSRNRTG
+MMHARLQPLGSLDNSLTFNHSYGHSDADVLHQSLLEANIATEVCLTALDTLSLFTLAFKN
+QLLADHGHNPLMKKVFDVYLCFLQKHQSETALKHVFTALRSLIYKFPSTFYEGRADMCAA
+LCYETLKCCNSKLSSIRTEASQLLYFLMRNNFDYSGKKSFVRTHLQIVISVSQLIADVVG
+IGGTRFQQSLSIINNCANSDRLIKHTTFSSDVKDLTKRIRTVLMATAQMKEHEADPEMLV
+DLQYSLAKSYASTPELRKTWLDSMARIHVKNGDLSEAAMCYVHVTALVAEYLTRKGMFRQ
+GCTAFRVITPNIDEEASMMEDVGMQDVHFNEDVLTELLEQCADGLWKAERYELIADIYKL
+IIPIYEKRRDFERLAHLYDTLHRAYSKVTEVMHSGRRLLGTYFRVAFFGQGFFEDEDGKE
+YIYKEPKLTPLSEISQRLLKLYSDKFGAENVKMIQDSGKVNPKDLDSKYAYIQVTHVIPF
+FDEKELQERKTEFERSHNIRRFMFEMPFTQSGKRQGGVEEQCKRRTILTAIHCFPYVKKR
+IPVMYQHHTDLNPIEVAIDEMSKKVAELRQLCSSAEVDMIKLQLKLQGSVSVQVNAGPLA
+YARAFLDDTNTKRYPDNKVKLLKEVFRQFVEACGQALVVNERLIKEDQIEYQEEMKANYR
+EMTKELSEIMHEQICPLEEKTSVLPNSLHIFNAISGTPTSTLVHGMTSSSSVV
+>tr|G3MYH2|G3MYH2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC540707 PE=1 SV=2
+MLASGLLLVALLACLTIMVLMSVWRQRNLKGKLPPGPTPLPFIGNYLQLNTEQMCNSLMK
+ISEHYGPVFTVHLGTRQIVVLCGYDAVKEALVDQAEEFSGRGKQATFDWLFKGYGVAFSN
+GERAKQLRRFSITTLRDFGVGKRGIEERIQEEAGFLIEAFRGTRGAFIDPTFFLSRTVSN
+VISSIVFGDRFDYEDKEFLSLLRMMLGSFQFTATSTGQLYEMFYSVMKYLPGPQQQAFKE
+LQGLEDFIAKKVEQNQRTLDPNSPRDFIDSFLIRMQEEKENPNTEFYRKNLVMTTLNLFF
+AGTETVSTTMRYGFLLLMKHPDVEAKIHEEIDRVIGKNRQPKFEDRAKMPYTEAVIHEIQ
+RFGDMIPMGLARRVTKDTKFRDFLLPKGTEVFPMLGSVLRDPKFFSNPRDFNPQHFLDEK
+GQFKKSDAFVPFSIGKRHCQLNTHRGLLYL
+>tr|A0A452DIS3|A0A452DIS3_BOVIN Protein lifeguard 2 OS=Bos taurus OX=9913 GN=FAIM2 PE=3 SV=1
+MTQGKLSVANKAPGTEGQQQANGEKKETPAVPSAPPSYEEATSGEGLKAGAFPPAPSAVP
+LHPSWAYVDPNSSSSYESGFPTGDHEFFTTFSWDDQKVRRVFIRKVYTILLIQLLVTLGV
+VALFTFCDPVKDYVQANPGWYWHPWRPRTLSMAYLTGMLSSYYNTTSVLLCLSITALVCL
+SVTVFSFQTKFDFTSCQGVLFVLLMTLFFSGLILAILLPFQYVPWLHAVYAVLGAGVFTL
+FLAFDTQLLMGSRRHSLSPEEYIFGALNIYLDIIYIFTFFLQLFGTNRE
+>tr|G3MYH3|G3MYH3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=2
+VPPDQLEDMAERFHFVSSTSELHLMKMEFLELKYRLLSLLVLAESKLKSWIIKYGGRDSV
+ELLLQNYISFIENSKFFEQYEVTYQILKQTAEMYVKADGSVEEAENVMKFMNETTAQWRN
+LSVEVRSVRSMLEEVIANWDRYGNTVASLQAWLEDAEKMLNQSEHAKKDFFRNLPHWIQQ
+HTAMNDAGNFLIETCDEMVSRDLKQQLLLLNGRWRELFMEVKQYARADELDRMKKEYNDC
+VTILSDFATEAHRKLSEPLEVSFMNVKLLIQDLEDIEQRVPVMDAQYKMITKTAHLITKE
+ISQEEANEMFATMSGLKEQLTKVKDCYSPLLSESQQLLVPLEELEKQMTAFYDSLGKIDE
+IMTVLEHEAQSSPLFKQKHQELLACQESCKKAMTQIEKGSQSVQKFVTLSNVLKHFDQTK
+LQRKIADTHVAFQNMVKKTGDWKKHVETNSRLMKKFEESRAELEKVLRVAQEGLEEKGDP
+EDLLKRHTEFFSQLDQRVLNAFLKACDELTDILPEQEQRGLQEAVRKLHKQWKDLQAEAP
+YHLLHLKIEVEKNRFLASVEECRAELDRETKVCPRESRCPFKYITDIFFSDKGPHHLCEK
+RLQLIEELCLKLPVRDAVRNTPETCHVTLKELRAAIDRTYVKLVGDPDKWKDYTSRISEF
+SSWISAKETQLKGIKNETIDTANQGEVKCALEEIRSGITKKGETLSWLKSRLKILIEVSS
+EDEAQKQGDELAKLSSSFKALGTLLSEVEKMLSNFGDCVQYKEIVKSSLEELITGSKEVQ
+EEAEKILDTENLFEAQQLLLHHQQKTKRINAKKKDVQQQITQAQKGEGGLPGRVQEELQK
+LESTLDSVEHSREKQERRIQVTLRKWERFETNKETVVRYLFQTGSSHERFLSFSSLESLS
+SELEQTKEFSKRTEGIAVQAENLVKEASEIPLGPKNKQLLQQQAKSIKEQVKKLEDTLEE
+EYVLDKS
+>tr|G3X8D6|G3X8D6_BOVIN Iodothyronine deiodinase OS=Bos taurus OX=9913 GN=DIO3 PE=3 SV=1
+MSRQAAPRWVVGEGRGTLGGAATMLRSLLLHSLRLCSQTASCLVLFPRFLGTAFMLWLLD
+FLCIRKHLLGRRRRGQPEIEVELNSDGEEVPPDDPPVCVSDDNRLCTLASLRAVWHGQKL
+DFFKQAHEGGPAPNSEVVLPDGFQNQHILDYARGNRPLVLNFGSCT
+>tr|A0A3Q1LZ19|A0A3Q1LZ19_BOVIN Dynein heavy chain 12, axonemal OS=Bos taurus OX=9913 GN=DNAH12 PE=4 SV=1
+MFFLLYSQFNYIYLKQCVESSPIVPIQQEWLDHMLMLVPESLKEGKEQEELVQSLINELI
+LLASLILYHDQFVCFKSFFFLINRGKGPIDCESLRNDLSIQARKAEEKIMNTWYPKVINL
+FTKKEALEGIKPEKLDAFYNCVSTLMSNQVNRLSRYYVSICSEFEAVKEHALKVPETTEE
+MMELISYVEKARTVGIQELVSRIKDYIPTVSILCNPGMRARHWKQLSEIVGYDLTPDSGT
+TLRKILKLNLAPYLDKFEVISAGASKEFSLEKAMHTMMGTWDDIAFHISLYRDTGVCILS
+SVDEIQALLDDQIIKTQTMRGSPFIKPFEKEIKAWEDRLIRIQETIDEWLKVQAHWLYLE
+PIFCSEDIMQQMPEEGRQFQTVDRHWRDIMKFCAKDPKVLAATSLTGLLEKLQNCNELLE
+KIMKGLNAYLEKKRLFFPRFFFLSNDEMLEILSETKDPLRVQPHLKKCFEGIAKLEFLPN
+LDIKAMYSSEGERVELIALISTSAARGAVEKWLIQVEDLMLRSIHDVIAAARLAYPESAR
+RDWVREWPGQVVLCVSQMFWTSETQEVISGGTEELQNQLNDIVELVRGKLSKQTRITLGA
+LVTIDVHARDVVMDMIDMGVSHDTDFQWLAQLRYYWEYDNARVRIINCNVKYAYEYLGNS
+PRLVITPLTDRCYRTLIGAFYLNLGGAPEGPAGTGKTETTKDLAKALAVQCVVFNCSDGL
+DYLAMGKFFKGLASSGAWACFDEFNRIELEVLSVVAQQILCIQRAIQQKLEVFTFEGTEL
+KLNPNCFVAITMNPGYAGRSELPDNLKVLFRTVAMMVPNYALIAEISLYSYGFLNAKPLS
+VKIVMTYRLCSEQLSSQFHYDYGMRAVKAVLIAAGNLKLKFPNENEDILLLRSIKDVNEP
+KFLSHDIPLFNGITSDLFPGVKLPEADYHEFLECAHEACKVHNLQPVKFFLEKMIQTYEM
+MIVRHGFMLVGEPFAGKTKVLHMLADTLTLMNERGCGEEEKVIYRTVNPKSITMGQLFGQ
+FDPVSHEWTDGIVANTFREFALSETPDRKWVVFDGPIDTLWIESMNTVLDDNKKLCLMSG
+EIIQMSPQMSLIFETMDLSQASPATVSRCGMIYLEPSQLGWKPLVSSWLNSLKGPLQEPD
+HQMKVKYLILFTLKELIPTSDSNVVVSLTRLFEVLLCNAVENDPTSKHIRVWIMACFIFS
+LIWSIGGSCDTDGRIVFDVYIRIVLMGKDENNPIPDSVGKWECNFDEKGLVYDYMYELKN
+RGRWIHWNELIKSTSLGNRRVKIQDIIVPTMDTIRYTFLMDLSITYAKPLLFVGPTGTGK
+SVYVKDKLMNHLEKDLYFPFYVNFSARTSANQVQNIIMARLDKRRKGVFGPPMGKKCIIF
+IDDMNMPALEKYGAQPPIELLRQFFDCGNWYDLKDTSKITLVDIQLIAAMGPPGGGRNPV
+TPRFIRHFNICTINTFSDETMVRIFSSIVAFYLRTHDFPPEYFLVGNQIVSGTMEIYKQS
+MENLLPTPTKSHYTFNLRDFSRVIQGCLLIERDAVEGKHTMIRLFVHEVLRVFYDRLIND
+SDRFWLIVYFRKNFNFYDRVYIEIPNIHHFSDVVDQCLDEYNQTHKTRMNLVIFRYVLEH
+LSRICRILKQSGGNALLVGLGGSGRQSLTRLATSMAKMQIFQPEISKSYGMNEWREDLKA
+LLRNVGMKGQKTVFLITDTQIKEEAFLEDIDSVLNTGEVPNIFAADEKQEVMEGVRPVAQ
+AGNKHGELSPLALFAFFVNRCKENLHVVVAFSPIGDAFRNRLRQFPSLINCCTIDWFQPW
+PEDALERVAVKFLETLELTDVERREIVPICKHFHTSIMELSERFLQELGRHNYVTATSYL
+ELIASFRQLLTKRRQAIMEAKQRYVNGLDKLAFAGSQVGEMKTELVQLQPKLEEAKVENA
+NMMQLIEIESAQVEAKRKFVKFDEEIASGKAEEAQTLKNECESDLAEAIPALEAALSALD
+TLKATDITIVKSMKNPPSGVKLVMAAVCVMKDIKPEKISDPSGTGGKILDYWGPSKKLLG
+DMNFLRDLREYDKDNIPVTVMQKIRGEYLTNPEFDPPKVAKASSAAEGLCKWIMAMEVYD
+RVAKVVAPKKARLTEAQKSLAETMELLNQKREELAEVEHHLENLERIFLEKTEEKARLED
+QVELCAKKLERASKLIGGLGGEKSRWSQAADDLQIVYENLTGDVLISAGVIAYLGAFTSG
+FRQTCTEDWSMLCKEKKIPCSEEFSLSKTLGDPVTIRAWNIAGLPTDTFSVDNGVIVNNS
+RRWPLMIDPQGQANKWIKNSEKENQLSVIKLSDADYMRTLENCIQFGTPLLLENVGEELD
+PSLEPLLLRQTFKQGGIDCIRLGEVIIEYSFDFKFYITTKLRNPHYMPELATKVSLLNFM
+ITPEGLEDQLLGIVVAKERPELEEERNALILQSAANKKQLKDIEKKILETLSSSEGNILE
+DESAIKILDSAKLMSNEITKKQQIAEKTELKIAESREGYRPIAKHSSVLFFSIADLANID
+PMYQYSLIWFVNLYINSIHDSNKSKILEKRLRYLNDHFTYNLYCNICRSLFEKDKLLFSF
+LLCANLLLAKKEIEYQELMFLLTGGVSLKSAEKNPDPTWLQDKSWEEICRASEFPAFRGL
+RYLFKHDDLIYDSKEPHNAKFPAPMDTRLNELQKIIILRCLRPDKITPAITNYVTDKLGK
+KFVEPPPFDLTKSYLDSNCTIPLIFVLSPGADPMASLLKFANDKAMSGNKFQAISLGQGQ
+GPIATKMVKAAIEEGTWVCLQNCHLAVSWMPMLEKICEDFSPEACNSSFRLWLTSYPSPK
+FPVTILQNGVKMTNEPPTGLRLNLLQSYLTDPVSDPEFFSGCEGKELKLLFGVCFFHALV
+QERKKFGPLGWNIPYGFNESDLRISIRQLQLFINEYDTIPFEAISYLTGECNYGGRVTDD
+WDRRLLLTMLADFYNPHIIENSHYKFSPSGNYFAPPKGTYNEYIEFIKKLPFTQHPEIFG
+LHENVDISKDLQQTKVLFESLLLTQGGSKQTGSSGSTDQILLEITKDILNKLPSDFDIES
+ALLKYPVRYEESMNTVLVQEMERFNNLIKTIRNTLRDLEKAIRGVVVMDSALEALSGSLL
+VGKVPEIWAQRSYPSLKPLGSYITDFLARLNFLQDWYNSGKPCVFWLSGFFFTQAFLTGA
+MQNYARKYTIPIDLLGYEFEVIPSDTSKEAPEDGVYIHGLYLDGARWDRTSGLLAEQYPK
+LLFDLMPIIWIKPTIKSKIVKSNAYVCPLYKTSERKGTLSTTGHSTNFVIAMLLKTDQPT
+QHWIKRGVALLCQLDD
+>tr|A0A3Q1N1E9|A0A3Q1N1E9_BOVIN Glutamine and serine rich 1 OS=Bos taurus OX=9913 GN=QSER1 PE=4 SV=1
+MNFLSAVESRTAQAASSGTTLLPQFRAPSWQTGMHSSAPTELFVTGPLPTTGTLPSPALP
+AYQHPTTFSNRNFATTSPLVLQDSTFNTTSNGILSSHDPLLQIKTSQGTVPTALTFERLG
+SSAISNSIPPQSSTYRSAQESAPHLLQPQFSLLPSALGGAQQTPQAYGSTLFTSSTASIE
+RALLRECSVIKHHQRPSGTQSIQAQLTGSQHSLHSYLSNASGVNFQETSRQSSLSCSPIG
+ESTQVSNGGLQQKPSQVSVELAQSYSSAIPSSGYPTAKVKSCSTKQPLASTKSPKPPSII
+PPVQTLSYSKPLHNQSSVISGQAQIYSTAQLPSLLSVSQSQNYGLVQPHNVPSIVHSQVY
+RSSKVEKLPSLYKTLTFSGSSQTITSENSTLNYSSNQQEVLSSVTNENYPAPTRDPSSDS
+QSQSYASGHSQGLSPVSQTQVSFSSQSQVLSVVSPSESYASGQSLTLTAPSLSYSSASRA
+QNVPDASPTQNFISMHSSQNAQTQGSSSPQSQKFLPAVQSSSFATSTHCQTLQNNLPSPD
+PKSYAERKLDSSVYTSSKQEEDFPMQELQVLQPQVSLESSTSRLSDGEMNVPESAYKVSK
+ADDRYSQSITRSNSCLEDQVVGIALQGSKKEENIVGSMTQLNQQIGQVNSSATLDIKKTT
+NLMQTPQIRLNTKDLNQQHSLIQKVHEAKVQEQHDQIINASSQIQIPNNALGHGHQASLP
+NTQVLLDSACDLQILQQSILQASLGQIKTSLQVQRVQSPQQIVHPFLQMDGHIIRSNGEH
+SQQQLHPQNSEIMKMDLSDSSKPLQQHLTTKGHFSETTQHDSKNHFVSLGSICFPEAMLL
+SDERNILSNVDDILAATAAACGVTPSDFSKSTSNETIPAVEDGDSKSHFQQSLDVGHVTS
+DFNSIAVTVGTPPNINDISLNGNQVTVNLSPVPTLQSKMTLDQQHVEVPGQNKASKVTSP
+VVGPGHEVQEQSSGPFKKQSATSHEPEEDSEVAVDSTLNNNRNQEFVSSSRSISGESATS
+ESEFALGGDDSGVSVNSSRNTLAVLAMAQPGETVSVKIEEENQDLMHFNLQKKKTKGKGH
+TKEEDNSHQKQLKRPAQGKRQNPRGTDIYLPYTPPSSESCHDGYQHQEKMRQKIKEVEEK
+QPEVKTGFIASFLDFLKSGPKQQFSTLAVRMPNRTRRPGTQTVRTFCPPPLPKTAAATPT
+PLVSEAGANSPSEKLDNELKNLEHLSSLSSDEDDPGVCSHDIYKSSSTTLNTSDATSDKK
+KKTEATQVATASTTAIAPGTAAPSSTAVGAVKQETLYSTPSAVKTPENINSSEPPKSIEL
+DSLPSDQFAKGQDTVAIEGFTDEEDPESGGEGQYRERDEFVVKIEDIETFKEALKTGKEP
+PAIWKVQKALLQKFVPEIRDGQREFAATNSYLGYFGDAKSKYKRIYVKFIENTNKKEYVR
+VCSKKPRNKPSQTIRTVQAKPSNSSKTSDPPTPKTATTKAPSMKPKVKQLKVKAEPPPKK
+RKKWKEEFSSSQSDSSPEIHSSSSDDEEFNPPAPFVTRFLNTRAMKETFKSYMELLVSIA
+LDPDTMQALEKSNDELLLPHMKKIDSMLNDNRKRLLLNLHLDQSFKNALESFPELTIITR
+DSKAKSGGSAMSKIKMNGKAYNKKTLRTSKTTTKSAQEFAVDPEKIQLYSLYHSLHHYKY
+HVYLICKDEISSVQKKNEDLGQEEIVQLCMKNVEWVEDLFEKFGELLNHVQQKCS
+>tr|F1MK88|F1MK88_BOVIN Ectodermal-neural cortex 1 OS=Bos taurus OX=9913 GN=ENC1 PE=4 SV=1
+MSVSVHENRKSRASSGSINIYLFHKSSYADSVLTHLNLLRQQRLFTDVLLHAGNRTFPCH
+RAVLAACSRYFEAMFSGGLKESQDSEVNFDNSIHPEVLELLLDYAYSSRVIINEENAESL
+LEAGDMLEFQDIRDACAEFLEKNLHPTNCLGMLLLSDAHQCTKLYELSWRMCLSNFQTIR
+KNEDFLQLPQDMVVQLLSSEELETEDERLVYESAINWISYDLKKRYCYLPELLQTVRLAL
+LPAIYLMENVAMEELITKQRKSKEIVEEAIRCKLKILQNDGVVTSLCARPRKTGHALFLL
+GGQTFMCDKLYLVDQKAKEIIPKADIPSPRKEFSACAIGCKVYITGGRGSENGVSKDVWV
+YDTLHEEWSKAAPMLVARFGHGSAELKHCLYVVGGHTAATGCLPASPSVSLKQVEHYDPT
+TNKWTMVAPLREGVSNAAVVSAKLKLFAFGGTSVSHDKLPKVQCYDQCENRWTVPATCPQ
+PWRYTAAAVLGNQIFIMGGDTEFSACSAYKFNSETYQWTKVGDVTAKRMSCHAVASGNKL
+YVVGGYFGIQRCKTLDCYDPTLDAWNSITTVPYSLIPTAFVSTWKHLPS
+>tr|F1MRC1|F1MRC1_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC100337265 PE=3 SV=3
+MASLNATLFHPSSFLLLGIPGLEHMHIWIGFPFFVVYLTAVLGNTSILSMIQTESSLHQP
+MFFFLAILSSVDLGLSTSTIPKMLGIFWFKLSEISFEGCLIQMFFIHLCTGMESAVLVAM
+AYDRYVAICNPLHYTLVLTNKVVAIIALAVLLRPLTFVIAFVPLILRLPFCGHQIIPHTY
+CEHMGIARLSCASIRVNIIYGLCAVSVLVFDIVAIVVSYVQILRAVFQLPSHDARLKALS
+TCGSHVCVMLAFYTPAFFSFMIHRFGQNIPRYIHILLANFYVVIPPALNPVIYGVKTKQI
+REHVLKVFSKKKA
+>tr|E1BGV5|E1BGV5_BOVIN Zinc finger and SCAN domain containing 9 OS=Bos taurus OX=9913 GN=ZSCAN9 PE=4 SV=3
+MNIDSKEILCLDMQAPKVWEELLTEKVEAESHPQKQESRLKRSNSLAREISRRHFRQLCY
+QETPGPRQALTRLRKLCYQCLRPHVSTKKQILDLLVLEQFLSILPQELQGWMREHCPESG
+EEAVILLEDLERELDEPQHEFKSYILKKINLVSLFLDGSLQTGTRSPF
+>tr|F1MK79|F1MK79_BOVIN Centrosomal protein 295 OS=Bos taurus OX=9913 GN=CEP295 PE=4 SV=3
+MAFIFLTLSCTVTLFERLIYDIFYFLRHIKARKEALLVEKERSAKIASLPPPPPALFENV
+EVKKTNVKTNSSTYHHLCTFVNREVDTKQPDPHLAAEEEAKRLEELQKQAAQEKMEQSEK
+AHARGFQAMKKIHLAQNQEKLMKELQQLQQEDLARRRLMVARMPPQLVELPYKRSEMKED
+WQRELEFAFEDMYNADRKVKGNLILHLEPEPLPAVIDQIQDEELDLSMEHESLGETENIP
+MTEAETVHSSEADVPSAVKTHQIPSKILFKKLLNKIRSQKSLWTIKSVSEEEGEMITTVS
+EAESKALTVESGATVSEDRTLSSGQEQVVESDMLTIDSGPLTSEDKPLSFSTDSEKEQEM
+KTTQPLIAPSSILLHPQEEAVRVRMAARQKQIMEIEEQKQKQLELLEQIEQQKLRLETDC
+FRAQLEEEKRKKTQQTGVSTAPTSYTICSDEDRHRQMIHIYQQQLLQQNRFHKQSVETAR
+KRLLEYQTMLRGKYPSMSATPVIPDSVTSVPPPKSERPSVPSEHEDQGQRPKLSPDKQPV
+QISKLEQDSQVSRQSRFPQRQVETTEALVTSDVLAKQALESEEHQKQLSQTEVQQRDYKL
+VAKDSQMLSRALSHDKPLTVQDARERAETSGATAFQSLDSQQVFSENNESVSSKLIEPSS
+FLPLVAEHSFSSLPTKVESGEIQQPSSTASKGVVSVSHSVAGQMQDKSLPFSENIIAQQS
+NLKALQEQLDLQKEVLRTRQEAQEQLLLCKQKELEGQTGLSIFLPLVPLNSFASLPSAQA
+ESRRIQESSPTNKETGVSSGHPGVPQLQDRLNLKFFQEQLKTQRDSLQARREAQEVLCVH
+KQNELDGRVWAEQTGSSSLPPQVAQHTFTSLPSAGPQSGKIQDQYSSKTEKEHFSIQTEM
+PTSQDGSLGFPQQFQPLHDCLKLLQEQLITQRDALQARHEAQVELLSRKQRDLEDAKSVQ
+MSSSFLPVVTQHSLASQVSAKTEPRRIQNFYFSERDSVIPSNNLVMPASQDASLSFPQYS
+LPRQENLTALHNQSHVQRVILGDKQETQEFVHKQSELEKIFPSEQTGTSLSQGAESERCQ
+EYMSFRSDSTVPLSHWKIPRSQERLLGFSRHTQPLQGNLEGQQEQLDREEEALQFSQRSQ
+GNVSSGQICPSFTPRLGQLSLTSLPSAESREPLSAESESRTPSSRFHIPVLQDRLWKLSQ
+LIQPQQDNLKSLQEQLATQREAIIQSRQEAQQEFLLHKQGEWKGRVSPEQVGTSSFLPQV
+IQPLAPLPPSKAGRIQEPCSTKSDNIVSSGHSEIPRLPDRLLGLPQPVLPQQDYPVTFQQ
+EHLYAQTNPLPCSEKIQRELVLPRQYTLEGKSPKHFVQPHHGDLKAYQRQLDIQREVQEE
+FLLQTLSKLEKGVSAEQTTTSSSLSHVALPVADSKGMPKCFPARSDLAVSSSHPEILRPQ
+ERPLHLSQAVLPQQDHVSTQLGLQGEVLRFSEKAQEELLRGNHTKLIEGDASGQPVPSLF
+LPKEREHSFIPLPFAEVKSQNIRELYSAKIECAAPSSASVSPRLQDRILSFSQPIFAQQD
+NWGLQKQLELQKEVLPFSQKAQEVLLVPTQTALQQQTHKHRETLKDFFKDSQESKPTVEN
+DFETQKLQEWLPHLHDQKNIGPADRSNCDDYQLLSEGKPDFYQDRDPLRVSISREQSFLG
+SPLDRDPFGHLHPVAQENICGDDSDKAVKVKEAVVENYAVLSYAVEREGHTYLDPNVKLD
+VKAETQEIYHEPLSSITVSTGSFLSYENIDLSLTEPESFPEHVDHREQEPPAGKEEETNV
+LSSRVPSTQDIYQRQDSQDVHKPLLCAVETLTSGQTHIQQMRDNYINETNLMTEKTDFRV
+DFDFPELEHNFPNLHRQLFKPLEPHPDFDLLSCFSGISQDSKDFNQRSGSSCESLRATPS
+PKSMASFTALRRASLHSSNTSLNQQPDPNLACDTAQSFAVEDIEGSEQSFRQLRPEYSSQ
+EGSQHADLPSIFSIEARASSQDVENQNCSSEHELLQNKQKSVHFQLSVGNLQSSVFNSSG
+EANVFHQLNLQHGTTCGSTSSEWSIKDQPEGRKERLGFEKLSERLDTVLQGQGLTDDKKE
+TCDLNPHIEETDSHLCVRTVEMGTSIQIPYSLTVQNEKCLQDSTMAGIPTIVGNPSQLAQ
+SELFVNSGSFSLQRSIPVWETESGHGIMEEPELTLTSTSDISIAEMDFANLTLEEKRENE
+AKSCFQVSEFLPLLSETENSDYPAMSEHPLERPTVCGETFSRCTAAPESLQEAFIKRKKA
+FIERSSQRQKEIKDKVHASGYSQTKTITEKTTGSFVSDLKGMSKVRVSLPEDKKTAQPHT
+HERAVGLYLQLAEMRKQKEDKAKQDAHAQNRARTKEFHKKTLEKLRARNIC
+>tr|G5E5W1|G5E5W1_BOVIN Coagulation factor VIII OS=Bos taurus OX=9913 GN=F8 PE=3 SV=1
+MHIKLSTCFFLCLWPCSFSAIRRYYLGAVELSWDYMQSELLSELHVNTRFPPRVPKPFPF
+NTSVMYRKTVFVEFTDQLFNIAKPRPPWMGLLGPTIQAEVYDTVVITFKNMASHPVSLHA
+IGVSYWKSSEGAAYEDETSQREKEDDKVIPGKSHTYVWQILKENGPTASDPPCLTYSYLS
+HVDLVKDVNSGLIGALLICREGTLIKERTQTLHKFVLLFAVFDEGKSWHSGKNESLTRVM
+DSASALHTINGYINRSLPGLIGCHKKSVYWHVIGMGTTPEVHSIFLEGHTFLVRNHRQAS
+LEISPITFLTAQTVLMDCGQFLLFCRISSHQHDGMEAYVKVDSCPEEPRLWMKNNQEEDY
+DDGLDDSDMDVVRFDGDSAPPFIQMRSVAKKHPKTWVHYIAAEEDDWDYAPSVLTTSDRS
+YKSLYLNHGPQRIGRKYRKVRFIAYTDGTFKTREAIQHESGILGPLLYGEVGDTLLIIFK
+NQASRPYNIYPHGITDVSPLHSGRFPKGVKHLKDMPILPGEVFKYKWTVTVEDGPTKSDP
+RCLTRYYSSFINLEKDLASGLIGPLLICYKESVDQRGNQMMSDKRNVILFSVFDENKSWY
+LTENIQRFLPNGVQPQDPEFQVSNVMHSINGYVFDSLQLSVCLHEVAYWYILSVGAQIDF
+LSVFFSGYTFKHKMVYEDTLTLFPFSGETVFMSMENPGLWVLGCHNSDFRNRGMTALLKV
+DSCDRNVGDYYDTYEDIPTLLSDDNVIEPRSFSKNSRYPSTRQKQFKPTMTPENDMEKID
+PQSGEKMQLLTEQSVSSSDLLMLLGQNPTPHRLSLSDLQETRNEATDHLPGPTERIKGPS
+PSEVAHLRPELHHSGERVFTQEPELPVRLNENLGTTIMAELNKLNLKISSSSNNLMPLPT
+IPSDKLSAGTKKTGSFGPPNMPVNFNSQLGAIVFGKNLSHVIGSRVPLGLSKEDNNSKLL
+EAALMNSQESSLGENALSLESDRLFKEERVHGPVSLNKDDALFKVNISLVKTDKAPANST
+TNRKTHIDDPTVLIENSTSVWQDIVIDSNTNFQEVTSLIHDELFMHKNTTSFGLSHMSNK
+TTSSKKREMIHQEKEGPKPLDTKYPDTPFFKTLFLPDSTNVIKRTHDKNSLSSGQRPSPK
+QLTSSVSENSVKDQNVLSEKNKAVAGEDEFSNNTSLKEMIFPNTKSIVLTNLANVQENDT
+DNQGKKSQEETEKKETLIPENTVLPQVYTVIGTKNFLKNLFSLSTKQNVRGLDEETYTPG
+LQDNRSLSDSAKRARIHAAHFSKGRVEANVEGLGNQTKQMVEKSPSTTKLSPIPSQQDAV
+PHRDKRGLKQSRLPREEVKLERGVTLNDTSTQWSKSMKHLTQGTFTQIEHNKMEKRTITQ
+SFLPDCSLRSHRSIRPTDVTKIPPQHNSSHPPASTYGYNLGASHSGVQETSHFLHGAKKN
+NLSLAFLTLEVIGSQRKSSTPGKQATNPLMYKKLENMFLLKPGLSEASGKVVHQEDLPTK
+TSKVSPAHLDLREEIFLQKTQGLVKLNKVYRTGKVPSLKWTTESSEEIPSKLLGLLAWDN
+QSATLIPKEDWKSLERSHKLTSLKTKDITLPLDPSENYYSIAVTNEGEDKAQREAPWPKQ
+GGTGRVCCQNPPILKRHQREITLTTFQPEPDKTDYDDTLSIETKREDFDIYGEDENQDPR
+SFQKRTRHYFIAAVERLWDYGMSRSPYALRNRSQNGGVPQFKKVVFEEFTDGSFTQAIYR
+GQLNEHLGLLGPYIRAEVEDNIMVTFKNQASRPYSFYSSLISYNGDQRQGAEPRKKFVKP
+NETQSYFWKVQHHMAPTKDEFDCKAWAYFSDVDLEKDLHSGLIGPILICRVDTLSAAHGR
+QVTIQEFALFFTIFDETKSWYFAENMARNCGAPCHVQPEDPTFQEKYRFHAINGYVMDTL
+PGLVMAQHQRIRWYLLSMGSNENIHSIHFSGHVFTVRKTEEYKMAVYNLYPGVFETVEML
+PSKVGTWRIECLIGEHLQAGMSTLFLVYSKECQIPLGMASGRIRDFQITASGQYGQWAPK
+LARLHYSGSINAWSTKDPSPWIKVDLLAPMIIHSILTQGARQKFSSLYISQFIIMYSLDG
+QRWQGYRGNSTGTLMVFFGNVDSSGVKHNIFNPPIIARYIRLHPTHYSIRSTLRMELMGC
+DLNSCNMPLGMENKAISDTQITASSHLRNMFATWSPSQARLNLQGRTNAWRPQVNNPKEW
+LQVDFQKTMRVTGITTQGVKSLLTSMYVKEFLISSSQDGHNWTPFLQNGKVKVFQGNQDS
+FTPVVNALDPPLFTRFLRIHPRSWAHHIALRLELLGCEAQQQY
+>tr|F1MG74|F1MG74_BOVIN Divergent protein kinase domain 1C OS=Bos taurus OX=9913 GN=DIPK1C PE=4 SV=3
+RPGRCGLGGRCGLGGRCGRRALLACAAWTAGWVLAAALLLRAHPGVPSERCTDEKSRRIL
+AALCQDYRGGALGGDLCEDLCEAGQLRYRRCLYYERGKKVLQADWRGRPVVLKSKEEAFS
+SFPPLGLLDGQPEAGGQDLPEAELLVLAAGEARSALGLEPAEGGLSRLELVRRGPPRRGQ
+LASLWALLQQEEFVLLSLLRGRSPHAPPVLGSCGHFYAVEHLAAGSPGHRALFPLGPDGG
+RRGQARAVSGVALSFLDMVRHFDHDFAHRLHLCDVKPENFAIRSDFTVVAIDVDMAFFEP
+KMREILEQNCTRDEDCNFFDCFSKCDLRAHRCGAQRANSNLQVVCDKIFRHWFSSSRGSS
+AVSSPLRGQLRAAVQECAAPGAQGAPRVLGRLRSLLQAVLRELRDGEELRPGARSHPRGD
+SHHGTPGAARKAPAGLSHHPFE
+>tr|A0A3Q1MDF2|A0A3Q1MDF2_BOVIN Receptor expression-enhancing protein OS=Bos taurus OX=9913 GN=REEP4 PE=3 SV=1
+MGHFCRPEQAWGVCKQQGREVASRALTQAQVVQAKREEQGRYCCLEPGWGGRQPPGRGVG
+NGAPHTSPLFWGLLFRLVFGMLYPAYASYKAVKTKNIREYVRWMMYWIVFALFMAVETFT
+DIFISWFPFYYEIKMAFVLWLLSPYTRGASMLYRKFVHPSLSRHEKEIDTYIVQAKERSY
+ETVLSFGKRGLNIAASAAVQAATKSQGALAGKLRSFSMQDLRTIPDGPAPTYQDPLYLED
+QVPHRRPPIGYRAGGLRDSDTDNECWSDTEVVPQPPARPREKPLGRSQSLRVIKRKPLAR
+EGTSRSLKVRTRKKTAPSDMDS
+>tr|G3X8F5|G3X8F5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC785379 PE=4 SV=2
+MIVKEESEKFGLKLNIQKTKIMLLLPLPAILSYVVFIGLLFTRFWIISILYAVWWYLDRA
+KPWQGSRHIDVLRRWSVWKYMKDYFPISLVKTADLDPSRNYLVGYHPHGIATVGAFTNFC
+TDGTGFSSLFPGIRSHLMVLNLCFWAPVFRDYIIISGMVAADKESASHILSREGGGNLLA
+IVVGGVQEALDARPGGYKLVLRNRKGFIRLALMHGADLVPIFSFGENDIYDQVENSPGTW
+LRWFQDGLQRVTRGSILLVYGSFGLMPYRRPITTVVGKPIEVQKTPHPSQEEVDRLHQHY
+MTELENLFEAHKLKYNVPRDQHLEFY
+>tr|A0A3Q1MJ12|A0A3Q1MJ12_BOVIN Tight junction protein 2 OS=Bos taurus OX=9913 GN=TJP2 PE=1 SV=1
+MTVLNFNSSVFFRVRSTTLLSSPCEWDWHPSCTSWHKGSRKHRHVPTDSLLYPAPGMEEL
+IWEQYTVTLQKDSKRGFGIAVSGGRDNPHFENGETSIVISDVLPGGPADGLLQENDRVVM
+VNGTPMEDVLHSFAVQQLRKSGKIAAIVVKRPRKVQLAPLQDSPPVHEDDRAFEVMDEFD
+GRSARSGYSERSRPSSRGGRSRSWEESPERGRPHDRVRSRDRGRSLERGLDHDDDYGRAR
+EHSRGRSLERGLDQDDYRRARESSRGRSIDPVYDRAYTPEGEYGHRAQPDARYGASQSRS
+REHLHSRSPSPEPRGRPDSDKPIGVLLMKSKANEEYGLRLGSQIFIKEMTRTGLATKDGN
+LHEGDIILKINGTVTENMSLTDARKLIEKSRGKLQLVVLRDSKQTLINIPSLNDSDSEIE
+DISEIESNRSFSPEERQQQYSDYDYHSSNEKLKERPNSREDMQSRWSRMGATPTPFKSTG
+DMAAAGSVEPSKEPKYQEEAPVPPPKPAPRAVLRPSPEDLAIYGPNTKMVRFKKGDSVGL
+RLAGGNDVGIFVAGIQEGTSAEQEGLQEGDQILKVNTQDFRGLVREDAVLYLLEIPKGET
+VTILAQSRADVYRDILACGRGDSFFIRSHFECEKETPQSLAFTRGEVFRVVDTLYDGKLG
+HWLAVRIGNELEKGLIPNKSRAEQMASVQNAQRDTTGDRADFWRMRGQRSGVKKNLRKSR
+EDLTAAVTVSTKFPAYERVLLREAGFKRPVVLFGPIADIALEKLANDLPDLFQTAKTEPR
+DAGSEKSTGVVRLNTVRQIIEQDKHALLDVTPKAVDLLNYTQWFPIVIFFNPDSRQGVKT
+MRQRLNPSSNKSSRKLFDQANKLKKTCAHLFTATINLNSANDSWFGSLKDTIQHQQGEAV
+WVSEGKAKTQNREESFDFSRSYEYKSNPSAAAGNEIPGASTKGCPPPIAAKPTFGRPVLK
+PSTPVPPPESEETGEGSEEQHNAPKSVLGKVKIFEKMDHKAKLQRMQELQEAQNARIEIA
+QKHPDIYAVPIKTHKPDPGPPQYTSSRPPEPQKGPARLYQDPRGSYGSDAEEEEYRQQLS
+EHSRHGYYGQPSRYRDTEL
+>tr|A0A3Q1MJ26|A0A3Q1MJ26_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MGKTRDLFKKIRDTKGTFHAKIDSIKDRNGMDLTEAEDIKKRWQENTEELYKKDLHDQDN
+HDDVITDLEPDILECEVKWALESITTNKASGGDGIPVELVQILKDDAVKVLHSICQHIWK
+TQQWPQDWKRSVFIPIPKKGNAKECSNYHTIALISHGSKVMLKILQARLQQYVNRELPDV
+QAGFRKGRGTRDQIANICWIMEKAREFQKNIYFCFIDYAKAFDYVDHNKLWKILQEMGIP
+DHLTCLLRNLYAGQEATVRTGHGTTDWFQIAKGVRQGCTLSPCLFNLHAEYIM
+>tr|A0A3Q1MGW7|A0A3Q1MGW7_BOVIN Striatin OS=Bos taurus OX=9913 GN=STRN PE=4 SV=1
+MDEQAGPGVFFSNNHPAAGGAKGLGPLAEAAAAGDGVAAAGAARAQYSLPGILHFLQHEW
+ARFEVERAQWEVERAELQAQIAFLQGERKGQENLKKDLVRRIKMLEYALKQERAKYHKLK
+YGTELNQGDMKPPSYDSDEGNETEVQPQQNSQLMWKQGRQLLRQYLQEVGYTDTILDVKS
+KRVRALLGFSSDVTDREDDKNQDSVINGTEAEVKETAMIGKSELTDSASVLENFKFLESA
+AADFSDEDEDDDIDGREKSIIDTSTIVRKKVLPDSSEDRDTKEALKEFDFLVTSEEGDND
+SRSAGDGTDWEKEDQCLMPEAWNVDQGVITKLKEQYKKERKGKKGVKRPNRSKLQDMLAN
+LRDVDELSSLQPSVGSPSRPSSSRLPEHEINRADEVEALTFPPSSGKSFIMGADEALESE
+LGLGELAGLTVANEADSLTYDIANNKDALRKTWNPKFTLRSHFDGIRALAFHPTEPVLIT
+ASEDHTLKMWNLQKTAPAKKSTSLDVEPIYTFRAHKGPVLCVVMSSSGEQCYSGGADGLI
+QSWNTTNPNIDPYDSYDPSVLRGPLLGHTDAVWGLAYSAAHQRLLSCSADGTLRLWNTTE
+VAPALSVFNDNQELGVPASVDLVSSDPSHMVASFSKGYTSIFNMETQQRILTLESNLDST
+ANSSCQINRVISHPTLPISITAHEDRHIKFYDNNTGKLIHSMVAHLEAVTSLAVDPNGLY
+LMSGSHDCSIRLWNLESKTCIQEFTAHRKKFEESIHDVAFHPSKCYIASAGADALAKVFV
+>tr|A0A3Q1LPT2|A0A3Q1LPT2_BOVIN V-set and immunoglobulin domain containing 4 OS=Bos taurus OX=9913 GN=VSIG4 PE=4 SV=1
+VVYHLCLLPSSLPSSSGHPILEVPESVTGPWKGDVNIPCTYGPLQGYTQVLVKWLVERGS
+DSVTIFLRDPSGDHIQQAKYRGRLHVNHEVPGDVSLQLNTLEMDDRSHYTCEVTWQTPDG
+NQVVRDKIVELRVQKHSSKPLETKTKSLPTMQTPLEATYTVNISWGRITEMDGDIGKTSA
+GPGKGLSIFAIILIISLCCIVFITMTYIMVCRKTSQQDHVYEVARFHAREASDSGETMRV
+ALFTSGCSDEEPASQTLGNDYSDEPCLGQEYQIITQINSDYAHLLHTDSLDYELLATKVK
+NVC
+>tr|A0A3Q1MDN2|A0A3Q1MDN2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MTTAARPTFEPARGGRGKDRPLGMPLKRFETVTSGESWRRERELLQEKKTRGQPTREHTT
+SSSVSKKPRLDQIPAANLDADDPLTDEEDEDEDFEEESDDDDTAALLAELEKIKTERAEE
+QARKEQEQKAKEERIRSS
+>tr|E1BHK3|E1BHK3_BOVIN GREB1 like retinoic acid receptor coactivator OS=Bos taurus OX=9913 GN=GREB1L PE=4 SV=3
+MGNSYAGQLKSARFEEALHNSIEASLRCSNVVPRPIFSQLYLDPDQHPFSSADVKPKVED
+LDKDLVHRYTQNGSLDFSNNLTVNEMEDDEDDEDMSDSNSPPIPYSQKPAPEGSCTTDGF
+CQAGKDLRLVSLCMEQIDIPAGFLLVGAKSPNLPEHILVCAVDKRFLPDDHGKNALLGFS
+GNCIGCGERGFRYFTEFSNHINLKLTTQPKKQKHLKYYLVRSSQGVLSKGPLICWKECRS
+RQSSTTCHSIKPNSSVSSTVTPENGTTNGYKSGFIQTDAANGNSSHGGKSSASSSTPARP
+GNYSLSPRPSFASGDQATMFISGPPKKRHRGWYPGSPIPQPGLVVPIPTVRPLSRTESLL
+STPVPQTPLTGILQPRPIPAGETVIVPENLLSNSGVRPVILIGYGTLPYFYGNVGDIVVS
+PLLVNCYKIPQLENKDLEQLGLTGSQLLSVENMILLTIQYLVRLGPDQIPLREEFEQIML
+KAMQEFTLRERALQMGAQCTPVSPGQLPWLARLIASVSQDLVHVIVTQNSLAEGISETLR
+TLSEMRHYQKLPDYVVTICASKIRGNEFCVVVLGQHQSRALAESMLTTSEFLKEISYELI
+TGKVSFLASHFKTTSLGDDLDKLLDKMQQRRGDSVVTPFNGDLNEYVSPQEAAAMSPTQN
+LDLGNETFQIYQPQLTVARKLLSQVCAIADSGSQSLDLGHFSKVDFIIIVPRSEVLVQQT
+LQRIRQSGVLVDLGLEENGTAYQRAEKYVVRLDNEIQTKFEVFMRRVKQNPYTLFVLVHD
+NSHVELTSVMSGSLSHGEPGHGLADRVINGREVLEAFNLLVLQVTSCPYTLQTQQSRIST
+SNEVHWMQLDSTEDVSCEEKLYFGLNEYSKSLQWGITSPLLRCDETFEKMVNTLLERYPR
+LHSMVVRCYLLIQQYSEALMALTTVASLRDHSTPETLNIMDDLLSCPGKNRSGRGHMLIL
+RVPSVQLAMLAKERLQEVRDKLGLQYRFEVVLGNPASELSVAAHFVARLKTWRGNEAEEW
+VPRTYQDLEGLPCIVILTGKDPLGETFPRSLKYCDLRLIDSSYLTRTALEQEMGLACCYV
+SKEVIRGPTAALDLSGKEQERAAASENDTEELLIDLERPQSNSSAVTGTSGSIMENGVSS
+SSTADKSQKPPPTPSFRSPVPSAGLDEGLSAGPAGPGEPLKQECDSLGPPLASSTTAKPS
+PSSSGPGALPWPGPPGRAGRPPQAALPPVVILSKAAYSLLGARRGGKLPAAAALLPHPDV
+AWASPLRPPPPAHAGPEEQSLYYRRWTAARPHHADHGNPPDPASGARACHPRRLLLSGPP
+QVGKTGSYLQFLRLLFRMLIRLLEVDVYDEAEINTDHSESSEVSQSEGEPWPDIESFSKM
+PFDVSVHDPKYSSMSLVYTEKLAGVKQEAIKEPKAEEPRKRETMSMMLTKYAAYNTFHHC
+EQCQQYMDFTSAPQMSDSTLHAFTFSSSMLGEEVQLYFIIPKSKESHFVFSKQGKHLESM
+RLPLVSDKNLNVVKSPIFTPSSGRHEHGLLNLFHAMEGISHLHLLVVKEYEMPLYRKYWP
+NHIMLVLPGMFNNAGVGAARFLIKELSYHNLELERNRLEELGVKRQCVWPFIVVMDDSCV
+LWNIHSVQEQTSQPMETGVSSKNVSLKSVLQHMEATPKIVHYAILGIQKWSSRLTSRSLK
+VPFSRCHVHDFILLNIDLTQNVQYDFNRYFCEDVDFNLRTNSSGLLICRFNNFSLMKKHV
+QVGGQRDFIIKPKIMVSESMAPILPLQYVCAPDSEHTLLAAPAQFLLEKFLQHASYKLFP
+KAIRNFRSPVLAIDCYLNIGPEVAVCYVSSRPHSSNANCEGVCFGGLLLYLCDSFVGADL
+LKKFKFLKGATLCVICQDRSSLRQTIVRLELEDEWQFRLRDEFQTANSSDDKPLYFLTGR
+HV
+>tr|A0A3Q1MEP3|A0A3Q1MEP3_BOVIN Metastasis associated 1 OS=Bos taurus OX=9913 GN=MTA1 PE=4 SV=1
+MALGSLPPGPGHAAAAASSPPSPLSPPAGLGHVLLPDETPWLRASGAAGLELALPERLLG
+PPGGGGRGSQRVGLFGGLSPCPLCPSGEIEEEMENPEMVDLPEKLKHQLRHRELFLSRQL
+ESLPATHIRGKCSVTLLNETESLKSYLEREDFFFYSLVYDPQQKTLLADKGEIRVGNRYQ
+ADITDLLKEGEEDGRDQSKLETKVWEARNPLVDKQIDQFLVVARSVGTFARALDCSSSVR
+QPSLHMSAAAASRDITLFHAMDTLHRSVYDVAKAISALVPQGGPVLCRDEMEEWSASEAS
+LFEEALEKYGKDFTDIQQDFLPWKSLTSIIEYYYMWKTTDRYVQQKRLKAAEAESKLKQV
+YIPNYNKPNPNQISVNNVKAGVVNGAGPPGQSPGAGRACESCYTTQSYQWYSWGPPNMQC
+RLCASCWTYWKKYGGLKMPTRLDGERPGPNRSNMSPHGIPARSSGSPKFAMKTRQAFYLH
+TTKLTRIARRLCREILRPWHAARHPYMPINSAAIKAECTARLPEASQSPLVLKQATRKPL
+EAVLRFLETHPRPPKPDPVKSVSGVLSGLTPAKSAPVINNGSPTILGKRSYEQHNGVDGN
+VKKRLLMPSRGTYLGLANHGQTRHMGPSRNLLLNGKAYPTKVRLIRGGSLPPVKRRRMNW
+IDAPDDVFYMATEETRKIRKLLSSSETKRAARRPYKPIALRPSQALPLRPPPPAPVNDEP
+IIIED
+>tr|E1BJU9|E1BJU9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=HELQ PE=4 SV=3
+MDEGGSRIRRRVSVRKRNRPSLESVFAASPATELKHSDEEKDDDEMVAGSRRRKTVDVQP
+VEVQPHHLSDSRYPDLLWIIDSEEDMFAQVDDLEQKYLQLPEHRNHATDHANEDLCSESD
+KHNTLNVTVVGDCTELNIGDHTKNQSRHEDVSIEPEADILYDVPSSQVLFFENLHNSSSD
+LGGPSTEEKDWNSSFPKTVNEELPVNSREQPQQTVESSSKIRTSSDANRGKSLKDHLKST
+MTGNAKAQTPIFSRTKQLKETLLSEEINVAKKTIESSSDDLGPFYSLPSKVRDLYVQFKG
+IEKLYEWQHTCLTLKSVQERKNLIYSLPTSGGKTLVAEILMLQELLCRRRDVLMILPYVA
+IVQEKLHMIGEGTRGAILEMTLAKILYTSKTTQIIGMSATLNNVEDLQKFLQAEYYTSQF
+RPVELKEYLKINDTIYEVNSRAENGMTFSRLLNYKYSDTLKKMDPDHLVALVTEVIPSYS
+CLVFCPTKKNCENIAEMICKSLSKEYLKHKEKEKQEVIQNLKNVSGGNLCRVLRHTIPFG
+VAYHHSGLTSDERKLLEEAYSTGVLCLFTCTSTLAAGVNLPARRVILRAPYVAKEFLKRN
+QYKQMIGRAGRAGIDSVGESILILQEKDKQQVLQLISKPLENCYSHLVQEFTKGIQTLFL
+SLIGLKIATNLDDIYRFMSGTFFGVQQKVLLKEKSLWEITMESLRYLTEKGLLQKDTMCG
+SKEEFQNNFHITKLGRASFKGTIDLAYCDILYRDLKKGLEGLMLESLLHLIYLTTPYDMA
+SQCDPDWMIYFRQFNQLSPAEQNVAALVGVSENFIGKKASGQAIRKKVDKNIVNRLYLSF
+ILYTLLKETNIWSVSEKFNMPRGYIQSLLSAAAAFSSCVLHFCEELEEFWVYRALLGELT
+KKLTYCVKAELIPLMEVTGVLEGRARQLYNAGYKSLTHLANANPEVLIRTIDHLSRRQAK
+QIVSSAKMLLHEKAEALQEEVEELLRLPSDFPGLVASSTEKA
+>tr|F1MH71|F1MH71_BOVIN PHD finger protein 2 OS=Bos taurus OX=9913 GN=PHF2 PE=4 SV=3
+MATVPVYCVCRLPYDVTRFMIECDACKDWFHGSCVGVEEEEAPDIDIYHCPNCEKTHGKS
+TLKKKRTWHKHGPGQTPEVKPVQNGSQLFIKELRSRTFPSAEDVVARVSGSQLTLGYMEE
+HGFTEPILVPKKEGLGLAVPAPTFYVSDVENYVGPERSVDVTDVTKQKDCKMKLKEFVDY
+YYSTNRKRVLNLTNLEFSDTRMSSFVDPPDIVKKLSWVENYWPDDALLAKPKVAKYCLIC
+VKDSYTDFHIDSGGASAWYHVLKGEKIFYLIKPASANISLYERWQSASNHSEMFFADQVD
+KCYKCTLKQGQTLFIPSGWIYATLTPVDCLAFAGHFLHSLSVEMQMRAYEVERRLKLGSL
+TQFPNFETACWYMGKHLLEAFKSSHKSGKQLPPHLVQGAKILNGAFRSWTRKQALAEHED
+ELPEHFKPSQLIKDLAKEIRLSENASKASRPEVTAAVSSDEVCFGDREKEEPPSPIEASP
+PRSFLEKVSKKKTPKTVKMPKPSKVPKPPKPPKPPKSLKLKDGSKKKGKKCRGSASPTIP
+NLDLLEAHTKEALTKIEPPKKGKATKNVLSVPNKEVISTQNDVERLEIREQTKSKSEAKW
+KYKNSKPDSLLKMEEEQKLEKSPLSGNKDTKFSFSFSNKKLLGSKALKPQPGPGVFGALQ
+NFKEDKPQPVRDEYEYVSDDGELKIDEFPIRRKKNAPKRDLSFLLDKKEPLPTPVTKPKL
+DSALYKQSDDSSDEGSLHIDTDTKPARNAKVKKDSGGSAAGILDLLQASEEVGALEYNPN
+SQPPASPSTQEAIQGMLSMANLQASDSCLQTTWGAGQAKGSSLAAHGARKNGAGGKSAGK
+RLLKRAAKNSVDLDDYEEEQDHLDACFKDSDYVYPSLESDEDSPVFKSRSKKRKGSDDAP
+YSPTARVGPSVPRQDRPVREGTRVASIETGLAAAAAKLSQQEEQKSKKKKNTKRKLAPNT
+TSPSASASAGTTSASTTSASTTSASTTSASTTPASTTPASTSTASSQASQEGSSPEPPPE
+SHSSSLVDHEYTAAGTFTGAQAGRASQPMAPGVFLTQRRPSSSSPNNTAAKGKRTKKGMA
+TAKQRLGKILKIHRNGKLLL
+>tr|F1MPY1|F1MPY1_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC524160 PE=3 SV=3
+MYSENQTSQNQTLNTDFILVGLFGETKHAFLLYTATLIFFLMALAGNALLIILVHMEPRL
+HTPMYFFISQLSLMDLMYISVTVPKMLLGQVTGDHTISPSGCGIQMFFYLTLAGAEFFLL
+SAMAYDRYAAMCRPLHYALLMNQRVCECLVSGCWFLGMVDGLLLTPIAMSFPFCHSRKIL
+SFFCEAPALMKLSCSDISLYKMVMYLCCVLMLLIPTVVISSSYALILHLIHRMSSSESRR
+KAFATCSSHMIVVLLFFGAAIYTYMLPSFYHTAKQDMMVSAFYTIITPVLNTLIYSLRNK
+DVTGALRSKMQSGEPRNKTFLY
+>tr|A0A3Q1NGU0|A0A3Q1NGU0_BOVIN Chromosome 13 C20orf85 homolog OS=Bos taurus OX=9913 GN=C13H20orf85 PE=4 SV=1
+MSLSKLQVLVMDREAWKYRLKAENEARQNWAQNWGFLSTPFEELIKCEEEPATPKPKIEL
+PERFRIRPVTPVEKYIKVLPSPPVPKTTQGFIGWRSGVPGLNKCLEHDYEIRSCKGAYAK
+ELNWPKQGIH
+>tr|A0A3Q1MS21|A0A3Q1MS21_BOVIN Voltage-dependent anion-selective channel protein 1 OS=Bos taurus OX=9913 GN=VDAC1 PE=1 SV=1
+MGKSCLQKMAVPPTYADLGKSARDVFTKGYGFGLIKLDLKTKSENGLEFTSSGSANTETT
+KVTGSLETKYRWTEYGLTFTEKWNTDNTLGTEITVEDQLARGLKLTFDSSFSPNTGKKNA
+KIKTGYKREHINLGCDVDFDIAGPSIRGALVLGYEGWLAGYQMNFETAKSRVTQSNFAVG
+YKTDEFQLHTNVNDGTEFGGSIYQKVNKKLETAVNLAWTAGNSNTRFGIAAKYQIDPDAC
+FSAKVNNSSLIGLGYTQTLKPGIKLTLSALLDGKNVNAGGHKLGLGLEFQA
+>tr|F1MSD3|F1MSD3_BOVIN Cystatin F OS=Bos taurus OX=9913 GN=CST7 PE=4 SV=3
+MHPAGALLAVCGLVLGVLGKSSPDFCSQILKSDAKPGFPKTIKTNDPDVLRAARHSAESF
+NNCSNDAFLFRESRVSRALVQIVKGLKFMLDMDIGRTTCKKTGHANLDDCSFQTNHTLQW
+TLSCYSEVWVVPWLQTTEVSLLHCH
+>tr|A0A3Q1NM90|A0A3Q1NM90_BOVIN SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily a, member 2 OS=Bos taurus OX=9913 GN=SMARCA2 PE=4 SV=1
+MKRLAARCFAGLLILSPLTVISDSRPADSGKAIEDGNLEEMEEEVRLKKRKRRRNVDKDP
+AKEDVEKAKKRRGRPPAEKLSPNPPKLTKQMNAIIDTVINYKDSSGRQLSEVFIQLPSRK
+ELPEYYELIRKPVDFKKIKERIRNHKYRSLGDLEKDVMLLCHNAQTFNLEGSQIYEDSIV
+LQSVFKSARQKIAKEEESEDESNEEEEEEDEEESESEAKSVKVKIKLNKKDEKGRDKGKG
+KKRPNRGKAKPVVSDFDSDEEQDENEQSEASGTDDE
+>tr|A0A3Q1NFM1|A0A3Q1NFM1_BOVIN Protein kinase domain-containing protein OS=Bos taurus OX=9913 GN=LOC100138998 PE=3 SV=1
+MASSSGPKADVLVGGRYKLVREIGSGSFGHVHLAIDLTNHEQVAVKLESQNTRQPRLLYE
+KELYNILQGGVGIPQIRWYGQETDYNVLVMDLLGPSLEDLFNFCSRRFSMKTVLMLADQM
+ISRIEYVHTQNLIHRDIKPENFLMGTGQQWKELFLIDFGLAKKYRDNRTGQHIPYRSGKS
+LTGTPSFASISAHIGIEQSRRDDMESIGHVLMYFNRGSLPWQGLKAATMKQKCEQISEMK
+MTTPVDVLCKGFPAEFAMYLKHCRGLSFEEAPDYRYLRQLFHMLFRKLNYQYDYAFDWIV
+LKQKAEQQAASSSGEGQQAQTPTGKSDKTKSEMKHS
+>tr|E1BNW6|E1BNW6_BOVIN Potassium two pore domain channel subfamily K member 5 OS=Bos taurus OX=9913 GN=KCNK5 PE=3 SV=1
+MVDRGPLLTSAIIFYLAIGAAIFEVLEEPHWKEAKTNYYTQKVHLLKEFPCLGQDGLDKI
+LQIVSDAAGQGVAITGNQTFNNWNWPNAMIFAATVITTIGYGNVAPKTPAGRLFCVFYGL
+FGVPLCLTWISALGKFFGGRAKRLGQFLTKRGVSLRKAQITCTAIFILWGVLVHLVIPPF
+VFMVTEEWDYIEGLYYSFITISTIGFGDFVAGVNPSANYHALYRYFVELWIYLGLAWLSL
+FVNWKVSMFVEVHKAIKKRRRRRKESFESSPHSRKALQVASGAASKDVNIFSFLSKKEET
+YNDLIKQIGKKAMKTGGGGERGPGPGPPRAGLPALPASLTPLVVYSKSQVPSLEEVSQTL
+RSKGHVSRPSGEEAGAGPPEEGSPTSEVFINQLDRISEEGEPWDAQDYHPLIFQNADITF
+ANEEAGLLDEDTSKSSLEDNLAGEERPQEGATAEAPLNLGEFPSSDESTFTSTESELSVP
+YEQLMNEYNKAKCPEGT
+>tr|E1B9E4|E1B9E4_BOVIN Diacylglycerol kinase OS=Bos taurus OX=9913 GN=DGKG PE=3 SV=3
+MSEDRWVSLTPEEFGQLQKYAEYSSKKIKYVLAEFNEGGSLKQYGPHEPISYDVFKLFMK
+AYLEVDLPQPLSTHLFLAFSQKPRQKTPEHPKEGASNSEASGADSDIQNADNAAKADEAC
+APDMETKITEKQVPAKNQAAATPVGNLVAPSSGSESPIVYLKDVVCYLSLLESGRPQDKL
+EFMFRLYDSDENGLLDQAEMDRIVSQMLHIAQYLEWDPTELRPILKEMLQGMDYDRDGFV
+SLEEWVHGGMTTIPLLVLLGMDDSGSKGDGKHAWTLKHFKKPTYCNFCHVMLMGVRKQGL
+CCIYCKYTVHERCVSKNIPACIKTNSKTKRGGEVMQHAWVEGNSSVKCDRCHKSIKCYQS
+VTARHCVWCRMTFHRKCELSTLCDGGELRDHILLPTSICPITRDRPDGKSDGSVSAKGEL
+VMQYKIIPIPGTHPLLVLVNPKSGGRQGERILRKFHYLLNPKQVFNLDNGGPTPGLNFFR
+DTPDFRVLACGGDGTVGWILDCIDKANFAKHPPVAVLPLGTGNDLARCLRWGGGYEGGSL
+TKILKDIEQSPLVMLDRWHLEVIPREEVENGDQVPYNIMNNYFSIGVDASIAHRFHVMRE
+KHPEKFNSRMKNKLWYFEFGTSETFAATCKKLHDHIELECDGVGVDLSSIFLEGIAILNI
+PSMYGGTNLWGETKKNRAVIRESRKVITDPKELKFCVQDLSDQLLEVVGLEGAMEMGQIY
+TGLKSAGRRLAQCSSVSIRTNKLLPMQVDGEPWMQPPCSIKITHKNQAPMMMGPPQKSSF
+FSLRRKSRSKD
+>tr|A0A3Q1MPF7|A0A3Q1MPF7_BOVIN G_PROTEIN_RECEP_F1_2 domain-containing protein OS=Bos taurus OX=9913 GN=LOC112441579 PE=3 SV=1
+MSVFNDSVLYPCFLLTGFSGLESRYGLISLPIFLVYATSVAGNITILFIIRTEPSLHQPM
+YYFLSMLAFTDLGLSTTTLPTMFSVFWFHAREISFNGCLVQMYFIHVFSIIESAVLLAMA
+FDRLVAIRAPLRYAAILTNDVIIGIALAITGRALALVFPASFLLKRLQYRPVNILSYPFC
+LHQDLIKTTVSSRWVSIYGLMVVICSKGLDSVLLLLSYILILGTVLSIASRTERVKALNT
+CISHICAVLTFYTPMIGLSMIHRYGQNASPFVHVFMANVYLLVPPLMNPIVYSVKTKQIC
+DRILKKFNQQKV
+>tr|E1BKL2|E1BKL2_BOVIN Protein Wnt OS=Bos taurus OX=9913 GN=WNT8A PE=3 SV=2
+MGDLLILRVAVGICYVTFSASAWSVNNFLITGPKAYLTYTTSVALGAQSGIEECKFQFAW
+ERWNCPENALQLSTHNRLRSATRETSFIHAISSAGVMYTITKNCSMGDFENCGCDESKNG
+KTGGHGWIWGGCSDNVEFGERISKLFVDSLEKGKDARALMNLHNNRAGRLAVRATMKRTC
+KCHGISGSCSIQTCWLQLANFRELGNYLKAKYERALKIEMDKQQLRAGNSAEGHWIPTEA
+FLPSAEAELIFLEESPDYCTRNSSLGIYGTEGRECLQNSRNTSRWEQCSCGRLCTECGLQ
+VEERRTEAISSCNCKFQWCCTVKCEQCRHVVNKYYCTSSPGSAQSWGKGSA
+>tr|A0A3Q1ML27|A0A3Q1ML27_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MAKRELLLDCSGLVLLYIFLGTLRESGAGQIRYLVPEETDKGFFVGNVSQDLGLEPRELA
+ERGVRIVSRGKTQLFALNPRSGSLITAGRIDREELCEAVSSCFLNMEILVEDTLKIYGVE
+VEIMDINDNAPSFQEEEVEIKVSEHATLGSRFPLPNARDPDVGMNSLQRYQLNPNSYFSL
+QVRGSMDGAKNPELVLEGSLDRETQAAHHLLLTALDGGDPIRQGTVPVRVVVLDVNDHIP
+KFTQSTYEVSVPENLSSGTRVLTVNATDPDEGINGQVVYSFRNVESKASKIFQLNSLSGD
+VLIQGSLDFEKYRFYEMEIQAQDGGGLSTTAKMLVTVVDVNDNAPEITITSSTNSVLENS
+PPGTVIALLNVQDQDSGENGQVSCFIPNNLPFKLEKTYGNYYKLITNRALDREQVQSYDI
+TLTATDQGSPPLSTETHILLNVADDNDNPPTFTDSSYSAYILENNPRGASFFSVTALDQD
+SKENAQVTYSLAEDTLQGAPLSSYISINSDTGILYALCSFDYEQFHELQLWVTAHDSGNP
+PLSSNVSLSIFVLDQNDNVPEILYPALPTDGSTGVELAPRSAEPGYLVTKVVAVDRDSGQ
+NAWLSYRLLKASEPGLFSVGLHTGEVRTARALLDRDALKQSLVVAVQDHGQPPLSATVTL
+TVAVADSIPDVLADLGSLESPASPEDSGLTLYLVVAVAAVSCVFLAFVIVLLALRLRRWH
+MSRLLQASGSGLAGVPASQFVGVDGVRAFLQTYSHEVSLTADSRGSHVIFPQPNYADTLV
+SQESCGKSEPLLITEDSVTGLGKCDPTGNQVRFISLFLDFPCGSITGSGRSSGEGKGYPP
+QYSGLENSLDCILHGLSESRTQVSNLHYIHTLFSHYGLSQDVEYSSLCYTVGLVVHPSL
+>tr|F1MJ52|F1MJ52_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=NRK PE=4 SV=3
+MAGPGGWRDKEVTDLGHLPDPTGIFSLDKTIGLGTYGRIYLGLHEKTGAFTAVKVMNARK
+TPLPEIGRRVRVNKYQKSVGWRYSDEEEDLRTELNLLKKYSFHKNIVSFYGAFFKLSPPG
+QRHQLWMVMELCAAGSVTDVVRMTRNQSLKEDWIAYICREILQGLAHLHAHRVIHRDIKG
+QNVLLTHNAEVKLVDFGVSAQVSRINGRRNSFIGTPYWMAPEVIDCDEDPRRSYDYRSDV
+WSVGITAIEMAEGAPPLCNLQPLEALFVILRESAPKVKSSGWSRKFHDFMEKCMIKNFLF
+RPTSANMLHHPFVQNIKNEGHVVESLKKHLTGIIKKRQKKGIPLIFEREEAIKEQYTMRR
+FRGPSCTQELLRLPTSSRCRPLRVLHGEPSQPRWLPDREEPRVQALQHLQGAARVFMPLQ
+AQNGTPRPLQGQAQAPQRLQGAAQMFMPLQAQVKAKTSVPLQMQMKAPPRPQRTTWMFMP
+LQAQVKAPRPQQVQTQVSKKQQAQGQPQASGEPQDLDQVPEEFQRQDQVPEQQQRHGRVP
+EQQQRQNHIPEQPLQQNGAPEQPEVQEQAAEPTQAEMEAEEPESLRVHAQVFLPLLSQNH
+HVLLPLHLDTQVLVPVEGQTEGSPQAQAWALDPTQAVGSVQALIEGLSRDLLRAPNAHNS
+KPLGPLQILMENLASDVFYSQPEQARKKKSKVSSLRQALAKRLSPKRFRAKLSRRPEAFE
+LSDLEAHRQRRQCRWEDIFNQQEEELRQVANDKEDESSDNDEAFHSIQAEVQIEPLQPYI
+PEPKENEVQERSASMPHNKDRVHRVKFSSSVPQRSVLEQAQKPIDIRQRSSQNPQNCPAA
+SESSSEEASPVIRRRSQSSPPYSTIDQSLLVDIHVPDGFKVGKISPPVYLTNEWVGYNAL
+SEIFRNDWLTPAPVVQPPEEDGDYVELYDAGADTDGDDDSNDAYEDSYDHDNGSDELNDQ
+LDQANDVGEDHYDDDNDEVIDDKGNNPDDASSWPRPSCGRGRSYKQDGKDGSDRGEGSFS
+SYGSQRVNRSHGGSAASGDSAAIGDHEEHTANVGSGRRGKESNGNEGVSGTNEESEAQEL
+NGGENCSETDDPILERPVFQEFEHQLEESGGRSEASNAIAPGAAPAVPDAENDTVDISEV
+STESGFSANHSSPSNDSERTANADFASAILYAGLVEVPEKSSKRPSDVNVNPLYVSYECK
+KPLIHMYEKEFTSEICCGSLWGVNLLLGTRSNLYLMDRSGKADITKLIKRRPFRQIQVVE
+PLNLLITISGRKNRLRVYHLTWLRNKILNDDPESKRRQEEMLKTEEACKSIDKLTGCEHF
+SVLQHEETTYIAIALKSSIHLYAWAPKSFDENTAIKVFPTLGHKPVTVDLAIGSEKRLKI
+FFSSADGYHIIDAESEVMSDVTLPNNNIIILPDSLGIGVMLTFNAESLSVEANEQLFKNI
+LDVWKDIPSSVGMWKIFLLSYDPRKASIS
+>tr|A0A3Q1MQE9|A0A3Q1MQE9_BOVIN Hydroxyacylglutathione hydrolase-like protein OS=Bos taurus OX=9913 GN=HAGHL PE=4 SV=1
+MKVKVIPVLEDNYMYLVIEERTREAVAVDVAVPKRLLEIVGRERVSLTTVLTTHHHWDHA
+RGNAELARLLPGLVVLGADERICALTRRLAHGEELRVSARLGGTGREPGPNLLTCSSATV
+WGHPRALPPDARPHLGPHELLPVGRGMSGPSRRVLGYWLPCTHLPHLPVPHSTLSDPPNS
+PGDALSVAGCGSRLEGTVQQMYQSLVETLGTLPPETVSSLPLALSWLWGPGFPYKQHALH
+LPMPGFRMTAFLPAVARSGPARGWGASLPMAVLCTQAPRLSRRCSVVTSTHWATSSLHRK
+WSLTTTM
+>tr|E1BH47|E1BH47_BOVIN Centrosomal protein 131 OS=Bos taurus OX=9913 GN=CEP131 PE=4 SV=3
+MKGSRALSGPEGSLEGVDLSLTGLPPPMNRRPNSASATKPITRSISVVTGNEPRRKLLEN
+PGPGGSRAINNLRRSNSATQVNQPQANQAWPSPLRPAEPPDFLTLFEGSPSGRKRPASLS
+KASSEKGATWNVLDDRPRAFTLPSDAQSPGALDVPVGPRRRECTVPLAPNFTANNRSNKG
+AVGNCVTTMVHNHYTPSERVPPPPKSSNRTAPSLNNIIKAATDEGEGSSLGKPQKNVARS
+NHVVQNNSGGTTGLLRRKEVTEEEAERFIHQVNQAAVTIQRWYRHQVQRRRAGAARLERL
+LASKREGQRQRLGEGSLLDQHQQREAARRKAREEKARQARRAAIQELQQKRAQKSGNADL
+GLLKGMGETEKPQPTQEPAMRPGSTTQQTRKANNTGASFHTAGSEDAGQPALGSSPEPRQ
+LSEDKPQDASLQDVPGEGLEGMGRAGSRAKSKATLDELLDTLKLLEQEPEPLPCPRAYHK
+DKYAWTDEEDDASSLTADNLEKFGKLSAAAGPPEDGTLLSEAKLQSIMNFLDEMEKSGQG
+RPASAPQGPMPEEGLGRLEPTSEVSTSVMRLQLEVEEKKQAMVLLQRALAQQRDLTFRRV
+KETERELGRQLRQQKEHYEATIRRHLSFIDQLIEDKKALGEKCEALVAELKLGDQRRKDR
+EAQLQEQHELEIKKLKELMSATEKVRREKWINEKTRKIKEITVKGLEPEIQKLIAKHKQE
+VKKLKGLHATELLQAEERAAQHYGRQAEELREHLEREKEALGRQEWERAQQRQRAELDEL
+RRQLEESSSAGGRALRAEFEKGKEEQERRHQMELKALKDQLEVERQMWEANSAKKEEAWL
+LNRERELKEEIRKGRDKEIELVIHRLEADMTQAREESERAAENRVKRLRDKYEAELSELE
+QSERKLQERCAELKGRLGEAEGENVRLQGLVRQKEKELADVKAVNEQLTGERSSLAQVLR
+QEFADRLATSEEENRQTKAELAELRARQRLELEQLTREKQAELEEVHGRVKMALAKKEEA
+VSSLRKQHQAAMKRAEHLEELLEQCRRPFPSAK
+>tr|F1N2X8|F1N2X8_BOVIN ST18, C2H2C-type zinc finger OS=Bos taurus OX=9913 GN=ST18 PE=4 SV=3
+MDAEAEDKTLRTRAKGTKVAPMDSLIQELSAAHDCTTAKKRRAGEQALGVPVNKRKSLLM
+KPRHYSPSVDCEEGRADQVEAEGQDDRLETNHHPSTEIMIKPMDEKFHSTAQEKSDGKED
+RYGCYQELVVKSLMHLGTLEKSASAQTIIENLNDSGIQSLKAEGDEVDECFMVPSDDGKD
+KTDNSRLRFSPSSDSDSNSESAENGWDSGSSFSEEAKPPRVPKYVLVDDRKDLLEVPEIK
+TEGNKFISCKNVCDSETERKDPQNTPLDPSDGPAQPSFPGSEDRGHGRGCPAAGTEEATA
+LEKAKGNLSLLEQAIALQAERGCVFHNTYKELDRFLLEHLSGERRQTKVIDMGGRQVFPN
+KHSPRPEKRETKCPIPGCDGTGHVTGLYPHHRSLSGCPHKVRVPLEILAMHENVLKCPTP
+GCTGRGHVNSNRNTHRSLSGCPIAAAEKLAMSQDKSQLDSPKTGQCPEQGHRTSLVKQIE
+FNFRSQAITSPRATVSKEQEKFGKIPFDYASFDAQVFGKCPLVQTGRKTPPFPESKHFSS
+PGKFPPRLPSAGAHTQSPGRASSYGSGQRSEDTHIAAAAAILNLSTRCREAADILANRQQ
+GLHAKGAEIEVDENGTLDLSMKKARILDKVAPLTSTNPSVPTASSSPFKTSSLLVNAAFY
+QALCDHEGWDTPINYSKAHGKTEEEKEKDPVSSLENLEEKKFSGEASIPSPKPKLHTRDL
+KKELITCPTPGCDGSGHVTGNYASHRSVSGCPLADKTLKSLMAANSQELKCPTPGCDGSG
+HVTGNYASHRSLSGCPRARKGGVKVTPTKEEKEDPELKCPVIGCDGQGHISGKYTSHRTA
+SGCPLAAKRQKENPLNGAPLSWKLNKQELPHCPLPGCNGLGHVNNVFVTHRSLSGCPLNA
+QAIKKGKVSEELMTIKVKASGGIENDEEIRHLDEEIKELNESNLKIEADMMKLQTQITSM
+ESNLKTIEEENKLIEQNNESLLKELAGLSQALISSLADIQLPQMGPISEQNFEAYVNTLT
+DMYSNLERDYSPECKALLESIKQAVKGIHV
+>tr|A0A3Q1MQ90|A0A3Q1MQ90_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MLPMSAEVKTSPLQKANFCSRLFVWWLNPLFKIGHKRKLEPDDMYSVLPEDRSQHLGEEL
+QGYWDQEVKRAQKDAQEPSLVKAIIKCYWKSYFIWGMFTFLEEAEEWLLVAKILWAESNH
+>tr|E1BKM8|E1BKM8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=CYP24A1 PE=4 SV=3
+MSSPISKSRSLAAFLQQLRSLGQPPRPVTSTACSPRRPREVPLCPVMEPGEAQDAATLPG
+PTKWPLLGSLLEILWKGGLKKQHDTLVEYHKKYGKIFRMKLGSFDSVHLGSPCLLEALYR
+TESAHPQRLEIKPWKAYRDYREEGYGLLILEGEDWQRVRSAFKKLMKPVEIMKLDDKINE
+VLADFMGRIDELCDERGRIEDLYTELNKWSFESICLVLYEKRFGLLQKNAGEEALNFIMA
+VKTMMSMFGKMMVTPVELHRSLNTRVWQAHTQAWDTIFRSVKSCVDNRLEKYSEQPSMDF
+LCDIYHHNQLSKKELYAAVTELQLAAVETTANSLMWILYNLSRNPHVQQKLFKEIQSVLP
+ENQLPRAEDLRNMPYLKACLKESMRLNPTVPFTTRTLDKAMVLGEYALPKGIVRKYDVVA
+TDLEPVETLHLGNLVPGRQLPVAFCQR
+>tr|E1BDC5|E1BDC5_BOVIN Potassium voltage-gated channel subfamily B member 1 OS=Bos taurus OX=9913 GN=KCNB1 PE=3 SV=1
+MPAGMTKHGSRSTSSLPPEPMEIVRSKACSRRVRLNVGGLAHEVLWRTLDRLPRTRLGKL
+RDCNTHDSLLEVCDDYSLDDNEYFFDRHPGAFTSILNFYRTGRLHMMEEMCALSFSQELD
+YWGIDEIYLESCCQARYHQKKEQMNEELKREAETLREREGEEFDNTCCAEKRKKLWDLLE
+KPNSSVAAKILAIISIMFIVLSTIALSLNTLPELQSLDEFGQTTDNPQLAHVEAVCIAWF
+TMEYLLRFLSSPKKWKFFKGPLNAIDLLAILPYYVTIFLTESNKSVLQFQNVRRVVQIFR
+IMRILRILKLARHSTGLQSLGFTLRRSYNELGLLILFLAMGIMIFSSLVFFAEKDEDDTK
+FKSIPASFWWATITMTTVGYGDIYPKTLLGKIVGGLCCIAGVLVIALPIPIIVNNFSEFY
+KEQKRQEKAIKRREALERAKRNGSIVSMNMKDAFPRSIEMMDIVVEKNGENVGQKDKVQD
+NHLSPSKWKWTKRTLSETSSSKSFETKEQGSPEKARSSSSPQHLNVQQLEDMYNKMAKTQ
+SQPILNTKESATQSKPKEELEMESIPSPVAPLPTRTEGVIDMRSMSSIDSFISCATDFPE
+ATRFSHSPLASLPSKTGGGMAPEVGWRGALGATGGRFVEANSAPDASHHSTFFIESPKSS
+MKTTNPLKLRALKVNFMEGDPSPLVPILGMYHDPLRNRGGAAAAVAGLECASLLDRPVLS
+PESSIYTTASARTPPRSPEKHTAIAFNFEAGIHQYIDADTDDEGQVLYSVDSSPPKSVHG
+NTSPKFSVGTRSEKNHFESSPLPTSPKFLRQNCIYSTEALTGKAPSGPEKCKLENHISPD
+VRVLPGGGAHGSSRDQSI
+>tr|A0A3Q1NCU9|A0A3Q1NCU9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MLTTPVVQSALHWPLPKPLDPCISSWYLSLTPQNALLTSLSFPFVSQGGGTKKQNIDLEQ
+KLYDGVSATSTWLDDVEERLFVATALLPEETETCIFNQETLAKDIKEMSEEMDKNKNLFS
+QAFPENGDNRDVIEDTLGCLLGRLSLLDSVVNQRCHQMKERLQQILNFQNDLKVLVTSLA
+DHKYIILQKLAKMFEQPVAEQIEAIQQAEDGLKELDAGIIELKRRADKLQIEQPSMQELS
+KLQDMYDELMMTIGSRRSGLNQNLALKSQYERALQDLADLLETGREKTAGDQKIIVSSKE
+EIQQLLDKHKEYFQGLESHMILTETLFRKIISFAVPRETQFHTDLMAQASAVLKGAHKRG
+VELEYILETWSQLEKEHWELSRQLEVVESSTPSVGLVEESEDRLIDRIALYQHLKSSLNE
+YQPKLYQVIDDGKRLLISVIFQRGGCQVHAKIISVPH
+>tr|A0A3Q1LZF2|A0A3Q1LZF2_BOVIN Keratin 34 OS=Bos taurus OX=9913 GN=KRT34 PE=3 SV=1
+MPYSCCLPTLSYRSSCSSRPCVPHSCRGTTLPGACNIPANVGSCNWFCEGSFNGNEKETM
+QFLNDRLASYLEKVRQLERDNAELESRILERSQQQEPLLCPNYQSYFRTIEELQQKILCA
+KSENSRLVIQIDNAKLAADDFRTKYERSLRQLVESDINSLRRILDELTLCKADLEAQVES
+LKEELLCLKKNHEEEANSLRSQLGDRLNVEVDAAPTVDLNRVLNETRAQYEALVETNRRD
+VEEWYIRQTEELNKQVVSSSEQLQSYQAEIIELRRTVNALEVELQAQHNLGHWDRDSLEN
+TLTETEARYSCQLAQVQGLIGNVESQLAEIRSDLERQNQEYQVLLDVRARLECEINTYRG
+LLDSEDSKLPCNPCATTNASSISCRSSAQNRCC
+>tr|F1MVE3|F1MVE3_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC515027 PE=3 SV=2
+LIMIILNQTDLTPASFILTGTPGLEDRHFWISLPFCTMYVVAVVGNCGLLYLIRYEDSLH
+RSMYYILAMLSLTDLVMCTTTIPKALCIFWFHLKEISFDECLVQMFFIYAFTGMESGVLM
+LMALDRCVAICYPLRYSTILTNTIIAKAGLITFLRGVLLVIPLTFIIKRLPYCRGNIIHH
+TYCDHMAVAKLSCGNIKINVNYGQMVALLIGGFDILCISVSYTMILWAVVSLSSAEARWK
+AFSTCTAHICAIVFSYSPPFFCFFSHRFRGHRIPPSCHIIVANIYLLLPPTMNPIIYGVK
+TKQIRDCVIRILLGSKDTKSHSI
+>tr|A0A3Q1LKB3|A0A3Q1LKB3_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MNKVSGGDGILVELFQILKDDAVKVLYSICQQIWKTQQWLQDWKRSVFIPIPKKGNAKKC
+SNYCPITLISHASKVMLKTLQARLQQYENHELPAVQASFRKASGTKDQIANIHWIIKKAR
+EFQKNIYFCFIGYAKAFDCVDHNKLWKILKEMGIPDHLTCLLRNMFAGQEATFRTEQGVT
+HWFQIGKGVRQGCILSPCLFNLYAEYIMRNVGLEEAQAGIKIAGKNINNLRYADDTTLME
+ESEELKSLLMKVKEESEKVGLQLNIQKTKIMVSGPITSWQTDGETVETVADFIFLGSKIT
+ADGDCSHEIKRHLLLRRKVTTNLDSILKSRGITLSTKVRLVKAMVFPVVMYGCESWIIKK
+AESRRIDAFEL
+>tr|G3MZP0|G3MZP0_BOVIN Cation channel sperm associated 4 OS=Bos taurus OX=9913 GN=CATSPER4 PE=4 SV=2
+RPEEQVLINRRDITSRKDAWDMQEFITRMYVKQLLRHPASKVLLAMLLVVNAITIALRTN
+SMLGQKHYELFSTIDDIVLTTLICELLLGWLNGFWIFWKDGWNILNFLIIFILLLGFFVN
+ELSVVAITYTLRALRLLHVCMAVEPLARIIRVILKSVPDMANIMVLILFLMLVFSVFGVT
+LFGVFVPMHFQNMQVALYTLFICITQDGWVDIYSDFQTETGEYGVEIGGAIYFAIFITIG
+AFIGINLLVVVVTTNLEQMMKAEQGHQHQIAFREVNDKKENGNNKLPLVHCAVARSEMSG
+VPQEPFMGGPLTNLSERTCDNFCLVLEAIQENLMEYKEIRDELNMIVDEVRSIRFNQEQE
+EELMQRYLSWNISLESRSSVDIREMTREQDLITALVNREKVQESNTNINKHKASR
+>tr|E1BN23|E1BN23_BOVIN Thyrotropin releasing hormone degrading enzyme OS=Bos taurus OX=9913 GN=TRHDE PE=4 SV=3
+MALDGERGKQEEEKKKKKKKKKRKKKEKGAQKSGSLFAATMGENDAALRAGGRGLSDPWA
+DSAGVRPRTTERHITAHKRLVLAFAVSIVALLAVTMLAVLLSLRFDECGASVPSGADGGP
+AGFPARGGNESLPGPARRNHHAGGDPSQPGAGAEATPETPSAQPPSGEEREQWQPWTQLR
+LSGHLKPLHYNLMLTAFMENFTFSGEVNVEIACRNATRYVVLHASRVAVEKVQVAEDRVA
+GAVPVAGFFLYPQTQVLVVVLNRTLDAQRNYNLKIIYNALIENELLGFFRSSYVLHGERR
+FLGITQFSPTHARKAFPCFDEPIYKATFKISIKHQASYLSLSNMPVETSVFEEDGWVTDH
+FSQTPLMSTYYLAWAICNFTYRETTTKSGVAVRLYARPDAIRRGSGDYALHIAKRLIEFY
+EDYFNVPYSLPKLDLLAVPKHPYAAMENWGLSIFVEQRILLDPSVSSISYLLDVTMVIVH
+EICHQWFGDLVTPVWWEDVWLKEGFAHYFEFVGTDYLYPGWNMEKQRFLTDVLHEVMLLD
+GLASSHPVSQEVLRATDIDRVFDWIAYKKGAALIRMLANFMGHSVFQRGLQDYLTIHKYG
+NAARNDLWNTLSEALKRNGKYVNIQEVMDQWTLQMGYPVITILGNTTAENRIIITQQHFI
+YDISAKTKAHEPQNNSYLWQIPLTIVVGNRSHVSSEAIIWVSNKSEHHRITLDKGSWLLG
+NINQTGYFRVNYDLRNWRLLIDQLIRNHEVLSVSNRAGLIDDAFSLARAGYLPQNIPLEI
+IRYLSEEKDFLPWHAASRALYPLDKLLDRMEKYNIFNEYILKQVATTYIKLGWPKNSFNG
+SLVQASYQPEELRREVIMLACSFGNKHCHQQASTLISDWISSNRNRIPLNVRDIVYCTGV
+SLLDEDVWEFIWMKFHSTTAISEKKILLEALTCSDDRNLLNRLLNLSLNSEVVLDQDAID
+VIIHVARNPHGRDLAWKFFRDKWKILNTRYGEALFMNSKLISGVTEFLNTEGELKELKNF
+MKSYDGVAAASFSRAVETVEANVRWKMLYQDELFQWLGKALRH
+>tr|F1MJW7|F1MJW7_BOVIN Dynamin-type G domain-containing protein OS=Bos taurus OX=9913 GN=SRL PE=3 SV=3
+MRALVLLCCFVASLLLPGQAELQVSASGGTEDVGNLLENHFSAGDASLEEKERALRAEAA
+PGETRPLLHPSEGGEDGSSEKTAADGLSSDPDPDPEASLSNASASESAAPGEPAGPGAED
+AGPPGAASALPPGREGEPGEEEGARELSSGEGPGQEAEGPAGSGEVPEEAAEALGEAAAG
+SPEPENSEDSPSQEVETGGEGSPGPGLEPEVTDGAADVDAEAAQRTEDQAEPSSISAAAA
+EDAAAREEEGGSREHPNEASSEEEGGEESEEESGDEEDSGDAASSEEAGGASEEEEAEKE
+AGEGAHAAAGSASPGEEGSPEESPEGADAQEPKEEGPQEEAEDVSEEAPLRDRSHIEKTL
+MLNEDKPADDFSVVLQRLRKIYHSSIKPLEQSYKYNELRQHEITDGEITSKPMVLFLGPW
+SVGKSTMINYLLGLENTRYQLYTGAEPTTSEFTVLMHGPKLKTIEGIVMAADSARSFSPL
+EKFGQNFLEKLIGIEVPHKLLERVTFVDTPGIIENRKQQERGYPFNDVCQWFIDRADLIF
+VVFDPTKLDVGLELEMLFRQLKGRESQIRIILNKADNLATQMLMRVYGALFWSLAPLINV
+TEPPRVYVSSFWPYDYKPDTHRDLFLREEISLLEDLNQVIENRLENKIAFIRQHAIRVRI
+HALLVDRYLQTYKEKMTFFSDGELVFKDIVEDPDKFYIFKTILAKTNVSKFDLPHREAYK
+DFFGINPISSFKLLSQQCSYMGGCFLDKIERAITQELPSLLGSLGLGKNPGALNCDKTGC
+GETPKNRYKKP
+>tr|E1BCF7|E1BCF7_BOVIN Distal-less homeobox 2 OS=Bos taurus OX=9913 GN=DLX2 PE=4 SV=2
+MTGVFDSLVADMHSTQITASSTYHQHQQPPSGGGAGPGGSNGSSLHKPQESPTLPVSTAT
+DSSYYTNQQHPAGGGGGGGGGSPYAHMGSYQYHASGLNNVPFSAKSGYDLGYTAAYTSYA
+PYGTSSSPANNEPEKEDLEPEIRIVNGKPKKVRKPRTIYSSFQLAALQRRFQKTQYLALP
+ERAELAASLGLTQTQVKIWFQNRRSKFKKMWKSGEIPSEQHPGTSASPPCASPPASAPAS
+WDFGAPQRMGGGGGGPGSGGSGAGSSGSSPSSAASAFLGNYPWYHQASGSASHLQAAAPL
+LHPTQTPQPHHHHHHHHGGGGGAPVSAGTIF
+>tr|A0A3Q1LRB1|A0A3Q1LRB1_BOVIN TLE family member 2, transcriptional corepressor OS=Bos taurus OX=9913 GN=TLE2 PE=4 SV=1
+MGESQSHHCGNWPRRGPWVSLLGGKESGIPMFHPLPSLKLECEKLASEKTEMQRHYVMYY
+EMSYGLNIEMHKQAEIVKRLSGICAQIIPFLTQEHQQQVLQAVERAKQVTVGELNSLIGQ
+QLQPLAHHAPAVPLTPRPAGLVGGSATGLLALSGALAAQAQLAAVTKEERAGVEAEGSRV
+ERVPSRSVSPSPPESVVEEERPSGLGGNGKQRAEEKDLSGPYDQPSEPPSPATTPCGKAP
+TCIPARRDLVDSPASLASSLGSPLPRAKELVLNDLPASTPASKSCDSSPPQDASTPGPSS
+VSHLRQLAAKPAPSTDSIALRSPLTLSSPFSTSFSLGSHSVLNGDLSVPSSYVSLHLSPQ
+ASGSVVYGRSPMMAFESHPHLRGSSISSSLPTIPGGKPAYSFHVSADGQMQPVPFPSDAL
+VGAGIPRHARQLHTLAHGEVVCAVTISGSTQHVYTGGKGCVKVWDVGQPGAKMPVAQLDC
+LNRDNYIRSCKLLPDGRSLIVGGEASTLSIWDLAAPTPRIKAELTSSAPACYALAVSPDA
+KVCFSCCSDGNIVVWDLQNQTMVRQFQGHTDGASCIDISDYGTRLWTGGLDNTVRCWDLR
+EGRQLQQHDFSSQIFSLGHCPNQDWLAVGMESSSVELLHVRKPEKYQLHLHESCVLSLKF
+ASCGRWFVSTGKDNLLNAWRTPYGASIFQSKESSSVLSCDISGNNKYIVTGSGDKKATVY
+EVVY
+>tr|E1BK33|E1BK33_BOVIN Microtubule associated serine/threonine kinase 1 OS=Bos taurus OX=9913 GN=MAST1 PE=4 SV=3
+MSDSLWIALSNFSMPSFPGGSMFRRTKSCRTSNRKSLILTSTSPTLPRPHSPLPGHLGSS
+PLDSPRNFSPNTPAHFSFASSRRADGRRWSLASLPSSGYGTNTPSSTVSSSCSSQERLHQ
+LPYQPTVDELHFLSKHFGSTESITDEDGGRRSPAVRPRSRSLSPGRSPSSYDNEIVMMNH
+VYKERFPKATAQMEEKLRDFARAYEPDSVLPLADGVLSFIHHQIIELARDCLTKSRDGLI
+TTVYFYELQENLEKLLQDAYERSESLEVAFVTQLVKKLLIIISRPARLLECLEFNPEEFY
+HLLEAAEGHAKEGHLVKTDIPRYIIRQLGLTRDPFPDVVHLEEQDSGGSNTPEQDDLSEG
+RSTKAKKPPGENDFETIKLISNGAYGAVYLVRHRETRQRFAMKKINKQNLILRNQIQQAF
+VERDILTFAENPFVVGMFCSFETRRHLCMVMEYVEGGDCATLLKNIGALPVEMARMYFAE
+TVLALEYLHNYGIVHRDLKPDNLLITSMGHIKLTDFGLSKMGLMSLTTNLYEGHIEKDAR
+EFLDKQVCGTPEYIAPEVILRQGYGKPVDWWAMGIILYEFLVGCVPFFGDTPEELFGQVI
+SDDILWPEGEEALPTDAQHLISSLLQTNPLIRLGAGGAFEVKQHSFFRDLDWTGLLRQKA
+EFIPHLESEDDTSYFDTRSDRYHHVNSYDEDDTTEEEPVEIRQFSSCSPRFSKVYSSMEQ
+LSQHEPKTPVAAAGTSKREPTAKGPEEKVTSKREGLGGLTLREKTWRGGSPEIKRFSASE
+ASFLEGEASPPLGARRRFSALLEPSRFSTPQEEEDEARLRRPPRPSSDPPGSLDARAAKE
+IPPGDGAPSTGDSEATDHPGDLCPPSKDGDPSGPRATNDLVLRRARHQQLSGDPAVEKRP
+SRTGGKVIKSASATALSVMIPAVDPHGSSPLASPMSPRSLSSNPSSRDSSPSRDYSPAVS
+GLRSPITIQRSGKKYGFTLRAIRVYMGDSDVYSVHHIVWHVEEGGPAQEAGLCAGDLITH
+VNGEPVHGMVHPEVVELILKSGNKVAVTTTPFENTSIRIGPARRSSYKAKMARRNKRPSA
+KEGQESKKRSSLFRKITKQSNLLHTSRSLSSLNRSLSSSDSLPGSPTHGLPARSPTHSYR
+STPDSAYLGASSQSSSPASSTPNSPASSASHHIRPSTLHGLSPKLHRQYRSARCKSAGNI
+PLSPLAHTPSPTQASPPPLPGHTVGSSHTTQSFPAKLHSSPPVVRPRPKSAEPPRSPLLK
+RVQSAEKLGASLGADKKGALRKHSLEVGHPDFRKDFHGELALHSLAESDGETPPIEGPGA
+PRQVAVRRLGRQESPLSLGADPLLPEGAPRPLASSKEKESPGGAEACTPPRATTPGGRTL
+ERDASGARHQSVQTEDGTGGTARAVAKSALSPVQEHETGRRSSSGEAGTPPVPIVVEPLR
+PGAKAEVSQPPSVHTPSADSKALPESAPLAPPQPDALRGRERWVLEVVEERTTLSGPRSK
+PASPKLSPDPQTPSQAPTKNVPSSAGPPAPPASLPGPTTKPEVGPTSRCPAEAVPSVGPT
+KKGAPCPMPPGP
+>tr|A0A3Q1MD76|A0A3Q1MD76_BOVIN Polypeptide N-acetylgalactosaminyltransferase OS=Bos taurus OX=9913 GN=GALNT13 PE=3 SV=1
+RMLICFVYSVHYLYVCMYICTCVCLTAVISRNQEGPGEMGKAVLIPKDDQEKMKELFKIN
+QFNLMASDLIALNRSLPDVRLEGCKTRVYPDELPNTSVVIVFHNEAWSTLLRTVYSVINR
+SPHYLLSEVILVDDASERDFLKLTLENYVKNLEVPVKIIRMEERSGLIRARLRGAAASKG
+QVITFLDAHCECTLGWLEPLLARIKEDRKTVVCPIIDVISDDTFEYMAGSDMTYGGFNWK
+LNFRWYPVPQREMDRRKGDRTLPVRTPTMAGGLFSIDRNYFEEIGTYDAGMDIWGGENLE
+MSFRIWQCGGSLEIVTCSHVGHVFRKATPYTFPGGTGHVINKNNRRLAEVWMDEFKDFFY
+IISPGVVKVDYGDVSVRKTLRENLKCKPFSWYLENIYPDSQIPRRYYSLGEIRNVETNQC
+LDNMGRKENEKVGIFNCHGMGGNQVFSYTADKEIRTDDLCLDVSRLNGPVIMLKCHHMRG
+NQLWEYDAERLTLRHVNSNQCLDEPSEEDKMVPTMQDCSGTRSQQWLLRNMTLGA
+>tr|A0A3Q1LW07|A0A3Q1LW07_BOVIN Membrane cofactor protein OS=Bos taurus OX=9913 GN=CD46 PE=4 SV=1
+FRLSCTTCLLPGHSPCLVNKTKQFIFMLLFSLFLDACDDPPRFVSMKPQGTLKPSYSPGE
+QIVYECRLGFQPVTPGQVLALVCQDNNTWSSLQEGCKKRRCPTLADPTNGQVILVNGSTE
+FGSEVHYVCNNGYYLLGTNISYCEVSSGTGVNWSDNPPTCEKILCQPPPEIQNGKYTNNH
+KDVFEYNEVVTYSCDPSNGPDEYSLVGESKLTCIGNGEWSSQPPQCKVVKCVYPAIEHGT
+IVSGFGPKYYYKATVVLKCNEGFNLYGNSVVVCGENSTWEPELPKCIKGKTIFPVIGVGL
+LLCLYCCFCRQRKKGKAECSATYTTYQDKATTATEQMN
+>tr|F1MVK6|F1MVK6_BOVIN Growth arrest specific 2 like 1 OS=Bos taurus OX=9913 GN=GAS2L1 PE=4 SV=1
+MADPVAGIAGSAAKSVRPFRSSEAYVEAMKEDLAEWLNALYGLGLPSGGDGFLTGLATGT
+TLCQHANAVTEAARAMAAARPARGVAFQAHSVVPGSFMARDNVATFIGWCRAELGVPEVL
+MFETEDLVLRKNEKSVVLCLLEVARRGARLGLLAPRLVQFEQEIERELRATPPASNTPSA
+GEDTTETTATPGAPARGPRMTPSDLRNLDELVREILGRCTCPDQFPMIKVSEGKYRVGDS
+SLLIFVRVLRSHVMVRVGGGWDTLEHYLDKHDPCRCSSAAHRPPQPRTRTFSPQRVSPSP
+SPRAGSPAPGVERRGSRPEVTPIGLRSSKEGPETPLRARDQLPPHPRSRRYSGDSDSSAS
+SAQSGPLGARSEDSGTGPRRERPSRRVTTGTPVSPRRPPAPRSQSRDRLDRGRPRGAPGG
+RGGQLSAPSPARRARSQSREEQTVLLVRRDRDGQHSWVPRGRGSGGSGRSSPHTPRAHSP
+AAPRVPSPSPELSTIPASVFRTPLQLDPKQEQQLFRRLEEEFLANARALEAAAAGGTPSG
+PAPDPIRAPDPPAPDSAYCSSSSSSSSLSVLGGKCGQPGDSGRMANGLPGPRGPALSSSS
+DEGSPCPGVGGPPDAPGSPLAGPEPLRTWARGRMDTQPDRKPSRIPTPRGPRRPPGPTGS
+RTWHALRSVSPKTEPDSWM
+>tr|A0A3Q1NIB9|A0A3Q1NIB9_BOVIN GTP-binding protein OS=Bos taurus OX=9913 GN=GEM PE=3 SV=1
+MEEAPGQWTILTDLTMTLNHVTMRQGTAGVQPQQQRWSIPADGRHLMVQKEPQQYGQRSR
+PPAAPEDPCRRSWSSDSTDSVISSESGNTYYRVVLIGEQGVGKSTLANIFAGVHDSMDSD
+CEVLGEDTYERTLMVDGEIATIILLDMWENKGESEWLQDHCMQVGDAYLIVYSITDRASF
+EKASELRIQLRRARQTEDIPIILVGNKSDLVRCREVSVSEGRACAVVFDCKFIETSAAVQ
+HNVKELFEGIVRQVRLRRDSKEKNERRLAYQKRRESIPRKARRFWGKIVAKNNKNMAFKL
+KSKSCHDLSVL
+>tr|F1MV19|F1MV19_BOVIN Coiled-coil domain containing 117 OS=Bos taurus OX=9913 GN=CCDC117 PE=4 SV=1
+MAALGRPFSGLPLSGGSDFLQPPPAFAGRAFPPGTEGAELAPRPGLRATPSSSGGSAARG
+RISTHCRKKHKREEEEEDDCPVRKKRLTEAGLCAGPNDWILCAHQDIEGHGVNPCTSGLS
+APGMLDVICEEMDQTTGEPQCEVARRRLQEIEDRIIDEDEEVEADRNINRLPSLVLSDTM
+KTGLKREFDEIFTKKMIESMSRPSMELVLWKPLPELLSDKPKPSSNAKNYTGESQSKHAA
+AGTAFPQRTELFLEPRPTGLPVYNSLETAACTEEEMEL
+>tr|E1BGM1|E1BGM1_BOVIN Zinc finger protein 451 OS=Bos taurus OX=9913 GN=ZNF451 PE=4 SV=2
+MGDPGSEIIECIPPAGPEASESTVEENEDDIQFVSEGPLRPVLEYIDLVSSDDDEPSTSQ
+REDKHKDHIDHQKDKVALTLARLARHVEVEKQQKEEKNRAFREKIDFQHAHGLQELEFIR
+GHSDTEAARLCVDQWLKMPGLKTGTINSGTKGSFRRGSQIRASGKPISCPIMHCNKEFDN
+GHLLLGHLKRFDHSPCDPTITLHGPFISSFACVVCYKNFVTQQQYRDHLFAKEAADDGHK
+NNLLPQIIQCFACPNCFLLFSSKDECLKHMSGKNHFHQSFKLGDDKAVAQPISFPSFAKK
+LLISLCKDVPFQVKCVACHQTLRSHMELTAHFRVRCRNAGPVALAEKSIAQVAEKFLLRG
+YCPHCNHVFVDETSTWNHKQNLGHKVRVINSMEESVLLYCHSNEGNKPLSDLHLLQDGSK
+FSSLKRNMSSQESSSQDCSTVPKKKMNLGVKSLGGVVCFQKERSLVKTWFCECHQRFPSE
+DAVEKHVFSANTMCYKCVVCGKVCEDLGVIRLHMSRIHGGAHLNNFLFWCRTCKKELRRK
+EAIMAHVTEFHSGHRYFYETDEVAGDTSPSSSTVLVSDLTAENPSSALTIVDHSPANRPP
+RGKWQCRICEDMFDSQECVKQHCMSLTSHQFHRYSCTHCKKTFHKMETLQRHCQDEHNSE
+VKMKYFCGLCDLSFNVEDAFLTHYKEHHSTDYVFVSEKTEPSIKTESDFPVIETGNLLTC
+GCRESYLCKVNRREDHGRCLQTLLGQGRLWFRCGVCSATTQSLGDLHTHVRQAHADLETP
+PRGLIKCGTCTKAFQDPESAQQHFHTKHCSLRGPVGARLSAEPKSPPPARAERKPRQTAD
+GLQTAEPDRAAEMELSGQSADEEVVELPDLDYLRTMTHIVFVDFDNWSNFFGHLPGHLNQ
+GTFIWGFQGGNTNWKPPAHCKVYNYLNRIGCFFLHPRCSKRKDAADFAICMHAGRLDEQL
+PKQIPFTILSGDQGFLELENQFKKTQRPAHILNPHHLEGDMMCALLNSISDTTKECDSDD
+NLGIKSASVGEEFRSAEDVELEEAIRRSLEEM
+>tr|A0A3Q1MGF7|A0A3Q1MGF7_BOVIN RPA_C domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+LWNSLAFTMTHTSSFGGASGYTQSPGDFGSPTASQAEKKSRTQAQHIVPCTISPLLSATL
+VDEVFRTGNVEISQVTIVGIIRNAEKAAPNIVYKIDDMTAAPMDVRQWVDTDDASSENTV
+VPPETYVKVAGHLRSFQNKKSLVAFKIMPLEDMNEFTTHILEVVNAHMMLSQSNSQPSAG
+RAPISNPGMGEAGNFSGNNFIPANDLTVAQNQVLNLTKACPRLEGLNFQDLKNQLQHMSV
+ASIKLAVDFLSN
+>tr|F1MK36|F1MK36_BOVIN DDRGK domain-containing protein 1 OS=Bos taurus OX=9913 GN=DDRGK1 PE=4 SV=1
+MVSPVVYLVVAALLVGLILFLTRGRGRAAAAAQEPLHNEEVPAAAGRVARPQPLEPEEQR
+AAGRPRRRRDLGSRLQAQRRAQRVAWADENEEEAIIQAQEEEDIEKPVETHLSGKIGAKK
+LRKLEEKQARKAQREAEEAEREERKRLESQREAEWKKEEERLRLEEEQKEEEERKAQEEQ
+AQREHEEYLKLKETFVVEEEGVGETMTEEQSHSFLAEFINYIKQSKVVLLEDLASQVGLR
+TQDTINRIQDLLAEGTLTGVIDDRGKFIYITPEELAAVANFIRQRGRVSITELAQASNSL
+IAWGRETPAQAPA
+>tr|A0A452DII2|A0A452DII2_BOVIN Protein FAM49A OS=Bos taurus OX=9913 GN=FAM49A PE=4 SV=1
+MGNLLKVLTREIENYPHFFLDFENAQPTEGEREIWNQISAVLQDSESILADLQAYKGAGP
+EIRDAIQNPNDIQLQEKAWNAVCPLVVRLKRFYEFSIRLEKALQSLLESLTCPPYTPTQH
+LEREQALAKEFAEILHFTLRFDELKMRNPAIQNDFSYYRRTISRNRINNMHLDIENEVNN
+EMANRMSLFYAEATPMLKTLSNATMHFVSENKTLPIENTTDCLSTMTSVCKVMLETPEYR
+SRFTSEETLMFCMRVMVGVIILYDHVHPVGAFCKTSKIDVRTVMIGDFPVGARECRQTDL
+ASLSPSPPSTPHQLPMSELSLLQIHQGISPCSKKSSPVHGHTTNASNLKDTEREWRR
+>tr|F1MDL4|F1MDL4_BOVIN B-Raf proto-oncogene, serine/threonine kinase OS=Bos taurus OX=9913 GN=BRAF PE=4 SV=3
+MAALSGGGGGGGGGAEQGQALFNGDMEPEAGAAASSAADPAIPEEVWNIKQMIKLTQEHI
+EALLDKFGGEHNPPSIYLEAYEEYTSKLDALQQREQQLLESLGNGTDFSVSSSASTDTVT
+SSSSSSLSVLPSSLSVFQNPTDVSRSNPKSPQKPIVRVFLPNKQRTVVPARCGVTVRDSL
+KKALMMRGLIPECCAVYRIQDGEKKPIGWDTDISWLTGEELHVEVLENVPLTTHNFVRKT
+FFTLAFCDFCRKLLFQGFRCQTCGYKFHQRCSTEVPLMCVNYDQLDLLFVSKFFEHHPIP
+QEEASLAETTLPCGSSPSAPPSDSIGPPILTSPSPSKSIPIPQPFRPADEDHRNQFGQRD
+RSSSAPNVHINTIEPVNIDDLIRDQGFRSDGGSTTGLSATPPASLPGSLSNVKALQKSPG
+PQRERKSSSSSEDRNRMKTLGRRDSSDDWEIPDGQITVGQRIGSGSFGTVYKGKWHGDVA
+VKMLNVTAPTPQQLQAFKNEVGVLRKTRHVNILLFMGYSTKPQLAIVTQWCEGSSLYHHL
+HIIETKFEMIKLIDIARQTAQGMDYLHAKSIIHRDLKSNNIFLHEDLTVKIGDFGLATVK
+SRWSGSHQFEQLSGSILWMAPEVIRMQDKNPYSFQSDVYAFGIVLYELMTGQLPYSNINN
+RDQIIFMVGRGYLSPDLSKVRSNCPKAMKRLMAECLKKKRDERPLFPQILASIELLARSL
+PKIHRSASEPSLNRAGFQTEDFSLYACASPKTPIQAGGYG
+>tr|F1MIH1|F1MIH1_BOVIN Paired like homeobox 2A OS=Bos taurus OX=9913 GN=PHOX2A PE=4 SV=2
+MDYSYLNSYDSCVAAMEASAYGDFGACSQPGGFQYSPLRPAFPAAGPPCPALGSSNCALG
+ALRDHQPAPYSAVPYKFFPEPSGLHEKRKQRRIRTTFTSAQLKELERVFAETHYPDIYTR
+EELALKIDLTEARVQVWFQNRRAKFRKQERAASAKGAAGAAGAKKGEARCSSEDDDSKES
+TCSPTPDSTASLPPPPAPGLASPRLSPSPLPAALGSGPGPGPGPQQLKGPLWAGVAGGGG
+GGPGAGAAELLKAWQPAEPGPGPFSGVLSSFHRKPGPALKTNLF
+>tr|E1BMD3|E1BMD3_BOVIN Peptide-methionine (R)-S-oxide reductase OS=Bos taurus OX=9913 GN=MSRB3 PE=3 SV=1
+MSPRRTLPRPFLLCLCLSLCLAAAAARGAVQSGSCRDKKNCKVVFSQQELRKRLTPLQYH
+VTQEKGTESAFEGEYTHHKDPGIYKCVVCGTPLFKSETKFDSGSGWPSFHDVINSDAITF
+TDDFSYGMHRVETSCSQCGAHLGHIFDDGPRPTGKRYCINSASLSFSPAESSGAQGDSAG
+GSPAPGDKTEL
+>tr|E1BB34|E1BB34_BOVIN Kazrin, periplakin interacting protein OS=Bos taurus OX=9913 GN=KAZN PE=4 SV=3
+MLNSLMKRDLEKHLNVSKKFHQALQERRARCETQNIDPVVWTNQRVLKWVRDIDLKEYAD
+NLTNSGVHGAVLVLEPTFNAEAMATALGIPSGKHILRRHLAEEMSAIFHPANCTGIREAE
+RFGTPPGRASSVTRAGKEENSGGIKYKAGRLPLGKIGRGFSNKDPDFHDDYGSLQNEDCG
+DEDSQGRPEQCRLEGYNGLEVTNV
+>tr|A0A3Q1MBB3|A0A3Q1MBB3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=EFCAB8 PE=4 SV=1
+MIPYSRSRAVSSEDLRDKPLPRKVSGPRKSKEGLSSPAPSISVSQTSDFQYESQLFTEAH
+LAEMEKTFQSEVNSTGALDMKTFIKAVKKILSNMSEEMLEALFLKVDLNCNGFITWQEYV
+DYVMREFQGKEKMMRGQYRLRFHLPMRIIPLNHGCEIVKVEFLVQRLKKIGHFLTVTKDG
+ILQFWSESFMLTSSFRLYQIQPSHSQQMWVIDMVCLHNMNLVAVASTDQKIEFFDISSHK
+CARVFTFTDLDSCVLTMNYWSDYHRAVFCYGDTKGNVIIFTSDDVTTGLFNPRVLPRTSK
+WDNWINVSTRRLLSEKSPMYRSYRLKALHLNWCQQVKFIPQLNLVASCSAIDKSSLVLTV
+LPSKVPDSLKVSVLNLRKGILCFDYCPDRNVLVTGGYDPLIRLWNPFFSRKPVWMMKGHQ
+TSVTHIVVNSKDSSILLSVSKDKNIRVWDMQDYQCLQSFCGKHFALGHCPITSIYFHKED
+NSLICSTYSIGILKGYLETQGPGRAEEKTTTYSTPLCAVLYSKVFKQVVSGCLSGMVSVW
+EVVTGRRLMEFSVTGDQQVELTAMSLDESEGCLLTGLRDGTVKMWNYSVGECLLTFPKAD
+QLEISGIVHMNKVFYTTGWSKRITYYTFHKIKPVLLCHHWQTFHTEDVLSMAKYQNQFIA
+TSSYNGDILFWNVGTFRPILNFNASQSPLPLLPKRVQSDVNDCVFDSHRPSKPCIEQEKW
+AYKLHLQPPGLRTRAMVDANLRRNLMSAPPVMRHPRDKEPAKPVPLKKPLSASSLSQSRL
+SLDRHSTLKEAERKKADFQKKLLLQSNASVEKIIFLQTRPRLPHSAALLSSCMDGYIYAW
+SIHGSGGLLGKFPVDSVDEGDVVVGAMATDQNDWILVTGDCKGCIKIWDIKDYCAHSDKQ
+TNHPSEINKFRFLISERIQVSLPNYSPPEEKKVEAGQTISLIPPQLLISWKAHLDSVADI
+LYVDSLQLVISAGQDRDVKAWKLSGDAIGTFGLSVWKRLQDTPMMTDGELNERLKKEGDF
+FDPNEKTFHLELQEERDLAEALMYQRREQVVLLDLLNGKADTEAKAWARLQKITPMSPWT
+GKRSPEDIEDTWCKWESKGKQVSKVLGAAYKPKERLRSPGLLFTNVQYGCMKHQISPQIY
+QSLHFSELASTQNPAFKTQKVLDQQSWLTLLVTQRIRKDLGPYRDTVPEHPASSPTTTAS
+SPSSPSLSASGSGLLASGLAPSSWSQPSRSFL
+>tr|A0A3Q1LYM7|A0A3Q1LYM7_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC783210 PE=3 SV=1
+MEAGNHTSVTEFILLGLTEDPTLRVIFFVVFVGIYVITLIGNISIIILIRSCSHLHTPMY
+FFLSHLAFVDMGFSTSITPMMIIGLLKHRLALPVAGCEAQLCSGVTFGTTECFLLAAMAY
+DRYVAICLPLLYSTHMSPRVCVVLVGACYLGGCVNAWTFGSCLLTLSFCGPNQVDHFFCD
+FSPLLKLSCSDVSIIEIIPSISSGSIIVVTVSVIALSYICILNTILKMCSTEGRYKAFST
+CTSHLTAVTLYYGTITFIYVMPKSSYSTEQNKVLSLFYTVLIPMLNPLIYSLRNRDVKEA
+LRKQVSEYILRIYS
+>tr|A0A3Q1LSZ3|A0A3Q1LSZ3_BOVIN LRR binding FLII interacting protein 1 OS=Bos taurus OX=9913 GN=LRRFIP1 PE=1 SV=1
+MKELERQQKEASDEDERMSVGSRGSLRVEERPEKDFTEKGSRSLPGLSAATLASLGGTSS
+RRGSGDTSISIDTEASIREIKDSLAEVEEKYKKAMVSNAQLDNEKTNFMYQVDTLKDMLL
+ELEEQLAESRRQYEEKSKEFEREKHAHSILQFQFAEVKEALKQREEMLEKHGIILNSEIA
+TNGETPDTLNSIASQGSTKMTKEELNALKAMGDGALGRANEVEVKNEMVEHEGKRAILQS
+TEQRQHKEDPGESCVDTEASHPGDSAEDQRASADGAPSPGTLVNSESEEEVQSRVLETAS
+FLADTQQVESSEVLNSELVGEIPDPGIGQGSGNALDMENQREESAEEREKGKQEDLKANL
+EEMSTKPCQESALPQISEAERESSEDPCKQSGSPTKAEPEAGLTGLGAQGGTAASSPLSG
+RDDPGSHHEKRLVDTPEGSDPSAGQDVEKELANQEVAEPREAPVQSTEAGRENEEAEEDE
+GRNLREEKPIEPEVQTSPRPPEAESRPQEVTDPRVEDAESEALDVKDPSEENDQQGEALD
+SSQKKTKSKKKKNKKKKSPVPAELKDVQKELTFQNPGLIEVKEELEKDTDEKPVVDAQNE
+VTKSPKQNSVAESGENSGGPENPKVELDGKLDQDDAAVNAKAGGDTLDFEDNMIQSSGTS
+NKELDEGAVKDEAEEDGGTPSSPPGPENTEVPSSALLEDESPAKDINDASHTEGAEGRVT
+PENLGQTVREFSDSIPLENDGLAAADEVGDFNSESKEEKTGGTGKGRSKEDCTMS
+>tr|F1MRK8|F1MRK8_BOVIN MHC_I-like_Ag-recog domain-containing protein OS=Bos taurus OX=9913 GN=RAET1G PE=4 SV=3
+MAKGERGGPETRLGFLDLLLIVWFSGTPGDAHSLSFDFTVDPQPRPGHPWCEIQSQVDGK
+VFLSYDCGHAKIIIPSVLGEEVKTIKAWETQIETLRDIRDWIKDHMHDFTLEKHMPRDPR
+TLQARMTCHCEDDRHVSGSWQFGLNGQMSLHFDLENGHWRVGQPGGRWMKEKWENDRAVM
+EFLKKVSMGDCRGWLQDFMVRWKEILKTTASPTTVPPTVQPTAPPISHVTWIAPGVLVSF
+VIKGIVAWILYKKRRLCSQEAPDRCSVGLRTQCLLGCFCSPAFTLEPRDQTLGVSSLSTS
+YDDTVAAPSRVSCQI
+>tr|A0A3Q1MDR2|A0A3Q1MDR2_BOVIN Synaptotagmin 6 OS=Bos taurus OX=9913 GN=SYT6 PE=4 SV=1
+MSDGAGVSCRLRQAGASCPNGADPAPDAVPGGCRAQEGARAGSSSPEPLCWMPWRNKEAS
+SPSSANPSPEALQSPSSRGNMADKLKDPHTLGFLEAAVKISHTSPDIPAEVQMSVKEHIK
+RHTRLQRQTTEPASSTRHTSFKRHLPRQMHVSSVDYGNELPPAAEQPTSIGRIKPELYKQ
+KSVDGDDAKSEAKSCGKINFSLRYDYENETLIVRILKAFDLPAKDFCGSSDPYVKIYLLP
+DRKCKLQTRVHRKTLNPTFDENFHFPVPYEELADRKLHLSVFDFDRFSRHDMIGEVILDN
+LFEASDLSRETSIWKDIQYATSESVDLGEIMFSLCYLPTAGRLTLTVIKCRNLKAMDITG
+YSDPYVKVSLLCDGRRLKKKKTTIKKNTLNPVYNEAIIFDIPPENMDQVSLLISVMDYDR
+VGHNEIIGVCRVGINAEGLGRDHWNEMLAYPRKPIAHWHSLVEVKKSFKEGNPRL
+>tr|E1BFL8|E1BFL8_BOVIN Interleukin 1 receptor accessory protein OS=Bos taurus OX=9913 GN=IL1RAP PE=4 SV=3
+MGLLWCVMSLYFYGILQSHASERCDDWGLDTMRQIQVYEDEPARIKCPLFEHFLKYNYST
+AHSAGLTLIWYWTRQDRDLEEPINFRLPENRISKEKDVLWFRPTLLNDTGNYTCMLRNTT
+YCSKVAFPLEVVQKDNCFNSPMKLPVHKLYLEYGVQKISCPNIDGFFPSNIKPNITWYMG
+CRKIHNFNNVLPEGMNLSFIIAMVSNNGDYTCVVTYPENGRTFTLTRTQTVKVVGSPNDA
+MPPHIYSPDDHVVYEKEPGEELLIPCKVYFSYLKDSRNEIWWTIDGKKPEDTTIDVSVNE
+SVILKVTEDETRTQLLSIKKVTAEDLKRNYVCHARNAKGEVDRAANVKQKAPRYTVELAC
+GFGATVLLVVILIVIYHVYWLEMVLFYRAHFGTDETILDGKEYDIYVSYARNAEEEEFVL
+LTLRGVLENEFGYKLCIFDRDSLPGGNTVEAVFDFIQRSRRMIVVLSPDYVTEKSISMLE
+FKLGVMCQNSIATKLIVVEYRPLEQPHPSILQLKESVSFVSWKGEKSKHSGSKFWKALRL
+ALPLRSLSASSGWNESCSSQSDISLDHVQRRKSRLKEPPELQSSGRAAGASPGPDTMSKH
+RGKPSAACRCCVTYCEGESQLRSKSRAEIHTQPQWETHLCKPVPQESETQWIQNGTRLEP
+PAPQISALALHHFTDLSNNNDFYIL
+>tr|G3X6R0|G3X6R0_BOVIN Polypeptide N-acetylgalactosaminyltransferase OS=Bos taurus OX=9913 GN=GALNT12 PE=3 SV=2
+MLGRAARRRCPRGLRRGREALLALLALLALAGLASVLRARRGAGAAEPGLRRTQRSGRRD
+PVLPRPPVPEDALGAHGEAVRLQLQGEELRLQEESVRLHQINIYLSDRISLHRRLPERWN
+PLCKEKKYNYDELPTTSVVIAFYNEAWSTLLRTVYSVLETSPDTLLEEVILVDDYSDREH
+LKERLATELAGLPKVRLIRANKREGLVRARLLGASVAKGDVLTFLDCHCECHEGWLEPLL
+QRCVCGWRGPRQTGVGGRGAGLSALRTCQSPTMAGGLFAVSKKYFEYLGSYDIGMEVWGG
+ENLEFSFRIWQCGGTLEIHPCSHVGHVFPRQAPYSRNKALANSVRAAEVWMDEFKELYYH
+RNPQARLEPFGDVTERRQLRARLGCKDFKWFLNTVYPELHVPEDRPGFFGMLQNKGLRDY
+CFDYNPPNEHEITGHQVILYRCHGMGQNQFFEYTSQNEIRYNTHQPEGCVAVVEGTDVLI
+MHLCENTTPEDQKFILQEDGSLFHVPSKKCVQAERKDLSDSFVPLLRDCTNLDHQKWFFR
+EHIV
+>tr|A0A3Q1M342|A0A3Q1M342_BOVIN Teneurin transmembrane protein 3 OS=Bos taurus OX=9913 GN=TENM3 PE=4 SV=1
+MDVCERKGESIGCREQPANNQGQSTLQPLPPSHKQHSAQHHPSITSLNRNSLTNRRNQSP
+APPAALPAELQTTPESVQLQDSWVLGSNVPLESRHFLFKTGTGTTPLFSTATPGYTMASG
+SVYSPPTRPLPRNTLSRSAFKFKKSSKYCSWKCTALCAVGVSVLLAILLSYFIAMHLFGL
+NWQLQQTENDTFENGKVNSDTMPTNTVSLPSGDNGKLGGFTQENNTIDSGELDIGRRAIQ
+EVPPGIFWRSQLFIDQPQFLKFNISLQKDALIGVYGRKGLPPSHTQYDFVELLDGSRLIA
+REQRNLLESERAGRQARSVSLHEAGFIQYLDSGIWHLAFYNDGKNAEQVSFNTIVIESVV
+ECPRNCHGNGECVSGTCHCFPGFLGPDCSRAACPVLCSGNGQYSKGRCLCFSGWKGTECD
+VPTTQCIDPQCGGRGICIMGSCACNSGYKGENCEEADCLDPGCSNHGVCIHGECHCNPGW
+GGNNCEILKTMCPDQCSGHGTYLQESGSCTCDPNWTGPDCSNEICSVDCGSHGVCMGGSC
+RCEEGWTGPACNQRACHPRCAEHGTCKDGKCECSQGWNGEHCTIEGCPGLCNSNGRCTLD
+QNGWHCVCQPGWRGAGCDVAMETLCTDSKDNEGDGLIDCMDPDCCLQSSCQNQPYCRGLP
+DPQDIISQSLQSPSQQAAKSFYDRISFLIGSDSTHVIPGESPFNKSLASVIRGQVLTADG
+TPLIGVNVSFFHYPEYGYTITRQDGMFDLVANGGASLTLVFERSPFLTQYHTVWIPWNVF
+YVMDTLVMKKEENDIPSCDLSGFVRPNPIIVSSPLSTFFRSSPEDSPIIPETQVLHEETT
+IPGTDLKLSYLSSRAAGYKSVLKITMTQSVIPFNLMKVHLMVAVVGRLFQKWFPASPNLA
+YTFIWDKTDAYNQKVYGLSEAVVSVGYEYESCLDLTLWEKRTAILQGYELDASNMGGWTL
+NKHHVLDVQNGILYKGNGENQFISQQPPVVSSIMGNGRRRSISCPSCNGQADGNKLLAPV
+ALACGIDGSLYVGDFNYVRRIFPSGNVTSVLELSSNPAHRYYLATDPVTGDLYVSDTNTR
+RIYRPKSLTGAKDLTKNAEVVAGTGEQCLPFDEARCGDGGKAVEATLMSPKGMAIDKNGL
+IYFVDGTMIRKVDQNGIISTLLGSNDLTSARPLTCDTSMHISQVRLEWPTDLAINPMDNS
+IYVLDNNVVLQITENRQVRIAAGRPMHCQVPGVEYSAGKHAVQTTLESATAIAVSYSGVL
+YITETDEKKINRIRQVTTDGEISLVAGIPSECDCKNDANCDCYQSGDGYAKDAKLSAPSS
+LAASPDGTLYIADLGNIRIRAVSKNKPLLNSMNFYEVASPTDQELYIFDINGTHQYTVSL
+VTGDYLYNFSYSNDNDITAVTDSNGNTLRIRRDPNRMPVRVVSPDNQVIWLTIGTNGCLK
+SMTAQGLELVLFTYHGNSGLLATKSDETGWTTFFDYDSEGRLTNVTFPTGVVTNLHGDMD
+KAITVGIESSSREEDVSITSNLSSIDSFYTMVQDQLRNSYQIGYDGSLRIIYASGLDSHY
+HTEPHILAGTANPTVAKRNMTLPGENGQNLVEWRFRKEQAQGKVNVFGRKLRVNGRNLLS
+VDFDRTTKTEKIYDDHRKFLLRIAYDTSGHPTLWLPSSKLMAVNVTYSSTGQIASIQRGT
+TSEKVDYDGQGRIVSRVFADGKTWSYTYLEKSMVLLLHSQRQYIFEYDMWDQLSAITMPS
+VARHTMQTIRSIGYYRNIYNPPESNASVITDYNEEGLLLQTAFLGTSRRVLFKYRRQTRL
+SEILYDSTRVSFTYDETAGVLKTVNLQSDGFICTIRYRQIGPLIDRQIFRFSEDGMVNAR
+FDYSYDNSFRVTSMQGVINETPLPIDLYQFDDISGKVEQFGKFGVIYYDINQIISTAVMT
+YTKHFDAHGRIKEIQYEIFRSLMYWITIQYDNMGRVTKREIKIGPFANTTKYAYEYDVDG
+QLQTVYLNEKIMWRYNYDLNGNLHLLNPSSSARLTPLRYDLRDRITRLGDVQYRLDEDGF
+LRQRGTEIFEYSSKGLLTRVYSKGSGWTVIYRYDGLGRRVSSKTSLGQHLQFFYADLTYP
+TRITHVYNHSSSEITSLYYDLQGHLFAMEISSGDEFYIASDNTGTPLAVFSSNGLMLKQI
+QYTAYGEIYFDSNIDFQLVIGFHGGLYDPLTKLIHFGERDYDILAGRWTTPDIEIWKRIG
+KDPAPFNLYMFRNNNPASKIHDVKDYITDVNSWLVTFGFHLHNAIPGFPVPKFDLTEPSY
+ELVKSQQWDDVPPIFGVQQQVARQAKAFLSLGKMAEVQVSRRKGSGAPSWLWFATVKSLI
+GKGVMLAVSQGRVQTNVLNIANEDCIKVAAVLNNAFYLENLHFTIEGKDTHYFIKTSTPE
+SDLGTLRLTSGRKALENGINVTVSQSTTVVNGRTRRFADVEMQFGALALHVRYGMTLDEE
+KARILEQARQRALARAWAREQQRVRDGEEGARLWTEGEKRQLLSAGKVQGYDGYYVLSVE
+QYPELADSANNIQFLRQSEIGKR
+>tr|A0A3Q1LPA1|A0A3Q1LPA1_BOVIN APC, WNT signaling pathway regulator OS=Bos taurus OX=9913 GN=APC PE=4 SV=1
+MAAASYDQLLKQVEALKMENSNLRQELEDNSNHLTKLETEASNMKEVLKQLQGSIEDEAM
+ASSGQIDLLERLKELNLDSSNFPGVKLRSKMSLRSYGSREGSVSSRSGECSPVPMGSFPR
+RGFVNGSRENTGYLEELEKERSLLLADLDKEEKEKDWYYAQLQNLTKRIDSLPLTENFSL
+QTDMTRRQLEYEARQIRVAMEEQLGTCQDMEKRAQRRITRIQQIEKDILRIRQLLQSQAT
+EAERSSQSKHEAGSHEAERQNEGQGVAEINMATSGSGQGSTTRIDHETASVLSSSSTHSA
+PRRLTSHLGTKVEMVYSLLSMLGTHDKDDMSRTLLAMSSSQDSCISMRQSGCLPLLIQLL
+HGNDKDSVLLGNSRGSKEARARASAALHNIIHSQPDDKRGRREIRVLHLLEQIRAYCETC
+WEWQEAHEQGMDQDKNPMPAPVEHQICPAVCVLMKLSFDEEHRHAMNELGRKATRGISSQ
+ELGQGLSGGLQAIAELLQVDCEMYGLTNDHYSITLRRYAGMALTNLTFGDVANKATLCSM
+KGCMRALVAQLQSESEDLQQVIASVLRNLSWRADVNSKKTLREVGSVKALMECALEVKKE
+STLKSVLSALWNLSAHCTENKADICAVDGALAFLVGTLTYRSQTNTLAIIESGGGILRNV
+SSLIATNEDHRQILRENNCLQTLLQHLKSHSLTIVSNACGTLWNLSARNPKDQEALWDMG
+AVSMLKNLIHSKHKMIAMGSAAALRNLMANRPAKYKDANIMSPGSSLPSLHVRKQKALEA
+ELDAQHLSETFDNIDNLSPKASHRSKQRHKQNLYGDYVFDTNRHDDNRSDNFNTGNMTVL
+SPYLNTTVLPSSSSSRGSLDSSRSEKDRSLERERGISLGNYHPATENPGTSSKRGLQIST
+TAAQIAKVMEEVSAIHTSQEDRSSGSTTELHCGTDERNALRRSSTTHTHANTYNFTKSEN
+SNRTCPIPYAKVEYKRSSNDSLNSVSSSDGYGKRGQMKPSIESYSEDDESKFCSYGQYPA
+DLAHKIHSANHMDDNDGELDTPINYSLKYSDEQLNSGRQSPSQNERWARPKHILEDEIKP
+NEQRQSRSQSTAYPVYPESTDDKHLKFQPHFGQQECVSPYRSRAANGSETNRVGSNHGIS
+QNVNQSLCQEDDYEDDKPTNYSERYSEEGQHEEEERPTNYSIKYSEEKHHVDQPIDYSLK
+YTTDIPSSQKPAFSFSKNSSGQSTKTEHISSSSENTSTTSSNAKRQNQLHPSSAQSRSGQ
+TPKATSSSCKVPSINQETIQTYCVEDTPICFSRCSSLSSLSSAEDEVGCDQTTQEAESAN
+TLQIAEIKDNSGPRSNEDSVSKVPAGSQHIRTKSSRLQASGLSSESARHKAVEFSSGAKS
+PSKSGAQTPKSPPEHYVQETPLMFSRCTSVSSLDSFESRSIASSVQSEPCSGMVSGIISP
+SDLPDSPGQTMPPSRSKTPPPPPPPPPQTVQTKQEVPKNKAPSAEKRESGPKQAAVNAAV
+QRVQVLPEADTLLHFATESTPDGFSCSSSLSALSLDEPFIQKDVELRIMPPVQENDNGNE
+TESEQPEESNENQEKEAEKPTDSEKDLLDESDDDDIEILEECIISAMPTKSSRKAKKPAQ
+TTSKLPPPVARKPSQLPVYKLLPSQNRLQAQKHVSFTPGDDMPRVYCVEGTPINFSTATS
+LSDLTIESPPNELAAGEGVRAGAQSSEFEKRDTIPTEGRSTDEAQRGKASSVTVPELDDS
+KTEEGDILAECINSAMPKGKSHKPFRVKKIMDQVQQASMSSSGTNKNQLDGKTKKPTSPV
+KPIPQNTEYRTRVRKNTDSKNNLNAERNFSENKDSKKQHLKNNSKDFNDKLPNNEDRVRG
+SFTFDSPHHYTPIEGTPYCFSRNDSLSSLDFDDDDVDLSREKAELRKGKENKESEAKVTN
+HTELTSNQQSASKTPAVTKQPINRGQSKPVLQKQSTFPQSSKDIPDRGAATDEKLQNFAI
+ENTPVCFSRNSSLSSLSDIDQENNNNKENEPVKETEPPASQGEPGKPQASGYAPKSFHVE
+DTPVCFSRNSSLSSLSIDSEDDLLQECISSAMPKKKKPSRLKPDNEKHSPRNMGGILAED
+LTLDLKDIQRPDSEHGLSPDSENFDWKAIQEGANSIVSSLHQAAAAACLSRQASSDSDSI
+LSLKSGISLGSPFHLTPDQEEKPFTSNKGPRILKPGEKSTLETKKIESENKGIKGGKKVY
+KSLITGKVRSNSEISSQMKQPLQTNMPSISRGRTMIHIPGVRNSSSSTSPVSKKGPPLKT
+PASKSPSEGQPATTSPRGTKPSVKSELSPVTRQASQTAGSNKGPSRSGSRDSTPSRPAQQ
+PLSRPMQSPGRNSISPGRNGISPPNKLSQLPRTSSPSTASTKSSGSGKMSYTSPGRQMSQ
+QNLTKQTGLSKNGSGIPRSESASKGLNQMSNSNGSNKKVELSRMSSTKSSGSESDRSERP
+VLVRQSTFIKEAPSPTLRRKLEESASFESLSPSSRPDSPTRSQAHTPVLSPSLPDMSLST
+HSSLQSGGWRKLPPNLSPTIEYNDGRPVKRHDIARSHSESPSRLPINRSGTWKREHSKHS
+SSLPRVSTWRRTGSSSSILSASSESSEKAKSEDEKQVNSISGSKQTKENQVSTKGTWRKI
+KESEISPTNSTSQTTSSGAANGAESKTLIYQMAPAVSKTEDVWVRIEDCPINNPRSGRSP
+TGNTPPVIDTVSEKGNPNPKDSKDNQGKQNVSNGSAPTRTMGLENRLNSFIQVDPPDQKG
+TETKPGHSNNPVPASETSESSIAERTPFSSSSSSKHSSPSGTVAARVSPFNYNPSPRKSS
+TDGTSARPSQIPTPVSNNTKKRDSKPDSTEPSGTQSPKRHSGSYLVTSV
+>tr|A0A3Q1M9K5|A0A3Q1M9K5_BOVIN Arginine and serine rich protein 1 OS=Bos taurus OX=9913 GN=RSRP1 PE=4 SV=1
+MSSYVNDLWSGSPPKASPSSSRSGSCSQPSSASGSRSPSRSSVSSRSWSRSRSPPGRRSR
+SRSRSRRRHQRRYRRYSRSYSRSHSRSRSRRYRERHSGSTRRSYRSRSRSRGRLYYRSAY
+AIARGRHYYGFGRTVYPEERRSWRGRSRSRSRSPTPFRLSEKDRMELLEIAKANAAKALG
+TANFDLPASLRSVPVSKERNQETAVLTNGAKSEKS
+>tr|F1MBM1|F1MBM1_BOVIN HEAT repeat containing 4 OS=Bos taurus OX=9913 GN=HEATR4 PE=4 SV=3
+MPGHAPRPSSGPDSLEGGICWETMTRTEKGKTILPHRFPQSLLPRLGWGMIFSNVKPRNK
+KECASVSGVPPVFHFSPQHCAHLKNKYLNKASANLTFSQEVVWQRGLPSVPYSQYSFDHL
+YDASGIIQPRQVRKARPEKKPICLKVSDSPGPPTKVTSQAVKTESYANLTKLKKSKPASA
+GQEASSPLSLHPSGELDMLDLSLAPEVNLEERETWLPPPEKEARAWEAVVLEKLNKRTAR
+WIQNKRPPRPGVSTSKWQSFLRHQYDWSHIRDELTSSSDLDLLKQLEEEETAEFEGRSVV
+LPTQEEKKPELLLPVYYRLPNYLPQVQIAETMPGMNKTAEEIDEKGSLYKPPSQSHVRQV
+NPRAGKFAYSTDNTFEQEIYFDEVQIVHQIGAKRDQILLENLNGYIKQLYKVFPETPERW
+TSQPVPESTCKPVKGAMRWTALPTPAKDLLLKVGEKDMSVKTRKLKKQAESLKENVSWEL
+VVLRRMLQEWKMAWALIIEWHHKTIEGLLQSLVDIHDDVRIQAIVTCATAALERPRAAIS
+QGDSDKETMKALSIQDLPEVLQPPLMAALCDKNANVRMAAAVCQYAIQSHNPLAQEIMQT
+VLLKGNTVDSWAAAQCLALEGAATYPVIKRILHQLFHKKNEDTEQQSCTLLRHLSGKTTL
+IHTMLAVELNSRQWKDRIVACRALSRIGGNVSLDMKHKLIQLMLSDWNKKVRQAAAQALG
+QMNLGKEVHDTIRIKLGQGNFQERVEALYLICGLKLMTVKLLPSFLNCFSDDFMAVRRAA
+CLAAGALQIRDNMVLECLLNLIQGDPCWKIKAFAIRALGQIGCVSPQLTDLLLWAVHYEE
+SPGVRLEACRSILALKLQGDRVRDTFLDVLLLENHEAVLKEIHHAMKILNLENEGNQEML
+QEIRNRIQTLSQKELLIQKVFKIEAAVKKVKEEAKRVYVQPKEGQKPLKLHTFLQEIFQG
+EVSPRRLSDFCDTEAVIKPVRPCAPSPWSQSPVLGLNTRSRGRSSLVKDLRTAREKRIAM
+GPFGYDDQDL
+>tr|F1N7Z5|F1N7Z5_BOVIN Protein TBATA OS=Bos taurus OX=9913 GN=TBATA PE=4 SV=2
+MATEVRAQLAERSLPSPKGELKLEKKSGCQPRSHGDSGPQKELMIPGIVDFKLIREAVRT
+SKPQTPSAYRFGRLSHHSFFSRHHPQPQHVTHIQDLTGKPVCVVRDELSLPTISVPVGDP
+QSNRDPRLYSEAWKKELKDLASRVAIFTKESELKSKEKEEPQREQGAKYSAETGRLIPAS
+TWAMARRHSHQGRNQPASRDGGDQTFVLQDQELLILELLSQILQTDSLNAIQFWLLYAPA
+KEKDMALGLLQTAVAQLLPQPLVPIPAEKLLNQLLEVQDSLQERHQLPYSQSPKKMKTPP
+LSKSEKPENIGKAQVLRVHSSQNPEEKAAKAEG
+>tr|E1BIQ4|E1BIQ4_BOVIN Fc fragment of IgE receptor II OS=Bos taurus OX=9913 GN=FCER2 PE=4 SV=3
+MTPHSRAEGRGPGPAMGQQEFTKFSRRRRPCCSRGTQLALLALVTTALWAGLLTLLLLWH
+WENARNLKQLEETAALNVSQVSKDLERQKGDQMAQKSQAAQMMQDMERIQTEQKRMESQE
+SELSWNLDGLRADLSDLKSRGLNEMRQALDSLGRLQEEVGKLWIELRAANGSVCNTCPEA
+WIYFQKKCYYFGEGAKKWIQARYACENLHGRLVSIHSPEEQDFLTKRANWRGSWIGLRDL
+DIEGEFIWMDNQPLDYSNWQPGEPNDAGQGENCVMMLGSGKWNDAFCGSELHGWVCDRLA
+TC
+>tr|A0A3Q1MTB6|A0A3Q1MTB6_BOVIN EPH receptor A6 OS=Bos taurus OX=9913 GN=EPHA6 PE=3 SV=1
+SILKEMSPGISLEGMMLKLKLHYFGHLMRRVDSLEKTLMLGEIGGRRRRGQERMRWLDGI
+TDSMDVSLSELRELVMDREAWRAAVHGIAESWTRLTCRPGFYKAFAGNIKCSKCPPHSLT
+YVEATSVCQCEKGYFRAEKDPPSMACTRPPSAPRNVVFNINETALILEWSPPSDTGGRKD
+LTYSVICKKCGLDTSQCEDCGGGLRFIPRHTGLINNSVIVLDFVSHVNYTFEIEAMNGVS
+ELSFSPKPFTAITVTTDQDAPSLIGMVRKDWASQNSIALSWQAPAFSNGAILDYEIKYYE
+KEHEQLTYSSTRSKAPSVIITGLKPATRYIFHIRVRTAAGYSGYSQKFEFETGDETSDMA
+AEQGQILVIATAAVGGFTLLVILTLFFLITGRCQWYIKAKMKSEEKRRKDLQNGHLRFPG
+IKTYIDPDTYEDPSLAIHEFAKEIDPSRIRIERVIGAGEFGEVCSGRLKTPGKREIPVAI
+KTLKGGHMDRQRRDFLREASIMGQFDHPNIIRLEGVVTKRSFPAIGVETFCPSFLRAGFL
+NSLQAPHPAPGGGSLPPRIPAGRPVMIVVEYMENGSLDSFLRKHDGHFTVIQLVGMLRGI
+ASGMKYLSDMGYVHRDLAARNILVNSNLVCKVSDFGLSRVLEDDPEAAYTTTGGKIPIRW
+TAPEAIAYRKFSSASDAWSYGIVMWEVMSYGERPYWEMSNQDVILSIEEGYRLPAPMGCP
+ASLHQLMLHCWQKERNHRPKFTDIVSFLDKLIRNPSALHTLVEDILVMPDSPGEVPEYPL
+FVTVGDWLDSIKMGQYKNNFMAAGFTTFDLISRMSIDDIRRIGVILIGHQRRIVSSIQTL
+RLHMMHIQEKGFHV
+>tr|E1BBW3|E1BBW3_BOVIN Leucine rich repeat containing 52 OS=Bos taurus OX=9913 GN=LRRC52 PE=4 SV=1
+MSLASDPRPGWLLFSFGMVLVSGSKCPNNCQCQDQEVICTGIQLTEYPSDIPLNTRRLYL
+NDNKIRFLPAMNLGLLSDLVYLDCQKNRIQEVMDYTFVGVFRLIYLDLSFNNLTFISPYS
+FSMLSNLLQLNISNNPHLLSLNKYTFANTSSLRYLDLRNTGLQTLDEAAFQNLITLQTLY
+LSGNPWKCNCFFLDFTIYLIVSHLNYPDEQNATCVEPTELAGWPITKVGNPLRYMCITNL
+DLQDYIFLLLISFCIFCAGTVAAWLTGVCAVLYQNACRKSSEDEVEEEEAENEDEQRVEV
+SRRIFHSRVDSGHDGFPQLI
+>tr|E1BF94|E1BF94_BOVIN Kelch repeat and BTB domain containing 6 OS=Bos taurus OX=9913 GN=KBTBD6 PE=4 SV=2
+MQSREESSRSRRLASPRGGKRPKRVHKPTVSAFFTGPEELKDTGHSAALLAQLKSFYDAR
+LLCDVTIEVVTPGSGPGTGRLFPCNRNVLAAACPYFKSMFTGGMYESHQTNVTMHDVDAE
+SFEVLVDYCYTGRVSLSESNVERLYAASDMLQLEYVREACASFLARRLDLANCTAIFKFA
+DAFGHRKLRSQAQSFIAHNFKQLSQMSPIREESLADLTLAQLLTVLRLDSLNIEHEQTVC
+HVAVQWLEAAPKERGPSAAEVFKCVRWTHFSDEDRGYVEELLTNTVVKKYCLDLVEGARQ
+MRYGDMLCKSLVPKPESSGVSSSSSSVVPMSDHLPQRLGVYAKKMVIFFGHPRDPFLCCD
+PYSGDIYKVPSPLTCLAHTRTVTTLAVCVSPDHDIYLAAQPRKDLWVYKPAQNSWQQLAD
+RLLCREGMDVAYLNGYIYILGGRDPITGIKLKEVECYSVQRNQWALVAPLPHSFISFDLM
+VIQNYLYALNSKRMFCFDPSHNMWLKCVSLKRNDFQEACVFNDEIYCICDIPVMKVYNPV
+RGEWRQINNIPLVSETNNYRIINHGQKLLLITSRTPQWKKNRVTVYEYDMRGDQWINIGT
+TLGLFQFDSNFFCLSARVYPSCLEPGQSFLTEEEEVPSESSTEWDLGGFSELDSESGSSS
+SLSDDDLWVQVAPQ
+>tr|A0A3Q1MH73|A0A3Q1MH73_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=ACOT2 PE=4 SV=1
+MTVTVMLEPAGRCRWDERVHITVSGLAPRQPVTLRASLRDEKGALFRAHARYCADAAGLL
+DLERAPALGGSFAGLEPMGLFWALEPEKPLLRLVKRDVQTPFVVELEVVDGHEPGAQRLL
+GRAVHERDFLAPGVRREPVRAGRVRATLFLPPEPAPFPGIVDISAAGGGFLEYRASLLAG
+KGFAVLALAYYNYEDLPKSLRHVHLEYFEEAVNYLLNHPQVKGPGVGLLGISKGGELCLS
+MASFLKGISAAVIINGSVHNVWGTLHYKGETLPPVGFNQNRIKMTKDGFVDIVEVLNSPL
+EGPDQKSFIPVERAECPFLFLVGQDDHNWKSEFYANEASKRLQAHGKAKPQVICYPGTGH
+YIEPPYFPLCPASLHTFVGTPVIWGGEPMAHARAQVDAWQQLQTFFHKHLSGEKGTIPAK
+L
+>tr|A0A3Q1LPI0|A0A3Q1LPI0_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC782255 PE=3 SV=1
+MSPHRNGNLSVMPLQEFVLDGFEGGLQTQALLFAVFLALYMVAILGNLIMMVVITLDARL
+HSPMYFFLKNLSLVDLCYSSVIAPKALANFLSSSKVITFEGCATQFFFFSLLVTTEGFLL
+AVMAYDRFMAICSPLSYPISMCPSACARLVLGCYCGGCLNSILQASFTFTLPFCSSNHID
+HFFCDVPPLLKLACADTTINELVMFGICGLIIVGTTLVVLTSYGYITVTILRMRSGGGRH
+KLFSTCGSHMTAVSLFYGTVFVMYAQPGAVQSMEQGKVVSVFYTLVIPMLNPLIYSLRNK
+DVKDALWRLGQKHTAT
+>tr|G3N0P3|G3N0P3_BOVIN Zinc finger protein 581 OS=Bos taurus OX=9913 GN=ZNF581 PE=4 SV=1
+MLVLPAPGPRPPAFPSAEAMQAPPPRTGGSPEPGPSCSTGCPQTSPSSSRPNHYLLIDTQ
+GVPYTVLVDEESQRESGPDGASAQKKCYSCPVCSRVFEYMSYLQRHSITHSEVKPFECDT
+CGKAFKRASHLARHHSIHRAGGGRPHGCPLCPRRFREAGELAQHSRVHSGERPYQCPHCP
+RRFMEQNTLQKHTRWKHP
+>tr|E1BIJ4|E1BIJ4_BOVIN LEM domain containing 3 OS=Bos taurus OX=9913 GN=LEMD3 PE=4 SV=3
+MAAAAASAPQQLSDEELFSQLRRYGLSPGPVTESTRPVYLKKLKKLREEEQQQHRSGGRG
+TKTRNSNNNNTAAATVAAAGPAAAAVGMGVRPVSGDLSFLRTPGGLGRVSASGPETPLGG
+PGGASATLTAGSKVLLGFSSDESDVEASPRDQAGGGGGRKDRAPLQYRGLKPPSAPLAAS
+EVTNSNSAERRKPHSWWGARRPAAPELQSPSGRDGAADDEEQEGEDGEDRDPEAEEPLWS
+GRTVNGSRLLPYSCRENYSDSEEEEDDDVASSRQVLKDDTLSRHRPRRGHSKPLSPLPAK
+SAGGRLETSVQGGGGIAMNDRAAAAGSLDRSRNLEESAAAAAEQGGGCDPLDSSPIPRYR
+VNAKKLTPLLPPPLADMDSTLDSSTGSLLKTNNHIGGGAFGVDSPRIFPNSLPPSAAVAA
+SSSLRINHSNHTGSNHTYLKNTYNKPKLSEPEEELLQQFKREEVSPTGGFSAHYLSMFLL
+TAACLFFLILGLTYLGMRGTGVSEDGGLVKNPFDETFGDMQESKKNLMMNTLYKLHDRLA
+QLAGDHECGSSSQRTLSVQEAAAYLKDLGPEYEDTFNISLKWIFENGKDVGIRCVGYGPE
+EELTDIVDVQFLQSTRPQMSFWCRFRRAFVTVTHRLLLLCLGVVMVCVVLRYMKYRWTKE
+EEETRQMYDMVVKIIDVLRSHNEACQENKDLQPYMPIPHVRDSLIQPHDRKKMKKVWDRA
+VDFLAANESRVRTETRRIGGADFLVWRWIQPSASCDKILVIPSKVWQGQAFHLDRRNSPP
+NSLTPCLKIRNMFDPVMEIGDQWHLAIQEAILEKCSDNDGIVHIAVDKNSREGCVYVKCL
+SPEYAGKAFKALHGSWFDGKLVTVKYLRLDRYHHRFPQALTCNTPLKPSNKHMNSMSHLR
+LRTGLANSQGGS
+>tr|E1BF39|E1BF39_BOVIN 5'-nucleotidase, cytosolic IA OS=Bos taurus OX=9913 GN=NT5C1A PE=4 SV=1
+MEPGEPREPREPGPGAETAAAPQWEEAKTFYDNLAPQKKPKSPKPQNAVTIAVSSRALFR
+MDEEQRIYTEQGVEEYVRYQLEHENEPFSPGPAFPFVKALEAVNRRLRELYPESEDLFDI
+VLVTNNHAQVGVRLINSINHYDLFIERFCMTGGNSPICYLKAYHTNLYLSADAEKVQEAI
+DEGIAAATIFSPSRDVAVSQSQLRVAFDGDAVLFSDESERIVKAHGLDRFFEHEKAHENK
+PLAQGPLKGFLEALGRLQKKFYSKGLRLECPIRTYLVTARSAASSGARALKTLRSWGLET
+DEALFLAGAPKGPLLEKIRPHIFFDDQMFHVAGAQEMGTVAAHVPYGVAQTSRRTSPTKQ
+AAPAQ
+>tr|E1BEP0|E1BEP0_BOVIN SET and MYND domain containing 4 OS=Bos taurus OX=9913 GN=SMYD4 PE=4 SV=3
+MDLPVDEWKSYILQKWSLLPKSIQVTISTAETLHDLFLHSSPLLQPEDEMFLKRLSGGYL
+VGKDPDASLFYREKGNQKFQAKDYMGAAVLYSKGVSHSGPSSTDISLCYANRSAALFHLG
+QYEICLKDIVRAQTHGYPERLQPKLLLRKAECLVTLGRPQEASQTVTDLESSFAAKPTLS
+ASQFQILQRTLCRVKVKVQEKENLPEIFPEALTKTFEGVDLREENEQIPGASSSVSLHID
+PLKGRYLVATKDILPGELLVKEDAFVSVLNPGEVPLRPRGLESKWDIQVTNGDLHCHRCL
+RHTLAPVPCDGCSYAKYCSQECMQQAWDRYHSVECSLGALLLTLGVFCHVALRSTLLARF
+EDVGKVTKKLCGEMSNQGICLPESKNPVQTLKYDLGGEGDKKDKAVETPIPGCDINGKYE
+RNYNAIFHLLPHTENHSPEHKFLCALSVSALCRQLEAAGLQAFTTDLKPTKLKAAEPPVL
+CAELSVWGVAMLRHMLQLQCNAQAITTIQQTGSKESLITDTRRVRLATALFPVVSLLNHS
+CSPNTSVSFIGTIATIRASQLIRSGQEILHCYGPHESRMGVAERRQKLRSQYFFDCDCPP
+CEREKQRPSAGPGRGAFRCHRCRALLQGDDVLSCSGPACMESVSRDLLVSRLQDLQRQVG
+AAQKHLRNGRLEVAIQLLLGCQSDAESFLSAEHSVVGEIEDALAQAYAALGDWEKSATHL
+QKSLQVVEVHHGPSSVEMGHELFKLAQVFFNGCAVPEALKTIQKAEKVLLVHYGPWNDEI
+RELQKMKSCLLDLLPIPVGPKE
+>tr|A0A3Q1M6K9|A0A3Q1M6K9_BOVIN Serine-rich coiled-coil domain-containing protein 1 OS=Bos taurus OX=9913 GN=CCSER1 PE=4 SV=1
+MGDSGSRRSTLVSRLPIFRRSISRKHDSLPSSPSSSNTVGVHSSSPSSTNSSSGSTGKRR
+SLFRTPSISFHHKKGSEPKQEPTNQNLSISNGAQPGQSSMQKLSLEEHTKARGRHSVGFS
+SSRNKKITRSLTEDFEREKEHSTNKNVFINCLSSGKSEGDDSGFTEEQTRRSVKQSTKKL
+LTKSFSSHYKLSKPVPQSQSISLVQQSEFSLEITQYQEREPVLVRGSPSCSVDVTERAGS
+SLQSPLLSADLTTAQTPSEFLALTEDSVSETDAFPKSGSMASHCDNLGHNDSTSQISPNP
+AAVTKTTRDLRGTVPCAIVSPGKYRLEGRCSTESNSLPETSAAYQKEVLLQITKLPVMNG
+SDSETHLSTDTREDHIVIQNGETMLATSSPRKFGFYEHHKAIAERVKGIHPISDSRIIPS
+SGDHHVLNKTSYGYDANPAKVLASSLSPYREGRFIERRLRSSSEGTAGSSRMILKPKDGN
+VEEVNSLRKQRASSSSSKMNSMDVLNNLGSCELDEDDLMLDLEFLEEQNLHPSVCREDSY
+HSVVSCAAVVLTPMEPTVEMKKREELKFREPSKQNLSLKLAKDIDQEARCSHIRGVPSSP
+SSDWPLPSVEENGGIDSLPFRLMLQDCTAVKTLLLKMKRVLQESADMSPASSTTSLPVSP
+LAEEPLPFKDIMKDECSMLKLQLKEKDELISQLQEELEKVQHLQKAFASRVDKSTQTELL
+GYDALWNPTCTEGLFKPVHNIST
+>tr|F1N2K5|F1N2K5_BOVIN MHC_I-like_Ag-recog domain-containing protein OS=Bos taurus OX=9913 GN=LOC100848331 PE=4 SV=3
+MRESDLGWTGQARTAPRRSQEPDAEKEWAGFLSLGLKTLGGARRQRYRHTPGYLLLVTLL
+KLQGGMSLARTADHLLLILLLIEARKTLGNAHSLCLDLTVKSQSRPGQPWCQVQGSVDTK
+PFLQYDSASNKVKPLGFLGKEVNDTKAWTEISQTLVEAGKELRMVLPVIKLDENETRGPP
+TLQVKLCCQREAEQCSGASLHFSLNGRTALLLDTMSITWTVIDPGATGIKEEWENNQELA
+EYFRTISTGDCSYWLREFLKHWEKMLVPEPTESLIMAADISQSASIRLDSCIILLIITQL
+VLIASSS
+>tr|G3MZG4|G3MZG4_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC788693 PE=3 SV=1
+MDSGNLTWVSEFVFLGFSQNQELQFSLFLVFLFVYTTTVIGNLLIMVTVISDSRLHTPMY
+FLLRNLAIIDLCFSSVTAPKMLVDFLAEKKTISYQGCMAQIFFFHLLGGGTVFFLSVMAY
+DRYIAISQPLHYVTIMNTRVCVGLVVAAWVGGFVHSIVQLVLMLPLPFCGPNILDNFYCD
+VPQMLRLACTDTSLLEFLMISNSGMLVLIWFLLLLISYTVILVMLRSHSGQARRKAASTC
+TTHIIVVSMIFIPCICIYARPFTSFPMDKAVSISYTVLTPMLNPIIYTLRNREMQAARKR
+LGKRLVISSRE
+>tr|A0A3Q1MSD4|A0A3Q1MSD4_BOVIN Growth hormone releasing hormone receptor OS=Bos taurus OX=9913 GN=GHRHR PE=3 SV=1
+MNQALPLSSDHLTSQPRRSTHWLGSCHSSSAAQVLGHVHPECDVITQLREDEQACLQAAE
+GMPNSTLGCPRIWDGLLCWPTAGSGEWVSLPCPAFFSHFSSEPGAVKRDCTIAGWSEPFP
+PYPEACPVPLELLTEEKSYFSAVRIIYTMGHSVSAAALLVAIIILVALRRLHCPRNYIHT
+QLFITFILKAAAVFLKDATLFHQENTDHCSFSTVLCKVSVATSHFATMTNFSWLLAEAVY
+LTCLLVSTLPSTRRVFWWLVLAAWGLPLLFTGMWVGCKLAFEDVACWDLDDSSPYWWIIK
+GPIVLSVGVNFGLFLNIIRILLRKLEPTQGSLHTQHQYWRLSKSTLLLIPLFGIHYVIFN
+FLPDSAGLDIRLPLELGLGSFQVRTEISRRWHGHDLELLPARVTHIKWTTPSHSRVKVTV
+PVPSCPQAGEPFIGTHSGE
+>tr|E1BAB2|E1BAB2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=2
+MSFSPLKVAVVCMSNMNRSMEAHSILRKKGFSVRSFGAGSRVRLPGTARNLPVVYDFSTT
+YEQMRKDLVRIDRERYTSNGILHILGRNERIKPRPERFQECRDRFDVIFTCEESVYDRVI
+EELWVREQETCQPVYVINVNMDDNTEDATLGSLIICELCERLQQAEDMEGSLAELLLAVE
+RKTGRSFLHTVCFY
+>tr|G3N1F9|G3N1F9_BOVIN Neutrophil cytosol factor 2 OS=Bos taurus OX=9913 GN=NCF2 PE=4 SV=1
+MSLAEAISLWNEGVLAADKKDWKGALDAFTGVQDPHSRICFNVGCIYTILGNLPEAEKAF
+TKSINRDKHLAVSYFQRGMLYYQMEKYDSAIKDLKEALTQLRGNQLIDYKILGLQFKLFA
+CEVLYNIAFMYAKREEWKKAEEHLALAVSMKSEPRHSKIDRAMESVWKQKLYEPVVIPVG
+RLFRPNEKQVAQLVKKDYLGKATVVASVVDQDSFSGFAPLQPQAAEPPPRPKTPEIFR
+>tr|A0A3Q1NMQ0|A0A3Q1NMQ0_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MPKNAQTTAQLHSSHMLVMLKILQARLQQYVNRELPDVQAGFRKSRGTRDQIANIRWIME
+KAREFQKNIYFCFIAYAKAFDCVDHNKLWKILKEMGIPDHLTCLLRNLYTGQEATVRTGH
+GTTDWFQIGKGVRQGCILSPCLFNLYAEYIMRNAELEEAQAGIKIAGRNLNNLRYADDTI
+LMAESEEELKSLLMIVKVESEKVGLKLNIQKTKIMASGPITSWEIDGETVETVSDFIFGG
+SKITADGDCSHKIKRRILLGRKVMSNLDSIFKSRDITLLTKVRLVKAMVFPVVMYGCESW
+TVKKAECRRIDAFELWRWSRLLRVPWTARRSNQSILRRAALGFLWKE
+>tr|F1MHQ9|F1MHQ9_BOVIN Coiled-coil domain containing 141 OS=Bos taurus OX=9913 GN=CCDC141 PE=4 SV=3
+MSSQESPAVEFSTTTVSSVAVQAGDSKIVIAVIKCGKWIQLQLAESQPNLLEIGSNQDET
+KKLLHDHELLLTKLKALEDRVWELLQEADKTAEENKDQSQVYDAMAKTLGEAWASLVSML
+ERRRELLRLTSEFFENALEFAIKIDQAEDFLQNTQEFESAESLKSLLQLHELHTKELLER
+SLALLNKSQELTDFIEKIKSDGPNVSAEMIQGAQNSCLKIDSLLELLQDRRRQLDKYLKQ
+QQQELSQVLQMCQWDQQESQVTCWFQKTIRDLEEQGLGTSLSDNEELIRKHEELIIKAKE
+WNAVVETLKNEALGLLLSKEHVQSEHLQLSNQKLNQLQEEFGQLMEERKSWLKKANEFFN
+SANKAFDALGRVEAYLKLLKSEGLSLPVLAVKHEELHREIKDCTADALQKGQALISQLAS
+CSSRVTGVCEIMGCLRRRVEHLTEQCSAHEEFALKRQQLTASVEGHLREVEMSIQKISTI
+LSNAMDVGSSLSESEKILSKYLELDKQAKETSHELEAAAKLLTEKHDFELDELASLSSKA
+KRLEEELNIFGQSINSRSQVLQTYVAFLKSSEEVEKQCQGLKEFYQTDILRKEEGGAEAK
+HWSDSVEKQWQLFLKRSFLTHDLGLEFLNLINMAKENEILNVKNEVHVMENTMESQKAER
+EELSRLRTAWQLKAAASKPVKQQWAAFKEQLRKTMHNLKLLQEALMPVSALDLGGSLQTI
+LALRKKWNEMKPQFQQLNDEVQYIIRESEELNGKGAPVKEKSQQLKDLIHFHQSLKQRIQ
+DYEDILYKIIQFHQVREELGRLLKSRELEFPEQAEETNDAHDAQVLLRRSRAKQAHVDHL
+HDLALSLGVDVISSVQQPNCSNVSAKNLQQQLDMLEGDCLTWRAQTEDHERALTRSLEFC
+ITRDEIGELKESFKEIKKKFNNLKFNYTKKNEKARNLKALKYQIQQVDIYAEKLQALKRK
+MEKVENKTSFLNYPNNKVNILLEAMRDLQKHVDEFDKVVTDYRKNLDLTEHLQELIEECH
+FWYEDASATVVRVGKYSTECKTKEAVEILHQQFKKFITPSVPQQEERIQEISDLAQRLYG
+FEEGQKYAEKIVAKHKEVLESVTELCSSLTELEEKLKQGDVLKLNLNLEDFHDDCIDLLK
+EPARNKQTIFNDGSNKEQAQPAAILAVNGARKCQLPQGVKQLVPGKESVQGLLLPVDVLS
+GAEHECVSSDDISLPPVSGSPDSLLAPSDMELEAPVSPFPRHHLSGHQMQTGASCPGEAP
+ESGFPPPAAFADACNDKRETFSSHFEKPSPQVQAEPPLTSQGFLEKSTAFHKISAQLPDS
+MCSEVHDRASQQHPQAQGHLLETPEKMHADNNVTKTRNRLHASQDAFSGLGFQPGPSQAC
+QRQMVPREEIISIPAKNSMVSLAGQAPNFSRLLSNVTVVEGSPVTLEVEVTGFPEPTLTW
+YKKGQKLSADGRLQVLHKETRHLVFIPKFQVL
+>tr|A0A3Q1LUQ9|A0A3Q1LUQ9_BOVIN Nebulin OS=Bos taurus OX=9913 GN=NEB PE=4 SV=1
+MPNFQTRTRFYETTTTRTSDYEQSETSRPALAQPVPEKPVERKRVIRKKVDPSKFMTPYI
+AHSQKMQNLFSTNKYKENYEKAKGKPYAITTDTPELRRIKKVQDQLSEVKYRVDGDVAKT
+ICHVDEKAKDIEHAKKVSQQVSKVLYKQNWEDTKDKYLLPPDAPELVQAIKNTAMFSKKL
+YTEDWEADKTMFYPYNDSPELRRVAQAQKALSDIAYKKGLAEQQTQFTSLPDPPDIEFAK
+KVTNQVSKQKYKEDYENKVKGKWSETPCFEIATARMNSNNISTKKYQEDFEHMKDQIYFM
+QTETPEYKVNKQAGVAASKVWGHFLIDLDIVSVWGNFLQLLLLYIMGGKKLYKENYEKTK
+AKSINYCETPKFKLDTVLHNFSSDVRKATNVLGHYIGSFEDPYQVHCLKISAQNSDVSLK
+TIVHKFKCHIPADAPQFIQHRVNAYNLSDNIYKHDWEKTKAKKFDIKVDAIPLLAAKANT
+KIASDVMYKKDYEKSKGKMIGALSINDDPKMLHSLKTAKNQSDHEYRKDYEKSKTIYTAP
+LDMLPLTHAKKSQAIASDVDYKHLLHNYSYPPDSVNVDLAKKAYALQSDVEYKADYNSWM
+KGCGWMPFGSLEMEKAKRASDILNEKKYRQHPDTLKFTSIEDAPIIVQSKINQAQRSDVA
+YKAKGEEVIHKYSLPADLPQFIQAKVNAYNISENLYKADLKDLSKKGYDLRIDAIPIKAA
+KAARQAASDVQYKKDYEKAKGKMVGFQSLQDDPKLVHYMNVAKIQSDREYKKAYEKTKTR
+HNTPHDMVNIVAAKKAQDVASNVNYKHSLHHYTYLPDAMDLELSKNMMHIQSDNVYKEDY
+NNWMKGIGWIPIGSLEVEKVKKAGDALNEKKYRQHPDTLKFTSIVDSPVMVQAKQNTQQV
+SDILYKAKGEDVKHKYTMSPDLPQFLQAKCNAYNLSDVSMDSANQILPLQYKYKEAYEKA
+KGKQVGFRSLQDDPKLVHYMNVAKLQSDREYKKNYENTKTSYHTPGDMVSITAAKMAQDV
+ATNVNYKQPIHHYTYLPDALSLEHIRNVNQIQSDNVYKDEYNHFFKGMGWIPIGSLEVEK
+VKKAGDALNEKKYRQHPDTIKFTSVPDSMGMVLAQHNTKQLSDLNYKVEGEKVKHKYTMD
+PDVPQFIQAKVNAYNMSDSHYKADWKKTLAKGYDLRPDAIPIVAAKSSRNIASDFKYKEA
+YEKTKGKQIGFRSLQDDPKLVHFMNVAKMQSDREYKKGYEASKTKYHTPLDMLSVTAAKK
+SQEVATNTNYKQPFHHYTLLPDALNVEHSRNAMQIQSDNLYKSDFTNWMKGIGWLPLESL
+EVEKAKKAGEILSEKKYRQHPEKLKFTYAMDTMEQALNKSNKLIMDKTTIHVMPDTPDIL
+LSRVNQITMSDKLYKAGWEEEKKKGYDLRPDAISIKAARASRDIASDYKYKQAYEQAKGK
+QIGFRSLEDDPKLVHFMQVAKMQSDREYKKAYEKSKTSFQTPVDMLSVVAAKKSQEVATN
+ANYRNVIHTYNMLPDAMSLELAKNMMQIQSDNQYKADYADFMKGIGWLPLGSLEAEKNKK
+AMEIISEKKYRQHPDTLKYSTLMDSMNMVLAKNNAKIMNEHLYKQAWEADKTKVHIMPDI
+PQIILAKANAINISDKLYKLSLEEAKKKGYDLRTDAIPIKAAKASRDIASDYKYKHSYEK
+ERGKMVGFRSLEDDPKLVHSMQVAKMQSDREYKKNYEKTKTSYHTPADMLSVTAAKDAQA
+NITNTNYKHLIHKYILLPDAMNIQLSKNMNRIQSDNEYKQDYNEWYKGLGWSPAGSLEVE
+KAKKATEYASDQKYRQHPSNFQFTKLNDSMDMVLAKQNAHTMNKYLYTVDWNKDKTKIHV
+MPDTPDILQAKQNQTMYSQKLYKLGWEEALKKGYDLPVDAISVQAAKASRDIVSDFKYKQ
+GYRKQIGHHIGFRSLQDDPKLVLSMNVAKMQSEREYKKDFEKWKTKFSSPVDMLGVVLAK
+KCQALVSDVDYKNYLHGWTCLPDQNDVIHAKKAYDLQSENLYKSDLEWLKGIGWSPLGSL
+EAEKNKRASEIISEKKYRQPPDRNKFTSIPDAMDIVLAKTNAKNRSDILYREAWDKDKTQ
+IHIMPDTPDIILAKANLINTSDKFYRMGYEELRKKGYDLPVDAIPIKAAKASREIASEYK
+YKEGFRMQLGHHIGARNIKDDPKMMWSMHVAKIQSDREYKKDFEKWKTKFSSPVDMLGVV
+LAKKCQTLVSDIDYKNYLHQWTCLPDQSDVIHARRAYDLQSDNLYKSDLQWLRGIGWLPS
+GSLEDEKNKRASQILSDHVYRQHPDQFKFSSLMDSIPMVLAKNNAITMNHRLYTEAWDKD
+KTTVHIMPDTPEVLLAKQNQINYSEKLYKLGLDEAKRKGYDMRIDAIPIRAAKASRDIAS
+EFKYKEGYRRQLGHHIGARAIHDDPKMMWSMHVAKIQSDREYKKDFEKWKTKFSSPVDML
+GVVLAKKCQTLVSDIDYKNYLHQWTCLPDQSDVIHARQAYDLQSDNVYKSDLQWLRGIGW
+VPIGSLDVEKSKRASEILSDKLYRQPPDKFKFTSVTDSLEQVLAKNNAINMNKRLYTEAW
+DKDKTQVHIMPDTPEITLARTNKVNYSENLYKLAHEEAKKKGYDLRSDAIPIVAAKASRD
+IISDYKYKDGYRKQLGHHIGARDIKDDPKMMWSMHVAKIQSDREYKKDFEKWKTKFSSPV
+DMLGVVLAKKCQTLVSDIDYKNYLHQWTCLPDQSDVIHARQAYDLQSDNVYKSDLQWLRG
+IGWVPIGSVDVVKCKRAAEILSDNLYRQPPDTLKFTSVPDSLEQVLAKNNAINMNKRLYT
+EAWDKDKTQIHIMPDTPEIMLARQNKLNYSETLYKLANEEAKKKGYDLRSDAIPIVAAKA
+SRDIISDYKYKDGYRKQLGHHIGARDIKDDPKMMWSMHVAKIQSDREYKKDFEKWKTKFS
+SPVDMLGVVLAKKCQTLVSDIDYKNYLHQWTCLPDQSDVIHARRAYDLQSDNIYKSDLQW
+LRGIGWVPIGSVDVVKCKRAAEILSDNLYRQRPDTLKFTSVPDSLEQVLAKNNAINMNKR
+LYTEAWDKDKTQIHMMPDTPEITLARQNKINYSENLYRQAMEEAKKEGYDLRSDAIPIVA
+AKASREIASDYKYKEAYRKQLGHHIGARAIHDDPKMMWSVHVAKMQSDREYKKDFEKYKT
+RFSSPVDMLGIVLAKKCQTLVSDVDYKHPLHEWTCLPDQNDIIHARKAYDLQSDNLYKSD
+LEWLKGIGWVPIGSVEVLKAKRAGEILSDNIYRQRPDTLKFTSVTDSPEQVLAKNNAINM
+NKRLYTEAWDNDKKTIHVMPDTPEIMLAKLNRINYSDKLYKLALEESRKEGYDLRLDAIP
+IQAAKASREIASDYKYKEGYRKQLGHHIGARNIKDDPKMMWSIHAGKLQSDLEYKKDFEK
+WKTKFSSPVDMMGLVQAKKCQILVSDIDYKNLLHEWTCLPDQNDIIQARKAYDLQSDAIY
+KADLEWLRGIGWVPIDSVGVEHAKRAGEILSERKYRQPAVQLKFTSITDTPEIVLAKNNA
+LNHLYTEAWDADKTSIHVMPDTPEILLAKSNSANISHKLYTKGWDESKMKDYDLRADAIS
+IKSAKASRDIASDYKYKEAYEKHKGHHIGARSVEDDPRIMCAMNAGRIQSEREYKKEFQK
+WKTKFSSPVDMLGILLAKKCQTLVSDIDYRNYLHHWTCLPDQNDIIQARKAYDLQSDNVY
+KSDLEWLRGIGWMPEGSVEMNRVKNAQDLINERRYRTRPEALTFTSIVDTPEVVLAKANS
+LQMSEVRTILLSGSPLVGLSLRKMPPQQSKWIVSLQRPQVMGATLRISCEVQLLLYKYKE
+GYRKQLGHHVGFRTLQDDPKLVWSIHAAKIQSDREYKKDYEKSKGIHNTPLDMMSIVQAK
+KCQVLVSDIDYRNYLHQWMCLPDQNDVIQAKKAYELQSDAIYKADLEWLRGIGWMPEGSP
+EVLRVKNAQHIFRDSVYRTPVVKLKYTSIVDTPEVVLAKSNAENISIVSQLMISDTPLML
+QSKINAVQISNYLYKTAYEKQKGHYIGCRNAKEDPKLVWAANVLKMQNDRLYKKAYNDHK
+ARITIPVDMVSINAAKEGQALASDVDYRQYLHQWSCFPDQNDVIQARKAYDLQSDNVYKD
+DLNWLKGIGCYVWDTPQILHAKKSYDLQSQILYTAAGKENLKNYNLVTDTPLYVTALQSG
+INASEVKYKENYHQTKDKYTTVLETVDYDRIKNLKDLFSSNLYKEAWDKVKATSYILPPN
+TVSLTHAKNQKYMASHIKYREEYEKFKALYTLPRSVEDDPNTARCLRVGKFNIDRLYRSV
+YEKNKMKIHIVPDMVEMVTAKDSQKKVSEIDYRLHLHEWICHPDLQVNSHVRKVTDQISD
+IVYKDDLTWLKGIGCYVWDTPEILHAKHAYDLRNDVSLPCFLVFGCFKYASFPVWSRGKG
+RGRQVQTLSHPTVSELILTLLLQFKYKEVYEHMKAYGYTLGPNDVPFVNVRRVNNITSER
+LYRQLYHKLKDKIHTTPDTPEIRQVKKTQEAVSELIYKSDFFKMQGHMISLPYTPQVLHC
+RYVGDITSDIKYKEDLQVLRGMGCFLYDTPDMVRSRHLRKLWVSAPHPARKMRDKYKVVL
+DTPEYRKVQELKTHLSEVSTSVTIRNKYKIQYEKMKDKYTPVPDTPILIRAKRAYWNASD
+LRYKETFQKTKGKYHTVKDALDIVYHRTVTDHISKIKYKENYMSQLGIWRSIPDRPEHFH
+HRAVTDAVSDVKYKQDLTWLKGIGCYAYDTPDFTLAEKNKTLYSKVCIYSGIMATSMQCT
+PLPPESTRTEETASRISISKKYRADYEQRKDKYHLVVDEPRHLLAKIRKYKSSAKMLLKQ
+GCNEILRPDMLTALYNTYMWSQIKYRKNYEETKDKFTSIVDTPEHLRTTKVNKQISDILY
+KLEYNKAKPRGYTTIHDTPMLLHVRKVKDEVSDLKYKEVYQRTKSNCTIEPDAVHIKAAK
+DAYKVNTNLDYKKKYEATKAYWKWTPDRPDFIQAAKSTLQQSDFEYKLDREYLKGCKLSV
+TDDKDMVLALKNSIIESDLKYKEKHVKERGSCHAVPDTPQILLAKTVSSLVSENKYKSYV
+KKHLAQGSYTTLPETRDTIHVKEVTKNVSDTNYKKKFVKEKGKSNYSIMLEPPDVKHAMD
+VAKKQSNVAYKKDAKENLHYTTVADRPDIKKATQAAKQASEVEYRAKHRKEGSHGLSMLG
+RPDIEMAKKAAKLSSQVTHMGKGHGHGAASYDTPMMRQLKKTNVLISDVQYRENFNKEKG
+KTPKYNPKDSQLYKVMKDANTLASEVKYKADLKKLHKPVTDMKESLIMNHVLNTSHLASS
+YQYKKNYEKSKGHYHTIPDNLEQLHLKEATELQSIVKYKEKYEKERGKPMLDFETPTYIT
+AKESQQMQSGKEYRKDYEESIKGRNLTGLEVTPALLHVKYATKIASEKEYRKDLEESIRG
+KGLSEMEDTPDMLRAKNATQILNEKEYKRDLELEVKGRGLNAMANETPDFLRARNATDIA
+SQIKYKQSAEMEKANFTSVVDTPEIIHAQQVKNLSSQKKYKEDAEKCMSYYETVLDTPEM
+QRVRENQKNFSLLQYQYDLKNSKGKITVVQDTPEILRVKENQKNFSSVLYKENLGKGTPT
+PITPEMERVKRNQENFSSVLYSDSFRKQIQGKAAYVLDTPEMRRVRETQRHISTVKYHED
+FEKHKGCFTPVVTDPITERVKKNTQDFSDICYRGIQRKVVEMEQKRNDQDQETITGLRVW
+RTNPGSVFDYDPAEDNIQSRSLHMINAQAQRRSREQSRSASGLSISGGEEKSEHSEAAHL
+STYSDGGVFFSAASTGTKLPQQRSSSVATQQTTVSSIPSHPSTAGKIFRAMYDYMAADAD
+EVSFKDGDAIVNVQAIDEGWMYGTVQRTGRTGMLPANYVEAI
+>tr|G3X7J3|G3X7J3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=2
+MQISEKEEDKVEKREDPSDNWEEGGGGGGGVEKSSGPWNKTAPVQAPPAPGVVTETPEPT
+MTSGVYRPPGARLTTTRKAPQGPPEIYSDTQFPSLPKKLMNERKYL
+>tr|A0A3Q1M740|A0A3Q1M740_BOVIN Myelin transcription factor 1 OS=Bos taurus OX=9913 GN=MYT1 PE=4 SV=1
+MSLENDEKRARTRSKALRAPLEPTGADLSCPTPGCTGSGHIRGKYSRHRSLQSCPLAKKR
+KLEGPEAEHLGSKRKAPPLKLALDEGYGMDSDGSEDTEVKDASVSDESEGTVEEDEAEAS
+GQEEIHRPEPAEGRSPVKAHFASNPISSPSGSSKGSYSSYQEIIATSLLNLGQIAEETLV
+GGDSGQVGTPGLVQEAEEEATSDEGEKEDFIQPEDVEEVIEVTSERSQEPGPQSLESVAS
+EASSKQKVILGNEEEEEEEEEEEEDDDEEEDDEEDEEDEEEAPPDVICQEDAPHVPVPKS
+PQPRGPVPPSPKPGYSVLVEVRSDDGKDEDSRSQKSAVTDESEMYDMMTRGNLGLLEQAI
+ALKAEQVRAVCEPGCPPAEQGPLGPGEPGKAARPLDAARKSYCSKDPSRTEKREIKCPTP
+GCDGTGHVTGLYPHHRSLSGCPHKDRIPPEILAMHENVLKCPTPGCTGQGHVNSNRNTHR
+SLSGCPIAAAEKLAKSHEKQQPQPGEPSKNSSNSDRILRPMCFVKQLEVPPYGSYRPSVA
+PTTPRANLAKELEKFSKVTFDYASFDAQVFGKRMLAPKIQTSETSPKAFKCFDYAHDAEA
+AHMAATAILNLSTRCWEMPENLSTKPQDLPSKAADIEVDENGTLDLSMHKHRRRENTFPS
+GSSSSSSPGVKSPDACQRQSSTSAPSSSLTSPPSSHASRQDEWDRPLDYTKPSRPREEEP
+EESEPAAHSFASSEADDQEVSEENFEERKYPGEVTLANFKLKFLSKDIKKELLTCPTPGC
+DGSGHITGNYASHRSLSGCPLADKSLRNLMAAHSADLKCPTPGCDGSGHITGNYASHRSL
+SGCPRAKKSGAKATPAKDDKEDPELMKCPVPGCVGLGHISGKYASHRSASGCPLAARRQK
+EGSLNGSSFSWKSLKNEGPACPTPGCDGSGHANGSFLTHRSLSGCPRATFAGKKGKLSGD
+EVLGTKFKTSDVLDNDEEIKQLNQEIRDLNESNSEMEAAMLQLQSQISSMEKSLKNIEEE
+NKLIEEQNEALFLELSGLSQALIQSLANIRLPHMEPICEQNFDAYVSTLTDMYSNQECYQ
+NPENKDLLESIKQAVRGIQV
+>tr|H9KUU9|H9KUU9_BOVIN Serine/threonine-protein kinase VRK1 OS=Bos taurus OX=9913 GN=VRK1 PE=4 SV=1
+MPRVKAAQAGRQGPAKRRLAAHFAFCVVSLSMSKIVWVMQSPSRTSSFLCLYTADMNSSK
+SVGNDAPCVVKVEPSDNGPLFTELKFYQRAAKPEQIQKWIRTHKLKYLGVPKYWGSGLHD
+KNGKSYRFMIIDRFGSDLQKIYEANAKRFSRKTVLQLSLRILDILEYIHEHEYVHGDIKA
+SNLLLSYKNPDQVYLVDYGLAYRYCPEGTHKEYKEDPKRCHDGTVEFTSIDAHNGVAPSR
+RGDLEILGYCMIQWLSGHLPWEDNLKDPNYVRDSKIRYRENIASLMDKCFPEKNKPDEIA
+KYMETVKLLDYVEKPLYQKLRDILLQGLKAIGSKDDGKLDLTVVENGSLKAKPVAKKRKK
+EAEESVESSVEDMECSDKQTEEATQTRSKTRKRVQK
+>tr|A0A3Q1M3K3|A0A3Q1M3K3_BOVIN Mothers against decapentaplegic homolog OS=Bos taurus OX=9913 GN=SMAD5 PE=3 SV=1
+MTSMASLFSFTSPAVKRLLGWKQGDEEEKWAEKAVDALVKKLKKKKGAMEELEKALSSPG
+QPSKCVTIPRSLDGRLQVSHRKGLPHVIYCRVWRWPDLQSHHELKPLDICEFPFGSKQKE
+VCINPYHYKRVESPVLPPVLVPRHNEFNPQHSLLVQFRNLSHNEPHMPQNATFPDSFHQP
+NNTPFPLSPNSPYPPSPASSTYPNSPASSGPGSPFQLPADTPPPAYMPPDDQMGQDNSQP
+MDTSNNMIPQIMPSISSRDVQPVAYEEPKHWCSIVYYELNNRVGEAFHASSTSVLVDGFT
+DPSNNKSRFCLGLLSNVNRNSTIENTRRHIGKGVHLYYVGGEVYAECLSDSSIFVQSRNC
+NFHHGFHPTTVCKIPSSCSLKIFNNQEFAQLLAQSVNHGFEAVYELTKMCTIRMSFVKGW
+GAEYHRQDVTSTPCWIEIHLHGPLQWLDKVLTQMGSPLNPISSVS
+>tr|F2Z4I1|F2Z4I1_BOVIN GTP-binding protein Rheb OS=Bos taurus OX=9913 GN=RHEB PE=4 SV=1
+MPQSKSRKIAILGYRSVGKSSLTIQFVEGQFVDSYDPTIENTFTKLITVNGQEYHLQLVD
+TAGQDEYSIFPQTYSIDINGYILVYSVTSIKSFEVIKVIHGKLLDMVGKVQIPIMLVGNK
+KDLHMERVISYEEGKALAESWNAAFLESSAKENQTAVDVFRRIILEAEKIDGAASQGKSS
+CSVM
+>tr|E1B746|E1B746_BOVIN Leucine rich adaptor protein 1 OS=Bos taurus OX=9913 GN=LURAP1 PE=4 SV=1
+MEGTAESQTPDLRDVEGKVGRKTPEGLLRGLRGEWEPGTSEAVLLSGAPSTGHSLGDKIM
+ALRMELAYLRAIDVKILQQLVTLNEGIEAVRWLLEERGTLTSHCSSLTSSQYSLTGGSPG
+RSRRGSWDSLPDTSSTDRLDSVSIGSFLDTVAPSELDEHGPPGAPRPEMDWAKVIPGGER
+ARTEVDLTATRLGSLRAVWKPPGEGLQGASPEPPEDESAKLGFETHWYWGQCQDDVTFL
+>tr|F1N319|F1N319_BOVIN Rho-associated protein kinase OS=Bos taurus OX=9913 GN=ROCK2 PE=3 SV=3
+MSRPPPTGKMPGAPEAVSGDGAGASRQRKLEALIRDPRSPINVESLLDGLNSLVLDLDFP
+ALRKNKNIDNFLNRYEKIVKKIRGLQMKAEDYDVVKVIGRGAFGEVQLVRHKASQKVYAM
+KLLSKFEMIKRSDSAFFWEERDIMAFANSPWVVQLFCAFQDDKYLYMVMEYMPGGDLVNL
+MSNYDVPEKWAKFYTAEVVLALDAIHSMGLIHRDVKPDNMLLDKHGHLKLADFGTCMKMD
+ETGMVHCDTAVGTPDYISPEVLKSQGGDGYYGRECDWWSVGVFLFEMLVGDTPFYADSLV
+GTYSKIMDHKNSLCFPEDAEISKHAKNLICAFLTDREVRLGRNGVEEIKQHPFFKNDQWN
+WDNIRETAAPVVPELSSDIDSSNFDDIEDDKGDVETFPIPKAFVGNQLPFIGFTYYRENL
+LLSDSPSCKENDSIQSRKNEESQEIQKKLYTLEEHLSTEIQAKEELEQKCKSVNTRLEKV
+AKELEEEITLRKNVESTLRQLEREKALLQHKNAEYQRKADHEADKKRNLENDVNSLKDQL
+EDLKKRNQNSQISTEKVNQLQRQLDETNALLRTESDTAARLRKTQAESSKQIQQLESNNR
+DLQDKNCLLETAKLKLEKEFINLQSVLESERRDRTHGSEIINDLQGRISGLEEDVKNGKI
+LLAKVELEKRQLQERFTDLEKEKNNMEIDMTYQLKVIQQSLEQEETEHKATKARLADKNK
+IYESIEEAKSEAMKEMEKKLSEERTLKQKVENLLLEAEKRCSILDCDLKQSQQKINELLK
+QKDVLNEDVRNLTLKIEQETQKRCLTQNDLKMQTQQVNTLKMSEKQLKQENNHLLEMKMS
+LEKQNAELRKERQDADGQMKELQDQLEAEQYFSTLYKTQVRELKEECEEKTKLCKELQQK
+KQELQDERDSLAAQLEITLTKADSEQLARSIAEEQYSDLEKEKIMKELEIKEMMARHKQE
+LTEKDATIASLEETNRTLTSDVANLANEKEELNNKLKEAQEQLSRLKDEEISAAAIKAQF
+EKQLLTERTLKTQAVNKLAEIMNRKEPVKRGNDTDVRRKEKENRKLHMELKSEREKLTQQ
+MIKYQKELNEMQAQIAEESQIRIELQMTLDSKDSDIEQLRSQLQALHIGLDSSSIGSGPG
+DTEADDGFPESRLEGWLSLPVRNNTKKFGWVKKYVIVSSKKILFYDSEQDKEQSNPYMVL
+DIDKLFHVRPVTQTDVYRADAKEIPRIFQILYANEGESKKEQEFPVEPVGEKSNYICHKG
+HEFIPTLYHFPTNCEACMKPLWHMFKPPPALECRRCHIKCHKDHMDKKEEIIAPCKVYYD
+ISSAKNLLLLANSTEEQQKWVSRLVKKIPKKPPAPDPFARSSPRTSMKIQQNQSIRRPSR
+QLAPNKPR
+>tr|F1MXJ0|F1MXJ0_BOVIN PRELI domain containing 3A OS=Bos taurus OX=9913 GN=PRELID3A PE=4 SV=2
+MNPAHIRKVALCAHRPHGCGPVRSPRRSHGTAAHVMCGLSAESRPAHRVLTLRPRTERSI
+PGPGASLAALCGAMRVWSSEHVFGHPWDTVIKAAMRKYPNPMNPCVVGVDVLERSVDGRG
+RLHSHRLLSTEWGLPSLVRAILGTSRTLTYIREHSVVDPVEKKMELCSTNITLTNLVSVS
+ERLVYTPHPEDPGKTVLTQEAVITVKGVSLGSYLESLMANTISSNAKKGWAAIEWIIENA
+ERALS
+>tr|A0A3Q1N3I9|A0A3Q1N3I9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=1 SV=1
+ASTTAPKVYPLASRCGDTSSSTVTLGCLVSSYMPEPVTVTWNSGALKSGVHTFPAVLQSS
+GLYSLSSMVTVPASTSGQTFTCNVAHPASSTKVDKAVGEKMGDGCVREPSVFIFPPKPKD
+TLMITGTPEVTCVVVNVGHDNPEVQFSWFVDDVEVHTARTKPREEQFNSTYRVVSALPIQ
+HQDWTGGKEFKCKVNNKGLSAPIVRIISRSKGPAREPQVYVLDPPKEELSKSTLSVTCMV
+TGFYPEDVAVEWQRNRQTESEDKYRTTPPQLDTDRSYFLYSKLRVDRNSWQEGDTYTCVV
+MHEALHNHYTQKSTSKSAGK
+>tr|E1BK10|E1BK10_BOVIN Integrin subunit beta like 1 OS=Bos taurus OX=9913 GN=ITGBL1 PE=4 SV=3
+MRPAGLASVLLLVSSLLLPGGLSAVPQSFSPSLRSGPGAAACRLSRAESERRCRAPGQPP
+GGALCHGRGRCDCGVCICHVSEPGVYFGPLCECHEWVCETYDGSTCAGHGKCDCGRCKCD
+EGWFGDACQYPTNCDLTKKKSNQMCKNSQDIICSNAGTCHCGRCKCDNSDGNGLVYGKFC
+ECDDRECIDDETEEICGGHGKCYCGNCYCQAGWHGDKCEFQCDITPWESKRRCTSPDGKV
+CSNRGICVCGECSCHDVDPTGDWGDIHGDTCECDERDCRAVYDRYSDDFCSGHGQCNCGR
+CDCKVGWYGKKCEHPRSCPLSTEESIRKCQGSATLPCSGRGKCECGKCTCYPPGDSKVYG
+KTCECDDRRCEDLDGVVCGGHGTCSCGRCVCEKGWFGTLCQHPRKCNLTEEQSNSLCESA
+DGILCSGKGSCHCGKCLCSAEEWYISGEFCDCDDRDCDKHDGLICTGNGICSCGNCECWD
+GWNGNACEIWLGTEYP
+>tr|A0A3Q1LHE1|A0A3Q1LHE1_BOVIN Septin 9 OS=Bos taurus OX=9913 GN=SEPTIN9 PE=1 SV=1
+MKKSYTALKRSFEVEEVETPNSTPPRRVQTPLLRSSVASSTQKFQDLGVKNSEPAARHVD
+SLSQRSPKAALRRVELSGPKAEPVSRRTEISIDISSKQVENAGTAGPSRFGLKRAEALGH
+KTPEPAPRRTEITIVKPQESAHRRMETPASKMPEVPAAPAADAAPKRVEIQVPKPAEGPA
+SPIPPQSLENSELAPMSQLQSRLEPKPQPPVAEIPPKSQEATEAAPSSAGDMADTPRDAA
+LKQPPAPRNEKAPVDFGYVGIDSILEQMRRKAMKQGFEFNIMVVGQSGLGKSTLINTLFK
+SKISRKSVQPTSEERIPKTIEIKSITHDIEEKGVRMKLTVIDTPGFGDHINNENCWQPIM
+QFINDQYEKYLQEEVNINRKKRIPDTRVHCCLYFIPATGHSLRPLDIEFMKRLSKVVNIV
+PVIAKADTLTLEERVYFKQRITADLLSNGIDVYPQKEFDEDSEDRLVNEKFREMIPFAVV
+GSDHEYQVNGKRILGRKTKWGTIEVENTTHCEFAYLRDLLIRTHMQNIKDITSTIHFEAY
+RVKRLHEGSSAVANGVEEKAPEAQEM
+>tr|A0A3Q1MXK0|A0A3Q1MXK0_BOVIN WD repeat-containing protein 74 OS=Bos taurus OX=9913 GN=WDR74 PE=4 SV=1
+MAAAAARWNHVWVGTDTGILKGVNLQRKQAANFTASGQPRREEAVSALCWGAGGETQILV
+GCADGTVKHFSTEEGRFQGQRQCPGGEGTFRGLAQVDGFGGGGLRIWKVGPGVCRMRQDP
+ARPHIVATGGKENALKVWDLQGSEEPLFRAKNVRNDWLDLRVPVWDQDIQFLPESQKLVT
+CTGYHQVRVYDPASPQRRPVLEATYGEYPLTAVTLTPEGNSVIVGNTHGQLAEIDLRQGR
+LLGCLKGLAGSVRGLQCHPSKPLLASCGLDRVLRIHRIRNPRGLEHKVYLKSQLNCLLLS
+GRDNWEDEPQEPQEPNKVPSEDTETDELWASLEAAAKRKLPDWEQTQGALQARRRKKKRP
+GSTSS
+>tr|G3N1Q3|G3N1Q3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=WC-7 PE=4 SV=2
+LPHFLHMSLGLCMWGDSRQLRVVDGRGPCAGRVEILDQGSWGTICDNGWDLDDARVVCRQ
+LGCGDALSAMGSAHFGAGSGRILLNTLDCRGKESHVWKCPSQGWRVHNCSHENDAGVVCS
+GFVRLVGDDGPCSGRVEVRPGEDWTPVSDGNFTLPTAQVICAELGCGEAVSVLGHELFRE
+SDGQVWAEEFRCEGEERKLWWCPRVPCPGGTCHHSGAAQVVCSAYSEVRLMTNGSSQCEG
+QVEMKISGRWRALCASHWSLANANVVCRQLGCGVAISTSRGPHLVERGGQISTVRFHCSG
+AESFLWSCPVTVLGGPDCSHGNTASVTCSGNQTQVLPQCNDAVSEPAGSVASEESAPYCS
+DSRQLRLVDGGGPCAGRVEILDQGSWGTICDDGWDLDDAHVVCRQLGCGQALNATRSAHF
+GEGSGPIWLDDLNCTGKESHVWRCPSRGWGRHDCRHKEDAGVICSEFLALRMVSGDQECA
+GWLEVFYNGTWGSVCRSPMDDVTVSILCSQLGCGDSGSLNTSVGLREGSRPHWVDGIQCQ
+RTDASLWQCPSDPWNYNSCSPKEEAYISCAVRRPKSCPTAAPCTDREKLRLRGGDSECSG
+RVEVWHSGSWGTVCDDSWSLAEAEVVCQQLGCGQALEAVRAATFGPGNGSIWLDEVRCGG
+RESSLWECAAEPWGQSDCKHEEDAGVRCSGVRTTLPTTTAALSSYEDALAEAVYEELDYL
+LTQKEGLGSPDQRTDVPAENYDDAEEVPVPGTPSASQGSEEEVPPEKEDGVRSSQTGSCL
+NFSREAADPGQGEESFWLLQGKKGDAGYDDVELSALGTSPVTFS
+>tr|E1BLI3|E1BLI3_BOVIN ULP_PROTEASE domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=3
+MTSGPGQYKMDPVVLSYMDSLLQQSDVSLLDPPSWLNDHVIGFAFEYFANSQFHDCSDHV
+CFISPEVTQFIKCTGNPAEIAMFLEPLDLPNKRVIFLAINDNSNHTAGGTHWSLLVYLQD
+KNGFFHYDSYGSSNSFHAKQVAEKLEAFLGRKGNKLAFVEEKAPAQQNSYDCGMYVICNT
+EALCQNFFRQQPQSLLQLLTPTYITKKREEWKDLIARLAKN
+>tr|E1BP12|E1BP12_BOVIN Vasohibin 2 OS=Bos taurus OX=9913 GN=VASH2 PE=4 SV=3
+MTGSAADTHRRPHPKGAKGTRSRSSHARPVSLATSGGSEEEDKDGGVLFHVNKSGFPIDS
+HTWERMWLHVAKVHPKGGEMVGAIRNATFLAKPSIPQVPNYRLSMTIPDWLQAIQNYMKT
+LQYLTNGQPSIERFPISFKTYFSGNYFHHVVLGIYCNGRYGSLGMSRRAELMDKPLTFRT
+LSDLIFDFEDSYKKYLHTVKKVKIGLYVPHEPHSFQPIEWKQLVLNVSKMLRADIRKELE
+KYARDMRMKILKPASAHSPTQVRSRGKSLSPRRRQASPPRRLGRRDKSPALPEKKVADVS
+TLNEVGYQIRI
+>tr|A0A140T8A5|A0A140T8A5_BOVIN Isocitrate dehydrogenase [NADP] OS=Bos taurus OX=9913 GN=IDH1 PE=1 SV=1
+MSQKIQGGSVVEMQGDEMTRIIWELIKEKLIFPYVELDLHSYDLGIENRDATNDQVTKDA
+AEAIKKYNVGVKCATITPDEKRVEEFKLKQMWKSPNGTIRNILGGTVFREAIICKNIPRL
+VSGWVKPIIIGRHAYGDQYRATDFVVPGPGKVEISYTPSDGSPKTVYLVHNFTESGGVAM
+GMYNQDKSIEDFAHSSFQMALSKNWPLYLSTKNTILKKYDGRFKDIFQEIYDKQYKSEFE
+AQNIWYEHRLIDDMVAQAMKSEGGFIWACKNYDGDVQSDSVAQGYGSLGMMTSVLVCPDG
+KTVEAEAAHGTVTRHYRMYQKGQETSTNPIASIFAWTRGLAHRAKLDNNKELSFFAKALE
+EVCIETIEAGFMTKDLAACIKGLPNVQRSDYLNTFEFMDKLGENLQLKLAQAKL
+>tr|E1BIU7|E1BIU7_BOVIN Protein tyrosine phosphatase, non-receptor type 23 OS=Bos taurus OX=9913 GN=PTPN23 PE=4 SV=3
+MEAVPRMPMIWLDLKEAGDFHFQPAVKKFVLKNYGENPEAYNEELKKLELLRQNAVRVPR
+DFEGCSVLRKYLGQLHYLQSRVPMGSGQEAAVSVTWTEIFSGKSVAHEDIKYEQACILYN
+LGALHSMLGAMDKRVSEEGMKVSCTHFQCAAGAFSYLREHFPQAYSVDMSRQILTLNVNL
+MLGQAQECLLEKSMLDNRKSFLVARISAQVVDYYKEACRALENPDTASLLGRIQKDWKKL
+VQMKIYYFAAVAHLHMGKQAEEQQKFGEQVAYFQSALDKLNEAIKLAKGQPDTVQDALRF
+AMDVIGGKYNSAKKDNDFIYHEAVPALDTLQPVKGAPLVKPLPVNPTDPAVTGPDIFAKL
+VPMAAHEASSLYSEEKAKLLREMMAKIEDKNEVLDQFMDSLQLDPETVDNLDAYNHIPPQ
+LMEKCAALSVRPDTVRNLVQSMQVLSGVFTDVEASLKDVRDLLEEDEALEQKLQEAVGPA
+AASTATSKAELAEVRREWAKYMEVHEKASFTNSELHRAMNLHVGNLRLLSGPLDQVRAAL
+PSPALSAEDKAVLQNLKRILAKVQEMRDQRVSLEQQLRELIQKDDITAVLVTADHSEMKK
+LFEEQLKKYDQLRVYLEQNLAAQDNVLRALTEANVQYAAVRRVLGDLDQKWNSTLQSLVA
+SYEAYEDLMKKSQEGKDFYADLESKVAALLERTQSACQAREATRQQLLDRELKKKPPPRP
+TAPKPLLPRREEGEAMEAGDLPEELRSLPPEPFLGAAAPLHFPPGPFPSSAGPGPHYLSG
+ALPPGTYAGPTHMLQPRAPQPPGHPGVAVAPGPALYTAPAYAPELGLVPRSSPQHGMVSS
+PYGGVGPPPPLAGLPSAPPPHFSGHELVVGVRPATTTVDSVQAPISSHTAPRPNPAPAPP
+QACFPGPPPQPLPLPYTYPMGPKQPLTAPPAQHHFPPGVPAGFPAPRMAPQPPPQPLPLQ
+HPHVFPPQAPGLVPAQASYPFAPQPGVLGQPSPALHTQLYPGPSQDPPPPHSGALPFASA
+GPPQPPHPTLAYGPAPAPRPLGPQAAPLSIRGPPPAIQPAPTPHLVPSPAPSPGPGPVPP
+RPPAAEPPPCLRRGTAAADLLSSSPESQHGGPQPPGGGQPLLQPTKVDAAEGRRPQALRL
+IERDPYERPERLQQLRQELETFRGQLGAAGALDTVWRELQDAQELDARGRSIAIARCYSL
+KNRHQDVMPYDSNRVVLRSGKDDYINASRVEGLSPYCPPLVATQAPLPGTAADFWLMVHE
+QKVSVIVMLVSEAEMEKQKVARYFPTERGQPMVHGALSLALSSVRTTETHVERVLSLQFR
+DQSLKRSLVHLHFPTWPELGLPDSPSHLLRFIQEVHAHCLHQRPLHTPVVVHCSSGVGRT
+GAFALLYAAVQEVEAGSGIPQLPQLVRRMRQQRKHMLQEKLHLKFCHEAVVRHVEQVLQR
+HGVPPPSKPTAGTGITQKNHLPQDSQDLVLGGDVPISSIQATIAKLSIRPPGGMDSPVAS
+LPGPVEPPSLPPPSLPESTQLPSSSPPPPSSPLPEAPQPEEEQPVPEAPSLGPPSSSLEL
+LASLTPEAFSLDGSLRGKQRMSKQDFLQAHNGQGLRAARPTDDPLSLLDPLWTLNKT
+>tr|F1MZ20|F1MZ20_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=OR6K3 PE=3 SV=2
+MVRSNQTSMVTEFFFSGLPELEDGNLLFFIPLLVIYIFIIIGNLIVFFAVRIDTHLHNPM
+YNFISIFSFLEIWYTTATIPKMLSNLVSKKRTISITGCLLQMYFFHSLGNSEGILLTTMA
+IDRYVAICNPLRYPTIMTPRLCTQLSIGSCIFGFLLLLPEIVWISTLPFCGPNQIHQIFC
+DFEPVLCLACTDTSMILIEDVIHAIAIIFSVLIIALSYIRIITVILRIPSAEGRQKAFST
+CASHLGVFLMFYGSVSLMYLRFSATFPPILDTIIALMFAVLAPFFNPIIYSLRNKDMKIA
+IKKLLCLQKVFYASAS
+>tr|A0A3Q1LQ21|A0A3Q1LQ21_BOVIN Inter-alpha-trypsin inhibitor heavy chain H3 OS=Bos taurus OX=9913 GN=ITIH3 PE=4 SV=1
+MALAQWPYLILALLSGLAVSGFPRNPSLLLGKRSLPGRAVDGIEVYSTKVNCKVTSRFAH
+NVVTTRAVNHANTAKEVSFDVELPKTAFITNFTLTIDGVTYPGKVKEKEVAKKQYEKAVS
+QGKTAGLVKASGRKLEKFTVSVNVAAGSKVTFELTYEELLKRHKGKYEMYLKVQPKQLVK
+HFEITVDIFEPQGISTLDAEASFITNDLLGSALTKSFSGKKGHVSFKPSLDQQRSCPTCT
+DSLLKGDFIITYDVNRESPANVQIVNGYFVHFFAPQGLPVVPKSVVFVIDVSGSMHGRKM
+EQTKDALLKILEDVKQDDYLNFILFSGDVTTWKDSLVPATPENIQEASKFVMDIQDRGMT
+NINDALLRGISMLNKAREEHTVPERSTSIIIMLTDGDANVGESRPEKIQENVRNAIGGKF
+PLYNLGFGNNLNYNFLENMALENHGLARRIYEDSDANLQLQGFYEEVANPLLTGVEVEYP
+QNAILDLTQNSYQHFYDGSEIVVAGRLADEDMNSFKAAVKGHGAINDLTFTEEVDMKEME
+KALQERDYIFGDYIERLWAYLTIEQLLDKRKNAQGEEKEILTAQALELSLKYHFVTPLTS
+MVVTKPEDNENQTAIANKPGEGRHRRWGATTSYQAPQTPYYYVDGDPHFIIQIPEKDDAI
+CFNIDEDPGTVLRLIQDPVTGLTVNGQIIGEKTGRSDSQTRRTYFGKLGIASAQMDFRIE
+VTRENITLWNGDSLSTFSWLDTVMVTQDGLSVMINRKKNMVVSFGDGVTFVVVLHQVWKK
+EPAHHDFLGFYVVNSRGMSAQTHGLLGQFFHPFDFQVSDVHPGSDPTKPDATMVVKNHQL
+TVTRGSQKDYRKDISVGRNVACWFVHNNGQGLIDGIHRDYIVPNLF
+>tr|A0A3Q1N5D5|A0A3Q1N5D5_BOVIN FAM161B, centrosomal protein OS=Bos taurus OX=9913 GN=FAM161B PE=4 SV=1
+MTVGRSAGASGGAQWNHQIFSPKSSSDTDADKELNGDGLVLPRAGKLSEFLSPEEETDST
+SDSTGSFFETLQALRQKDRWCLLESLYPSDPDSNESLSEEDEDLEHFFRDEDRGKPQVQY
+PPSVRCGSVRRCSSWGTLPSRTPKAQPQPPSSSRPPSQQRSISSWVSSITVPQPFRMTLR
+EARKKAQWLASPASFEPERRQAEKQGREEAECHRQFRAQPVPAHVYLPLYQEVMERNEAR
+RQAGIQKRKELLLSSLKPFSFLEKEERRKQAALQRDLAAAAAATAKVPKQKATRRIPKSI
+LEPALGDKLQEAELLRKIRIHMRALDMLQKASSPIAPSSSQADPQPRTATRTREEKLGFL
+HTDFGFQPRVNPAVPDYEELYKAFQKRAAKRRDTREATRNKPFLLRTASLCHTRRPCDVA
+TSEGRKESPQPPTTRLSRSRSLNGLASLSANTLPVHITDATRKRESAVRNNDRKRAKEYK
+KELEEMKKRIQRRPYLFEQVTKDLAKKEAEQRYRDTLKQAGLDEDFVRNKGQGSRALQWK
+EQWEVGDCPSTHETTKRGSRNPQQDLEESLEQLSSPKKELEELSYKLPDNFRTLA
+>tr|A0A3Q1LY43|A0A3Q1LY43_BOVIN Small ubiquitin-related modifier 1 OS=Bos taurus OX=9913 GN=SUMO1 PE=4 SV=1
+MDSGPKHKAYLGAAVINSSVRPQLKPALNPPASGEPRRPQRVFPRRLSSFPSPSSLSVAE
+VTQGVAEVPPAAVLCCWVERRICKPRSEVLPTRGRWCAETPGETTVTMSDQEAKPSTEDL
+GDKKEGEYIKLKVIGQDSSEIHFKVKMTTHLKKLKESYCQRQGVPMNSLRFLFEGQRIAD
+NHTPKELGMEEEDVIEVYQEQTGGHSTV
+>tr|F1N049|F1N049_BOVIN Actin-related protein 3 OS=Bos taurus OX=9913 GN=ACTR3 PE=1 SV=3
+MWSGFLNITKRRIRELCGLTWYTKLGYAGNTEPQFIIPSCIAIKESAKVGDQAQRRVMKG
+VDDLDFFIGDEAIEKPTYATKWPIRHGIVEDWDLMERFMEQVIFKYLRAEPEDHYFLLTE
+PPLNTPENREYTAEIMFESFNVPGLYIAVQAVLALAASWTSRQVGERTLTGTVIDSGDGV
+THVIPVAEGYVIGSCIKHIPIAGRDITYFIQQLLRDREVGIPPEQSLETAKAVKERYSYV
+CPDLVKEFNKYDTDGSKWIKQYTGINAISKKEFSIDVGYERFLGPEIFFHPEFANPDFTQ
+PISEVVDEVIQNCPIDVRRPLYKNIVLSGGSTMFRDFGRRLQRDLKRTVDARLKLSEELS
+GGRLKPKPIDVQVITHHMQRYAVWFGGSMLASTPEFYQVCHTKKDYEEIGPSICRHNPVF
+GVMS
+>tr|A0A3Q1LUM9|A0A3Q1LUM9_BOVIN Methylcrotonoyl-CoA carboxylase 2 OS=Bos taurus OX=9913 GN=MCCC2 PE=4 SV=1
+LLQHHSSKASILLRSAFFIVQLSHPYVTTGKTIALTRRTFVGKVMSLLFNMLSRLVITSL
+SRSKRGGEKARARHISRGKLLPRERIDNLIDPGSPFLELSQFAGYQLYGDEEVPAGGVIT
+GIGRVSGVECMIVANDATVKGGSYYPVTVKKHLRAQEIAMQNRLPCIYLVDSGGANLPRQ
+AEVFPDRDHFGRIFYNQAVMSSKSITQIAVVMGSCTAGGAYVPAMADENIIVRKQGTIFL
+GGPPLVKAATGEEVSAEDLGGADLHCRKSGVSDYYALDDNHALHLARKIVRSLNYQKKMD
+VTIEPSEDPLFPADELYGIVGANLKRNFDVREVIARIVDGSRFNEFKALYGDTLVTGFAR
+IFGYPIGIVGNNGVLFSESAKKGAHFIQLCCQRNIPLLFLQNITGFMVGRDYEAEGIAKD
+GAKMVTAVACANVPKITVIIGGSYGAGNYGMCGRAYSPRFLYLWPNARISVMGGEQAANV
+LATVAKDQRAREGKQFSSADEAALKEPIIKRFEEEGNPYYSSARLWDDGIIDPVDTRLVL
+GLSLSAALNAPIHKTNFGILRM
+>tr|E1BB54|E1BB54_BOVIN Ubiquitin specific peptidase like 1 OS=Bos taurus OX=9913 GN=USPL1 PE=4 SV=1
+MTDSPKSGNGLPMVGPGPDIGNSSLHMVGYLGKNYDSVKVLSDGYCPACRAKGKLKALKT
+YRISFQESVSLCEDLQCIYPLGSKSLNNLFSPGLEDCHTPNKSQKRKILETNCEDSPLLA
+GSKKTKNHRGIDSEQVLNSNHNGGEYDGTSPDLPGSLYSGQQNPVRTAGCWEQNEALEAD
+TVDMASEEDAPMVDVSGTEGTRPQNERCTSELEKPLESKHTSLCQTSCVQWKNAHALCWL
+DCILSALVHLEGLKSTVTDLQSKEESVFGRLFTRYSEANKLLHTSQLDGVKDGDCKKLPS
+EIFAKIETCLNEVRDEIFIRLQPQLRCTLGDMESPVFAFPLLLKIEPHIEQLFTYSFSWN
+FECSHCGHKYQNRCMKNLVTFTNVIPEWHPLKAAHFGPCNNCNNKSQIRKMVLEKVSLVF
+MLHFVEGLPHNDLQRYSFHSEGCLYQVTSVIQYQANNHFVTWILDADGSWLECDDLKGLC
+SERHETFQVPASEIHIVIWERKTSQMTDKAPACHPLKKTSDQYAFGDEKQVFPAACSAGE
+AASAEPSSGTHPTDVSMVPNTLSQDEAVAPGRHSLPNLKGSVDSGILPLTLEEVQSNSEG
+FLLEHKPVAENAGVVEANTLQSEEPLIALLVAPFEDKLTHNQPDLSFSSQLLTMPSVQVS
+TEDTAVTQPVNDTQAGGLIQQVKPVDTEGAVALERNASLKQFLVAETEKLNPEQHVTSQV
+SDWKEKETTAFSQTVTAKPLQNPPLKENQKKPFVGSWVKGLLSKGVSFMPSCVSAHNRNT
+VTDLQPSVKGASNFGGFQTKGSRQKASQASRKAHRRAAKPPLLSNSPPSHQSPTSTASPP
+RADGTGDSEVWKRCESASCGAYLNHNSHGNENGVSSPNHGDTIEGQIHKLRLKLLKKLKA
+KKKKLASLMSSPQNGTLPSEPSEQVSHCGSPNDSESIEGLLQELQRQIDIADNKSGCATV
+PGVSPYGGQTHEEILAELLSPATVVSTELSENGEADFRYLEMGDSHIPAPVPNELNSIAH
+NTHLRQEHNYCSPKKNQSELQPDSLTNNAFIRTLNLESPMKTDIFDEFFSASALNSLAND
+TLDLPHFDEYLFENC
+>tr|E1B908|E1B908_BOVIN RRM domain-containing protein OS=Bos taurus OX=9913 GN=PABPN1L PE=4 SV=3
+MWPFLSRTLFPPPTEAWLQRASSDPEAQGWGAWSPAEKTHLDPGAGGEEEETGEAEEDNE
+EDAGFLLSLLEREGLAECPVPDQELEAIKLKLWAMEQAQGPEPPRVQNLDEGEEAAGALL
+ARQLLSPETGRSRPPTMAHAWQVDYGGTAQELEAYFNHCGEIHRVTILCDKFSGHPKGYA
+YIEFATESSAQAAVELDKSIFRGRVIKVLPKRTNLPGISSTDRGGLRGHPGARVGSFPYG
+GLQGGARFRPRGRSRGRGRFSPWFSPY
+>tr|A0A3Q1M1Z0|A0A3Q1M1Z0_BOVIN Protein kinase domain-containing protein OS=Bos taurus OX=9913 GN=ULK4 PE=4 SV=1
+MENFILYEEIGRGSKTVVYKGRRKGTINFVAILCTDKCRRPEITNWVRLTHEIKHKNIVT
+FHEWYETSNHLWLVVELCTGGSLEMVIAQDENLPEDVVREFGIDLLTGLHHLHQLGILFC
+DISPRKILLEGPGTLKFSNFSLAKVEGENLEEFFTLVAAEEGGGDSGENVVKKSMKSRVK
+GSLIYTAPEIVRGTDFSITSDLWSLGCLLYEMFSGKPPFFSECVSELIEKICYEDPLPPI
+PKDSSCPKASSDFINLLDGLLQKDPQKSRNMEYSGSQDATELLKSPQGGQAKGEKSGQRL
+SHSFRLENPSDLRPKSTLGGQLNESMFLLSSRPTPRTSTAVALSPGDAVTQSSPQKTSPL
+TKIASGHMSQQDLESRMRELIYTDSDLVITPIIDNPKIMKQPPIKYDPKILHLPAHSVDK
+LVFLEDQDWNDFLQQVCSQIDSTEKSTGASRAKLNLLCYLCVVAGHREVATRLLHSPLFQ
+LLIQHLRIAPNWDIRAKIARMIGLLASHTSELQENAPVIEAITLLTELIRENFRNSKLKQ
+CLLPTLGELIYLIATEEEKKKHPRECWAVPLAAYTVLMRCLREGEERVVNHMAAKIIENV
+CTTFSAQAQGFITGEIGPVLWYLFKHSTVDSLRITAISALCRITRHSPTAFQNVIEKVGL
+TSVINSLASAICKVQQYMLTLFSAMLSCGIHLQRLIQEKDFVSTIIRLLDSPSTSIRAKA
+FLVLLYILIHNHEMLLLSCQARLVMYIERDSRKTTPGKEQQSGNEYLSRCLDLLIRHMVQ
+ELPRILGDILNALANVSGRKHPSTVQAKQLKMCLPLMPVVLHLVTSQVFRPQVVTEEFLF
+SYGTILSHIKSIDSGETNIDGAVGLMASEEFIKITLSAFEAVIQYPILLKDYCSTVVDYI
+LPPLVSLVQSQNVEWRLFSLRLLSETTSLLVNQEPDDGKEVVNVDSDNNLLALIRDVLLP
+QYEHILTQPDPVPAYALKLLVAMTEHNPTFTRLVEDSKLIPRIFEVILEHQENILGNTMQ
+SVVALLNNLVACKDSNMKLLYEQGLVRHICSLFTETATLCLDADNKSNNETAAALLFSLL
+DILHGMLTYTSGVVRLALQAQKSGSGGSTQAAEDLLLLGKPLIDLVSLLIPLLPNEDPEI
+FEVSSKCLSILVQLYGGENPESLSPENAETFADLLTSKEDLKDQKLLLRILRRMITSNEK
+HLESLKSAGNLLRVLEGLAPAGGSSANSSVASLALEILQAAGH
+>tr|A0A3Q1M7P6|A0A3Q1M7P6_BOVIN Putative RNA polymerase II subunit B1 CTD phosphatase RPAP2 OS=Bos taurus OX=9913 GN=RPAP2 PE=4 SV=1
+MADWVGPCSAGRKARRSRASRDVAGTKQTSALIQEDASQRKAELEAAVRKKIEFERKALH
+IVEQLLEENISEEFLRECGKFITPAHYSDVVDERSIIKLCGYPLCQNKLGIVPKQKYKIS
+TKTNKVYDITERKCFCSNFCYKASKFFEAQIPKSPVWIREEERHPDFQLLQDGQSGPSGE
+EIQLCSKAIKTSDIDSPGHFEKHYESSSSSSHSDSSSDNEQDFVSSILPGNRPNATRPQL
+HEKSIMKKKAGQKVNSQHESKEQTVVDVIEQLGNCRLDNQEKATACELPLQNVNTQISSN
+SSLQKKLEASEISDIKYSSSKVTLVGISKKSAEHFKRKFAKSNQVSGSASSSLQVCPEIA
+KANLLKALKETLIEWKTEETLRFLYGQNYASVCLKSSSTPLVKEEELDEDDMNSDPDSHS
+PALQELNSLDESLPFRASDTAIKPLPSYENLKKETETLNLRIREFYRGRYVLNEETTKSQ
+DSEEHDPTFPLIDSSSQNQIRKRIVLEKLNKVLPGLLGPLQITLGDIYTQLKNLVHTFRL
+TNRNIIHKPAEWTLIALVLLSI
+>tr|A0A3Q1N807|A0A3Q1N807_BOVIN Inositol hexakisphosphate and diphosphoinositol-pentakisphosphate kinase OS=Bos taurus OX=9913 GN=PPIP5K2 PE=3 SV=1
+MTAAVAGFPLDKAVAYAKLRNPFVINDLNMQYLIQDRREVYSILQAEGILLPRYAILNRD
+PNNPKECNLIEGEDHVEVNGEVFQKPFVEKPVSAEDHNVYIYYPTSAGGGSQRLFRKIGS
+RSSVYSPESNVRKTGSYIYEEFMPTDGTDVKVYTVGPDYAHAEARKSPALDGKVERDSEG
+KEVRYPVILNAREKLIAWKVCLAFKQTVCGFDLLRANGQSYVCDVNGFSFVKNSMKYYDD
+CAKILGNIVMRELAPQFHIPWSIPLEAEDIPIVPTTSGTMMELRCVIAVIRHGDRTPKQK
+MKMEVRHQKFFDLFEKCDGYKSGKLKLKKPKQLQEVLDIARQLLMELGQNNDSEIEENKS
+KLEQLKTVLEMYGHFSGINRKVQLTYLPHGCPKTSSEEEDSRREEPSLLLVLKWGGELTP
+AGRVQAEELGRAFRCMYPGGQGDYAGFPGCGLLRLHSTYRHDLKIYASDEGRVQMTAAAF
+AKGLLALEGELTPILVQMVKSANMNGLLDSDSDSLSSCQQRVKARLHEILQRDRDFTAED
+YEKLTPSGSISLIKSMHLIKNPVKTCDTVYSLIQSLTSQIRHRMEDPKSSDIQLYHSETL
+ELMLRRWSKLEKDFKTKNGRYDISKIPDIYDCIKYDVQHNGSLKLEDTMELYRLSKALAD
+IVIPQEYGITKAEKLEIAKGYCTPLVRKIRSDLQRTQDDDTVNKLHPVYSRGVLSPERHV
+RTRLYFTSESHVHSLLSILRYGALCDESKDEQWKRAMDYLNVVNELNYMTQIVIMLYEDP
+NKDLSSEERFHVELHFSPGAKGCEEDKNLPSGYGYRPASRENEGRRAFKIDSDDEPHTSK
+KDEVDRAVILFKPMVSEPIHIHRKSPLPRSRKMATNEEESPLSVSSPEGTGTWLHYTSGV
+GTGRRRRRSGEQITSSPVSPKSLAFTSSIFGSWQQVVSENANYLRTPRTLVEQKQNPTVG
+SHCASLFSTSVLGGSSSAPNLQDYARTHRKKLTSSGCIDDATRGSAVKRFSISFARHPTN
+GFELYSMVPSICPLETLHNALSLKQVDEFLASIASPSSEVPRKTPETSSLTSRSSPIMRR
+KVSLNTYTPAKILPTPPASIKSTKASSKPAPSGPSNTAVPNTSSRKKGVTSKTEMHEHKK
+NTSKKK
+>tr|G3X8E7|G3X8E7_BOVIN BTB domain containing 7 OS=Bos taurus OX=9913 GN=BTBD7 PE=4 SV=1
+MGANASSYPHSCSPRVGGNPQAQQTFIGTSSYSQQGYGCESKLYSLDHGHEKPQDKKKRT
+SGLATLKKKFIKRRKSNRSADHAKQMRELLSGWDVRDVNALVEEYEGTSALKELSLQASV
+ARPEARTLQKDMADLYEYKYCTDVDLIFQETCFPVHRAILAARCPFFKTLLSSSPEYGAE
+IIMDINTAGIDMPMFSALLHYLYTGEFGMEDSRFQNVDILVQLREEFGTPNSLDVDMRGL
+FDYMCYYDVVLSFSSDSELVEAFGGNQNCLDEELKAHKAIISARSPFFRNLLQRRIRTGE
+EITDRTLRTPTRIILDESIIPKKYAKVILHCMYTDMVDLAVLHCSPSVGSLSEVQALVAG
+KPNMTRAEEAMELYHIALFLEFNMLAQGCEDIIAESISLDTLIAILKWSSHPYGSKWVHR
+QALHFLCEEFSQVMTSDVFYELSKDHLLTAIQSDYLQASEQDILKYLIKWGEHQLMKRIA
+DREPNLLSGTAHSVNKRGVKRRDLDIEELREILSSLLPFVRIEHILPINSEVLSDAMKRG
+LISTPPSDMLPTTEGGKSNAWLRQKNAGIYVRPRLFSPYVEEAKSVLDEMMVEQTDLVRL
+RMVRMSNVPDTLYMVSNAVPQCCHMISHQQISSNQSSPPSVVANEIPVPRLLIMKDMVRR
+LQELRHTEQVQRAYALNCGEGATVSYEIQIRVLREFGLADAAAELLQNPHKFFPDERFGD
+ESPLLTMRQSGRCRVNSTPTAETMFTDLDSFVAFHPPLPPPPPPYHPPATPIHNQLKAGW
+KQRPPSQHPSRSFSYPCNHSLFHSRTAPKAGPPPVYLPGVKAAAPDCTNTTGLGRQTVAA
+AAAAASTTIASEKQVCTQPVLNDLMPDIAMGVSTLSLKDRRLPELAVDTELSQAVSEVGP
+GPPQHLSCIPQRHTHTSRKKHTLEQKTDARENQQEYPDFYDFSNAACRPSAPAPGRRTPS
+PSQGGYFGPDLYSHNKASPSGLKSAYLPGQTSPKKQEETRKEYPLPPDGHPHRQKSEPIH
+LDVVEQPPQRPDFPLAAPENAGSGSAHVRGRAAVETDLTFGLTPNRPSHSACSSEAPEER
+SGRRLADESLGHGAQRNTDLEREDSVSRGRRSPSKPDFLYKKSAL
+>tr|A0A3Q1LNV3|A0A3Q1LNV3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+NFIVPPCQDICLKPESLNLHPTHLLKSQLVLEKVEDDVLKRCMSPSEGTRGENQGSIYHG
+ALLPGRLLWRKWPMASSLHDTSPRLFSSVLLSSTAQDHLDFCCRYCWSLLPLTSVPEPQN
+IRIHGFRGIFAVF
+>tr|E1BD80|E1BD80_BOVIN G_PROTEIN_RECEP_F1_2 domain-containing protein OS=Bos taurus OX=9913 GN=LOC787810 PE=3 SV=2
+MFQDLGNFNSSKFQVSEFILLGFPGIHSWQHWLSLPLVLLYLLALSANILILIIINQEAT
+LHQPMYYFLGILAVVDMGLATTIMPKILTILWFNAKTIGLPECFVQMYAIHSFVGMESGI
+FVCMAIDRYVAICQPLCYSSIITKSFVLKATVLMALRNSLTTIPIPVLAAQRHYCSKNQI
+EHCLCSNLGVTSLSCDDRTINSVYQLLLAWILMGSDLGFILVSYALILHSVLKLNSMEAI
+SKALSTCTSHLILILFFYTVVIVISITHSATMMFPLIPVLLNVLHNVIPPALNPMVYALK
+NKELRQGLRKLLKLDLKGR
+>tr|A0A3Q1LVF9|A0A3Q1LVF9_BOVIN AP-2 complex subunit alpha OS=Bos taurus OX=9913 GN=AP2A1 PE=1 SV=1
+MPAVSKGDGMRGLAVFISDIRNCKSKEAEIKRINKELANIRSKFKGDKALDGYSKKKYVC
+KLLFIFLLGHDIDFGHMEAVNLLSSNKYTEKQIGYLFISVLVNSNSELIRLINNAIKNDL
+ASRNPTFMCLALHCIANVGSREMGEAFAADIPRILVAGDSMDSVKQSAALCLLRLYKASP
+DLVPMGEWTARVVHLLNDQHMGVVTAAVSLITCLCKKNPDDFKTCVSLAVSRLSRIVSSA
+STDLQDYTYYFVPAPWLSVKLLRLLQCYPPPEDAAVKGRLVECLETVLNKAQEPPKSKKV
+QHSNAKNAILFETISLIIHYDSEPNLLVRACNQLGQFLQHRETNLRYLALESMCTLASSE
+FSHEAVKTHIDTVINALKTERDVSVRQRAADLLYAMCDRSNAKQIVSEMLRYLETADYAI
+REEIVLKVAILAEKYAVDYSWYVDTILNLIRIAGDYVSEEVWYRVLQIVTNRDDVQGYAA
+KTVFEALQAPACHENMVKVGGYILGEFGNLIAGDPRSSPPVQFSLLHSKFHLCSVATRAL
+LLSTYIKFINLFPETKATIQGVLRAGSQLRNADVELQQRAVEYLTLSSVASTDVLATVLE
+EMPPFPERESSILAKLKRKKGPGAGSALDDSRRDPSSHDINGGVEPTPSTVSTPSPSADL
+LGLRAAPPPAAPPAPSGAGNLLVDVFSDSPAAQPGLGPSPEEAFLSELEPPAPESPMALL
+ADPAPAADPGPEDIGPPIPEADELLNKFVCRNNGVLFENQLLQIGVKSEFRQNLGRMYLF
+YGNKTSVQFQNFSPTVVHPGDLQTQLAVQTKRVASQVDGGAQVQQVLNIECLRDFLTPPL
+LSVRFRYGGAPQSLTLKLPVTINKFFQPTEMAAQDFFQRWKQLSLPQQEAQKIFKANHPM
+DAEVTKAKLLGFGSALLDNVDPNPENFVGAGIIQTKALQVGCLLRLEPNAQAQMYRLTLR
+TSKEPVSRHLCELLAQQF
+>tr|A0A3Q1N2G4|A0A3Q1N2G4_BOVIN Tudor domain containing 15 OS=Bos taurus OX=9913 GN=TDRD15 PE=4 SV=1
+MDPTSLLPTLLNVDLTISHIECLPKDILVKFQGRNNIECEFDYHILQREIQHIQKGNNNV
+DIDDFCLVEERVSGEWQRGRVVEKKNELYTVLLIDRGEELRVDSTQVASACGNLFELPPR
+VTFGILANILPAGEKWSPKALNYFQSLVGLQVKGCVQAVLPLQMILLEVPNIISQVLELQ
+LGRLIDGDSFRLIVEMVKEFPKQMPDSLHHKRPESSLSNNDTLLDIQHVLDNLQPSLSVG
+STESVKVSSALSPSKFYCQLIKWIPELENFTTCMTLHYDIISQGSGPTCDNFGLLCAARR
+RNGQWHRGILQQLLPNNQVRIWFMDYGSTETVPSVLVKKLKQDFILVPLFSFPCSLTCLH
+SPDRDTRKFQLSIFKQALLGQVVCAHLDGFNKDEHLYYVTLETQESTISSKCLLKTVGTQ
+VLCPVSDSKIYNRLRATSASEVNSFAVESFIANTKQSIDSLSKKDTLKIDFPVKTVEMKI
+EAAYIAFVVYVLNPSHFWVRTNEHQNEFQDIMKNINKYYDLCENDELILRNPEPGLFCCA
+RYSKDRHFYRAVITEINGHKINVYFLDYGNTDSIPFFDVKILLPEFCELPALAMCCSLAH
+IFPVEDLWVKAAVDYFKKIVLNKEVLLQVIAKKDDKYTVNIQSIEASESSDIVSLMLQAG
+YAEYWEVEPECCPKFVSEYSVLNLKSKNKVKKVISALEGPQSKRYYSNKLKESNLSLLKF
+PPVNFSDFKNPFTLSLGPESPWRYKEYVFKPGTILEVKCSYSCGPGDFLCQLQCQLEDLK
+LLMEQLQHYYSVHSDPYQVGHMACVAKSSKDGKWYRAAILTQISKKEFDVVLVDYGYQER
+VLIRDLCAIKPHFLSLEAQAFRCSLNHLVEPISCKVLSWSREACRDFENFISSSRGLLTC
+VICAIVLIYPNCLSNLVDLQSPFTSAKEFLIRHGSVQYCTVSKPFPSSVSLYSYCYSSFN
+IKVGSEEEIYISHIYSPQKFYCQLSRNNKDLEMIETKIREISYLSDCPKYNFSKMRLCIA
+KYVEDGLSYRALSMPTDSLSDILVYFVDFGNKQLVEESMLRAVSDEFPELLFTPMQAIKC
+FLSDLSDVDIPAEINNWFADKYFGKPLRAKILSREPDGQFGVDLYDGYQHINQKIKMLLH
+AYGKKHCDQAQCVKKDHKTNENKKEAVALKGKIENNYHHNVSKTSLITYSESKTHQLMNP
+RSMYARLLKPSVCCKVEPVSKNKVKSLQDELKDVKIVPGLAHILDEHDVGQQSVKVVSQS
+FIRELNQAASKNIYSHARPQIKDLPQPKIYLNASVKGYVSNISNPASFHIQLAENENVII
+RLAAALNTRRASIVRERISVKPMVGDLVVAEYSGDNAIYRAVIKKILSRNSYEVEFIDYG
+NTAIVSTSKIYEIKKEFLTIPQLGVHSFLSGVKWNEPNEIWDRKTVDYFASRVSNKTVSC
+EFLKRHEQKWEVNVICDEKCVINELVKWTACLKLQKTVLQMPQVVSQKVGPVDNEMKKGG
+SNGYEGSVILQPSYQQLVSIPFEELKPGQLEKAEILHVSKSGTFYVKLSKNKKILSDLTV
+LITKEVRNPDFLSVENIEKGLECLAKSKNTFQWYRSKVDKYVDEKVLVFLVDRGKYEIVP
+LCNTKVLSNEIRNIPRQAVPCKWIWFENFRKMPFESIVGLFAHLEIKILFLKYLDSAWKV
+DILIDGLLLLEYLNLNTVHVEENKFRSSGIICSIESKTPVSPCTIRSFTWTQLQNGRQYF
+GIATAVSDPSDFCVQLEDFFDTMKSLFMLLSDLPEDLQTVPQEHIIPGSGCLFKYESEDQ
+WNRVEISEVSDQNLLLTLIDYGFSVYIHYSDIKNLKVVPEALLNLPRLSYPCILHGILPA
+EGRHWNEEAKRFFQDFLSKPGLVFQFREYSFETKLKVDIIHEKNNLADVLVASGLAIYSK
+DSAHLDAVTTTGSIENPLAC
+>tr|A0A3Q1M632|A0A3Q1M632_BOVIN RAN binding protein 17 OS=Bos taurus OX=9913 GN=RANBP17 PE=4 SV=1
+MALHFQSLAELEALCTHLYIGTDLTQRIEAEKALLELIDSPECLSKCQLLLEQGTTSYAQ
+LLAATCLSKLVSRVSPLPVEQRIDIRNYILNYVASQPKLAPFVIQALIQVIAKITKSGWF
+EVQKDRFVFREIIADVKTFLQGAMEHCIIGVIILSELTQEMNLVDYSRPSAKHRKIATSF
+RDTSLKDILVLACSLLKEILAKPLNLQDQDQQNLVMQVLKLVLNCLNFDFIGSSADESAD
+DLCTVQIPTTWRAIFLEPETLDLFFNLYHSLPPLLSQLGLSDPGNYHEFCRFLARLKTNY
+QLGELVMVKEYPEVIRLIANFTITSLQHWEFAPNSVHYLLTLWQRMVASVPFVKSTEPHL
+LDTYAPEITKAFITSRLESVAVVVRDNLDDPLDDTTTVFQQLEQLCTVSRCEYEKTCTLL
+VQLFDQNAQNYQKLLHSASRVTVDMAIQEGRLAWLVYLVGTVVGGRLTYTSTDEHDAMDG
+ELSCRVFQLISLMDTGLPQCSNEKIELAILWFLDQFRKTYVGDQLQRTSKVYARMSEVLG
+ITDDNHVLETFMTKIVTNLKYWGRCEPVISRTLQFLNDLSVGYILLKKLVKIDAVKFMLK
+NHTSEHFPFLGISGSYSLSDFRCRTAFYTALTRLLMVDLGEDEDEFENFMLPLTVSFETV
+LQIFNNNFKQEDVKRMLIGLARDLRGIAFALNTKTSYTMLFDWMYPTYLPILQRAIEQWY
+GEPACTTPILKLMAELMQNRSQRLNFDVSSPNGILLFREASKMICTYGTQILSLGSLSKD
+QIYPMKLKGISICYSALKSALCGNYVSFGVFKLYGDNHFDNVLQAFVKMLLSVSHSDLLQ
+YRKLSQSYYPLLECLTQDHMSFITSLDPPVLLYVLTSISEGLTALDTVVSSSCCTSLDYI
+VTYLFKHIAKEGKKSLRCREATQAGQRLLHFMQQNPEVLQQMMSVLMNTIVFEDCRNQWS
+VSRPLLGLILLNEKYFGELRAGLINSQPLPKQEVLAQCFRNLMEGVEQNLSVKNRDRFTQ
+NLSVFRRDMAEALRSDGSTEPLDMMS
+>tr|F1MGR7|F1MGR7_BOVIN E2F transcription factor 5 OS=Bos taurus OX=9913 GN=E2F5 PE=4 SV=2
+MKTSVIVLMVSDTLLAIQAPSGTQLEVPIPEMGQNGQKKYQINLKSHSGPIHVLLINKES
+SSSKPVVFPVPPPDDLAQPPSQPPTPVPPHKPSSAVQSLPEPPVSERSQPLQHTPATDLS
+SAGSISGDIIDELMSSDVFPLLRLSPTPADDYNFNLDDNEGVCDLFDVQILNY
+>tr|A0A3Q1MXV4|A0A3Q1MXV4_BOVIN Protein kinase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MEKLYTARDLHSGHFMALKSVRVPSGGSAGGGLPISTVWEVALLQRLEAFEHPNVVRLMD
+VLTLVFEHVDQDLRTHLDKGPPPDLPVEITKDLMHQFPRGLDFLHANCIVHRDLKPENIL
+VTNGGTVKLAAFGLARIHSYQMALTPMVVTVWYRAPEVLLQSTYATPVDMWSVGCIFAEM
+FRRKPLFCGNSEADQLGKIFDLIGLPPEDDWTREVSLPQGAFSPRGPRPVQSVVPELEES
+GAQLLLEMLTFNPHKRISAFQALQQSYLHKAEGDAEPPSFPIHGIFFRQE
+>tr|F1MWI0|F1MWI0_BOVIN Dynein regulatory complex protein 9 OS=Bos taurus OX=9913 GN=IQCG PE=4 SV=1
+MEEGLEASNLPPEAWPSEVTVSVTGEPPNTSVEEKEETAKEAVIETSPEIMKPLSILDVL
+RISAVLEDTTDQLSILNYIMPVQYEKRQSISMKDNKEMHLEGRSSEKVPLASNPSKISSP
+LVHKEEPKLPEIRQGGQFNKVQDLIFKKPTRQTIMNTETLKKIQIDRQFLSDVITETLEE
+LQEEGTFTNLLKALGKERESKMHFYDTIAREENGRKKIKSLQKQLLNVKKERQAEVQNRN
+EYIAHLKDQLQEMKAKTNMENRYMKRNTELQISQTQKKCSKTEELLLEEIEKLRLKTEEE
+NRIHAEIELFLRKEQQKLEEKLEFWMEKFDKDTEMKQNELNALKSAKASDLAHLQELAKT
+IREYEQVIIEDRIEKEKTRKKREQDDLELRSIIKLQAWWRGTVVRREIGGFKMPKDKDDS
+KDVKGKGKEKDKRRGKK
+>tr|F1MBR4|F1MBR4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=UBD PE=4 SV=3
+MATVVDVTVHSEKWKPMTFPAHPGDRVNKINERVRSRTKVPVPDQVLQLGSKTLKPKRTL
+SSYGIDKETTIHLTLKVVKPSDEELPLVLVEPGEGGQRHELQVRRSSSVAQVKEMIKMRT
+AIPPKKQIVNCNGKKLEDGKIMGDYGIKKGHLLFLTYPCIGG
+>tr|E1BDQ1|E1BDQ1_BOVIN Crystallin gamma N OS=Bos taurus OX=9913 GN=CRYGN PE=3 SV=2
+MAQRSGKITLYEGKHFTGRKLEVFGDCDNFQDHGFMNRVNSVRVESGAWVCFDHPDFRGQ
+QFILEHGDYPDFYRWNGHNDHMGSCRPVRMHGEHFRLEIFEGCNFTGQCLEFKEDCPFLQ
+SRGWTKNCVNAIKAYGDGAWVLYEEPNYRGRMYLVERGDFRSFSDWEAPSARVQSLRRVA
+NF
+>tr|A0A3Q1NE57|A0A3Q1NE57_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MAFDTGMGQGFKSGIGRGPYKPKIYLPKHPFDLTLCESSFPRVKPIPDESAFTQALLKRN
+ADLSPTPAEQTAILNLVTKIQTVLDNLVVAPGSFDQLEEVRQVGSFKKGTMVTGHNVADI
+VVILKTLPTREAVEALGNKVKEDLKNLMKSEVVPKGEALSHCTNERGIEISNSFACVRVM
+VTTIHHNIRKLDPEIHLDQKIMLSHLAAIRHSRWFEENAHHSSIKVLIRLLRDLRSRFDG
+FEPLTPWMLDLLAHFAIMNNPSRQALPINQAFRRVFQLLAAGLFLPGSAGITDPCEGGSI
+RIHTAMSLEQQDICCLTAQTLLRVLAHGGYKHILGLEGNASIAKEMSVWDGVVVSPLDKA
+YEPPEKKDGEEEDEDMDAEGDEVWKPWIIEVSSGVIYH
+>tr|A0A3Q1LRQ2|A0A3Q1LRQ2_BOVIN Constitutive coactivator of peroxisome proliferator-activated receptor gamma OS=Bos taurus OX=9913 GN=FAM120B PE=4 SV=1
+MGVRGLHGFVASSCPHVCTVVNFKELAERHRSQHPGGTPTIVVDAMCCLRYWYTPESWVC
+GGQWREYYSSLREFVRTFTAVGIKLIFFFDGMVEQSKRDEWVKRRLKNNREIAKIFHYIK
+SRREQPGRNMFFIPSGLAIFTRFALKALGQETLCSLQEADYEVASYGFQNNCLGILGEDT
+DYLIYDTCPYFSISELSLDSLDTVMLCREKLCQSLGLHLADLPLLACLLGNDVIPEGMFE
+SFRYKCLTSYASVRESCDRKGNVILAVAEHISKVLRLHQGEKKLEEMLPLGPNKALFYKG
+VASYLLPGQKSPWFIQKPEDVVTLDKQVLSMSSDPESKQEFPVCMDSESKQKLPVGTDPE
+FNLEAPMCTNTEVKQEDPVNVGPEAKHQVTVVLDPEILKVARAQHVQAESYLVYSVMSSG
+EVECSNSLEDATDQALPSQAFVYRPVRQRVYSLLLGGGGGGSSTGPAVKEWFVYSGNPLR
+QPDLVRPLQMNIPGGTPSLRQLWLSQEPGIQAQRLDTLLACFDLSSSREELQAVERPFQA
+LCCLLVYLFVQVDTLCLEDLHAFIAQALCLQGKPTMELADLQLDHIDPRAVQLATLLVRG
+LTTLVLVNGACGSPWEMADFMPWHLFDGKLFHQKYLQSEKGYTAEVLVEQNRSHVTRFHT
+LKSVVCKACGKESRPIVSRRHWRPHHAGRWGRQGSSSHGTSSGYSRSGHGQHWRDQGPGK
+RPKGVLGLPRGCLGVGCMFASE
+>tr|G3MZB5|G3MZB5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=SMIM10 PE=4 SV=1
+MAATAALSGVAMRLSRSATTRGSYGAFCKGLTRTLITFFDLAWRLRMNFPYFYIVASVIL
+NVRLQVHI
+>tr|A0A3Q1N891|A0A3Q1N891_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC112449111 PE=4 SV=1
+MTDTDGKVAIQKEDLQKYHNRDTWFQLQHVDADSEVQGKVHLELRLSEVITDSGVVCHKL
+ATRILECQGLPIVNGQCDPYATVTLAGPCRSEAKKTKVKKKTNNPQFDEVFYFEVTRPCS
+YSRKSHFDFEDEDVDKLEIRVDLWNASNLKFGDEFLGELRVPLKVLRQSSPHEAWYFLQP
+RDNGSKSLKPGDLGSLRLNVVYTEDHVFSSDYYSPLRDLLLKSADVEPVSASAAHILGEV
+CREKQEAAIPAGAALPALRPGGAFISAIASAEVRRTQDPNTIFRGNSLTSKCIDETMKLA
+GMQYLHVTLKPTIEEICQSHKSCEIDPVRLKDGESLESNMENLRQFVDRVFSVITKSGVS
+CPTVMCDIFFSLREAAAKRFQDDLDVRYTAVSSFIFLRFFAPAILSPNLFQLTPHHTDPQ
+TSRTLTLVSKTIQTLGSLSKSKSVIFKESYMAAFYEFFNEQKYADAVKNFLDLISSSGRR
+DPKSVQQPILLKEGASRAAWALVCGSETPQGCSPVLCCPLRLRGEECPSVGREREETERP
+RVVRGFCPVAPSPESKGPLPSISPPAEASPAGAQSTGRSPEAAGFLLSLPPEHACGVGPT
+ATLALGAPLVHVFRAGGGAPCWSSGRPELSATRNLRLTVDTSTGLNFSKVEAFIF
+>tr|F1N4X0|F1N4X0_BOVIN Tetratricopeptide repeat domain 8 OS=Bos taurus OX=9913 GN=TTC8 PE=4 SV=2
+MEPLLLAWSYFRRRRFQLCADLCTQMLEKSPCDQAAWILKARALTEMVYVDEIDVDEEGI
+AEMILDENAIAQVPRPGTSLKLPGTNQTGGPSPAVRPVTQAGRPITGFLRPSTQSGRPGT
+IEQAIKTPRTAYTARPIASSSGRFVRLGTASMLTSPDGPFINLSRLNLAKYAQKPKLAKA
+LFEYIFHHENDVKTALDLAALSTEHSQYKDWWWKVQIGKCYYRLGLYREAEKQFKSALKQ
+QEMVDTFLYLAKVYISLDQPLTALNLFKQGLDKFPGEVTLLCGIARIYEEMNNISSATEY
+YKEVLKQDNTHVEAIACIGSNHFYTDQPEVALRFYRRLLQMGVYNCQLFNNLGLCCFYAQ
+QYDMTLTSFERALSLAENEEEVADVWYNLGHVAVGTGDTNLAHQCFRLALVSNNQHAEAY
+NNLAVLEMRRGHVEQAKALLQTASSLAPHMYEPHFNFATISDKIGDLQRSYAAAKKSEAA
+FPDHVDTQHLIKQLEQHFAML
+>tr|A0A452DI77|A0A452DI77_BOVIN Sperm equatorial segment protein 1 OS=Bos taurus OX=9913 GN=SPESP1 PE=4 SV=1
+MMPMKFLVLLVALLLWPSSLPAYRRVTVTPDEEQNLNHYVQVLQNLILSVPTKEPGRQKK
+SKSPNNANFIGPRVSRVKELKYTHDVGPGDNDVLINPVSEETTTFPTRGFTLEIDKKKRT
+KSTAFWSIKPSNVSVVLHAKEPFIEKDEPEPEPEPEPEPEPVEHRTGAPTQVPSVTEPSQ
+DVTSLSGSTDLGTATEEEDVPQLSGDNEMDYLESHDMYNEDVLKRIADINSQLHHVPLPE
+SYKPEYRADIRASKEHLKRSLALAIAAEHKLEKMYKSQMLPQGRSSGGVYDIITVINMLY
+NSRYKLSEYLDIKYVPLEMRGKATVVVHTLKRILCVGHGEETHNLLKQLLNNNIRILHIL
+DTHDKDDSS
+>tr|A0A3Q1LTQ7|A0A3Q1LTQ7_BOVIN Tetraspanin OS=Bos taurus OX=9913 GN=TSPAN9 PE=3 SV=1
+MEKSSSEDSSIHRSPSLDSKDSDFAKPSTSGRPFGRGFTAGAFYGTAGSRGQSHAEAGVK
+TDKYNAPKGSKYVVFYLDLSFVFLLEFKKCNMARGCLCCLKYMMFLFNLIFWLCGCGLLG
+VGIWLSVSQGNFATFSPSFPSLSAANLVIAIGTIVMVTGFLGCLGAIKENRCLLLSFFIV
+LLIILLAELILIILFFVYMDKVNENAKKDLKEGLLLYNSENNVGLKNAWNIIQAEMHCCG
+VTDYKDWFSVLGENTVPDRCCMENSQGCGQNNTTLVWKTGCYEKVTQWFADNKHVLGTVG
+MCLLITQVRGVVLPAGWRG
+>tr|F1MYY5|F1MYY5_BOVIN Trichoplein keratin filament binding OS=Bos taurus OX=9913 GN=TCHP PE=4 SV=1
+MALPTLPSSWCSRRLPDQQAARQRRREQEAHLRQQWDQNSRYFQVSDICSSKQAEWSSRA
+SYQRSMHAYQREKMKEEGRKRLEARRQRLRQLLVEEQDLLARQLEELRLSMNLREGRIRE
+QHGNLKAAREEQRKLIAERLLYEHWKKNNPKLREIESDLHKRHVINAWETQKEEKKQQEA
+AEEEKEKRFENEYETARREALERMKAEEERRQLESKLQAEVLRQQMEELKAKEMEATKLK
+KEQENLLKQRWELERLEEERRQMAALRQKAELGRFLRHQYNVKLNRRTQQIQEELEADKR
+ILQALLEEEDENQRVHQARREQALADVAWMKRVIEEQLELERAREAELQMLLREEAKEVW
+EKREAEWARERSARDRLMSEVLTGRQQQIQEKIEQNRRAQEESLRHREQLIQDLEEAREL
+ARREKEESEELKSARKQELEAQVAERQLQAWEADQQEKEEEEEARREEQLTAALLQQEAK
+MMAKQGYRPKPYGHPRIAWN
+>tr|A0A3Q1M901|A0A3Q1M901_BOVIN ER membrane protein complex subunit 1 OS=Bos taurus OX=9913 GN=EMC1 PE=4 SV=1
+MVPRRRGPRRGACRAGRARAPIMAAASVSRLWLWAALLVPAAAVYEDQVGKFDWRQQYVG
+KLKFASLEFSPGSKKLVVATEKNVIAALNSRTGEILWRHVDKGTAEGVVDAMLLYGQDAI
+TVSNGGRIMRSWETNIGGLNWEVTLDSRSLQALGLVGLQEAVRHVAVLKKTTLALLHLSS
+GHLKWVEHLPESDSIHYQMVYSYGSGVVWALGVVPFSHVNIVKFNVEDGEIVQQVRVSTP
+WLRSLTGACGVVDEAVLVCPDPSSRSLQTLALETEWELRQIPLQSLDLEFASGFQPRVLP
+TQPSPVGPSRAQFFLQLSPSHYALLHYRHGALDLLKNFPQAALVSFATTGEKTVAAVMTC
+RNEAVSKPSVSEDGSPGSFSEKPGPQDSLACFNQTYTINLYLVETGRRLLDTAITFSLEQ
+NGTRPERLYIQVFLKKDDSVGYRALVQTEDHLLLFLQQLAGRVVLWSREESLAEVVCLEM
+VDLPLTGAQAELEGEFGKKADGLLGMFLKRLSSQLILLQAWTSHLWKVFYDARKPRSQIK
+NEINIDTLARDEFNLQKMMVMVTASGKTGGSPRLSSGPQLLRICSLFLLVEAERCLPFQE
+TGMSSLYVFNPIFGKWSQVAPPVLKRPVLQSLLLPIMDQDYAKVLLLIDDEYKVTAFPAT
+RNVLRQLHELAPSIFFYLVDADQGRLCGYRLRKDLTTELSWELTIPPEVQRIVQVKGKRS
+SEHVHSQGRVMGDRSVLYKSLNPNLLAVVTESTDVHHERTFIGVFLVDGVTGRIVHSSVQ
+RKAKGPVHIVHAENWVAYQYWNTKARRNEFTALELYEGTEQYNATAFSSLDRPQLPQVLQ
+QSYIFPSAISAMEATITERGITSRHLLVGLPSGAILSLPKALLDPRRPEIPTEQSREENL
+IPYSPDVQIHAERFINYNQTVSRMRGIYTAPSGLESTCLVVAYGLDIYQTRVYPSKQFDV
+LKDDYDYVLISSVLFGLVFATMITKRLAQVKLLNRAWR
+>tr|F1MEV5|F1MEV5_BOVIN 6-phosphofructo-2-kinase/fructose-2,6-bisphosphatase 1 OS=Bos taurus OX=9913 GN=PFKFB1 PE=4 SV=2
+MYTALGVQRPLLTSAQPLLCTQALDGTYKSRGRQGLGDKREAGDRLQKGSEPQHTSLSQL
+CPPPAVATAKEERAIRQKVRPGTLWTVVSLAVRPKMSQEMGELTQTRLQKIWIPHNNGNS
+RLQRRRGSSIPQFTNSPTMVIMVGLPARGKTYISTKLTRYLNWIGTPTKVFNLGQYRREA
+VSYKNYEFFLPDNMEALLIRKQCALAALKDVHSYLSHEEGRVAVFDATNTTRERRSLILQ
+FAKEHGYKVFFIESICNDPDVIAENIRQVKLGSPDYIDCDREKVLEDFLKRIECYEVNYQ
+PLDDELDSHLSYIKIFDVGTRYMVNRVQDHIQSRTVYYLMNIHVTPRSIYLCRHGESELN
+LRGRIGGDSGLSARGKQYAYALANFIQSQGISSLKVWTSHMKRTIQTAEALGIPYEQWKA
+LNEIDAGVCEEMTYEEIQEHYPEEFALRDQDKYRYRYPKGESYEDLVQRLEPVIMELERQ
+ENVLVICHQAVMRCLLAYFLDKSSDELPYLKCPLHTVLKLTPVAYGCKVESIYLNVEAVN
+THREKPENVDITREPEEALDTVPAHY
+>tr|F1MLX0|F1MLX0_BOVIN FAA_hydrolase domain-containing protein OS=Bos taurus OX=9913 GN=FAHD2A PE=4 SV=1
+MLGSSGRRLLTTVLQAQRWPFQPSRNMRLVQFQAPHLAGPHLGLESGNGGGVIDLNAFEP
+TLPKTMVEFLEQGEATLSVVRRALATQLPVLPRSEVTFLAPVTRPDKVVCVGMNYADHCR
+EQNVPVPKEPIIFSKFASAIVGPYDNIILPPESQEVDWEVELAVVIGKRGKYIKATDAMA
+HVAGFTVAHDVSARDWQMGRNGKQWLLGKTFDTFCPLGPALVTKDSVADPHNLKICCRVN
+GEVMQSSNTNQMVFKTEELITWVSQFVTLYPGDIILTGTPPGVGVFRKPPVFLKKGDEVQ
+CEIEELGVIINKVV
+>tr|A0A3Q1MC95|A0A3Q1MC95_BOVIN Cysteine-rich secretory protein LCCL domain-containing 2 OS=Bos taurus OX=9913 GN=CRISPLD2 PE=4 SV=1
+MSCVLTGVVPLGLVLLLCGAQGFFLPNVTQLEKLLSKYQGDQPHSRTRRAISRADREEIL
+TLHNKLRGQVSPPASNMEYMTWDEELEKSAVAWARECIWEHGPTSLLVSIGQNLAVHWGR
+PRSPGSHVQSWYDEVKDYTYPYPHECNPWCPERCSGPMCTHYTQIVWATTNRIGCAVNTC
+PRMNVWGDVWENAVYLVCNYFPKGNWIGEAPYKTGQPCSECPGKYRGGCKNNLCYQETYG
+QETETDDMNEVEAAPIPDEKHVWVTPRVIKPKKPKKDSPVNYMTQVVKCDTKMKDKCKGS
+TCNRYQCPAGCLHSGAKIFGTLFYESASSICRAAIHYGILDDRGGLVDVTRNGKVPFFVK
+SERNGVQSLRLRPAHDLLLPFTVQDLDCYTTVAQLCPYEKPGTHCPRVRCPAHCKDEPSY
+WAPVFGSNIYADTSSICKTAVHAGVIRNESGGYVDVMPVDKKKTYVASLRNGVQSER
+>tr|A0A3Q1MZC0|A0A3Q1MZC0_BOVIN Transmembrane and tetratricopeptide repeat containing 4 OS=Bos taurus OX=9913 GN=TMTC4 PE=4 SV=1
+MLCKEQGITVLGLNAIFDILVIGKLNVLEIVRKLLRKDRSLESPGMLRTGGLLFRMTLLA
+LGGAGVLYVRWKIMGTGPPVFTEVDNPASFADSVLVRAINYNYYYSLNAWLLLCPWWLCF
+DWSMGCIPLIKSVGDWRVIALAALWFCLIGLICQALCSEDSHKRRILTLGLGFLIIPFLP
+ASNLFFRVGFVVAERVLYLPSAGYCMLLTFGFAALSKHTKKKVLAQSMMGLPGPNEEAWQ
+PTAKVFLSFQVHYNVGKNLADKGNQTAAIRYYREAVRLNPKYVHAMNNLGNILKERNELQ
+EAEELLSLAVQIQPDFAAAWMNLGIVQNSLKRFQAAEQSYRTAIKHRRKYPDCYYNLGRL
+YADLNRHVDALNAWRNATVLKPEHSLAWNNMIILLDNTGNLAQAEAVGREALELIPNDHS
+LMFSLANVLGKSQKYKESEALFLKAIKAHPNTASYHGNLAVLYHRWGHLDLAKKHYEISL
+RLDPTASGTKENYGLLRRKLEQMQKKNV
+>tr|A0A3Q1MG40|A0A3Q1MG40_BOVIN Poly(A) polymerase alpha OS=Bos taurus OX=9913 GN=PAPOLA PE=4 SV=1
+MPFLPVTTQGSQQTQPPQKHYGITSPISLAAPKETDCLLTQKLVETLKPFGVFEEEEELQ
+RRILILGKLNNLVKEWIREISESKNLPQSVIENVGGKIFTFGSYRLGVHTKGADIDALCV
+APRHVDRSDFFTSFYDKLKLQEEVKDLRAVEEAFVPVIKLCFDGIEIDILFARLALQTIP
+EDLDLRDDSLLKNLDIRCIRSLNGCRVTDEILHLVPNIDNFRLTLRAIKLWAKRHNIYSN
+ILGFLGGVSWAMLVARTCQLYPNAIASTLVHKFFLVFSKWEWPNPVLLKQPEECNLNLPV
+WDPRVNPSDRYHLMPIITPAYPQQNSTYNVSVSTRMVMVEEFKQGLAITDEILLSKAEWS
+KLFEAPNFFQKYKHYIVLLASAPTEKQRLEWVGLVESKIRILVGSLEKNEFITLAHVNPQ
+SFPAPKENPDKEEFRTMWVIGLVFKKTENSENLSVDLTYDIQSFTDTVYRQAINSKMFEV
+DMKIAAMHVKRKQLHQLLPSHVLQKKKKHSTEGVKLTPLNDSSLDLSMDSDNSMSVPSPT
+SAMKTSPLNSSGSSQGRNSPAPAVTAASVTNIQATEVSLPQINSSESSGGTSSESIPQTA
+TQPAISSPPKPTVSRVVSSTRLVNPPPRPSGNAAAKIPNPIVGVKRTSSPHKEESPKKTK
+TEEDETSEDANCLALSGHDKTETKEQLDTETSTTQSETIQTATSLLASQKTSSTDLSDIP
+ALPANPIPVIKNSIKLRLNR
+>tr|A0A3Q1MAM2|A0A3Q1MAM2_BOVIN WD repeat-containing protein 35 OS=Bos taurus OX=9913 GN=WDR35 PE=4 SV=1
+MRGQRRKCPFGAPDATGRQRLPGRRELPRAAGTRDLQLRRFPVAGFDCWGRPLGVSRMFF
+YLSKKVAMSNNVKLKCISWNKDQGFIACGGDDGLLRVLKLETQTDDTKLRGLAAPSNLSM
+NQTLEGHSGSVQIVTWNEQYQKLTTSDQNGLIIVWMLYKGSWYEEMINNRNKSVVRSMSW
+NADGQKICIVYEDGAVIVGSVDGNRIWGKDLKGVQLCHVAWSADSKALLFGMANGEIHIY
+DNQGNFMVKMKMNCLVNVTGAVSIAGIHWYHGTEGYVEPDCPCLAICFDNGRCQIMRHEN
+DQNPVLIDTGMYVVSIQWNHTGSVLAVAGSQKVITQDKDVNTVQFYTPFGEHLGTLMVPG
+KQMSALSWEGGGLKIALTVDSFIYFANIRPDYKWGYCSNTVVYAYTRRDRPEYCVTFWDT
+KNNDKYVKYVKSLISITTCGDFCILATKADENHPQEENEMETFGAMFVLVLCNSIGTPLD
+PKYIDLVPLFVTMTKTHVIAASKEAFYIWQYRVAKKLTALEINQITRSRKEGRERIYHVD
+DTPSGSLDGVLDYSKAIQGTRDPICAITASDKTLIVSRESGTFQRYSLPNVGLIQKYSLN
+CQAYQLSLNCNSSRLAVIDILGVLTFFDLDARVTDSTGQQVVGELLKLERKDVWDMKWAK
+DNPDLFAVMEKTRMYVFRNLDPENPEYPNKDYIINFEIRSLRDSRALIEKVGIEDASQFI
+EDNPHPRLWRLLAEAALQKLDLHTAEQAFVRCKDYQGIKFVKRLGNLQSEPMKQAEVAAY
+FGRFEEAERMYLDMDRRDLAISLRLKLGDWFRVLQLLKTGSGDADDSLLEQAHNAIGDYF
+ADRQKRLNAIQYYVQGRNQERLAECYYMLEDYVGLENLASSLPENHKLLPEIAQMFVRVG
+MCEQAVTAFLKCNQPKAAVDTCVHLNQWNKAVELAKSHNMKEIGSLLARYASHLLEKNKI
+LDAIELYRKANYFYDAAKLMFKIADEEAKKRTKPLRVKKLYVLSALLIEQYHEQIKNAQR
+GKVKGKSSEATSALAGLLEEEVLSTSSRFTDNAWRGAEAYHFFILAQRQLYEGYVDTALK
+TALHLRDYEDIIPAVEIYSLLALCACASRAFGTCSKAFIKLESLETLSSEQKQQYEDLAL
+EIFTKHTPKDNRKSELDGLLEGGEGKLPTCVATGSPITEYQFWMCSVCKHCVLAQEINNY
+NFCPLCHSAVG
+>tr|F1MDQ3|F1MDQ3_BOVIN Doublecortin domain containing 2C OS=Bos taurus OX=9913 GN=DCDC2C PE=4 SV=3
+MGSRAPHALVDTTPAKTIVVYRNGDQFYVGRKFVLSRRRVATFEALLEQLTEQVEVPFGV
+RRLFTPTRGRPVLELDSLQAGGKYVAAGRERFKKLDYINIVPRKPAKMRKLKEIKPVVHC
+GMSVPSRWQTFHRTSRQINVFTNGRLFIPPVKIIIPKFSLMEWNSVLATVGEKVFPLGGV
+RKLFTMNGHLLDNSKDLQDNHFYVAAGLEAFKNFPYWKSPKVPSEVQQKYTDMEKHSRRK
+KKEDPKVKDAHKQDNISPKAQDSVFYAKEGKKKPKAEPLIQSGADGDVFKAQTPNQETQG
+APEVKEAPDVRVELPVDGQALYKHCSS
+>tr|A0A3Q1LX64|A0A3Q1LX64_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MEKKCKKAKRLSGEALQIAVKRREEKSKGEKERYKHLNAEFQRIARDKKAFLSNHCKEIE
+ENNRMGKTRDLFKKIRDTKGTFHAKMGSIKDRNGMDLTEAEDIKKRWQEYTEELYNKDLH
+NPDNHDGVITHLEPDILECEVKWALESITMNKASGDDGIPVELLQILKDDAVKVLHSICQ
+QIWKTQQWPQDWKRSVFIPIPKKGNAKECSNYCTIALISHASKVMLKILQASLQPYVNRE
+LCDVQAGFRKGRGTRGQIANIRWIMEKAREFQKNIYFCFIDDAKAFDCVDHNQLWTILKE
+MGIPDHLIYLLRNLYAGQEATVRTGHGTTDWFQIGKGVHQGCILSPCLFNFYAEYIMRNA
+GLEETQAGIKIARRNINNLRYADDTTLMAESEEELKSLLMKVKVESEQVGLKLNIQKTKI
+MASGPITSWEIDGQTVETVSDFIFLGSKITAVSDCSHEIKRRLLLGRKVMTNLDCIFKSR
+DITLPTKVRLVKAMVFPVVMYGCESWTVKKAERRRIDAFELWCWRRLLRVPLDCKEIQPV
+HSEGDQPWDFFGRNDAKTETPVLWPPDAKS
+>tr|A0A3Q1MCV0|A0A3Q1MCV0_BOVIN Hydroxysteroid 17-beta dehydrogenase 8 OS=Bos taurus OX=9913 GN=HSD17B8 PE=3 SV=1
+MASPLRLRSALALVTGAGGGIGRAISVRLAAEGAAVAACDLDGAAARETVQLLGGPGTEE
+GAPRGAHAAFQADVSEAGAARRLLEQVQACFSRPPSVVVSCAGITRDEFLLHMSEDNWDK
+VIAVNLKGIFLVTQAAAQALVSSGCPGSIINISSIIGKVGNMGQTNYAASKAGVIGLTQS
+AARELGRHGIRCNSVLPGFIRTPMTQKVPQKVLDKVGDYVADVVAFLASEDSGYITGASV
+EVTGGLFM
+>tr|F1MVB9|F1MVB9_BOVIN Pancreatic progenitor cell differentiation and proliferation factor OS=Bos taurus OX=9913 GN=PPDPF PE=4 SV=3
+MAAIPSSGSLVATHDYYRRRLGSTSSNSSCGSAEYPGEAIPHHPGLPKADPGHWWASFFF
+GKSTLPFMATVLESPEHSESAQASTSTITCDLAQKAVGKQQPSGQPGKTNCRPPS
+>tr|E1BLR3|E1BLR3_BOVIN Zinc finger protein 283 OS=Bos taurus OX=9913 GN=ZNF283 PE=4 SV=3
+VTFRDVAIDFSQEEWEFLDPAQRDLYVDVMLENYSNLVSLDLESTYETKNTLSKKDIFEI
+NFSQWKIKERSKTIELEASIFKNNWKCKSRFKGLQGHQERLFSQDFLSAYQLTVHQRFHT
+GEKPYECKECGKTFSWGSSLVKHERIHTGEKPYECKECGKAFSRGYHLTQHQKIHIGVKS
+YECKECGKAFFWGSSLAKHEIIHTGEKPYKCKECGKAFSRGYQLTQHHKIHTGKKPYVCK
+ICGRGFCWGYQLTRHQIFHTGKKPYECKECGKTFNCGSSLVQHERIHTGEKPYECKECGK
+AFSRGYHLTQHQKIHTGEKPFECKECGKAFSWGSSLVKHERVHSGEKSYECKECGKTFGS
+GYQLTRHQIFHTGEKPYKCKACGKAFNCGSSLVQHERIHTGEKPYECKECGKTFSRGYHL
+TQHQKIHTGEKPFKCKECGKAFSWGSSLVKHERVHTGEKYYECRDCGKVFNSDYQLSVHQ
+RFHTGEKPY
+>tr|F1MIG7|F1MIG7_BOVIN Gamma-aminobutyric acid receptor subunit gamma-2 OS=Bos taurus OX=9913 GN=GABRG2 PE=3 SV=1
+MSLTNIWSTGSSVYSTPVFSQKMTLWILLLLSLYPGLTRQKSDDDYEDYASNKTWVLTPK
+VPEGDVTVILNNLLEGYDNKLRPDIGVKPTLIHTDMYVNSIGPVNAINMEYTIDIFFAQT
+WYDRRLKFNSTIKVLRLNSNMVGKIWIPDTFFRNSKKADAHWITTPNRMLRIWNDGRVLY
+TLRLTIDAECQLQLHNFPMDEHSCPLEFSSYGYPREEIVYQWKRSSVEVGDTRSWRLYQF
+SFVGLRNTTEVVKTTSGDYVVMTVYFDLSRRMGYFTIQTYIPCTLIVVLSWVSFWINKDA
+VPARTSLGITTVLTMTTLSTIARKSLPKVSYVTAMDLFVSVCFIFVFSALVEYGTLHYFV
+SNRKPSKDKDKKKKNPLLRMFSFKAPTIDIRPRSATIQMNNATHLQERDEEYGYECLDGK
+DCASFFCCFEDCRTGAWRHGRIHIRIAKMDSYARIFFPTAFCLFNLVYWVSYLYL
+>tr|A0A3Q1M010|A0A3Q1M010_BOVIN UTP--glucose-1-phosphate uridylyltransferase OS=Bos taurus OX=9913 GN=UGP2 PE=1 SV=1
+MSQDGASQFQEVIRQELELSVKKELEKILTTAPSHEFEHTKKDLDGFRKLFHRFLQEKGP
+SVDWGKIQRPPEDSIQPYEKIKARGLPDNVSSVLNKLVVVKLNGGLGTSMGCKGPKSLIG
+VRNENTFLDLTVQQIEHLNKTYDTDVPLVLMNSFNTDEDTKKILQKYNHCRVKIYTFNQS
+RYPRINKESLLPVAKNVSYSGENTEAWYPPGHGDIYASFYNSGLLDTFIGEGKEYIFVSN
+IDNLGATVDLYILNHLMNPPNGKPCEFVMEVTNKTRADVKGGTLTQYEGKLRLVEIAQVP
+KAHVDEFKSVSKFKIFNTNNLWISLAAVKRLQEQNAIDMEIIVNPKTLDGGLNVIQLETA
+VGAAIKSFENSLGINVPRSRFLPVKTTSDLLLVMSNLYSLNAGSLTMSEKREFPTVPLVK
+LGSSFTKVQDYLRRFESIPDMLELDHLTVSGDVTFGKNVSLKGTVIIIANHGDRIDIPPG
+AVLENKIVSGNLRILDH
+>tr|G3MXZ3|G3MXZ3_BOVIN Zinc finger protein 793 OS=Bos taurus OX=9913 GN=ZNF793 PE=4 SV=2
+ISAQGLDHFSGTGYEGTKPYVILRLEQEEAPWICEAACLGCHCWENIWQVKVHRKRRQDM
+LLRQGPFISRKMFPKERSHECNKCGKISHLGTDLFPSTQNSSNWDSCGKSVNNNLDLTGF
+KKNYSKKQDECYGYEKLLQHTKHDRRQNEEKFWECSHCEKAFSHSPALMYKPPTTNSLVY
+KRKRVPPAEKPHVCTECGKAFCYKSEFIRHQRSHTGEKPYGCTDCGKAFSHKSTLIKHQR
+IHTGVRPFECFFCGKAFTQKSHRREHQRTHTGERPFVCNECGKSFGEKSYLNVHQKIHTG
+ERPYRCRECGKSFSQKSCLNKHWRTHTGEKPYGCNECGKAFYQKPNLSRHQKIHARKNAY
+RNDLKIVGKP
+>tr|G3N3A7|G3N3A7_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC112441641 PE=3 SV=2
+METIACNESEESWTIFYLMGIPSLPKSLFLPIFFIFLLLYLLILVGNALILVAVVIEPSL
+HKPMYFFLINLSALDILFTTTTVPKMLSLFLHGDRYLSFSACFLQMYLFHSFSCSEAFIL
+VVMAYDRYVAICRPLHYPVLMTPQTNAALAASAWLTALLLPIPAVVQTSHLAFDSTVYIY
+HCFCDHLAVVQASCSDASPQTLMGFCIAMVVSFLPLLLVLLSYAHILASVLHIGSREGRS
+KAFSTCSSHLLVVGTYYSSIAIACVAYRADLPLDFHIVGNVVYAILTPVLNPLIYTLRNK
+DVKTAITKMACPWNPNNSAKP
+>tr|F1MMI4|F1MMI4_BOVIN Protein maelstrom homolog OS=Bos taurus OX=9913 GN=MAEL PE=4 SV=1
+MPNRRASRNAYYFFVQEKIPELRRRGLPVARVADAIPYCSADWALLKEEEKEKYAEMARE
+WRAAQGKDSGPSEKQKPVCTPLRKPGMLVPKQNVSPPDLSGLSLKSDQALLGGIFYFLNI
+FSHGELPPHCEQRFLPCEIGCVKYSLQEGIMADFHSFINPGDSSHKIPISHFESGHDQAT
+VLENLYRFIHPNPGNWPPIYCKSDDRARVNWCLKHMAKSSEIRQDLELLTVEDLVVGIYQ
+QKFLKEPSKTWVRSLLEVAMWDYSSNTRCKWHEENDILFCALAVCKKIAYCISNSLATLF
+GIQLTEAHVPLQDYEASNSVTPKMVVLDAGRYQKLRVESPGFSHFSSSNQEQRSNTPTGD
+YPSGVKISGQNSSVRGRGITRLLESISSSSSNIHKFSNCETPVSPYTSPKHGYKSFSSLS
+>tr|A0A3Q1M7X4|A0A3Q1M7X4_BOVIN WD repeat domain, phosphoinositide interacting 1 OS=Bos taurus OX=9913 GN=WIPI1 PE=4 SV=1
+MEAEGADGASGGAEAALSCFSFNQDCTSLAIGTKAGYKLFSLSSVEQLDHVHGSNDTPDV
+YIVERLFSSSLVVVVSHTKPRQMNVYHFKKGTEICNYSYSGNILAIRLNRQRLLVCLEES
+IYIHNIKDMKLLKTILDIPANPTGLCALSINHSNSYVAYPGSLTTGEIVLYDGHSLKPVC
+TIAAHEGTLAAIAFNSSGSRLASASEKGTVIRVFSVPDGQKLYEFRRGMKSRPEEPSTWT
+GYMGKMFLAASSYLPTQVSDMMNQDRAFATGRLGFSGHRNICTLATIQKLPRLLVVSSSG
+HLYVYNLDPQDGGDCVLIKTHRLLRGRRGAPRGGHSRTRVCNGTGLSGRRERVPSYHFVP
+WRSAGQSHAVLTGSRTAPVRRFWSKRGRWTKGRRLSEQRGRGTEAPGCGSQPAGAGATGA
+RPACTHVLPSKGCPSCSVHRNEPYPSTTRTLMNKGILLNMYAVYKMYL
+>tr|F1MRL4|F1MRL4_BOVIN Retrotransposon Gag like 9 OS=Bos taurus OX=9913 GN=RTL9 PE=4 SV=2
+MADTSIPLNSLQFSNVLREENGDTQNREMTFSGPTQENTAEGQILPSSVQPMVSTSASDP
+GGMSTQLMTSPAFDTMATPLMGTANSGAVSSPLLSDSGALSPLLMPTSDSEALSPLLMPT
+SDSGMLSPLLMPASDSGTLSPLLSTSEYGLMSPGLMIPDFGTMSTALTATPDSEDISPLA
+MSASSSEAMATPLMLAPDSGELSPLLMPDINPREMSTQPTPAPGFEGMSPLQITDEDTEA
+MSKVLMTALVSGEISSLLMSDTDSEAISSLIMSALTSEAMSTQLTSTQDSGEMSTQLMSG
+PDSAVQSLPLTTAPGSGAISSPLLSVPEAREMPILSKPAPDAEAVSPLLMMALSSGGMPT
+QLMPAQSSGAMSSQLTQNLDSQIVSTPPTRATASGEMSASSARASDSGARSTLRMRTPAS
+GNMSTLLKTVPDSAALSTPLMTATTSGTMSAEPVPTAASRGMSTHLTTAQISGATSTGFM
+KVPASGAKSTPRMRAPALGAMSIPPSTAPISETVSMLQMTTPASGSVPTLQIKAPVSGAT
+SRSQRRATALRVTAGPQMRASGAMSTPQMTAKASGSMSSLLTRDTAPGVMSVPLMKATAS
+GALSKPLTTTKASEAMFMQQMTTAASGEISTMLMRDIASGAMSMPQMTDTASAGMSTPLM
+RAPASGDVSTPQMTAAASGSMSVPLMRAPGPGTMPTVLMRSTVSGKMPSQPIGTQDFGGM
+SMSFKRFMTSGGMSTLQMRAPASEVMSTPKIRAPSFGAVSTPLMRASNPGEVSTLLTRAS
+SSGEMSSAPTRSPTSGEIATTPLRAPAYGAMSTPQRTATASGMMSMPQMRAPISGPMSTP
+LMRSTASGVMSTPQMTAVASGGVPMPLLRAPAPGATSAPQMPTAASAEVCTLSMRAPSLG
+VMSPPLLRAPVSGIMCTPPRRPSVSEDVSTEFMRGPASGKMATAQTTAMASGGMSKPSVR
+AVASGVTPMPLMSAMASGETSIPLMKSLSSGAMSTLQTRVVSSGSTSLPQTTYTTSGKMS
+TPLMRASASRATSTPLMRASASGMMSMPLLRPISSGGMSMPLMRATVPGAMFASQMAAPG
+MMSTLGIKATDSGETSAPRINVTASGSKSTPHATTTPPKTVKPPSEEVPSFGMLTPALCY
+LLEEQEAARGSCPVEEEVEIDEEKQMKGFLNDSEKMAFLVSLHLGAAERWSILQMEVGNP
+LTSEDKSFLRRSQGLYDSLSEIDILSAVLCHPKQGQKSVRQYATDFLLLARHLSWSDAIL
+RTRFLEGLSEAVATKMGRIFLKVAGSLKELIDRSLYTECQLAGEKNSRGSSSQVLTSPCK
+RNSEEAMENELNPQQQTEEHQHVPKRCYYLKEHEDPQEGLHDHLRKSTGHQKAPTNK
+>tr|F1N3S9|F1N3S9_BOVIN Protein FAM71E1 OS=Bos taurus OX=9913 GN=FAM71E1 PE=4 SV=2
+MKRGHEPKPAFGGAGEAGPGVMAERPLVPACPTGRPGRLQRHLLSGEFDQLRDFPIFESN
+FVQVTRLGEVANKVTMGVAASSPALELPDLLLLAGPAKENGHLQLFGLFPLQFVQLFVHD
+ESRWQLKVKFRTGRAFYLQLRAPPESRDREFGQWVRLLYRLRFHSAQGAVPFTQDYSALE
+DDEDDDEDEDRDLPAGELQAMEARLDPQMSELWGL
+>tr|F1MBH0|F1MBH0_BOVIN E3 ubiquitin-protein ligase MARCH5 OS=Bos taurus OX=9913 GN=MARCH5 PE=4 SV=2
+RSCWVCFATDEDDRTAEWVRPCRCRGSTKWVHQACLQRWVDEKQRGNSTARVACPQCNAE
+YLIVFPKLGPVVYVLDLADRLISKACPFAAAGIMVGSIYWTAVTYGAVTVMQVVGHKEGL
+DVMERADPLFLLIGLPTIPVMLILGKMIRWEDYVLRLWRKYSNKLQILNSIFPGIGCPVP
+RIPAEANPLADHVSATRILCGALVFPTIATIVGKLMFSSVNSNLQRTILGGIAFVAIKGA
+FKVYFKQQQYLRQAHRKILNYPEQEEA
+>tr|F1N109|F1N109_BOVIN Glycosyltransferase 6 domain-containing protein 1 OS=Bos taurus OX=9913 GN=GLT6D1 PE=4 SV=1
+MNCKRKMLLLILGLSLLLLFDHYSRKNQEEELELSDWFKPRGRPDVITTTSWLAPVVWEG
+TFSRKMLEKHYRRQNFTTGLAVFATGRLADPYLELFLNSANKYFLTGYRVIFYIMMDTFI
+KLPQIKWEPLRQFKVFIVTESRWWDNDVVRMKSLAKHIIWDIQGEVDFLFSMTVTQIFQN
+NVGVEVLGELVAQLHGWWYFKDRASFPYERRPKSAAYIPFGQGDFYYDGAFVGGTPQEVL
+NLAEEYLNGVIHDLGLRLNSSYEKHLNKYFFLRKPTKLLSPEYNWDADFYPPPQVQYVKV
+AQQSKRRH
+>tr|E1BMD9|E1BMD9_BOVIN Prefoldin subunit 4 OS=Bos taurus OX=9913 PE=3 SV=3
+MAATMKKTAAEDVNITFEDQQKIKKFAQNTSRITELKEEIEVKKKQLQNLEDVCEDIMLA
+DDNFLMTPYHIGDVFINHSQEETQEMLEEAKKNLQEETDALESRMESIQWVLADLKLHAK
+SGSNITLKLKHFI
+>tr|A0A3Q1LL44|A0A3Q1LL44_BOVIN ATP binding cassette subfamily B member 5 OS=Bos taurus OX=9913 GN=ABCB5 PE=4 SV=1
+KLLTSGGIKLSDLSFIKAARTICYEAEERNQGIMLPCFICFPQFRFADGLDITLMILGLL
+ASLVNGACLPVMSLILGEMSDNLISGCLVKTNTSEYNIYFSMLTLYYVGIGVTALIFGYI
+QISFWVMTAARQTKRIRKQFFHSVLAQDISWFDSCDIGELNTRMTEDINKINDGIGDKIA
+LLFQNMSTFSVGLAIGLVKGWKLTLVTLSTSPLIIASAAIFSRIVISLSSKELNAYSKAG
+AVAEEVLSSIRTVIAFGAQEKEIQRYTQNLKDAKDVGIKKAIASKLSLGAVYFFMNGTYG
+LAFWYGTSLILSGEPDYTIGTVLAVFFSVIHSSYCIGAAAPNFETFTIARGAAFNIFHII
+DKKPTIDNFSTTGYKLECIEGTVEFKNVSFSYPSRPSIKILKGLNLKIKSGETVALVGPN
+GSGKSTAVQLLQRLYDPDDGFVSITVDGNDIRTLNVRHYREHFGVVSQEPVLFGTTINNN
+IRYGQDGVTNEDIEKAAKEANAYDFIMEFPKKFNTLVGEKGIQMSGGQKQRIAIARALVR
+NPKILILDEATSALDTESESAVQAALVKASKGRTTIVVAHRLSTIRSADMIVTIKDGMVV
+EKGTHAELMTKQGLYYSLAMSQTHTHTVSSLDKSAKAIQYRIYSLFQLYLFILLALISLL
+KIFKLYKSEWPSVVLGTLASVLNGTVHPIFSIIFAKIVTMFENDDKTTLKHDAEIYSMIF
+VILGVMCFVSYFIQGLFYGRAGEILTMRLRHLAFKAMLYQDISWFDDKENTTGALTTILA
+IDIAQIQGATGSRVGVLTQNATNMGLSVIISFIYGWEMTLLILSIAPVLALTGMIETAAM
+TGFANKDKQELLRAGKIATEAVENIRTIMSLTREKAFEQMYEETLQTQHRNTLKKAQIIG
+ICYAFSHAFVYFAYAVGFRFGTYLIQAGRMTPEDSNVLSFDRVFTAIAYGAMAIGETLVL
+APEYSRAKSGAAHLFALLEKKPTIDSYSQEGKKTVKFREVSFFYPSRPDVLILRSLSLSI
+EKGKTVAFVGSSGCGKSTSVQLLQRFYDPVKGQVLFDGVDAKELNVQWLRSQIAIVSQEP
+VLFNCSIAENIAYGDNSRVVSLNEIKEVANAANIHSFIESLPEKYNTHVGLKGTQLSGGQ
+KQRLAIARALLRKPKILLLDEATSALDNESEKVVQHALDKASKGRTCLVVAHRLSTIQNA
+DLIVVLHNGKIKEQGTHQELLRNRDIYFKLVNAQSVQ
+>tr|A0A3Q1MBA1|A0A3Q1MBA1_BOVIN NDRG family member 4 OS=Bos taurus OX=9913 GN=NDRG4 PE=4 SV=1
+MAGLQELRFPEEKPLLRGQDAAELENSDSFLLAVDTDWKEHDIETPYGLLHVVIRGSPKG
+NRPAILTYHDVGLNHKLCFNTFFNFEDMQEITKHFVVCHVDAPGQQVGASQFPQGYQFPS
+MEQLAAMLPSVVQHFGFKYVIGIGVGAGAYVLAKFALIFPDLVEGLVLMNIDPNGKGWID
+WAATKLSGLTSTLPDTVLSHLFSQEELVSNTELVQSYRQQISNVVNQANLQLFWNMYNSR
+RDLDISRPGTVPNAKTLRCPVMLVVGDNAPAEDGVVECNSKLDPTTTTFLKMADSGGLPQ
+VTQPGKLTEAFKYFLQGMGYMPSASMTRLARSRTASLTSANSVDGSRPQACTHSESSEGL
+GQVNHTMEVSC
+>tr|F1MPQ8|F1MPQ8_BOVIN Mab-21 like 3 OS=Bos taurus OX=9913 GN=MAB21L3 PE=4 SV=3
+MEGDLENCLLNKVDLRRQWISQMVEEVQKVVHHLTTEISYQDFRFQAIPYCDTYNENIKV
+LAPTQFLITVPMRGLAGYREARQQRWRFYSLKGTRLPRPLQDPEGLQQWLGVEQFLKSPG
+QWHEADVNIEGDIVPAKVLQVFRKLVENAIETCHLSDRVRMLMDHLVVRVVVETSAGQVE
+LQLTPSVDIPTAWSKKAQWPSCLKRWPSPETVQCIKSFGFSLLACSSYHWQLSFLRAEQV
+LLEQLDEDGGCRRKCFQALRQMKEDVWCPGTRPVITSYHLQEGPGSSLAAEHSSGAAKPG
+CSLMLQRGGPTSGHLSLPPPPVGIRVCSRYITHSS
+>tr|F1MWQ8|F1MWQ8_BOVIN WD_REPEATS_REGION domain-containing protein OS=Bos taurus OX=9913 GN=DMWD PE=4 SV=3
+MAAGGAEGGSGPGAAMGDCAEIKSQFRTREGFYKLLPGDGAARRSGPASAQTPAPPQPPQ
+PPPGPASASGPGAAGPAPSPPPAGPGPGPALPAVRLSLVRLGEPDSAGAGEPPATPAGLG
+AGGDRVCFNLGRELYFYPGCCRRGSQRSIDLNKPIDKRIYKGTQPTCHDFNQFTAATETI
+SLLVGFSAGQVQYLDLIKKDTSKLFNEERLIDKTKVTYLKWLPESESLFLASHASGHLYL
+YNVGHPCASAPPQYSLLKQGEGFAVYAAKSKAPRNPLAKWAVGEGPLNEFAFSPDGRHLA
+CVSQDGCLRVFHFDSMLLRGLMKSYFGGLLCVCWSPDGRYVVTGGEDDLVTVWSFTEGRV
+VARGHGHKSWVNAVAFDPYTTRAEEAAAAGADEERSGEEEEEPEAGSTGSGGGAPLSPLP
+KAGSITYRFGSAGQDTQFCLWDLTEDVLYPHPPLARTRTLPGTPGTTPPTASGSRGGEPG
+PGPLPRSLSRSNSLPHPAGSGKAGGPGTAAEPGTPFSIGRFATLTLQERRDRGAEKEHKR
+YHSLGNISRGGSGGGGGGDKPSGAAPRSRLDPAKVLGTALCPRIHEVPLLEPLVCKKIAQ
+ERLTVLLFLEDCIITACQEGLICTWARPGKAGISSQPGNSPSGTVV
+>tr|F1MME5|F1MME5_BOVIN NOP2/Sun RNA methyltransferase family member 7 OS=Bos taurus OX=9913 GN=NSUN7 PE=3 SV=2
+MFNSANELEFLEETTAEISQLSSLALSGDPACSENSSAGSPEKTGYPDSVYILAANIFQG
+TRLEKSPEKALIKYGNEPLPSSTESEDESFQRLSYELAFSALKYQDILETILIDSYIFPS
+TTIPDHLNSLIIVMLYDFQDRKFQARLLSGNEESIAEVLEVENLLNSFKTKLAAALARCR
+IKHDALSIYHILPETVRNQELRASTLPLYAWINTCKISPEEVYYTLKKNGYNKVKSVLHI
+DNKVFAVDQHCYDVLIFPSHLKSDLLNIDLFKDYKLIFQDKSRSLAVHSVKALLNMDDDI
+LMVNTGSWYTVAHMSVLTNNNTSKIFVCGVQSQAKDPDLKNLFTKMGCKNIEILHETFMK
+LELKDHRLQKVKVILLLPRCSGLGVSNPVEFILNEHEDTDLLKDFSQGGPSEDKLHVLAQ
+QQYEQLAHAMKFTRAQAIVYCTCSVYPEENEAIVKKALEFQDHEIKVQPYRLSPPVLPLC
+SLKEIHLSTDKFFRMEPSEITNGCFISVLTRERDPSETVSVKDVLARAAAKGLLEGIELG
+KSSKREKKKKKQKMSLPKSSIADNSGIQMKIAEFLSRETNVSANQPETLTTKTSLPQKNT
+NQVGSSSQVRKPSKPTTNPLVPTIMKNTSARPHERPTNFVRSRPEDRMIPLKPIEIALPP
+VILPFPSPQGIRSHLPTPHFYYRWVGPKTVLPGYLSTPSILRRGEKPKENLPSSLPRHPR
+P
+>tr|A0A3Q1MQ25|A0A3Q1MQ25_BOVIN 3-hydroxymethyl-3-methylglutaryl-CoA lyase like 1 OS=Bos taurus OX=9913 GN=HMGCLL1 PE=4 SV=1
+MGNVPCAVKHCLSYQQLLREHLWIGDSVAGALEPAQESAQLSGFPEYVKIVEVGPRDGLQ
+NEKVIVPTDIKIEFINQLSQTGLSVIEVTSFVSSRWIPQVAAGATEVSVFGAASESFSKK
+NINCSIEESMKKFEEVVKSARHMNIPARGYVSCALGCPYEGNITLQKVTEVSKRLYGMGC
+YEISLGDTIGVGTPGSMKKMLESVMKEIPPRALAVHCHDTYGQALANILTALQMGINVVD
+SAVSGLGGCPYAKGASGNVATEDLIYMLNGLGVNTGVNLYKVMEAGDFICKAVNKTTNSK
+VAQASFNA
+>tr|A0A3Q1M2C0|A0A3Q1M2C0_BOVIN Placenta specific 8 B OS=Bos taurus OX=9913 GN=PLAC8B PE=4 SV=1
+TLVLPDHPVSTLPLIQLHPHSSPSLVPATLCVTSHCQDKPSYLQRLFPLSSIYKIPNHHS
+IFRSSNSIFPEHWRPHFCLCGTFCFTCLACQVASDMNECCLCGTSVAMRTLYRTRYGIPG
+SICDDFMVTHCCPLCSLCQIKRDINRRRANRTF
+>tr|F1MEH3|F1MEH3_BOVIN Jumonji domain containing 7 OS=Bos taurus OX=9913 GN=JMJD7 PE=4 SV=2
+MAEAALDAVRRELREFPVAARELSVPLAVPYLDEPPSPLHFYRDWVCPNRPCIIRNALQH
+WPALRKWSLPYLRATVGSTEVSVAVTPDGYADAVRGDRFVMPAERRLPLSHVLDVLEGRA
+QHPGVLYVQKQCSNLPTELPQLLPDVEPHVPWASEALGKMPDAVNFWLGEAAAVTSLHKD
+HYENLYCVVSGEKHFLLHPPSDRPFIPYELYTQATYQLTEEGSFRMVDEEAMEKVPWIPL
+DPLAPDLARYPSYCQAQALRCTVRAGEMLYLPALWFHHVQQSHGCIAVNFWYDMEYDLKY
+SYFQLLDSLTKVSGLN
+>tr|A0A3Q1M1F1|A0A3Q1M1F1_BOVIN Transmembrane protein 41A OS=Bos taurus OX=9913 GN=TMEM41A PE=4 SV=1
+SCWSTSAPPIGSPLGDVPVAFPGPGLTPICIPPSPRCGPRSRSLWFPSDLAELRELSEVL
+REYRKEHQVYVFLLFCSAYLYKQSFAIPGSSFLNVLAGALFGPWLGLLLCCVLTSVGATG
+CYLLSSVFGKQLVVFYFPDKVALLQKKVEENRNGLFFFLLFLRLFPMTPNWFLNLSAPIL
+NIPIVQFFFSVLIGLIPYNFICVQTGSILSTLTSLDALFSWETAFKLLAIALVALVPGTL
+IKKFSQKDLRLKETSNTHSLNSRKVT
+>tr|G3X879|G3X879_BOVIN Lipase maturation factor OS=Bos taurus OX=9913 GN=LMF2 PE=3 SV=2
+MAGSRVPRQLFLQGVAAVFMFAFASLYTQIPGLYGPEGILPARRTLRPQGKGRWQQLWET
+PTLLWEAPLLGLDTAQGLELLSLLGTVLALGALLTRQLRHPLVYLLLWAAYLSVCQVGQV
+FLYFQWDSLLLETGFLAMLVAPLGLPPNHKQAPQGRPGGVSPHEGLPFWLVRWLLFRLMF
+ASGVVKLTSRCPAWWGLTALTYHYETQCLPTPAAWFAHHLPVWLHKLSVVATFLIEIAVP
+PLFFAPVRRLRLAAFYSQVLLQVLIILTGNYNFFNLLTLVLTTALLDDTHLAAKSSTSRR
+KRMPSSWPKALLAMLTLLLELAVYGLLACGMVHYFGLEVDWEQHVVRSRTMFTFHQLSQW
+LKTVTLPTMWLGAASLAWELLTALWRWVQVRGSLRKLCAAVQLSVFGTATVALFLISLVP
+YSYMEPSSHGRLWTGAHRLFSTVEHLQLANSYGLFRRMTGLGGRPEVVLEGSYDGHQWTE
+IEFMYKPGNLSRPPPIVVPHQPRLDWQMWFAALGPHTHSPWFTSLVLRLLQGKEPVIRLV
+QNHVPSYPFHQQPPTYVRAQLYKYWFSHPWEQGQWWRRQWVEEFFPSVSLGDPALDMLLR
+QFGLQDKSPPRAGGSSNTLSQALHWVRKQLSPLEAPALLWGLLGAVGAIKVMQALLGPQS
+LPRTKEEKHKPAPQEDSVAASKQASPAPNISSGSQTPRRKKSP
+>tr|A0A3Q1MCR8|A0A3Q1MCR8_BOVIN Anoctamin OS=Bos taurus OX=9913 GN=ANO6 PE=3 SV=1
+NLFPHPFPSGDHNLVFCEEFNGKPDSLYFNDGQRRIDFVLVYEDESRKETNKKGSNEKQR
+RKRQAYESNLICDGLQLEATRSVSLVDDKLVFVKVHAPWEVLCTYAEIMHIKLPLKPNDL
+KTRSSAFDNFNWFTKLLQVDESIIKPEQEFFTAPFEKNRMNDFYIQDRDTFFNPATRSRI
+VYFILSRIMYQVRDNVKKFGINKLVNSGIYKAAFPLHDCNFSTPSEDLSCPNERYLLYRE
+WAHPRSIYKKQPLDLIRKYYGEKIGIYFAWLGYYTQMLLLAAIVGVACFLYGYFTEDNCT
+WRHKIIFWVLDCEEDNSCKCHYCILQKLCIFDSFGTLVFAVFMGVWVTLFLEFWKRRQAE
+LEYEWDTVELQQEEQARPEYEARCTHVVINEITQEEERVPFTTWGKCIRVTLCASAVFFW
+ILLIIASVIGIIVYRLSVFVIFSVNLRKISNGTDPIQKYVTPQMATSITASLISFIIIMI
+LNTIYEKVAIMITNFELPRTQTDYENSLTMKMFLFQFVNYYSSCFYIAFFKGKFVGYPGD
+PVYWLGKYRNEECDPGGCLLELTTQLIIIMGGKAIWNNIQEVLLPWVMNVFGRCRTVSGA
+EKRTPRWEQDYHLQPMGKLGLFYEYLEMIIQFGFVTLFVASFPLAPLLALVNNILEIRVD
+AWKLTTQYRRMVPEKAQDIGAWQPIMQGIAILAVVTNAMIIAFTSDMIPRLVYYWSFSIP
+PYGDHPDYSMEGYINISLSIFNTADFKSRVKENPYAGSKYDTCRYRDFRYPPGHPQEYKH
+NIYYWHVIAAKLAFIIVMEHIIYSVKFFISYTIPDVSKSTKSKIKREKYLTQKLLHENHL
+KDMTKNIGVIAEKMIGAVVDNNLRPKSD
+>tr|A0A3Q1N5P5|A0A3Q1N5P5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+FTTFIQHSSGSPSYTTGRCANSDTDWRNPATPKRKAPHSSKTTAKLSTSTIRTQKELELA
+EITLDSPPPNCHAGPMETTLMTSASVLPMNIQN
+>tr|A0A3Q1MPP4|A0A3Q1MPP4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=GPIHBP1 PE=4 SV=1
+MKALAAVLLALLWCRLQGRGRAQEDEDDDPDAGREGYDDEDEEEEEAGVPAGSRDSGPQC
+YTCQSLHKGESCEQVQSCVLPGTCKAIVSSWNTESGPQTTYSGWCADTCQAISRTVDGSL
+TTISCCQSSLCNTPPWQDPQGRGAGGPQGSPATVAATVLLSLLASLQEMGL
+>tr|A0A3Q1LYQ0|A0A3Q1LYQ0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MSDNEDNFDGDDFDDVEEDEGLDDLENAEEEGQENVEILPSGERPQANQKRITTPYMTKY
+EQARVLGTRALQIAMCAPVMVELEGETDPLLIAMKELKARKIPIIIRRYLPDGSYEDWGV
+DELIITN
+>tr|A0A3S5ZP61|A0A3S5ZP61_BOVIN ANK_REP_REGION domain-containing protein OS=Bos taurus OX=9913 GN=PSMD10 PE=4 SV=1
+MGKAKEEERMVIGGALRALLISARSLRSEEVVDLAAPRSWESEMEGCVSNLMICNLAYSG
+KLEELKERILADKSLATRTDQDSRTALHWACSAGHTEIVEFLLQLGVPVNDKDDAGWSPL
+HIAASAGRDEIVKALLGKGAQVNAVNQNGCTPLHYAASKNRHEIAVMLLEGGANPDAKDH
+YEATAMHRAAAKGNLKMIHILLYYKASTNIQDTEGNTPLHLACDEERVEEAKLLVSQGAS
+IYIENKEEKTPLQVAKGGLGLILKRMVES
+>tr|F1N1D7|F1N1D7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=3
+VLRPLRLPALSRTLSEGCRAELAEIILHAKVLALHEEVHGLYNYLPWQYEASDAGLFYSA
+EIEMLCDQAWGSMLEVPGGSRLNLTGLGYFSSHSHTVVQDYSYFFFLRMDENYNLLPHGV
+NFQDTIFPDTQENTRMFPSLFQFSKSSQGQQLASFSSDWEVREDTRLAVFLSQQVLSPGT
+ATQTDPHIHQSDLECHGTDIKSVEDLLSSFFSPFSELGRMVREVPSSSGNQDWLCHFQRH
+DLRQNL
+>tr|A0A3Q1MQR1|A0A3Q1MQR1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+ICNSKFSKNSSQVSREGKPVYFPLLNFPAAPVFAFFLAAPLGSGSLRLAEEREPVTLKKS
+SRRPWVLPWRLLLSRLTPLRIRSSENCFSPHMNLMSSSSLGSLHFSSTDSGSTSLWSQFS
+GTGYLLGSSRRTISSMLLCFWMRSTARLGPMPRMLSQ
+>tr|A0A3Q1MTY6|A0A3Q1MTY6_BOVIN Microtubule associated protein 1B OS=Bos taurus OX=9913 GN=MAP1B PE=4 SV=1
+MATVVVEAAEPEPSGSIANPAATTSPSLSHRFLDSKFYLLVVVGEIVTEEHLRRAIGNIE
+LGIRSWDTNLIECNLDQELKLFVSRHSARFSPEVPGQKILHHRSDVLETVVLINPSDEAV
+STEVRLMITDAARHKLLVLTGQCFENTGELILQSGSFSFQNFIEIFTDQEIGELLSTTHP
+ANKASLTLFCPEEGDWKNSNLDRHNLQDFINIKLNSASILPEMEGLSEFTEYLSESVEVP
+SPFDILEPPTSGGFLKLSKPCCYIFPGGRGDSALFAVNGFNMLINGGSERKSCFWKLIRH
+LDRVDSILLTHIGDDNLPGINSMLQRKIAELEEEQSQGSTTNSDWMKNLISPDLGVVFLN
+VPENLKNPEPNIKMKRSIEEACFTLQYLNKLSMKPEPLFRSVGNTIDPVILFQKMGVGKL
+EMYVLNPVKSSKEMQYFMQQWTGTNKDKAELILPNGQEIDIPISYLTSVSSLIVWHPANP
+AEKIIRVLFPGNSTQYNILEGLEKLKHLDFLKQPLATQKDLTGQVATPAVKQVKLKQRAD
+SRESLKPAAKPLPSKTVRKESKEETPEVTKGLEKAPKVESKEKVTVKKDKPVKTETKPPV
+TEKETPSKEELPPAKAEGAEKQGTDVKPKVAKEKTVKKETKPKAEEKKEEKEKEKPKKEV
+AKKEDKTPVKKEEKPKKEEVKKEVKKEIKKEEKKEIKKEVKKETPLKEAKKEVKKEEKKE
+IKKEEKEPKKEIKKLPKDTKKSPTPVAEAKKPAALKPKVPKKEEPVKKEAVAAGKPKEKG
+KIKVVKKEGKTAEAAAAALGTVAAAAAVAGLATTGPAKELEAERSLMSSPEDLTKDFEEL
+KAEEVDVAKDIKPQLELIEDEEKLKQTEPVEAYVIQKETEVIKGPAESPDEGITTTEGEG
+ECEQTPEELEPVEKQGVEDTEKFEDEGAGFEESSETGDYEEKAETEEAEEPEEDAEDHVR
+VSTSKHSLAEEEESAKAEADAHVKEKRESVASGDDRAEEDMDEALEKGEAEQSEEEAEEE
+DRAEDAREEDYEPEKAEAEDYVRAVVDKAAEAQYGFLTMAPKPVGAQSPVQEPASSIHDE
+TLPGGSESEATASDEENREDQPEEFTATSGYTQSTIEISSEPTPMDEMSTPRDVMSDETN
+NEETESPSQEFVNITKYESSLYSQEYAKPAVTSLNGLSDGSKTDATDGRDYNASASTISP
+PSSMEEDKFSRSALREAYYSEEKDVRAGATLEIKDTVSPVLDEKLSPPKSPSLSPSPPSP
+IEKTPLGERSVNFSLTPNEIKVSAEAEVVPVSPEVTQEVVEEHCASPEDKTLEVVSPSQS
+VTGSAGHTPYYQSPTEEKSSHLPTEVIEKPAAVPVSFEFSDAKDESERASISPMDEPVPD
+SESPIEKVLSPLRSPPLIGSESAYESFLSADDKAPGRGTESPFEGKDEKEASPDQISPVS
+DMTSTGLFQDKQEGKSTDFIPIKEDFGQEKKTDDVEDMSSQAGLTLDERKLGGDVSPTQI
+DVSQFGSLKEDTKMSISEGTVSDKSATPVDEGVVEDTYSHMEGVASVSTASVATSSFPEP
+TTDDVSPSLHAEVGSPHSTEVDDSLSVSVVQTPTTFQETEMSPSKEECPRPMSISPPDFS
+PKTAKSQTPVQDHRSEQSSMSIEFGQESPEHSLAMDFSRQSPDHPTVGAGMLHITENGPT
+EVDYSPSDMQDSSLSHKIPPTEEPSYTQDNDLSELISVSQVEASPSTSSAHTPSQIASPL
+QEDTLSDAVPPRDISLYASLTSEKVQSLDAEKLSPKSDISPLTPRESSPLYSPSLSDSTS
+AVKDSTAACHTASPPPMDAASAEPYGFRASMLFDTMPHHLALNRDLTTPGMEEDTGGKTP
+GDFSYAYEKPETTTRSPDEEGYDYEAYEKTTRTPDVSGYYYEKTESVTKSPCDSSYSYET
+MEKTSKSPEDGGYAYEITEKTTQSPEESGYAYEISEKTIRTPEVSAYSYEKAERSRRLLD
+DISNGYDDSEEGGHTLGDSSYSYETTEKTAGFPESESYSYETSTTTTRSPDASAYCYETP
+EKITRAPQVSSYAYETSDQCYTAEKKSPSEARQDVDLCLVSSCEYKHPKTELSPSFINPN
+PLEWFASEEPTEESEKPLTQSGGAPPPPGGKPQGRQCDETPPTSVSESAPSQTDSDVPPE
+TEECPSITADANIDSEDESETIPTDKTVTYKHMDPPPAPMQDRSPSPRHPDVSMMDPEAL
+AIEQNLGKALKKDLKEKTKTKKPGTKTKSSSPVKKGDGKSKPSAASPKPGALKESSDKVS
+KVASPKKKESVEKATKTTTTPEVKAARGEEKDKETKNAANASTSKSVKTATAGPGTTKTS
+KPSAVPPGPPVYLDLCYIPNHSNSKNVDVEFFKRVRSSYYVVSGNDPAAEEPSRAVLDAL
+LEGKAQWGSNMQVTLIPTHDSEVMREWYQETHEKQQDLNIMVLASSSTVVMQDESFPACK
+IEL
+>tr|A0A3Q1MRQ2|A0A3Q1MRQ2_BOVIN Lipocln_cytosolic_FA-bd_dom domain-containing protein OS=Bos taurus OX=9913 GN=C8G PE=3 SV=1
+MECTVRRSLQARILGCLFLLQGIFPTQGSNPVLPRCRWTQSHLVDKDQRSTWTLDTGHGD
+RPLPGSTGPRSDVQGEAEFTGRLSRGLPGPGQRFLVAGAWVDFLGGAAGQQWAVDSDLGR
+GRPALRVPSPCPAGPLVLPCGSPRPVAAIAMLTPRIAALLTLLLASSSLGQRVRRPPRPP
+SPISTIQPKANFDAQQFSGTWLLVAVASPCRYLQEQGHRAEATTLHVAPQGSAMVVSTFR
+KLDGICWAVRQLYGDTGRPGRFLLQARGARGPVDVVVGDTDYRGFAVLYLERARQLSVKL
+YGTSWGPGARVCCARRAVGWAGWALSSLPPAPALQPKCGDRTGRGSGAAGVRSQPEAHSP
+APRAPCRPLPRGCPGARVSCTQSARSL
+>tr|A0A3Q1LUL5|A0A3Q1LUL5_BOVIN Dipeptidyl peptidase 4 OS=Bos taurus OX=9913 GN=DPP4 PE=4 SV=1
+EPRNRALEDLESHGQNARQNPYLASEVRLNAYIKLPGGQKFKGKLSCNLRLASSKPSQPP
+VPLSQCVRDGKLRCLLGSWGPDPLTQTGARWLALTGPDLPGLQTPWKVLLGLLAIAALVT
+VITVPVVLLTKGNDASTDSRRTYTLADYLKNTFRMKFYNLRWVSDHEYLYKQENNILLFN
+AEYGNSSIFLENSTFHMVRWIFLFLECSLPWLLFSLL
+>tr|A0A3Q1MZS0|A0A3Q1MZS0_BOVIN Solute carrier family 22 member 11 OS=Bos taurus OX=9913 GN=SLC22A11 PE=4 SV=1
+MAFTELLEQAGGVGLFQALQILTFFLFSVWVPFQLVVENFSAAVPGHHCWAHLLENGSGA
+PANLSPEALLLVSIPPGPNRGPHQCLCFRHPQWQLLDPNATATNWSEADREPCVDGWIYD
+HSTFTSTIVTEWDLVCDHQGLKPLGQSIYMAGAMVGCIVCGFLSHRFGRKPVLSRCCVLV
+AVTSISTIAAPRFPVYCGLRFLSALGLSSILLTSAMLSEPLDRPSPMAVGGLAFTLRDWR
+TLQLAVSVPFFVIFLISWRLPESAQWHVIVGKPDQALQELKKVAKINGHKEARKTLTTEV
+RCCCLGAHSSLGHGTDSGCPPGVPAPPWGRGLTLDAPYSFFLTVSYYGIVLDLQNLGSNI
+FLPQVLFGAVDLLAWAITTFLLRFFGRRTTLAGSLAGAGLAVLANTLVPQDLQTLRVVFA
+VFTHGARSLTRSLFFYISLILYEKPIETTFIGFLYSAARLGSVMGPLIRMTRQVLPLLPP
+LSCGVIPIAAGLIVLFLPETRGLLLPDTIQDLERQ
+>tr|A0A3Q1MQR7|A0A3Q1MQR7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC786628 PE=4 SV=1
+MNLLTLNQFAVLLWKNFTLKFFNLILEVLTALAFPMMLLLLRAVIHITVAGPYTFTSQPI
+STLPSFLQNDERWELIYVPSNIDVVKEITENVKRNLNISIKVQGFSSEIEFEKYIKYDYR
+AHKVLAAIVFDCDFKNRHDPLPLQVKYHLRFAAIQRTIIWPDETGWKTTLLFPNQPSVGP
+RNPGHQDGGGPGYIREGFLAIQHALDKAIILYHESSARQLFDDISILVQRFPYPAYPDDG
+LLLLTGSFLPLMFILMFSPTVLSIIRSIVWEKEKRLKEYQLTIGLKSWMIWAAYFFTFFF
+FYIFIVSMICVLLFAKIFNDPVFYYSDYSFIFVFLMCYAIASIFFGFMVSTFFNKGESKT
+SPDNLTFGHMMGMLVIDAFLYGLVTWYIEAVFPGQYGMPQPWYFFLMHSYWFSKPRIKIK
+KEEMNDSVITQNKYFEAEPTGLVAGIKIKHLYKVLRSVGNKDQWYLELGDKVVINNMSLN
+LYKGQITILLGQNGAGKTTALSILTGHYPPTRGEVFIDGYDITKNITEIRENLGFCPQGD
+LLFNDLTLSEHLFFYSMVKVGLLEKRDTFSKSLSGGTKRKLSIIIALLGGSKVVILDEPS
+SGMDPFSRRATWDILQQFKHDRTILLTTHYMDEADILGDRIAIMVKGTLQCCGSSIFLKK
+TYGAGYHIVMEREPHCDLGKICAIIESHIPDAILESYLGAELSFILPKEHAHRFEALFND
+LEMKKGELGVANFGASITTMEEVFLKVNKLADSEMEIQSIQSSLSTTQRGQNRNQSRRVL
+RNHEGLRLNEIANIKFNTGFPLYHQQFHAMFLKRALFNWRSWKLTLLHISIILFVTTYLL
+TTLNLYYIMPAREMDLSQYGRTIVPYSISGNSVLALNIINNLEIFLKLKNQELQEVQGRE
+RFIIGRKQVTEYRDFSLTAFSIEVEKNNTVFTIFFNNEAYHSAATSLAVFDNVLFMSLSG
+ANASIKVSNKPQPLPHYGSNIVLDIYPLLCLAFGLAVVIGSFCLQTVTERATNAKHIQFV
+SGVYLLTYWLSALLWDLIYFSIACCFLLVSGVFIYCGLDAFVADFHFLDTMMIFMLYGWS
+VVPLMYLGSLLFSSSTTAYIKLTLFNYFSTVFNNDIPDFTKTLMNNILMVLPSYNFAMSI
+SKFFDDYEVKKLCAKQFQNIYVDCSDSCEYMQFPQVLKNLSRFPHSSLDCGRLKRNLSFF
+QQRQNISLLVPKYECFGLLGLNGAGKTTTFKMLTGEEITTSGVVLIDGINITENIRKIRS
+RIGYCPQSDPMLGHMTGREVLIMYARLRGVPEPDIGMYVETFLYSMHMETHADKLVCTYS
+GGNKGKLNTAIALMGKSSVVFLDEPSTGMDPVARRHMWDTVTGICNSGKAIVISSHSMEE
+CEALCTRLAIMVKGKFKCLGSPRHLKNKFGNIYTLTAKINIGDNEDKLEQFKEFIETNFP
+GNIINQDHHGIIGYYIPSKGICWGKVFHIMEEAKTLFNLVDYFISQITLEQIFLTFANID
+KAKK
+>tr|A0A3Q1MVK4|A0A3Q1MVK4_BOVIN Transforming acidic coiled-coil containing protein 2 OS=Bos taurus OX=9913 GN=TACC2 PE=4 SV=1
+MGNENSSSGNQQEDSGLNNVILWPPNPEPLQTSLARSPGSVQPPGNSQRVERKPEEAPEG
+TDPGDQPSIWHGGSSSASEGAASPDPCLVSPEVTEPREHPQAARGPEDSPRPSAPEPQER
+ESPSSSMRFAEGPLEGSLASPEGEPESWPLFQHPQRELSPNGPGEAPAASVPQDDNLTQC
+KVVSVIPSAGGETGSKEEEGQRLSSSSSTDQLAGIPPTAPPEPMKVQLRGEDARPGDFES
+QGQEAAAGLPWPESWQGAPKAPAAQLGQESSASLEEPPLKPETSTSQEPAPECPVEGPPQ
+DFTDDAGFLGACPPTGTSSGAAQEAKAKADFQESCQQPMGALPPTGLPWDSPGAALALGD
+KGSWEETLGAPDALGHSQTGSQDAEPGQVTGAVTGDQSEGILFMSSEPAPHTATKDMGPA
+SSLPSQPAALASMAAEQAREMVSVAEVPVLTDPATEWAEAGLSGLEKQASDLRGEGEGLE
+GGPSEIPAPIPPEERAELGNRELSHEVQPKVPALPAPSASDESASKSPGLKDEAEPREGP
+TVANEESRVSGADPRGQGAAPGPLGGADPGNLQAEQPEAWDCKPDPEVDKDKVSQLTGDE
+ESQGLPNTVLAQPLGEEIPGHVDRSDSPSEDAAWNVVARGMMGESQVDHVSGSLHQLPEQ
+HPSPPSGPEGAGERVLPGGAIWVGPGPQTKCPDTLQDVEGLGRMDSLPALESEKSDFLSA
+PAPEVVPKAQEAESMPEIKSGSHPSAQRPGRGEGEGSLTSPYPRGLGRDTAGQEVHADGP
+PPPEKENWAVDCRLTMLSLEQDRQGNLSHPGDSSIEVTASERPLLCPENHLQTSQTHPEA
+SVFDMLRETSQGCESKEETYPGDTDFKNLGADSPQIHIPVGPREDVNLSTHGGKQQASEM
+ELPSELPKGSLSDAPSLPPRDTVPENPTTEKSQLSAPMKPELPALKEKGQEGECSSSQPS
+RSSSPAADTFQDPSLAGSLSREEYSCAGQGPNESQQELVDGLNAGSQHEEACLEDAGISG
+AADAWPQLQDLGKTEKASGNTVGAPPCRPDSVALLEAAHSQPVPAPASPRATPSQDAPVR
+EAGEETQEGGQQPGLVPQKEMEHLTASDAEVPKLSGIFPSAKDQGVGGAETCGKADRGVL
+GMWQALGEPGSLQIEQRSSPGEEASTSTLGEQPSASSQDAWPLARELAESPRSVADLSAV
+QVVPDPKRLLPSGPPEEAAPGTPYLHIEGAARKGLEDSVMKAVSPQGPGAPGESPCSTRE
+PLLSSEKASSREGSAESSLQAGAAGEGISAAPVSPGSSKAATSEGPVDSVPYLDRMPFLA
+KGKETTGEEKWSGAPGASAEPSEIPARPGSEESKAGEAARETEGSRERMLEPSKDPRQGA
+SAGVDASCRQTGMLSGLPDFREHITKIFETSVLGALAADWPQSTKGEKAGARKRVMGKGL
+TVPSPEKLPDGTQRVAVAPLPTLPTGLWVDSKERKQELAIEAEISHLGPQDPAPGKLPGL
+AAEHTLPGASEEKEISEAPQMLADSKKPEGAGEGWWPGPGGGQAHSQQAGGPQEAASGLS
+AQAASPEISGAELQVAPQSRGEGDSGPDDSIPSGKQSQETAGQDSQPREDCPRGSSHPRA
+LGDMPGSTSAQGASPHRDVPPLPEAISEPCTPDPLGGERRRAGAAGISETQDALGTQGAP
+EPPAGEVAENPLEPGLEAGAAGEAEGDVTLSTAGTWACVSEDLPETGTTRMFSGAAAASA
+VPGSPGDPGCSQGALRMDAEVAPGGGRPAGPPQAEEQPRPEFPAFAEDGKIGVSSPPEPD
+ETRDLKLQNLDPEALDAERKIPKAGPSTLPLVPEKDAADITDEVISDDASSAGGAESTIP
+AAARVDLTAPASEYASLPSVPAGDGVEASTPSCQRLAKDLSSQCVDTPGNPADISS
+>tr|E1BMW6|E1BMW6_BOVIN T-box 21 OS=Bos taurus OX=9913 GN=TBX21 PE=4 SV=1
+MGIVELGCGDMLTGTEPMPASDEGRAPGADPQNRYYYPEPGAQDATDRRGGASLGAPYAG
+GALVPAPPGRYLGAYSYPPRPQAAGFPGASEPFPPTPGAEGYQPGDAYSAPDPRATLYPG
+PREDYILPPGLEVSGKLRVALNNHLLWSKFNQHQTEMIITKQGRRMFPFLSFTVAGLEPN
+SHYRMYVDVVLVDQHHWRYQSGKWVQCGKAEGSMPGNRQYVHPDSPNTGAHWMRQEVSFG
+KLKLTNNKGASNNATQMIVLQSLHKYQPRLHIVEVNDGEPEAACNTHVFTFQETQFIAVT
+AYQNAEITQLKIDNNPFAKGFRENFESMYASVDTSVPSPPGPNCQLLGGDHYSPLLPNQY
+PISSRFYPDLPGQAKDVVPQTYWLGAPRDHSYEAEFRAVSMKPAFLPSAPGPTMSYYRSQ
+EVLAPGAGWPVAPQYPPKVGPANWFRSMRTLPMEPGPGASEGRGPEEQGSPSLWTDITPL
+RPESSNSGLGEGDSKRRRVSPYPSSGDSSSPAGAPSPFDKDTEAQFYNYFPN
+>tr|A0A3Q1MNC0|A0A3Q1MNC0_BOVIN Ferritin OS=Bos taurus OX=9913 PE=3 SV=1
+MLSRLVIAFLPRSKRLLISWLQSPPAVTLEPKKIKALPPPTVPAATPATRSAAGHRRLFS
+ATRPDNRIPLAGALEHHQHLEASISCQINLELYTSCVCLPTSYYFDHDDVALKNFAKYFL
+HQSHEEREHTEKPMKLQNQRGGRIFLQDIKKPDRHDWENGLNATECALCLERSVNQSLLE
+LHKLATEKNDPQLCNFTETYYLNEQMWAPGSGIAEYLFDKHTLGHMRAKPQAAFP
+>tr|F1MRH9|F1MRH9_BOVIN Tyrosine-protein phosphatase non-receptor type OS=Bos taurus OX=9913 GN=PTPN21 PE=3 SV=3
+MPLPFGLKLKRTRRYTVSSKSCLVARIQLLNNEFVEFTLSVESTGQESLEAVAQRLELRE
+ITYFSLWYYNKQNQRRWVDLEKPLKKQLDKHALEPTVYFGVVFYVPSVSQLQQEITRYQY
+YLQLKKDILEGNIPCTLEQAIQLAGLAVQADFGDFDQYESQDFLQKFALLPVEWLQDEKV
+LEEATQKVALLHQKYRGLTAPDAEMLYMQEVERMDGYGEESYPAKDSQGSDISIGACLEG
+VFVKHKSGRPPVVFRWHDIANMSHNKSFFALELANKEETIQFQTEDMETAKYVWRLCVAR
+HKFYRLNQCSLQTQTVIVNPVRRRSSSRMSLPKPQPYAMAAPPQLHYNGHYTEPYTSSLD
+NLFVTNQNGFYGHSQTSLDRAQVELGGRVRNGSVYSAHSTSSLNSPQPYLQPSPGSSNPS
+ITGSDVLRPDYVPSHRHSALIPPSYRPTPDYETVMKQLQRGLAPAERQSRSLRNLSLVYS
+QPEIREHARPGSPPMAPCAFSLSHSFHSPAPYPYASAAGRRPGLGAVSVPELTNAQLQAR
+DCPAPSILRTQVYRPPPPYPHPRPANSTPDLSRQPPISSSNPDLITRRVHHSVQTFQEDS
+LPVAHSLQEVSEPLTAARRARLQKRNSVELAGLAHGLEGLRLQERAAPALAAGERALRAE
+DCAAGLRGSLRGGHGKSLSDATVLIHSSDEDEDEDPEEGPAPPLGSRGGRPPDASHAAPL
+APGPLHILEPQAPGAAPGEGPRPRRDGLLSPSASESDLTTSGRYRARRDSLQKRPASDLL
+SAKKNAVEGLPPLGGMKKSRGDAKKIGPLKLAALNGLSLSRLPLPDEEKDVPARATNDER
+CKILEQRLEQGMVFTEYERILKKRLVDGECSTARLPENAERNRFQDVLPYDDARVELVPT
+KENNTGYINASHIKVSVSGIEWDYIATQGPLQNTCPDFWQMVWEQGIAIIAMVTAEEEGG
+REKSFRYWPRLGSRHNTVTYGRFKITTRFRTDSGCYATTGLKMKHLLSGQERTIWHLQYT
+DWPEHGCPEDLKGFLSYLEEIQSVRRHTNSASEPQSPNPPLLVHCSAGVGRTGVVILSEI
+MIACLEHNEALDVPRVLDMLRRQRMLMVQTLCQYTFVYRVLIQFLRSSRLI
+>tr|F1MZ68|F1MZ68_BOVIN Adhesion G protein-coupled receptor L2 OS=Bos taurus OX=9913 GN=ADGRL2 PE=3 SV=3
+MVSSGCRMRSLWFIIIISFLPNTEGFSRAALPFGLVRRELSCEGYSIDLRCPGSDVIMIE
+SANYGRTDDKICDADPFQMENTDCYLPDAFKIMTQRCNNRTQCIVVTGSDVFPDPCPGTY
+KYLEVQYECVPYIFVCPGTLKAIVDSPCIYEAEQKAGAWCKDPLQAADKIYFMPWTPYRT
+DTLIEYASLEDFQNSRQTTTYKLPNRVDGTGFVVYDGAVFFNKERTRNIVKYDLRTRIKS
+GEAIINYANYHDTSPYRWGGKTDIDLAVDENGLWVIYATEQNNGMIVISQLNPYTLRFEA
+TWETVYDKRAASNAFMICGVLYVVRSVYQDNESETGKNAIDYIYNTRLNRGEYVDVPFPN
+QYQYIAAVDYNPRDNQLYVWNNNFILRYSLEFGPPDPAQVPTTAVTITSSAEMFKTTVST
+TSTTSQKGPMSTTVAGSQEGSKGTKAPPAVSTTKIPPVTNIFPLPERFCEALDTRGIRWP
+QTQRGMMVERPCPKGTRGTASYLCVLSTGTWNPKGPDLSNCTSHWVNQLAQKIRSGENAA
+SLANELAKHTKGPVFAGDVSSSVRLMEQLVDILDAQLQELKPSEKDSAGRSYNKLQKREK
+TCRAYLKAIVDTVDNLLRPEALESWKHMNSSEQAHTATMLLDTLEEGAFVLADNLVEPTR
+VSMPTENIVLEVAVLSTEGQVQDFKFPLGIKGAGSSIQLSANTVKQNSRNGLAKLVFIIY
+RSLGQFLSTENATIKLGADFIGRNSTIAVNSHVISVSINKESSRVYLTDPVLFTLPHIDP
+DNYFNANCSFWNYSERTMMGYWSTQGCKLVDTNKTRTTCACSHLTNFAILMAHREIAYKD
+GVHELLLTVITWVGIVISLVCLAICIFTFCFFRGLQSDRNTIHKNLCINLFIAEFIFLIG
+IDKTKYMIACPIFAGLLHFFFLAAFAWMCLEGVQLYLMLVEVFESEYSRKKYYYVAGYLF
+PATVVGVSAAIDYKSYGTEKACWLHVDNYFIWSFIGPVTFIILLNIIFLVITLCKMVKHS
+NTLKPDSSRLENIKSWVLGAFALLCLLGLTWSFGLLFINEETIVMAYLFTIFNAFQGVFI
+FIFHCALQKKVRKEYGKCFRHSYCCGGLPTESPHSSVKASTTRTSARYSSGTQSRIRRMW
+NDTVRKQSESSFISGDINSTSTLNQGMTGNYLLTNPLLRPHGTNNPYNTLLAETVVCNAP
+SAPVFNSPGHSLNNARDTSAMDTLPLNGNFNNSYSLRKGDYNDSVQVVDCGLSLNDTAFE
+KMIISELVHNNLRGSSKAHNLELTLPVKPVIGGSSSEDDAIVADASSLMHGDNPGLELHH
+KELEAPLIPQRTHSLLYQPQKKAKPEGTDSYVSQLTAEAEDHLQSPNRDSLYTSMPNLRD
+SPYQESSPDMEEDLSPSRRSENEDIYYKSMPNLGAGHQLQMCYQISRGNSDGYIIPINKE
+GCIPEGDVREGQMQLVTSL
+>tr|A0A3Q1M5P1|A0A3Q1M5P1_BOVIN Growth arrest specific 7 OS=Bos taurus OX=9913 GN=GAS7 PE=4 SV=1
+MSGARCRTLYPFSGERHGQGLRFAAGELITLLQVPDGGWWEGEKEDGLRGWFPASYVQLL
+EKPGMVPPPPGEESQTVVLPPGWQSYLSPQGRRYYVNTATNETTWERPSSSSGIPASPGP
+HRSSLPPTVNGYHTSGTPAHPPETAHMSVRKSTGDSQNLGSSSPSKKQSKENTITINCVT
+FPHPDTMPEQQLLKPTEWSYCDYFWADKKDSQGNGTVAGFELLLQKQLKGKQMQKEMSEF
+IRERIKIEEEYAKNLAKLSQNSLAAQEEGSLGEAWAQVKKSLADEAEVHLKFSAKLHSEV
+EKPLMNFRENFKKDMKKCDHHIADLRKQLASRYAAVEKARKALTERQRDLEMKTQQLEIK
+LSNKTEEDIKKARRKSTQAGDDLMRCVDLYNQAQSKWFEEMVTTTLELERLEVERVEMIR
+QHLCQYTQLRHETDMFNQSTVEPVDQLLRKVDPAKDRELWVREHKTGNIRPVDMEI
+>tr|A0A3Q1M4V7|A0A3Q1M4V7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC521656 PE=3 SV=1
+MLEALGSLVAALWTTLRPGIVLLGAFVFLLFADFLKRQHPKNYPPGPLRLPFIGNFFHLD
+LGKGILVPQQVVKKYGNIIRLDFGVIHFIVITGLPYIKEALVNQEQNFVNRPMIPLQKHI
+FNNKGLVRSNGQVWKEQRRFTLTTLRNFGLGRKSLEERIQEEVTYLIQAIGEENGQPFDP
+HFIINNAVSNIICSITFGERFDYKDDQFQELLRLLDEILCIQASVCCQLYNAFPRIMNFL
+PGSHHTLFRKWEKLKMFVANVIENHRKDWNPAEARDFIDAYLQEIEKHKGNATSSFDDEN
+LICSTLDLFLAGTETTSTTLRWGLLFMALNPEIQEKVQAEIDRVLGQSQKVSTASRESMP
+YTNAVIHEVQRMGNIVPMNVPREVTVDTVLAGYHLVKGTMVLTNLTALHRDPAEWATPDT
+FNPEHFLENGQFKKRESFLPFSIGKRMCLGEQLARTELFIFFTSLLQKFTFRPPENEKLS
+LKFRESLTSSPASYRLCAIPRA
+>tr|E1BBQ5|E1BBQ5_BOVIN Pancreatic and duodenal homeobox 1 OS=Bos taurus OX=9913 GN=PDX1 PE=3 SV=1
+MNREEQYYAATQLYKDPCAFQRGPAPDFSASPPACLYMGRQPPPPPPPPPPFPGALGALE
+QGSPPDISPYEVPPLADDPAMAHLHHHLPAQLALAHPPAGPFQDGADPGALEEPGSVQLP
+FPWMKSTKAHAWKGQWAGGAYAAEPEENKRTRTAYTRAQLLELEKEFLFNKYISRPRRVE
+LAVMLNLTERHIKIWFQNRRMKWKKEEDKKRSCGPPAAGGGDAEPEQDCAVTSGEELLAL
+PPPPPPGGAVPPAGPSAAREGRLPPGLSASPQPSSVAPLRPQESR
+>tr|G8JKV7|G8JKV7_BOVIN Procollagen-lysine,2-oxoglutarate 5-dioxygenase 1 OS=Bos taurus OX=9913 GN=PLOD1 PE=4 SV=2
+MRLLLLLAPLGWLLLAETKGDAKPEDNLLVLTVATKETEGFRRFKRSAQFFNYKIQALGL
+GEDWPGEAMLAGGGLKVRLLKKALEKHADKENLVILFTDSYDVVFASGPRELLKKFRQAR
+SQVVFSAEELIYPDRRLEAKYPVVSDGKRFLGSGGFIGYAPNLSKLVAEWEGQDSDSDQL
+FYTKIFLDPEKREQINITLDHRCRIFQNLDGALDEVVLKFEMGQVRARNLAYDTLPVLIH
+GNGPTKLQLNYLGNYIPRFWTFETGCAVCDEGLRSLKGIGDEALPAVLVGVFIEQPTPFL
+SLFFQRLLRLHYPQKRLRLFIHNHEQHHKAQVEQFLAEHGDEYQSVKLVGPEVRVANADA
+RNMGADLCRQDRGCTYYFSVDADVALTEPKTLRLLIEQNKNVITPLMTRHGRLWSNFWGA
+LSADGYYARSEDYVDIVQGRRVGVWNVPYISNIYLIKGSALRAELQETDLFHHSKLDPDM
+AFCANIRQQDVFMFLTNRHSFGHLLSLDSYQTTHLHNDLWEVFSNPEDWKEKYIHENYTK
+ALAGKMVEMPCPDVYWFPIFTETACDELVEEMEHYGQWSLGDNKDNRIQGGYENVPTIDI
+HMNQINFEREWHKFLVEYIAPMTEKLYPGYYTRAQFDLAFVVRYKPDEQPSLVPHHDAST
+FTINIALNRVGVDYEGGGCRFLRYNCSIRAPRKGWTLMHPGRLTHYHEGLPTTKGTRYIA
+VSFVDP
+>tr|A0A3Q1LLH1|A0A3Q1LLH1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MGFGDLKSPAGLQVLNDYLADKSYIEGYILPGVKKALGKYGPANVEDTAESGATDSKDDE
+DMDLFGSDEEEESEEAKRIREEHLAQYESKKARKQALVAKSSILLDECVRSIQADGLVWG
+SSKLVPVGYGIKKLQTQCVVEDDKVGTDMLEEQITAFDEYVQFMDVAAFNKI
+>tr|F1MR48|F1MR48_BOVIN G_PROTEIN_RECEP_F1_2 domain-containing protein OS=Bos taurus OX=9913 PE=3 SV=3
+SLGLFTVTEFILIGFSSFPHLQLMFFLLFLLVYLFTLLGNLLIMATVWSECSLHTPMYLF
+LCALSISEILYTFAIIPRMLADLLSTDLSISFVACASQMFSFTPGFTHSFLLTVMGYDRY
+LAICHPLRYNVLMNPRGCACLVVWSWTGGLVVGLVVTSSIFQLTFCGSNEIRYFGCHVLP
+LFKLACGDNVSTVAKGVGLVCIAALLGCCLLILHSYAFIVAAILRIPSAEGRHKAFSTCA
+SHLTVVVVHYGFASVIYLKPKGPQSLEGDTLMGITYTVLTPFLSPIIFSLRNKELKEAMK
+KTFLSKL
+>tr|M0QVY4|M0QVY4_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=OR2T33 PE=3 SV=2
+MENASDTTGINFILLGLFDYTQTHLFLFAMVLMAFLTSLMGNTFMIMLIQVDPQLHTPMY
+FLLSQLSLMDMMLVLTIVPKMAANYLMHNRSISPAGCGAQIFLFLTLGGGECFLLAAMAY
+DRYVAICHPLRYPILMNQKLCLHMTAGSWLLGGVDGLMQAGATLSFPYCHSREVNHFFCE
+APSLVHLACADTVIFEFFMYVCCVLMLLIPLSLILASYSLILAAVLHMQSTAARKKAFAT
+CSSHLAVVGLFYGTLMFIYMRPKSSHSGAHDKVVSAFYTIFTPVLNPLIYSVRNKDVKGA
+LRKWLVKHFRWSQ
+>tr|A0A3Q1LJP5|A0A3Q1LJP5_BOVIN 6-phosphofructo-2-kinase/fructose-2,6-bisphosphatase 2 OS=Bos taurus OX=9913 GN=PFKFB2 PE=4 SV=1
+MSGNPASSSEQNNNSYETKASLRISEKKCSWASYMTNSPTLIVMIGLPARGKTYVSKKLT
+RYLNWIGVPTKVFNLGVYRRQAVKSYKSYDFFRHDNEEAMKIRKQCALVALKDVKAYLTE
+ESGQIAVFDATNTTRERRDLILNFAEENSFKVFFVESVCDDPDVIAANILEVKVSSPDYP
+ERNRENVMDDFLKRIECYKVTYQPLDPDSHDKDLSFIKVINVGQRFLVNKVQDYIQSKIV
+YYLMNIHVHPRTIYLCRHGESEFNLLGKIGGDSGLSVRGKQFAQALRKFLEEQEIADLKV
+WTSQLKRTIQTAESLGVTYEQWKILNEIDAGVCEEMTYAEIQEQYPDEFALRDEEKYLYR
+YPGGESYQDLVQRLEPVIMELERQGNVLVISHQAVMRCLLAYFLDKGADELPYLRCPLHT
+IFKLTPVAYGCKVETIKLNVEAVNTHRDKPTVIVSPPAPTPPPGPTP
+>tr|A0A3Q1MH00|A0A3Q1MH00_BOVIN Zinc finger protein 521 OS=Bos taurus OX=9913 GN=ZNF521 PE=4 SV=1
+MRRRKKPPTREHNKGKKITLSFKFPFINPNCKLEDKTEDGEAIDCKKRPEDGEELEDEAV
+HSCDSCLQVFESLSDITEHKINQCQLTDGVDVEDDPTCSWPASSPSSKDQTSPSHGEGCD
+FGEEEGGPGLPYPCQFCDKSFSRLSYLKHHEQSHSDKLPFKCTYCSRLFKHKRSRDRHIK
+LHTGDKKYHCSECDAAFSRSDHLKIHLKTHTSNKPYKCAICRRGFLSSSSLHGHMQVHER
+NKDGSQSGSRMEDWKMKDTQKCSQCEEGFDFPEDLQKHIAECHPECSPNEDRAALQCVYC
+HELFVEETSLMNHMEQMHGGEKKNSCSICSESFHSVEELYSHMDGHQQPESCNHSNSPSL
+VTVGYTSVSSTTPDSNLSVDSSTMVEAAPPIPKSRGRKRAAQQTPDMTVPSSKQAKVTYS
+CIYCNKQLFSSLAVLQIHLKTMHLDKPEQAHICQYCLEVLPSLYNLNEHLKQVHEAQDPG
+LIVSALPAIVYQCNFCSEVVNDLNTLQEHIRCSHGFANPAAKDSNAFFCPHCYMGFLTDS
+SLEEHIRQVHCDLSGSRFGSPVLGTPKEPVVEVYSCSYCTNSPIFNSVLKLNKHIKENHK
+NIPLALNYIHNGKKSRALSPLSPVAIEQTSLKMMQAVGGAPARQAGEYICNQCGAKYTSL
+DGFQTHLKTHLDTVLPKLTCPQCNKEFPNQESLLKHVTIHFMITSTYYICESCDKQFTSV
+DDLQKHLLDMHTFVFFRCTLCQEVFDSKVSIQLHLAVKHSNEKKVYRCTSCNWDFRNETD
+LQLHVKHNHLENQGKVHKCIFCGESFGTEVELQCHITTHSKKYNCQFCSKAFHAIILLEK
+HLREKHCVFDTKTPNCGANGASEPGQKEEVELQTLLTNSQESHNSHDGSEEDVDTSEPMY
+GCDICGAAYTMETLLQNHQLRDHNIRPGESAIVKKKAELIKGNYKCNVCSRTFFSENGLR
+EHMQTHLGPVKHYMCPICGERFPSLLTLTEHKVTHSKSLDTGNCRICKMPLQSEEEFLEH
+CQMHPDLRNSLTGFRCVVCMQTVTSTLELKIHGTFHMQKTGNGATVQATGRGPHVPKLYK
+CASCLKEFRSKQDLVKLDINGLPYGLCAGCVNLSKSASPGVNIAPGSSRPGLGQTENLGA
+VEGKGKASAPKTRCSSCNVKFESESELQNHIQTVHRELVPDSNSTQLKTPQVSPMPRISP
+SQSDEKKTYQCIKCQMVFYNEWDIQVHVANHMIDEGLNHECKLCSQTFDSPAKLQCHLIE
+HSFEGMGGTFKCPVCFTVFVQANKLQQHIFSAHGQEDKIYDCTQCPQKFFFQTELQVMSP
+WGGLALNAVALLIGNKGILSLDSPYHSPWPP
+>tr|A0A3Q1MEU7|A0A3Q1MEU7_BOVIN Histone H2B OS=Bos taurus OX=9913 GN=HIST1H2BB PE=3 SV=1
+MPEPSKSAPAPKKGSKKAITKAQKKDGKKRKRSRKESYSIYVYKVLKQVHPDTGISSKAM
+GIMNSFVNDIFERIASEASRLAHYNKRSTITSREIQTAVRLLLPGELAKHAVSEGTKAVT
+KYTSSK
+>tr|A0A3Q1M9P9|A0A3Q1M9P9_BOVIN Versican core protein OS=Bos taurus OX=9913 GN=VCAN PE=1 SV=1
+MLINIKSILWMCSTLIAAHALQKVNMEKSPPVKGSLSGKVNLPCHFSTMPTLPPSYNTTS
+EFLRIKWSKIELDKTGKDLKETTVLVAQNGNIKIGQDYKGRVSVPTHPEDVGDASLTMVK
+LLASDAGRYRCDVMYGIEDTQDTVSLTVEGVVFHYRAATSRYTLNFEMAQKACVDIGAVI
+ATPEQLHAAYEDGFEQCDAGWLSDQTVRYPIRVPREGCYGDMMGKEGVRTYGFRAPHETY
+DVYCYVDHLDGDVFHITAPNKFTFEEAGEECKTQDARLATVGELQAAWRNGFDRCDYGWL
+LDASVRHPVTVARAQCGGGLLGVRTLYRFENQTGFPTPDSRFDAYCFKPKQNISEATTIE
+LNMLAETVSPTLLEELQMGLDRMTPIVPLITELPVITTKVPPIGNIVNFEQKSTVQPLTS
+THRSATESLPPDGSMKKPWDMDYYSPSASGPLGEPDVSEIKEEVPQSTTVVSHHAPDSWD
+GVKEDLQIKDSVTQIEQIEVGPLVTSMEISKHIPSKEFTVTVTPFVSTTMTLESKTEKKA
+ISTVSESVTTSHYGFTLREGDGEDRISTVRSGQSTSIFSQIPEVITVSKTSEDTTRGQLE
+DVESVSASTIVSPDSDGSPMDHRQEKQTHGRITEGFLGQYVSTTPFPSQHHTEVELFPYS
+GDKRLVEGTSTVISPTPRTGRERTETLRPAMRTVTYTNDEIQEKITKDSSIEKIEEEGFS
+GMKFPTASPEQIHHTKYSVGMTKSFESPALMTTTKPGVTPTEATDVEEDFTTPSGLETDG
+YQDTTEYEEGITTVHLIQSTLNVEVVTVSKWSLDEDNTTSKPLGSTEHVGSPKLPPALIT
+TTGVSGKDKEMPSLTEDGRDEFTRIPGSTQRPLEEFTEEDTTDHEKFTVRFQPTTSIATT
+EKSTLRDSITEERVPPFTSTEVRVTHATIEGSALDEGEDVDVSKPLSTVPQFAHPSDVEG
+STFVNYSSTQEPTTYVDTSHTIPLPVIPKTEWGVLVPSIPSEGEVLGEPSQDIRVINQTH
+FEASMYPETVRTTTEITQEATREDFLWKEQTPEKPVSPPSSTTDTAKETTPPLDEQESDG
+SAYTVFEDRSVMGSDRVSVLVTTPIGKFEQHTSFPPGAVTKAKTDEVVTLTPTTGSKVTF
+SPWPKQKYETEGTSPRGFVSPFSIGVTQLIEETTTEKREKTSLDYIDLGSGLFEKPKATE
+LPEFSTVKATVPSDTAAFSSADRLHTPSASTEKPPLIDREPDEETTSDMVIIGESTSRVP
+PTTLEDIVAKETETDIDREYFTTSSTSTTQPTRPPTVEGKEAFGPQAFSTPEPPAGTKFH
+PDINVYIIEVRENKTGRMSDFSVSGHPIDSESKEDEPCSEETDPEHDLIAEILPELLGML
+HSEEDEEDEECANATDVTTTPSVQYINGKHVVTTVPKDPEAAEARRGQFESVAPSQNFSD
+SSESDSHQFIITHAGLPTAMQPNESKETTESLEITWRPEIYPETAEPFSSGEPDIFPTAS
+IHEGEATEGPDSITEKNPELDHLVHEHAESVPLFPEESSGDAAIDQESQKIIFSGATEGT
+FGEEAEKSSTTHTPSMVASSVSAPVSEDASFILTGTPQSDEPLSTVESWVEITPRHTVEF
+SGSPSIPIPEGSGEAEEDKDKIFAMITDLSQRNTTDPRVTSDTSKIMITESLVDVPTTTV
+YSISEQVSAVVPTKFVRETDTYEWVFSPPLEETTRKEEEKGTTGTASTVEVHSPTQRLDQ
+FVSPSELESSSETPPDDSAAATRKSFTSQMTPTQSERETTSSTVVFKETEVLDNLAAQTT
+DPSLSSQPGVLEVSPTVPGSPVSLFMEQGSGEAAVDPETTTVSSLSLNIEPEILAEEEAA
+GTWSPNVETVFPFEPTEQVLSTAVDREVAETISQTSKENLVSEISGEPTHRAEIKGFSTD
+FPLEEDFSGDFREYSTVSYPITKEEIVMMEGSGDAAFKDTQMLPSVTPTSDLSNHTADSE
+EPGSTLVSTSAFPWEEFTASAEGSGEPLLSVSSSVDQVFPSAAGKASGTDSPFIDQRLGE
+EGAINETDQRSTILPTAEAESTKASTEEGEVKENHTVSMDFPPTVEPDELWPRQEVNPVR
+QGNGSEIVSEEKTQEQESFEPLQSSVAPEQTTFDSQTFPEPGLQTTGYFTLTTKKTYSTD
+ERMEEEVISLADVSTPTLDSKGLVLYTTLPEVTEKSHFFLATASVTESVPAESVIAGSTI
+KEEESIKPFPKVTSPIIKESDTDLIFSGLGSGEEVLPTLGSVNFTEIEQVLSTLYPLTSQ
+VQSLEASILNDTSGDYEGMENVANEMRPLISKTDSIFEDGETASSTTLPEILSDARTEGP
+FTAPLTFSTGPGQPQNQTHRRAEEIQTSRPQPLTDQVSSENSVTAETKETATPATDFLAR
+TYDLEMAKGFVTSTPKPSDLFYEHSGEGSGELDAVGAEVHASGMTQATRQGSTTFVSDRS
+LEKHPKVPSVEAVTVNGFPTVSMVLPLHPEQREGSPEATGTPASTASYEKATEGAADSFQ
+DHFWGFKDSTLKPDKRKATESIIIDLDKEDKDLILTMTESTILEIIPELTSDKNTIIDID
+HTKPIYEDILGMQTDLDPEVPSGPPDSSEESTQVQEKYEAAVNLSSTEENFEASGDILLA
+NYTQATPESKAPEDRNPLDHTDFIFTTGIPILSSETELDVLLPTATSLPIPSKSATVNPE
+SKTEAKTLEDIFESSTLSDGQAIADQSEVISTLGYLERTQNEDEAKKYVSPSFQPEFSSG
+AEEALTDPTPYVSIGTTYLTAQSLTEAPDVMEGARLPDSIDTSTVSAFSELLSQTPSFPP
+LSIHLGSGDSEHSEDLQPSALPSTDASTPPVSSGELANIEATFKPSSEEDFYITEPPSLP
+PDTEPSEDESKPKLLEPTEASATELIAQEEIEIFQNSDNTTSVQVSGEAVKVFPSIETPE
+AEAIVTAASETKLEGATLRPHSTSASVIHGVEAGVVPQPSPQTSERPTILSPLEISPETQ
+AALIRGEDSTVAAPKQQVPTRMLDSNKQATLSTTELNTELATPSFPLLETSNETSFLIGI
+NEESVEGTAVYLPGPDRCKMNPCLNGGTCYPTETSYVCTCVPGYSGDRCELDFDECHSNP
+CRNGATCIDGFNTFRCLCLPSYVGALCEQDTETCDYGWHKFQGQCYKYFAHRRTWDAAER
+ECRLQGAHLTSILSHEEQMFVNRVGHDYQWIGLNDKMFEHDFRWTDGSTLQYENWRPNQP
+DSFFSTGEDCVVIIWHENGQWNDVPCNYHLTYTCKKGTVACGQPPVVENAKTFGKMKPRY
+EINSLIRYHCKDGFIQRHLPTIRCLGNGRWAMPKITCLNPSAYQRTYSKKYFKNSSSAKD
+NSINTSKHDHRWSRRWQESRR
+>tr|F1MGS0|F1MGS0_BOVIN WW domain containing adaptor with coiled-coil OS=Bos taurus OX=9913 GN=WAC PE=4 SV=3
+MVMYARKQQRLSDGCHDRRGDAQPYQALKYSSKSHPSSGDHRHEKMRDAADPSPPNKMLR
+RSDSPENKYSDSTGHSKAKNVHIHRVRERDGGTSYSPQENSHNHSALHSSNSHSSNPSNN
+PSKTSDAPYDSADDWSEHISSSGKKYYYNCRTEVSQWEKPKEWLEREQRQKEANKMAVNS
+FPKDRDYRREVMQATATSGFASGMEDKHSSDASSLLPQNILSQTSRHNDRDYRLPRAETH
+SSSTPVQHPIKPVVHPTATPSTVPSSPFTLQSDHQPKKSFDANGASTLSKLPTPTSSVPA
+QRTERKESTSGDKAVSHSCTTPSTSSASGLNPTSAPPASASAIPVSPVPQSPIPPLLQDP
+NLLRQLLPALQATLQLNNSNVDISKINEVLTAAVTQASLQSIIHKFLTAGPSAFNITSLI
+SQAAQLSTQAQPSNQSPMSLTSDASSPRSYVSPRISTPQTNTVPIKPLISTPPVSSQPKV
+STPVVKQGPVSQSATQQPVTADKQQGHEPVSPRSLQRSNSQRSPSPGPNHTSSSNASNTA
+VVPQNSSARPPCSLTPTLAAHFNENLIKHVQGWPADHAEKQASRLREEAHNMGSVHMSEI
+CTELKNLRSLVRVCEIQATLREQRILFLRQQIKELEKLKNQNSFMV
+>tr|F1MP88|F1MP88_BOVIN Dishevelled binding antagonist of beta catenin 1 OS=Bos taurus OX=9913 GN=DACT1 PE=4 SV=3
+MKPSPTGTAKEQEPPAPARGEQRTAEPEGRWREKGEADTERQRTRERQEATLAGLAELEY
+LRQRQELLVRGALRGTGGAGAAAARAGELPGEAAQRNRLEEKFLEENILLLRKQLNCLRR
+RDAGLLNQLQELDKQISDLRLDVEKTSEERLETDSRPSSGFYELSDGASGSLSNSSNSVF
+SECLSSCHSSTCFCSPLEATLTISDGCPKSADVNPKYQCDLVSKNGNDVYRYPSPLHAVA
+VQSPMFLLCLTGNPLREEERLGNHANDVCAVSELDAVKTDTNSPSPSSLWSAPHPSSSKK
+MDGYILSLVQKKTHPVRTNKPRTSVNADPTKGLLRNGSVCVRVTGGVSQGNNGNLKNSKQ
+VPLPSGGIPSLDSGGFSPPKQWSKESKPEPLESKRLPAPEGCSPGTATELQGKHLPKNAK
+PVSQEHARCPPAGTGESSKESGQIPAASPKESPGRVLAPLQENKVVQPLKKVPQKNSPQA
+GTLAPPPAASALLPPTFPAEERPALDFRSEGSSSQSLEEGPPGKAPPVLAPPPGVRPPRG
+ARPAAAPRGSGVKHRALAVHGPESALPPVREKARVAGKKCRFPDDTDTNKKLRRAPAKAR
+RGGGGQPDAGLPSRPLGAGHRAGSRAHGHGHGREAVVAKPKHKRTDSRRWRSAAEVSYEE
+ALRRARRGRREPAGLFAAGPYASPYAYAASDSEYSAECESLFHSTVLDTSEDERSNYTTN
+CFGDSESSVSEGDFVGDSTSTSDSEESGGLIWSQFVQTLPLQPVPASDLRHNPTKTFVKI
+KASHNLKKKILRFRSGSLKLMTTV
+>tr|F1MZT6|F1MZT6_BOVIN Chromosome 3 C1orf50 homolog OS=Bos taurus OX=9913 GN=C3H1orf50 PE=4 SV=2
+MEDTATPGGTQGVTENQGVLSAAGALVELTPNPGGLALVSPYHTHRAGDPLDLVALAEQV
+QKANEFIRANATNKLTVIAEQIEHLQEQARKVLEDARRDADLHHVACNMVKKPGSIYYLY
+KRESGQQYFSIISPEEWGTSRPHDFLGAYKLQHDLSWTPYEDIEKQDAKISVMSKLLSQP
+VALPPSTESNFPGFRSTESGL
+>tr|A0A3Q1LQF3|A0A3Q1LQF3_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MLKILQARLQQYMNRELPDVQVGFRKGRGTRDQTANIRWIMEKGREFQKNIYFCFIDYAK
+AFDCVDHNKLWKILKDMGIPDHLTCLLRNLYAGQETTVRTGHGTIDWFQIGKGVCQGCIS
+SPCLFNLYAEYIMRNAGLEEAQAGIKIAGRNINNLRYADDTTLMAESEEELKSLLMKVKE
+ESEKVGLKLNIRKTKIMASGPITSWEIDGETVETVSDFIFLGSKITADGDCSHEIKRCLL
+LGRKVMTNLDSIFKSRDITLPTKVHLVKAMVFPVIMYACESWTVKKAECRRIDAFELWCW
+RRLLRVPWTARRSNQSILKEISPGCSLEGLMLKLKLQSFGHLMGRVDSLEKTVMLGGIEG
+RRRRGRQRMRWLDGIIDSMDVSLNELRELVMDREAWRAAIHGVAKSDATEQLN
+>tr|A0A3Q1N270|A0A3Q1N270_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MLSALCLGLVILLTRRGTSGDSVTQTEGVVTLPEKTALTLKCTYQSSYSGFLFWCVQYQN
+KELELLLKSSLNQKVTSRGFEATHISSDSSFHLQKSSVQTSDSAVYYCALSDTVREAAGG
+AEHKPKGTDGVRLWAALQSSVLEASSKYFQL
+>tr|A0A140T874|A0A140T874_BOVIN 2-aminomuconic semialdehyde dehydrogenase OS=Bos taurus OX=9913 GN=ALDH8A1 PE=3 SV=1
+MAGRGGLLMLENFIGGKFLPCSSYLDSYDPSTGEVYCHVPNSGKEEVEAAVEAARAAFPG
+WSSRSPQERSQVLQRLADLLEQSLEELAQAESKDQGKTITLARTMDIPRAVHNFRFFASS
+ILHHTSECTQMDHLGCLHYTVRAPVGIAALISPWNLPLYLLTWKIAPAIAAGNTVIAKPS
+ELTSVTAWMMCRLLEKAGVPPGVVNIVFGTGPRVGEALVSHPEVPLISFTGSQPTAERIM
+QLSAPHCKKLSLELGGKNPAVIFEDANLAECIPTTVRSSFANQGEICLCTSRIFVQRSIY
+SEFLKRFVEAARMWKVGIPSDPSADMGALISKAHLEKVRSYIKKARMEGAQILCGEGVDK
+LNLPPRNQAGYFMLPTVITDVKDESCCMKEEIFGPVTCVVPFDSEEEVIQRANNVKYGLA
+ATVWSGNVGRVHRVAKKLQSGLVWTNCWLIRELNLPFGGMKSSGVGREGAKDSYEFFTEV
+KTITVKH
+>tr|F1MRY0|F1MRY0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=MIPOL1 PE=4 SV=3
+MHQKSNELVNEATYESTELPEQRSRNFQVVSPHPGDETAHCTAGKSNFLHYSIMDHRSND
+LHCECTNPCQVTSDFSKEETIALLLKELNILKASNKKLQEKLSKEDKEHRKLKLKLELQE
+KATEAQIAEKTAALVEEVYLAQKERDEAIMSRLQLANEERDEAIARAKHMEMSLKLLENI
+NPEENDMTLQELLNRINNADTGVAIQKNGAIIVDRIYKTKECKKRITAEEMNAVIEERDA
+ALSQCKRLEQELHHLKEQKQTSANNMRHLTAENNQERALKAKLLAMQQARETAVQQYKKL
+EEEIQTLRVYYSLHKSLSQEENLKDQFNLTLSTYEEALKNRENIVSITQQQNEELATQLQ
+QALTDRANMELELQHAVEASRTANDKVQKLERLVDVLRKKVGTGTMRTVI
+>tr|A0A3Q1MBD1|A0A3Q1MBD1_BOVIN MAGE domain-containing protein OS=Bos taurus OX=9913 GN=MAGED4B PE=4 SV=1
+MAEGSYRKESEGYNVEDMDEGSDEVGEEDMVEGNDYEEFGAFGGYGALTSFDIRILRAFG
+SLGPGFRILANEPWELENPVLARTLLEAFRMDPETLANETAARAANVARAAASNQAARAA
+ATAARATYNQVVTNHHPVATHQASGGDTQPMTSAAQAPAATPETSVASPHSSRMLVNSEM
+AAPGAPARSPQPQTSSQAQEAAAEGPSTACAFPQASRASEMDATRPKTAFLGQNDAFDFS
+QPAGVSGMAFPRPKRPAPAQEAATEGPSVASRGTQAASAGEGAATRPKTTKSGKALAKTR
+WVEPQNVVAAAAAKAKMATSIPEPESAAATSQQSAEPWARMGGKRTKKSKHLDDEYESGE
+EEREPPAVPPTWRASQPLLTTARPQVAPRPSMALRSQVPSRHVLCLPPRNVTLLQERANK
+LVKYLMIKDYKKIPIKRSGGELNDRLQDTQLGCLISHPEIDKEEHLYILVCTRDSSARLL
+GKTKDTPRLSLLLVILGVIFMNGNRASEAVLWEALRKMGLRPGVRHPFLGDLRKLITEDF
+VKQKYLEYKKVPNSSPPEYEFLWGLRACHETSKMRVLRFIAQYQNRDPREWRAHFLEAVD
+DAFKTMDVDMAEEHARAQMRAQMNIGEEALIGRWSWDDIQVELLTWDEDGDFGDAWSRIP
+FAFWARYHQYILNSNRANRRGTWRAGVSSGTNGAASASMLDGPSTSSTIRTRNAARTSAS
+FFSWIQ
+>tr|F1MWP6|F1MWP6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=PURG PE=4 SV=3
+MERARRRGGGGGGGGRGRGGKNVGGSGLSKSRLYPQAQHSHYPHYAASATPNQAGGAAEI
+QELASKRVDIQKKRFYLDVKQSSRGRFLKIAEVWIGRGRQDNIRKSKLTLSLSVAAELKD
+CLGDFIEHYAHLGLKGHRQEHGHGKEQSSRRRQKHSAPSPPVSVGSEEHPHSVLKTDYIE
+RDNRKYYLDLKENQRGRFLRIRQTMMRGTGMIGYFGHTLGLEQTIVLPAQGMIEFRDALV
+QLIEDYGEGDIEERRGGDDDPVELPEGTSFRVDNKRFYFDVGSNKYGIFLKVSELTNYPK
+SRENINPFHCCQIQPKEQPMTLQKQ
+>tr|A0A3Q1MNW5|A0A3Q1MNW5_BOVIN Spermatogenesis associated 21 OS=Bos taurus OX=9913 GN=SPATA21 PE=4 SV=1
+MDSGNTQMYVEDRIEAPGARPSPGLRTASDRAGDEPTTSEVCQAVFPDAEAMDSGPQPAP
+ALGALETGPGHRDASEEGFPQLSPQEQKPPAGPGTKLSSWVPQEGRRELQAHQDLATPGS
+ELGVPPNGVPVTQKGLQQQGSGKETEDQQGSQQNPEPVEGQGPESRQVMSTESQEALRVF
+LLEKEGKRLSGPVVKTPRS
+>tr|A0A3Q1NKK7|A0A3Q1NKK7_BOVIN Mitochondrial import inner membrane translocase subunit TIM50 OS=Bos taurus OX=9913 GN=TIMM50 PE=3 SV=1
+MAASAAVFLRLRSGLRQGARGLCARLATPPPRAPDQAAEIGSRAGTKAQTQGPQQQRSSE
+GPSYAKKVALWLAGLLGAGGTVSVIYIFGEEHIPIPDEFDNDPILVQQLRRTYKYFKDYR
+QMIIEPTSPCLLPDPLREPYYQPPYTLVLELTGVLLHPEWSLATGWRFKKRPGIETLFQQ
+LAPLYEIVIFTSETGMTAFPLIDSVDPHGFISYRLFRDATRYMDGHHVKDISCLNRDPAR
+VVVVDCKKEAFRLQPYNGVALRPWDGNSDDRVLLDLSAFLKTIALNGVEDVRTVLEHYAL
+EEDPLEAFKQRQSRLEQEEQQRLAELSKSSKQNLFFSSLTSRLWPRSKQP
+>tr|A0A3Q1M7J6|A0A3Q1M7J6_BOVIN RAB2B, member RAS oncogene family OS=Bos taurus OX=9913 GN=RAB2B PE=4 SV=1
+MTYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMVNIDGKQIKLQIW
+DTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLTSWLEDARQHSSSNMVIMLIGNK
+SDLESRRDVKREEGEAFAREHGLIFMETSAKTACNVEEANGIKIGPQQCISTPVGPSASQ
+RGSHDMGADSGCC
+>tr|A0A3Q1M2S4|A0A3Q1M2S4_BOVIN WAP four-disulfide core domain 3 OS=Bos taurus OX=9913 GN=WFDC3 PE=4 SV=1
+MYMSGRVGVFISALSILVMLSCLFLLKTVVALGSVASRLTVGEREFGGECPADPLPCEEL
+CDGDTSCPQGHKCCSTGCGHACFGDIKGEAPVLSSIWCVLSVEGACPGSRERLLSWGLWH
+PWALSLPSSLFLFLLLP
+>tr|A0A3Q1LLS9|A0A3Q1LLS9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MRWPKSMDRAAVARVGAVASASVCALVAGVVLAQYIFTLKRKTGRKTKIIEMVSVGACRD
+GAREGSGWSVRPRLPAPTSAGMLGAHWGPVRPAWDDPAGPMTWSGPLTAALGFPWA
+>tr|A0A3Q1M5R3|A0A3Q1M5R3_BOVIN HEAT repeat containing 5A OS=Bos taurus OX=9913 GN=HEATR5A PE=4 SV=1
+MELAHSLLLNEEAYSQLGEVQKAEFIFDWLRYLEKLLVATSRSDVREKQKTLVEQLLSLL
+NSSPGPPTRKLLAKNLALLYSIGDTFSVYETIDKCNDLIRSKDDSPSYLPTKLAAVVCLG
+SLYKKLGRILGNTFTDTVGNILKAMKSAESQGRYEIMLSLQNILNGLGAAAIPCHRDVYK
+AARSCLTDRSMAVRCAAAKCLLELQNEAVFMWSTDLDSVATLCFKSFEGSNYDVRISVSK
+LLGTVLAKAIISKHPGTASRQSIRRVSLEEVLELLGTGFLRGSSGFLRASGDMLKGTSSV
+SRDVRVGVTQAYVVFISTLGGAWLEKNFAAFLSHILSLVSQSHPKATQTQIDAVCSRRCV
+SFILRATVGGLLGEKAQIAAAKDICQAIWKLKKIMDAVMSDGNLETRLGSADVAASQHML
+VCALQELGNLIHSLGTTAAPLLQDSSAGILDNVISVTLHPSISVRLAAAWCLHCIAVALP
+SYLTPLLDRCLERLTVLKSSPEAVTGFSFAVAALLGAVKHCPLGIPHGKGKIIMALAEDL
+LCSAAQNSRLSAQRTQAGWLLIAALMTLGPAFVSHHLARVLLLWKCVFPVSPKDLETEKS
+RGDSFTWQVTLEGRAGALCAIKSFVAHCGDLLTEEVIQHLLPPLPCTVDLLTQLSSILKT
+YGSPLKTPSVVYRQRLYELLILLSPETYEGNLSVILRELAADLTAPDIQVAASAFLLPPL
+CHQDDLLILSPLLQETDHRFIEEQLLLGSGIACGSLEYDPYSIYEKDVEGDSVPKPLPPM
+LSVTSSAVKLFGVVCAHVGEAQRLLVLEQLLDSVKHTKGARQQVVQLHVVSSVSSFLKYV
+AGSKGHLGPEEVRRPVLALVMGALESPSPLLRCAAAEAWARLAQVVDDGAFTAALAQVSF
+DKLKSARDAVTRTGHSLALGSLHRYLGGISSQHLNSCVGILYTLSQDSTSPDVQTWALHS
+LSLIIDSAGPLYYTHAEPTLSLIMMLLLNVPSTHAEVHQSLGRCLNALITTLGPELQGNS
+TSVSTLRSSCLLGCAVMQDNPDCLVQAQAISCLQQLHMFAPRHVNLSSLVSCLCEILLDN
+SVLVNLCSPYLLLRRAVLACLCQLVQREAAEVSEHAVMLAKNSREELTLDANIREVGLEG
+ALLTLLDKETDQKLCHDIKETLNHMLTSMAVDKLSFWLKLCKDVLAASADFASVTCVDTM
+QEEEGDKGDDASVLTNRNDGRPHPFTNPRWATRVFAAECVCRIINQCENGHSAHFDVALA
+QEMKKKDSRNDFLVLHLADLIRMAFMAATDHSDQLRLSGLETLLVVIRRFAAIPEPEFPG
+HVILEQYQANVCSAWIASGVVSDLNDIRRVHQLLVASLTKIQVGKEALSHLYNESASTME
+ILAVLKAWAEVYIIAVERHKNHRQSLKTPACSEESVGNGSISPDGLLDLVHADLGTLSRL
+WLAALQDFALLTLPSEFASQLPVEGGAFYTAETSENAKLHYYNSWALILHATALWLTSTG
+FVVADPDEGAPNLSRPVTPTSMCQGSSSGPTVKSPEDVYTERFHLILGISVKFLCSLRSD
+ATMESITACLHALQALLDVPWPRSKIGSDQDLGIELLNVLHRVILTRESPSIQLASLEVV
+RQIICAAQEHVKEKRRSAEVDDGAAEKETLPEFGEGKDTGGLVPGKSLVFATLELCVCIL
+VRQLPGLNPKLTGSPGVKAAKPQMLSEDGSRLVSAALVILSELPAVCSPEGSISILPTIL
+YLTIGVLRETAVKLPGGQLSSTVAASLQALKGILSSPMARAEKSHTAWTDLLRSALTTIL
+DCWDPVDGTHQELDEVSLLTAITVFILSTSPEVTTIPCLQKRCIEKFKATLEIKDPMVQI
+KTYQLLLSIFQYPNPAVSYPYIYSLVSSIVEKLQEINRRKPEDTAELQIFQEGIKVLEAL
+VAVAEEQHRSQLVACLLPILISFVLDEHALGSATSIMRNLHDFALQNLMQIGPQYSSVFK
+SVMASSPALKARLEAAIKGNQETVKVKTPTSKHTKNSGKSSSIQLKTNFL
+>tr|A0A3Q1LSI7|A0A3Q1LSI7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MTAGFMGMAVAIILFGWIIGVLGCCWDRGLMQYVAGLLFLMGGTFCIISLCTCVAGINFE
+LSRYPRYLYGLPDDISHGYGWSMFCAWGGLGLTLISGFFCTLAPSVQPVPRTNCPKSRPE
+NGTVC
+>tr|F1MD59|F1MD59_BOVIN Solute carrier family 12 member 3 OS=Bos taurus OX=9913 GN=SLC12A3 PE=4 SV=3
+MAELPVSEAPRDPALCSGRFTISTLLGGEEPPPPAAYDSSHPSHLTHGNTFYNRTFGYNT
+IDVVPAYEHYANSALPGEPRKVRPTLADLHSFLKQEGSHLHALAFDSRPSHEMTDGLVED
+EAGINGEKNPEEPVRFGWVKGVMIRCMLNIWGVILYLRLPWITAQAGIVLTWIIILLSVT
+VTSITGLSISAISTNGKVKSGGTYFLISRSLGPELGGSIGLIFAFANAVGVAMHTVGFAE
+TVRDLLQEYGSPIVDPTNDIRIIGVVTVTVLLAISLAGMEWESKAQVLFFLVIMVSFANY
+LVGTLIPPSEEKASKGFFSYRADIFVQNLVPEWRGMDGSFFGMFSIFFPSATGILAGANI
+SGDLKDPAVAIPKGTLMAIFWTTVSYLAISATIGSCVVRDASGGLNDTVTPGSGACEGLA
+CGYGWNFTECAQQRSCRYGLINYYQTMSMVSGFAPLITAGIFGATLSSALACLVSAAKVF
+QCLCLDQLYPLIGFFGKGYGKNNEPVRGYLLAYAIAVAFIIIAELNTIAPIISNFFLCSY
+ALINFSCFHASITNSPGWRPSFRYYSKWAALFGAIISVVIMFLLTWWAALIAIGVVLFLL
+LYVIYKKPEVNWGSSVQAGSYNLALSYSVGLNEVEDHIKNYRPQCLVLTGPPNFRPALVD
+FVGTFTRNLSLMICGHVLMGPRKQRMPELRLIANGHTKWLNKRKIKAFYSDVLAEDLRSG
+VQVLMQAAGLGRMKPNILVIGFKKNWQSAHPATVEDYIGILHDAFDLNYGVCVMRMREGL
+NISEVMQAHINPMFDPAEDSKEARAGGAHLSVSGTVDPEALVQEEQASTVFQSEQGKKTI
+DIYWLFDDGGLTLLIPYLLGRKKRWSKCRIRVFVGGQINRMDQERKAIISLLSKFRLGFH
+EVHVLPDINQKPRAEHIKRFEDMIAPFRLNDGFKDEATVTEMRRDCPWKISDEEINKNRI
+KSLRQVRLNEILLDYSRDAALVVITLPIGRKGKCPSSLYMAWLETLSQDLRPPVILIRGN
+QENVLTFYCQ
+>tr|A0A3Q1MH61|A0A3Q1MH61_BOVIN DnaJ homolog subfamily C member 24 OS=Bos taurus OX=9913 GN=DNAJC24 PE=4 SV=1
+MGYHSDRVQRAERRFRSVPPPLRLGWKLDRVRSATSGGSRSRFRGLADSKRGVGSSGSTV
+GMMAFEQIPKKDWYSILGADPSASVSDLKQKYQKLILMYHPDKQSADAPAGSVEECIQKF
+IEIDQAWKILGNEETKKEYDLQRHEDDLRNMGPVDARIYLEEMSWNEGWNYFFLLITIRK
+NIRDFEGKKKKHTGCLEILKRNNSLAFYIYVVVFV
+>tr|G3MXH6|G3MXH6_BOVIN G_PROTEIN_RECEP_F1_2 domain-containing protein OS=Bos taurus OX=9913 GN=LOC100295806 PE=3 SV=1
+MEPSNNTQISRFLLLGISKEAEIQSLIFGLFLSMYLITVFGNLLIILAVSLDSHLHTPMY
+FFLSNLSFVDICFTSTTIPKMLWNIRTQSQVITYEGCITQMYFYILFAELDDILLTVMAY
+DRYVAICHPLHYMVIMNLRLCGLLVLISWVLIALYSLLHSLMVLGLSFCPETQISHFFCE
+LSQVVQLASSDNFLNNIVMYFAAVLMGVGPFAGILYSYSKIVSCICKITSAQGKYKAFST
+CASHLFVVSLFYFTALGVYLSSAATHTSHSSTVASVMYTVVTPLLNPFIYSLRNKDIKGV
+LKRFYFMPSLKDQIP
+>tr|A0A3Q1MTX1|A0A3Q1MTX1_BOVIN Rap guanine nucleotide exchange factor 5 OS=Bos taurus OX=9913 GN=RAPGEF5 PE=4 SV=1
+MAIGVWQLLLDMGILSSVDQHLYFQDTYVFYQFSSEECSYLYCEFEREEEWQNGVRLLLQ
+LVPLIPSRAGICELSHQKIEDSEESSDEILARLTSAVQRELAAVIALKARKSALAQDEEN
+SDKHVTVTEAEAAADPQAGMMCKLQERDDIGRIELVQKLARESCQFLQTDKKEQEKSEHQ
+DDEVTTVEVKEQDQNVLVLKKVQSCGPAPQAGSAESDWRYVVVSGTPEKILEHLLNDLHL
+EEVQDKETETLLDDFLLTYTVFMTTDDLCQALLRHYSAKKYQGKEENSDVPCRKRKVLHL
+VSQWIALYKDWLHEDEHSKMFLKTIYRNVLDDVYEYPILEKELKEFQKILGMHRRHTVDE
+YSPQRKNKALFHQFSLKENWLQHRGTVTESEEIFCHVYITEHSYVSVKATVSSTAQEILR
+VVAEKIQHAEDDLALVAVTFSGEKHELQPNNLAISKSLDASGRIYVYRKDLADTLNPFAE
+NEESQQRSIRILGMNTWDLALELMNFDWSLFSSIHEQELIYFTFSRQGSGEHTANLSLLL
+QRCNEVQLWVATEILLCSPLGKRVQLVKKFIKIAAHCKAQRNLNSFFAIVMGLNTASVSR
+LSQTWEKIPGKFKKLFSELESLTDPSLNHKAYRDAFKKMKPPKIPFMPLLLKDVTFIHEG
+NKTFLDNLVNFEKLHMIADTVRTLRHCRTNQFGGDISPKEHPELKSYVHHLYVIDSQQAL
+FELSHRIEPRV
+>tr|A0A3Q1M6B2|A0A3Q1M6B2_BOVIN Protein kinase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MVPDILGWPNNSGFPGCARPAGLVARADRRGPAVQSQEVAVLTAMAHSPVQLGLPGMQDT
+KLWIIMEYLGGGSALDLLEPGPLDETQIATILREILKGLDYLHSEKKIHRDIKAANVLLS
+EHGEVKLADFGVAGQLTDTQIKRNTFVGTPFWMAPEVIKLSAYDSKADIWSLGITAIELA
+KGEPPHSELHPMKVLFLIPKNNPSTLEGNYSKPLKEFVEACLNKEPSFRPTAKELLKHKF
+IIRNAKNTSYLTELIDRYKRWKAEQSHEDSSSEDSDTETDTDGQASGGSNSGDWIFTIRE
+KDPKSLENGALQPSDLERNKMKDIPKRPFSQCLSTIISPLFAELKEKSQACGGNLGSIEE
+LRRAIYLAEEACPGISDTMVAQLVQRLQRYSLSGGGTSSH
+>tr|A0A3Q1MFV5|A0A3Q1MFV5_BOVIN Breast cancer type 1 susceptibility protein homolog OS=Bos taurus OX=9913 GN=BRCA1 PE=4 SV=1
+MDLSADHVEEVQNVLNAMQKILECPICLELIKEPVSTKCDHIFCKFCMLKLLNQKKGPSQ
+CPLCKNDITKRSLQESTRFSQLVEELLKIIHAFELDTGLQFANSYNFSRKEDNSPEHLKE
+EVSIIQSMGYRNRAKRLWQSEPENPTLQETSLTVELSNLGIVRSLRTKQQTQSQNKSVYI
+ELGSDSSEDTVNKASYFSVGDHELLEITPQGAKAKTNLNPAEKAACEFSEKDITNTEHHQ
+LSIKDLITTQKHATETHPEKYQGISVSDFHVEPCGTDTHASSLQHENSSLLLTENRLNVE
+KAEFCNKSKQPVLVKSQQSRWAESKGTCKDRQIPSTEKKIVLNTDPLYRRKELRKQKPAC
+PDSPGDSQDVPWVTLNNSIQKVNDWFSRSDEILTSDDSCDGGSESNNEVAGAVEIPNKVD
+GYSGSSEKINLMASDPHGTLIHERVHSKPVESNIEDKIFGKTYRRKSSLPNFSHIAEDLI
+LGAFTVEPQITQEQPLTNKLKCKRRGTSGLQPEDFIKKVDLTIVPKTPEKMTEGTDQTEQ
+KCHGMNITSDGHENKTKRDYVQKEQNANPAESLEKESVFRTEAEPISISISNMELELNIH
+RSKAPKNRLKRKSSTRKIPELELVVSRNPSLPNHTELPIDSSSSNEEMKKKHSSQMPVRQ
+SQKLQLIGDKELTAGAKNNKTYEQINKRLASDAFPELKLTNTPGYFTNCSSKPEEFVHPS
+LQREENLGTIQVSNSTKDPKDLILREGKALQIERSVESTNISLVPDTDYSTQDSISLLEA
+KTPEKAKTAPNPCVSLCTATKNLKELIHRDFKDTKNNTEGFQDLLGHDINYVIQETSREM
+EDSELDTQYLQNTFKASKRQTFALFSNPGNPQKECATVFAHSGSLRDQSPRDPLKCRQKE
+DSQGKSESKSQHVQAICTTVHFPVADQQDRTPGDDAKCSAKEVTRVCQSSQLRGHKTELV
+FANKQGVSEKPNLIPSLSPIKSSVKTICKKSPSEKFEEPVTSPEKTLGSESIIQSAVSTI
+SQNNIQESTFKEVSSNSVNEVGSSTNEVGSSVNEVGSSGENIQAEPGRNREPKLRALLGL
+GLTQPEVYKQSLPVSNCHHPEIKRQGENEDMPQAVKADFSPCLISDNLEQPTGSRHASQV
+CSETPDNLLNDDEIKENSHFAESDIKERSAVFSESVQKGEFRGSPGPFTHTHLAQGHQRG
+AGKLESEETVSSEDEELPCFQQLLFGKVTSTLSPSTGCNTVATEGLSKETEENLESLKSG
+LNDCSGQVTSAKVSQEHHLNEEARCSGSLFSSQCSAMEDLTTNTNTQDPFLMFERPSKQV
+YQSESEEVLSDKELVSDDEERETGLEEDSCQEEQSVDSDLGEAVSDHVSETSLSEDGVGL
+SSQSDILTTQQRDTMQDNLLKLQQEMAELEAVLERHGSQPSHSSASLTADSRGPEHLLNL
+EQDTSERAILTSEKSRDYSRSQNPESLSADKFPVSLDSSTNKNKEPGMERSSASKFQLSY
+NRWYMHSSRSLQDRNCPSQKEPINVADMEEQQLAKREAQDLMGSFLPRQDQEGTPYLKSG
+ISLFSHEPESDPSEDRAAEPAHVHSMPPSASALKLSQFRVEESTKNPAAAHIANTTRCNL
+REESMSKEKPEVISSTERSKKRLSMVASGLTPKELMLVQKFARKHHVTLTNLITEETTHV
+IMKTDPEFVCERTLKYFLGIAGGKWVVSYFWVTQSIKEGKMLDEHDFEVRGDVVNGRNHQ
+GPKRARESRDKKVKPFAPMLTKNQLEWMVQLCGASVVKEPSSFTPDQGTHPVVVVQPDAW
+TEDAGFHVIGQMCEAPVVTREWVLDSVALYQCQELDTYLVP
+>tr|F6RS05|F6RS05_BOVIN Intraflagellar transport 81 OS=Bos taurus OX=9913 GN=IFT81 PE=4 SV=1
+MSDQIKFIVDNLNKEPFRKNYNLITFDSLEPMQLLQVLNDVLAEIDPKQVVDIREEMPEQ
+TAKRMLSLLGILKYKPPGNAADMSTFRQGLVIGSKPVIYPVLHWLLQRTNELKKRAYLAR
+FLIKLEVPSEFLQDETVADTNKQYEDLMEAFKTLHKECEQLKISGFSTAEIRRDISAMEE
+EKDQLIKRVERLKKRVETVQNHQRMLKIARQLRVEKEREEFLAQQKQEQKNQLFHAVQRL
+QRIQNQLKSMRHAAADATPESLMKRLEEEIKFNSYMVTEKFPKELENKKKELHFLQKVVS
+EPAMGHSDLLELESKINEINTQINQLIEKKMMRNEPIEGKLSLYRQQASIISRKKEAKAE
+ELQEAKEKLANLEREVSVKTSQTREYDGTEVLKGDEFKRYVSKLRSKSTVFKKKHQIIAE
+FKAEFGLLQRTEELLKQRHENIQHQLQTIEEKRGISGYSYTQEELERVSALKSEVDEMKG
+RTLDDMSEMVKKLNSLVSEKKSALAPIIKELRQLRQKCQELTQECDEKKSQYDSCAAGLE
+SNRSKLEQEVRGLREECLQEESRYHYINCMIKNLEVQLRRATDEMKAYVSSDQQEKRKAI
+REQYTKNIAEQENLGKKLREKQKAVRESHGPNMKQAKMWHDFEQLMECKKQCFLKQQTQT
+SIGQANKTWCTYHGYLKFI
+>tr|G5E5S2|G5E5S2_BOVIN Negative elongation factor complex member B OS=Bos taurus OX=9913 GN=NELFB PE=4 SV=2
+LAELEGAGERSAGGPRGPGERTAAGPVASAAAPGERGGDGAPGRVGAGASALFAGLQDLG
+VANGEDLKETLTNCTEPLKAIEQFQTENGVLLPSLQSALPFLDLHGTPRLEFHQSVFDEL
+RDKLLERVSAIASEGKAEERYKKLEDLLEKSFSLVKMPSLQPVVMCVMKHLPKVPEKKLK
+LVMADKELYRACAVEVKRQIWQDNQALFGDEVSPLLKQYIVEKESALFSTELSVLHNFFS
+PSPKTRRQGEVVQRLTRMVGRNVKLYDMVLQFLRTLFLRTRNVHYCTLRAELLMSLHDLD
+VGDICSVDPCHKFTWCLDACIRERFVDSKRARELQGFLDGVKKGQEQVLGDLSMILCDPF
+AINTLSLSTVRHLQELVGQEVLPRDSPDLLLLLRLLALGQGAWDMIDSQVFKEPKMEVEL
+VTRFLPMLMSFVVDDHTFNVDQKLPAEEKAPVTYPNTLPESFTKFLQEQRMACEVGLYYV
+LHITKQRNKNALLRLLPGLVETFGDLAFGDIFLHLLTGSLALLADEFALEDFCSSLFDGF
+LLTASPRKESVQRHVLRLLLHLHHRVAPSKLEALQKALEPTGQSGEAVKELYSQLGEKLE
+QLDHRKPSPAQAPETPALELPLPAVPAPAVL
+>tr|F1MJY6|F1MJY6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=RALGDS PE=4 SV=2
+VVVSCPSSTQEIGEELVNGVIYSISLRKIQVHHGASKGQRWLGYENESALNLYETCKVRT
+VKAGTLEKLVEHLVPAFQGSDLSYVTIFLCTYRAFTTTQQVLDLLFKRYGCILPYSSEDG
+GPQDQLKNAISSILGTWLDQYSEDFCQPPDFPCLRQLVAYVQLNMPGSDLERRAHLLLAQ
+LEHADLSEAEPEAPVPALKPAAEPEPTLGPDLEPAPALAPEPALMPAPTLPSELEPALSQ
+TLELEPPAAPDPPWPLPVATENGLGEEKPHLLAFPPDLVAEQFTLMDAELFKKVVPYHCL
+GSIWSQRDKKGKEHLAPTVRATVAQFNSVANCVITTCLGDRSVSARHRARVVEHWIEVAR
+ECRVLKNFSSLYAILSALQSNSIHRLKKTWEEVSRDSLRVFQKLSEIFSDENNYSLSREL
+LIKEGTSKFATLEMNPKRAQRRPKEAGVIQGTVPYLGTFLTDLVMLDTAMKDYLYGRLIN
+FEKRRKEFEVIAQIKLLQSACNNYSITPEEHFGAWFRAMERLSEAESYTLSCELEPPSES
+ASNTLKVKKNTAIVKRWSDRQAPSTELSTSGSCHSKSCDQLRYGPYLSSGDIADALSVHS
+AGSSSSDVEEINMSFVPESPDGQEKKFWESASQSSPETSGISSASSSTSSSSASTTPVAS
+TRTHKRSVSGVCSYGSSLPLYNQQVGDSCIIRVSLDVDNGNMYKSILVTSQDKAPAVIRK
+AMDKHNLDEDEPEDYELVQVISDDRKLKIPDNANVFYAMNSTANYDFVLKKRTFTKGTKV
+RHGASSTLPRMKQKGLKIAKGIF
+>tr|A0A3Q1M3I9|A0A3Q1M3I9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+SAFEYAIYVGHNFPSKEPQFYRAPATGFSIHNVIIHHEKRRTFPGLQMFS
+>tr|A0A3Q1M7K2|A0A3Q1M7K2_BOVIN Pappalysin 1 OS=Bos taurus OX=9913 GN=PAPPA PE=4 SV=1
+MRLWSWVLRLGLLSAALGCGLAERPRRARRDPRAGRPPRPAAGPATCATRAARGRRASPP
+PPPPPPPGGAWEAVRVPRRRQQREARGAAEEPSPPSRALYFSGRGEQLRLRADLELPRDA
+FTLQVWLRAEGGQSSPAVITGLYDKCSYASRDRGWVVGIHTVSDQGNRDPRYFFSLKTDR
+ARQVTTINAHRSYLPGQWVYLAATYDGRLMKLYMNGAQVATSGEQVGGIFSPLTQKCKVL
+MLGGSTLNHNYRGSVERFSLWKVARTQREILLDMGTRGPQGPLPQLLLQENWDNVKRAWS
+PMKDGSSPRVEVSGAHGFRLDTSLEPPLCGQTLCDSPEVIANYNQLPRLRQPKVVRYRVV
+NLHDDGRENPTVSRQQIDFQHQQLAEAFKHYNISWELEVLEVSNSSLRRRLILANCDISK
+IGDENCDPECNHTLTGHDGGDCRHLRHPAFAKKQQNGVCDMDCNYERFNFDGGECCDPNI
+TDVTKTCFEPDNLHRAYLDVNEMKNILRLDGSTHLNIFFANSSEEELAGVATWPWDKEAL
+MHLGGIVLNPSFYGIPGHTHTMIHEIGHSLGLYHIFRGISEIQSCSDPCMETEPSYETGD
+LCSDTNPAPKHKFCGDPGPGNDTCGFNSFFNTPYNNFMSYADDDCTDSFTPNQVARMHCY
+LDLVYQGWQPSRKPVPIALAPQVVSHTPDSVMLEWFPPIDGHFFERELGSACDLCLEGRF
+LVQYAFNASSPMPCGPSGHWSPREAEGHPDVEQPCKSSVRTWSPNSAVNPHTVPPACPEP
+QGCHLDLRFRYPLVPESLTVWVTFVSTDWDSSGAVNDIKLLGVSGNNISLGPQNVFCDVP
+LTIKLQDVGEEVYGVQIYTLDEHLEIDAAMLTSVADSPLCLECKPLQYKVVRDPPLQVDV
+ASTLHFSRRFTDTDVHLGSRYQYWVIAISGNEESEPSPAATYIHGSGYCGDGIIQRSQGE
+ECDDMNKINGDGCSLFCRQEVSFNCIDEPSRCYFHDGDGVCEEFEQKTSIKDCGVYTPQG
+FLDQWASNATVSHQDQQCPGWVIIGQPAASQVCRTKVIDLSEGISQHAWYPCTISYPYSQ
+LAQTTFWPPTSSAFQAYFSQPMVATAVIVHLVTDGTYYGDQKQETISVQLLDTNEQSHDL
+GFHVLSCRNNPLIIPVVHDLSQPFYHSQAVRVSFSSPLVAISGVALRSFDNFDPVTLSSC
+QRGETYSSAEQSCVHFACEAADCPKLAVENASLNCSSSDGYHGAQCAVRCRTGYVLQIQR
+DDELIKSQTGPSVTVTCKEGKWNKQVACEPVDCGVPDQHHVYAASFSCLEGTTFGSKCSF
+QCRHPAQLKGNNSLLTCMEDGLWSFPEALCELMCLAPPPVPNADLQTARCRENKHKVGSF
+CKYKCRPGYHVPGSSRKSKKRAFKTQCTQDGSWQEGACVPVTCDPPPPKFHGLYQCTNGF
+QFNSECRINCEDSDATQGRGNNVIHCRKDGTWSGSFHICQEMQGECSAPDQLNSNLKLQC
+PEGYAIDSPCKCQGEVKTQKIQEGNMGVECDSGEVMAPSQPVVCTAGLKWYPHPALIYCV
+KGCEPFMGDNYCDAINNRAFCNYDGGDCCASTVKTKKVTPFPMSCDLQGDCACRDPQAQE
+HSRKDLRGYSHG
+>tr|A0A3Q1LWU4|A0A3Q1LWU4_BOVIN Tensin 3 OS=Bos taurus OX=9913 GN=TNS3 PE=4 SV=1
+MSPQGQAGPLAGASAFCTHSSRTTTTTGLRRWLREQKGSIDSAPLVIHHLSQRFPECSPL
+TTGDFGRTSKPEEVTASLHSFKNKAFKKARVCGVCKQIIEGRGISCRACKYSCHKKCEAK
+VVSPHCVQVHLEQAPGASSTPASLCCDKPFRPAGLSPAMEDGRELDLTYITERIIAVSFP
+AGCSEESYLHNLQEVTRMLRSKHGDNYLVLNLSEKRYDLTKLNPKILDVGWPELHAPPLD
+KVCTICKAQEAWLNSDPQHVVVIHCRGGKGRIGVVISSYMHFTNVSASADQALDRFAMKK
+FYDDKLAALMQPSQKRYVQFLSGLLSGTVKMNASPLFLHFVILHGTPNFDSGGVCRPFLK
+LYQAMQPVYTSGIYNVGPENQSRIYIAIEPAQLLKGDIMVKCYHKKYRSATRDVIFRLQF
+HTGAVQGYRLVFAKEDLDNASKDDRFPDNGKIELVFSATPEKIQGCEHLQNDHRVIVDFN
+TADPLIRWDSYENLSADGEVLHTQGPVDGSLYAKVRKKSTSDPSVPGGSPAVPAASSPDH
+SDHTLSVSSDSGHSTASVRTDRTEEHLTPGSKRGLSPQEKAELDQLLSGFGLEDSGSPLK
+DMSDARSKYSGTRHVVPAQVHVNGDTTPKDRETDILDDEMPSHDLHSVDSIGTLSSSEGH
+PSAHLSPFTCHQSSQNSLLSDGFGSTAGEDQHGALAPDLGLAAEPLFERERAFGSCEPKQ
+PQPVLRKPSVPAQTQAYGPSSYSTQTWVRQQQMVAAHQYSFAPDGEARLSGRGAADSSGL
+LQTQPRVPLTPTRGASSRVAVQRGIGPGPHPPDIQRPPPGKAAFKPRIPDVKVVNGAGPE
+LGTDLSPGSPTLDIDQSIEQLNRLILELDPTFEPIPTHMNMSSSQANGPMPPDGMAGGLW
+ASGRLQDTGDGPGRAPVRQGDEPLGGRFQKLSLEQYDNEAGEQPTFSKCSWGKTTSSEQT
+PGLAPFLSPDNTKEAVITTYPPDLDVIDGRIFSPTNSGSESISPTPAFPVSPETPYGTTS
+PRYSPFSPSVPQMGSPSALYKGAHEPRGCPEILSHSVGMSESPVGPKPTMLRADMPTAPS
+FQRAFTSSCTISGNSPSQRRGSPSSAEHQWVETSPKSTLTLLGGSRPGKDSPARPHFPPA
+DLQTSFHGPELSLAEPPEALGPPSNQAFLSFSTAPMAGGGLPAGEDPGALLANSHGAAQA
+PGNPLTAAEAADNSFLSHSFLTVAPGHSGHHSPVLQGPGLALPGQPPLPEKKRTSEGDRS
+FGSVSPSSSGFSSPHSGSTMSIPFPNIIPDFSKAAEGAAPSPDNPGDKHVSVNFVQDTSK
+FWYKADISREQAIAMLKDKEPGSFIVRDSHSFRGAYGLAMKVATPPPSVLQMNKKAGDLA
+NELVRHFLIECTPKGVRLKGCSNEPYFGSLTALVCQHSITPLALPCKLLIPDRDPLEEIA
+ENPQQTAANSAAELLKQGAACNVWYLNSVEMESLTGHQAIQKALSMTLVQEPPPLSTVVH
+FKVSAQGITLTDNQRKLFFRRHYPVSSVIFCALDPQDRKWVTDGPCSRVFGFVARKQGSA
+TDNVCHLFAEHDPEQPASAIVNFVSKVMIGSPKKI
+>tr|A0A3Q1M2E3|A0A3Q1M2E3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=KIAA0556 PE=4 SV=1
+MSDSISFFSSFSRPAWKGNFKQCRGRFRFELPEPPPLEMDGQTLRKAERSRSCSREKKEG
+YTKDMVTDFDEKHDEYLILLQQRNRILKHLKTKDPVQLRLEHLEQGFSVYVNGANSELKT
+SPRKAVHSDFSRSASHTEGTHDCGRRTLFREAEEALRRSARTAPSKVQRRGWHQKSVQIR
+TEAGPRLHIQPPPDYSEDSEPCGGVTVKVKDASGDRPQELRKSLELSVNLQRKEKDGSSD
+EYDSIEEDVLPEPDPEDQVLVGCPRDDSPLSQRDSVQKDAPKDQETEERPPQGPDTLIVL
+EFNPTSKSNKKERNLSAKRKDNAEVFIPSKPEPHPSPQPPTMFPDQDRACSRPGSRRERP
+LSATRKPVREDEDREEDASAVLRAIQVENEALQRAILSRKSEPPTSPPQVRSLQRESMDF
+CILPQLPPITTVTSTQEPARAAGGARAVSEAINRIGLLGSRYLSKRLQNENKQPIDKSGP
+KAEEIKDAIYVTMEILSNWGNTSWVGLTEVEFFDLNNTKLYVSPHDVDIRNTDMPGDLGH
+LVSRNLAGKKDPSLWTCPFHPPLQLFFVIRNTGQLRDFGLTKIKVWNYWTADGDFDIGAK
+NVKLYVNKKLIFDGKLDKGGGEAPQSILVGLQDERMEESDAALLEESRGVRKTPGTEGDE
+ELRASHSQPAGAGEDVKVSSQGNLFVGRMNSPDSVKDSLSQLEEELSNLAAPSSTGGEPR
+GPPHCPSVECPPPPDQELSLLQQLENLTGRKVSEPPGKTPSWLQPSPAGKGRKPGGPKLK
+PLWLSPEKPLDWRDELPSDDVMGEGPGGSKARDKGSRREQGRVNSWNVITAERAQRTTPK
+VHGDDFDIFNQPPHREQPASGRRGLRRDALSLSHGDSQPASREGAWSTRMPPQPQWHSEL
+EHTLHESWDSLTAFDRSHRGRISNMEFQGDILDEFLQQQKSSRQSDQPPPWKEEKPEPVK
+GQDHGSPEADDGGDFKIPVLPYGQHLVIDIKSTWGDRHYVGLNGIEIFSSKGEPVRIENI
+QADPPDINILPAYGRDPRVVSNLIDGVNRTQDDMHVWLAPFTPGKSHSISMDFLQPCQVA
+LIRIWNYNKSRIHSFRGVKDITMLLDAQCIFKGEIAKASGTLTGAPEHFGDTILFTTDDD
+ILEAIFCSDETFDLDVESLCSLQSEEALQRPSTADGQGEERPHTQAGSWADDQVGLPELP
+PSPPVPDVTTPEPGIYHGICLQLNFTASWGDLHYLGLTGLEVVGQDGQALPINPHQISAS
+PRDLNDLPEYTDDSRTLDKLIDGANITMEDEHMWLIPFSPGLDHVVTIRFDRAESIAGLR
+FWNYNKSPEDTYRGAKIVHVSLDGLCVSPPEGFLIRKGPGNCHFDFAQEILFVDYLQARP
+LPPPAQRLDTKRLERASMDYEAPPMPCGFIFQFQLLTSWGDPYYIGLTGLELYDERGEKI
+PLSENNIAAFPDSVNSLEGVCGDVRTPDKLIDQVNNTSDGRHMWLAPILPGLVNRVYVIF
+DLPTTVSMIKLWNYAKTPHRGVKEFGLLVDDLLVYNGILAMVGHLVGGILPTCEPTVPYH
+TILFTEDADICNQEKHTAISNQVEDQDVQMMNENQIITNSKRKQNAVDPGQFPSLLTAPL
+PPRFQGLVGTEKELAASDTVCHPCQPSAPSPLFSTQEPAWGAVKWVMGSEPWE
+>tr|A0A3Q1LX66|A0A3Q1LX66_BOVIN O-GlcNAcase OS=Bos taurus OX=9913 GN=OGA PE=4 SV=1
+MVQKESQAALEERESELNSNPAASAGASLEPQAAPAPGEDNPTGAGGAAVAGAAGGARRF
+LCGVVEGFYGRPWVMEQRKELFRRLQKWELNTYLYAPKDDYKHRMFWREMYSVEEAEQLM
+TLISAAREYEIEFIYAISPGLDITFSNPKEVSTLKRKLDQVSQFGCRSFALLFDDIDHNM
+CAADKEVFSSFAHAQVSITNEIYQYLGEPETFLFCPTEYCGTFCYPNVSQSPYLRTVGEK
+LLPGIEVLWTGPKVVSKEIPVESIEEVSKIIKRAPVIWDNIHANDYDQKRLFLGPYKGRS
+TELIPRLKGVLTNPNCEFEANYVAIHTLATWYKSNMNGVRKDVVMTDSEDSTVSIQIKLE
+NEGSDEDIETDVLYSPQMALKLALTEWLQEFGVPHQYSRCRSHSSAQFLIGDQEPWAFRG
+GLAGEFQRLLPIDGANDLFFQPPPLTPTSKVYTIRPYFPKDEASVYKICREMYDDGVGLP
+FQSQPDLIGDKLVGGLLSLSLDYCFVLEDEDGICGYALGTVDVTPFIKKCKISWIPFMQE
+KYTKPNGDKELSEAEKIMLSFHEEQEVLPETFLANFPSLIKMDIHKKVTDPSVAKSMMAC
+LLSSLKANGSRGAFCEVRPDDKRILEFYSKLGCFEIAKMEGFPKDVVILGRSL
+>tr|A0A3Q1M4I6|A0A3Q1M4I6_BOVIN Serine--pyruvate aminotransferase OS=Bos taurus OX=9913 GN=AGXT PE=1 SV=1
+MLWALTTARAVLGHRTAGWVRTMASHSLLVAPPAALSKPLFIPSRLLLGPGPSNLTPRVM
+AAGGLQVLGHMHQEVYQIMDEIKQGIQYVFQTRNPLSLAISGSGHCALEAALFNLLEPGD
+SFLVGVSGIWGQRAQDIAERIGPCPAGSASGCHLLPQALARHKPALLFLAHGESSTGVLQ
+PLDGYGELCHRHQCLLLVDSVASLGGAPVYMDQQGIDVLYSGSQKVLNAPPGTSLISFSN
+KAKNKIYARKTKPVSFYLDIQWLANFWGCDDKPRTYHHTTPVTSLYSLRESLAHLAEQGL
+ENSWRQHREASEYLHACLQGLGLQLFVKDPALRLPTITSVVVPTGYDWRDIVKYIMDHHD
+IEIAGGLGPSAGKVLRIGLLGGNATRESVDRVTRALREALQRCPRSKL
+>tr|E1BAY6|E1BAY6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=3
+MAGVPGDVRKLFIFATTRNYFGLVSESWDHALLGNGPEINNFLDDGNQMLLRVQRSDSGI
+AFSNVIDFADTKDKVLVFFKLRPEVITDQNLHNNILVSSMLESPINSLYQAVRQVFAPML
+LKDQEWSRNFDPKLQNLLSELEAGLGIVLRRSDTNLSKLKFKEDDTRGILTPSDEFQFWI
+EQAHRGSKQITKERASYFKELFETIAREFYNLDGLSLLEVVDLVETTRDVVDDVWRQTEH
+DHYPESRMLHLLDIIGGSFGRFVQKKLGTLNLWEDPYYLVKENLKAGISVCEQWVTACSH
+LTGQVWQRYVPHPWKNEKYFPETLDKLGKRLEEVLAVRTIHEKLLYFSPASDEKIICLAR
+VFEPFSGLNPVQYNPYTEPLWKAAVSQYEKIIAPAEQKIAGKLKNYISEIQDSPQQLLQA
+FLKYKELVKRPTISKELMLERETLLARLMDSVKDFRLDFENRCRGIPGDASGPLAGKNLS
+EVVNNIVWVRQLELKVDDTIKIAEALLSDLSGFRSFHRSAEDLLDQFKLYEQEQFDDWSR
+DIQSGLSDSKSGLCIEANSRIMELDPNDGSLKVHYSDRLVVLLREVRQLSALGFVIPAKI
+QQVANIAQKFCKQAIILKQVAHFYNSIDQQMIQSQRPMMLQSALAFEQIIKNSKAGSGGK
+SHITWDNPKELEGYIQKLQNAAERLATENRKLRKWHTTFCEKVVVLMNIDLLRQQQRWKD
+GLQELRTGLASVEAQGFQASDMHAWKQHWNHQVYKALEHQYQMGLEALNENLPEINIDLI
+YKQGRLQFKPPFEEIRAKYYREMKRFISIPNQFKGVGEAGDESIFSIMIDRNASGFLTIF
+SKAEDLFRRLSAVLHQHKEWIVIGQVDMEALVEKHLSTVHDWEKNFKALKIKGKEVERLP
+SAVKVDCLNINCNPVKTVIDDFIQKLFDVLVLSLKKSIQAHLHEIDTFVTEAMEVLTIMP
+QSVEEIGNANLQYSKLQERKPEILPLFQEAEDKNRLLRTVAGGGLETISNLKAKWDKFEL
+MMESHQLMIKDQIEVMKGNVKSRLQIYYQELEKFKARWDQLKPGDDVIETGQLSTLDQSA
+KSIKEKKVEFDDLEVIRKKLLDDCHHFGLEEPNFSLAYSISKDIESCAQIWALYEEFQQG
+FQEMANEDWITFRTKTYLFEEFLMNWHDRLRKIEEHSAMTVKLQSEVDKYKIAIPVLKYV
+RGEHLSPDHWLDLFRLLGLPRGTSLEKLLFGDLLRVADTVVAKASDLKVRIIFISIP
+>tr|A0A3Q1LHE9|A0A3Q1LHE9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MSLPGPSSMVTSGVVSTTTSVTGPSSKATTKRVSTRTSVTGPSSMVTTKRTSTSTSVTGP
+SSTATTERVSTPTSVPGPTSTVTTERVSTPTSVPGPSSTVTTERVSTPTSVPGPSSTVIT
+ERVSTATSVTGPSSIATTERVYTPTSVPGSSSRVTSAAVSTSTSVTGPSSMVTTERTSTP
+TSVTGPSSTATTEKVSSPTSVTGPSSTATTERTSTPTSVTGPSSTATTEKVSTPTSVTGP
+SSTATTERVSTPTSVPGSSSTVTTERTSTHTSVTGPSSTVTMERTSTPTSVTGPSSTATT
+EKVSTPTSVTGLSSTVTTEGVSTPTSVTRPSSTATTERTSTPTSVTGPSSTVTTEGVSTP
+TSVTGPSSTATTERTSTPTSVTGPSSTATTERVSTPTSVTGPSSTATTERTSTRTSVTGP
+SSTVTTEGVSTPTSVTGPSSTATTERTSTPTSVTGPSSMVTTKRTSTSTSVTGPSSTATT
+ERVSTPTSVPGPTSTVTTERVSTPTSVPGPSSTVTTERVSTPTSVPGPSSTVITERVSTA
+TSVTGPSSIATTERVYTPTSVPGSSSRVTSAAVSTSTSVTGPSSMVTTERTSTPTSVTGP
+SSTVTIEGVSTPTSVTGPSSTVTTERTSTPTSVTGPSSTATTEKVSTPTSVTGPSSTATT
+ERTSTPTSVTGPSSTVTTEGVSTPTSVTGPSSTATTERTSTPTSVTGPSSTATTERVSTP
+TSVTGPSSTATTERTSTPTSVMGPSSMVTTERVSTPMSVPGPSSTVTTERVSTPTSVPEP
+SSTVTTERFSTPTSVTGPSSTETTERTSTPTSVTGPSSTVTTEAVSTPTSVTGPSSTATT
+ERTSTPTSVTGPSSIATTERVYTPTSMPGPSSTVTSAAVSTSTSVTGPSSMVTTERTSTR
+TSVTGPSSTATTERVSTPTSVTGLSSMVTTERTSTPTSVPGPSSTATTERTSTPTSVTGP
+SSTATTERVSTPTSVPGSSSTATTERTSTHTSVTVPSSTVTMERTSTSTSVTGPSSTVTT
+EKVSTPTSVTGPSSTVTTEGVSTPTSVTGPSSTATTERTSTPTSVTGPSSTVTTEGVSTP
+TSVTGPSSTATTERTSTPTSVTGSSSTATTERVSTPTSVMGPSSTVTTERVSTPTSVPGP
+SSTVTTERVSTPTSVPGPSSPVITERVSTPTSVTGPSSIATTERVYTPTSVPGSSSRVTS
+AAVSTSTSVTGPSSMVTTERTSTPTSVTGPSSTVTTEGVSTPTSVTGPSSTATTERTSTP
+TSVTGPSSTATTERVSTPTSVPGPTSTVTTERVSTPTSVPGPSSTVTTERVSTP
+>tr|E1BC42|E1BC42_BOVIN DNA replication complex GINS protein PSF2 OS=Bos taurus OX=9913 GN=GINS2 PE=3 SV=1
+MDAAEVEFLAEKELVTIIPNFSLDKIYLIGGDLGPFNPGLPVQVPLWLAVNLKQRQKCRL
+LPPEWMDVEKLEKIRDHERKEETFTPMPSPYYMELTKLLLNHASDNIPKADEIRTLIKDV
+WDTRIAKFRVSADSFVRQQEAHAKLDNLTLMEINTSGAFLTQALNHMYKLRTNLQPSDSA
+QSQDF
+>tr|E1BKB2|E1BKB2_BOVIN N-glycanase 1 OS=Bos taurus OX=9913 GN=NGLY1 PE=4 SV=1
+MAAAAAAALGGSAGSASPAVAELCQNTPETFLEASRLLLTYADNILRNPNDEKYRSIRIG
+NTTFSTRLLPVRGAVECLFEMGFEEGETHLIFPKKASVEQLQKIRDLIAIERSSRLDGSE
+KSHKTELSQHLAASSQLPTAPSSHPDGVTQPPGNSEGQASRPPVAPMVADNSVILKVLRS
+NIQHVLVYENLALQEKALACIPVQELKRRSQEKLSRARKLDKGTNVSEEDFLLLELLHWF
+KEEFFHWVNDIVCSKCGGQTKSRGESLLPSEEELKWGANRVEDHYCDTCQLSNRFPRYNN
+PEKLLETRRGRCGEWANCFTLCCRALGFEARYVWDYTDHVWTEVYSPSQQRWLHCDSCED
+VCDKPLLYEVGWGKKLSYIIAFSKDEVVDVTWRYSCKHEEVISRRTEIKEEVLRETINGL
+NKQRQVSLSENRKKELLQRIVVELVEFISPRSPQPGELGGRTSGSLAWRLARGEAGPESK
+ETLFIPSENEKISKQLHLCYNIVKNYYVRISSNNQTISGWENGVWRMESIFRKVETDWNM
+VYLARKEGSSYAYISWKFECGSVGLKVDSISIRTSSQTFETGTVQWKLRSDTAQAELTGD
+KTLRSYHDFSGATEVTLEAKLSRGDGVVAWQHTQLFRQSLNDHEENCLEIIIKFSDL
+>tr|F1N3Y2|F1N3Y2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC618542 PE=4 SV=3
+MASCCLNSQFEDLSPESSFLGLPMEMIVSEESPGPSALWASSSSLPWRPGYKRKWSVAFG
+AEADDEAQDSWIVKSLSGLRTKLKKQQVFLVLPEHHVIFNKVLEDHVVKRFLAWDRNLKV
+SDKYLLVMVIAYFSRASLLFWQYRRIHFFIALYLASKMEEDNQAHKQIIFSFLYGRNHSQ
+RPLFHKLVFQFICSMNWKMRVTQEECEKAWVWLQSFHPKQWGPNNSFDGMLVFVKSEYF
+>tr|E1BE29|E1BE29_BOVIN Ryanodine receptor 2 OS=Bos taurus OX=9913 GN=RYR2 PE=4 SV=3
+SNLSGSGEKTDDEVVLQCTATIHKEQQKLCLAAEGFGNRLCFLESTSNSKNVPPDLSICT
+FVLEQSLSVRALQEMLANTVEKSEGAKENPCTAQGGGHRTLLYGHAILLRHSYSGMYLCC
+LSTSRSSTDKLAFDVGLQEDTTGEACWWIIHPASKQRSEGEKVRVGDDLILVSVSSERYL
+HLSYGNGSLHVDAAFQQTLWSVAPISSGSEAAQGYLIGGDVLRLLHGHMDECLTVPSGEH
+GEEQRRTVHYEGGAVSVHARSLWRLETLRVAWSGSHIRWGQPFRLRHVTTGKYLSLMEDK
+SLLLMDKEKADVKSTAFTFRSSKEKLDVGVRKEVDGMGTSEIKYGDSVCYIQHINTGLWL
+TYQSVDVKSVRMGSIQRKAIMHHEGHMDDGLNLSRSQHEESRTARVIRSTVFLFNRFIRG
+LDALSKKVKASTVDLPIESVSLSLQDLIGYFHPPDEHLEHEDKQNRLRALKNRQNLFQEE
+GMINLVLECIDRLHVYSSAAHFADVAGREAGESWKSILNSLYELLAALIRGNRKNCAQFS
+GSLDWLISRLERLEASSGILEVLHCVLVESPEALNIIKEGHIKSIISLLDKHGRNHKVLD
+VLCSLCVCHGVAVRSNQHLICDNLLPGRDLLLQTRLVNHVSSMRPNIFLGVSEGSAQYKK
+WYYELMVDHTEPFVTAEATHLRVGWASTEGYSPYPGGGEEWGGNGVGDDLFSYGFDGLHL
+WSGCIARTVSSPNQHLLRTDDVISCCLDLSAPSISFRINGQPVQGMFENFNIDGLFFPVV
+SFSAGIKVRFLLGGRHGEFKFLPPPGYAPCYEAVLPKEKLKVEHSREYKQERTYTRDLLG
+PTVSLTQAAFTPIPVDTSQIVLPPHLERIREKLAENIHELWVMNKIELGWQYGPVRDDNK
+RQHPCLVEFSKLPEQERNYNLQMSLETLKTLLALGCHVGISDEHAEEKVKKMKLPKNYQL
+TSGYKPAPMDLSFIKLTPSQEAMVDKLAENAHNVWARDRIRQGWTYGIQQDVKNRRNPRL
+VPYALLDDRTKKSNKDSLREAVRTLLGYGYNLEAPDQDHAARAEVCSGTGERFRIFRAEK
+TYAVKAGRWYFEFEAVTAGDMRVGWCRPGCQPDQELGSDERAFAFDGFKAQRWHQGNEHY
+GRSWQAGDVVGCMVDMTEHTMMFTLNGEILLDDSGSELAFKDFDVGDGFIPVCSLGVAQV
+GRLNFGKDVSTLKYFTICGLQEGYEPFAVNTNRDITMWLSKRLPQFLQVPSNHEHIEVTR
+IDGTIDSSPCLKVTQKSFGSQNSSTDIMFYRLSMPIECAEVFSKTAAGGIPGTGLFGPKN
+DLEDYDVDSDFEVLMKTAHGHLVPDRGDRDKEATKPEFNNHKDYAQEKPSRLKQRFLLRR
+TKPDYSTSHSARLTEDVLADERDDYDYLMQTSTYYYSVRIFPGQEPANVWVGWITSDFHQ
+YDTGFDLDRVRTVTVTLGDEKGKVHESIKRSNCYMVCAGESLSPGQGRNNNGLEIGCVVD
+AASGLLTFTANGKELSTYYQVEPSTKLFPAVFAQATSPNVFQFELGRIKNVMPLSAGLFK
+SEHKNPVPQCPPRLHVQFLSHVLWSRMPNQFLKVDVSRISERQGWLVQCMEPLQFMSLHI
+PEENRSVDILELTEQEELLKFHYHTLRLYSAVCALGNHRVAHALCSHVDEPQLLYAIENK
+YMPGLLRTGYYDLLIDIHLSSYATARLMMNNEFIVPMTEETKSITLFPDEKKKHGLPGIG
+LSTSLRPRMQFSSPSFVSINTEGYQYSPEFPLDILKAKTIQMLTEAVKEGSLHARDPVGG
+TTEFLFVPLIKLFYTLLIMGVFHNEDLKHVLQLIEPSVFKEAASPEEESEVAEKEPFVED
+SKLEGAAEEENKGAKRPKEGLLQMKLPEPVKLQMCLLLQYLCDCQVRHRIEAIVAFSDDF
+VAKLQDNQRFRYNEVMQALNMSAALTARKTKEFRSPPQEQINMLLNFKDDKSECPCPEEI
+RDQLLDFHEDLMTHCGIELDEDRSLDGNNDLTIRGRLLSLVEKVTYLKKKQAEKPVESDS
+KKSSTLQQLISETMVRWAQESVIEDPELVRAMFVLLHRQYDGIGGLVRALPKTYTINGVS
+VEDTINLLASLGQIRSLLSVRMGKEEEKLMIRGLGDIMNNKVFYQHPNLMRALGMHETVM
+EVMVNVLGGGESKEITFPKMVANCCRFLCYFCRISRQNQKAMFDHLSYLLENSSVGLASP
+AMRGSTPLDVAAASVMDNNELALALREPDLEKVVRYLAGCGLQSCQMLVSKGYPDIGWNP
+VEGERYLDFLRFAVFCNGESVEENANVVVRLLIRRPECFGPALRGEGGNGLLAAMEEAIK
+IAEDPSRDGPSPTTGSSKTPDTEEEEDDTIHMGNAIMTFYSALIDLLGRCAPEMHLIHAA
+KGEAIRIRSILRSLIPLGDLVGVISIAFQMPTIAKDGNVVEPDMSAGFCPDHKAAMVLFL
+DRVYGIEVQDFLLHLLEVGFLPDLRAAASLDTAALSATDMALALNRYLCTAVLPLLTRCA
+PLFAGTEHHASLIDSLLHTVYRLSKGCSLTKAQRDSIEVCLLSICGQLRPSMMQHLLRRL
+VFDVPLLNEHAKMPLKLLTNHYERCWKYYCLPGGWGNFGAASEEELHLSRKLFWGIFDAL
+SQKKYEQELFKLALPCLSAVAGALPPDYMESNYVSMMEKQSSMDSEGNFNPQPVDTSNIT
+IPEKLEYFINKYAEHAHDKWSMDKLANGWIYGEIYSDSSKVQPLMKPYKLLSEKEKEIYR
+WPIKESLKTMLAWGWRIERTREGDSMALYNRTRRISQTSQVSVDAAHGYSPRAIDMSNVT
+LSRDLHAMAEMMAENYHNIWAKKKKLELEAKGGGNHPLLVPYDTLTAKEKAKDREKAQDI
+LKFLQINGYAVSRGFKDLELDTPSIEKRFAYSFLQQLIRYVDEAHQYILEFDGGSRSKGE
+HFPYEQEIKFFAKVVLPLIDQYFKNHRLYFLSAASRPLCSGGHASNKEKEMNFSEQFIQL
+FVSSLGNDATSIVNCLHILGQTLDARTVMKTGLESVKSALRAFLDNAAEDLEKTMENLKQ
+GQFTHTRNQPKGVTQIINYTTVALLPMLSSLFEHIGQHQFGEDLILEDVQVSCYRILTSL
+YALGTSKSIYVERQRSALGECLAAFAGAFPVAFLETHLDKHNIYSIYNTKSSRERAALNL
+PANVEDVCPNIPSLEKLMEEIVDLAESGIRYTQMPHVMEVVLPMLCSYMSRWWEHGPENN
+PGRAEMCCTALNSEHMNTLLGNILKIIYNNLGIDEGAWMKRLAVFSQPIINKVKPQLLKT
+HFLPLMEKLKKKAAMVVSEEDHLKSEARGDMSEAELLILDEFTTLARDLYAFYPLLIRFV
+DYNRAKWLKEPNQEAEDLFRMVAEVFIYWSKSHNFKREEQNFVVQNEINNMSFLIMDTKS
+KMSKAAVSDQERKKMKRKGDRYSMQTSLIVAALKRLLPIGLNICAPGDQELIALAKNRFS
+LKDTEDEVRDIIRSNIHLQGKLEDPAIRWQMALYKDLPNRTEDTSDPEKTVERVLDIANV
+LFHLEQVSFCVEHPQRSKKAVWHKLLSKQRKRAVVACFRMAPLYNLPRHRAVNLFLQGYE
+KSWIETEEHYFEDKLIEDLAKPGAEPPEEDEGTKRVDPLHQLILLFSRTALTEKWYGWGS
+CHDEEDDDGEEEVKSFEEKEMEKQKLLYQQARLHDRGAAEMVLQTISASKGETGPMVAAT
+LKLGIAILNGGNSTVQQKMLDYLKEKKDVGFFQSLAGLMQSCSVLDLNAFERQNKAEGLG
+MVTEEGSGEKVLQDDEFTCDLFRFLQLLCEGHNSVQNWTDVIDEQGQRNFSKAIQVAKQV
+FNTLTEYIQGPCTGNQQSLAHSRLWDAVVGFLHVFAHMQMKLSQDSSQIELLKELMDLQK
+DMVVMLLSMLEGNVVNGTIGKQMVDMLVESSNNVEMILKFFDMFLKLKDLTSSDTFKEYD
+PDGKGVISKRDFHKAMESHKHYTQSETEFLLSCAETDENETLDYEEFVKRFHEPAKDIGF
+NVAVLLTNLSEHMPNDTRLQTFLELAESVLNYFQPFLGRIEIMGSAKRIERVYFEISESS
+RTQWEKPQVKESKRQFIFDVVNEGGEKEKMELFVNFCEDTIFEMQLAAQISESDLNERSA
+NKEESEKEKPEEQGPRMGFFSIMTVKSALFALRYNILTLMRMLSLKSLKKQMKKVKKMTV
+KDMITAFFTSYWSILMSLLHFVASVFRGFSRIIGSLLLGGSLVEGAKKIKVAELLANMPD
+PTQDEVRGDGEEGERKTLEGALPSEDLTDLKELSEESDLLSDIFGLDLKREGGQYKLIPH
+NPNAGLSDLMSNPVPIPEVQEKFQEQKAKEEEKEEKEESKSEPEKAEGEDGEKEEKAKED
+KGKQKLRQLHTHRYGEPEVPESAFWKKIIAYQQKLLNYFARNFYNMRMLALFVAFAINFI
+LLFYKVSTSSVVEGKELPTRSSSENARVSSLDSSSPRIIAVHYVLEESSGYMEPTLRILA
+ILHTVISFFCIIGYYCLKVPLVIFKREKEVARKLEFDGLYITEQPSEDDIKGQWDRLVIN
+TQSFPNNYWDKFVKRKVMDKYGEFYGRDRISELLGMDKAALDFSDAREKKKPKKDSSLSA
+VLNSIDVKYQMWKLGVVFTDNSFLYLAWYMTMSILGHYNNFFFAAHLLDIAMGFKTLRTI
+LSSVTHNGKQLVLTVGLLAVVVYLYTVVAFNFFRKFYNKSEDGDTPDMKCDDMLTCYMFH
+MYVGVRAGGGIGDEIEDPAGDEYEIYRIIFDITFFFFVIVILLAIIQGLIIDAFGELRDQ
+QEQVKEDMETKCFICGIGNDYFDTVPHGFETHTLQEHNLANYLFFLMYLINKDETEHTGQ
+ESYVWKMYQERCWEFFPAGDCFRKQYEDQLN
+>tr|A0A3Q1M2C3|A0A3Q1M2C3_BOVIN Phosphatidylinositol 4-kinase alpha OS=Bos taurus OX=9913 GN=PI4KA PE=3 SV=1
+MMAAAAARGGGGGGGGGSFGSGAGGGASRGFYFNTVLSLARSLAVQRPASLEKVQKLLCM
+CPVDFHGIFQLDERRRDAVIALGIFLIESDLQHKDSVVPYLLRLLKGLPKVYWVEESTAR
+KGRGTLPVAESFSFCLVTLLSDVAYRDPSLRGEILEALLQVLHVLLGMCQALEIQEKEYL
+CKHAVPCLLGIVRAFGRHSSSEDSILSRLFPRAPAHSPRVPEELEGVRRRSFNDFRSILP
+SSLLTVCQEGSLKRKASSASSVAQVSPERGAPPPSPPGGSAFHCLEASYSPNGSTSESDY
+YFSAVSSSFSVSPLFNGVTYKEFSIPLEMLRELLNLVKKIVEEPVLKSLDAVVTGVIEAS
+PSADLCYGAFSDPLYVAVLRMLRDTLYYMRDLPTSFVKEVHDFVLEQFNSSQGELQKILH
+DADRAHSELSPLKLRCQASAACVDLMVWAVKDEQGAENLCVKLSEKLQSKTSSKVIIAHL
+PLLICCLQGLGRLCERFPVVVHSVTPSLRDFLVVPSPVLVKLYKCHSQYHTVAGNDIKIS
+VTNEHAESTLNVASGKKSQPSMYEQLRDIAIDNVCRCLKAGLTVDPVIVEAFLASLSNRL
+YISQESDKDAHLIPDHTIRALGHIAVALRDTPKVMEPILQILQQKFCQPPSPLDVLIIDQ
+LGCLVITGNQYIYQEVWNLFQQISVKASSVVYSATKDYKDHGYRHCSLAVINALANIAAN
+IQDEHLVDELLMNLLELFVQLGLEGKRASERASEKGPALKASSSAGNLGVLIPVIAVLTR
+RLPPIKEPKPRLQKLFRDFWLYSVLMGFAVEGSGLWPEEWYEGVCEIATKSPLLTFPSKE
+PLRSVLQYNSAMKNDTVTPAELSELRSTIVNLLDPAPEVSALIGKLDFAMSTYLLSVYRL
+EYMRVLRSSDPARFQVMFCYFEDKAIQKDKSGMMQCVIAVADKVFDAFLNMMAEKAKTKE
+NEEELERHAQFLLVSFNHVHKRIRRVADKYLSGLVDKFPHLLWSGTVLKTMLDILQTLSL
+SLSADIHKDQPYYDIPDVPYRITVPDTYEARESIVKDFAARCGMILQEAMKWAPTVTKSH
+LQEYLSKHQNWVSGLSQHTGLAMATESVLHYAGYNKQSTSLGATQLTERPACVKKDYSNF
+MASLNLRNRYAGEVYGMIRFSDATGHTSDLNKMMVQELKAALGAGDAQQYTQAMFKLTAM
+LISSRDCDPQLLHHLCWGPLQMFNEHGMETALACWEWLLAGKNGVEVPFMREMAGAWQMT
+VEQKFGLFSAEMKEADPLAASEASQPKPCAPEVTPHYIWIDFLVQRFEIAKYCSSDQVEI
+FCSLLQRSLSLSIGGTAGSMNRHVAAIGPRFKLLTLGLSLLHADVLPNATIRNVLREKIY
+STAFDYFSCPPRFPTQGEKRLREDISVMIKFWTAMFSDKKYLTASQLVPPDNQDTRSNLD
+IAVGSRQQATQGWINTYPLSSGMSTISKKSGMSKKTNRGSQLHKYYMKRRTLLLSLLATE
+IERLITWYNPLSAPELELDQAGESSVANWRSKYISLSEKQWKDNVNLAWSISPHLAVQLP
+ARFKNTEAIGNEVTRLVRLDPGAVSDVPEAIKFLVTWHTIDADAPELSHVLCWAPADPPT
+GLSYFSSMYPPHPLTAQYGVKVLRSFPPDAILFYIPQIVQALRYDKMGYVREYILWAASQ
+SQLLAHQFIWNMKTNIYVDEEGHQKDPDIGDLLEQLVEEITGSLSGPAKDFYQREFDFFN
+KITNVSAIIKPYPKGDERKKACLSALSEVKVQPGCYLPSNPEAIVLDIDYKSGTPMQSAA
+KAPYLAKFKVKRCGVSELEKEGLRCRSDPEEEGSMQEADGQKISWQAAIFKVGDDCRQDM
+LALQIIDLFKNIFQLVGLDLFVFPYRVVATAPGCGVIECIPDCTSRDQLGRQTDFGMYDY
+FTRQYGDESTLAFQQARYNFIRSMAAYSLLLFLLQIKDRHNGNIMLDKKGHLIHIDFGFM
+FESSPGGNLGWEPDIKLTDEMVMIMGGKMEATPFKWFMEMCVRGYLAVRHRFSPNMTERE
+AANFILKVIQNCFLSNRSRTYDMIQYYQNDIPY
+>tr|A0A3Q1MCT2|A0A3Q1MCT2_BOVIN Dynein axonemal heavy chain 5 OS=Bos taurus OX=9913 GN=DNAH5 PE=4 SV=1
+QLFNSPLSFSSRGFLVPLHFLCYITLAQALGMSMGGAPAGPAGTGKTETTKDMGRCLGKY
+VVVFNCSDQMDFRGLGRIFKGLAQSGSWGCFDEFNRIDLPVLSVAAQQISIILTCKKERK
+KSFIFTDGDNVTMNPEFGLFLTMNPGYAGRQELPENLKINFRSVAMMVPDRQIIIRVKLA
+SCGFIDNIVLARKFFTLYKLCEEQLSKQVHYDFGLRNILSVLRTLGAAKRANPTDTESTI
+VMRVLRDMNLSKLIDEDEPLFLSLIEDLFPNILLDKAGYPELEMAISRQVEEAGLINHPP
+WKLKVIQLFETQRVRHGMMTLGPSGAGKTTCIHTLMRALTDCGKPHREMRMNPKAITAPQ
+MFGRLDVATNDWTDGIFSTLWRKTLRAKKGEHIWIVLDGPVDAIWIENLNSVLDDNKTLT
+LANGDRIPMAPNCKIVFEPHNIDNASPATVSRNGMVFMSSSILDWSPILEESFLRAQEQG
+GEVTAEHLGRLYVFSLMWSVGAVLEPDGRRRVEQWLRSREMLALDLPPLEGPDDSMFDYY
+VGSDGESWASIRPSHEYVYPSNTTPEYGSILVPNVDNVRTDFLIKTIAKQGKAVLLIGEQ
+GTAKTVIIKGFMSKYDPESHVIKSLNFSSATTPLMFQRTIESYVDKRMGTTYGPPAGKKM
+TIFIDDVNMPVINEWGDQVTNEIVRQLLEQNGFYNLEKPGEFTSIVDIQFLAAMIHPGGG
+RNDIPQRLKRQFSIFNCTLPSDASVDKIFGVIGVGYYCTQRGFSEEVRDWVTKLVPLTRR
+LWQMTKIKMLPTPAKFHYVFNLRDLSRIWQGMLNTTPEVIKEPDELLRLWKHECKRVIAD
+RFTASDDVTWFDKTLVSLVDEEFGEEKKLLVDCGTDTYFVDFLRDAPEATGETSEEADAE
+MPKIYEPVESFDHLKERLNMFLQLYNESIRGAGTDLVFFADAMVHLVKISRVIRTPRGNA
+LLVGVGGSGKQSLTRLASFIAGYTSFQITLTRSYNTSNLMEDLKTLYRTAGRQGKGITFI
+FTDNEIKDESFLEYMNNVLSSGEVSNLFARDEIDEINSDLITVMKKEYPRRPPTNENLYE
+YFMSRVRQNLHIVLCFSPVGEKFRNRALKFPALISGCTIDWFSRWPKDALVAVSEHFLSA
+YDIDCSLETKKEVVQCMGSFQDGVAEKCVDYFQRFRRSTHVTPKSYLSFIQGYKFIYGEK
+HVEVQTLANRMNTGLEKLKEASESVAALSRELEVKEKELQVANDKADMVLKEVTMKAQAA
+EKVKAEVQKVKDKAQAIVDSISKDKAIAEEKLEAAKPALEEAEAALQTIKPSDIATVRTL
+GRPPHLIMRIMDCVLLLFQRKVNAVKIDLEKSCTVPSWQESLKLMTAGNFLQNLQQFPKD
+TINEEVIEFLNPYFEMADYNIETAKRVCGNVAGLCSWTKAMASFFSINREVLPLKANLVV
+QENRYMLAMQDLQKAQAELDNKQAELDVVQAEYEQAMTEKQTLLEDAERCRHKMQAASAL
+IGGLAGEKERWTEQSKEFAAQTKRLVGDVLLATAFLSYSGPFNQEFRNLLLNDWQKEMKA
+REIPFGDNLNLNEMLIDAPTISEWNLQGLPNDDLSVQNGIIVTKASRYPLLIDPQTQGKI
+WIKNKENQNELQITSLNHKYFRNHLEDSLSLGRPLLIEDVGEELDPALDNVLERNFIKTG
+STFKVKVGDKEIDVMDGFRLYITTKLPNPAYTPEISARTSIIDFTVTMKGLEDQLLGRVI
+LTEKQELEKERTHLMEDVTANKRKMKELEDNLLYRLTSTQGSLVEDESLILVLSKTKKTA
+EEVTQKLEISAETEIQINSAREEYRPVATRGSILYFLITEMRLVNEMYQTSLRQFLGLFD
+LSLARSVKSPITSKRIANIIEHMTYEVFKYTARGLYEEHKFLFTLLLTLKIDIQRNRVKH
+EEFLTLIKGGASLDLKACPPKPSKWILDMTWLNLVELSKLRQFSDVLDQISRNEKMWKIW
+FDKENPEEEPLPNAYDKSLDCFRRLLLIRSWCPDRTIAQARKYIMDSMGQKYAEAVILDL
+ERTWEESDPRTPLICLLSMGSDPTDSIIALGKRLKIETRYVSMGQGQEIHARKLLQQTMA
+NGGWALLQNCHLGLDFMDELMDIIIETEFVHDSFRLWMTTEVHKQFPITLLQMSIKFANE
+PPQGLRAGLKRTYGGVSQDLLDVSAVAQWKPMLYAVAFLHSVVQERRKFGPLGWNIPYEF
+NQADFNATVQFIQNHLDDMDLKKGVSWTTVRYMIGEIQYGGRVTDDYDKRLLNTLAKVWF
+SENMFGPDFSFYQGYNIPKCSTVDNYLQYIQGLPTYDSPEVFGLHPNADITYQSKLAKDV
+LDTILGIQPKDSSGGGDETREAMVARLADDMLEKLPPDYGPFEVKERLQMMGPFQPMNIF
+LRQEIDRMQRVLTLVRSTLTELKLAIDGTIIMSENLRDALNCMFDARIPARWKKASWVSS
+TLGFWFTELIERNCQFTSWVFNDRPHCFWMTGFFNPQGFLTAMRQEITRANKGWALDNMV
+LCNEVTKWMKDDISAPPTEGVYVYGLYLEGAGWDRRNMKLIESKPKVLFELMPVVRIYAE
+NNGELSVDPRLYSCPIYKKPVRTDLNYIAAVDLRTAQAPEHWVLRGVALLCDVK
+>tr|A0A3Q1LMC3|A0A3Q1LMC3_BOVIN Dopey_N domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MDPEEQELLNDYRYRNYSSVIEKALRNFESSSEWADLISSLGKLNKALQSNLRYSLLPRR
+LVISKRLAQCLHPALPSGVHLKALETYEIIFKIVGTKWLAKDLFLYSCGLFPLLAHAAMS
+VRPVLLGLYEKYFLPLQKLLLPSLQAFVVGLLPGLEEGSEIYDRFRY
+>tr|A0A3Q1M1F3|A0A3Q1M1F3_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 GN=LOC788175 PE=4 SV=1
+MSGTGLRAERGSRAGKGDDARGGRAETDAPRKAGSLIHQPENLLLPAFSCLAPGDRARFR
+ASRHHPFFPNLTVCFFLFSFLLPSVLSLPCPRLLRSVFSPAPHMSFPFQFLQISSFSNRS
+WTRTDGLAWLGELQPYTWRNESNTIGFLKPWSRGTFSDQQWEQLQHTLLVYRSSFTRDIW
+EFVEKLHVEYPLEIQIATGCELLPRNISESFFRAAFQGKDVLSFQGMSWVSAPDAPPFIQ
+EVCKRLNQDQGTKETVHWLLHDIWPELVRGLLQTGKSELEKQVKPEAWLSSGPSPGPGRL
+LLVCHVSGFYPKPVRVMWMKGEQEEPGTRQGDVMPNVDSTWYLRVTLDVAAGEAAGLSCQ
+VKHSSLGDQDIILYWDGNHVSRGLIVALVLLVFVLLFVGGLVFWFRKHRRYQDIP
+>tr|F1MWB2|F1MWB2_BOVIN Olfactory receptor OS=Bos taurus OX=9913 PE=3 SV=3
+MSPCARPAVSQRLQGLEHHVDTHNHSSVEEFALQSFSEEPGLRGFFLVLFLLLFLGALAG
+NTLIVTAIGLHPGLHTPMYFFLTNLAVLDIVCTSTVLPKLLENLVVKGGTISYQGCMTQL
+FFLTWFLGAELLLLTVMAYDRYVAICRPLHYSSRMGRPVCVLLAGSVWAVSAVSTSVHTG
+LMTRLTFCGPNQIQHFLCEVPTLLLLSCSPTTLNNVMIVVADVYFGVVNFLLTMASYGCI
+IASILRMRSAAGKQRAFSTCSSHLLVLSHSPCPPFVAVLYTAVSPTLNPLIYSLRNKDVK
+VALRKVFS
+>tr|A0A3Q1MB01|A0A3Q1MB01_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC781778 PE=3 SV=1
+MAFVLSLLMALVLVSYGPGRSLGCDLSQNHVLVGRQNLRLLGQMRRLSPRFCLQDRKDFA
+FPQEMVEGGQLHEAQAISVLHEMLQQSFNLFHTERSSAAWDTTLLEQLRTGLHQQLDNLD
+ACLGLLTGKEDSALGRTGPTLAVKRYFQGIHVYLKEKEYSDCAWEIIIMEIMRSFSSSTS
+LQERLRMMDGDLNSP
+>tr|A0A3Q1MQG7|A0A3Q1MQG7_BOVIN NADH dehydrogenase [ubiquinone] 1 beta subcomplex subunit 8, mitochondrial OS=Bos taurus OX=9913 PE=3 SV=1
+MAAARVGVLGVRWLQKAAQNVVPLGARTASHITKDMLPGPYPKTPEERAAAAKKYNMRVE
+DYEPYPDDGMGYGDYPKLPDRSQQERDPWYDWDHPDLQLNWGELMHWDLDMYIRNRVDMS
+PTPVNWNLMCKHLFGFVAFMLFMFWVGETYPAYQPVGPKQYPYNNLYLERGGDPNKEPEP
+VVHYEI
+>tr|E1B9Y6|E1B9Y6_BOVIN Nuclear receptor subfamily 2 group C member 2 OS=Bos taurus OX=9913 GN=NR2C2 PE=3 SV=2
+MTSPSPRIQIISTDSAVASPQRIQGSEPASGSLKKEKICIVTDQQTGQKIQIVTAVDASG
+SPKQQFILTSPDGAGTGKVILASPETSSAKQLIFTTSDNLVPGRIQIVTDSASVERLLGK
+TDVQRPQVVEYCVVCGDKASGRHYGAVSCEGCKGFFKRSVRKNLTYSCRSSQDCIINKHH
+RNRCQFCRLKKCLEMGMKMESVQSERKPFDVQREKPSNCAASTEKIYIRKDLRSPLIATP
+TFVAEKDGARQTGLLDPGMLVNIQQPLIREDGTVLLATDCKAETSQGALGTLANVVTSLA
+NLSGPLNNGDTAETQPEDQSASEITRAFDTLAKALNTTDSASPPSLADGIDGSGGGGIHV
+ISRDQSTPIIEVEGPLLSDTHVTFKLTMPSPMPEYLNVHYICESASRLLFLSMHWARSIP
+AFQALGQDCNTSLVRACWNELFTLGLAQCAQVMSLSTILAAIVNHLQNSIQEDKLSGDRI
+KQVMEHIWKLQEFCNSMARLDIDGYEYAYLKAIVLFSPDHPGLTSTSQIEKFQEKAQMEL
+QDYVQKTYSEDTYRLARILVRLPALRLMSSSITEELFFTGLIGNVSIDSIIPYILKMETA
+EYNGQITGASL
+>tr|F1MHU6|F1MHU6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=3
+MLVLASLLPAVLCLPVSGVLGNKFSILRSPVSVVFQNGNCPIPGERIPDVAAWSMGFSVK
+EDLSWPGLTVGNLCHHLQATVMVMVKGVDKLALPPGSVISYPLENAVPFSLDSMANSIHS
+LFLKKLQWFLLLAPSEERVYMMGKANSVFKDLSVMLRQLHNQLFQETSALTSFPLNSLSM
+NNEVDLLFLSELQVLHNISSLLSRHKHLAKDHSPDLYSLELPGLEEIDASKILADALQKY
+ADVMYNLYGGNTVVELVTVRSFDISLMRKTKTILETKQVKDPLTPYNLAYKYNFEYPVVF
+SLVLWIMIGLALTLIVTCYNMWNMDPENDSIIYRMTNLFVI
+>tr|A0A3Q1N0I8|A0A3Q1N0I8_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MEKKCRKAKWLSREALQIAVKREVKSKGAKERYKHLNAEFQRIARRDKVFLSDQCKEIEE
+NNRMGKIRDLFKKIRDTEGIFHAKMGLIKDRNGMDLTEAEDIKKRWQEYTEELYKKDLHD
+PDNHDGVITDLEPDILECEVKWALESITTNKASGSDGIPVELFQILKDDAVKVLHSICQQ
+IWTTQQWPQDWKRSVFIPIPKKGNAKECSNYRTIALISHASKVMLKIFQARLQQYVNCEL
+PDVQAGFRKGRGTRDQIANIHWIMEKAREFQKNIYFCFIDYAKAFDCVDHNKLWKILKEM
+GIQEHLTCLLRNLYAGQAATVRTGHGTTDWFQIGKGVHQGCILSPCLFNLYTEYIMRNAG
+LEEAQAGIKIAGRNINNLRYADDTTLMAESEEELKSLLMKVKVESEKIGLKLNIQKTKMM
+ASGPITSWERDGETVETVSDFIFLGSKITADGDCSHEIKRRLLLGRKVMTNLDSILKTRD
+ITLPTRSV
+>tr|A0A3Q1LRM0|A0A3Q1LRM0_BOVIN BICD cargo adaptor 1 OS=Bos taurus OX=9913 GN=BICD1 PE=4 SV=1
+MAAEEVLQTVDHYKTEIERLTKELTETTHEKIQAAEYGLVVLEEKLTLKQQYDELEAEYD
+SLKQELEQLKEAFGQSFSIHRKVAEDGETREETLLQESASKEAYYLGKILDMQNELKQSR
+AVVTNVQAENERLTAVVQDLKENNEMGELQRIRMKDEIREYKFREARLLQDYTELEEENI
+TLQKLVSTLKQNQVEYEGLKHEIKRFEEETVLLNSQLEDAIRLKEIAEHQLEEALETLKN
+EREQKNSLRKELSQYITLNDNHISISVDGLKFAEDGSEPNNDDKMNGHIHGPLVKLNGDY
+RTPTLRKGESLHPVSDLFSELNISEIQKLKQQLMQVEREKAILLANLQESQTQLEHTKGA
+LTEQHERVHRLTEHVNAMRGLQSSKELKELDGEKGRDSGEEAHDYEVDINGLEILECKYR
+VAVTEVIDLKAEIKALKEKYNKSIENYTEEKAKYESKIQMYDEQVTSLEKTTKESGEKMA
+HMENELQKMTSIANENHNTLNTAQDELVTFSEELAQLYHHVCLCNNETPNRVMLDYYRQS
+RVTRSGSLKGPDDPRGLLSPRLVRRGVSSPVETRTSPEPVSKENTEASKEPSPTKTPTIS
+PVITAPPSSPVLDTSDIRKEPMNIYNLNAIIRDQIKHLQKAVDRSLQLSRQRAAARELAP
+MIDKDKEALMEEILKLKSLLSTKREQIATLRAVLKANKQTAEVALANLKNKYENEKAMVT
+ETMTKLRNELKALKEDAATFSSLRAMFATRCDEYVTQLDEMQRQLAAAEDEKKTLNTLLQ
+MAIQQKLALTQRLEDLEFDHEQSRRSKGKLGKSKIGSPKS
+>tr|A0A3Q1MCZ0|A0A3Q1MCZ0_BOVIN PKD domain-containing protein OS=Bos taurus OX=9913 GN=SORCS2 PE=4 SV=1
+MALRGSPRAPKGPGPTARAPSPGAPPPPPPPRSRPLLLLLLLLAACGAAGRSLEPGRLGP
+RARLTRAPPNPPAGRALPGGGEDRQARDEEPGTPGPGQAPGPGEDGAPAAGQGRWARAAP
+MAGAASRAQVSLISTSFVLKGDATHNQAMVHWTGENSSVILILTKYYHADMGKVLESSLW
+RSSDFGTSYTKLTLQPGVTTVIDNFYICPTNKRKIILVSSSLSDRDQSLFLSADEGATFQ
+KQLVPFSVETLIFHPQEEDKVLAYAKEGKLYVSSDLGRKWTLLQERATKDHVFWAVSGVD
+ADPDLVHLEAQDLGGGFRYVTCQIHNCSDNTWTTPFTGPIDRGSLTVQDDYIFVKATTAN
+QTKYYISYRRNEFVLMKLPKYALPKDLQVISTDESQVFVAVQEWYQTDTYNLYQSDTRGV
+RYSLVLEDVRSSRQAEESVVIDILEVRGVKGVFLANQKVNGKVVTLITYNKGRDWDYLRP
+PSMDMNGKPTNCKPPDCHLHLHLRWADNPYVSGTVHTKDSAPGLVMGAGNLGSQLVEYKE
+EMYITSDCGHTWRQVFEEEHHILYLDHGGVIVAIKDTSIPLKILKFSVDEGLTWSTHNFT
+STSVFVDGLLSEPGDETLVMTVFGHISFRSDWELVKVDFRPWFSRRCSEDDYSSWDLTNL
+QGDRCVMGQQRSFRRRKPASWCVTGRSFTAALTARVCPCRASDFLCDYGFERAPSSESDA
+NKCFANFWFNPLSPPDNCVLGQTYTSSLGYRKLVSNVCEGGVDPRQSPVRLQCPLLPPRG
+LQISIRGEAVAVRPGEDVLFVVRQEQGDVLTTKYQVDLGDGFKAMYVNLTLTGEPIRHRY
+ESPGVYRVSVRAENVAGHDEAVLFVQVTAPLQALYLEVVPVIGVNQEVNLTAVLLPLNPN
+LTVFYWWIGHSLQPRLSLENSVTTQFGDPGDVRVTVQAACGTSVLQDSKVVHVLDQFQVV
+PLQFSKDLDAHNPNTPEWREDVGLVVSRLLSKETSIPEELMVTVVKPGLPTLADLHVLLP
+PPRPTRKRSLTSDKRLTAIQQVLKAQKISFLLRGGVRVVVAVRDVDTGPPSLGGGGGYWA
+VVILFVSGLFAVGAFILYKFKRKRPGRTVYAQMHNEKEQEMTSPVSHSQGVQSAIQGNHS
+GVVLSVSSREMHSYLVS
+>tr|E1BC64|E1BC64_BOVIN LIM domain kinase 1 OS=Bos taurus OX=9913 GN=LIMK1 PE=4 SV=3
+MRLTLLCCTWREERMGEEGSELPVCASCGQRIYDGQYLQALNADWHADCFRCCECSASLS
+HQYYEKDGQLFCKKDYWARYGESCHGCSEHITKGLVMVAGELKYHPECFICLTCGTFIGD
+GDTYTLVEHSKLYCGHCYYQTVVTPVIEQILPDSPGSHLPHTVTLVSIPASAHGKRGLSV
+SIDPPHGLPGCSTEHSHTVRVQGVDPGCMSPDVKNSIHVGDRILEINGTPIRNVPLDEID
+LLIQETSRLLQLTLEHDPHDSLGHGPGSEPSPLGSPAHTPSGEAGSSGRQKPVLRSCSID
+RSPGASSLGSPASQRKDLGRSESLRVVCRPHRIFRPSDLIHGEVLGKGCFGQAIKVTHRE
+TGEVMVMKELIRFDEETQRTFLKEVKVMRCLEHPNVLKFIGVLYKDKRLNFITEYIKGGT
+LRGIIKSMDSQYPWSQRVSFAKDIASGMAYLHSMNIIHRDLNSHNCLVRENKNVVVADFG
+LARLMVDEKTQPEDLRSLKKPDRKKRYTVVGNPYWMAPEMINGRSYDEKVDVFSFGIVLC
+EIIGRVNADPDYLPRTMDFGLNVRGFLDRYCPPNCPPSFFPITVRCCDLDPEKRPSFVKL
+EQWLETLHMHLAGHLPLGPQLEQLDRGFWETYRRGESGLPAHPEVPD
+>tr|A0A3Q1MJR5|A0A3Q1MJR5_BOVIN Multiple PDZ domain crumbs cell polarity complex component OS=Bos taurus OX=9913 GN=MPDZ PE=4 SV=1
+MGSRLSNGEVMRGIFIKHVLEDSPAGKNGTLKPGDRIVEVDGMDLRDASHEQAVEAIRKA
+GNPVVFLVQSIINRPRVPSQSESEPENPPLCHSPPPQPPVLAEMSGDHALSSANETSEDV
+DEEDEFGYSWKNIRERYGTLTGELHMIELEKGRSGLGLSLAGNKDRSRMSVFIVGIDPNG
+AAGKDGQLQIADELLEINGQILYGRSHQNASSIIKCAPSKVKIIFIRNKDAVSQMAVCPG
+HTVEPSPATSENLQNKEAEPSVTTSEAVVDLSSLTNVQHLELPKDQGGLGIAISEEDTVS
+GVIIKSLTEHGAAAKDGRLKVGDQILAVDDEVVVGYPVEKFINLLKTAKTKVKLTIRAEN
+PDSQATTSGTGTANGEKKSSPQSPVAPPSSSPEPEPMRSTSRSSTPAIFASDPATCPIIP
+GCETTIEISKGRTGLGLSIVGGSDTLLGAIIIHEVYEEGAACKDGRLWAGDQILEVNGID
+LRKATHDEAINVLRQTPQRVRLTLYRDEAPYKEEDVYDTLTVELQKKPGKGLGLSIVGKR
+NDTGVFVSDVVKGGIADADGRLLQGDQILTVNGEDVRHATQEAVAALLKCSLGTVTLEVG
+RVKTGPFHSERRPSQSSQMSEASLSSFTFPLSGSGTPELLESSSKKNASVHMCLSVQNTS
+CPCLIQSGLCSCISVASEIQGLRTVEIKKGPTDSLGISIAGGVGSPLGDVPIFIAMMHPN
+GVAAQTQKLRVGDRIVTICGTSTEGMTHTQAVNLLKNASGSIEMQVVAGGDVSVVTGHQQ
+EPASSSLSFTGLTSSSIFQDDLGPPQCKSITLDRGPDGLGFSIVGGYGSPHGDLPIYVKT
+VFAKVSFQILTTLSPPKCSLIVVSSWLISLWPEVMPNGQHLIIFLHNSLQEQVIKYFCPI
+>tr|A0A3Q1LU53|A0A3Q1LU53_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC527409 PE=4 SV=1
+MRYQRKTIQGLLFLLFLWTFQRMYHFRSENTQTEELKLSDWFFPNAINHSNITTTSWAAP
+VVWHGTYDEVVLENYYANHKITVGLTVFAVGRYIDHYLHTFIASADKYFMVDQKVIIYIL
+TDNFSKVPWVQLSPLRTLKVFEIKQEKRWQDISMMRMKTISEHVVDHIQYEVDFLFCMDV
+DQIFVKKYGLETLGESVGQLHAHWYKASLTELPYERSQLSEAYIPIGKGDFYYHAAVFGG
+TPIQVLNIAKECFRGIMNDKKNNIEAVWHDESHLNKYFFLHKPTKILSPEYCWDHLGPNR
+IEHTTFKIFWAIKNYDFLRQSV
+>tr|A0A3Q1LNX4|A0A3Q1LNX4_BOVIN Enhancer of zeste 2 polycomb repressive complex 2 subunit OS=Bos taurus OX=9913 GN=EZH2 PE=4 SV=1
+MNPSAEISRIIMGQTGKKSEKGPVCWRKRVKSEYMRLRQLKRFRRADEVKSMFSSNRQKI
+LERTEILNQEWKQRRIQPVHILTSVSSLRGTRECSVTSDLDFPTQVIPLKTLNAVASVPI
+MYSWSPLQQNFMVEDETVLHNIPYMGDEVLDQDGTFIEELIKNYDGKVHGDRECGFINDE
+IFVELVNALGQYNDDDDDDDGDDPDEREEKQKDLEESREDKESRPPRKFPSDKIFEAISS
+MFPDKGTAEELKEKYKELTEQQLPGALPPECTPNIDGPNAKSVQREQSLHSFHTLFCRRC
+FKYDCFLHPFHATPNTYKRKNTETALDNKPCGPHCYQHLPLLPRN
+>tr|E1B9J3|E1B9J3_BOVIN RAD21 cohesin complex component like 1 OS=Bos taurus OX=9913 GN=RAD21L1 PE=4 SV=3
+MFYTHVLMSKRGPLAKIWLAAHWEKKLTKAHVFECNLEITIEKILSPKVKIALRTSGHLL
+LGVVRIYNRKAKYLLADCSEALLKMKMTFRPGLVDLPKESFEAAYSTITLPEEFHDFDTQ
+TMNAIDVSECSIQNQSKPEEITLREDYGNDLLFHAGSFGEEPEILRRHSLFDDSILLSSS
+GLLLEHSSGSPTGDKSLFCGSGDGFGDEGAAGEMIDNLLQDDQNILLEEMHLNTEISLLP
+ESPNTVVVAPDNPECVCSPENEKMDETTVSKEEEEFILDPIDTSDIAEKRKGKNRKLLID
+PVKEISSKIMHRQLTSFTDTLMVLELAPPTQRLMMWKQKGGADILLSTAAQDLTHAELKM
+LFTKCFVSSGFKLGRKLIQKESVKEEMGSKNILETSMMEEPNFLQELSQPKTWKEVTDGS
+KCSSHENTNKNINSDQDIVEMVSSAAEESSLMNAILAQEIENCPMELESWGNEQNIEAER
+WNLRILQMLHSLQESNKMGMQYFSLMKLCRNSDRKQTAAKFYSFLMLKKHQAIELSQSAP
+YADIIATVGPMFHKM
+>tr|A0A3Q1NA12|A0A3Q1NA12_BOVIN Lin-52 DREAM MuvB core complex component OS=Bos taurus OX=9913 GN=LIN52 PE=4 SV=1
+MKGVLLGTDLEASLLSFEKLDRASPDLWPEQLPGVAEFAASFKSPITSSPPKWMAEIERD
+DIDMLKELGSLTTANLMEKVRGLQNLAYQLGLDESREMTRGKFLNILEKPKK
+>tr|F1MWN9|F1MWN9_BOVIN G_PROTEIN_RECEP_F1_2 domain-containing protein OS=Bos taurus OX=9913 GN=LOC788064 PE=3 SV=3
+WKKISNVIEFVFWGLSQNIEVEEVCSVVFSFFYMVILLGNFVIILMVCVGNLFKSPMYFF
+LNYLSFVDICYSSVIAPKMILDLLAKKKTIYMGCMLQLFGVHFFGCIDIFILTVMAYDCY
+MAICKPLYYMTIMDRDRCNKMLLGTWIGGFLHSIIQVALVVQLPFCGPNEIDHYFCDVHP
+VLKLACTDTYVVGGVVTANSGTMALGSFVIFLISYIVILVSLRKQSAEGRHKALSTCGPH
+IAVIGIFFGPCTFMYMCPDTTFSEDKMVAVFYTIITPMLIPLIYTLRNAEEKSNEETVGQ
+ESFLRG
+>tr|A0A3Q1LGZ8|A0A3Q1LGZ8_BOVIN Protein zwilch homolog OS=Bos taurus OX=9913 GN=ZWILCH PE=4 SV=1
+MWSGANRAAEEFYAGLLQEFDENKKGIRKDPFIYEADIQVQLISKGQPNPLKNILHENET
+IFIVEKVPLEKEEPSPIEELQSEDTAISDLSTGENVGLLALPIGRARQLIGFYTMAHNPN
+MTHLKINRPVTALPPLWVRCDGSDPEGISWLGAELISTSSNITGIVLYMVTCKVDKNYSV
+NLEDLKKSHKKRHHLSTLTASGFARYELFKSTALDDTVAASQTTITLDISWSPVDEILQT
+PPLSSTATLNIKVESGEPRGPLSQLHRELKFLLVLADGLRTGVTEWPEPLEAKSAVELVQ
+EFLNELSKLNEFGDSTKKDTEIVKHDAAAVDGSIECLLTVRGDLDFAEQLWCKMSSSVIS
+YQDLVKCFSLVIQSLQRGAIQPWLHSGSNSLLSKLIHQSYYGTMDTVSLSGTVPVQMLLE
+IGLDKLKKDYICFFIGKSLSQLKKEYFISPSVDTQEQVHRVQKLHHILEIVVSCMLFIKP
+QHELLFSLTQSCIKYYEQNPLDEQHIFQLPVRPTAVKDLYQNEKPQKWRVEINSGQKKVK
+TVWQLSDSPPIDHLNFHRPDFSELTLSGSLEERISFTNMVTCSQVHFK
+>tr|F1MIQ5|F1MIQ5_BOVIN Harmonin OS=Bos taurus OX=9913 GN=USH1C PE=4 SV=3
+MDRKVAREFRHKVDFLIENDAEKDYLYDVLRMYHQTMDVAVLVGDLKLVINEPSRLPLFD
+AIRPLIPLKHQVEYDQLTPRRSRKLKEVRLDRLHPEGLGLSVRGGLEFGCGLFISHLIKD
+GQADSVGLQVGDEIVRINGYSISSCTHEEVINLIRTKKTVSIKVRHIGLIPVKSSPDEPL
+KWQYVDQFVSESGGGRSSLGSPGSQENKEKKVFISLVGSRGLGCSISSGPIQKPGIFISH
+VKPGSLSAEVGLETGDQIVEVNGIDFSNLDHKEAVNVLKSSRSLTISIVAGAGRELFMTD
+RERLAEVRQRELQRQELLMQKRLAMESNKILQEQQEMERQRKKEIAQKAAEENERYRKEM
+EQIVEEEEKFRKQWEEDWGSKEQLRSPKTITAEVHPIPLRKPKYDLGVDPEFDPADDLDG
+GTDKRGEQDFRKYEEGFDPYSMFTPEQIMGKDVRLLRVKKEGALDLALEGGVDSPIGKVV
+VSAVYEGGAAERHGGIVKGDEIMAINGKIVTDYTLAEAEAALQKAWNQGDWIDLVVAVCP
+PKEYDDELTFF
+>tr|A0A3Q1NAI2|A0A3Q1NAI2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=TPO PE=4 SV=1
+MLRGIRARLCGEPWGVPVARLEFPGERQPQRQSGSWVAGAPAHGRAARVSSGAGWGAHAA
+AVEVSSPETTAPAHPPSISAPRGVQPLLNQGLHPLVTPRSSRTMWLHPETVLPGHSTCYH
+PRWGASNTALARWLPPAYEDGISEPRGWNPHFLYKGFPLPPVREVTRRIIHASNEAVTGD
+DRYSDLLTAWGQYIDHDIAFTPQSAAPSAPWVGADCQLTCEPRSPCFPIQVGFLNLPANA
+AGPACLPFYRSTAACGTGTQGQMNGLTSFLDASTVYGSSAASERRLRNWTSAEGLLRVNA
+RYRDAGRAFLPFAPPSAPPACAPQPGAPGARAPCFLAGDGRASEVPALAALHTLWLREHN
+RLATALKALNAHWSADTAYQEARKVVGALHQIITLRDYVPRILGPEAFGRHVGPYRGYDP
+SVDPTVSNVFSTAAFRFGHATIHPLVQRLDARFQEHLGPRLLLRDAFFRPWRLLEEGGVD
+PVMRGLLARPAKLQVQDQLLNEELTERLFVLSDAGTLDLASINLQRGRDHGLPGYNEWRQ
+FCGLSRLETRADLGAATANGSMADRILDLYGHPDNIDVWLGGLAETFLPGARTGPLFACL
+VGKQMKALRDGDRFWWEHRAVFTEAQRRELGRHSLSRVICDNTGLTRVPRDAFRVGQWPQ
+DFESCDRIPGMDLRAWREGMGGKPGQG
+>tr|A0A3Q1LYV7|A0A3Q1LYV7_BOVIN EMSY, BRCA2 interacting transcriptional repressor OS=Bos taurus OX=9913 GN=EMSY PE=4 SV=1
+MPVVWPTLLDLSRDECKRILRKLELEAYAGVISALRAQGDLTKEKKDLLGELSKVLSMSG
+PNSSSEWSIEGRRLVPLMPRLVPQTAFTVTANAVANAAVQHNASLPVPAETGSKEVVVCY
+SYTSTTSTPTSTPVPSGSIATVKSPRPASPASNVVVLPSGSTVYVKSVSCSDEDEKPRKR
+RRTNSSSSSPVVLKEVPKAVVPVSKTITVPVSGSPKMSNIMQSIANSLPPHMSPVKITFT
+KPSTQTTNSTTQKVIIVTTSPSSTFVPNILSKSHNYAAVTKLVPTSVIASTTQKPPVVIT
+ASQSSLVSSSSSGSSSSTPSPIPNTVAVTAVVSSTPSVVMSTVAQGVSTSAIKMASTRLP
+SPKSLVGAPTQILAQFPKQHQQSPKQQLHQVQQQTQQQVAQPSPVSHQQQPQQSPLPPGI
+KPTIQIKQESGVKIITQQVQPSKILPKPVTATLPTSSNSPIMVVSSNGAIMTTKLVTTPT
+GTQATYTRPTVSPSIGRMAATPGAATYVKTTSGSIITVVPKSLATLGGKIISSNIVSGTT
+TKITTIPMTSKPNVIVVQKTTGKGTTIQGLPGKNVVTTLLNAGGEKTIQTVPTGAKPAII
+TATRPITKMIVTQPKGIGSTVQPAAKIIPTKIVYGQQGKTQVLIKPKPVTFQATVVSEQT
+RQLVTETLQQASRVAEAGNSSIQEGKEEPQSYTDSSSSSTESSQSSQDSQPVVHVIASRR
+QDWSEHEIAMETSPTIIYQDVSSESQSATSTIKALLELQQTTVKEKLESKPRQPTIDLSQ
+MAVPIQMTQEKRHSPESPSIAVVESELVAEYITTERTDEGTEVAFPLLVSHRSQPQQPSQ
+PQRTLLQHVAQSQTATQTSVVVKSIPASSPGAITHIMQQALSSHTAFTKHSEELGTEEGE
+VEEMDTLDPQTGLFYRSALTQSQSAKPQKLSQPQLEQTQLQVKTLQCFQTKQKQTIHLQA
+DQLQHKLPQMPQLSIRHQKLTPLQQEQAQPKPDVQHTQHPMVAKDRQLPTLMAQPPQTVV
+QVLAVKTTQQLPKLQQAPNQPKIYVQPQTPQSQMPLPASSEKQPASQVEQPIITQGSSVT
+KITFEGRQPPTVTKITGGSSVPKLTSPVTSISPIQASEKTAVSDILKMSLMEAQIDTNVE
+HLVVDPPKKALATGVLTGEAGSLPSTHVVVAGMANSTPQQQKCRESCSSPSAVGPPLTTR
+KIDAPGVPATGQFMRIQNVGQKKAEESPAEIIIQAIPQYAIPCHSSSNVVVEPSGLLELN
+NFTSQQLDDDETAMEQDIDSSTEDGTEPSPSQSSAERS
+>tr|A0A3Q1MPG5|A0A3Q1MPG5_BOVIN Enoyl-CoA hydratase, mitochondrial OS=Bos taurus OX=9913 GN=ECHS1 PE=1 SV=1
+MAALRALLPRVRAPLRPWLFCPVQRSFASRSQASPAQVRGDANQDIQKEGCWSLLGLLGC
+LNLRKHSNICESREPCRRPPCSASCSTRDWAGPSGAAFEYIITAKKGRNSNVGLIQLNRP
+KALNALCNGLIVELNQALQAFEEDPAVGAIVLTGGEKVFAAGADIKEMQSLTFQNCYSGG
+FLSHWDQLTRVKKPVIAAVNGYALGGGCELAMMCDIIYAGEKAQFGQPEILIGTIPGAGG
+TQRLTRAVGKSLAMEMVLTGDRISAQDAKQAGLVSKIFPVETVVEEAIQCAEKIASNSKI
+VTAMAKESVNAAFEMTLAEGVKLEKKLFYSTFATDTTCHRP
+>tr|A0A3Q1LKB1|A0A3Q1LKB1_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MSSPIAHPTSPDLGGTLLALTAAWLSGSSALWPFTCWVQVSHRCRIPKKQTGTAFSVLGL
+LPASALRPILDSVTNFCLFLSGPLEADVTQSPRHRITETRTRVTLTCSQNMNHDAMYWYR
+QDPGLGPKLIYFSRNVGFIENGDIPDGYTASREEKPNFPLTLELASTNQTSLYLCASSES
+TV
+>tr|E1BP55|E1BP55_BOVIN Neuron navigator 3 OS=Bos taurus OX=9913 GN=NAV3 PE=4 SV=3
+MPVLGVASKLRQPAVGSKPVHTALLIPSLGTTGPQRSSSRPLELTELESSMLSCQLTFKS
+NCESEEKKPLQGKAKETEDSKIYTDWANHYLAKSGHKRLIKDLQQDIADGVLLAEIIQII
+ANEKVEDINGCPRSQSQMIENVDVCLSFLAARGVNVQGLSAEEIRNGNLKAILGLFFSLS
+RYKQQQHHQQQYYQSLVELQQRVTHASPQAEASQAKTQQDMQSSLAARYATQSNHSGIAT
+SQKKPTRLPGPSRVPAAGNSSKVQGASNLNRRSQSFNSIDKNKPPNYANGNEKDSSKGPQ
+PSSGVNGNMQPPGTAGQPPASAIPSPSTSKPWRSKSMNAKHSATSTMLTVKQGSPTTSPT
+PSADRLKPPASEGVKTAPSGQKSMLEKFKLVNARTALRPPQSASSGPSDGVKEDDAFSES
+GEMEGLNSGLNSGGSTNSSPKVSPKLAPPKAGSKNLSNKKSLLQPKEKEEKNRDKSKVCT
+EKLVKDEKDQMMETAPKKTSKIASLIPKGSKTTAAKKESLIPSSSGIPKPGSKVPTAKQT
+VSPGNSASKESEKFRTTKGSPSQSFPKPITTEKASTSSCPAPLEGRETSHASLAGSSVGL
+VVQGSGQSMGNGAVQLPQQQQHSHPNTATVAPFIYRAHPENEGTSLPSADSCTSPTKMDL
+SYSKTAKQCLEEISGEDPETRRMRTVKNIADLRQNLEETMSSLRGTQISHSTLETTFDST
+VTTEVNGRTIPNLTGRPTPMTWRLGQACPRLQAGDAPSLGAGYPRSGTGRFIHTDPSRFM
+YTTPLRRAAVSRLGNMSQIDMSEKASSDLDMSAEVDVGGYMSDGDILGKSLRTDDINSGY
+MTDGGLNLYTRSLHRAPDTTASRDVIQRGLHDVAVDADSWDDSSSVSSGLSDTLDNISTD
+DLNTTSSVSSYSNITVPSRKNTQLKTDSEKRSATDEPWESTEELKKPEEDFDSHGDGGGK
+WKGVSSGLPEDPEKTGQKASLAVSQTGSWRRGMSAQGGAPSRQKTGTSALKTPGKTDDAK
+ASEKGKSPLKGSSLQRSPSDAGKSSGDEGKKPPSGIGRSTATGSFGFKKPSGVGSSAMIT
+SSGATITSGSATLGKIPKSAAIGGKSNAGRKTSLDGSQNQDDVGLHVSTKTSLQYRSLPR
+PSKSSTSGIPGRGGHRSSTSSIDSNVSSKSAGATTSKLREPTKIGSGRSSPVTVNQTDKE
+KEKVAVSDSESVSLSGSPKSSPTSASACGTQGLRQPGSKYPDIASPTFRRLFGAKAGGKP
+ASAPNTEGVKSSSVMSSPSTTLARQGSLESPSSGTGSLGSAGGLSGSSSPLFNKPSDLTA
+DVISLSHSLASSPASVHSFTSGGLVWAANLSSSSAGSKDTPSYQSMTSLHTSSESIDLPL
+SHHGSLSGLTTGTHEVQSLLMRTGSVRSTLSESMQLDRNTLPKKGLRYTPSSRQANQEEG
+KEWLRSHSTGGLQDTGNQSPLVSPSAMSSSATGKYHFSNLVSPTNLSQFNLPGPSMMRSN
+SIPAQDSSFDLYDDSQLCGSATSLEERPRAISHSGSFRDSMEEVHGSSLSLVSSTSSLYS
+TAEEKAHSEQIHKLRRELVASQEKVATLTSQLSANAHLVAAFEKSLGNMTGRLQSLTMTA
+EQKESELIELRETIEMLKAQNSAAQAAIQGALNGPDHPPKDLRIRRQHSSESVSSINSAT
+SHSSIGSGNDADSKKKKKKNWVNSRGSELRSSFKQAFGKKKSTKPPSSHSDIEELTDSSL
+PASPKLPHNGGDCGSASMKPSQSASAICECTEAEAEIILQLKSELREKELKLTDIRLEAL
+SSAHHLDQIREAMNRMQNEIEILKAENDRLKAETGNVGKPARPPSESASSTSSSSSRQSL
+GLSLNNLNIAESVTSDILLDDPGDATGHKDGRSVKIIVSISKGYGRAKDQKSQAYLIGSI
+GVSGKTKWDVLDGVIRRLFKEYVFRIDTSASLGLSSDCIASYCIGDVTRSHGPEVPELLP
+CGYLVGDNNVITVNLKGVEENSLDSFVFDTLIPKPITQRYFNLLMEHHRIILSGPSGTGK
+TYLAHKLAEYVTTKSGRKKTEDAIATFNVDHKSSKELQQYLANLAEQCSADNNGAELPVV
+IILDNLHHVGSLSDIFNGFLNCKYNKCPYIIGTMNQGVSSSPNLELHHNFRWVLCANHTE
+PVKGFLGRYLRRKLIEMEIERNIRNNDLVKIIDWIPKTWHHLNSFLETHSSSDVTIGPRL
+FLPCPMDVEGSRVWFMDLWNYSLVPYILEAVREGLQMYGKRAPWEDPSKWVLDTYPWSSA
+SLPQEGPALLQLRPEDVGYEGCISTKEATTSKHIPQADTEGDPLMNMLMKLQEAANYSGT
+QSCDSDSTSHHEDILDSSLESTL
+>tr|A0A3Q1M136|A0A3Q1M136_BOVIN HEAT repeat containing 5B OS=Bos taurus OX=9913 GN=HEATR5B PE=4 SV=1
+MELAHSLLLNEEALAQITEAKRPVFIFEWLRFLDKVLVAANKTDVKEKQKKLVEQLTGLI
+SSSPGPPTRKLLAKNLAALYSIGDTFTVFQTLDKCNDIIRNKDDTAAYLPTKLAAVACVG
+AFYEKMGRMLGSAFPETVNNLLKSLKSAESQGRSEILMSLQKVLNGLGGAAASCHRDIYK
+NARSLLTDRSMAVRCAVAKCLLELQNEAVFMWTAELENIATLCFKALENSNYGVRVAVSK
+LLGTVMATALMPKQATVMRQNVKRATFDEVLELMATGFLRGGSGFLKSGGEMLKVGGSVN
+REVRVGVTQAYVVFVTTLGGQWLERSFATFLSHVLDLVSHPRATQTHVEAVYSRRCVSFI
+LRATVGSLLGEKAQIAAAKEICQAIGKQMKAVEAVVNDTSGENKSGAADIAASQHVMVCA
+LQELGSLVQSLNATASPLIQEASIGLLETVTSVLLHPSMAARLAAAWCLRCVAVALPFQL
+TPFLDRCAERLNSLKTSPEAVSGYSFAMAALLGGVHQCPLGIPHAKGKMVVSIAEDLLRT
+AAQNSRLSLQRTQAGWLLLGALMTLGPSVVRYHLPKMLLLWRNVFPRSLKELEAEKARGD
+SFTWQVTLEGRAGALCAMRSFVAHCPELLTEDVIRKLMTPIECAMTMMSHIPSVIKAHGA
+HLKASAAMVRLRLYDILILNDFYVLFLQLQPNSASGSGALEHDPSSIYLRIPAGEAVPGP
+LPLGVSVIDASVALFGVVFPHVSFKHRLQMLDHFAECVKQAKGVRQQAVQLNIFTAVLSA
+LKGLAENKSTLGPEEVRKSALTLVMGALDNPNPILRCAAGEALGRMAQVVGEATFIARMA
+QYSFDKLKSARDVVSRTGHSLALGCLHRYVGGIGSGQHLKTSVSILLALAQDGTSSEVQT
+WSLHSLALIVDSSGPMYRGYVEPTLSLVLTLLLTVPPSHTEVHQCLGRCLGAIITTVGPE
+LQGNGATISTIRSSCLVGCAITQDHSDSLVQAAAISCLQQLHMFAPRHVNLSSLVPSLCV
+HLCSSHLLLRRAAVACLRQLAQREAAEVCEYAMSLAKSSGDKESSGTNVSPFAPGASSRS
+DIHCRHQGVNITETGLEGLLFGMLDRETDRKLCSDIHDTLGHMLSSLAVEKLSHWLMLCK
+DVLAASSDMSTATPLSSGKDEEFEKKDEMDDDTMFTTLGEEDKSKPFVAPRWATRVFAAD
+CLCRIINLCENADQAHFDLAMARSAKLRNPTNDLLVLHLSDLIRMAFMAATDHSNQLRMA
+GLQALEDIIKKFASVPEPEFPGHVILEQYQANVGAALRPAFSQDTPSDIIAKACQVCSTW
+IGSGVVSDLNDLRRVHNLLVSSLDKVQAGKGSSSQLYRESATTMEKLAVLKAWAEVYVVA
+MNIKKEAESKPKRAVKNTDDDDDDDSTIDELPPDSLITLVQPELPILSRLWLAALKDYAL
+LTLPAEFASQLPPDGGAFYTPETIDTARLHYRNSWAPILHAVALWLNSTGFTCSESAEAA
+AISALQKRSIPVNLSQASGAIPSAKSLAEINKDRMHLILGVSIQFLCSPRPEEPVEHVTA
+CLQALHTLLDSPYARIHIAEDQLIGVELLSVLHRLLLTWNPPSVQLLVTGVVQQIVRAAQ
+DYLQEKRNTLNEDDIEKESCPVLGEGGDSGGLIPGKSLVFATLELLMFILVRHMPHLSTK
+MSDSPSHIATKTRLSEESARLVAATVTILSDLPSLCSPAGCMTILPTILFLIARILKDTA
+IKSADNQVPPPVSAALQGIKSIVTLSMAKTEDTQKQWTALIRSTLACILEYSQPEDSVPV
+PDEVSMLTAIALFLWSAGSEIIGVQSLQNGCIYRFKNALNSCDPWVQAKCYQLLLSVFQH
+SNRALSTPYIHSLAPIVVEKLKAVERNRPGSSTELLAVQEGIKVLETLVALGEEQNRVQL
+LALLVPTLISYLLDENSFASASTASKDLHEFALQNLMHIGPLYPHAFKTVMGAAPELKVR
+LETAVRASQASKAKVATRQPAPTVHSAPTIKLKTSFF
+>tr|A0A3Q1MDQ4|A0A3Q1MDQ4_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC100300575 PE=3 SV=1
+MAESNHSAVTEFILLGLTDNPELQLIFFCVLLLIYLITVLGNLGLIVLIQVSPQLHTPMY
+FFLCHLAFVDFYGSSTITPNTLVNTFREIKSVSFYACATQVCSFITFSVWELLMLSVMAY
+DRYVAICHPLLYVVLMPRKLCIQMVTSSYIYGFLVGFIQAVATFHMAFCGPNVINQFYCD
+DVPLIALACSDTRVKELMLLAIAGFNVFCSLLIVLISYAFIVFAIIRIHSTVGRQKAFST
+CASHLFSITMYYGTLSFMYLQPKSSHSLDKDKFASVFYTVVIPMLNPLIYSLRNWEEVKN
+ALKRFIEKLHLAIK
+>tr|A0A3Q1M1X1|A0A3Q1M1X1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=PXN PE=1 SV=1
+MDDLDALLADLESTTSHISKRPVFLSEETPYSYPTGNHTYQEIAVPPVPPPPSSEALNGS
+VLDPLDPWPPSTSRFTHQQPQSSSPVYGSSAKTSSASNPQDGGGPPCPRAGEEDHVYSFP
+NKQKSAEPSPTVMSSSLGSNLSELDRLLLELNAVQHNPPGFPADEANSSPPLPGPLSTHY
+GVPENNSLLGGKAGALTKEKPKRNGGRGLEDLRPSVENLLDELESSVPSPVPTITVNQGE
+MSSPQRVTSSQQQTRISASSATRELDELMASLSDFKFMAQGKTGSSSPPGGPPKPGSQLD
+SMLGSLQSDLNKLGVATVAKGVCGACKKPIAGQVVTAMGKTWHPEHFVCTHCQEEIGSRN
+FFERDGQPYCEKDYHNLFSPRCYYCNGPILDKVVTALDRTWHPEHFFCAQCGAFFGPEGF
+HEKDGKAYCRKDYFDMFAPKCGGCARAILENYISALNTLWHPECFVCRECFTPFVHGSFF
+EHEGQPYCEAHYHERRGSLCSGCQKPITGRCITAMAKKFHPEHFVCAFCLKQLNKGTFKE
+QNDKPYCQNCFLKLFC
+>tr|E1B7K3|E1B7K3_BOVIN Potassium voltage-gated channel subfamily A member 3 OS=Bos taurus OX=9913 GN=KCNA3 PE=3 SV=1
+MDEHLSLLRSPPQPSARQRAHPPQQPASGGGAHTLVNPGYTEPAAGSELPPDMTVVPGDH
+LLEPEAADGGGDPPQGGCGGGGGCDRYEPLPPALPAAGEQDCCGERVVINISGLRFETQL
+KTLCQFPETLLGDPKRRMRYFDPLRNEYFFDRNRPSFDAILYYYQSGGRIRRPVNVPIDI
+FSEEIRFYQLGEEAMEKFREDEGFLREEERPLPRRDFQRQVWLLFEYPESSGPARGIAIV
+SVLVILISIVIFCLETLPEFRDEKYYTASPSQEQFEASSNSTSGGPTGASSFSDPFFVVE
+TLCIIWFSFELLVRFFACPSKATFSRNIMNLIDIVAIIPYFITLGTELAERQGNGQQAMS
+LAILRVIRLVRVFRIFKLSRHSKGLQILGQTLKASMRELGLLIFFLFIGVILFSSAVYFA
+EADDPTSGFSSIPDAFWWAVVTMTTVGYGDMHPVTIGGKIVGSLCAIAGVLTIALPVPVI
+VSNFNYFYHRETEGEEQAQCLHVGSCQHLSPSAEELRKARSNSTLSKSEYMVIEEGGMNH
+SAFPQAPFKTGNSTATCTTNNNPNSCVNIKKIFTDV
+>tr|F1MEP0|F1MEP0_BOVIN 39S ribosomal protein L45, mitochondrial OS=Bos taurus OX=9913 GN=MRPL45 PE=4 SV=1
+MAAPLPRSLSCLSRAVGWWSRQPILMTQSTAVVPVRTKKRFTPPIYQPKYKTEKEFTEYA
+RKAGLVIPQESLERPIHLACTASIFDAYVPPEGDARVSSLSKEGLAQRTERLKKNVASQL
+SIRRIKESDPNFKVKDFPEKAQDIFIEAHLCLNNSDHDRLHTLVTENCFPDMVWDIKYKT
+VRWSFVESLEPPQVVQVRCSSLVTKSNAYGQVTVRMHTRQTLAIYDRFGRLMYGQEDVPR
+DVLEYVVFEKHLANPYGSWRMHGKIVPPWAPPKQPILKTVMIPGPQLKPGEEYEELQREA
+HKPQLA
+>tr|G3MZZ7|G3MZZ7_BOVIN Family with sequence similarity 170 member B OS=Bos taurus OX=9913 GN=FAM170B PE=4 SV=2
+MKQRFTDRRGEQSPTDQATLSLASPESTEESVQARRTGPVKREQLSPPPGPAIPHAENVY
+FSGKGRGMLSWSSSPSSQSSSEYQSYSQYQSCHSCTYGDQDAAQQSMCAFYTHVKTVQGV
+AVAWETDSGFEPVTRQPRIREAEFIRRQRRKGSSFEMASNTDLRWELEASKNNTSSEPED
+AELLAPLECCLQELRDTPDWLVTTNCGLRCVACCRVFPTLEALLEHAQYGIQEGFSCQIF
+FEEMLERRRARDQGQEQEPEEEQESASDSSKGPRPRVTVPSSQSQSQKH
+>tr|A0A3Q1MG60|A0A3Q1MG60_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=DEFB113 PE=4 SV=1
+MKILCIFLTFILTVSCGPAVLQRKTREKTKQIEERKRQCYLVRGACKTSCGQWEYKYTDC
+DLEPCCVAREYVPPVQPIAITKSYKTVTYTSTILYNTANASYNSSTLHNTTYVNYTSTTL
+PNTTDVNYTSATLHTTNASYNDLHHST
+>tr|A0A3Q1M9G8|A0A3Q1M9G8_BOVIN Ubiquitin-like domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MSEEKPKEGVKTENDHINLKVAGQDGSVVQFKIKRHTPLSKLMKAYCERQGLSMRQIRFR
+FDGQPINETDTPAQDTLSLTVSCRHRFFNAKY
+>tr|A0A3Q1N456|A0A3Q1N456_BOVIN C-X-C motif chemokine OS=Bos taurus OX=9913 PE=3 SV=1
+VEYLASLISDFISKELSITAVFVLSVSLFSHTADPSESQELRCQCIQTQSDFISPKFIAK
+VQIIPEGAHCNRKEIIVTLKDGQLICLDPEAEWVMNIIKKIV
+>tr|F1MBH5|F1MBH5_BOVIN Potassium voltage-gated channel subfamily J member 1 OS=Bos taurus OX=9913 GN=KCNJ1 PE=3 SV=2
+MFKHLRKWFVTRFFGRSRRRARLVSKDGRCNIEFGNVEAQSRLIFFVDIWTTVLDLKWRY
+KMTIFITAFLGSWFFFGLLWYVVAYVHKDLPEFHPPANHTPCVEHINGLTSAFLFSLETQ
+VTIGYGFRCVTEQCGTAIFLLIFQSILGVIINSFMCGAILAKISRPKKRAKTITFSKNAV
+ISKRGGKLCLLIRVANLRKSLLIGSHIYGKLLRTTITPEGETIILDQININFVVDAGNEN
+LFFISPLTIYHVIDHTSPFFHMAAETLPQQDFELVVFLDGTVEATSATCQVRTSYVPEEV
+LWGYRFAPIVSKTKEGKYRVDFHNFSKTVEVETPHCAMCLYNEKDARAKMKRGYDNPNFV
+LSEVNETDDTKM
+>tr|G3N3U5|G3N3U5_BOVIN TBC1 domain family member 32 OS=Bos taurus OX=9913 GN=TBC1D32 PE=4 SV=2
+MAHFSGEDEAMLQAMLRQLFQSVKEKITGAPSLECAEEILLHLEETDENFHNYEFVKYLR
+QYICNTLGSMIEEEMEKWTSEQNQGEEFGYDTVVQHVTKRTQESKEYKEMIHSLKNIMKI
+VVESMINKFEEDEIRSQERQKKIQKEKSSSYCTDNCSDSDSSFNQSYKFCQGKLQLILDQ
+LDPGQPKEVRYEALQTLCSAPPSDVLNCENWTTLCEKLTVYLSDPDPVFSVRKCLQKYKH
+FLFFFPYHSRTKYLELYFLSRENNIPTLSTGIDISNPNVTHLLKKIRLLNEYQKEAPSFW
+IRHPEKYMEEIVESTLSLLSVKHDQSHLVSQKILDPIYFLALVDTKAVWFKKWMHAYYSR
+TAVLRLLEKKYKSLITTAVQQCVQYFELCETMKGDEVLGHSKSCGIKQKTFYYSGQELQY
+IYFIHSLSLLGRLLIYTQGRKLFPVKLKTRKDSVSLTDLLVLFTQLIYHSPTCPKMTSPV
+YSQDYSPASMATEVLCILCDQKECAIECLYNNTVIEALLQPIRNLMKGTKAAPNCSETAL
+IHIAHILARIASVEEGLTLLLYGENMNSSEEKSPTGAHLIAQFSKKLLDEDISIFSGSEM
+FPVVKGAFSSVCRQIYGTCEGLQVLIPYSLHESIAKAWKKTSLLSERIPTPVEGSDSVSL
+VSQESQNIMAWEENLLDDLLNFAATPKGLLLLQRTGAINECVTFMFNQYAKKLQVRHKKF
+GYGVLVTQVASTAAGAIALRNSGFINALITELWANLECGRDDVRVTHPRSTPVDPIDQSC
+QKSFLALVNLLSYPAVFELVGNQSLPNKAEYSLREVPTSVIDIIDRLIILNSEAKIRSLF
+NYEQSHIFGLRLLSVVCCDLDTLLLLEAQYQVSELLLNAQEENTLETSESHREFIIDSLS
+VERNHVLVRINLIGGPMERILPPRLLEKGDEPYPWPMFSSYPLPNCYLSDSVTRSTDLKQ
+DNDLGKLLLRFKMSDKQTAWIENCRRQFCKIMKAKPDIISGALVELLEKFVLHLSESPSE
+CYFPSVEYTATDANVKNENLSSVQQLGIKMTVRYGKFLNLLKDGAENDLTLVLKHCERFL
+KQQQASIKSSLHILFCLQRNYTSHDWFVSSLFIIMLGDKDKTFQFLQQFSRLLTSAFLWL
+PRLHISRYLPIDTIESGIHPVYFCSTHYIEMLLKAEVPLVFSAFHMSGFAPSQICMQWIT
+QCFWNYLDWIEICHYIATCVFLGPDYQVYICIAIFKHLQQDILQHTQTQDLQVFLKEEAL
+HGFRVSDYFEYMEVLEQNYRPVLLRDMRNMRVQST
+>tr|A0A3Q1LJD6|A0A3Q1LJD6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=CFAP77 PE=4 SV=1
+MPEARNSCQDLTRWKKKKPPVRRTVSQICPPPRRPLTVADIRPGMENERLGVVRDSMFQN
+PLIVKAELGKPRERSCSLPGINFNYGLYIRGLDGGVPEAIGHWNVFKQQPTCPHELTRNY
+IAMNRGAVKAGLVTARENLHYRQLNDIRISDQDDRRLKKEPPSLPPNMTFGIRARPSTPF
+FDLLQHRYQQLWVQEQKDTQKAIKLEKKHKVILGKLYETRSSQLRKYKPPMGLDTLWHMP
+HFQKVGPHLATFPTEADRQRAFKAHREECAVRQGTLRMGNYTHP
+>tr|A0A3Q1LYZ1|A0A3Q1LYZ1_BOVIN Adenylate cyclase OS=Bos taurus OX=9913 GN=ADCY8 PE=3 SV=1
+MELSDVRCLSGSEELYTIHPTPPAGDSGSGSRPQRLLWQTAVRHITEQRFIHGHRGGGGG
+GGNGSSSKASDPGGGGPNHHHASQLSGDSALPLYALGPGERAHGTGGPKVFPERSGSGSA
+SGSGGGGDLGFLHLDCAPSNSDFFLNGGYSYRGVIFPTLRNSFKSRDLERLYQRYFLGQR
+RKSEVVMNVLDVLTKLTLLVLHLSLASAPMDPLKGILLGFFTGIEVVICALVVVRKDTTS
+HTYLQYSGVVTWVAMTTQILAAGLGYGLLGDGIGYVLFTLFATYSMLPLPLTWAILAGLG
+TSLMQVVLQAVIPRLAVISINQVVAQAVLFMCMNTAGIFISYLSDRAQRQAFLETRRCVE
+ARLRLETENQRQERLVLSVLPRFVVLEMINDMTNVEDEHLQHQFHRIYIHRYENVSILFA
+DVKGFTNLSTTLSAQELVRMLNELFARFDRLAHEHHCLRIKILGDCYYCVSGLPEPRQDH
+AHCCVEMGLSMIKTIRYVRSRTKHDVDMRIGIHSGSVLCGVLGLRKWQFDVWSWDVDIAN
+KLESGGIPGRIHISKATLDCLNGDYNVEEGHGKERNEFLRKHNIETYLIKQPEESLLSLP
+EDIVKESVSSSDRRNSGATFTEGSWSPELPFDNIVGKQNTLAALTRNSINLLPNHLAQAL
+HVQSGPEEINKRIEHTIDLRSGDKLRREHIKPFSLMFKDSSLEHKYSQMRDEVFKSNLVC
+AFIVLLFITAIQSLLPSSRVMPMAIQFSILIMLHSALVLITTAEDYKCLPLVLRKTCCWI
+NETYLARNVIIFASILINFLGAILNIYFVFTGVLAMVTCAVFLRLNSVLKLAVLLIMIAI
+YALLTETIYAGLFLRYDNLNHSGEDFLGTKEASLLLMAMFLLAVFYHGQQLEYTARLDFL
+WRVQAKEEINEMKELREHNENMLRNILPSHVARHFLEKDRDNEELYSQSYDAVGVMFASI
+PGFADFYSQTEMNNQGVECLRLLNEIIADFDELLGEDRFQDIEKIKTIGSTYMAVSGLSP
+EKQQCEDKWGHLCALADFSLALTESIQEINKHSFNNFELRIGISHGSVVAGVIGAKKPQY
+DIWGKTVNLASRMDSTGVSGRIQVPEETYLILKDQGFAFDYRGEIYVKGISEQEGKIKTY
+FLLGRVQPNPFILPPRRLPGQYSLAAVVLGLVQSLNRQRQKQLLNENNNTGIIKGHYNRR
+TLLTPSGPEPGAPAEGADKPELP
+>tr|F1MSG5|F1MSG5_BOVIN Phospholipase A(2) OS=Bos taurus OX=9913 GN=PLA2G2E PE=3 SV=2
+MKRPPLLTFLCLLAALAGGNLVQFGVMIERMTGKPALQYNDYGCYCGVGGSHWPVDKTDW
+CCHAHDCCYGRLEKLGCEPKMERYLFSATRHSIFCAGRTSCQRQTCECDKKAALCFRRNL
+GTYNRKYAHYPNKLCTGPTPPC
+>tr|A0A3Q1LRL7|A0A3Q1LRL7_BOVIN Xylulose kinase OS=Bos taurus OX=9913 GN=XYLB PE=1 SV=1
+MAERAARHCCLGWDFSTQQVKVVAVDAELSVFYEDSVHFDRDLVEFGTQGGVHVHKDGLT
+VTSPVLMWVQALDIILEKMKASGFDFSQVLALSGAGQQHGSVYWKTGASQVLTSLSPDLP
+LREQLQACFSISNCPVWMDSSTAAQCRQLEAAVGGAQALSLLTGSRAYERFTGNQIAKIY
+QQNPEAYSHTERISLVSSFAASLFLGSYSPVDYSDGSGMNLLQIQDKVWSQACLGACAPR
+LEEKLGRPVPSCSIVGAISSYFVQRYGFPPECKVVAFTGDNPASLAGMRLEEGDIAVSLG
+TSDTLFLWLQEPTPALEGHIFCNPVDPQHYMALLCFKNGSLMREKIRDESASGSWSKFSK
+ALQSTGMGNSGNLGFYFDVMEITPEIIGRHRFTAENHEVSAFPQDVEIRALIEGQFMAKK
+IHAEALGYRVMPKTKILATGGASHNRDILQVLADVFGAPVYVIDTANSACVGSAYRAFHG
+LAAGTDMPFAEIVKLAPNPRLVATPTPGASQVYEALLQRYAMLEQRVLSQTRGPPE
+>tr|E1BA21|E1BA21_BOVIN Transcription factor A, mitochondrial OS=Bos taurus OX=9913 GN=TFAM PE=4 SV=2
+MALLRGVWGVLNALGKSGADLCAGCGSRLRSPFSFAYVPKWFSSSLSGYPKKPMTSYVRF
+SKEQLPIFKAQNPDAKNSELIKKIAKLWRELPDSEKKIYEDAYRADWQVYKEEINRIQEQ
+LTPSQMVSLEKEIMQKRLKKKALIKKRELTMLGKPKRPRSAYNIFIAERFQEARDGTSQV
+KLKAINENWKNLSNSQKQVYIQLAKDDKIRYYNEMKSWEEQMMEVGREDLIRRSIKYPAK
+NDPEKF
+>tr|F1MTS2|F1MTS2_BOVIN PBX homeobox 4 OS=Bos taurus OX=9913 GN=PBX4 PE=4 SV=2
+MDAPQRPPRPAPPPPAPRRSPPGPPPGSNTGDVLQQIMAITDQSLDEAQARKHALNCHRM
+KPALFSVLCEIKEKTVSSIHGIQEVGPPDAQLLRLDNMLLAEGVSRPEKQGRGASAAANA
+AMPGGRPNDSGLEHSDYRAKLSQIRQMYHSELEKYEQACREFTMHVTNLLQEQSRIRPVS
+PAEIEHMVGVIHGKFQSIQMQLKQSTCEAVMALRARFLDARRKRQNFSKQATEVLNEYFY
+SHLSNPYPSEEAKEELARRGGITVSQVSNWFGNKRIRYKKNMRKFQEEATIYTAKTAVDA
+TEVRGSGRQASPPSMSHSSSSGPFPLTGPGDALIRLQTLACVQPSPRGGGLLAQARGGWQ
+VKQMPTASPVGNPGSVTSDASN
+>tr|A0A3Q1MSM4|A0A3Q1MSM4_BOVIN Tetraspanin OS=Bos taurus OX=9913 GN=TSPAN12 PE=3 SV=1
+MSISVLAVSAWMRDYLNNVLTLTAETRVEEAVILTYFPVVHPVMIAVCCFLIIVGMLGYC
+GTVKRNLLLLAWYFGSLLVIFCVELACGVWTYEQEIMVPVQWSDMVTLKARMTNFGLPRY
+RWLTHAWNFFQREFKCCGVVYFTDWLEMTEMDWPPDSCCVREFPGCSRQAHQEDLSDLYQ
+EGCGKKMYSFLRGTKQLQVLRFLGISIGVTQILAMILTITLLWALYYDRREPGTDQMMAL
+KNDTTQHLPCHSVELLKPSLSRIFEHTSMANSFNTHFEMEEL
+>tr|A0A3Q1M6A5|A0A3Q1M6A5_BOVIN Tax1-binding protein 1 homolog OS=Bos taurus OX=9913 GN=TAX1BP1 PE=4 SV=1
+MTSFQEVPLQTSNFAHVIFQNVAKSYLPNAHLECHYTLTPYIHPHPKDWVGIFKVGWSTA
+RDYYTFLWSPMPEHYVEGSAVNCELAFQGYYLPNDDGEFYQFCYVTHKGEIRGASTPFQF
+RAASPVEELLTMEDEGNSDMLVVTTKAGLLEALTKVSQSLKMENEEFKKRYNDVTSKALQ
+LEEDIVSVTHKAIEKETELDSLKDKLKKAQCEREQLECQLKTEKDEKELYKVHLKNTEIE
+NTKLVSEVQTLKNLDGNKENMITHFKEEISRLQFSLAEKENLQRTFLLTTSSKEDTFILK
+EQLRKAEEQIQATRQEAVFLAKELSDAVNVRDKTMADLHTAHLENEKVKKQLTDALAELK
+LSAVNKDQEKTDTLEHELRREVEDLKLRLQMAADHYKEKFKECQRLQKQINKLSDQSANS
+NSVFTKKIGSQQKVNDASINTDPAATASTVDVKPLPSTAETDFDNLTKGQVSEMTKEIAD
+KTEKYNKCKQLLQDEKTKCNKYADELAKMELKWKEQVKIAENIKLELAEVVDNYKLQLAE
+KEKEISGLTSYWENLSREKEHKRSVENQAERKLEGQNSQSPHQISQCLKTSSEKSGHVPA
+VSNTQPVLQYGNPYATPETRDGADGAFYPDEIQRPPVRVPSWGLEDNVVCSQPARNLSRP
+DGLEDPEDSKEDEKVPTAPDPPSQHLRGHGTGFCFDPSFDVQKKCPLCELMFPPNYDQSK
+FEEHVESHWKVCPMCSEQFPPDYDQQVFERHVQTHFDQNVLNFD
+>tr|A0A3Q1M0A4|A0A3Q1M0A4_BOVIN Cation-transporting ATPase OS=Bos taurus OX=9913 GN=ATP13A5 PE=3 SV=1
+MEKEREKDHQALLNPGEENEMEVFGYQTQKLRRVLFLVTSVLTCGVLPLLFYWKPQWRVW
+AYCIPCSLQEADTVLLRTTDEFQRYMRKKVICLYLSTLKIPISKNTEEPLVADCHSVINK
+AVLKPELKFRCIQVQKIRYVWNNLEKKFQKVGLLEDSNSCYDIHHTFGLGLTTEEQEIRR
+LVCGPNAIEVEIQPIWKLLVKQVLNPFYVFQAFTLTLWLSQGYIEYSVAIIILSVLSIVL
+SVYDLRQQSVKLHNLVEDHNKVQVKITVKGKGLQELESRLLVPGDVLILPGKLSLPCDAV
+LIDGSCVVNEGMLTGESIPVTKTPLPHAENTTAWKSHSLEDYRKHVLFCGTEVIQVKPSG
+QGSVRAVVLQTGYSTAKGDLVRSILYPRPLNFKLYNDAFKFIVSLAFLGIMGFFYALGVY
+MYHGASLQDTMAMALLLLTVAVPPVLPAALTTGIVYAQRRLKKRRIFCISPQRINMCGQI
+NLVCFDKTGTLTEDGLDLWGTVPTVDNCFQEVHSFTSGKALPWGPQCAAMASCHSLILLD
+GTIQGDPLDLKMFEGTAWIIEDCNADYCELGISDSNVIIKPGPKASQSPVEAIIVLRQFP
+FSSSLQRMSVVAQLAGEDHFHVYMKGAPEMLARFCRPETVPKNFPKELRNYTVQGFRVIA
+LAHKVLKMEKLSEVQSLTREHVESELTFLGLLIMENRLKKETKPVLKELSEARIRTVMIT
+GDNLQTAITVAKNSEMIPRGSQVILVEANEPEEFAPASVTWQLVENQENGPGKNDTHINI
+GNSSVPVEEKGSCYHFAMSGKSYQVILQHFNSLLPKILVNGTIFARMSPGQKSSLVEEFQ
+KLNYYVGMCGDGANDCGALKMAHAGISLSEQEASVASPFTSKTTNIECVPHLIKEGRAAL
+VSSFGVFKYLTMYGIIQFIGTSLLYWQLQLFGNYQYLMQDVAITLMVCLTMSATHAYPKL
+APYRPSGQLLSPPLLLSVVLNTCFNCIVLVCAFLLLKQQPWYCEVYRYSKCFLDSQSNFS
+TNVSLSRNRTGNGALVPGSVLSFESTTLWPITTINCITTAFIFSKGKPFRKPIYTNYIFS
+FLLASALGLTIFLQFSDFQDVYRGMEFVPTVTSWRVSVLVAALTQFCVAFFVEDAILQNR
+ALWLWIKKEFGIYSKSQYRIWQRKLAKDPTWPPTNRTDYSGDGRNGFYINQGFESSEQIP
+KEKLNLGGQTTEQHFWTRL
+>tr|A0A3Q1LFW8|A0A3Q1LFW8_BOVIN Indoleamine 2,3-dioxygenase 1 OS=Bos taurus OX=9913 GN=IDO1 PE=4 SV=1
+MENETIPKVEVISYRLYGELWLLAMATSVINRRSEATLAGGGTELTARMAGDMSSPVENS
+WTIFKEYHIDEDVGFALPYPLEDLPHPYDAWISIARNLPELIKNNQLRVEVEKLATLNIN
+GLRGHRAQRLAHLVLGYITMAYVWGQGDGDIRKVLPSNIAVPYCKLSEKLGLPPILLYAD
+CVLANWKKKDLNGPMTYENMDILFSFPGGDCGKGFFLVSLLVEIAAASAIKVIPSIFDAV
+QRKDSDTLQKALLEISSSLHKALEVFSQIHKYVDPNLFFNVLRIYLSGWKGNPLLSEGLL
+YEGIWDTPKKFAGGSAAQSSIFQCFDVLLGIQHSIGGVPADSAAEFLQEMRTYMPPAHHS
+FLLSLKSKPSVREFVLSEGNATLQEIYNKCVQAMVSLRNYHLQIVAKYIVIPARQQPQVE
+ENLEEENRGTGGTNVMDFLKTVRSKTKNSLLKEE
+>tr|A0A3Q1LYR8|A0A3Q1LYR8_BOVIN CST complex subunit STN1 OS=Bos taurus OX=9913 GN=STN1 PE=4 SV=1
+MESNSSQCEDETPSLLWGLDPVFLAFAKLYIRDILDLKESGQVQGVFFYNGHPIKQVDIL
+GTVIGVREKDAFYSYGATATPSARELSLTSQLKKLQETIAQRAKLEIGDIIRVRGHIRMF
+RGEREIHATTYYKVDDPVCNVQIARMLELPAIYRKVYDQPFHSPALKEDEALSNPGTLDL
+DSLTCLLSEKAKEFLVENRVQSFYQQELETVESLLSLANQPVIHSACSGQMGFKNDTTSR
+AIHSIFRNAVKLLQEEGLVFQKDGGFDNLFYVTREDKELHRKIHRIIQEECQKPNHVEKG
+CHFLHILACARLSLSPGLSEPVLQQVLQLLEDQSDIVSTTEKYYTAF
+>tr|A0A3Q1M911|A0A3Q1M911_BOVIN SRY-box 6 OS=Bos taurus OX=9913 GN=SOX6 PE=4 SV=1
+MSSKQATSPFACTADGEEAMTQDLTSREKEEGSDQHVASHLPLHPIMHNKPHSEELPTLV
+NTIQQDADWDSVLSSQQRMESENNKLCSLYSFRNTSTSPHKPDEGSRDREIMTSVTFGTP
+ERRKGSLADVVDTLKQKKLEEMTRTEQEDSSCMEKLLSKDWKEKMERLNTSELLGEIKGT
+PESLAEKERQLSTMITQLISLREQLLAAHDEQKKLAASQIEKQRQQMDLARQQQEQIARQ
+QQQLLQQQHKINLLQQQIQVQGHMPPLMIPIFPHDQRTLAAAAAAQQGFLFPPGITYKPG
+DNYPVQFIPSTMAAAAASGLSPLQLQQLYAAQLASMQVSPGAKMPSTPQPPNAAGAVSPT
+GIKNEKRGTSPVTQVKDEAAAQPLNLSSRPKTVEPVKSPTSPTQSLFSASKTSPVNLPNK
+SSIPSPIGGSLGRGSSLDILSSLNSPALFGDQDTVMKAIQEARKMREQIQREQQQQQPHG
+VDGKLSTLNNMGLNNCRNEKERTRFENLGPQLTGKSSEDGKLGPGVIDLTRPEDAEGSKA
+MNGSAAKLQQYYCWPTGGATVAEARVYRDARGRASSEPHIKRPMNAFMVWAKDERRKILQ
+AFPDMHNSNISKILGSRWKSMSNQEKQPYYEEQARLSKIHLEKYPNYKYKPRPKRTCIVD
+GKKLRIGEYKQLMRSRRQEMRQFFTVGQQPQIPITTGTGVVYPGAITMATTTPSPQMTSD
+CSSTSASPEPSLPVIQSSYGMKTDGGSLAGNEMINGEDEMEMYDDYEDDPKSDYSSENEA
+PEAVSAN
+>tr|A0A3Q1MUZ8|A0A3Q1MUZ8_BOVIN SH3 domain containing kinase binding protein 1 OS=Bos taurus OX=9913 GN=SH3KBP1 PE=4 SV=1
+MKKEPLSSKAPEKPMHEVSSGNALLSSETILRTNKRGERRRRRCQVAFSYLPQNDDELEL
+KVGDIIEVVGEVEEGWWEGVLNGKTGMFPSNFIKELSGESDDLGISQDDQLSKSRPEALL
+PPASLLPFPAHGAKGKTTFEGTILYRAAPGKTEGHRRYYSLRETTGSESDGGDSSSTKSE
+GANGTVATAAIQPKKVKGVGFGDIFKDKPIKLRPRSIEVENDFLPVEKTIGKKLPPTAAT
+PDSSKPEMDSRTKAKDYCKVIFPYEAQNDDELTIKEGDIVTLINKDCIDVGWWEGELNGR
+RGVFPDNFVKLLPPDFEKEGNRPKKPPPPSAPVIKQGAGTTERKHEIKKIPPERPETLPN
+RTEEKERPEREPKLDLQKPSVPAIPPKKPRPPKTNSLSRPGALPPRRPERPVGPLTHTRG
+DGAKIDLVGSSIPGMLDKDLSDRSNDIDLEGFDSVVSSTEKLSHPTTSRPKATGRRPPSQ
+SLTSSSLSSPDIFDSPSPEEDKEEHVSLAHRGVDASKKASKTVTISQVSDNKASLPPKPG
+TMAAGGGGPAPLSSAASSPLSSSLGTVGHRANSPSLFGMEGKPKMEPVASSQAAMEELRT
+QVRELRSIIETMKDQQKREIKQLLSELDEEKKIRLRLQMEVNDIKKALQSK
+>tr|A0A3Q1M8I3|A0A3Q1M8I3_BOVIN TEA domain transcription factor 1 OS=Bos taurus OX=9913 GN=TEAD1 PE=4 SV=1
+MERMSDSADKPIDNDAEGVWSPDIEQSFQEALAIYPPCGRRKIILSDEGKMYGRNELIAR
+YIKLRTGKTRTRKQVSSHIQVLARKKVREIQAAIKVTSMDQTAKDKALQHMAAMSSAQIV
+SATAIHNKLGLPGIPRPTFPGAPGFWPGMIQTGQPGSSQDVKPFVQQAYPIQPAVTAPIP
+GFEPASAPAPSVPAWQGRSIGTTKLRLVEFSAFLEQQRDPDSYNKHLFVHIGHANHSYSD
+PLLESVDIRQIYDKFPEKKGGLKELFGKGPQNAFFLVKFWADLNCNIQDDAGAFYGVTSQ
+YESSENMTVTCSTKVCSFGKQVVEKVETEYARFENGRFVYRINRSPMCEYMINFIHKLKH
+LPEKYMMNSVLENFTILLVVTNRDTQETLLCMACVFEVSNSEHGAQHHIYRLVKD
+>tr|A0A3Q1M697|A0A3Q1M697_BOVIN GTP-binding protein 8 OS=Bos taurus OX=9913 GN=GTPBP8 PE=4 SV=1
+MAAHRLRQSVGRLFAMGPELGSGRRAFSAFQAFTEVLRLPSKQLTKLVFPLEELHEHFVP
+GSRPDLHLNIFHPSLEDIARAESFFTASARNRIEYLTSAVRLDHAPDLHRPEVCFIGRSN
+VGKSSLIKALFSLAPEVEVRVSKKPGHTKKLNFYKVGKYFTLVDMPGYGYRAPEDFVDMV
+ETYLKERKNLMRTFLLVDSVVGIQKADNIAIEMCEEFALPYVMVLTKIDKPSKGHLLKQV
+LQIQKFVDTKTQGCFPQLFPEEASSALTPSSH
+>tr|E1BEE7|E1BEE7_BOVIN Coiled-coil domain containing 15 OS=Bos taurus OX=9913 GN=CCDC15 PE=4 SV=3
+MPRSMAPLKKPRNTSKLPLALNPLKSKNVLAVLAERNQAIVPVGAWVEPAPPDSSEVPAA
+TSAYIIEEELKEQLRKKQEALKHFQRQVKSRVNQQIRLRKKQQLQRSYEAAEKEGSIAMY
+SSDPAHLTPKRTSVFPSNLNAAIGRARLPPPQMLEGGIEDRENQSELFQQQAQAKALCRK
+PSFTRINIGVRGDLPLEVHQGLLTPADYQKFMDDQEPEESSSVMIDKKGKKHLSWGDQEA
+LFPEDKDIPFSRVQKVQFKTPLFDVIEEEEVKQLHQDVLPEAQDYLPEAQGDLTSVLDVE
+WEAQRCEPRLSTQHIDLEGHTVEPKAQAIKTKTKSCILKDQTVGLEDGDIALEVQDFPLQ
+NQTFLPTDQHILPKDQNIQPECQDQDFLPSDQKVCFKETYCDMNEKGRKDFSLASYQYLP
+PKLQYQASIRDQSKCIMQPSCFKKSELGRGIASGVWLVGDEYQSRLNTEFQTPLALQSGV
+SQEEDKKERQKQYLRYRRLFMDIEREQVKEQQRQKEHKKKIEKIKKKKEQQRYAEEQRIL
+RMKSHEEPCSGEKMSEILAQLQLEEIKGAREKQQQKEKEYQRYVEALRAQIQEKMQLYNI
+TLPPLCCCGPDFWDAHPDTCANNCIFYKNHKAYTRALHSVVNSCDIPEGNSDLRVAIHNF
+ASAHRRTLKNL
+>tr|A0A3Q1N6S6|A0A3Q1N6S6_BOVIN PDZ and LIM domain 5 OS=Bos taurus OX=9913 GN=PDLIM5 PE=4 SV=1
+MSNYSVSLVGPAPWGFRLQGGKDFNMPLTISSLKDGGKASQANVRIGDVVLSIDGISAHG
+MTHLEAQNKIKGCTGSLNMTLQRASATPKLEPVPVQKPTVTSVCAETAQELAEGQRRGSQ
+GDSKQQNGKIPPKRPPRKHIMDRNTEFYHIPTHSDASKKRLIEDTEDWRPRTGTTQSRSF
+RILAQITGTEHLKESEADNTKKAKFDSSLEDLPQTGPHPPTTAQVLHIGGQVATVSKVTT
+YSSSTRNVATSSLEASRNLSTLSSPARYSAAVLSSAAATVSAVLAARTSNAQESSLQSAP
+SAGAAWSSPERPGSPGLARPGVAGLTTAAAFKPLGSSGIKSPSWQRPSQAAPSTGRVVSS
+GPSTGAVAPANSARGQPQPSDEDTLVQRAEHIPAGKRTPMCAHCNQVIRGPFLVALGKSW
+HPEEFNCAHCKNTMAYIGFVEEQGALYCELCYEKFFAPECGRCQRKILGEVINALKQTWH
+VSCFVCVACGKPIRNNVFHLEDGEPYCETDYYALFGTICRGCEFPIEAGDMFLEALGYTW
+HDTCFVCSVCCESLEGQTFFSKKDKPLCKKHAHSVNF
+>tr|A0A3Q1LXP1|A0A3Q1LXP1_BOVIN Glyco_trans_2-like domain-containing protein OS=Bos taurus OX=9913 GN=B3GNTL1 PE=4 SV=1
+MQARGYPAARAIGPARAPAQVSVILPVHDAEPWLDECLGSVLQQDFEGSMELSIFNDASK
+DKSMTIIEKWKKKLEGSGILVVIGGHESPSPRGVGYSKNQAVAQSSGSYLCFLDADDVMM
+PQRVRLQHEAALRHPKSIIGCQVTREPPNSTERYTRWINQLAPDQLLTQVFTSNGPTVIM
+PTWFCSRAWFAHVGPFDEGGRGVPEDLLFFYEHLRKGGGVVRVDQSLLLYRYHPGAATHS
+VLETTIWAHRVRFLEEQALPHWATFTIWNAGRQGRRLYRSLTAGSQRKVAAFCDVDENKI
+RKGFYCYEGSQERPKPRIPVLHFRAARPPFVICVKLDLTGGAFEDNLRSLNLQEGRDFLH
+FS
+>tr|G1K180|G1K180_BOVIN RIB43A-like with coiled-coils protein 2 OS=Bos taurus OX=9913 GN=RIBC2 PE=4 SV=2
+MEVAQPKDLKEDFVLAKRRHAELVRQKRIFNARNRIIGGDTTAWDAQVCDQNIKAATEKA
+RDEAFAAEMRQNDKIACLSENRERRDRKNLCKAINDFQQSFQRPETRREFDLSDPLALKK
+DRPARQSDYDARNTISGMQKFMGEDLNFHLRKKFQEEQNREWSLQQQKEQMIGRENQKCA
+EDLYLKTRLQFDETAKHLQNLETATRKAVCATVKEFNKNQALESAEKKIQERKQEQEDNL
+AEISNMLRGDLLSENPQQAASSFGPHRVVPDRWKGMSQEQLEEIRLVQRQQVQEKLRLQE
+EERQRDMDWDRRRIQKARATLLFEQQQQRLQRGLRRALDCSNLSLAREQLLQKKHMKELC
+TNHATEDYFTQFNTGSR
+>tr|F1MBA3|F1MBA3_BOVIN Integrin alpha-2 OS=Bos taurus OX=9913 GN=ITGA2 PE=3 SV=2
+MRPGRTGGTPLQLVLVFSQGILNCCVAYNVGLPKAKIFSGPSSEQFGYAVQQFINPKGNW
+LLVGSPWSGFPKNRMGDVYKCPVDLSTTTCEKLNLQTSTSMSNVTEMKTNMSLGLTLTRN
+VGTGGFLTCGPLWAQQCGSQYYTTGVCSDVSPDFQLRTSFAPAVQTCPSFIDVVVVCDES
+NSIYPWDAVKNFLEKFVQGLDIGPTKTQMGLIQYANNPRVVFNLNTFKSKDEMIKATSQT
+FQYGGDLTNTFKAIQYARDTAYSTAAGGRPGATKVMVVVTDGESHDGSKLKAVIDQCNKD
+NILRFGIAVLGYLNRNALDTKNLIKEIKAIASIPTERHFFNVSDEADLLEKAGTIGEQIF
+SIEGTVQGGDNFQMEMSQVGFSAEYSPQNNILMLGAVGAYDWSGTVVQKTPHGHLIFSKQ
+AFEQILQDRNHSSYLGYSVASISTGNSVHFVAGAPRANYTGQIVLYSVNENGNVTVIQSH
+RGDQIGSYFGSVLCAVDVNKDTITDVLLVGAPMYMNDLKKEEGRVYLFTITKGILNWHQF
+LEGPKGLENARFGSAIAALSDINMDGFNDVIVGSPLENQNSGAVYIYNGHEGMIRLRYSQ
+KILGSDRAFSSHLQYFGRSLDGYGDLNGDSITDVSVGAFGQVVQLWSQSIADVSVDASFT
+PKKITLLNKNAEIKLKLCFSAKFRPTNQNNQVAIVYNITIDEDQFSSRVISRGLFKENNE
+RCLQKTMIVSQAQRCSEYIIHIQEPSDIISPLNLCMNISLENPGTNPALEAYSETVKVFS
+IPFHKDCGDDGVCISDLVLNVQQLPATQQQPFIVSNQNKRLTFSVQLKNKKESAYNTEIV
+VDFSENLFFASWSMPVDGTEVTCQIASSQKSVTCNVGYPALKSKQQVTFTINFDFNLQNL
+QNQASISFRALSESQEENMADNSVNLKLSLLYDAEIHITRSTNINFYEVSLDGNVSSVVH
+SFEDIGPKFIFSIKVTTGSVPVSMASVIIHIPQYTKDKNPLMYLTGVHTDQAGDISCEAE
+INPLKIGQTSSSVSFKSENFRHIKELNCRTASCSNIMCWLRDLQVKGEYFLNVSTRIWNG
+TFAASTFQTVQLTAAAEIDTYNPQIYVIEENTVTIPLTIMKPHEKVEVPTGVIVGSVIAG
+ILLLLALVAILWKLGFFKRKYEKMAKNPDETDETTELNS
+>tr|F1MK52|F1MK52_BOVIN Prominin 1 OS=Bos taurus OX=9913 GN=PROM1 PE=4 SV=3
+MALLLGFLLLLGLCEDTLSEELSSSGYRPDGLEFQLPPTSYQTSDSYDPGLAGFFFQIVR
+FFVQIVQPNAFPEDILRKIIQKKFDLSKDKILIGIIICAALGLLFVILMPLVGFFFCLCR
+CCNKCGGEMHQRQKKSGPFLKKYFTISLLVICVFISIGIIYGFAANHYMRTNVEETRKLS
+ESNFNDLRTLLNVVPGQIDYILDQFTLPKEKAFDDLDNINLLVGGSIYERLKPKVLPVLK
+DIKDLAEDMKTNRDTLVRMNTVLTDMKQSSAQLRTSLRDVKTNMEQTLMDPQCSSPAAAP
+TCDSIRKSLSVLDGSANFDHLPSLDGHITQLDGLLQTDLSGLVQKANESLSNIPEEVQNQ
+TRDFISEFKKTLNSLQSDVKNISTKIPIQKTLSNFVRYINDSEDYILQYLPTMEECDSYR
+WLVCLVICCLLTLILIFYLLGLLCGTLGYDQKATPTRRGCVSNTGGLLLMVGVGLSFFFS
+WIIMTIVVLTFVTGGNMEKLVCEPYRNKKLFQVLDTPYLLNEDWKYYLSGLVFNKPDINL
+TFEQVYSDCKENKGLYATLKLDHIYNVSEQLNITKHTGDINSNLENMNIRIEDIELLDKT
+GMKTLMDLRSSGIDDIDYAAYLNATERSPTRVNLISFANNLRRKAQPPSGNLKTSLKSHT
+DTLTNIQENQVVPLQNSMNAMHQQMKGLQYRTSGLKVRVSTTIFFLNSTQDFLTSQLSEV
+VVEESKQFGNKIISYFERYLQWVEMAITQQFAACRPVATALDSAVNVFLCSYIVDPLNLF
+WFGVGKATILLLPAVIFAVKLAKYFRRMYSEDVYEDEPVNKVQSKPRAQTVPRVQTVPMV
+QTVPMTNMRHANTRSRVEQGTQTI
+>tr|A0A3Q1M5K1|A0A3Q1M5K1_BOVIN Hflx-type G domain-containing protein OS=Bos taurus OX=9913 GN=GTPBP6 PE=4 SV=1
+MWALRAAGRGGAWLSRAVRGCRPPRAALLPPPPHPERGGSRADGQRSRADGEEEPKDAEE
+EEDEEELLRRDPLLPAGTQRVCLVHPEVKWGPGKTQGTRAEWQVAEARALVCTLDGWLVV
+DTMVVRTTTPDKKLIFGRGTLEQLTERIRGSPEITSVFLNVERLATPTKKDLEAAWRVPV
+FDRFTVVLHIFRCNARTKEARLQVALAELPLLRSHVKSNVAHLGGRGRSSRYTMGSGESF
+LQLQQRLLRDREAKIQKALERLRCKRRLLGQQRRRKEFPVVSVVGYTNCGKTTLIKALTG
+DAAIQPRNQLFATLDITAHAGRLPSALTVLYMDTIGFLSQLPHSLVESFSATLQDVAHSD
+LIVHVRDVSHPETELQKASVLSALWGLGLPDALLEMCCAGRPDRYTPVEPDVLAVSALLG
+LGLDELMARVEDAVLRATGRRTLTLRVRLAGPQLSWLHQEATVQAVDVIPEAGAADVKVI
+ISDSAYGRFRKLFPG
+>tr|F1MX07|F1MX07_BOVIN Tektin-3 OS=Bos taurus OX=9913 GN=TEKT3 PE=4 SV=1
+MELLGSTLTATYAHPSPTPTNFLPAISTMASTYRDRFPHYNLTHSLSLPWRPSTYYKAAS
+NWPTLDPYCTRSQRVSESTMLPFVSNRTTLFTRYTPDDWYRSNLTNFQESNTSRHNSERL
+RVDTSRLIQDKYQQTRKTQADSTQNLGERVNDIGFWKSEIIHELDAMIGETNKLTDIKKR
+LERALMETEAPLQVARECLFHREKRMGIDLVHDEVEKELLTEVDIILCCQERMKLYLDKA
+IAQLAANRAAQHELEKDLSDKQSAYRIDDKCHHLRNTSDGVSYFRGVERVDATVSVPESW
+AKFTDDNILRSQSERAASAKLRDDIQNVLVVTANEMWNQFNKVNLAFTNRIAETADAKNK
+IQTHLAKTLQEIFQTEMTIESIKKAIVEKSAFLKVAQTRLDERTRRPNIELCRDMAQLRL
+VNEVYEVDDTIQTLQQRLRDAEDTLQSLAHTKATLEHDLAVKANSLYIDQDKCMSMRRSF
+PSTLRLVGFC
+>tr|A0A3Q1M1B2|A0A3Q1M1B2_BOVIN Y-box binding protein 3 OS=Bos taurus OX=9913 GN=YBX3 PE=1 SV=1
+MLMKSMPQLRSQPSPPLPLPGPARRLEELVRGHFWGSKTERGAPERARSPRARAASRRET
+PPPSPASGRASPRPPRPPAAPTADQPPPRCPRDSGGGGMSEAGEATSTTSTAAAAPSSSA
+PITTAAALPQAPAEAAPQDPAPKSPAGGGAPPAPAPAALVSGNPGGDAAPGTAAPASSAA
+VGSEDAEKKVLATKVLGTVKWFNVRNGYGFINRNDTKEDVFVHQTAIKKNNPRKYLRSVG
+DGETVEFDVVEGEKGAEAANVTGPDGVPVEGSRYAADRRRYRRGYYGRRRGPPRNYAGEE
+EEEGSGSSEGLEAPAAAGQFSAARSQPRRPQFRPQYRRRFPPYHVGQTFDRRSRVFPHPS
+RMQAGEIGEMKDGVPEGAQLQGPVHRNPTYRARYRRGPPRPRPAPAVGEAEDKENQQAAN
+GPNQPPARRGYQRPYNYRRRPRPPNAPSQDGKEVT
+>tr|A0A3Q1M7C8|A0A3Q1M7C8_BOVIN Vomeronasal type-1 receptor OS=Bos taurus OX=9913 GN=LOC112442216 PE=3 SV=1
+MSHSALRNHCCHHLKGSTLNDTTAPSQLAIGIIFFLQTVVGIWGNFSLLYHYLFLYHTQC
+RLRVTDLIGKHLIIANILLILSKGVPQTITTLRLKHFASDFACKLILYVERVGRSMSIGT
+TCLLSVFQTIMISPMNSCWKNLKVKAPKYIAFSISFCWIQCMFVNLIFPLYALYVSEKWH
+STNMTNTRDSGYCVATDLENISGSIYTALIVFPEVSFSVLIFWASGSMILTLYRHSRQVQ
+YIHKASVSPRPSAESRATQSILLLASTFMCFHTLSCIFNITLALFHNPSWWLVDTAALIS
+MFFPFISPFLLMSRDSIVSSLCFLYMKNSVSPNLIRKM
+>tr|A0A3Q1MT95|A0A3Q1MT95_BOVIN PHD finger protein 8 OS=Bos taurus OX=9913 GN=PHF8 PE=4 SV=1
+MASVPVYCLCRLPYDVTRFMIECDMCQDWFHGSCVGVEEEKAADIDLYHCPNCEVLHGPS
+IMKKRRVSSKGHDTHKGKPVKTGSPTFIRELRSRTFDSSDEVILKPTGSQLTVEFLEENS
+FSVPILVLKKDGLGMTLPSPSFTVRDVEHYVGSDKEIDVIDVARQADCKMKLGDFVKYYY
+SGKREKVLNVISLEFSDTRLSNLVETPKIVRKLSWVENLWPEECIFERPNVQKYCLMSVR
+DSYTDFHIDFGGTSVWYHVLKGEKIFYLIRPTNANLTLFECWSSSSNQNEMFFGDQVDKC
+YKCSVKQGQTLFIPTGWIHAVLTPVDCLAFGGNFLHSLNIEMQLKAYEIEKRLSTADLFK
+FPNFETICWYVGKHILDIFRGLRENRRHPASYLVHGGKALNLAFRAWTKKEALPDHEDEI
+PETVRTVQLIKDLAREIRLVEVRSMGTGEALEKGLRLKWQVAQLLRLLYTKKVKSLSKSR
+RAKIVKKADKTRVVSEQVMEDELDLDSDDELQIDERLGKEKATLLIRPKFPRKLPRAKPC
+SDPNRVREPGEVEFDIEVGAFPDLIEGVEGKLGNGSGAGGILDLLKASRQVGGPDYAALT
+EAPASPSTQEAIQGMLCMANLQSSSSSPATSSLQAWWTGGQDRSSTSSNSGLGTMSSSPA
+SQRTPGKRPIKRPAYWKTESEEEEENASLDEQDSLGACFKDAEYIYPSLESDDDDPALKS
+RHKKKKNSDDAPWSPKARVTPTLPKQDRPVREGTRVASIETGLAAAAAKLAQQELQKAQK
+KKYIKKKPLLKEDSWFWSCCHHPCHSLCLPLLSPTGKRPKKGLATAKQRLGRILKIHRNG
+KLLL
+>tr|A0A3Q1N1L2|A0A3Q1N1L2_BOVIN Protein tilB homolog OS=Bos taurus OX=9913 GN=LRRC6 PE=4 SV=1
+VGRHNDCVIFSLEELSLHQQEIERLEHIDKWCRDLKILYLQNNLIGKIENVSKLKKLEYL
+NLALNNIEKIENLEGCEGLTKLDLTVNFIGELSSVKTLQGNIHLKELFLMGNPCADFDGY
+RQFVVATLQQLKWLDGKEIERSERIQALQNLPVVEQRIREQEKAYCLKRAKDKEEAQRKL
+EEEESKGEMGRSHTGSGGHWHADLSATLPSFEESKDYIQAPEIEEGQCKGKEFDDREDDL
+EFWNKPSLYTPESRLETLRHMEKQRRDQERLSEKKKKVKPPRMLVTEDGRALNVNEPKLD
+FTLKDDEKRNQIVLDLAVYRYMDTSLIDVDVQPTYVRVMVKGKPFQLILPAEVKPDSSFA
+KRSQTTGHLVVCMPKVGEVIIGCQRTSKPVKSTPDSSKEQTSKSQQMERLEVDPSKRSIP
+DVANIVQEKKHMPRRVCAEPKIIPSEEDPDFEDNPEVPPLI
+>tr|A0A3Q1MUB6|A0A3Q1MUB6_BOVIN Sulfatase domain-containing protein OS=Bos taurus OX=9913 GN=ARSD PE=4 SV=1
+KTRNDQASNTSKPNILLIMADDLGIGDLGCYGNDTLRTPNIDRLAEEGVRLTQHLAAAPL
+CTPSRAAFLTGRHAFRSGMDATDRYRALQWNAGSGGLPQNETTFARILQGQGYTTGLIGK
+WHQGVNCASRNDHCHHPLHHGFDYFYGMPFTLVNDCHPDRPPSLDSGLRARLWLYTQIMA
+LGVLTIVAGKACRLISISWKLVLSVATLVLLFFTSWYASFGFVRRWNCILMRNHEVTEQP
+MVLERAASLVLKEAVSFIARNKQGPFLLFVSLLHVHIPLVTTERFLGKSRHGLYGDNVEE
+MDWLVGEILNAVEEHGLKNTTLTYFTSDHGGHLQARDGHVQLGGWNGIYRGGKGMAGWEG
+GIRVPGIFRWPGVLPAGHVIHEPTSLMDVFPTVVQLAGGQVPQDRVIDGRSLLPLLRGDA
+ERSAHEFLFHYCGQYLHAARWHEKDSEFTLWKVHYTTPDFHPEGAGACRGSSMCPCSGAG
+VTHHDPPLLFDLSGDPSEARPLSPDAGPVYREVVARVGRAVREHRRSVRPVPEQLSFQNV
+AWKPWLQPCCGPFPFCACSRDADPGET
+>tr|A0A3Q1ML11|A0A3Q1ML11_BOVIN C-type lectin domain-containing protein OS=Bos taurus OX=9913 GN=LOC100294723 PE=4 SV=1
+MSLFPSHSKMDYSVYRMFGQMQNTEESMTQKPRKHPYHCQHMWTCKVSHLSIYSCSDNPS
+ERFSSENGTKDCNCMNLSPRKQQNSTNFIRNHSQNLCPNGWVQKKGKCYNFFKNYQSWID
+SQKLCSTMKSHLLVIQDKAELDFLQSSIQDGIYFWIGLNISYPQNTWTWLDGTPLNLQLF
+QVLGEVEDDACALITKKGVFSEKCPIQNYWICQGVVPSSTDNDL
+>tr|G3MZG7|G3MZG7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=3 SV=1
+MPPKFDPNEIKVVYLRCTGGEVGATSALAPKIGALGLSPKKVGDDIAKATGDWKGLRITV
+KLTIQNRQAQIEVVPSASALIIKALKEPPRDRKKQRNIKHSGNITFDEIVNIARQMWHRS
+LARELSGTIKEILGTAQSVGCNVDGRHPHDIIDDINSGAVECPAS
+>tr|F1N2T9|F1N2T9_BOVIN G_PROTEIN_RECEP_F1_2 domain-containing protein OS=Bos taurus OX=9913 GN=LOC784535 PE=4 SV=2
+MELTNVTTLSNASNTDLSRCDRHCRVILISLYSVVLLGGAAGAIAMSYMMLKRNSQSIVA
+TIVLNIIVLHSILLFSLPFRLSYYVLVVWEFGSFTCRLVSGIIYGHMYFTFVFYVAIIIS
+RLLVYFKKLQTQFQKYHVVVLSIIIWLVGSAIFLPIFFLQYGTNPSYSEQQCFEFYKDLK
+RKEFIILNYSMIVIMMTTVVTLFLIQMWVIVQLVKALWPDMWTHQEYRAQIKSFFFLLII
+VVCFIPHHAFRIHFIQHYSETEDSELVRYNEIFVALTTACCLDMLCFVGGVIH
+>tr|F1N3L7|F1N3L7_BOVIN Dedicator of cytokinesis 7 OS=Bos taurus OX=9913 GN=DOCK7 PE=3 SV=3
+MAERRAFAQKISRTVAAEVRKQISGQYSGSPQLLKNLNIVGNISHHTTVPLTEAVDPVDL
+EDYLLTHPLAVDSGPLRDLVEFPPDDIEVVYSPRDCRTLVSAVPEESEMDPHVRDCIRSY
+TEDWAIVIRKYHKLGTGFNPNTLDKQKERQKGLPKQVFESDEAPDGNNYQDEQDDLKRRS
+MSIDDTPRGSWACSIFDLKNSLPDALLPNLLDRTPNEEIDRQNDDQRKSNRHKELFALHP
+SPDEEEPIERLSVPDVPKEHFGQRLLVKCLSLKFEIEIEPIFASLALYDVKEKKKISENF
+YFDLNSEQMKGLLRPHVPPAAITTLARSAIFSITYPSQDVFLVIKLEKVLQQGDIGECAE
+PYMIFKEADATKNKEKLEKLKSQADQFCQRLGKYRMPFAWTAIHLMNIVSSAGSLERDST
+EVEISTGERKGSWSERRNSSIVGRRSLERTTSGDDACNLTSFRPATLTVTNFFKQEGDRL
+SDEDLYKFLADMRRPSSVLRRLRPITAQLKIDISPAPENPLYCLTPELLQVKPYPDSRVR
+PTREILEFPARDVYVPNTTYRNLLYIYPQSLNFANRQGSARNITVKVQFMYGEDPSNAMP
+VIFGKSSCSEFSKEAYTAVVYHNRSPDFHEEIKVKLPATLTDHHHLLFTFYHVSCQQKQN
+TPLETPVGYTWIPMLQNGRLKTGQFCLPVSLEKPPQAYSVLSPEVPLPGMKWVDNHKGVF
+NVEVVAVSSIHTQDPYLDKFFALVNALDEHMFPVRIGDMRIMENNLENELKSSISALNSS
+QLEPVVRFLHLLLDKLILLVVRPPVIAGQIVNLGQASFEAMASIINRLHKNLEGNHDQHG
+RNCLLASYIYYVFRLPNTYPNSPSPGPGGLGGSVHYATMARSAVRPASLNLNRSRSLSNS
+NPDISGTPTSPDDEVRSIISSKAMDRSCNRMSSHTETSSFLQTLTGRLPTKKLFHEELAL
+QWVVCSGSVRESALQQAWFFFELMVKSMVHHLYFNDKLDAPRKTRFPERFMDDIAALVST
+IASDIVSRFQKDTEMVERLNTSLAFFLNDLLSVMDRGFVFSLIKTCYKQVSSKLYSLPSP
+SVLVSLRLDFLRIICSHEHYVTLNLPCSLLTPPASPSPSVSSATSQSSGFSTNVQDQKIA
+NMFELSVPFRQQHYLAGLVLTELAVILDPDAEGLFGLHKKVINMVHNLLSSHDSDPRYSD
+PQTKARVAMLYLPLIGIVMETVPQLYDFTETHNQRGRPICIATDDYESESGSMISQTVAM
+AIAGTSVPQLTRPGSFLLTSTSGRQHTTFSAESSRSLLICLLWVLKNADETVLQKWFTDL
+SVLQLNRLLDLLYLCVSCFEYKGKKVFERMNSLTFKKSKDMRAKLEEAILGSIGARQEMV
+RRSRGQLGTYTMSSPSERSPSGSAFGSQENLRWRKDMTHWRQNTEKLDKSRAEIEHEALI
+DGNLATEANLIILDTLEIVVQTVSVTESKESILGGVLKVLLHSMACNQSAVYLQHCFATQ
+RALVSKFPELLFEEETEQCADLCLRLLRHCSSSISTIRSHASASLYLLMRQNFEIGNNFA
+RVKMQVTMSLSSLVGTSQNFNEEFLRRSLKTILTYAEEDLELRETTFPDQVQDLVFNLHM
+ILSDTVKMKEHQEDPEMLIDLMYRIAKGYQTSPDLRLTWLQNMAGKHSERSNHAEAAQCL
+VHSAALVAEYLSMLEDRKYLPVGCVTFQNISSNVLEESAVSDDVVSPDEEGICSGKYFTE
+SGLVGLLEQAAASFSMAGMYEAVNEVYKVLIPIHEANRDAKKLSTIHGKLQEAFSKIVHQ
+DGKRMFGTYFRVGFYGTKFGDLDEQEFVYKEPAITKLAEISHRLEGFYGERFGEDVVEVI
+KDSNPVDKCKLDPNKAYIQITYVEPYFDTYEMKDRITYFDKNYNLRRFMYCTPFTLDGRA
+HGELHEQFKRKTILTTSHAFPYIKTRVNVTHKEEIILTPIEVAIEDMQKKTQELAFATHQ
+DPADPKMLQMVLQGSVGTTVNQGPLEVAQVFLSEIPSDPKLFRHHNKLRLCFKDFTKRCE
+DALRKNKSLIGPDQKEYQRELERNYHRLKEALQPLINRKIPQLYKAVLPVTCHRDSFSRM
+SLRKMDL
+>tr|A0A3Q1LT89|A0A3Q1LT89_BOVIN Collagen alpha-2(IV) chain OS=Bos taurus OX=9913 GN=COL4A2 PE=4 SV=1
+PWGPCRKVSAPLKRWLSGSHCLIVSKQIPFLRGVKKLDVPCGGRDCSGGCQCYPEKGGRG
+QPGPVGPQGYTGPPGLQGFPGLQGRKGDKGQRGAPGITGPKGDVVRMGPWALLCGGGGGS
+WPGPQGPKGQKGEPYALSSEDRDKYRVSLQGRGMTEPHGPPGRPGPVGQMGPVGAPGRPV
+SSRLTCSPSWAVFTVGFSDEKRGLPGEMGPKGFTGEQGFPALYPGPPGTDGQPGLRGPPG
+LPGPPGPDGFLFGLKGEKGSGGFPGSSGFPGARGQKGWKGDAGDCKCADQFIRGPPGLPG
+PKGFAGANGQPGSKGSQGDPGQHGVPGFAGFKGAPGNVGPPGPKGMKGDSRTITTKGERG
+QPGVPGAPGLKGSDGIPGPPGLDGFHGLPGPPGDGIKGPRGDTGQPGAPGTKGLPGERGP
+PGLGLPGPKGERGFPGDAGLPGPPGFPGPPGLPGSPGQIGTRWLKRPSSLLAGCVGGPKG
+SPGQPGLPGPPGAKGLRGIPGFSGADGAPGLKGLPGDPGREGFPGPPGFMGPRGSKGAVG
+PPGLDGLPGASGLPGPVGPPGDRGLPGEVLGAQPGPRGDSGLPGRPGPKGPPGERGPPGF
+RGSQGMPGMPGQKGQPGSPGLSGQPGLPGPPGQHGFPGAPGREGPLGPPGAPGFQGLPGD
+RGEPGDTGVPGPVGMKGGSGDRGDPGQQGERGHPGHPGFKGVSGMPGAPGLKGARGSPGM
+DGFEGMLGLKGRPGLPGIKGEAGFFGIPGLKGLAGEPGVKGSRGDPGPPGPPPLIEPGMK
+DIKGEKGDEGPMGLKGYLGLKGLPGMPGIPGLSGIPGLPGRPGQIKGVKGDTGIPGVPGS
+PGFPGVPGSPGIMGFQGFTGSRGDKGVPGRAGLFGEVGPTGDFGDIGDTIDLPGSPGLKG
+ERGTTGIPGQKGFFGERGTEGDIGFPGITGLAGVQGPPGFKGQKGFPGLTGLQGPQGDPG
+RAGAPGIKGDSGWPGNPGLPGKRNPPVPDTMPAERCADVHGDPGFPGPAGDKGDPGEPNT
+LPGPTGAPGQKGERGAPGERGPIGSPGLQGFPGITPLSNISGSPGDVGAPGIFGLEGYRG
+PPGPPGPAALPGSKGDEGSPGTPGSPGTKGWIGDPGPQGRPGVFGLPGEKGPKGEPGFMG
+NIGPTGSPGDRGPKGPKGDRGLPGAPGAVGTPGITGIPQRIAIERGPVGPQGRRGPPGAQ
+GEMGPQGPPGEPGFRGAQGKAGPQGRGGVSAIPGFRGDQGPVGLQGPVGFEGEPGRPGSP
+GLPGMPGRSISIGYLLVKHSQTDKEPMCPVGMNKLWSGYSLLYFEGQEKAHNQDLGLAGS
+CLARFSTMPFLYCNPGDVCYYASRNDKSYWLSTTAPLPMMPVAEEDIRPYISRCSVCEAP
+AVAIAVHSQDVSIPHCPAGWRSLWIGYSFLMHTAAGDEGGGQSLVSPGSCLEDFRATPFI
+ECNGARGTCHYYANKYSFWLTTIPEQSFQGTPSADTLKAGLIRTHISRCQVCMKNL
+>tr|F1MKI8|F1MKI8_BOVIN DNA polymerase epsilon subunit OS=Bos taurus OX=9913 GN=POLE2 PE=3 SV=3
+MAPERLRSRALSAFKLRGLMLRGEAVKYLTEALQSINEIELEDALEKIIDAVEKQPLSSN
+MIERSVVEAAVQECSQSVDETIDHIFNIIGAFDIPRFVYNSERKKFLPLLMTNHPAPNLF
+GTARDKAELFRERYTILHQRTHRHELFTPPVIGSHPDESGSKFQLKTIETLLGSTSKIGD
+VIVLGMITQLKEGKFFLEDPTGTIQLDLSKDQFHSGLYTESCFVLAEGWFEDQVFHVNAF
+GFPPTEPSSTTRAYYGNTNFFGGPSNTSVKTSAKLKQLEDENKDAMFVFISDVWLDQVEV
+LEKLHIMFSGYSPAPPTCFILCGNFSSAPYGKNQVQALKDSLKTLADIICEYPNIHQSSR
+FVFVPGPEDPGFGSILPRPPFAESITNEFRQRVPFSVFTTNPCRIQYCTQEIIVFREDLV
+NKMCRNCVRFPSSNLDIPNHFVKTVLSQGHLTPLPLYVCPVYWAYDYALRVYPVPDLLVI
+ADKYDPFTLTNTECLCINPGSFPRSGFSFKVFYPSNKVVEDSKLQGF
+>tr|A0A3Q1N217|A0A3Q1N217_BOVIN Potassium sodium-activated channel subfamily T member 1 OS=Bos taurus OX=9913 GN=KCNT1 PE=4 SV=1
+MSSWWPLCVGCLSLPSASWVSRGAHLLLPGPWGHTRPGAVSVQSRAVGAPEPAVQPLAWL
+QAGARPACHLLESSPCPTGVGTGCMYPHCRGRCAASGASAGQAPPGGVRMGVPCSPRPLP
+ESSLCVREPRLSHLSIRPLSVLMCPLHSALRLHVEEFSLDSSVSQVQVEFYVNENTFKER
+LKLFFIKNQRSSLRIRLFNFSLKLLTCLLYIVRVLLDDPALGIGCWGCPKQNYTFNESSS
+EINWAPILWVERKVALWAIQVSGARWGMALGGPCCLPGPAQRLRSHPTPGHRGHHQLPGD
+HASHLPQLQRQHLGADLPRVLHPGDDQHAALHHHDILAAAAEPVHPRVSELLAGQARPGE
+HDQRLPPCHPALAVGHVQSGPHPLLHLAVPGLHRFEELVYLWMERQKSGGNYSRHRAQTE
+KHVILCVSSLKIDLLMDFLNEFYAHPRLQDYYVVILCPTEMDIQVRRVLQIPLWSQRVIY
+LQGSALKDQDLMRAKMDNGEACFILSSRNEVDRTAADHQTILRAWAVKDFAPNCPLYVQI
+LKPENKFHVKFAEHVVCEEECKYAMLALNCICPATSTLITLLVHTSRGQEGQDSPEQWQR
+MYGRCSGNEVYHVRMGDSKFFREYEGKSFTYAAFHAHKKYGVCLIGLKREDNKSILLNPG
+PRHILAASDTCFYINITKEENSAFIFKQEEKQKRKGFAGQGLYEGSSRLPVHSIIASMGT
+VAMDLQNTECRPTQSGGGSGGSKLALPTENGSGSRRPSIAPVLEVADSSALLPCDLLSDQ
+SEDEMTPSEDEGLSVVEYVKGYPPNSPYIGSSPTLCHLLPVKAPFCCLRLDKGCKHNSYE
+DAKAYGFKNKLIIVSAETAGNGLYNFIVPLRAYYRPRRELNPIVLLLDNKPDHHFLEAIC
+CFPMVYYMEGSVDNLDSLLRCGVIYADNLVVADKESTMSAEEDYMADAKTIVNVQTMFRL
+FPSLSITTELTHPSNMRFMQFRAKDSYSLALSKLEKRERENGSNLAFMFRLPFAAGRVFS
+ISMLDTLLYQSFVKDYMIPITRLLLGLDTTPGSGYLCAMKISEDDLWIRTYGRLFQKLCS
+SSAEIPIGIYRTQCHVFSTEPPDLRAQSQVSVSVEDCEDTREAKGPWGARVGTSGGSTHG
+RHTVGSDPAEHPLLRRKSLPWARRLSRKGSRHTGNAAAEWISQQRLSLYRRSERQELSEL
+VKNRMKHLGLPTTGYEDVANLTASDVMNRVNLGYLQDEMNDHQNTLSYVLINPPPDTRLE
+PNDIVYLIRSDPLAHVASSSQSRKSSCSNKLASCNPETRDETQL
+>tr|F1MSH8|F1MSH8_BOVIN Glycosyltransferase 1 domain containing 1 OS=Bos taurus OX=9913 GN=GLT1D1 PE=4 SV=2
+MRLLFLAVLRRHTGNAVTAQRVRDHLEAAGHVCILKDAFDFESPSEISNFIKAENLEAAV
+ALHLYRGGRLLQGNGIPFGIIFGGTDLNEDVNQGDKNKVMGKVLEEARFAVAFTESMKET
+AQRQWPHAKDKIYVQSQGIATVPNTAFNWNTFLQRSEINQSADNLHVFLLICGLRQVKDP
+LYLVDAFSEWHQEEPNIYMVIVGPEVDPVFTREVKAKVKRTPGVRLIREMAQEDLHAVMK
+NCFALVNSSVSEGMSAAILEAMDLEVPVLARNIPGNSAVVKHEVTGLLFSDPQEFVQLAK
+RLVSDPALEKTIVANGREYVRKYHSWQEERQTYRQLVRTLERSPED
+>tr|A0A3Q1LUP5|A0A3Q1LUP5_BOVIN Death associated protein kinase 3 OS=Bos taurus OX=9913 GN=DAPK3 PE=3 SV=1
+MSTFRQEDVEDHYEMGEELGSGQFAIVRKCRQKGTGKEYAAKFIKKRRLSSSRRGVSREE
+IEREVNILREIRHPNIITLHDIFENRTDVVLILELVSGGELFDFLAEKESLTEDEATQFL
+KQILDGVHYLHSKRIAHFDLKPENIMLLDKNVPNPRIKLIDFGIAHKIEAGNEFKNIFGT
+PEFVAPEIVNYEPLGLEADMWLSGASPFLGETKQETLTNISAVNYDFDEEYFSNTSELAK
+DFIRRLLVKDPKRRMTIAQSLEHSWIKAIRRRNVRREDSGRKPERRRLKTARLKEYTIKS
+HSSMPPNNTYINFERFSKVLEEVAAAEEGLRGLEHSRRLFHEDLEALTAIYEEKEAWYRE
+ENESLGQDLRRLRQELHKTEALQRQAQEEAKGALLGASGLKRRFSRLENRYEALAKQVAS
+EMRFVQDLVRAMEQEKLQEGECSLR
+>tr|A0A3Q1LXW2|A0A3Q1LXW2_BOVIN BRCA1 associated ATM activator 1 OS=Bos taurus OX=9913 GN=BRAT1 PE=4 SV=1
+MDPECARLLPALCDVLADPRQPVADDTCLEKLLDWFKAVTEAGSSLLLLQDHPCLVELLF
+HVLKPQDLSSRILSFVLRLAGIFAAQENCFQYLQGDPSLFVASAAGQLLVRVLDLALCGP
+AEGHISLQAWDWPACARKIVCHLEDCLRSEATPRVTQALHVLTTAFGHCHGLWTQGLWVQ
+LSPLVARLLEKDPVPAPHALVDLLLSVARSGPRAQLLAGGGGGGAARFL
+>tr|F1N4C5|F1N4C5_BOVIN Plexin D1 OS=Bos taurus OX=9913 GN=PLXND1 PE=4 SV=3
+MLNVAANHPNASTVGLLLPPAAGAGGSRLLVGATYTGYGSAFFPRNRSLEDHRFENTPEI
+AIRSLDARGDLAKLFTFDLNPSDDNILKIKQGAKEQHKLGFVRAFLHPPDPRPGAQTYAY
+LALNSEARAGDKESQARSLLARICLPRGAGGDAKKLTESYIQLGLQCAGGAGRGDLYSRL
+VSVFPTRELLFAVFERPQGTPAARAAPAALCAFRFADVQAAIRAARTACFVDPAPDVVAV
+LDSVVQGTGPACERKRNIQLQPEQLDCGAAHLQHPLSVLQPLKALPVFRAPGLSSVAVAS
+VGNYTVVFLGTVSGRLLKINLNESMQVVSRKVVTVAYGEPVHHVMQFDPADPGYLYLMTS
+HQMARVKVAACAAHTTCGDCVGAADAYCGWCALETRCTLQQDCANSSQPHFWTSASAGPG
+RCPAMTVLPAEIDVHQEYPGMILQISGSLPSLSGMEMACDYGHGIHTVARVPGPAFGHQI
+AYCNLLPKDQFPPFPPHQDHVTVEMSVRVRGQNIVRASFTIYDCGRVGQVYPHTACTSCL
+SAQWPCVWCAQQHACVSNQSRCEASPNPTSPQECPQILPSALAPMPTGGSQSIPVHLASA
+AFFQGAALECSFGLEETFEAVWVNESAVRCKHVVLHTTQKSQVFPLSLQLKGRPARFLDS
+PDPMTVEVYNCAVGSPDCSQCLGREDLGHLCVWSDGCRPRGTLQPTPGTCPAPEIRAIEP
+LSGPLDGGTQLTIRGRNLGRRFSDVAHGVWIGGVACEPLADRYTVSEEIVCATGPAPEAF
+SDVVTVNASKEGRSRERFSYVLPLVHSLEPAMGPKAGGTRITIHGSELHVGSELQVLVNN
+TEPCTELLRSDASIACTVPGGTLPAMVPVCVRFEQRGCVRGGLSFQYMPNPVITAISPHR
+SHVSGGRTITVAGERFHMVQKVSMAVHHIGREPTLCKVLNSTLITCPSPGALSNASAPVD
+FFLNGRVYADEAAVAEELLEPEEAQRGSQFRLDYLPDPQFSTAKREKWIKHHPGEPLTLV
+IHKEQDGLGLESHEYRVKIGQVACDIQIVSERVIHCSVNESLGTAEGQLPITIQVGNFNQ
+TIATLQLGGSETAIIVSIVICSVLLLLSVVALFVFCTKSRRAERYWQKTLLQMEEMESQI
+REEIRKGFAELQTDMTDLTKELNRSQGIPFLEYKHFVTRTFFPKCSSLYEERYVLPSQTL
+NSQGSSPVQETHPLLGEWKIPESCRPNMEEGISLFSSLLNNKHFLIVFVHALEQQKDFAV
+RDRCSLASLLTIALHGKLEYYTGIMKELLVDLIDASAAKNPKLMLRRTESVVEKMLTNWM
+SICMYSCLRETVGEPFFLLLCAIKQQINKGSIDAITGKARYTLNEEWLLRENIEAKPRNL
+NVSFQGCGMDSLSVRAMDTDTLTQVKEKILEAFCKNVPYSQWPRAEDVDLEWFASSTQSY
+ILRDLDDTSVVEDGRKKLNTLAHYKIPEGASLAMSLTDKKDNTLGRVKDLDTEKYFHLVL
+PTDELAEPKKSHRQSHRKKVLPEIYLTRLLSTKGTLQKFLDDLFKAILSIREDKPPLAVK
+YFFDFLEEQAEKRGISDPDTLHIWKTNSLPLRFWVNILKNPQFVFDIEKTDHIDACLSVI
+AQAFIDACSISDLQLGKDSPTNKLLYAKEIPEYRKIVQCYYKQIHDMTPLSEQEMNAHLA
+EESRKYQNEFNTNVAMAEIYKYAKRYRPQVSSPQCEAQGTLPPRRPFPPLMETGRERRAQ
+VLRAPARLTVLWAWPPALGIQATCVYSPQMGGGTGVGVSSALN
+>tr|F1N3P5|F1N3P5_BOVIN Proline rich 13 OS=Bos taurus OX=9913 GN=PRR13 PE=4 SV=1
+MWNPNAGQPGPYPHPPNAGYPGGCNPAHPPPANPPFPPGPFPTPPGAPQGNPAFPPGGPC
+HPVPQPGYPGCQPSGPYPPPYPPPGPGMCPVNPLVPGIVGPGIVIDKKVHKKMKKAHKKK
+QKHHKHGKHSSSSSSSSSDSD
+>tr|A0A3Q1M9P2|A0A3Q1M9P2_BOVIN Interleukin 1 receptor like 1 OS=Bos taurus OX=9913 GN=IL1RL1 PE=4 SV=1
+MRLWVSVILTALIPSTSARFSRPFLGLENEALIVTCSRQEKYPYPVDWYYSKTNKSISTQ
+RGNRIVASGKHLKFLPAKVSDSGFYTCIVRRPTSNKTGYVNVTIFEKQPDCNIPDYLIYS
+TTSGSEKNSLIFCPTINRYNWTQPVEWFKNCEVLQGPRYLVHKSFLLIDDATNKDTGHYT
+CKFIHNEYGVKYIVTATKSFTVTEEKGFSLPPVIKAPPQNEIKEVEIGKPINITCLACFG
+KGPQVMAEAFWRVNGSEVKNFGETRIQVVQEQTQSDELTCRNTVLKIEDVKEEDLTSKYD
+CLAMNFHGFITHTVTLRRKNPMDQQSTYYMLAGFTLLLLLINALVIILKVFWIEIILFWR
+DIVRPSKTRNDGKIYDAYVIYPRSCKNSPEGASSVEYFVHQILPDVLENKCGYNLCIYGR
+DLLPGQDVASAVESSIRMSRRHLFILTPEIVHSKEFTYEREIALHSALIQQDSKAILIEM
+EALCPPGGLQFEELQDSLKHLIKVQGTIKWREDHVANKRSLNSKFWKHVREAI
+>tr|A0A3Q1LXN1|A0A3Q1LXN1_BOVIN Coiled-coil serine rich protein 2 OS=Bos taurus OX=9913 GN=CCSER2 PE=4 SV=1
+MEEKTQIKTFLGSKLPKYGTKSVRSTLQPMPNGKPVNLLGTSKSSSVKSYIKNNGSDCSS
+SHSFNWRTANKYEFSAQSSEEPNNTQSSHAKVIDPEKITPTQGVFDKNGMKGGLKNISLL
+TSKLAKPSTMFVSSTEELNQKSLSGPSNLGKFTKGTLLARTSYASVSAPKSQLNGFYGNR
+SAGSIQRPRANSCATRGSSGESLAQSLDNIKSLACEKMVRSQSFSHSIQNSFLPPSSITR
+SHSFNRAADLTKPYQNQQLPIRVPLRSSMLTRNSRQSEVLNGNEHLGFGFNRPYAAGGKK
+LALPNGQGVTSTFGYRMVHPSLLKSSRPPFSGTLTVDGNKNSPANTCIEEDAALVAKDRA
+VDKDQELIENDSYRIENDQTMKRDAKIRYLSDDVDDISLSSLSSSDKNDLSEDFSDDFID
+IEDSNRTRITPEEISLKEEKYENVPPKDIFDSPKENEKSFSKTDEWIDISVSDRSECSKH
+ASGNNLISPDTDYRAGSSFELSPSDSSDGTYMWDEEGLEPIGNVHPVGSYESSEMNSIDI
+LNNLESCDLEDDDLMLDVDLPEDTPLENVECDNMNRFDRSDRNVRQSQEGFWKRPPQRWS
+GQEHYHLSHPDHYHHHGKSDLSRGSPYRESPLGHFESYGGTPFFQAQKMFVDVPENTVIL
+DEMTLRHMVQDCTAVKTQLLRLKRLLRQHDGSGSLHDVQLSLPSSPEPEDGDQICKNEDL
+LNEIKQLKEELKKKDEKIQLLEHQLATRCNCHQKSKEEKCTYADKYTQTPWRRIPGGYSA
+PSFSPWQGSFQGIPRTVPPHRRQTSSTTAFQQPSQTHRPHPGKTSKATTYRGPQ
+>tr|F1N726|F1N726_BOVIN Glycoprotein 2 OS=Bos taurus OX=9913 GN=GP2 PE=1 SV=1
+MSQLLERMTSVLWLALASYILTLSSTEQQGYRNSTNTGSYEKDLDCGAPGTPEAQLCFDP
+CQNYTLLNEPFRSTENTEDIQGCDSDKHGWYRFVGDGGVRMPEDCVPTFRCQTSAPLWLN
+GTHPGLGEGIVNRTACAHWSGNCCLWKTEVLVKACPGPYYVYRLEGTPQCSLRYCTDPAT
+AEDKCDRTCRPEEECRLVSGTWGCFCRQDLNVSDVHSLQPQLDCGDTEIKVSLDKCLLGS
+LGFGDEVHAYLRDGNWNCSSLRQSEEENWISVTNPTQAGACGNILERNQTHAIYINTLSL
+VNDFIIRDTILSINFQCAYPLDMKVSLQMALQPIVSSLNITVDGEGEFTVRMALFQDQDY
+TSPYEGTAVMLSVESMLYVGTILERGDTSRFNLVLKNCYATPTEDKTDPVKYFIIRNSCP
+NQRDSTISVEENGVSAESRFSVQMFKFAGNYDLVFLHCEVSLCDFIKEECQPSCSRSQLR
+SEGVAIDPARVLDLGPITRKGAQSLGVMSGTPNTAGFLVAWPLVLLPVLLAGLF
+>tr|F1N1U2|F1N1U2_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC521645 PE=3 SV=2
+MDVFSPSNNVTEFVLLGLTQNPHVQKILLIVFLFIFLFTVLSNLLIVITISLSPTLSAPM
+YFFLTYLALLDASFTSVTTPKFIIDLLFQRRTISWRGCLTQIFLEHFLAASEAIVLIAMA
+YDRYVAICKPLHYTTIMRQGLCQLLVVVAWIGGILHASVQILFTTDLTFCGRNVIDHFMC
+DFFSLLELACSDTYRLGMVVAANSGGMCLLIFSMLLISYIVILSSLRSHGSEGRRRALST
+CGAHFTVVVLFFVPCVFTYTRPVATYPVDKWVAMFFATLTPMLNPIIYTVRNTEVKKAMR
+SLMKKRAT
+>tr|A0A3Q1M6L3|A0A3Q1M6L3_BOVIN PAP_central domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MPFPVTTQGSQQAQSPQKTDLAGPKETDCLLTQKLVETLKPFGVFEEEEELQCRILIWGK
+LNNLEEVKDLRAVEEAFDQLSNCFDGIEIGILFARLALQTIPEDLDLTDDSLLKNLNVRC
+IISLNGFRVSNEILYLVPNIDYFRLTLKSIKLWAKCHNIYSNILGFLGGISWAMLVARTC
+QLYPNAICQLLYINFSGYFLNGMRLDYIKIKLIVDSEKKSL
+>tr|A0A3Q1MT85|A0A3Q1MT85_BOVIN Collagen type XXVII alpha 1 chain OS=Bos taurus OX=9913 GN=COL27A1 PE=4 SV=1
+MGAGSARGTRGTAAAAAAQGGGFLFTWILVSLTCHLASTQGAPEDVDVLQQLGLSWTKAV
+GGRSPPPPGVIPFQTGFIFTQRARLQAPTAAVLPASLGTELALVLSLCSHRVNHAFLFAV
+RSRKRKLQLGLQFLPGKTVVHLGPRRSVAFDLDVHDGRWHHLALELRGRTVTLVTACGQH
+RVPVSLPFHRDPALDPDGLFLFGKMSPHAVQFEGALCQFSIYPVAQVAHNYCTHLRKQCG
+QADTYRPQLGPFFPRDSGTLLTFQTDLARLGLENLTTATPALGSRPAGRGPGVTVVPAVP
+VKPMRTSTTRPPQPITSHPAWTPLSPAKLSVSEGPPPVSPVSPASSPRPVQPLQKNTATK
+TSKSHPATPSALSPSIAPVRSPRPTQKTALPAFTKPAPPTKKPVLPTSQPIPAKVSRPTV
+KPIQRNPGMPRLPPPSARPLPPAAASSKKPLPPVVQAEAKMSSRASEPAPAHTSTHRPPP
+PTVPPPSPVPSPSPPRTARPPATAMPPTLAPGSASTGSKKPTGSEATKKARPRKPVPLRS
+GKAARDVPLNDPTRGSSPRQPRTRQQTTPAPALAPARFLASSPQPMSTGYSFFHLVGPTP
+FLLLMGPPGPKGDCGLPGPPGLPGLPGPPGARGPRGPPGPYGNPGLPGPPGAKGDMGLPG
+LTGNPGPLGRKGQKGYPGPTGHPGEQGQPGPEGSPGAKGYPGRQGLPGPVGDPGPKGSRG
+YIGLPGLFGLPGSDGERGLPGVPGKRGKMGRPGFPGDFGERGPPGLDGNPGELGLPGPPG
+VPGLIGDMGTLGPIGYPGPKGMKGLMGNVGEPGLKGDKGEQGVPGVSGDAGFQGDKGSQG
+LQGFPGARGKPGPVGKVGDKGSIGFPGPPGPEGFPGDIGPPGDNGPEGVKGKPGARGLPG
+PRGQLGPEGDEGPMGPPGVPGLEGQPGRKGFPGRPGPDGLKGEPGDAGRPGPVGEQGLLG
+FVGLVGEPGIMGEKGDRGMMGPPGAPGPKGSMGHPGIPGVVGNPGEPGPPGPPGSRGPPG
+MRGAKGRRGPRGPDGPAGEQGSKGLKGPPGPQGRLGQPGQQGVAGERGHLGTRGFPGLRG
+PSGPPGAKGLPGEPGPQGPQGPIGPPGEMGPKGPPGALGELGLPGEAGMKGDLGPLGIPG
+EQGLIGQRGEPGLEGDSGPAGPDGLKGDRGDPGPDGERGEKGQEGLKGEDGPPGPPGITG
+IRGPEGKPGKQGEKGRTGAKGAKGYQGQLGEMGVPGDPGPPGTPGPKGSRGSLGPTGAPG
+RMGAQGEPGLAGYDGHKGIMGPLGPPGPKGEKGEQGEDGKAEGPPGPPGDRGPVGDRGDR
+GEPGDPGYPGQEGVQGLRGNPGQQGQPGHPGPRGRPGPKGSKGEEGPKGKQGKAGAPGRR
+GIQGLQGLPGPRGVVGRQGPEGVAGPDGLPGRDGQAGQQGEQGDDGDPGPMGPAGKRGDP
+GVAGLPGAQGPPGFKGESGLPGQMGPPGKRGTEGGMGLPGNQGEPGSKGQPGDSGEMGFP
+GMAGLFGPKGPQGDVGFKGIQGPRGPPGLMGKEGIIGPLGILGPSGRQGPKGDKGSRGDL
+GLQGPRGPPGPRGQPGPPGPPGSTIHFQQDDLGAAFQTWMDTSGALRAEGYSHPDRLVLD
+QGGEIFKTLHYLSNLIQSIKTPLGTKENPARVCRDLMDCEQKMVDGTYWVDPNLGCSSDT
+IEVSCNFTHGGQTCLKPITASKVEFAISRVQMNFLHLLSSEVTQQITIHCLNMTVWQEGT
+GQTPAKQAVRFRAWNGQIFEAGGQFSPEVSMDGCKIQDGRWHQTLFTFRTQDPQQLPIVG
+VDNLPPASSGKQYRLEVGPACFL
+>tr|A0A3Q1LUG1|A0A3Q1LUG1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=TNNI3K PE=4 SV=1
+MVPFCFSLLDEWKKKVSESYVIVIERLEDDLQIKEKELTELRHIFGSDDAFSKVNLNYRT
+ENGLSLLHLCCICGGNKSHIRTLMLKGLRPSRLTRNGFTALHLAVYKDSAELITSLLHSG
+ADIQQVGYGGLTALHIATIAGHLEAADVLLQHGANVNVQDAVFFTPLHIAAYYGHEQVTR
+LLLKFGADVNVSGEVGDRPLHLASAKGFFNIAKLLVEEGSKADVNAQDNEDHVPLHFCSR
+FGHHNIVKYLLQSDLEVQPHVVNIYGDTPLHLACYNGKFEVAKEIIQISGVESLTKENIF
+SETAFHSACTYGKSIDLVKFLLDQNVISINHQGRDGHTGLHSACYHGHIRLVQFLLDNGA
+DMNLVACDPSRSSGEKDEQTCLMWAYEKGHDAIVTLLKHYKRPQDELPCNEYSQPGGDGS
+YVSVPSPLGKIKSMTKEKADVLLLRAGLPSHFHLQLSEIEFHEIIGSGSFGKVYKGRCRN
+KIVAIKRYRANTYCSKSDVDMFCREVSILCRLNHPCIIQFVGACLNDPSQFAIVTQYISG
+GSLFSLLHEQKRILDLQSKLIIAVDVAKGMEYLHNLTQPIIHRDLNSHNILLYEDGHAVV
+ADFGGEISMNNASSVTSNLRWMAPEVFTQCTRYTIKADVFSYALCLWELLTGEIPFAHLK
+PAAAAADMAYHHIRPPIGYSIPKPIASLLMRGWNACPEGRPEFSEVVTKLEECLCNIELM
+SPASSNSSGSLSPSSSSDCLVNRGGPGRSHVAALRSRFELEYALNARSYAAWSQSAGQCS
+TQGLSLDDMKKSLQFSTIDKYGYVSDPMSPMHFHSCRNSGSFEDSS
+>tr|A0A3Q1N3A3|A0A3Q1N3A3_BOVIN Bromodomain PHD finger transcription factor OS=Bos taurus OX=9913 GN=BPTF PE=4 SV=1
+MVSEEEEEEEDGDAEETQDSEDEEEDEMEEDDDDSDYPEEMEDDDDDDASYCTESSFRSH
+STYSSTPGRRKPRVHRPRSPILEEKDIPPLEFPKSSEDLMVPNEHIMNVIAIYEVLRNFG
+TVLRLSPFRFEDFCAALVSQEQCTLMAEMHVVLLKAVLREEDTSNTTFGPADLKDSVNST
+LYFIDGMTWPEVLRVYCESDKEYHHVLPYQEAEDYPYGPVENKIKVLQFLVDQFLTTNIA
+REELMSEGVIQYDDHCRVCHKLGDLLCCETCSAVYHLECVKPPLEEVPEDEWQCEVCVAH
+KVPGVTDCVAEIQKNKPYIRHEPIGYDRSRRKYWFLNRRLIIEEDTENENEKKIWYYSTK
+VQLAELIDCLDKDYWEAELCKILEEMREEIHRHMDITEDLTNKARGSNKSFLAAANEEIL
+ESIRAKKGDIDIVKSPEEIDKDKNETETSNSKDAEKSREEFEDQIVEKDSDDKTPDDVDP
+EQGKSEEPTEVGDKGNSVSANLGDNTTNASSEETVPSEGRSPMGCVSETHDSSNMAEKKV
+ASELPQDVPEEPNKTCDSSNPSATTASIQPNLEHSNSSSELSSSQSEPAKAADDPENGER
+ESHTPVSIQEEIGDFKSEKSNGEISESPGGGRGASGSTRIITRLRNPDSKLSQLKSQQVA
+AAAHEANKLFKEGKEVLVVNSQGEISRLSTKKEVVMKGNINNYFKLGQEGKYRVYHNQYS
+TNSFALNKHQHREDHDKRRHLAHKFCLTPAGEFKWNGSVHGSKVLTISTLRLTITQLENN
+IPSSFLHPNWASHRANWIKAVQMCSKPREFALALAILECAVKPVVMLPIWRESLGHTRLH
+RMTSIEREEKEKVKKKEKKQEEEETMQQATWVKYTFPVKHQVWKQKGEEYRVTGYGGWSW
+ISKTHVYRFVPKLPGNTNVHYRKSVEGVKNNMDENMDESDRRKSPRSPKKIKTEPDSEKG
+EVKDSDAAKGADQSEMDISKVTEKKDQDVKEVLDSDNDKSFKEEPMEIDDDVKTESHLNC
+QESSQVDVVNVSEGFHLRTSYKKKIKSSKLDGLLERRIKQFTLEEKQRHEKMKLEGGIKG
+SGKTPTSSVKSLSESPVITKVKEGCQSDLLTQEQSSNTSNNKSEDSTRGCSQSDSSILGI
+TDPDHTANKLYSKDQMLEDVSIQSADTHCQKQNSVRNDMDEGLSDPASEGQEPSKMKTKG
+TDFLIDDPKLASADEIGTLIYKNRKPFIQEDNDTTVCPSQSPLLPSVPKSTDDRDIPSLS
+KAVDFEGKLGCDSEYNSTLENSSDTMSVQDSSEEDMIVQNSNESISEQLITQEQGSEGFE
+PLKREFVSDKTTGSCDDRLQSKVNDANGRKPSQDQKLEDRPLNKCIDQNSLKSVTDKKNN
+ENRESEKKGQKASTFQINGKDNKAKVYLKGECLRDISESKIGSGDIEPKVNNINKIIPEN
+DIKPLTVKESTVKPFMNGDVIMEDFNEKNNLETKSCLLRSSDAEGDYHDSLETLPSTKES
+ESAQAAMPLPSCPEGSSSNQVEDMEIDISKVKKVTSSPVTSGEESNLSNDFIDENGLPTN
+KDENVNGEPKRKTVITEVTTMTSTVATESKTVIKVAKGDKQTVVSSTENCAKSTVTTTTT
+TVTKLSTPSAGSDVDIISVKEQSKTVVTTTVTDSLTTAGGTLVTSMTVSKEYSTRDKVKL
+MKFSRPKKTRSGTALPSYRKFITKSSKKSIFVLPNDDLKKLARKGGIREVPYFNYNAKPA
+LDIWPYPSPRPTFGITWRYRLQTVKSLAGVSLMLRLLWASLRWDDMAAKAPPGGGTTRTE
+TSETEITTTEIIKRRDVGPYGIRSEYCIRKIICPIGVPEAPKETPTPQRKGLRSSALRPK
+RPETPKQTGPVIIETWVAEEELELWEIRAFAERVEKEKAQAVEQQAKKRLEQQKPTVIAA
+STTSPTNSTTSTISPAQKVMVAPISGSVTTGTKMVLTTKVGSPATVTFQQNKNFHQTFAT
+WVKQGQSNSATSTAATSATTIASTGQTFQITGNPVTMAGKVITKLPLPANSKIVAVNVPA
+TQGGVVQVQQKVLGIIPSSTGASQQTFTSFQPRTATVTIRPNTSGSGGTTTTSQVITGPQ
+IRPGMTVIRTPLQQSTLGKAIIRTPVMVQPGAPQQVVTQIIRGQPVSTAISAPSTVSSTP
+AQKGLSSGTSTANLQPSTSQPPRPQQGQVKLTMAQLTQLTQGHGGNQGLTVVIQGQGQTT
+GQLQLIPQGVTILPGPGQQLMQAAMPNGTVQRFLFTPLATTAATTSSTTTTVSTTAAGTS
+EQRQSKLSSPTQVQPAKSLPPAPPSSVSPPEAQPQPAQPAQPSAQPSAQPQPQTQSPSPA
+QPETQTQPEVQTQTTVASHVPPEAQPTQAQSSKPQVAGQCPPQSNVQGQSPARVQSPPQT
+RVRPSTPSQVSPGQQAQGQTPASQPIPIQPHTSLPTPSQGQPQSQPQVVMKHNAVIEHLK
+QKKTMTPAEREENQRMIVCNQVMKYILDKIDKEEKQAAKKRKREESVEQKRSKQNASKLS
+ALLFKHKEQLKAEILKKRALLDKDLQIEVQEELKRDLKIKKEKDMVQATAVAATCPAAPP
+APPAPPPSPPPPPPPQHPGPLATATTTLPVASQKRKREEERDSNSKSKKKKMISTTSKET
+KKDTKLYCICKTPYDESKFYIGCDLCTNWYHGECVGITEKEAKKMDVYICNDCKRAQEGN
+SEELYCICRTPYDESQFYIGCDRCQNWYHGRCVGILQSEAELIDEYVCPQCQSTEDAMTV
+LTPLTEKDYEGLKRVLRSLQAHKMAWPFLEPVDPNDAPDYYGVIKEPMDLATMEERVQRR
+YYEKLTEFVADMTKIFDNCRYYNPSDSPFYQCAEVLESFFVQKLKGFKASRSHNNKLQST
+AS
+>tr|A0A3Q1LUE4|A0A3Q1LUE4_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=OR6C4 PE=3 SV=1
+MNKFQGNPHSPLNIPELCCPFHTSFLFSVSQAESLAMKNRTLTEFILLGLTDIPELQSII
+FILLLLTYIISILGNLIIITLTLLDSHLHTPMYFFLWNFSSLEIFFISTFTPRLLFSIST
+GNKSISFAGCLTQYFFVIFFGATEFYLLAAMSYDRYVAICKPLHYTTIMNSRVCIQLVLC
+SWLAGFLIILTPIIMTSQLDFCASNVLNHYFCDYGPLIEISCSDTRLLELVDFISAVVTL
+VLTLMLVILSYTNIIQTILRIPSAQKRKKAFSTCSSHMIVITLSYGSCIFMYIKPSAKDG
+VAFNKGVAILNTLIAPLLNPFIYTLRNKQVKEAFSNVARKIAHLYSF
+>tr|A0A3Q1N4I5|A0A3Q1N4I5_BOVIN Mothers against decapentaplegic homolog OS=Bos taurus OX=9913 GN=SMAD9 PE=3 SV=1
+MHSSTPISSLFSFTSPAVKRLLGWKQGDEEEKWAEKAVDSLVKKLKKKKGAMDELERALS
+CPGQPSKCVTIPRSLDGRLQVSHRKGLPHVIYCRVWRWPDLQSHHELKPLECCEFPFGSK
+QKEVCINPYHYRRVETPVLPPVLVPRHSEYNPQLSLLAKFRSASLHSEPLMPHNATYPDS
+FQQPPCPTFPPSPGAPFPPSPCTAGYPHSPGSPSEPESPFQHSDFRPVCYEEPQHWCSVA
+YYELNNRVGETFQASSRSVLIDGFTDPSNNRNRFCLGLLSNVNRNSTIENTRRHIGKGVH
+LYYVGGEVYAECVSDSSIFVQSRNCNYQHGFHPATVCKIPSGCSLKIFNNQLFAQLLAQS
+VHHGFEVVYELTKMCTIRMSFVKGWGAEYHRQDVTSTPCWIEIHLHGPLQWLDKVLTQMG
+SPHNPISSVS
+>tr|A0A3Q1M5M9|A0A3Q1M5M9_BOVIN Adenosine deaminase OS=Bos taurus OX=9913 GN=ADA PE=4 SV=1
+MTPDLAQDQLALLRVRLGIFHVLRHRCVCVCVCVCVCSCVKWVLTPVVLLEICWLVMASV
+TGGPGRIWVLVLYALGLSFPICPMGGAGYLHSVRNCRVLPRVVHHPHCFVPRKRGIALPA
+DTPEELQNIIGMDKPLSLPEFLAKFDYYMPAIAGCREAVKRIAYEFVEMKAKDGVVYVEV
+RYSPHLLANSKVEPIPWNQAEGDLTPDEVVSLVNQGLQEGERDFGVKVRSILCCMRHQPS
+WSSEVVELCKKYREQTVVAIDLAGDETIEGSSLFPGHVQAYAEAVKSGVHRTVHAGEVGS
+ANVVKEAVDTLKTERLGHGYHTLEDTTLYNRLRQENMHFEVCPWSSYLTGAWKPDTEHPV
+VRFKNDQVNYSLNTDDPLIFKSTLDTDYQMTKNEMGFTEEEFKRLNINAAKSSFLPEDEK
+KELLDLLYKAYGMPSPASAEQCL
+>tr|F1MNL6|F1MNL6_BOVIN Nucleoporin 210 like OS=Bos taurus OX=9913 GN=NUP210L PE=4 SV=3
+MTAVGSPNPRGFGLFCVTLQGLLFLLLVLLGTLANKLNVPQVLLPFGREPGRVPFLLEAQ
+RGCYTWHSTHHDAVTVEPLYENGTLCSQKAVLIAESTQPIRLSSVILAREIVTDHELRCD
+VKVDVINSIEIISRTRELYVDDSPLELMVRALDAEGNTFSSLAGMVFEWSIARDNESAIE
+ELSSKIRILRYSEAEYSPPAYIAEMEKEEKQGDMILVSGIKTGAAIVKVRISEPFYKKVA
+AALIRLLVLENIFLIPSQDIYLLVGAYIKYRVAKMVQGRMTEVKFPLEHYTLELQDHRVS
+CNISVSGKVASLDEKTAMVTAVQLGHTNLIFVHKNVHMRSVSGLPNCTIYVVEPGFLGFT
+VQPGDRWSLEVGQVYVITVEVFDKSSTKVYISDNLRIMYQFLREYFEEQLTTVNGSYHVV
+KALKDGVVLINASLASIVYQNKNIQPIKFPIKHQQEVKIYFPIKLTPNFLAFPHHPMGML
+YRYKVQVKGGSGNFTWASSNETVAMVTTKGVVTAGQVRGNSTVLARDVQNPFRYGEIKIY
+VLRLNKMELLPFHADVEIGQIIEIPIAMYHVNKETKEAIAFTDCSHLSLDLNMDKQGVFT
+LLKEGIQRPGPAHCSSTHIAAKSLGHTLVTVSVTDYEEYLESSATFAAYEPLKALNPVEV
+ALVTWHSVKEMIFEGGPQPWILEPSRFFLELSMEKTEKIRITQVRLPAKRKQNQYIYRVL
+CLDLGEQILTFQIGNHPGVLNPSPAVEVVQVRFLCAHPASMSITPVYKVPAGAQPCPLPQ
+HNKQLIPVSSLRDTVLELAVFDQHRRKFDNFSSLMLEWKSLNETLAHFENYNSVEMVAKD
+DGSGQTRLHGHQILKVHQIKGTVLIGVNFVGYSEKKSPKELSNLPRSVAVELLLVDDVTV
+LPENATIYNHPDVKEIFSLVEGSGYFLVNSSEQDIVTITYLEAESSVQVIPLHPGILTLE
+VYDLCLAFLGPAMAHLTVSDIQELELDLIDKVEIGKTVLVTVRVLGSSKRPFQNKYFRNM
+ELKLQLASAIVTLALMEEQDEYSENYILRAITVGQTTLVAIARDKMGRKFTSTPRQIEVF
+PPFRLVPEKMTLIPTNMMQVMSEGGPQPQSIIHFSISNQTVAVVNRRGQVTGKVVGRAVV
+HGTIQTVNEDTGKVIVFSQDEVQIEVVQLRAVRILAAATRLITATEMPVYVMGVTSTQTP
+FSFSSASPGLTFHWSMSKRDVLDLVPRHSEVFLQLPVENNFAMVVHTKAAGRTNIKVTVH
+CMNSSSGQFEGNLLELSDEVQILVFEKLQLFYPECQPEQILMSMNSQLKLHTNREGAAFV
+SSRVLKCFPNSSVIEEDGEGLLKAGSIAGTAVLEVTSTEPFGVNQTTITGVQVTPVTYLR
+MSSHPKLYMAQGRTLSAFPLGMSLTFIVQFYNSIGEKFHTHNTQLYLALNRDDLLLIGPG
+NRNYTYMAQAVNRGVTLVGLWDRRHPGMADYIPVSVEHAIEPDTKLTFVGDVICFHTYLL
+NQNGEPGIWMISADNILQTDIVTGVGVARSPGTATIFHDIPGLVKTYREVVVNASSRLTL
+SYDLKTYLTNTPNSAVFKLFITAGRNGINLKGSCTPNQALAITTLLPETLMLCHVQFSNT
+LLDIPASKVFHIHSDFSMEKGVYVCLIKVRPQSEELLQVLSTADTSVYGWATLVSERSKN
+GMQRILIPFIPAFYINQSELVLSHKKDVGELRVLGVDRVLEKLEVFPSSPVLMVSGHRHS
+SLTPGLAIYHVRVVNFTSLQQMASPIFINISCVLTSQSEAVRVRAMKAKSGADQCDGSGV
+LQKFAGSYQSLLFTLFVMLASTAFLFLAYNAFLNKMQTVPVVYVPTLGTQSGSYTSTRSP
+PPLLSPHPPPAQNRLQHWLWSIRH
+>tr|F1N056|F1N056_BOVIN COUP transcription factor 1 OS=Bos taurus OX=9913 GN=NR2F1 PE=3 SV=2
+XQGPPGSGQSQHHIECVVCGDKSSGHHYGQFTCEGCKSFFKRSVRRNLTYTCRANRNCPI
+DQHHRNQCQYCRLKKCLKVGMRREAVQRGRMPPTQPNPGQYALTNGDPLNGHCYLSGYIS
+LLLRAEPYPTSRYGSQCMQPNNIMGIENICELAARLLFSAVEWARNIPFFPDLQITDQVS
+LLRLTWSELFVLNAAQCSMPLHVAPLLAAAGLHASPMSADRVVAFMDHIRIFQEQVEKLK
+ALHVDSAEYSCLKAIVLFTSDACGLSDAAHIESLQEKSQCALEEYVRSQYPNQPSRFGKL
+LLRLPSLRTVSSSVIEQLFFVRLVGKTPIETLIRDMLLSGSSFNWPYMSIQCS
+>tr|G5E604|G5E604_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=2
+MDSPSLSLPLPGSWAQAVLTQPPSVSGSLGQRVTISCTGSSSNIGGGNYVGWYQQIPGSA
+PKTLIYRSTSRPSGVPDRFSGSRSGNTATLTISSLQAEDEADYYCATYESSSYHGTVLQA
+REK
+>tr|A0A3Q1N3D9|A0A3Q1N3D9_BOVIN Peptidylprolyl isomerase OS=Bos taurus OX=9913 GN=FKBP15 PE=4 SV=1
+MHYRWLLCFLNLFHSPIGRYIMSESCFYLFEGSVNTCFSYFCSTNGQYVKQGKFGAAVLG
+NHTTREYSILLYISQQQPVTVARIHLNFELMVRPNNYSTFYDDQRQNWSIMFESEKAAVE
+FNKQVCIAKCNSTSSLDSVLSQDLMVVEGPAVEVGDSLEVAYTSWLFQNHVLGQVFDSTA
+NKDKLLRLKLGSGKVIKVRFARDSGSDGHSVSSRDSAAPSPIPPADSISADPVVSPSTSV
+PFRSGESALRSKSNSLSEHLTVNTNPDTVKAKLISRMAKMGQPMLPILPPQLDSNDSEIE
+DVNAPRGAGQPLATPSVQPSLQPAHPVLPQMTSQAPQPSVSRLQTPSAALMQVASLDSHS
+AVSGNAQSFQPYAGVQAYAYPQAPAVASQLQPVRPLYPAPLSQPPHFQGSGDVASFLMTE
+ARQHNTEIRMAVSKVADKMDHLMTKVEELQKHSAGNSLLIPSMSVTMETSMIMSNIQRII
+QENERLKQEILEKSSRIKEQNDKISELIERNQRYVEQSNLMMEKRNNSLQTATENTQARV
+LHAEQEKAKVTEELAAATAQVSHLHLKMTAHQKKETELQVQLTESMKETDLLRGQLAQLQ
+AELSEVQETSQQVQSKLKSEKQSRRQLELRVTSLEEELTDLRTEKESLEKNLSERKKKSA
+QERCQAEEEIDEIRKSHQEELDKLRQLLKKARVSTDQAAAEQLSLVQAELQTQWEAKCEQ
+LLASAKNEHLQQYQEVCTQRDASQQQLLQLEEKCSALQAQVTSLREQNAQHIKDLESKAQ
+TSGVEATAADPSEKVKKIMNQVFQFLRGEFELEEFYSGRTVLGTIMNTIKMVTLRLLNQH
+EQEKGESSNEEEEEEDEAQARSPSGQSQAPLDRESQGPPAVLSEWVVQEDAPLSPQGCPT
+PQDDAQRREGEPSEIEASLEIKERSVSSEVACLPSRRALGPPTSIPPRPPGPVAVDSEGE
+EALGDTHVREEESSTRLSPTSDPEKVDPLAVGPESPGGEPQPPELQEDRDVTSSAAPSQE
+PCGPEAAPAAMGAAPGSEQCSQHPSLSEDEEDELFKGASLKIPKPKAQAEEEDEDEVSMK
+GRPPPTPLFGDDDDDDDIDWLG
+>tr|A0A3Q1MNZ4|A0A3Q1MNZ4_BOVIN Kelch like family member 5 OS=Bos taurus OX=9913 GN=KLHL5 PE=4 SV=1
+MSGSRKEFDVKQILKIRWRWFSHQASSPNSTVDSQQGEFWNRGQTGANGGRKFLDPCSLQ
+LPLASIGYRRSSQLDFQNSPSWPMASTSEVPAFEFTAEDCGGAHWLDRPEVDDDTSEEEN
+ESDSSSCRIWSSELWCWNNNKYRPVIYAHLCNGERGISLHRTSNSSQTLSSCHTMEPCSS
+DEFFQALNHAEQTFKKMENYLRHKQLCDVILVAGDRRIPAHRLVLSSVSDYFAAMFTSDV
+REARQEEIKMEGVEPNSLWSLIQYAYTGRLELKEDNIECLLSTACLLQLSQVVEACCKFL
+MKQLHPSNCLGIRSFADAQGCTDLHKVAHNYTMENFMEVIRNQEFVLLPASEIAKLLASD
+DMNIPNEETILNALLTWVRHDLEQRRKDLSKLLAYIRLPLLAPQFLADMENNALFRDDIE
+CQKLIMEAMKYHLLPERRPMLQSPRTKPRKSTVGTLFAVGGMDSTKGATSIEKYDLRTNM
+WTPVATMNGRRLQFGVAVLDDKLYVVGGRDGLKTLNTVECYNPKTKTWSVMPPMSTHRHG
+LGVAVLEGPMYAVGGHDGWSYLNTVERWDPQARQWNFVATMSTPRSTVGVAVLSGKLYAV
+GGRDGSSCLKSVECFDPHTNKWTLCAQMSKRRGGVGVTTWNGLLYAIGGHDAPASNLTSR
+LSDCVERYDPKTDMWTAVASMSISRDAVGVCLLGDKLYAVGGYDGQTYLNTVEAYDPQTN
+EWTQVWHFMFHYYTYCILVKNNSFGKTDPNYVQYQNT
+>tr|E1BB15|E1BB15_BOVIN Goosecoid homeobox OS=Bos taurus OX=9913 GN=GSC PE=4 SV=1
+MPASMFSIDNILATRPRCKDSVLPVAPSAATPVVFPALHGDSLYGGAGGGASSDYGAFYP
+RPVAPGGAGLPAAVGGSRLGYSNYFYGQLHVQAAPVGPACCGAVPPLGAQQCSCVPTPPG
+YEGPGSVLVSAVPHQMMPYMNVGTLSRTELQLLNQLHCRRKRRHRTIFTDEQLEALENLF
+QETKYPDVGTREQLARKVHLREEKVEVWFKNRRAKWRRQKRSSSEESENAEKWNKTSSKA
+SPEKREEEGKSDLDSDS
+>tr|A0A3Q1MNG3|A0A3Q1MNG3_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC788583 PE=3 SV=1
+QQVSAMGDRETCNHSEVTDFILVGFRVRPELHILLFLLFLLVYTMILLGNIGMMVIIMFD
+PRLNTPMYFFLGNLSFIDLFYSSVIAPKAMINFWSESKSISYAGCVTQFFLFGLFIVTEG
+FLLAAMAYDRFIAICNPLLYTVQMSTHLCVQLVAGSYFCGCITSVLHTSMTFALSFCASR
+TIDHFYCDTRPLQRLSCSDLFTLKMVSFTFSGLIVFPTIIVIIVSYLYIVSTVLKIPSTE
+GRQKAFSTCSSHLGVVSVLYGAVSFMYLTPDTFPELSKVASLCYTLLTPMLNPLIYSLRN
+KHVKEALYKLVEKKSTIL
+>tr|E1BII1|E1BII1_BOVIN Cytoplasmic tRNA 2-thiolation protein 1 OS=Bos taurus OX=9913 GN=CTU1 PE=3 SV=2
+MGTWELSAGRPQSLLEVVVLLPASALSRSLDLPAWVLFRRSRPLPPLQDPTMPAPQCASC
+HKARAALRRPRSGQALCGACFCAAFEAEVLHTVVAGRLLPPGAVVAVGASGGKDSTVLAH
+VLRELAPRLGISLHLVAVDEGIGGYRDAALAAVRRQAARWELPLTVVAYADLFGGWTMDA
+VARSTAGSGRSRACCTFCGVLRRRALEEGARLVGATHVVTGHNADDMAETVLMNFLRGDA
+GRLARGGGLGSPGEGGALPRCRPLQLASQKEVVLYAHFRRLDYFSEECVYAPEAFRGHAR
+DLLKMLEAARPSAVLDLVHSAERLALAPTARPPPPGACSRCGALASRALCQACALLDGLN
+RGRPRLAIGKGRRGLDEEGPPREPQPSRPPASEPVPDF
+>tr|A0A3Q1LWG3|A0A3Q1LWG3_BOVIN Ribosomal protein S6 kinase C1 OS=Bos taurus OX=9913 GN=RPS6KC1 PE=4 SV=1
+MTSPRERGADLARFYTVTEPQRHPRGYTVYKVTARIIVWKRYSDFKKLHKELWQIHKNLF
+RHSELFPPFAKGIVFGRFDETVIEERRQCAEDLLQFSANIPALYNSKQLEDFFKGGIIND
+GSELIGPVEAYPDSLTDSFPECSTEGFSSDSDLVSLTVDVDSLAELDDGMASNQNSPIRT
+FGPNLSSDSSVLGTVFSDSEQNKTEEERESRSLFPSSLKSKLGKRDYLEKAGELIKLALK
+KEEEDDYEAASDFYRKGVDLLLEGVQGESSPTRREAVKRRTAEYLMRAETLSSLCAKPQL
+DDVSQPPGSLSSRPPWNLRSPAEELKAFRVLGVIDKVLLVMDTRTEQTFILKGLRKSSEY
+SRNRKTIVPRCVPNMVCLHKYIVSEESVFLVLQHAEGGKLWSYISKFLNRSPEESFDIEE
+VKKSSVAKVHLQQPACSPQDSTSFESGGSDGGATLQVLPLKTSLTPSSQDDSNQDDGGQD
+SSPQWPDSGSSSEEECTTSYLTLCNEYGQEKIEPGSLSEEPFMKAERNDVDTKAIEKFPG
+QLATDGDSPSRQLKFFPGDDGLEAASSPRTSDSLSRSKNIPMEFFRIDSKDSTSELLGLD
+FGEKLYSLKSEPLKPFFTLSDGESTPRSVRTSESKVECVAQDTISRGSDDSVPVISFKDA
+AFDDVSGTDEGRPDLLVNLPGELEPMKDGVAVGPTKFTQTNIGIIESKLLETPDVLCLRL
+STEQCQAQEEKGTEELSDPCGPEAHSITEKHYVQGDLGMLFLPAVDHSNTGDMSLLDSSE
+SQFQGLSAVESPGTANNTEERLFHISDPLSGANEYNVNTDTLKTEEVLLFTDQADDLAKE
+EPAIFQRDLEPKQESGLAQEGDKEIHQIFEDLDKKLALNSRFYIPEGCIQRWAAEMVVAL
+DALHREGIVCRDLNPNNILLNDRGHIQLTYFSRWSEVEDSCDSDAIERMYCAPEVGAITE
+ETEACDWWSLGAVLFELLTGKTLVECHPAGINTHTTLNMPECVSEEARSLIQQLLQFNPV
+ERLGAGVAGVEDIKSHPFFTPVDWAELMR
+>tr|A0A3Q1LNK5|A0A3Q1LNK5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=DEFB136 PE=4 SV=1
+MRLRLSGLLFLLVISLPSGNSVFSNNGATVRTCTQLGGDCYFGCKLGWKWVAYCHNVLSC
+CIELKINKPPQVNLP
+>tr|A0A3Q1N224|A0A3Q1N224_BOVIN PH domain and leucine rich repeat protein phosphatase 1 OS=Bos taurus OX=9913 GN=PHLPP1 PE=4 SV=1
+MEPAAAETRAEGRAAAGGPGPALTAAPGRAGGAARRRRRGPAPLPAAGGAAPGPGASSLL
+LRRSRLKRNASAAAAPRPAGLPAPGPAAAPPCSRSLDRRALLPRPRQMLPLQPSDRDWVR
+HQLQRGCVHVLDRHPAASRLRPVLCTLDTTAGEVAARLLQAGPRAGGVLKVPGRGPAGPP
+PGKAALAAVGGPPPRRASRAGPAPAGCSLGTLRAPDGAGPAPEPESPRPSPGPEGASGRP
+DPYCSSSSEELEADPGQPRLRGRSSGARPLSPAASPPPPTAPRGVEASGGAARDRPAEES
+PDGEAAAAEKAPPPPTLYVQLHGETARRLEADEKPLQIQNDYLFQLGFGALWRVQEEGLD
+SEVGCLIRFYAGKPHSTGSSERIQLSGTYNVRKGKMHLPVNRWTRRQVILCGTCLIVSSV
+KDSVAGKMHVLPLIGGKVEEVKKHQHCLAFSSSGPQSQTYYICFDTFTEYLRWLRQVSKV
+ASQRISSVDLSCCSLEHLPANLFYSQDLTHLNLKQNFLRQNPSLPTARGLSELQRFTKLK
+SLNLSNNHLGDFPLAVCNIPTLAELNMSCNALRAVPAAVGAMHNLQTFLLDGNFLQTLPA
+ELENMHQLSYLGLSFNEFTDLPEVLQKLTAVEKLCMSGNCVGTLRLQALRKMPHIKHVDL
+RLNVIRNLIADGVDFLPHVTQLDLRDNKLEGLDAVVFTNLEVLHCERNQLVTLNACGCFL
+KALYASSNELVQLDVCPVPNYLSYMDVSRNCLENVPEWVCESRKLEVLDIGHNQICELPA
+RLFCNSSLRKLLAGHNRMARLPESLERTSVEVLDVQHNQLLELPPSLLMKADSLRFLNAS
+ANKLETLPPATLSEETNSILQELYLTNNNLTDKCVSLLTGHPHLKVLHMAYNRLQSFPAS
+KMAKLEELEEIDLSGNKLKAVPTTIMNCRRMHTVTAHSNCIEVFPEVMQLPEIKCVDLSC
+NELSEVTLPENLPPKLQELDLTGNPRLALDHRTLELLNNIRCFKIDQPSAGDASGAPAVW
+SHGYTEASGVKNKLCVAALSVNNFCDNQEALYGVFDGDRNVEVPYLLQCTMSDILAEELQ
+KTRDGEECMVNTFIVMQRKLGTAGQKLGGAAVLCHIRHDPVDPGGPFTLTSANVGKCQTV
+LCRNGKPLPLSRSYVMSCEEELRRIKRHKAIVTEDGKVNGVTESTRILGYTFLHPSVVPR
+PHVQSVALSPQDEFFILGSKGLWDSLSVEEAVDAVRSVPDALAAAKKLCTLAQSYGCRES
+VSAVVVQLSVPEDSFCCCELAALPPPSPGVFAPAAGVAVRERPADGLGAPSSSSGLASEM
+SSELSTSEMSSEVGSTASDEPPPPGPLGEGSPAGPGEPRCALHPGGAPSAFQRQLSSATF
+SSAFSDNGLDSDDEEPIEGVFSNGSRVEVAADIHCGRARDGERRRPPEAADEGVGAVEDE
+PGASRRVDGAAGTMGRRRGNGSVAPQERSHNVIEVAADAPLRRPGGYFAAPAQPDPDDQL
+IIPPELEEEVKEMMKQRPPAPPRPGPAEPADCSCDTPL
+>tr|A0A3Q1LQV8|A0A3Q1LQV8_BOVIN Pyrophosphatase (inorganic) 2 OS=Bos taurus OX=9913 GN=PPA2 PE=1 SV=1
+MRALLPLLRTSWAWPVGAAAAASARLLRGASAGPGPRRTMALYRTEERGQPHSPDYRLFF
+KNVAGHYISPFHDIPLKVDSEEENGIPTKRARNDEYENVFNMVVEVPRWTNAKMEIATKE
+PLNPIKQDVKDGKLRYVANIFPHKGYIWNYGALPQVLSRGEVVHVKILGVLALIDQGETD
+WKLIAINVNDPEASKFHDIEDVKKYKPGYLEATLNWFRFYKIPEGKPENQFAFNGEFKNK
+AFALEVIKSAHECWRALLMDKCDGGAINCTNVQVCDSPFHCSQEEARSLVESVSFSLNKG
+SNEEDQVWHFLGK
+>tr|A0A3Q1NGS3|A0A3Q1NGS3_BOVIN Bridging integrator 1 OS=Bos taurus OX=9913 GN=BIN1 PE=4 SV=1
+MAEMGSKGVTAGKIASNVQKKLTRAQEKVLQKLGKADETKDEQFEQCVQNFNKQLTEGTR
+LQKDLRTYLASVKAMHEASKKLNECLQEVYEPDWPGRDEASKIAENNDLLWMDYHQKLVD
+QALLTMDTYLGQFPDIKSRIAKRGRKLVDYDSARHHYESLQTAKKKDEAKIAKPVSLLEK
+AAPQWCQGKLQAHLVAQTNLLRNQAEEELIKAQKVFEEMNVDLQEELPSLWNSRVGFYVN
+TFQSIAGLEENFHKEMSKLNQNLNDVLISLEKQHGSNTFTVKAQPSDNVPAKGNKSPSPP
+PDGSPAATPEIRVNHEPEPAGAATPGATLPKSPSQPAEASEAAGAQEPGETTTSEAASSS
+LPAVVVETFSATVNGTVESGSGAGRLDLPPGFMFKVQAQHDYTATDTDELQLKAGDVVLV
+IPFQNPEEQVRPALREARRPAGGSRAPPANPWPLPTTEHFLPFHPCGPQGSPWLFTLLPT
+SVSHFLLPASSSPQARSAFPWALCISVPSSSSPFPAPPRSLSSRTGPLVATPWASPTPQP
+ALQPPTGPGCHPPGWPCPVYKDRTRPCPQGETPESPALSPAGPHSEASQTLLLRPCFVSE
+CPQRGSHRSWEAQRGTELPPLGSGQAA
+>tr|A0A3Q1LN98|A0A3Q1LN98_BOVIN Cartilage acidic protein 1 OS=Bos taurus OX=9913 GN=CRTAC1 PE=4 SV=1
+MAASADPGMFQVFLFLLLLWFLPLTEGSQGAEPMFTAVTNSVLPPDYDSNPTQLNYGVAV
+TDVDRDGDFEIVVAGYNGPNLVLKYDRAQKRLVNIAMDERSSPYYALRDRQGNAIGVTAC
+DIDGDGREEIYFLNTNNAFSGVATYTDKLFKFRNNRWEDILSDEVNVARGVASLFAGRSV
+ACVDRKGSGRYSIYIANYAYGNVGPDALIEMDPEASDLSRGILALRDVAAEAGVSKYTGG
+RGVSVGPILSSSASDIFCDNENGPNFLFHNRGDGTFVDAAASAGVDDPHQHGRGVALADF
+NRDGKVDIVYGNWNGPHRLYLQMSAHGKVRFRDIASPKFSMPSPVRTVIAADFDNDQELE
+VFFNNFAHRSSSANRLFRVIHREHGDPLIEELNPGDALEPEGRGTGGVVTDFDGDGMLDL
+ILSHGESMAQPLSVFRGNQGFSNNWLRVVPRTRFGAFARGAKVVLYTKKSGAHLRIIDGG
+SGYLCEMEPVAHFGLGKDEASSVEVTWPDGKMASRNVASSEMNSVLEIPYPRDDDRPQDP
+ASLECGQGFSQQENGHCADTNECIQFPFVCPRDKPVCVNTYGSYRCRTNKRCSRGYEPNE
+DGTACVASLTPSEESR
+>tr|A0A3Q1N0U6|A0A3Q1N0U6_BOVIN Anaphase promoting complex subunit 1 OS=Bos taurus OX=9913 GN=ANAPC1 PE=4 SV=1
+MSNFYEERATMIAAGDLQEFVPFGRDHCKHHPNALNLQLRQLQPASELWSSDGAAGLVGS
+LQEVTIHEKQKESWQLRKGVSEIGEEVDYDEELYMAGNMVIWSKGSKSQALAVYKAFTVD
+SPVQQVMIPAAGCICILQSSCINMHSIEGKDYIASLPFQVANVWPTKYGLLFERSSSSCE
+VPPGPPREPLPTLFSMLHPLDEITPVVCKSGSLFGSSRVQYVVDHAMKIVFLHTDPSIVM
+TYDTVQGLHSVWALRRVKTEEGNVVLKFSEQGGTPQNVATSSSLTAHLRSLSKGDSPVAS
+PFQNYSSIHSQSRSASSPSLHSRSPSISNMAALSRAHSPALGVYSFSGVQRFNLSSHNQS
+PKRHSFSHSPSSNSNGSFLAPETEPIVPELCIDHLWTETITRLRKKNSQASKVFITSDLC
+GQKFLCYLVESELQLRCVKFQESNDKTQLIFGSVTNIQAKDAAPVEKIDTMLVLESSGNL
+VLYTGVVRVGKVFIPGLPAPSLTMSNTMPRPSTPLDGVTTANPLSKLLGSLDEVLVSPVP
+ELRDSSKLHDSLYTEDCTFQQLGTYIHSIRDPVRNRVTLELSNGSMVRITIPEIATSELV
+QTCLQAIKFILPKEIAVQMLVKWYSVHSAPGGPSDHSEWNLFVTCLMNMMGYNTDRLAWT
+RNFDFEGSLSPVIAPKKARPSETGSDDDWEYLLNSDYHQNVESHLLNRSLCLSPLEVSQM
+KDEDFSQNLSLDSSTLLFTHIPAIFFVLHLVYEELKLNTLMGEGVRSLVELLVQLARDLK
+LEPYIDHYYRDYPTLVRTTGQVCAIDQGQTGSMQYPPFFTPEPPSIYQWVSSCLKGEGVP
+PYPYLPGICERGRLVVLSIALYILGDESSLSDESSQYLSRISIAPPKPQAEQEESRFSFR
+HSASVSSLGERLVVWMSNVGFTLRDLETLPFGIALPIRDAIYHCREQPASDWPEAVCLLI
+GRQDLSKQACEGNLPKGKSVLSSDVPSGTETEEEDDGMNDMNQEVMSLIWSEDLRVQDVR
+RLLQSAHPVRVNVVQYPELSDHEFIEEKENRLLQLCQRTMALPVGRGMFTLFSYHPVPTE
+PLPIPKLNLTGRAPPRNTTVDLNSGNIDVPPNMTSWASFHNGVAAGLKIAPASQIDSAWI
+VYNKPKHAELANEYAGFLMALGLNGHLTKLATLNIHDYLTKGHEMTSIGLLLGVAAAKLG
+TMDMSVTRLLSIHIPALLPPTSTELDVPHNVQVAAVVGIGLVYQGTAHRHTAEVLLAEIG
+RPPGPEMEYCTDRESYSLAAGLALGMVCLGHGSNLIGMSDLNVPEQLYQYMVGGHRRFQA
+GMHREKHKSPSYQIKEGDTINVDVTCPGATLALAMIYLKTNNSVTAWLTSTLARCLILWD
+DILPNSKWVDSNVPQIIRENSISLSEIELPCSEDLNLETLSQAHVYIIAGACLSLGFRFA
+GSENLSAFNCLHKFAKDFMTYLSAPNASVTGPYNLETCLSVVLLSLAMVMAGSGNLKVLQ
+LCRFLHMKTGGEMNYGFHLAHHMALGLLFLGGGRYSLSTSNSSIAALLCALYPHFPAHST
+DNRLLCCLPLSRPFVFSVNRYHLQALRHLYVLAAEPRLLVPVDVDTNMPCYALLEVTYKG
+TQWYEQTKEELMAPTLLPELHLLKQIKVKGPRYWELLIDLSKGTQHLKSILSKDGVLYVK
+LRAGQLSYKEDPMGWQSLLAQTVANRNSEARAFKPETISTFTSDPALLSFAEYFCKPTVN
+VGQKQELLDLFSSVLYECVTQETPEMLPAYIAMDQAVRRLGRREMSETSDLWQIKLVLEF
+FSSRSHQERLQNQPNRGLFMNSEFLPVVKCTTDNTLDQWLQAGGDRCVHAYLSGQPCEES
+QLSMLACFLVYHSVPAPQHLPSIGLEGSTSFAELLFKFKQLKMPVRALLRLAPLLLGNPQ
+PMVM
+>tr|A0A3Q1NGT8|A0A3Q1NGT8_BOVIN Transmembrane protein 71 OS=Bos taurus OX=9913 GN=TMEM71 PE=4 SV=1
+MYQISQLMSTPVASKYSSQSEKEYAVEPSPPCILPSSVCDFLNGDSPFEGHSGDPLTDSP
+FTCRRSPRLLTNGYYVWTEDSFLCDEDGNITLSPSQTRVLYKENLVRIFRKKRRIRRSFS
+SLFNLSASESWLRGSIFDDVDSSPSEDVWLEGVRRLETNHCKENGGDFDCSLTDDWESQK
+LNAESVKASFSGPVASQRPRENSYDLSPQSQWTASERFQEEALDHPKTSLLREVSFQAIL
+LAACLIISACARCCQVILFQPCQLFQSHHGHLVCQNLTTIHEGL
+>tr|A0A3Q1MA60|A0A3Q1MA60_BOVIN Eukaryotic translation initiation factor 3 subunit C OS=Bos taurus OX=9913 GN=EIF3CL PE=1 SV=1
+MSRFFTTGSDSESESSLSGEELVTKPVGGNYGKQPLLLSEDEEDTKRVVRSAKDKRFEEL
+TNLIRTIRNAMKIRDVTKCLEEFELLGKAYGKAKSIVDKEGVPRFYIRILADLEDYLNEL
+WEDKEGKKKMNKNNAKALSTLRQKIRKYNRDFESHITNYKQNPEQSADEDAEKNEEDSEG
+SSDEDEDDDGVTAAAFLKKKSEAPSGDSRKFLKKEDEDEDSEESEDSEAWDTSSTSSDSD
+SEEEEGKQTVLASRFLKKAPTTEEDKKAAEKKREDKAKKKHDRKSRRPDEEEEDNEGGEW
+ERVRGGVPLVKEKPKMFAKGTEITHAVVIKKLNEILQARGKKGTDRAAQIELLQLLVQIA
+SENNLGEGVIVKIKFNIIASLYDYNPNLATWWVPEMWQKCLDCINELMDILFANPNIFVG
+ENILEESENLHNADQPLRVRGCILTLVERMDEEFTKIMQNTDPHSQEYVEHLKDEAQVCA
+IIERVQRYLEEKGTTEEVCRIYLRRILHTYYKFDYKAHQRQLTPPEGSSKSEQDQAENEG
+EDSAVLMERLCKYIYAKDRTDRIRTCAILCHIYHHALHSRWYQARDLMLMSHLQDNIQHA
+DPPVQILYNRTMVQLGICAFRQGLTKDAHNALLDIQSSGRAKELLGQGLLLRSLQERNQE
+QEKVERRRQVPFHLHINLELLECVYLVSAMLLEIPYMAAHESDARRRMISKQFHHQLRVG
+ERQPLLGPPESMREHVVAASKAMKMGDWKTCHSFIINEKMNGKVWDLFPEADKVRTMLVR
+KIQEESLRTYLFTYSSVYDSISMETLSDMFELDLPTVHSIISKMIINEELMASLDQPTQT
+VVMHRTEPTAQQNLALQLAEKLGSLVENNERVFDHKQGTYGGYFRDQKDGYRKNEGYMRR
+GGYRQQQSQTAY
+>tr|A0A3Q1MRR2|A0A3Q1MRR2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MLTGNCWVNWTTSFRTRTASSSSPCCSVAKGSSLCLGLLGVGRTEQSDIPWGPTSRSPCP
+PWRLSSLLCPLGSLQAGKEKNRGNLTLALWVFPHSSVGKESACNAGGPSSIPGSGRFPGE
+GIGYLFQYLGLPWWLSW
+>tr|A0A3Q1M7Y1|A0A3Q1M7Y1_BOVIN Histone deacetylase 6 OS=Bos taurus OX=9913 GN=HDAC6 PE=4 SV=1
+MTSTGQDSTTPKERRSRHNPHSPTHDSSITSKRGVKKSAILRSSPSLAEVKKKGRMKKLS
+QTAEQDLIMGLQGLNLNLESRTLSGTGLVFDEQLNEFHCLWDDSFPERPERLHAIKEQLI
+QEGLLDRCVSFQARFAEKEELMLVHSLEYIDLMETTQYMNEEELHVLADTYDSVYLHPNS
+YTCACLASGSVLRLVDAVLEAEIRNGMAIIRPPGHHAQHSLMDGYCMFNHVAVAARYAQQ
+KHDIQRVLIVDWDVHHGQGIQFAFDQDPSVLYFSIHRYEQGRFWPHLKASNWSTTGLGQG
+QGYTINVPWNQVGMQDADYIAAFLHVLLPVAFEFQPQLVLVAAGFDALQGDPKGEMAATP
+AGFAQLTHLLMGLAEGKLILSLEGGYNLHSLAEGVSATLHTLLGDPCPVLESPGAPCPSA
+QASLSCTLEALEPFWESLVRSVESLEEKDTVEKDDVEEKEEERLPQSPELSVPIWPVLQA
+RTGLVYDQQMMDHHNLWDNYHPEMPQRIHFIMHHLDELGLAKRCHSLPARPATDAELLTC
+HSAEHLERLRATEKMKTRELRREGANYDSIYICSSTFACAQLAAGAACRLVEAVLAGEVL
+NGVAVVRPPGHHAEPDAACGFCFFNSVAVAARHAQAISGHALRILIVDWDIHHGNGTQHI
+FEEDPSVLYISLHRYDHGTFFPMGNEGACTRIGKATGTGFTVNVAWNGPRMGDADYLAAW
+HRLVLPVAYEFNPELVLVSAGFDAARGDPLGGCQVSPEGYAHLTHQLMGLANGHIILILE
+GGYNLTSISESMAACTRSLLGDPLPLLTRLRPPLSGAQASITKTIQVHRRYWRSLRVMKR
+KNKEELSSSKLITKKPSQPASPGLANVTTTVEGNILETGMGQAASEASVKESTPDQTESA
+TAPVELTQGQSSDTATQGAALDQTISEGATGGAELIQNPPASCINNRIPPALPVQGATAQ
+TSPSKLMANLRILDLDSTTQEPSEEEGLLGEAAGGQDTNESVPVQVFGDHADTDEDLLAV
+KNIAHQNKFGEDIPHSD
+>tr|G3X730|G3X730_BOVIN Single-pass membrane protein with coiled-coil domains 1 OS=Bos taurus OX=9913 GN=SMCO1 PE=4 SV=1
+MNNETTTLISLKEAMKRVDHKLQALEAHFKELDFIKDNLTQKFEDHSKTLANQGAQDELW
+KAVLSLKFTSMELNILYSYVIEVLVHLHTCVLEKLPDLVRSLPTLASILRRKVKNKRIRV
+VWESVLEEHGLQEGDITALCIFFVAHGNKAEHYIAKVRQMYIRDINFMISNMVKNQALQD
+GLLKAVQVIEKGKAEMAPQEKKSPLKELIPSVKS
+>tr|A0A3Q1LGU2|A0A3Q1LGU2_BOVIN dTDP-D-glucose 4,6-dehydratase OS=Bos taurus OX=9913 GN=TGDS PE=4 SV=1
+MSVAGRAESLGPPDSFAKRVLVTGGAGFIASHMIVSLVEDYPNYMIINLDKLDYCASLKN
+LETISNKQNYKFIQGDICDSHFVKLLFETEKIDIVLHFAAQTHVDLSFVRAFEFTYVNVY
+GTHVLVSAAHEARVEKFIYVSTDEVYGGSLDKEFDESSPKQPTNPYASSKAAAECFVQSY
+WEQYKFPVVITRSSNVYGPHQYPEKVIPKFISLLQHNRKCCIHGTGLQTRNFLYATDVVE
+AFLTVLKKGKPGEIYNIGTNFEMSVLQLAKELIQLIKETNSESEMENWVDYVDDRPTNDM
+RYPMKSEKIHGLGWRPKVPWKEGIKKTIEWYRENFHNWKNAEKALEPFPFLIPTQDLF
+>tr|G3N3B7|G3N3B7_BOVIN Protein tyrosine kinase 2 OS=Bos taurus OX=9913 GN=PTK2 PE=3 SV=2
+MAAAYLDPNLNHTPSSSTKTHLGTGVERSPGAMERVLKVFHYFESNSEPTTWASIIRHGD
+ATDVRGIIQKIVDSHKVKHVACYGFRLSHLRSEEVHWLHLDMGVSNVREKYELAHPPEEW
+KYELRIRYLPKGFLNQFTEDKPTLNFFYQQVKSDYMLEIADQVDQDIALKLGCLEIRRSY
+WEMRGNALEKKSNYEVLEKDVGLKRFFPRSLLDSVKAKTLRKLIQQTFRQFANLNREESI
+LKFFEILSPVYRFDKECFKCALGSSWIISVELAIGPEEGISYLTDKGCNPTHLADFNQVQ
+TIQYSNSEDKDRKGTLQLKIAGAPEPLTVTAPSLTIAENMADLIDGYCRLVHGATQSFII
+RPQKEGERALPSIPKLANSEKQGVRTHAVSVSDEISGDETDDYAEIIDEEDTYTMPSKSY
+GIDEARDYEIQRERIELGRCIGEGQFGDVHQGTYTSPENPALAVAIKTCKNCTSDSVREK
+FLQEALTMRQFDHPHIVKLIGVITENPVWIIMELCTLGELRSFLQVRKYSLDLASLILYA
+YQLSTALAYLESKRFVHRDIAARNVLVSSNDCVKLGDFGLSRYMEDSTYYKASKGKLPIK
+WMAPESINFRRFTSASDVWMFGVCMWEILMHGVKPFQGVKNNDVIGRIENGERLPMPPNC
+PPTLYSLMTKCWAYDPSRRPRFTELKAQLSTILEEEKVQQEERMRMESRRQVTASWDSGG
+SDEAPPKPSRPGYPSPRSSEGFYPSPQHTVQTNHYQVSGYPGPHGVTAMAGSIYPGQASL
+LDQTDSWNHRPQEISMWQPSVEDSAALDLRGLGQALPTHLMEERLIRQQQEMEEDQRWLE
+KEERFLKPDVRLSRGSIDREDGGPQGLTGNQHIYQPVGKPDPAAPPKKPPRPGAPGHLGS
+LASLGSPGDSYNEGVKLQPQEISPPPTANLDRSNDRVYENVTGLVRAVIEMSSKIQPAPP
+EEYVPMVKEVGLALRTLLATVDETIPVLPASTHREIEMAQKLLNSDLGELINKMKLAQQY
+VMTSLQQEYKKQMLTAAHALAVDAKNLLDVIDQARLKALGQPRPH
+>tr|E1BFK5|E1BFK5_BOVIN Beta-crystallin B1 OS=Bos taurus OX=9913 GN=CRYBB1 PE=3 SV=3
+FLLQCMKMKNKWKLVVFEQENFQGRRVEFSGECLNLGDRGFERVRSIIVTSGPWVAFEQS
+NFRGEMFVLEKGEYPRWDTWSSSYRSDRLMSFRPIKMDAQEHKLCLFEGANFKGNTMEIQ
+EDDVPSLWVYGFCDRVGSVRVSSGTWVGYQYPGYRGYQYLLEPGDFRHWNEWGAFQPQMQ
+AVRRLRD
+>tr|F1MCQ5|F1MCQ5_BOVIN Endoribonuclease Dicer OS=Bos taurus OX=9913 GN=DICER1 PE=3 SV=2
+MKSPALQPLSMAGLQLMTPASSPMGPFFGLPWQQEAIHDNIYTPRKYQVELLEAALDHNT
+IVCLNTGSGKTFIAVLLTKELSYQIRGDFNRNGKRTVFLVNSANQVAQQVSAVRTHSDLK
+VGEYSNLEVSASWTKEKWNQEFTKHQVLVMTCYVALNVLKNGYLSLSDINLLVFDECHLA
+ILDHPYREIMKLCENCPSCPRILGLTASILNGKCDPEELEEKIQKLEKILKSNAETATDL
+VVLDRYTSQPCEIVVDCGPFTDRSGLYERLLMELEEALNFINDCNISVHSKERDSTLISK
+QILSDCRAVLVVLGPWCADKVAGMMVRELQKHIKHEQEELHRKFLLFTDTFLRKIHALCE
+EHFSPASLDLKFVTPKVIKLLEILRKYKPYERQQFESVEWYNNRNQDNYVSWSDSEDDEE
+DEEIEEKEKPETNFPSPFTNILCGIIFVERRYTAVVLNRLIKEAGKQDPELAYISSNFIT
+GHGIGKNQPRNKQMEAEFRKQEEVLRKFRAHETNLLIATSIVEEGVDIPKCNLVVRFDLP
+TEYRSYVQSKGRARAPISNYVMLADTDKIKSFEEDLKTYKAIEKILRNKCSKSVDTGEAD
+TEPVVDDDDVFPPYVLRPEDGPRVTINTAIGHVNRYCARLPSDPFTHLAPKCRTRELPDG
+TFYSTLYLPINSPLRASIVGPPMSCIRLAERVVALICCEKLHKIGELDDHLMPVGKETVK
+YEEELDLHDEEETSVPGRPGSTKRRQCYPKAIPECLRESYPRPGQPCYLYVIGMVLTTPL
+PDELNFRRRKLYPPEDTTRCFGILTAKPIPQIPHFPVYTRSGEVTISIELKKSGFTLSLQ
+MLELITRLHQYIFSHILRLEKPALEFKPTDADSAYCVLPLNVVNDSSTLDIDFKFMEDIE
+KSEARIGIPSTKYSKETPFVFKLEDYQDAVIIPRYRNFDQPHRFYVADVYTDLTPLSKFP
+SPEYETFAEYYKTKYNLDLTNLNQPLLDVDHTSSRLNLLTPRHLNQKGKALPLSSAEKRK
+AKWESLQNKQILVPELCAIHPIPASLWRKAVCLPSILYRLHCLLTAEELRAQTASDAGVG
+VRSLPVDFRYPNLDFGWKKSIDSKSFISIANSSSAENENYCKHSTIVVPENAAHQGANRT
+SPLENHDQMSVNCRTLFSESPGKLQIEVSTDLTAINGLSYNKSLANGSYDLANRDFCQGN
+HLNYYKQEIPVQPTTSYPIQNLYNYENQPKPSDECTLLSNKYLDGNANTSTSDGSPVTAA
+VPGTTETGEAPPDRTASEQSPSPGYSSRTLGPNPGLILQALTLSNASDGFNLERLEMLGD
+SFLKHAITTYLFCTYPDAHEGRLSYMRSKKVSNCNLYRLGKKKGLPSRMVVSIFDPPVNW
+LPPGYVVNQDKSNTEKWEKDEMTKDCMLANGKLDDDFEEEEEEEEDLMWRAHKEDADDED
+DFLEYDQEHIKFIDNMLMGSGAFVKKISLSPFSATDSAYEWKMPKKSSLGSLPFSSDFED
+FDYSSWDAMCYLDPSKAVEEDDFVVGFWNPSEENCGVDTGKQSISYDLHTEQCIADKSIA
+DCVEALLGCYLTSCGERAAQLFLCSLGLKVLPVIKRTDREKAMCPTRENFTSQQKNLSGS
+RAAASGAGYRASVLKDLEYGCLKIPPRCMFDHPDADRTLRHLISGFENFEKKINYRFKNK
+AYLLQAFTHASYHYNTITDCYQRLEFLGDAILDYLITKHLYEDPRQHSPGVLTDLRSALV
+NNTIFASLAVKYDYHKYFKAVSPELFHVIDDFVQFQLEKNEMQGMDSELRRSEEDEEKEE
+DIEVPKAMGDIFESLAGAIYMDSGMSLETVWQVYYPMMRPLIEKFSANVPRSPVRELLEM
+EPETAKFSPAERTYDGKVRVTVEVVGKGKFKGVGRSYRIAKSAAARRALRSLKANQPQVP
+NS
+>tr|A0A3Q1MG14|A0A3Q1MG14_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=THEG PE=4 SV=1
+MPNTTEKSCQMPRCRETQGSVCLPEEAPPRMRVGRGVRTRLGDSTAEQQKGTSRRLSISQ
+RIPRTSTSPERKKRRRLFELAKPKTNWQILKDRPSVYWTERFLEDTTLTITVPEVSRRVE
+ELARPKRFYSEYYNNNRTTSIWPIPRSTLEYRASNRLRELATPKVRNNIWSINMSEVSQV
+SRAAQMAIPSSRIIRLAKPKAPATLLEEWDPVPKPKPHVSDYNRLLHLAMPKVQSDKCVP
+DRDPRWEVLEVTKKAVASPRILSLAKPRVRKDLNEGYDPSHVSPAALVAQASPRLYELAT
+PKTITKKA
+>tr|A0A3Q1LHC9|A0A3Q1LHC9_BOVIN DNA helicase OS=Bos taurus OX=9913 GN=MCM2 PE=1 SV=1
+MAESSESFTLASSPGPRRRANEPLTSSPGRSSSRRTDALTSSPGRDLPPFEDESEGLLGT
+EGPLEEEEDGEELIGDGMERDYRAIPELDVYEAEGLALDDEDVEELTASQREAAERVMRQ
+RDREAGRGLGRMRRGLLYGGFSGSLGREGGRWGGAEQALSAFGLTDSDDEEEERPSRKRR
+QVERATEDGEEEDMIESVENLEDLKGHSVREWVSMAGPRLEIHHRFKNFLRTHVDGRGHN
+VFKERISDMCKENRESLVVNYEDLAAREHVLAYFLPEAPAELLQIFDEAALEVVLAMYPK
+YDRIASRIHVRISHLPLVEELRSLRQLHLNQLIRTSGVVTSCTGVLPQLSMVKYNCNKCG
+FVLGPFCQSQNQEVKPGSCPECQSAGPFEVNMEETVYQNYQRIRIQESPGKVAAGRLPRS
+KDAILLADLVDSCKPGDEIELTGIYHNNYDGALNTANGFPVFATVILANHVAKKDNKVAV
+GELTDEDVKMITSLSKDQQIGEKIFASIAPSIYGHEDIKRGLALALFGGEPKNPGGKHKV
+RGDINVLLCGDPGTAKSQFLKYVEKVSSRAIFTTGQGASAVGLTAYVQRHPVSREWTLEA
+GALVLADRGVCLIDEFDKMNDQDRTSIHEAMEQQSISISKAGIVTSLQARCTVIAAANPI
+GGRYDPSLTFSENVDLTEPIISRFDVLCVVRDTVDPVQDEMLARFVVGSHVRHHPSNKED
+GGSGGAPEPAMPNTYGVEPLPQEVLRKYIIYAKEKVHPKLNQMDQDKVAKMYSDLRKESM
+ATGSIPITVRHIESMIRMAEAHARMHLRDYVMEDDVNMAIRVMLESFVDTQKFSVMRGMR
+KTFARYLSFRRDNNELLLFILKQLVAEQVTYQRNRFGAQQDTIEVPEKDLVDKARQINIH
+NLSAFYDSELFRMHKFTHDLKRKVILQQF
+>tr|A0A3Q1MTB4|A0A3Q1MTB4_BOVIN Anoctamin OS=Bos taurus OX=9913 GN=ANO2 PE=3 SV=1
+GTTEDEMSEWTPGVGDGQGGLACCDSWGPLLFLLVCPFQSKSQGSVFVRIHAPWQVLARE
+AEFLKIKVPTKKMYEIKSQGSIAKTFSKILHKLSAPLKPRVPEHSNKWARYGVFYKFQPI
+DLIRKYFGEKIGLYFAWLGLYTSFLIPSSVIGIIVFLYGCATIEEDIPSKEMCDQQNAFT
+MCPLCDTSCDYWNLSSACGTARASHLFDNPATVFFSIFMALWATMFLENWKRLQMRLGYF
+WDLTGIEEEEVSSLWISDDEDKLTWKDRFPGYLMNFASILFMIALTFSIVFGVIVYRITT
+AAALSLNKATRSNVRVTVTATAVIINLVVILILDEIYGAVAKWLTKIEVPKTEQTFEERL
+ILKAFLLKFVNAYSPIFYVAFFKGRFVGRPGSYVYVFDGYRMEECAPGGCLMELCIQLSI
+IMLGKQLIQNNIFEIGVPKLKKLFRKLKDETEPEEADSAHSKHPEQWDLDYSLEPYTGLT
+PEYMEMIIQFGFVTLFVASFPLAPVFALLNNVIEVRLDAKKFVTELRRPDAVRTKDIGIW
+FDILSGIGKFSVIINAFVIAVTSDFIPRLVYQYSYSHNGTLHGFVNHTLSFFNVSQLKEG
+TQPENSQFEQEVQFCRFKDYREPPWAPNPYEFSKQYWAVLSARLAFVIIFQNLVMFLSVL
+VDWMIPDIPTDISEQIKREKSLFVDFFLKEEHEKLKLAEEPARRIVLSLLGDLAPVPCRS
+V
+>tr|A0A3Q1N8K4|A0A3Q1N8K4_BOVIN Family with sequence similarity 151 member B OS=Bos taurus OX=9913 GN=FAM151B PE=4 SV=1
+MCLHRRSVTSIYEGSWSENILEYFLRNNQITTEDGAQIVWYHAANHKVQVNEALRSTAHM
+IEADVVLPSDGSEHGQPIMAHPPEINSDNTLQEWLAEVIKTNKGIKLDFKSLAAVEPSMM
+LLENVKRHLKRPVWINADVLPGPNGNSRVVDAKPFIDTVTSFSPDVTFSLGWTTGWHPEK
+VNEGYSWTMVKEMEYICNELNQPVTFPVRAALVRQSCSQLLWLLKQSNRYSLTIWTGKND
+SYSTEDLLFIRDHFDKKRVFYDILEPQNHEFKQAIGIKINL
+>tr|A0A3Q1LQE1|A0A3Q1LQE1_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MEAANIQAKFTLIRFHAKMGSIKDRDGMDLIEAEDIKKRWQEYTEELYKKDLHDSDTHDG
+VITHLEPDILECEVKWALESIITNKASGGDGIPVELFQILKDDAVKVLHSICQHIWKIQQ
+WPQDWKRSVFTPIPKKGNAKECSNYRTIALISHASKVMLKILQARLQQYVNRKLPDVQAG
+FRKGRGTRDQIANIRWLIQKAREFQKNIYFCFIDYAKAFDCVGDNKLWKILQEMGIPDHL
+TCLLRNLYAGQEATVRTGNGTTDWFQIGKGVCQGCILSPCLFNFYAEYIMRNAGLEEAQA
+GIKIARRNINNLRYADDTTLTADSEEELKSLLMKVKEESEKVGLKLNIQ
+>tr|A0A3Q1LGL1|A0A3Q1LGL1_BOVIN NADH:ubiquinone oxidoreductase complex assembly factor 5 OS=Bos taurus OX=9913 GN=NDUFAF5 PE=4 SV=1
+MPLLTPLRFSWRRLPAAGVPVKNLGLRTVASGASSPSGASPKAFNIFDRDLKRKQKNWAA
+RQPEPMKFDYLKEEVGSRIADRVYDIARDFRLALDVGCGRGYVAEHLNKETVGKFFQTDI
+AENALKNALETEIPTVSVLADEEFLPFRENTFDLVVSSLSLHWVNDLPRALEQDTDEIQV
+NYPGMFELMEDLQGMGESNCAWNRKALLHRDTMLAAAAVYQEMYRNEDGSVPATYQIYYM
+IGWKYHDSQARPAERGSATVSFGELGKINDLMSQGKKSQ
+>tr|A0A3Q1M8G4|A0A3Q1M8G4_BOVIN Glyco_tran_28_C domain-containing protein OS=Bos taurus OX=9913 GN=LOC107132045 PE=4 SV=1
+MKCVFVTVGTTSFDDLIACVSAHDSLQIIQSLGYNRLVLQIGRGKVVPEPFSTESFTLDV
+YRYKDSLKEDLQKADLVISHAGAGSCLETLEKRKPLIVVINERLMNNHQLELAKQLHKDG
+HLFYCTCSMLPGLLQSMDLSTLNCFPPGQPEKFSAFLDKVVGLQK
+>tr|F1MJ59|F1MJ59_BOVIN Omega-amidase NIT2 OS=Bos taurus OX=9913 GN=NIT2 PE=4 SV=2
+MVLPGRAMATFRLALIQLQVSSIKSENLTRACGLIREASKQGAQIVSLPECFNSPYGTKY
+FPDYAEKIPGDSTQKLSEVAKECSMYVIGGSIPEKDAGKLYNTCAVFGPDGTLLVKHRKL
+HLFDIDVPGKITFQESETLSPGDSFSSFDTPYCRVGLGICYDIRFAELAQIYAQRGCQLL
+VYPGAFNLTTGPAHWELLQRGRAVDNQVYVATASPARDEKASYVAWGHSTVVNPWGEVLA
+KAGTEETIVYADIDLKKLAEIRQQIPIFSQKRSDLYAVEAKKS
+>tr|A0A3Q1LLL0|A0A3Q1LLL0_BOVIN GST C-terminal domain-containing protein OS=Bos taurus OX=9913 GN=GSTT4 PE=4 SV=1
+MMNGGQGGTVGTRRVPGLSPSAPAFSAAVQLPIRAAEVTGARVLIPHCHHHSREYIEINP
+LRKLPSLRDGKFILSESAPSHWYPPDLHMRARVDEFMAWQHTAIQLPMNKILWMKLLIPM
+ITGDEVPAEKTEQMLAEVKNNLKLFEEKFLQDKMFITGDNISLADLVALVEMMQPMAGNH
+NVFLNSAKLAEWRMRVELAIGSTLFCEAHDRLVKLAEWDCSTLDPMVKERICDLLQKFK
+>tr|A0A3Q1MTV0|A0A3Q1MTV0_BOVIN Transforming growth factor-beta receptor-associated protein 1 OS=Bos taurus OX=9913 GN=TGFBRAP1 PE=4 SV=1
+MMSTKAFTLVPAIEREQLLSDRDRGLLECVECCGRNLYVGTSDCFVYHFLLEEKTLPGGS
+ATFTATRQLHRHLGFKKAVSELRAASALSRLLVLCDGCISLVHMLSLEPVPSGARIKGAT
+AFALNENPVSGDPFCVEVCIISVKRRTIQVFLVYEDRVQIVREVSTPEQPLAVAVDGHFL
+CLALTTQYIILNYSTGAAQDLFPFCSEERRPIVKRIGRQEFLLAGPGGLGMFATVAGISQ
+RAPVRWSENVIGAAVCFPYVVALDDEFITVHSMLDQQQKQTLPFKEGHILQDFEGRVIVA
+TSKGVYILVPLPLEKQIQDLLASHRVEEALVLAKGARRNIPKEKFQVMYRRILLQAGFIQ
+FAQLQFLEAKELFRSGQLDVRELISLYPLLLPTSSSFTRSHPPLHEFADLNQLTQGDQDK
+VAKCKRFLMSYLNEVRSTEVANGYKEDIDTALLKLYAEADHDSLLDLLVTENFCLLPDSA
+AWLEKHKKYFALGLLYHYNHQDAAAVQLWVSIVNGDIQDSTRSDLYEYIVDFLTYSTDPD
+LVWRHADWVLQRSQEVGVQVFTKRPLDEQQSGFNPDDIISCLKKYPQALVKYLEHLVTER
+RLQKEEYHTHLAVLYLDEVLQQRPCTPDKDAEVTETQAKLRRLLQESDLYRVHFLMDRTR
+GAGLPLESAILHGKLEQHEEALHILVHELADFPAAEDYCLWRSEGRDPPYRQRLFHLLLA
+VYLGPGPAAPARTVAAVDLLNRHAVEFDAAQVLQLLPGTWSVQLLRPFLMGAMRDSIHAR
+RTTQVAVGLARSENLIYKYDKSWSVFFSMTSTQGMCGLLTQTRLWEPGWQW
+>tr|E1BHN6|E1BHN6_BOVIN Polypeptide N-acetylgalactosaminyltransferase OS=Bos taurus OX=9913 GN=GALNT15 PE=3 SV=3
+MLVRKRRRHGPCRRQALLALLALGCVLLMLGALHPPPHALPRAPPAPQAAGRSPQAGYRL
+DFGDPQEWLLESEEEDREYDRLPPLVSLREDQLLVAVASPRAGRNRSRGGRGGGYRLIPR
+PQKRQNPAAPERDWAAEEEDEEDGEASEEGERTALSREEAPSARSPPHSGGSRHPLCLQQ
+PPPADSLPTASVVLCFHDEAWATLLRTVHSILDTAPRAFLKEIVLVDDLSQQGQLKMALS
+EHVAQLEGVKLLRSNRRLGASGARMLGAARATGDVLVFMDAHCECQPGWLEPLLARIAGD
+RSRVVSPVLDVIDWKTLQYYPSADQQRGVLDWKLDFHWEPLPEHERRALASPVSPIRSPV
+VPGGVVAVDRHYFQNTGAYDPLLSLQGGGNLELSLKTWLCGGSVEILPCSRVGHLYKNED
+AHSQLEQEAALQNKVRIAETWLDSFKETFYKQSPEALSLSKAEKPDCTERQQLQRRLGCR
+TFHWFLANVYPELYPLERRPRFSGKLHNTGLGFCAYCAGQEAAPGCAMMLAPCRDGEPQQ
+RLEHTGRSTIRYSGPGHLCFDVRREQVILQNCTEGGPAIHQQHWDHQENGMIVHILSGKC
+MEAVVQENNKDLYLRECDGKASQLWRFDSVSTVDER
+>tr|G5E5Y7|G5E5Y7_BOVIN Phosphatidylethanolamine N-methyltransferase OS=Bos taurus OX=9913 GN=PEMT PE=3 SV=1
+MTRLLGYVDLSEPHFVAAVLAIVFNPLFWNVVARWEHKTRKLSKAFGSPRLACYTLGGAI
+LLLNVLRSHPFTQAMLSQPRMQSLDNPAVYHVGLALLGVGGVFVLSSFLALGFTGTFLGD
+YFGILKEARVTMFPFSVLDNPMYWGSTAIYLGWAIVHASPTGLLLTALVALIYMVAIVYE
+EPFTAEIYQQKASQAYKRS
+>tr|A0A3Q1MVN4|A0A3Q1MVN4_BOVIN Taspase 1 OS=Bos taurus OX=9913 GN=TASP1 PE=4 SV=1
+MTMEKGMSSGEGLPSRSSQVSAVKTTVKELETKQSHKEKRGGFVLVHAGAGYHSESKAKE
+YKHVCKRACQKAIEKLQAGALATDAVTAALVELEDSPFTNAGMGSNLNLLGEIECDASIM
+DGKSLNFGAVGALSGIKNPVSVANRLLCEGQKGKLSAGRIPPCFLVGEGAYRWAVDHGIP
+SCPPSIMTTRFSLAAFKRNKRKLELAERVETDFIQLKKRRQSSEKENDSGPLDTVGAVVV
+DHEGNVAAAVSSGGLALKHPGRVGQAALYGCGCWAENTGAHNPYSTAVSTSGCGEHLVRT
+ILARECSHALQAEDAHQALLETMQNKFINQGFQKSHLPHSDQLSQLSSTQPDSLRLSSLR
+SSVAVFKTMLITRKPHIGMPLWSSGGGGVLRSRGILGCT
+>tr|A0A452DK17|A0A452DK17_BOVIN Choline transporter-like protein 3 OS=Bos taurus OX=9913 GN=SLC44A3 PE=3 SV=1
+MEACCFFMVFIMGYSVAAGATGRLLFGYDSFGNVCGKKNSPVEGAPLSGQDMTQKKHVFF
+MNSCNLEVKDVRLSSTVLCVSSCPEEQLDTLEEVQLFANTSGSFLCVYNLNSFNYTQIPN
+ADLLCPRLPVPPSKSFPLFNRCIPQTPECYSLFASVLINDVDSLHRILSGIMSGRDTVLG
+LCILAFALSLAMMFTFRFITTLLVHIFIALIVLGLLFVCSVLWWLYYDYTNDLSIELDTE
+RENMKCLLGFAIVSTVITAVLLILIYVLRKRIKLTVELLQVTNKAISSSPFLLFQPLWTF
+AILIFFWVLWVAVLLSLGTAGAAQVVEGGQVEYKPLSGIRYMWWYHLIGLIWTSEFILAC
+QQMAVAGTVVTCYFNRNKSDPPDRPILSSLSILFCYHQGTVVKGSFLITVVRIPRAVLMY
+VYNTLKEKDGALSRCVSQCCCCCFWCLDKCLRHLNQNAYTTTAINGTDFCTSAKDALKLL
+SKNSSHFTSVNCFGDFIIFLGKVLVVCFTVFGGLMAFNYHRVLQVWAVPLLLVAFFAYLV
+AHSFLSVFETVLDALFLCFAVDLETNDGSSEKPYFMDQEFLNFVKRINKLNTRAQRNKNS
+LTSEEGTELRPL
+>tr|A0A3Q1LQD8|A0A3Q1LQD8_BOVIN Kinesin-like protein OS=Bos taurus OX=9913 GN=KIF5C PE=3 SV=1
+MADPAECSIKVMCRFRPLNEAEILRGDKFIPKFKGEETVVIGQGKPYVFDRVLPPSTTQE
+QVYNACAKQIVKDVLEGYNGTIFAYGQTSSGKTHTMEGKLHDPQLMGIIPRIAHDIFDHI
+YSMDENLEFHIKVSYFEIYLDKIRDLLDVSKTNLAVHEDKNRVPYVKGCTERFVSSPEEV
+MDVIDEGKANRHVAVTNMNEHSSRSHSIFLINIKQENVETEKKLSGKLYLVDLAGSEKVS
+KTGAEGAVLDEAKNINKSLSALGNVIAALAEGTKTHVPYRDSKMTRILQDSLGGNCRTTI
+VICCSPSVFNEAETKSTLMFGQRWSFPETSQNIELTSYGTCGMSK
+>tr|A0A3Q1MWY6|A0A3Q1MWY6_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC107131379 PE=3 SV=1
+MAAENHSTVTEFILGGLTSQPELQLPLFFLFLGIYSVTMVGNLGMIALICLNAQLHTPMY
+YFLSNLSFVDLCYSSVTTPKMLVNFVSGKNTISYAGCMAQLYFFLLFVVTESYTLTVMAY
+DRYVAICRPLFYNIIMSQRACFLLLAGVYVMSVIGSNIETIILSKLSYCETLIHHYYCDI
+LALIKLSCFSTYHIDMIVFSLAVFDIIVTSLTILVSYAFILSSIFRIRTTEGMSKAFSTC
+SSHFAAMGMFCGTTAFIYLKPSTASSLAQENVASVFYTAVIPMLNPLIYSLRNKDVNVAI
+QKTPRGKLFSGTYHRSCSNLRISCYESQREAL
+>tr|A0A3Q1LQJ7|A0A3Q1LQJ7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MMPQKDKKPEKSAWRFNLDQAHPIEDGFLILEILNIFLLEKTYELYYFKISQDEGGFESE
+D
+>tr|A0A3Q1N5H7|A0A3Q1N5H7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LY75 PE=4 SV=1
+MRTRWATPRRAAELLMLLLPGFGLVEPSGHSGNDPFTIVNENTGKCITPLNDWIVVKDCD
+ETKDMLWKWVSQHRLFHLQSQKCLGLDITKPTDSLRMFSCDSNAMLWWKCEHHSLYGAAQ
+YQLALRDGHAIASTNSSDVWKKGGSEENLCAQPYHEIYTRDGNSFGRPCEFPFLVNGTWH
+HECIFDGDRSGPWCATTLNYEYDQQWGICLQPESGCEDNWEKNEEIGSCYQFNIQATLSW
+KEAYVSCQNQGADLLSINSAAELTYLKGKEGIARIFWIGLNQLYSARGWEWSDHRPLNFL
+NWDPDVLMTPIIGRSSCARMDADSGLWQSFSCEAQLPYVCEKLLNSTVELTDMWTYSDTH
+CDAADWVPNDGFCYLLVNESDSWDKAHTTCQTFSSDLISIHSLADVEVVVTKLHNGDAEG
+EMWTGLRNINTPALFQWSDGTEVTLTYWDENEPRVPYNETPNCVSYSGKLGQWKVQSCEE
+KLKYVCKKKGEKLNDTRSDKICPPEEGWKRHGETCYKIYKDEVPFGTNCNLTITSRFEQE
+YLNDMIKKHTMSPEKYFWTGLRDTDANGEYSWASVGGVKRAVTFSNWNFFEPASPGGCVV
+MATGKSLGKWEVKDCRSFRALSICKKMSQHSEPEKAAPKPEDPCPEGWHSSPSGLSCYKL
+FHRERIVRKRNWEEAERFCQALGGHLPSFSHMDEIKEFLHFLIEQFSDQRWLWIGLNKRS
+PDLQGSWQWSDHTPVSTIITANEFQQDYDIRDCAAVKVMQRPWRRSWYFYDDREYMNLRP
+FACDAKLEWVCQIPKGHTLKIPDWYNPERAGIHGPPVVVEGSEYWFVADPRLSYEEAVLY
+CDSNHSSLASLTSFTGLRAIKNKIANISSDEQKWWVRTTEQLKSHRFIYSRDPWHHFPIM
+FGEECFYLSAKTWFNDLRKPADCSTNLPFVCEKHNVSSLEKYSPDSAAKVQCSGDWITFQ
+NKCFLRINPKSVTFSEASDICHTYGGTLPSVLSQREQDFITSLFPDMEASLWIGLRWTAY
+EKIKKWMDNRELTYSNFHPLLVGRRLRIPPNIFDEEASYHCAVMLNLQKSPFTGMWNFTS
+CSEHHTLSLCQKYSEIESRQTLWNTTETMKYQNNLYKIILKTLTWTDALRECQKENMRLV
+SITDPYQQAFLTVQAVVRNSSLWIGLSSHDDELNFGWSDGKHLQFSRWAENNEQLEDCVV
+LDTDGFWKTSDCDNNQPGAICYYSGNETKKEVKPVASFQCPSPVLSTPWIPFQNSCYNFL
+ITENRYRVPTQDEVHSKCKTLNPKSHILSIRDEKENNFVLEQLLHYNYMASWVILGITYE
+NNSLRWSDKTTLSYTHWRSGRPAIKDGKFIAGLSTDGFWDIQTFNVVGDLLYFHQHSILA
+CKIEMVDYKEEYNTTLPQFIPYEDGIYNVIQKKVTWYGALNACSQSGGHLASVHDQNGQL
+FLEDIVKRDGFPLWVGLSSHDGSESSFEWSDGSAFDYIPWKDKKSAGNCVVLDPKGIWKH
+ENCKSVKDGAICYKPTESKEVSSYTYSSRCPGVKGNKSQWVQYRDHCYTSDQALHSFSEA
+RQFCSKLAHSATVVTIKDEDENKFVSRLMRENNNITMRVWLGLSQLSAGPDYRGIGIAFA
+VLSILAVTCGLIWLFFRRNRWHWLGFSSVRYQQGGNEDEIMLPSFHD
+>tr|G5E6M3|G5E6M3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=PRR23B PE=4 SV=1
+MGSRPHSSSASSADQWEQQAEGPGPAKRRRTEEPTDHEFEVAPRVDNVMGTPDMGALTSL
+VILADGYALHLPLDDVDLVLEPEPTSVLQVSLGDNTLILVPGALLEFLEGESHSALGLEQ
+GSFLNAPGECVALEQGFYGPVPEIAGQEEVYQEDADTVFPPAGMNAAASSVAGLLLSPRR
+ASDPDFVGLAPEPWPQAPNPTPERGSPHHQDNLDLHVPEFFPDSPLQPLPPSPCPGSHER
+PQHPPVPARKAQRRLFQE
+>tr|A0A3Q1MFQ3|A0A3Q1MFQ3_BOVIN Protocadherin related 15 OS=Bos taurus OX=9913 GN=PCDH15 PE=4 SV=1
+MPGFPVHHQLPEPTQTHVSCVGDNVFSNNFLWFAGTILVDNMLIKGTAGGPDPTIELSLK
+DNVDYWVLLDPVKQMLFLNSTGRVLDRDPPMNIHSLVVQVQCINKKVGTVIYHEVRIVVR
+DRNDNSPTFKHESYYATVNEVSFFPPFSVSFLSICVTDCNDTPLQYSCLENPMDLTPVGT
+TIFTGFSGDNGATDIDDGPNGQIEYVIQYNPDDPTSNDTFEIPLMLTGNVVLRKRLNYED
+KTRYFVIIQANDRAQNLNERRTTTTTLTVDILDGDDLGPMFLPCVLVPNTRDCRPLTYQA
+AIPELRTPVKLNPIIVTPPIQAIDQDRNIQPPSDRPGILYSILVGTPEDYPRFFHMHPRT
+AELSLLEPVNRDFHQKFDLVIKAEQDNGHPLPTFASLHIEILDENNQSPYFTMPSYQGYI
+LESAPVGATISDSLNLTTPLRIVALDKDIEDTKDPELHLFLNDYTSVFTVTQTGITRYLT
+LLQPVDREEQQTYTFSITAFDGVQESEPVIVNIRVMDANDNTPTFPEISYDVYVYTDMNP
+GDSVIQLTAVDADEGSNGEITYEILVGAQGDFIINKTTGLITIAPGVELMVGRTYALTVQ
+AADNAPPAERRHSICTVYIEVLPPNNQSPPRFPQLMYSLEISEAMRIGAVLLNLQATDRE
+GDPITYAIENGDPQRVFNLSETTGILTLGKALDRESTDRYILIITASDGRPDGTSTATVN
+VVVTDVNDNAPVFDPYLPRNLSVVEEEANAFVGQVRAVDPDAGINGQVHYSLGNFNNLFR
+ITSNGSIYTAVKLNREVRDYYELVVVATDGAVHPRHSTLTLAIKVLDIDDNSPVFTNSTY
+TVVVEENLPAGTTFLQIEAKDVDLGANVSYRIRSPEVKHFFALHPFTGELSLLRSLDYES
+FPDQEASITFLVEAFDIYGTMPPGIATVTVIVKDMNDYPPVFSKRIYKGMVAPDAVKGTP
+ITTVYAEDADPPGLPASRVRYRVDDVQFPYPASIFDVEEDSGRVITRVNLNEEPTTIFKL
+VIVAFDDGEPVMFSSATVKILVLHPGEIPRFTQEEYRPPPVSELATRGTMVGVISAAAIN
+QSIVYSIVAGNEEDKFGINNITGVIYVNAPLDYETRTSYVLRVQADSLEVVLANLRVPSK
+SNTAKVYIEIQDENDHPPVFQKKFYIGGVSEDARMFASVLRVKATDKDTGNYSAMAYRLI
+IPPIKEGKEGFVVEAYTGLIKTAMLFHNMRRSYFKFQVIATDNYGKGLSGKADVLVSVVN
+QLDMQVIVSNVPPTLVEKKIEDLTEILDRYVQEQIPGAKVVVESIGARRHGDAFSLEDYT
+KCDLTVYAIDPQTNRAIDRNELFKFLDGKLLDINKDFQPYYGEGGRILEIRTPEAVTSIK
+KRGESLGYTEGALLALAFIIILCCIPAILVVLVGKTNFELKCDNLFSNSGIDPGQEYGQD
+YYSYEHGYIFRFFIQYLNIFMNNSIKRMIKLVVDREYETSSTGEESAPECQRIRLQNPNI
+HSNVNGNIYIAQNGSVVRTRRACLTDNLKVTSPVRLGRHFKKLDKLAVTHEENVPLNTLS
+KGPFSTEKMNTRPTLVTFAPCHMGTDSTAVKSLGNRLKSTVEQESMVDSKNIKEALEFHS
+DHTQSDEEELWMGPWNNLHIPMTKL
+>tr|A0A3Q1MIW0|A0A3Q1MIW0_BOVIN Alpha-fetoprotein OS=Bos taurus OX=9913 GN=AFP PE=1 SV=1
+MKWVVSFFLLFLLNFSDSRTMHKNAYGIGKIFCVFLLATIFFAQSVQGATYEEVSQMVKD
+VLTIIEKPTGSKQPAGCLENQVSAFLEEICREKEIPEKYGLSDCCSRTGEERHDCFLAHK
+KAAPASIPPFPVLEPVTSCKSYKENRELFINRYIYEIARRHPVLYAPTILSVANQYNKII
+PHCCKAENATECFETKVTSITKELRESSLLNQHICAVMGKFGPRTFRAITVTKVSQKFPK
+ANFTEIQKLVMDVAHIHEECCKGNVLECLQDGERVMSYICSQQDILSRQIAECCKLPTTL
+ELGHCIIHAENDDKPEGLSPNVNRFLGDRDFNQLSSRDKDLSMARFTYEYSRRHTKLAVP
+IILRVAKGYQELLEKCSQSENPSECQDKGEEELEKYIQESQALAKRSCGLFQKLGEYYLQ
+NAFLVAYTKKAPQLTSPELMALTRKMANAGAICCHLSEDKQLACGEGVADLIIGHLCIRH
+EENPINPGVDQCCTSSYSNRRPCFSSLVVDETYVPPPFSDDKFIFHKDLCQVQGVPLQTM
+KQQFLINLVKQKPQITEEQLETVVADFSGLLEKCCQSQEQEVCFTEEGPALISKTRAALG
+V
+>tr|A0A3Q1MKJ0|A0A3Q1MKJ0_BOVIN Solute carrier family 38 member 9 OS=Bos taurus OX=9913 GN=SLC38A9 PE=4 SV=1
+MANMDSDSRHLLIPEGDHEINPGPMNIQFDSSDIRSKRPFYIEPTNIVSVNDVIQRVSDH
+ASAMNKRIHYYSRLTAPADRALIAPDHVVPAPEECYVYSPLGSAYKLQCYTEGYGKNTSL
+VTIFMIWNTMLGTSILSIPWGIKQAGFTTGMCVIMLMGLLTLYCCYRVVKSRSMISSVDT
+TTWEYPDVCRYYFGSFGQWSSLLFSLVSLIGAMIVYWVLMSNFLFNTGKFIFSTVSVLYL
+IFLVTWKAIRLGFHLEFHWFMPTEFFVPEIRFQFPQLTGVLTLAFFIHNCIITLLKNNKN
+QENNVRDLSIAYMLVTLTYLYIGVLVFASFPSPPLSKDCIEQNFLDNFPSSDILSFIARI
+FLLFQMMTVYPLLGYLARVQLLGHVFGDVYPSIFHVLIFNLFIVGAGVIMACFYPNIGGI
+IRYSGAACGLAFVFIYPSLIYIISLHQEDRLTWPKGKKMTNSSYTHPLHLVQ
+>tr|A0A452DK68|A0A452DK68_BOVIN Tetraspanin OS=Bos taurus OX=9913 GN=TSPAN11 PE=3 SV=1
+MAHYKVEQDDWLTVYLKYLLFIFNFFFWVGGAAVMAVGVWTLVEKSGYLGVLASSTFAAS
+AYILIFAGALVMVTGFLGFGAVIREDRGCLSAYFCLLLAIFLVELVAGVLAHVYYQRLSD
+ELKQHLTRTLAENYRQPGAAEITASVDRLQQDFKCCGSNSSADWLQSSYILSPEAEGRRV
+PDSCCKTVVARCGQRAHPSNIYKVEGGCISKLEQFLADHLLLMGAVGIGVACLQKT
+>tr|F1MN30|F1MN30_BOVIN Sperm acrosome membrane-associated protein 1 OS=Bos taurus OX=9913 GN=SPACA1 PE=4 SV=1
+MKSRGAGCSARLLLTVGWLLLAGLQSTCGINVTAIQDPSLAREGEGEPEGDEEPENDSET
+ENEPQAEAEDDSEGIGIREVILTSGCPGGESKCIVRVEECRGPVDCGWGRPISESLEHVR
+LACVHTSPENRFKYIWRLLRPDQQAIILANDSAILEVHRDTHPKAFECETLDNNEIVASI
+RFTIYTTTELQMKRASRPDTDAVLVFVLTIGVIICIFVIFVLIFIIINWTAVKDFWGAKA
+STTEIQSELSSMRYKDSTSLDQSPTDIPGHEDDALSEWNE
+>tr|A0A3Q1MNM7|A0A3Q1MNM7_BOVIN Eukaryotic translation initiation factor 4 gamma 1 OS=Bos taurus OX=9913 GN=EIF4G1 PE=1 SV=1
+MNKAPQPTGPPPAPSPGLPQPAFPPGQTAPVVFSTPQATQMNTPSQPRQGRSTYVVPTQQ
+YPVQPGAPSFYPGASPTEFGTYAGAYYPAQGVQQFPTGVAPPPVLMNQPPQIAPKRERKT
+IRIRDPNQGGKDITEEIMSGARTASTPTPPQTGGGLEPQANGETPQVAVVVRPDDRSQGA
+IIGERPGLPGPEHSPSESQPSSPSPTPSPPPVLEPGSEPNLAVLSVPGDTMTTGMIQTSV
+EESTPTPPESGEPCCLSPEPTPLAEPILEVEVTLSKPVPESEFSSSPLQVPSPLASHKVE
+ILPEPNGTVPSENLEPEVESSPELAPLPPPACPSESPMPIAPTAQPEELLNGAPSPPAVD
+LSPVSEPEEQAKEAIVSVTPPTVLSATPAVAPPVASPAQEEDMEEEEEEEEEGEAEGEKG
+GEEPLPQESTPVPAHLSQNSEVAVATQVAVSVPKRRRKIKELNKKEAVGDLLDAFKEANP
+GVPEVENQPPAGCNPSPEPEGSSVPLRSEEGEETWDSKEDKIQNAENIQPGEQKYEYKSD
+QWKPLNLEEKKRYDREFLLGFQFIFASMQKPEGLPHISDVVLDKANKTPLRPLDPSRLQG
+INCGPDFTPSFANLGRPALSNRGPPRGGPGGELPRGPAGLGPRRSQQGPRKEPRKIIATV
+SMTEDIKLNKAEKAWKPSSKRTAADKDRGEEDADGSKTQDLFRRVRSILNKLTPQMFQQL
+MKQVTQLAIDTEERLKGVIDLIFEKAISEPNFSVAYANMCRCLMALKVPTTEKPTVTVNF
+RKLLLNRCQKEFEKDKDDDEVFEKKQKEMDEAATAEERGRLKEELEEARDIARRRSLGNI
+KFIGELFKLKMLTEAIMHDCVVKLLKNHDEESLECLCRLLTTIGKDLDFEKAKPRMDQYF
+NQMEKIIKEKKTSSRIRFMLQDVLDLRKSNWVPRRGDQGPKTIDQIHKEAEMEEHREHIK
+VQQLMAKGSDKRRGGPPGPPISRGLPLVDDGGWNTVPISKGSRPIDTSRLTKITKPGSID
+SNNQLFAPGGRLSWGKGSSGGSGAKPSDAASEAARPATSTLNRFSALQQAVPTESTDNRR
+VVQRSSLSRERGEKAGDRGDRLERSERGGDRGDRLDRARTPATKRSFSKEVEERSRERPS
+QPDGLRKAASLTEDRDRGREAVKREAALPPVSPPKAALSEEELEKKSRAIIEEYLHLNDM
+KEAVQCVQELASPSLLFIFVRHGIESTLERSAITREHMGRLLHQLLCAGHLSTAQYYQGL
+YEILELAEDMEIDIPHVWLYLAELITPILQEGGVPMGELFREITKPLRPLGKAASLLLEI
+LGLLCKSMGPKKVGTLWREAGLSWKEFLPEGQDVSAFVTAQKVEYTLGEELEAPGQRLLS
+FEELRRQLEKLLQEGSSNQRVFDWIEANLNEQQVTSNTLVRALMTTVCYSAIIFESSLRV
+DVAVLKARAKLLQKYLCDEQKELQALYALQALVVTLDQPPNLLGMFFDALYDEDVVKEDA
+FYSWESSKDPAEQQGKGVALKSVTTFFKWLREAEEEESDHN
+>tr|A0A3Q1M1D2|A0A3Q1M1D2_BOVIN Phosphodiesterase OS=Bos taurus OX=9913 GN=PDE8B PE=3 SV=1
+MRVLLIFAKEDSQSDGFWWACDRAGYSCNIARTPESALECFLDKHHEIIVIDHRQTQNFD
+AEAVCRSIRATNPSEHAVILAVVSQRFMENSSIIACYNELIQIEHGEVLSQFKLRACNSV
+FTALDHCHEAIEITSDDHVIQYVNPAFERMMGYHKGELLGKELAELPKSDKNRADLLDTI
+NTCIKKGKEWQGVYYARRKSGDSIQQHVKITPVIGQGGKIRHFVSLKKLCCSTDKNKQVR
+PSSHSFRYKNRRKESIDVKSISSRGSDAPSLQNRRYPSMARIHSMTIEAPITKVINIINA
+AQENSPVTVAEALDRVLEILRTTELYSPQLGTKDEDPHTSDLVGGLMTDGLRRLSGNEYV
+FTKNVHQSHSHLAMPGTITDVPPSVAQLLDNEESWEFNIFELEAVTHKRPLVYLGLKVFS
+RFGVCEFLHCSETTLRAWLQVIEANYHSSNAYHNSTHAADVLHATAFFLGKERVKGSLDQ
+LDEVAALIAATVHDVDHPGRTNSFLCNAGSELAVLYNDTAVLESHHTALAFQLTVKDSKC
+NIFKNIDRNHYRTLRQAIIDMVLATEMTKHFEHVNKFVNSINKPMAAEIDGSDCECSPPG
+KNFPENQTLIKRMMIKCADVANPCRPLDLCIEWAGRISEEYFAQTDEEKRQGLPVVMPVF
+DRNTCSIPKSQISFIDYFITDMFDAWDAFAHLPTLMQHLADNYKHWKTLDDLKCKSLRLP
+SDS
+>tr|A0A3Q1M4I1|A0A3Q1M4I1_BOVIN Family with sequence similarity 184 member A OS=Bos taurus OX=9913 GN=FAM184A PE=4 SV=1
+MQVIYALNTKNDEHESAIQALKDAHEEEIQQILAETREKILQYKSRVTEELDLRRKIQVL
+EASLEDHIKMKQQALTEFEAYKHRVEDMQLCAEAQHVQRIVTMSREVEEIRRKFEERLRS
+FGQLQVQFEKDKRLALEDLRTAHRREIQELLKCQQDHSASVNKGQEKAEELHRMEVEALN
+KTLEELRLERKKLVEDYEGKLHKAQSFYEHELDTLKRSQLFTAESLQASKEKEADLRKEF
+QGQEAILRKTIGKLKTELQMVQDEAGSLRDKCQKLQIALVTAENNVQVLQKQLDDAKEGE
+MALLSRHKEVESELAAARERLQQQASDLVLKASHIGMLQATQMTQEVTIKDLESEKSRAN
+ERLSQLEEERAFLQSKTQSLDEEQKQQILELEKKVNEAKKTQQEYYEMELKNLQSRLEGE
+VAQLNEAHSKTLEELAWKHHMAIEAVHSNAIRDKKKLQMELEEQYKKEKLNLEEDKNQLQ
+QELENLKEELENKLHSANQEIGRLQEMVSKSEQGLGSAEGLIASLQDSQERLQNELDLTK
+GRLKETKDALLNVESKLEQERRQHEETLAAMKEEEKLQVDRMAHDLEMKWTENLRQECSK
+LREELRLQHEEDKKSAMSQLLQLKEREKNAARDSWQKKVEDLLNQISLLKQNLEMQLSQS
+QTSLQQLQAQFTQERQRLTQELEELEEQHQQRHKSLKEAHVLAFQTMEEEKEKEQRALES
+HLQQKHSAELQSLKDAHRESMEGFRIEMEQELQTLRFELEDEGKAMLASLRSELNQQHAA
+AIDLLRHNHHQELAAAKMELERSIDISRRQSKEHMCRITDLQDEVRHREHHISDLDKEVQ
+HLHENINALTKELEFKGKEILRIRSESNQQMRLEEMEEKYLMRESKPEDIQMIAELKAML
+TERDQVIKKLMEDNKFYQLELVNRETNFNKVFNSSPTVGVINPLMKQKKKNDKSPTNRFV
+SVPNLSALESGGVGNGHPNRLDPIPNSPVHDIEFNSSKPLPQPVPPKEPKTFLSPPQSEA
+SPVASPDPQRQEWFARYFTF
+>tr|F1MX87|F1MX87_BOVIN Complement C8 alpha chain OS=Bos taurus OX=9913 GN=C8A PE=4 SV=1
+MFAAAFFLLFLMTYQPGVTIQEKVNWRVSRAVQSFTPTAVSCQLDNWAEWTDCFPCQDKK
+YRYRSLLQPNKFGGTICSGNVWDQASCHSPTACLSQAQCGQDFQCKETGRCLKRHLVCNG
+DKDCLDGSDEDDCEDVRILENDCSQYDPIPGSEKAALGYNILTQEEAQHVYDARYYGGQC
+ETVYNGEWRELQYDPACERLYYGDDDKYFRKPYNFLKYHFEAQADTKISSEIYNDANDLL
+TKVKNDKSVSSGLTIGVGIRGVPVTVTAGVSMSKDAAFLKKLSKYHEKKYSFMRIFTKVQ
+TAHFKMRRENIVLDEGMLQSLMELPERYHYGMYAKFINDYGTHYITSGSMGGVYEYILVL
+NREKMETAGVTSAEIQKCFGVSLGIEYEYSEAIQIKGSSSLGPCKKSGDGKLTENEKAMG
+VEDFISRVRGGSSGWGGSLTQDSSLVTYRSWGRSLKYNPAVIDFEMKPIHEILQHTNLGS
+LETKRQNLRRALDKYLMEFNACRCGPCFNNGEPILEGTSCKCQCPVGHQGLACEQMQSEG
+AQADGRWSCWSSWSACRSGTQERRRECNNPAPQNGGASCPGHRVQTQAC
+>tr|E1B8U7|E1B8U7_BOVIN RCC1 domain containing 1 OS=Bos taurus OX=9913 GN=RCCD1 PE=4 SV=3
+MDEERRGTWFGFGFCGFGQALGSGLGRQVHSPEPLRTPGGSLGVCRVSASWSYTAFVTRG
+GQVQLSGAAGGTADGCTDAWASEELLVLLRAGPGAGAELQAWAPGSAMRGDPLWSQAVQE
+AEHGPSRDETQAGLLPLLPCARAYVSPRPPFYRPLAPTLRARRLELGAEHALLLDAAGQV
+FSWGAGRHGQLGHGTLEAEPEPRLLEALQGLRMAEVAAGGWHSVCLSETGDIYIWGWNES
+GQLALPTKSLAEDGKTTAGEASGLEEDGSEVKRGSAGEDGAPAPFIAVQPFPALLDLSPG
+SEAVKVSCGSRHTAVLTRTGELYTWGWGKYGQLGHKDTTTLDRPCRVEYFVDKQLQVRTV
+SCGPWNTYVYAVEKEKS
+>tr|G3MZN4|G3MZN4_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 GN=LOC786254 PE=4 SV=1
+MTIECQVDSQLTWMYWYRQLPGQSLVLMATANQGSKATYESGFTEDKFPIDRPKLEFSTL
+TVSNASSEDSSSYFCSAGDTVLGTVQGSQQEPLSFPPRPRPACGISVPQPGIEPSTLLWK
+CGVLTTGSPGKSLCQFISVVDWTENGESTPFPTFTGLTSCYPGLNSNTISPERAFLTFYA
+HIVPVDPATSYSHLLSLYSISIMPNH
+>tr|E1BFE5|E1BFE5_BOVIN Telomere repeat binding bouquet formation protein 1 OS=Bos taurus OX=9913 GN=TERB1 PE=4 SV=2
+MESEDTKKIQEVKTDLNLLLECLKYQMDNAFSQKEALVTIHSICQQNSNAGLYFREIGGL
+MFVKNLAKSSEHSMVKEAALYTLGAVAEQNVYCQQTLCTSELFEDLTWFLSNSDSNTNLK
+RMSVYVILVLVSNNRTGQTLVRETGCISVLSQLFKTVLSKYELNLSDESAFQSYQLWSSV
+CSTLCVCVNNPQNDENQMLCCSLFTHANDWLINCMKAEIIRPICSFIGLTLANNTYVQKY
+FISVGGLDVLSQVLVQLESDSHKTPFSAKLAVVVTKTVDACIADNPTFGVVLSKYHIVSK
+LLALLLLDNLDSGEKFSVILTLGHCTEDCEENQYDFFKNNGLPLMIQALTESQSEELNKA
+ATFVLHNCKKITEKLSLSLEEYSFDKNEEHLKDINMKEKNLEDYWKKAKEILHRIEELET
+EGNEENIKGENYKNNVSSVNINIQDTLKHLHADSSGGVPKAEDKDKSQARKLHGSKSHKV
+MSKACTNDDQVKMVLRSANPVNACYRESGQNKTLCTANSSCKQNLCEETTSEKKNFVSQS
+RDHIFKHPTPVAQNTKQHLPVTDPFTLCSDIINKEVISFLETDSCSKMLRYRCSGCIAVG
+KSLNSRNFSKLLHCCPYQCDRHKVIVEAEDRYKSELRKSLICNKKILLTPRRRRQLSSES
+TTPGRIKKRRIRKNFTKEEINYLFNGVKKMGNHWNLILWSFPFQQGRKAVDLAHKYHRLT
+KCPKHVAP
+>tr|G3N310|G3N310_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC613799 PE=3 SV=1
+MGADNQTWVRGFILLGLSSDWATQVALFVLFSVTYLLTLLGNVLIVLLIRLDSRLHTPMY
+FFLTNLSLVDVSYATSIVPQMLVHFLAEHKGIPYVSCAAQLFLSLGLGGIEFVLLAVMAY
+DRYVAVCDPLRYSVIMHGGLCARLAITSWVSGSVNSLVQTTITFQLPMCTNKYIDHISCE
+LLAVVRLACVDTSSNEVAIMVSSIVLLMTPFCLVLLSYIRIISTILKIQSTEGRKKAFHT
+CASHLTVVVLCYGMAIFTYIQPNPSPSVLQEKLISLFYAILTPMLNPMIYSLRNKEVKGA
+WQKLLGQLSGLTSKLAA
+>tr|F1MPZ4|F1MPZ4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=3 SV=3
+MLISTQSLRHGTSLAQVQSSLHLSHHVNSPPWVMSSSEGSSDMKTGCEFHEDESESLPDL
+EAQGALASDPSLSSVAPTHSGPRVKSVTFKKCNIRDRNQQVLVLEGGVLKAVPDKDVTAR
+ETFYISVSHRRVVKPMNEEKNHIFLAVSKGELCLYCDKVKRPKHPSLQLKKKHIKELSSL
+EAKDCLPFTFLKEQVDSYFTLESAANPGYFIYTSNKPGQPIGMTKELGQEKNIHFQFQEA
+KMELNTVQD
+>tr|A0A3Q1N5C4|A0A3Q1N5C4_BOVIN Putative sodium-coupled neutral amino acid transporter 11 OS=Bos taurus OX=9913 GN=SLC38A11 PE=4 SV=1
+MGYPGQRPVIPPQSHRDDRETLVSEHKHKGKTCRQSAAVFNVVNSIIGSGIIGLPYSMKQ
+AGFPLGILLLFWVSYVTDFSLILLIKGAALSGTDTYQSLVNRTFGFPGYLLLSVLQFLYP
+FIAMISYNIITGDTLSKVFQRIPGVDPENLLIGRHLIIVLSTVVFTLPLSLYRDIAKLGK
+ISLISTVLTTLILGIVVARGVSLGPHIPKTEDAWIFAKPNAVQAVGVMSFAFICHHNCFL
+VYGSLEEPTVAKWSHIIHVSTLISVFISILFATCGYLTFTGYTQGDLFENYCRNDDLVTF
+GRFCYGVTVILTYPIECFVTREVIANVFFGGNLSSVCHIIVTVVIITVATLVSLLIDCLG
+IVLELNGVLCAAPLIFIIPSACYLKLSEEPRTHSDKIMSCVMLPIGAVVMAVGFVMAVTS
+PQDCSHGQEMFYCSPDNFSLTNISISHLQLTTQLSILNDSEIQVIQPGKSQLVLLWSHTV
+QHTSP
+>tr|A0A140T898|A0A140T898_BOVIN Ras-related protein Rab-13 OS=Bos taurus OX=9913 GN=RAB13 PE=4 SV=1
+MAKAYDHLFKLLLIGDSGVGKTCLIIRFAEDNFNNTYISTIGIDFKIRTVDIEGKKIKLQ
+VWDTAGQERFKTITTAYYRGAMGIILVYDITDEKSFENIQNWMKSIKENASAGVERLLLG
+NKCDMEAKRKVQKEQADKLAREHGIRFFETSAKSSMNVDEAFSSLARDILLKSGGRRLKN
+NNKPPSTDLKTCDKKNTNKCSLA
+>tr|F1MBW9|F1MBW9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=2
+MQISAIATQGRYSSSDWVTQYRMLYSDTGRNWKPYHQDGNIWAFPGNVNSDSVVRHDLQH
+PVIARYVRFVPLDWNGEGRIGLRIEVYGCSYWADVINFDGHVVLPYRFRNKKMKTLKDVI
+ALKFKTSESEGVILHGEGQQGDYITLELKKAKLVFSLNLGINQLGPIYGHTSVMTGSLLD
+DHHWHSVVIERQGRSINLTLDRSTQHFRTNGEFDYLDLDYEITFGGIPFSGKPSSSSRKN
+FKGCMESINYNGINITDLARRKKLEPSNVVRFSTPIISVPRKIKEILFSLDC
+>tr|F1N327|F1N327_BOVIN Mannose-6-phosphate isomerase OS=Bos taurus OX=9913 GN=MPI PE=3 SV=2
+MAAQRVFPLSCVVQQYAWGKMGSNSEVARLLASSDPLAQISEDRPYAELWMGTHPRGDAK
+ILDNRISQKTLGQWIADNQDSLGSKVKDTFNGKLPFLFKVLSVETALSIQAHPNKELAEK
+LHLQAPQHYPDANHKPEMAIALTPFQGLCGFRPVEEIVTFLTKVPEFQFLIGDNAAAQLK
+QSLSQDSEAVTSALRSCFSHLMKSEKKVVVEQLNLLVKRISQQGGSGNDFDGCGYFKLLE
+QSQPGDTGSRCIKDYTLALLVPGRVVGISIANVPHAYLKGDCVECMACSDNTVRAGLTPK
+FIDVPTLCEMLSYTPSPSQDRLFPPARSPEDPYLSIYDPPVPDFTVMKVEVPGSVTEYKV
+LALDSASILLVVQGTVTASSPTAQAAIPLKRGGVLFIGANESVSLKLTVPKNLLMFRACC
+LL
+>tr|F1N4B0|F1N4B0_BOVIN SAM and SH3 domain containing 1 OS=Bos taurus OX=9913 GN=SASH1 PE=4 SV=2
+MEQDAGAAGAAPEPEPEPASEPEVGAGMSEAFSRLWTDVMGILDGSLGNIDDLAQQYADY
+YNTCFSDVCERMEELRKRRVSQDLDVEKPEASPTSLQLRSQIEESLGFCSAVSTPEVERK
+NPLHKSNSEDSSVGKGDWKKKNKYFWQNFRKNQKGIMRQTSKGEDVGYVASEITMSDEER
+IQLMMMVKEKMITIEEALARLKEYEAQHRQSTALDPADWPDGSYPTFEGSSNCNSREQSE
+DETEESVKFKRLHKLVNSTRRVRKKLIRVEEMKKPSTEGGEEQVFENSPVPDERSALYSG
+VHKKPFFFDASPEKPPEDDSDSLTTAPSSSSLDTWGAGRKLVKTFSKGESRGLIKPPKKM
+GTFFSYPEDDKAQKVSRSLTEGEMKKGLGSLSHGRTCSFGGFDLTNRSLHIGSNNSDPMG
+KEGDFVYKEVIKSPTASRISLGKKVKSVKETMRKRMSKKYSSSVSEQDSGLDGMPGSPPS
+SQPDSEHMDKPKLKAGGSVESLRSSLSGQSSMSGQTVSTTDSSTSNRESVKSEDGDDEEP
+PYRGPFCGRARVHTDFTPSPYDTDSLKLKKGDIIDIISKPPMGTWMGLLNNKVGTFKFIY
+VDVLNEEEEKPKRATRRRRKGRPPQPKSVEDLLDRINLKEHMPTFLFNGYEDLDTFKLLE
+EEDLDELNIRDPEHRAVLLTAVELLQEYDSNSDQSGSQEKLLVDSQGLSGCSPRDSGCYE
+SSENLENGKTRKTGLSAKSSTESSLKSFNRNQLGNYPTLPLTKSVDALKQGGEGRLGGGL
+TPLASKSCDPPCVTDLNKNRRSLPVSICRSCETLEGPQTVETWPRSHSLDNLQGEPEKDV
+PGEVTEPSPEIVPEVPQKTAPSVTKVPSPKRDSAVDNALLLTQSKRFSEPQKTTAKKLDG
+PMGPFSRGASPPPCLPKTFDTQPPAIKPSLTRTPLEGHRKGLDFEGTHHPPGTKEGMDAE
+QRGPEVRTQAKPPVQPPPVPAKKSRERLANGLHPVPPGPPGVPSPDAPGLPLKKGSPGGP
+SDCPLVVAVARPPPGPEPGSPPSTRPPPWLSELPESASLQEHGVRLGPALARKVSCARGL
+DLEMLTENKLRAEGIDLTEEPYSDKHGRCGIPEALVQRYAEDLDQPERDVATNMDQIRVK
+LLRKQHRMAIPSGGLTEICRKPLSPGYVSSVSDWLVSIGLPMYASALSEAGFSTLGQVPS
+LSHTCLQEAGITEERHISKLVSAARLFKLPPGPEAM
+>tr|G3N027|G3N027_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=C19H17orf97 PE=4 SV=2
+MDGGCGARASEDGEDEEEREGCMAASQGSRLPPIAGCTSELTKRKMKKKKKKKKTKRSGK
+GDDKHQSQGMKTQQLSPTFHDILGPSKDHGPGPEHRQNRDESKLFSYSTTVSLPRFVEIE
+ETLSNRVNESLRWDGILADPEAEKERIRIYKLNRRKRYRIWALKGFHSDPGASETPEDPA
+YLSDQDSGSSGQLTAEGPSHCSEGNLMSTAIPP
+>tr|F1MHF8|F1MHF8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC101907227 PE=4 SV=3
+MKRWQGALVPLPLGSRFGKENLAWKLQDTPTPGTPKTTERKEEERQRKEEQQRKLLQRFP
+RRPRHRQPQSWKEEPEPGLCLRHPNMPVLIHTSKRKSFQDPDHPRGSPTRRDDVKSSPPT
+VPLIGRNLAPASKGRIKAPGKRCAQTPSLTCVNPPKKPRLSPVQIPQQSTPTAGLGAFLN
+LPPPPCTAARGPRVATRVSRETPAQGQRFDLQPLADRSPSRSVGAVSAAQPLPIICVPAQ
+PLRMLFLRDGEGCWSCGYTAPPSPRPVEQPALPAQSPSVNQEPDGHAVPGPRSILYDDLQ
+VSSSSEESDWDEDTSGN
+>tr|F1MYD1|F1MYD1_BOVIN MAGE domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=3
+GPPPQNSESTTSSLQCEDITQSLPGAESCSTSRERQRVPTITTTALFSYTRSCEAFNGQS
+KYRALAYEVPPFTETPGTDCLTRKPSLLEQFLLYKYNMKQLMMKEDILNIIHQSHHDRFA
+EILKRASERIELVFAVDLKEVDSVVPCYNLISKLKLPNNGRVRGGRGLPKTGLLMNLLGM
+IFLKGNCAAEEDIWKYLDTMRVYAGRKHFIFGEPRKLITKDLVRLKYLEYRQVANSDPPR
+YEFLWGPKAHLETSKMKVLEFLAKVNDAVPSDFPTYYEEALQDEEEKAQGMHAARPDTNV
+KVSIPPRDMLNIIIPPIRKYVKFLPPARKI
+>tr|A0A3Q1MTZ2|A0A3Q1MTZ2_BOVIN Peroxisomal biogenesis factor 14 OS=Bos taurus OX=9913 GN=PEX14 PE=4 SV=1
+MFSSKFGLLGLLLMRNLPQTSLQYGKRPSSAPGSENVVPREPLIATAVKFLQNSRVRQSP
+LATRRAFLKKKGLTDEEIDLAFQQSGTAADEPMSLGPATQVVPVQPPHLISQPYSPAGSR
+WRDYSALAIIMAGITFGFHQLYKKYLLPLIMGGREDRKQLERMEASLSELSGSVAQTVTQ
+LQMTLASVQELLIQQQQKVQELAHELATAKATTSTNWILESQNINELKSEINSLKGLLLN
+RRQFPPSPSAPKIPSWQIPVKSPSPSSPAAVNHHSSSDISPVSNESTSSSPVKEGHSPEG
+STATYHLLGPQEEGEGVVDVKGQVRMEVQGEEEKREDEEDEEDEDVSRVDEEDCLGVQRE
+DRRGGDGQINEQVEKLRRPEGASNESERD
+>tr|F1MFG9|F1MFG9_BOVIN Myosin IG OS=Bos taurus OX=9913 GN=MYO1G PE=3 SV=2
+MEDDEGPECGKPDFVLLDQVTMEDFMENLKLRFEKGRIYTYIGEVLVSVNPYQELPLYGP
+EAIARYQGRELYERPPHLYAVANAAYRAMKRRSRDTCIVISGESGAGKTEASKHIMQYIA
+AVTNPSQRAEVERVKDVLLKSTCVLEAFGNARTNRNHNSSRFGKYMDINFDFKGDPVGGH
+IHSYLLEKSRVLKQHVGERNFHAFYQVLRGCEDEELQELHLQRNPAVYNFTRQGAGLNVL
+DIDEKSHHQAVTEAMRVIGFSPEEVASVHRILAAILHLGNIEFVELESNLEQGRLAVTEE
+VLVDHVAELTATPRELVLRCLLARTVASGGREVIEKGHTAAEASYARDACAKAVYQRLFE
+WVVDRINHVMEPRDRDPRRDGKDTVIGVLDIYGFEVFPVNSFEQFCINYCNEKLQQLFIQ
+LILKQEQEEYEREGIAWQTVEYFNNATIVDLVERPHRGILSVLDEACSTAGPITDRIFLQ
+TLDTHHRHHPHYTSRQLCPTDKTMEFGRDFRIKHYAGDVTYSVEGFIDKNRDFLFQDFKR
+LLYNSKDPTLRAMWPDGQQDITEVTKRPLTAGTLFKNSMVALVENLASKEPFYVRCIKPN
+EDKVAGKLDEGHCRHQVAYLGLLENVRVRRAGFASRQPYARFLLRYKMTCEYTWPNHLLG
+SDKAAVGALLEQHGLQGDVAFGHSKLFIRSPRTLVTLEQSRACLIPIIVLLLQKAWRGTL
+ARRRCRRLRAIYTIMRCFRRHKVRAHLTELQRRFQAARQPPHYGRDLVWPPPPTVLQPFQ
+DICQALFSRWRARQLVKNIPPSDMAQIKAKVAAMAALQELRQDWGCRRAWARDYLSSATD
+NPTASGLFAQRLKTLREKDGFGAVLFSSHVRKVNRFNKSRDRALLLTDRHLYKLEPGRQY
+RVMRAVPLDAVTGLSVTSGRDQLVVLHARGYDDLVVCLHRSQPPLDNRIGELVGVLAAHC
+QGEGRALEVRVSDCIPLTQRGARRLVSVEPRSEQPEPDFRCSRGAFTLLWPSC
+>tr|G3MY42|G3MY42_BOVIN Metastasis associated 1 OS=Bos taurus OX=9913 GN=MTA1 PE=4 SV=2
+MALGSLPPGPGHAAAAASSPPSPLSPPAGLGHVLLPDETPWLRASGAAGLELALPERLLG
+PPGGGGRGSQRVGLFGGLSPCPLCPSGEIEEEMENPEMVDLPEKLKHQLRHRELFLSRQL
+ESLPATHIRGKCSVTLLNETESLKSYLEREDFFFYSLVYDPQQKTLLADKGEIRVGNRYQ
+ADITDLLKEGEEDGRDQSKLETKVWEARNPLVDKQIDQFLVVARSVGTFARALDCSSSVR
+QPSLHMSAAAASRDITLFHAMDTLHRSVYDVAKAISALVPQGGPVLCRDEMEEWSASEAS
+LFEEALEKYGKDFTDIQQDFLPWKSLTSIIEYYYMWKTTDRYVQQKRLKAAEAESKLKQV
+YIPNYNKPNPNQISVNNVKAGVVNGAGPPGQSPGAGRACESCYTTQSYQWYSWGPPNMQC
+RLCASCWTYWKKYGGLKMPTRLDGERPGPNRSNMSPHGIPARSSGSPKFAMKTRQAFYLH
+TTKLTRIARRLCREILRPWHAARHPYMPINSAAIKAECTARLPEASQSPLVLKQATRKPL
+EAVLRFLETHPRPPKPDPVKSVSGVLSGLTPAKSAPVINNGSPTILGKRSYEQHNGVDGN
+VKKRLLMPSRGLANHGQTRHMGPSRNLLLNGKAYPTKVRLIRGGSLPPVKRRRMNWIDAP
+DDVFYMATEETRKIRKLLSSSETKRAARRPYKPIALRPSQALPLRPPPPAPVNDEPIIIE
+D
+>tr|E1BF38|E1BF38_BOVIN Family with sequence similarity 45 member A OS=Bos taurus OX=9913 GN=FAM45A PE=4 SV=2
+MAATEAADTQLMLGVGLIEKDTNGEVLWVWCYPSTTATLRNLLLRKCCLTDENKLLHPFV
+FGQYRRTWFYITTVEVPDSSILKKVTHFSIVLTAKDFNPEKYAAFTRILCRMYLKHGSPV
+KMMESYIAVLTKGICQSEENGSFLSKDFDARKAYLAGSIKDIVSQFGMETVILHTALMLK
+KRIVVYHPKIEAVQEFTRTLPALVWHRQDWTILHSYVHLDADELEALQMCPGYIAGFVDL
+DVSNRSDLYDVFVNLADSEITIAPLAKEAMTMGKLHKEIGQLIVQSAEDPEKSDSQVIQD
+ISLKTKEIFTNLAPFSEVSDDGEKRVLNYEALKQRRFPPATENFLYHLAAAEQMLKI
+>tr|A0A3Q1LYL1|A0A3Q1LYL1_BOVIN Paired box 2 OS=Bos taurus OX=9913 GN=PAX2 PE=4 SV=1
+MRVKTPLRISGRRRQRRDALSGRREAEKRDPGPDGSGGEQSMEQRAGRAVLKLSLRGDTA
+AAAALLPLLCLPMDMHCKADPFSAMHPGHGGVNQLGGVFVNGRPLPDVVRQRIVELAHQG
+VRPCDISRQLRVSHGCVSKILGRYYETGSIKPGVIGGSKPKVATPKVVDKIAEYKRQNPT
+MFAWEIRDRLLAEGICDNDTVPSVSSINRIIRTKVQQPFHPTPDGAGTGVTAPGHTIVPS
+TASPPVSSASNDPVGSYSINGILGIPRSNGEKRKRDEDVSEGSVPNGDSQSGVDSLRKHL
+RADTFTQQQLEALDRVFERPSYPDVFQASEHIKSEQGNEYSLPALTPGLDEVKSSLSAST
+NPELGSNVSGTQTYPVVTGRDMASTTLPGYPPHVPPTGQGSYPTSTLAGMVPGSEFSGNP
+YSHPQYTAYNEAWRFSNPALLSSPYYYSAAPRGSAPAAAAAAYDRH
+>tr|E1BP58|E1BP58_BOVIN Ankyrin and armadillo repeat containing OS=Bos taurus OX=9913 GN=ANKAR PE=4 SV=2
+MLRLPKKGAPRFDQNQDEETYLENLAVQRNASAFFEKYDRSEVQELLTTALVSWLSAKED
+VRSQLDMPCGIMSQMNNVGFSTAILLTPVDPTALLDYREVHQIIRELAVGIYCLNQIPSI
+SLEANYDQSSSCQLPPAYFDTRIGQILINIDYMLKALWHGIYMPKEKRARFSELWRTIMD
+INPDGKPQTNRDIFAEFSSAGLIDITKDPDFDGIYNEDMNEDPTYNPNSPEEKAVFMKYA
+EYILLKMTFSTTQVQQCENVFIFETAYWLTNAIKCNQDNLDICTYQRLHQRLYLQKKIIQ
+KHLEKKKEIRKGIGYLKLICFLTPFLLSLKKKMKVPYLSGLLQPFSDDKVKTERELPPFI
+YGRDFKCQNFHYKEDQYFHIHGGIEFDISTPSIENASEDFKNNLEKIRDCAVNTFAEDSG
+YKEHYSIPVVKFNGKSYYVISFELEIFYQQLYKTQWWGAINEIVNNLRLKRLPLTDAQLH
+EQFKKKFGFKKAMKCKSIPFGMKSAVERGLYAVFHTFSRKTSSSTINISDEAGYAVFHHA
+ALHNRVPIICQLCNANFNVNQRRFIILSQESSKVDMKKERNGPTPLHLAAQACSLETTIC
+LLCFKADYTLSEKRGWMPIHFAAFYDNICIIIVLCRKDPSLLEAEATAENQCTPLLLAAT
+SGALDSIQYLLSLGANWRKTDIKGNNIIHLSVLTFHTEVLKHIIELNIPELPVWKTLVEM
+LQCESYKRRMMAVMSLEVICLAHDEYWKCILDAGTIPALINLLKGSKIKLQCKTVGLLSN
+ISTHPSIVHALVEAGAIPALINLLVSEEPELHSRCAVILYDIAQLENKDVVAKHNGIPAL
+INLLNLDIESVLVNVMNCVRVLCMGNKNNQRAVRDHKGIPYLISFLSSDSDVLTAVSSVT
+IAEVARDNKEVQDAMALEGAIPPLVALFKGKQLSVQVKGAMAVEALASYNPAIQRAFLEK
+SLSKYLLKLLKAFQIDVKEQGAVALWALAGQTLKQQKYMAEQIGYNFIINMLLSPSAKMQ
+YVGGEAVIALSKDSKIHQNQICEGNGIAPLVRLLRISKIADGTLLSVIKAVGSICIGVAH
+TSNPISQQFVVDENAFPVLIQLLRSHPSPNIKVEVAFSLACIVLRNDLLQKELQENEGFD
+YADVLYLLHSEDKDICLRAGYALTLFAFNNRFQQYLILENGKMSISIFEPFLESTIETEK
+AMAAFQIIVLAKVIIDVDHITLTARGVTILVDSLYSADSTTIVLTGNLIATLAHSRAGIP
+EAFTTLGTIQQLCYHLYSGQEEVRMACSSALGYLTYNANAFRLLLKECRNKPNQFLRITN
+NISRDASINPAFLKEFKMQQKVGLPSLSLEKNGGPSIIPVFKKGKEHRRKLKPKIQPRDS
+LTFIPPVTNFMGLFKTTKKTTVSHNIFSFSSAISSDIITVSRPRIVLLNQLGKHVQKANP
+EPGEG
+>tr|A0A452DID4|A0A452DID4_BOVIN Protein phosphatase 1 regulatory subunit OS=Bos taurus OX=9913 GN=PPP1R3B PE=4 SV=1
+MRLPAEGAHLSPGPRSCCRRRCPRHPGWDAAAGWRLRTSGLMSCTRVLACSSSPVMAVDI
+ECRYSCMAPSLRRERFAFQIAPKPSKPLRPCIQLSGKNEASGTVAPTVQEKKVKKRVSFA
+DNQGLALTMVKVFSEFDDPLDIPLNITELLDSIVSLTTAESESFVLDFSQPSADYLDFRN
+RLQTDHVCLENCVLKDRSIAGTVKVQNLAFEKTVKVRMTFDTWKSFTDFPCWYVKDTYAG
+SDKDTFSFDISLPEKIQSYERMEFAVCYECNGQTYWDSNKGKNYRIIRAELQSTQGTAQP
+PNGPDFEIAFDQFGSPRCSYGLFPEWPSYLGYEKLGPYY
+>tr|E1BMS9|E1BMS9_BOVIN PHD finger protein 20 OS=Bos taurus OX=9913 GN=PHF20 PE=4 SV=1
+MTKHPPNRRGISFEVGAQLEARDRLKNWYPAHIEDIDYEEGKVLIHFKRWNHRYDEWFCW
+DSPYLRPLEKIQLRKEGLHEEEGSSEFQINEQVLACWSDCRFYPAKVTAVNKDGTYTVKF
+YDGVVQTVKHIHVKAFSKDQNIVGNARPKETEHKSLLSSDKREKFKEQRKPTAIVKKDKE
+DKALKTEKRAKQSDKEGKLICAEKGKASEKSLPKNEKEDKENISENDREYSGDAQVDKKP
+EKDIVKSPQENLREPKRKRGRPPSIAPTAVDSNSQTLQPITLELRRRKISKGNEVPLKRP
+RLDKNSSQEKSKNYSENTDKDLSRRRSSRLSTNGTHEILDPDLVVSDLVDTVNTDPLQNT
+SSNTKESEEGQLKSPLEAGQVSSTITCHSIGDGLGPAGLELNCKSMGENTMKTEPASPLA
+ELQEISTVAVTNTLKRTNDFVTSKAPASDLNHKFRCTVVDCLKFFRKAKLLHYHMKYFHG
+IEKSPEPEENPGKRHVQTRGSSASDKASQESLTRKRVSASSPTAKDKEKNKEKKFKEFVR
+VKPKKKKKKKKKTKPECPCSEEVSDTPQEPSPPKTFAVTKCGSSHKPGVHMSPQIQGSES
+GNHRGKVKVSEEDNLSESSSESFLWSDEEYGQDIDVTTNPDEELDGDDRYDFEVVRCICE
+VQEENDFMIQCEECQCWQHGVCMGLLEENVPEKYTCYVCRDPPGQRPGFKYWYDKEWLSR
+GHMHGLAFLEENYSHQNAKKIVATHQLLGDVQRVIEVLHGLQLKMSILQSRGHPDLQLWC
+QPWKQHLAEGRSHSKHIRVTEAKSREEAPSYRTLNGAMEKPLPLPTSVEESYITSEHCYQ
+KPRTYYPAVEQKLVVETRRSALDDAVNPRHENGDDSLSPRLGWPLDQDRSRGDSDPKPSS
+PKVREYVSKKVLPEEAPARKLLDRGGEGLLSSQHQWQFNLLTHVESLQDEVTHRMDSIEK
+ELDVLESWLDYTGELEPPEPLARLPQLKHCIKQLLTDLGKVQQIALCCST
+>tr|A0A3Q1LUE0|A0A3Q1LUE0_BOVIN Fibroblast growth factor receptor OS=Bos taurus OX=9913 GN=FGFR1 PE=3 SV=1
+MQTLLYRSLPPYDGRFWKFRGSSKGPRKTCGRRGSLRVSLQRRIEPTAESPWRCGAWSPT
+SNRRTGMWSRKCLLFWAVLVTATLCTAKPAPTLPEQAQPWGAPVEVESLLVHPGDLLQLR
+CRLRDDVQSINWLRDGVQLADSNRTRITGEEVEVRGSVPADSGLYACVTSSPSGSDTTYF
+SVNVSDALPSSEDDDDDDDSSSEEKETDNTKPNPVAPYWTSPEKMEKKLHAVPAAKTVKF
+KCPSSGTPNPTLRWLKNGKEFKPDHRIGGYKVRYATWSIIMDSVVPSDKGNYTCIVENEY
+GSINHTYQLDVVERSPHRPILQAGLPANKTVALGSNVEFMCKVYSDPQPHIQWLKHIEVN
+GSKIGPDNLPYVQILKTAGVNTTDKEMEVLHLRNVSFEDAGEYTCLAGNSIGLSHHSAWL
+TVLEALEERPAVMTSPLYLEIIIYCTGAFLISCMVGSVIIYKMKSGTKKSDFHSQMAVHK
+LAKSIPLRRQVTVSADSSASMNSGVLLVRPSRLSSSGTPMLAGVSEYELPEDPRWELPRD
+RLVLGKPLGEGCFGQVVLAEAIGLDKDRPNRVTKVAVKMLKSDATEKDLSDLISEMEMMK
+MIGKHKNIINLLGACTQDGPLYVIVEYASKGNLREYLQARRPPGLEYCYNPSHHPEEQLS
+SKDLVSCAYQVARGMEYLASKKCIHRDLAARNVLVTEDNVMKIADFGLARDIHHIDYYKK
+TTNGRLPVKWMAPEALFDRIYTHQSDVWSFGVLLWEIFTLGGSPYPGVPVEELFKLLKEG
+HRMDKPSNCTNELYMMMRDCWHAVPSQRPTFKQLVEDLDRIVALTSNQEYLDLSMPLDQY
+SPSFPDTRSSTCSSGEDSVFSHEPLPEEPCLPRHPAQLANGGLKRR
+>tr|A0A3Q1MBA7|A0A3Q1MBA7_BOVIN Polyhomeotic homolog 3 OS=Bos taurus OX=9913 GN=PHC3 PE=4 SV=1
+MAEAEFKDHSTAMDSEPNPGTSSVSTTNSSTTTTTITTSSSRMQQPQISVYSGSDRHAVQ
+VIQQALHRPSSSAAQYLQQMYAAQQQHLMLHTAALQQQHLSSSQLQSLAAVQASLSSGRP
+PTSPTGSVTQQSSMSQTSINLSTSPTPAQLISRSQASSSTSGSITQQTMLLGSTSPTLTA
+SQAQMYLRAQMLIFTPATTVAAVQSDIPVVSSSSSSSCQSAATQVQNLTLRSQKLGVLSS
+SQNGPPKSTSQTQSLTICHNKTTVTSSKISQRDPSPESNKKGESPSLESRSTAVTRTSSI
+HQLIAPASYPPIQPHPLIKHQQIPLHSPPPKVSHHQLILQQQQQQIQPITLQSPTQDPPP
+SQHCIPLQNHGLPPAPSSAQSQHCSPIQSHPPPLAMSPSQSQSAQQSVVVSPPPPHSPSQ
+SPTIIIHPQALIQPHPLVSSALQPGSNLQQSTANQVQPTTQLNLSSHLPLPASPVVHIGP
+VQQSTLVSPGQQIVSPTSHQQYSTLQSSPIPIATPPQMSTSPPAQIPPLPLQSMQSLQVQ
+PEILSQGQVLVQNALVSEEELPAAEALVQLPFQTLPPPQTVAVNLQVQPPAPVDPPVGMH
+LNQCHLHKVFSLKVYQVEDVCEEEMPEESEDCVRMDRTPPPPTLSPAAITVGRGEDLTSE
+HPLLEQVELPAVASVSASVIKSPSDPSHVSVPPPPLLLPAATTRSNSTSMPSSVPSVENK
+PPQAIVKPQILTHVIEGFVIQEGLEPFPVSRSSLLIEQPVKRRPVLDNQVINSVCVQPEL
+QNNAKHADNSSDTEMEDMIAEETLEEMDSELLKCEFCGKMGYANEFLRSKRFCTMSCAKR
+YNVSCSKKFALSRWNRKPDNQSLGHRGRRPSGPDGAAREHILRQLPITYPSAEEDLASHE
+DAVPSAMTTRLRRQSERERERELRDVRMRKMPENSGLLPVAQTEPSIWTVDDVWAFIHSL
+PGCQDIADEFRAQEIDGQALLLLKEDHLMSAMNIKLGPALKICARINSLKES
+>tr|A0A3Q1LT32|A0A3Q1LT32_BOVIN Calpastatin OS=Bos taurus OX=9913 GN=CAST PE=1 SV=1
+MSQPGPKPAASPRPRRAARHTQEHVSRKTSGSPSKSGEKKGSDEKKATSLGSSQLSRTQA
+DEKALVPKVTTSSASASKSSSMNPTEAKAIPGSKQLEGPHSPNKKRHKKQAVKTEPEKKP
+QSSKPSVVHEKKTQEVKPKEHTEPKSLPKHSSDTGSKHAPKEKAVSKSSEQPPSEKSTKP
+KTKSQDKISGGGKSTVPAAAAAASAEPADKNKENKLLTSAVPAESKPSKPSGKSDMDTAL
+DDLIDTLGEPEEMKEDNTTYTGPEVSDPMSSTYIEELGKRESTLPPKYKELLNKEEGIAG
+PPPDSLKPLGPNDAIDALSSDFTCSSPTADAKKTEKEKSTEEALKAQSAGVIRSAAPPQE
+KKRKVEKDAMTEHALEALSASLGTRKPEPELDPSSIKEVDEAKAKEEKVKKCGEDEETVP
+SEYRLKPATDKDGKPLLPEAEEKPKPLSESELIDELSEDFDQSKCKEKQSKPTEKTEASP
+AAAPVPVAEDVPRTSMCSVQSAPPTAAPVKGMVPDDAVEALAGSLGKKEADPEDGKPVED
+KVKEKAKEEDREKLGEKEETIPPDYRLEEAKDKDGKPLLPKEVKEPLPPLSEDVLLDALS
+KDFTVPSDTSSPQFEDAKLSAVVSEVVSQTPAPTTQAAGPPPSTAQRDNKELDDALDQLS
+DSLGQRQPDPDENKPVEDKVKEKAKAEHRDKLGERDDTIPPKYQHLLDDNKEKPAGAQDP
+IDALSGDFDSCPSTTETSTDTPKDKDKKPASSAEAPRNGGKAKDSTKAKEETSKPKADGK
+STS
+>tr|F1MBW2|F1MBW2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=3
+MLRCGVCVKQFCSSANFQQHQEHMGEKTLRSSVDEAKFFKSCRFLVLQKPFTCREFEKDF
+PTIMGHLQQQTTHTVEKPNKVTQCESTLQSRSRHTSGECRKALSPKHTLVQDQGVHTGIP
+CFVCSECGKAFRYKSSFVVHQRVHAGKNLHVCGECGKSFRRSSILYKHRRIHTGARQYKC
+SKCGKSLSHKSVLISPHPWCNGEKNYVCSECSKSFSHSSVFIPHRRVQTGERPYKCSDCV
+KSFTSMSALCYHQRSHTGERPYICSDCGKSFISSSDLRYHQRVHSGERPHECSECGKSFI
+TRTALRYHHRVHTGERPYECSECGKSFTRKNNLIIHLRVHSGERPYECSECGKSFTFSSS
+LRYHHRVHTGERPYECTECGKSFNNRWTLIRHQRIHTGEKPYVCNKCGKSFSCSSTLQYH
+ERGHLGERPYGCDECGRSFTTSSALRYHQRIHTGERPYECNECGKSFISKSDLHYHQRVH
+SGERPYECSECGKSFIRRNNLLLHQRVHTGERPYKCSECGKSFNNRWTLIQHQRVHTGEK
+PYVCSECGKSFTSSSTLCYHQRVHAGKRPYECSECGKSFTSSSTLRYHQRVHTGERPYEC
+SECGKSFTFSASLRYHHRVHTGERPYECNECGKSFKDRSQFNKHRRAHTGERPYECAECG
+KSFSQKSSLSTHQKIHNRERSYECSACGKSFTSISGLGYHQRVHRGEKPYRCNECGKSFT
+NSSILIRHQRVHTGERPYVCSECGKSFTSSATLSYHQRVHAGKRPYECSKCGKSFTSSST
+LRYHQRVHAGDRPYECSECGKSFISSSKLRYHQRVHTGERPYVCSECGKSFRDSSQFSQH
+RRGHTGERPYECRECGKFFMRKSTLSQHQRVHTRERP
+>tr|F1MRD9|F1MRD9_BOVIN Ethanolamine kinase 2 OS=Bos taurus OX=9913 GN=ETNK2 PE=4 SV=3
+MEAAAGAGRGAPPGPPRAAAVPCFGISVDQDDILPGALRLIRELRPRWKPERVRTKRFTD
+GITNKLVACYVEEDMRDCVLVRVYGERTELLVDRESEVRNFQLLRAHGCAPKLYCTFQNG
+LCYEYMRGVALGPEHIREPRLFRLIALEMAKIHTIHANGSLPKPTLWHKIHNYFALVKNE
+INPSLSADVPEVGVLERELVWLKEHLPPLDSPVVFCHNDLLCKNIIYDSSKGHVRFIDYE
+YAGYNYQAFDIGNHFNEFAGVNEVDYSRYPARETQLLWLRYYLQAQNGTAVTPREVERLY
+VQVNKFALASHFLWALWALIQNQFSTIDFDFLRYAVIRFNQYFKVKPQVAALEMPK
+>tr|E1BB38|E1BB38_BOVIN Signal recognition particle subunit SRP72 OS=Bos taurus OX=9913 GN=SRP72 PE=1 SV=1
+MASGGSGGVSVPALWSEVNRYGQNGDFTRALKTVNKILQINKDDVTALHCKVVCLIQNGS
+FKEALNVINTHTKVFANNSLSFEKAYCEYRLNRIENALKTIESANQQTDKLKELYGQVLY
+RLERYDECLAVYRDLVRNSQDDYDEERKTNLSAVVAAQSNWEKVVPENLGLQEGTHELCY
+NTACALIGQGQLSQAMRILQKAEDLCRRSFSEDSDGTEEDPQAELAIIHGQMAYILQLQG
+RTEEALQLYNQIIKLKPTDVALLAVIANNIITINKDQNVFDSKKKVKLTNAEGVEFKLSK
+KQLQAIEFNKALLAMYTNQAEQCRKISASLQSQSPERLLPVLIQAAQLCREKQHTKAIEL
+LQDYSDQHPENAAEIKLTMAQLKISQGNISKACLILRSIDELKHKPGMVSALVTMYSHEE
+DIDSAIEVFTQAIQWYQNHQPKSTAHLSLIREAANFKLKYGRKKEAISDLEQLWKQNPKD
+IHTLAQLISAYSLVDPEKAKALSKHLPSSDSMSLKVDVEALENSPGATYVRKKGGKVTGD
+NQPKEQGQGDLKKKKKKKKGKLPKNYDPKVTPDPERWLPMRERSYYRGRKKGKKKDQIGK
+GTQGATAGASSELDASKTVSSPPTSPRPGSAATASTSTSNIIPPRHQKPAGAPATKKKQQ
+QKKKKGGKGGW
+>tr|G5E569|G5E569_BOVIN Elongator complex protein 4 OS=Bos taurus OX=9913 GN=ELP4 PE=4 SV=1
+MAAAGTCGTGTRSTGSMVAAASTNNVTSFQRRGPRASGADSGCSRLVSIAGTRPSVRNGQ
+LLVSTGLPALDQLLGGGLAVGTVLLIEEDKYNIYSPLLFKYFLAEGIVSGHALLVASAKE
+DPADILQKLPAPLIDDSYKKECDEDVCSHKMEPDIKMKIAWRYQLLPKMEAGPVSSSRFG
+HYYDISKRIPQELIETSKWHGFFLPEKISSAFNVESCSLTPGYMKLLQFIQNIIYKEGFD
+GSNPQKKQKNILRIGIQNLGSPLWGDDICCTENCDTSHSLTKFLYILRGLLRTSLSACII
+TMPTHLIQNKAVIARVTNLSDTVVGLESFIGSEKETNPLYKDYHGLIHIRQIPRLNNLIY
+DISDVKDLAFKLKKKLFTIERLHLPPDLSDTVSRSSKQDLAESTKLLGPGCGMTAGSKKH
+LDF
+>tr|A0A3Q1LQK6|A0A3Q1LQK6_BOVIN Synergin gamma OS=Bos taurus OX=9913 GN=SYNRG PE=4 SV=1
+MPMQQQGFPMVSVMQPNMQGMIGMNYSSQMSQGPIAMQAGIPMGPIPAGGMPYLGQAPFL
+GMRPPGPQYTPDMQKQFAEEQQKRFEQQQKLLEEERKRRQFEEQKQKLRLLSSVKPKTGE
+KSRDDALEAIKGNLDGFSRDAKMHPTPASHPKKPDYPTSSHSTKTVSPSPAFLDEEEFSD
+FMQGPVEVPTCGPSSTSQPFQSFHSTTPLGQLHVQKAGAQPLPPGVGVFPSQDPVQPRMP
+PWIYNESLVPDAYKKILETTMTPTGIDTAKLYPILMSSGLPRETLGQIWALANRTTPGKL
+TKEELYAVLAMIAATQRGVPAMSPDALNQFPAAPVPTLSGFPVTLPAAVSQPTGMPSGPA
+GSMPLTLGQPVMGINLVGPVGGAAAQASSGFMSAYAANQVVKPEDDDFQDFQDASKSGSL
+DDSFSDFQELPASSKSNNSQHGNSAPSLLMPLPGTKASASMDKYAVFKGIAADKPSENTV
+PFGEPGDKYSAFRELEQTAENKSLGENFAEFRSAGTDDGFTDFKTADSISPLEPPAKDKP
+FPATFPSGATQQKPQTQVKNPLNLADLDMFSSVTCSNEKPLSFSAAFSVSKPVSTRPQPA
+GSAAPSAALVSTKTAGLADDFGEFNLFGEYSSPASVGEQDDFADFMAFSNSSVSSEQKAD
+DKYEALKEEASPGPLTSSTGIAGKSGQNSAATSTKYDVFKQLSLEGSGLGGEELKDSTSS
+GKSDDDFADFHSSKFSSVNSDKSLGEKAVAFRHTKEDSASVKSLDLPSLGGSSVGKEDSE
+DALSVQFDMKLADVGGELKHVMSDSSLDLPTVSGQHPPAADIEDLKYAAFGTYSSSFAVS
+TLTSSDWSDRDDASQGRKLSPFVLSAGSGASAAASVLQKKETSFGSSENLTVASLSKVTT
+FASEDPLPETTFLPFANFKDVIPQASEPKEYESGDFRDFARQDLPVGERSQEAMCLSPAS
+SSASHDTPKECTDDFGEFQSEKPKISKFDFLVANSQGKMKSSEEMIKNELATFDLSVQGS
+HKRSLSLGDKEISRSSPSPALEQPFRDRSNTLSEKPALPVIRDKYKDLTGEVEENERYAY
+EWQRCLGSALEVIKKANDTLNGISSSSVCTEVIQSAQGMEYLLGVVEVYRVTKRVELGIK
+ATAVCSEKLQQLLKDIDKVWNNLIGFMSLATLTPDENSLDFSSCMLRPGIKNAQELACGV
+CLLNVDSRSRKEEKPAEEHPKKAFNSETDSFKLAYGGHQYHASCANFWINCVEPKPPGLI
+LPDLL
+>tr|A0A3Q1NKM6|A0A3Q1NKM6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MPSSHLILCRPLLLLPPIPPSIRVFSNESTLHMRWPKYWSLSFSIMPSKEIPGLISFRMD
+WLDLLASGPTQDMPVPSSFNNVGQDGQLRSFVGWVWYEREITLLPHSVRFPHPALVFEGV
+PELAKPPPPPLVSLYLQWVNGVHVIEHEGGHLPFEADRRPLSSCRITIAINNTLSPHTLP
+PGTILYKTDPSMYPKGYFVQNTKFDFFNYAGLHRSMLLYTTPTTYMDDITVTTDVDQDIG
+LVNYQIIVQGSDHFQVDVSLLDEEGKLQVPSAHLWWPYLMHEHPAYLYSLEVKLTAQMAV
+GPVSDFYTLLVGIRTVAVTESQFLINGKPFYFRGVNKHEDADIRGKGFDWPLLVKDFNLL
+RWLGVNAFRTSHYPYAEEVLQPCDRYGVVVIDESPGVGIVLAESFSNVSLQHHLEVMEEM
+IRRDKNHPAVVMWSLANEPASFLKPAGYYFKTLIAHTKTLDPSRPVTFVTNTNYEADLGA
+PYVDIICVNSYYSWYHDYGHMEVIQLQLATQFENLYKAYQKLMIQSEYGADAIEGFHEDP
+PLMFSEEYQKGLPQQYHAVLDQKRKEYVVGELIWNFADFMTNQCKWHLDAWDVSLLIVSG
+GCVSSGHLVLRTPETSRGRLISSKQATQRIS
+>tr|A0A3Q1MB14|A0A3Q1MB14_BOVIN Fibronectin type-III domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MLITILLATVVLLSMVLDSAWLLTQEQQDLPTVKPNASLNVKFDPQTTKLTWDCRENASS
+GECVLIHKEKGLIKKKVKDSECQCTFQDYSLHGGVTLTVEVHVNQRRLSEMLVYTNPGRE
+GTAAQNFSCVIYDADFMNCSWAKGRAAPDDVQYFLYIRSKKRIERECPRYLKDSGTHVGC
+HLRDLSGLTSYSYFLVNGTSQETGIQFFDSVLLLKEIEQYNPPDNITVQCNESHCLIRWE
+KPRTRQPWPNREFQYQLDIQRRRDTSSSRSQLIVVFGDSGNRYNFPKPGSKAKHTVKIRT
+ADARKAHWGAWSQPVEFDSSRATGYSLRFHRSKIN
+>tr|A0A3Q1MRN2|A0A3Q1MRN2_BOVIN AXL receptor tyrosine kinase OS=Bos taurus OX=9913 GN=AXL PE=3 SV=1
+MGKVLLAWCWALCCWGRVAPKGPQTEVSPFVGSPGNITGARGLMGTLRCELQVQGEPPEV
+TWLRDGQVLELADSTQTQVPLGEDGQDDWKVVSQLRISSLQLSDAGWYQCTVVLGEKTFV
+SQPGYVGLEGLPYFLEEPEDRTVVANTPFNLSCRAQGPPEPVDLLWLQDAVSLASAMDHS
+PQHTLRVPGLNKTASFSCEAHNAKGITTSRTATITVLPQRPHDLHLVSTQPTELEVAWTP
+GLSGIYPLTHCILQAVLSDDRVGAWLGEPDPPEEPLTLQASVPPHQLRLGSLHPHTPYHL
+RVACVSSQGPSPWTHWLPVETPEGVPLGPPENVSAMRNGSQALVRWQEPRAPLQGTLLGY
+RLAYRGQDTPEVLMDVGLKREVTLELRGEGSVPNLTVYVAAYTAAGDGPWSLPVPLEPWR
+PGQGQPIHQLVSEPPAPAFSWPWWYVLLGAVVAAACVLILALFLFHRRKKETRYGEVFEP
+TVERGELVVRYRVRKSYSRRTTEATLNSLGISEELKEKLRDVMVDRHKVALGKTLGEGEF
+GAVMEGQLNQDDSVLKVAVKTMKIAICTRSELEDFLSEAVCMKEFDHPNVMRLIGVCFQG
+SEREGFPAPVVILPFMKHGDLHSFLLYSRLGDQPVFLPTQMLVKFMADIASGMEYLSTKR
+FIHRDLAARNCMLNENMSVCVADFGLSKKIYNGDYYRQGRIAKMPVKWIAIESLADRVYT
+SKSDVWSFGVTMWEIATRGQTPYPGVENSEIYDYLRQGNRLKQPVDCLDGLYALMSRCWE
+LNPRDRPSFAELREDLENTLKALPPAQEPDEILYVNMDEGGGHSEPLGAAGGADPPAQPD
+PKDSCSCLTAAEVHPAGRYVLCPSTAPGPILPAERSSPAPPGQEDGA
+>tr|A0A3Q1M282|A0A3Q1M282_BOVIN WD repeat and FYVE domain containing 2 OS=Bos taurus OX=9913 GN=WDFY2 PE=4 SV=1
+MIIVRRLTSLLKPGELKRVLWFLIQGSSCSMCSFSVNSPASRLGGNWITKFRTVRVWLKR
+DSGQYWPSIYHVMPSPCSCMSFNPETRRLSIGLDNGTISEFILSEDYNKMTPVKNYQAHQ
+SRVTMIQFVLELEWVLSTGQDKQFAWHCSESGQRLGGYRTSAVASGLQFDVETRHVFIGD
+QSGQVTILKLEQENCTLVTTFRGHTGGVTALCWDPVQRVLFSGSSDHSVIMWDIGGRKGT
+AIELQGHNDKVQALSYAQHTRQLISCGGDGGIVVWNMDVERQETPEWLDSDSCQKCDQPF
+FWNFKQMWDSKKIGLRQHHCRKCGKAVCGKCSSKRSPIPLMGFEFEVRVCDSCHEAITDE
+ERAPTATFHDSKHNIVHVHFDATRGWLLTSGTDKVIKVGRHRIFEACCLCPCSVTQLCPT
+VWDPGDCSTPGFPVLHHLPGLAQTHDH
+>tr|A0A3Q1MFX3|A0A3Q1MFX3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MEFKLEAHRIVSISLGKIYNSRVQRGGIKLHKNLLVSLVLRSARQVYLSDPCPGLYLAGH
+PGAPAPPQQPEESAAGPPAGWGEPPPPAARTAPRPGAAFPPRISSD
+>tr|A0A3Q1NK22|A0A3Q1NK22_BOVIN Pumilio RNA binding family member 1 OS=Bos taurus OX=9913 GN=PUM1 PE=4 SV=1
+MSVACVLKRKAVLWQDSFSPHLKHHPQEPANPNMPVVLTSGTGSQAQPQPAANQALAAGT
+HSSPVPGSIGVAGRSQDDAMVDYFFQRQHGEQLGGGGSGGGGYNNSKHRWPTGDNIHAEH
+QVRSMDELNHDFQALALEGRAMGEGPRDADSDENDKGEKKNKGTFDGDKLGDLKEEGDVM
+DKTNGLPVQNGIDADVKDFSRTPGNCQNSANEVDLLGPNQNGSEGLAQLTSTNGAKPVED
+FSNMESQSVPLDPMEHVGMEPLQFDYSGTQVPVDSAAATVGLFDYNSQQQLFQRPNALAV
+QQLTAAQQQQYALAAAHQPHIGLAPAAFVPNPYIISAAPPGTDPYTAGLAAAATLGPAVV
+PPQYYGVTPWGVYPASLFQQQAAAAAAATNSANQQTTPQAQQGQQQVLRGGASQRPLTPN
+QNQQGQQADPLVAAAAVNSALAFGQGLAAGMPGYPVLAPAAYYDQTGALVVNAGARNGLG
+APVRLVAPAPVIISSSAAQAAVAAAAASANGAAGGLAGTTNGPFRPLGTQQPQPQPQQQP
+SNNLASSSFYGNNSLSSNSQSSSLFSQGSAQPANTSLGFGSSSSLGATLGSALGGFGTAV
+ANSNTGSGSRRDSLTGSSDLYKRTSSSLTPIGHSFYNGLSFSSSPGPVGMPLPSQGPGHS
+QTPPPSLSSHGSSSSLNLGGLTNGSGRYISAAPGAEAKYRSASSASSLFSPSSTLFSSSR
+LRYGMSDVMPSGRSRLLEDFRNNRYPNLQLREIAGHIMEFSQDQHGSRFIQLKLERATPA
+ERQLVFNEILQAAYQLMVDVFGNYVIQKFFEFGSLEQKLALAERIRGHVLSLALQMYGCR
+VIQKALEFIPSDQQVINEMVRELDGHVLKCVKDQNGNHVVQKCIECVQPQSLQFIIDAFK
+GQVFALSTHPYGCRVIQRILEHCLPDQTLPILEELHQHTEQLVQDQYGNYVIQHVLEHGR
+PEDKSKIVAEIRGNVLVLSQHKFASNVVEKCVTHASRTERAVLIDEVCTMNDGPHSALYT
+MMKDQYANYVVQKMIDVAEPAQRKIVMHKIRPHIATLRKYTYGKHILAKLEKYYMKNGVD
+LGPICGPPNGII
+>tr|G3MXL6|G3MXL6_BOVIN Fructose-bisphosphate aldolase OS=Bos taurus OX=9913 GN=ALDOB PE=3 SV=1
+MWRPQKGVPRTAWAGIRAGMTACRSKDASLPLACCLGAARPTERREPGMYESRPVTMAHQ
+FPALTSEQKKALSETARRIVANGKGILAADESVGTMGNRLQRIKVENTEENRRQFRELLF
+TVDSSISQSIGGVILFHETLYQKDGQGKLFRDILKEKGIVVGIKLDQGVAPLAGTNKETT
+VQGLDGLSERCAQYKKDGADFGKWRAVLKIDNQCPSHLAIQENANTLARYASICQQNGLV
+PIVEPEVIPDGSHDMEHCQYVTEKVLAAVYKALNDHHVYLEGTLLKPNMVTAGHACTKKY
+TPEQVAMATVTALHRTVPAAVPGICFLSGGMSEEDATLNLNAINLCPLPKPWKLSFSYGR
+ALQASALAAWGGKAENKKATQEAFMKRALANSQAAKGQYVHMGSSGSASTQSLFTASYTY
+>tr|E1BIE9|E1BIE9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC100337457 PE=4 SV=3
+MNSAITTCEHPNPLRGDGVLSSHEGDQGSKKDGQLKSSSSSHLAYGILDIPPWYLCIFLG
+IQHFLTALGGLVAVPLILAKDLCLQHDPLTQSYLISTIFFVSGICTLLQVFLGIRLPILQ
+GGTFAFLGPSLAMLSLPTWKCPAWTLNASQVNTSSPEFTEEWQKRIRELQGAVLVASCVQ
+MLVGFSGLIGFLMRFIGPLTIAPTISLMALPLFNSAGDDAGIHWGIAATTIFLIVLFSQY
+LKNIAVPVPVCGREKKSHTSKFYLFQIFPVLLGLCISWLLCFVLTVTDALPSAPTAYGYL
+ARTDTKGSVLSQAPWFRFPYPGQWGLPTVSPAGVFGIIAAVISSMVESIGDYHACARLVG
+APPPPKHAINRGIGIEGLGCLLAGAWGTGNGTTSYSENVGALGITRVGSRMVIVAAGCVL
+LLMGVFGKIGAAFATIPTPVIGGMFLVMFGVITAVGISNLQYVDLNSSRNLFIFGFSIFC
+GLAIPNWVNKNPERLRTGILQLDQVIQVLLTTGMFVGGFLGFLLDNTIPGSLEERGLLAW
+NQVQEESEESTKALEVYDLPWGISTRFCTSSCAQYLPFWPREGEAGVRQLSLCPWDSEEG
+PRRATDTRL
+>tr|A0A3Q1NBV6|A0A3Q1NBV6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=MPIG6B PE=4 SV=1
+MNPLFAGILLGTLLGAALGNRMRCYDCGGGPSGLCKETVTTCGEDERCGFLERKPQPDLA
+QTKLPGNPSVTLIHHHPACVAAHHCNRVETEVVGDVTYTTHRDCCVGDLCNSAVASTAAP
+MSIVAAAVTMLAWLLPGLWRG
+>tr|F1MME3|F1MME3_BOVIN RBR-type E3 ubiquitin transferase OS=Bos taurus OX=9913 GN=RNF144A PE=3 SV=3
+MKPPLRCDAEDWKTNRDLGWVWLRQDTVSGLLSISLTLLWETPSLRLTAALRRARYCSAM
+SAARACRPSWDLALDPLVSCKLCLGEYPVEQMTTIAQCQCVFCTLCLKQYVELLIKEGLE
+TAISCPDAACPKQGHLQENEIECMVAAEIMQRYKKLQFEREVLLDPCRTWCPASTCQAVC
+QLQEMGLHTPQLVQCKACDTEFCSACKASWHPGQGCPETMPITFLPGETSSAFKLDEDDA
+PIKRCPKCKVYIERDEGCAQMMCKNCKHAFCWYCLESLDDDFLLIHYDKGPCRNKLGHSR
+ASVIWHRTQVVGIFAGFGLLLLVASPFLLLATPFVLCCKCKCSKGDDDPLPT
+>tr|A0A3Q1MLM3|A0A3Q1MLM3_BOVIN SNF2 histone linker PHD RING helicase OS=Bos taurus OX=9913 GN=SHPRH PE=4 SV=1
+MSSRRKRAPPVRVDEETQQQLCWNMHEDRRNEPLTLTDDEQSYPGCENIEKKERGLNEPV
+SICDKGIQVESSFSSEMLEDLEWLQKKKRIKLYQKPEENNIIKVGIYLLEAGLAKPDFLS
+DASSRMKKFNQLMKRVMEKLYNFIIPDVLEEDEEGSDSEPEGQDIDELYHFVKQTHQQET
+RSIQVDVQHPALTPVLRPYQREAVNWMLQQEHFKSTPASENALHFLWREIVTSEGLKLYY
+NPYTGCIIREYPNAGPQLLGGILADEMGLGKTVEVLALILTHTRQDVKQDALTLPEGEVV
+NYFIPSHYSGGNVKKTETQNMEFEPKEKVQCPPTRVMILTAVKEMNGKKGVSILSIYKYV
+SSIYRYDVQRNRSLLKRMLKCLIFEGLVKQIKGHGFSGTFTLGKNYKEEDIYDKTRKQAV
+GSPRKTQKESRKSGNKDTDSEYLPSNTSDDDDDPYYYYCKPRRNRSKWRKKPVPSTKREK
+SQLNISLNPQDHCPPTGNSEITDVTTSESTCVFEVNQEHSAKDQAESLNPVGDDMPHSNI
+MGSYNSSSYRFGCICGELDQVDCKPRVQCLKCHLWQHAKCVNYEEKNLKIKPFYCPHCLV
+AMEPVSTRATLIISPSSICHQWVDEINRHVRSSSLRVLVYQGVKKDGYSHHYLRCPPFRT
+KLCRHPTQQQ
+>tr|A0A3Q1LXH8|A0A3Q1LXH8_BOVIN Urocanate hydratase 1 OS=Bos taurus OX=9913 GN=UROC1 PE=1 SV=1
+MCSLQELCSGLPLRPLPENRGRRAGVPHAPVRTPGLSPAEEQLALKNALRYFPPDVQEVL
+APEFAQELRLYGHIYMYRFCPDLEMRAYPVERYPCRTRAAAAIMLMIMNNLDPAVAQFPQ
+ELVTYGGNGQVFSNWAQFWLTMSYLAQMTEEQTLVMYSGHPLGLFPSSPEAPRLVITNGM
+VIPNYSSRTEYEKLFAMGVTMYGQMTAGSYCYIGPQGIVHGTVLTVLNAGRRYLGLQDLA
+GKVFVTSGLGGMSGAQAKAAVIVGCIGVIAEVDGAALMKRHRQGWLMEVTDSLDRCIERL
+REARKRKEVLSLGYHGNVVDLWERLVHELDTTGELLVDLGSDQTSCHNPFNGGYYPVQLG
+FAEAQSLMASDPTAFKSLVQESLRRHVSAINRLAREHFFFWDYGNAFLLEAQRAGADVGK
+PGANRTEFRYPSYVQHIMGDIFSQGFGPFRWVCTSGDPQDLAVTDRLATSALEEIVAAGV
+TPAVKLQYVDNIRWIQEAAKHQLVVGSQARILYSDQKGRVAIAVAFNQAIARGELKAPVV
+LSRDHHDVSGTDSPFRETSNIYDGSAFCADMAVQNFVGDAFRGATWIALHNGGGVGWGEV
+INGGFGLVLDGTEDAEQKARRVLSWDVANGVSDRQHPPRLSPVQTADPPPSGKVCSPPAA
+AVSPPATARVALHGRQPALHPGCVLSSLCPWGLGSVPFPTLRLCASESTAAHARVCPDAV
+CGAGPHPRGTTYQGPKGEA
+>tr|E1B9W6|E1B9W6_BOVIN Adenylate cyclase 10 OS=Bos taurus OX=9913 GN=ADCY10 PE=4 SV=3
+MNTRRELESQDRAIVKIAAHLPDLIVYGDFSPERPSVDNFDGVLMFVDISGFTAMTEKFS
+TAMYMDRGAEQLVEILNHYISAIVEKVLIFGGDILKFAGDALLALWKVERKQLKNIITVV
+IKCSLEIHGLFETQESEEGLDIRVKIGLAAGHISMLVFGDETRNYFLVIGQAVDDVRLAQ
+NMAQVNDVILSPNCWQLCDRSMIEIERIPDQRAVKVNFLKPPPSFNFDEFFTKCMAFMDY
+YPSGDHKNLLRLACMLESDPELELSLQKYVMESILKQIDDKQLRGYLSELRPVTVMFVNL
+MFKDQDKAEVIGSAIQDACVHINSVLRVFQGQINKVFMFDKGCSFLCVFGFPGEKAPDEI
+THALESAVDIFDFCSQVHKIRTVSIGVTSGIVFCGIVGHSVRHEYTACLGWHTSSATEMS
+VTLCWDVRRRSNTSCVL
+>tr|A0A3Q1MI28|A0A3Q1MI28_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC788129 PE=3 SV=1
+MDLLNNRSSVSEFVLVGLSTSQETQILFFAIFFLVYVAIIVGNLLIVISVIVDNHLHSPM
+YFFLANLSFFDLCLSSAATPKVISDFLRKHKTISWWGCMTQMFFMHFFGGGEMSLLIAMA
+VDRYVAICKPLHYKTIMSHRVLTGFLLLSWVIGLIHTTSQMVFTVGLPFCGPNVLDSFFC
+DLPLVIKLACTDTYILELLVIVNSGGLLSFISFILLLISYTVILVTIRHQSSGGLSKALS
+TLSAHITVVTLFFGPCIFIYAWPFSSFSVDKFLSVFYSVITPLLNPIIYTLRNQEMKAAM
+NRLRTQHVRSRKTF
+>tr|F1MC47|F1MC47_BOVIN DUF1968 domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=3
+ASSSSGWQLTFGSGTQLTVVPEVKDPNPTVYQLRSPQSSDTSVCLFTDFDSNQVNMEKIM
+GSEGSTVHKTNSTVLNMEILGSKSNGIVTWGNTSDAGCEYTFNETIPFASSLEISCNAKL
+VEKSFETDINLNSQNLSVIVFRILLLKVVGFNLLMTLRLWSS
+>tr|A0A3Q1LJJ3|A0A3Q1LJJ3_BOVIN ETS proto-oncogene 1, transcription factor OS=Bos taurus OX=9913 GN=ETS1 PE=3 SV=1
+MSYFVDSSVSGPATYSAARPAVLRQGPLNSYEDPRMACGFQSAYHPPRACYPFWEETATQ
+EVPTGLEHYGSDMECADVPLLTPSSKEMMSQALKATFSGFSKEQQRLGIPKDPRQWTETH
+VRDWVMWAVNEFSLKGVDFQKFCMNGAALCALGKDCFLELAPDFVGDILWEHLEILQKED
+VKPYQVNGVNPPYPESRYTSDYFISYGIEHAQCVPPSEFSEPSFITESYQTLHPISSEEL
+LSLKYENDYSSVILRDTLQTDTLQTDYFAIKQEVVTPDNMCMGRASRGKLGGQDSFESIE
+SYDSCDRLTQSWSSQSSFNSLQRVPSYDSFDSEDYPAALPNHKPKGTFKDYVRDRADLNK
+DKPVIPAAALAGYTGSGPIQLWQFLLELLTDKSCQSFISWTGDGWEFKLSDPDEVARRWG
+KRKNKPKMNYEKLSRGLRYYYDKNIIHKTAGKRYVYRFVCDLQSLLGYTPEELHAMLDVK
+PDADE
+>tr|A0A3Q1LV10|A0A3Q1LV10_BOVIN Nuclear receptor coactivator 6 OS=Bos taurus OX=9913 GN=NCOA6 PE=4 SV=1
+MVLNDLPNLEDIYTSLCSSTVEDSEMDFDSGLEDDDSKSDSILEDSTIFVAFKGNIDDKD
+FKWKLDTILENVPNLLHMESSKLKVQKVEPWNSVRVTFNIPREAAERLRILAQSNNQQLR
+DLGILSVQIEGEGAINLALAQNRSQDVRMNGPMGAGSSVRMEAGFPMAGGPGLIRMTSPA
+TVMIPQGGNVSSSMMAPGPNSELQPRTPRPASQSDAMDPLLSGLHIQQQSHPSGSLAPPH
+HPMQPVPVNRQINPASFPQLQQQQQQQQQQQQQQQQLQARPPQQHQQQQPQGIRPQFTAP
+TQVPVPPGWNQLPSGALQPPPAQSSLGTMTANQGWKKAPLPGPMQQQLQARPSLATVQTP
+SHPPPPYPFGSQQASQAHTNFPQMSNPGQFTAPQMKSLQGGPSRVPTPLQQPHLTNKSPA
+SSPSSFQQGSPASSPTVNQTQQQMGPRPPQNNPLPQGFQQPVSSPGRNPMVQQGNVPPNF
+MVMQQQPPNQGPQSLHPGLGGGQGAGPPQNQMQVSHGPPNMMQPSLMGIHGNMNSQQAGS
+SGVPQVNLGNMQGQPQQGPPSQLMSMHQQIVPAQGQMVQQQGTLTPQNPMILSRAQLMPQ
+GQMMVNPQSQNLGPSPQRMTPPKQMLPQQGPQMMAPHNQMMGPQGQVLLQQNPMIEQIMT
+NQMQGNKQQFNTQNQSNVMPGPAQIMRGPTPNLQGNMVQFTGPMSGQMLPQQGPVNNSPS
+QVMGMQGQVLRPPGPSPHMAQQHGDPATTANNDVSLSQMMPDVSMQQSNMVPPHVQAMQG
+NSASGNHFSGHGMPFNAPFNGAPNGNQMSCGQNPGFPVNKDVTLTSPLLVNLLQSDISAG
+HFGVNNKQNNTNANKPKKKKPPRKKKNSQQDLNTPDTRPAGLEEADQQPLPGEQGINLDS
+SGPKLPEFSNRPPGYPSQPVEQRPLQQLPPQLVQHVAPPAQPPPQQPQPPPSQPQSQQQQ
+QQQQQQQQMMMMLMMQQDPKSVRLPVSQNVHPPRGPLNPDSQRMPMQPSGSVPVMVSLQG
+PASVPPSPDKQRMPMPVNTPLGSTSRKMMYQENPQNPASSPLGEMSSLPEASGSEGPSVS
+GGPNNMPSHLVVSQSQLVMTGPKPGPSPLSAAQGATPQQPPVNSLPSSHGHHFPNVAAPT
+QTSRPKTPNRASPRPYYPQTPNNRPPSTEPSEISLSPERLNASIAGLFPPQINIPLPPRP
+NLNRGFDQQGLNPTTLKAIGQAPSNLTMNNPSNFAAPQTHKLDSVVVNSGKQSNSGAPKR
+ASPSNSRRSSPGSSRKTTPSPGRQNSKAPKLTLASQTNATLLQNVELPRNVLVSPTPLAN
+PPVPGSFPNNSGLNPQNPTMPVATIGGVLEDNKDSLNMPQDSDCQNSQVRKEQVNIELKA
+VPPQEVKMVVPEDQCKKDGQSLEPNKPPSVEENKNLVSPAMREAPTSLSQLLDNSGAPNV
+TIKPPGLTDLEVTPPGVSGEDPKKASVIPSLQDPSSKEPSSSLNLPHSNEPCSTLVHPEL
+SEVSSNVTPSIPQVMSRPVSSSSISTPLPPNQITVFVTSNPITTSANTSAALPTHLQSAL
+MSTVVTMPNVGNKVMVSEGQSAAQSNARPQFITPVFINSSSIIQVMKGSQPSTIPTAPLT
+TNSGLMPPSVAVVGPLHIPQNIKFSSAPVLPNAPTSSPAPNIQTGRPLVLNSRATPVQLP
+SPPCTTSPVVPPHPPVQQVKELNPDETSPQVSTSADQSTLPSSQATTVVSPLLTNSPGSS
+VNRRSPVSSSKGKGKVDKIGQILLTKACKKVTGSLEKGEEQYGADGETEGQGLETPAPGL
+LGTEQLCTELDSKTPTPPAPTLLKMTSSPVGPGSASAGPSLPSSTLPTNVRSIVTALVPS
+ELISAAPTTKSNHVGIASEPLAGGLVEEKVGSHPELLPSIAPSQSLVPKETPATALQGSV
+PRPELEANAAIVSGQSSEPKEIIEKSKTPSRRNSRTDEPAVASESVENGHRKRSSRPASA
+SSSTKDVTSAVQSKRRKSK
+>tr|A0A3Q1MJN7|A0A3Q1MJN7_BOVIN Family with sequence similarity 122B OS=Bos taurus OX=9913 GN=FAM122B PE=4 SV=1
+MQVSDMAQEKMELDFEPDTSDGGTLRRSNSAPLIHGLSDLSQVFQPYTFRARRNSTTVMS
+RHNLLLSTSPNRIPSSRLHQIKREEGMDIMNRETAHEREVQTAMQISQSWDESLSLSDSD
+FDKQEKLYSPKRIDFTPVSPAPSPTRGFGKQCFSPSLQMFVSSSGLPPSPVPSPRRFSTR
+RSQSPIKCIRPSVLAPLKRKGEMETESQPKRLFQGTTNMLSPEAAQLSDLSSCSDILDGS
+NSGSGLSSESLAKGSTTADAPVACSNSCSPFILMEDLSPKWCFQGEEIPALTRCVEHLQM
+NE
+>tr|A0A3Q1M978|A0A3Q1M978_BOVIN Programmed cell death 6 OS=Bos taurus OX=9913 GN=PDCD6 PE=4 SV=1
+MAAYPYRPGPGAGPAAGAALPDQSFLWNVFQRVDKDRSGVISDNELQQALSNGTWTPFNP
+VTVRSIISMFDRENKAGVNFSEFTGVWKYITDWQNVFRTYDRDNSGMIDKNELKQALSGF
+EAVRPHRSLYRHQAQVRIWAGCRPWVLWIGTWNVADLAVPVGGGLSIRGVPQRAVLGRRE
+LEAWLTGTWTL
+>tr|A0A3Q1MQ08|A0A3Q1MQ08_BOVIN Beta-soluble NSF attachment protein OS=Bos taurus OX=9913 GN=NAPB PE=4 SV=1
+MHSVKPPSSTCSCRANTTQPPALWTPGTPTRRQTPKGRFTIAAKHHITIAEIYETELVDI
+EKAIAHYEQSADYYKGEESNSSANKCLLKVAAYAAQLEQYQKAIEIFEQIGANTMDNPLL
+KYSAKDYFFKAALCHFIVDELNAKLALEKYEEMFPAFTDSRECKLLKKLLEAHEEQNSEA
+YTEAVKEFDSISRLDQWLTTMLLRIKKSIQGDGEGDGDLK
+>tr|A0A3Q1LIP1|A0A3Q1LIP1_BOVIN Solute carrier family 37 member 4 OS=Bos taurus OX=9913 GN=SLC37A4 PE=4 SV=1
+MAARGYGYYRTVIFSAMFGGYSLYYFNRKTFSFVMPSLVEEIPLDKDDLGLITSSQSAAY
+AISKFVSGVLSDQMSARWLFSSGLLLVGLVNIVFSWSSAVPVFAALWFLNGLAQGLGWPP
+CGKVLRKWFEPSQFGTWWAILSTSMNLAGGLGPILATILAQSYSWRATLALSGALCVAVS
+FLCLLLIRNEPADVGLQNLDPTPSKGKKGSSKEESTLQELLLTPYLWVLSTGYLVVFGVK
+TCCTDWGQFFLIQERGQSALVGSSYMSALEVGGLVGSIAAGYLSDRAMAKAGLSIYGNPR
+HGLLLFMMAGMTASMYLFRATVTSDSPKDTAFWTPALHPLAEITGFTENELWILVLGAVF
+GFSSYGPIALFGVIANECAPPNLCGTSHAIVGLMANVGGFLAGLPFSTIAKHYSWSTAFW
+VAEVICAASTGAFFLLRNIRTKMGRVPKKAE
+>tr|A0A3Q1N1E0|A0A3Q1N1E0_BOVIN Pleckstrin 2 OS=Bos taurus OX=9913 GN=PLEK2 PE=4 SV=1
+MEDGVLKEGFLVKRGHIVHNWKARWFILRQNTLLYYKFEGGRKVTPPKGRILLDGCTITC
+PCLEYENRPLLIKLKTQTSTEYFLEACSREERDAWAFEITGAIHAGQPGKVQQLHLLKNS
+FKLPPHISLHRIVDKMRDSSCGIRPSPNMEQGSTYKKTFIGSSLVDWLLSNGFAASRLEA
+VTLASVLMEENFLRPVGTRSMGAIRSGDLSEQFLDDSTALYTFAESCKKKISPKEEISLS
+TMELSGTVVKQGYLAKQGHKRKNWKVRRFVLRKDPAFLHYYDPSKEENRPVGGFSLRGSL
+VSALEDNGVPTGKGQLWPHPHLRVLPPAFSVMLC
+>tr|A0A3Q1LW25|A0A3Q1LW25_BOVIN Methylcrotonoyl-CoA carboxylase 2 OS=Bos taurus OX=9913 GN=MCCC2 PE=4 SV=1
+MLSFKPTFSLSSFTFIKRLFSSSSLSAIGVVSSAYLRLLIFLPPILIPPCASSSPAFLMM
+YSAYKLNKQGGGEKARARHISRGKLLPRERIDNLIDPGSPFLELSQFAGYQLYGDEEVPA
+GGVITGIGRVSGVECMIVANDATVKGGSYYPVTVKKHLRAQEIAMQNRLPCIYLVDSGGA
+NLPRQAEVFPDRDHFGRIFYNQAVMSSKSITQIAVVMGSCTAGGAYVPAMADENIIVRKQ
+GTIFLGGPPLVKAATGEEVSAEDLGGADLHCRKSGVSDYYALDDNHALHLARKIVRSLNY
+QKKMDVTIEPSEDPLFPADELYGIVGANLKRNFDVREVIARIVDGSRFNEFKALYGDTLV
+TGFARIFGYPIGIVGNNGVLFSESAKKGAHFIQLCCQRNIPLLFLQNITGFMVGRDYEAE
+GIAKDGAKMVTAVACANVPKITVIIGGSYGAGNYGMCGRAYSPRFLYLWPNARISVMGGE
+QAANVLATVAKDQRAREGKQFSSADEAALKEPIIKRFEEEGNPYYSSARLWDDGIIDPVD
+TRLVLGLSLSAALNAPIHKTNFGILRM
+>tr|A0A3Q1MJ99|A0A3Q1MJ99_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC518080 PE=4 SV=1
+MFSILGRWGASGFYQRVTEAQRCSPEKSASFFSKMTYSWFSRIIILGYKKPLEREDLFEL
+NEGDSSYIVCPIFEKQWRKEVLRTQERQKVKSSFHKEAHTRKPSLLRALWNTFKFALIQV
+ALFKVLADVLSFTSPLIMKQMILFCEQRPDFGWSGYGYALALFVVVFLQTLILQQYQRFK
+MLTSAKIKTAVIGLIYKKALLLSNVSRKQFSTGEIINLMATDTQQLMDLMTNINLLWSAP
+FQILMAVSLLWQELGPAVLAGVAVLVFVIPMNALVANRMKKLKKNQRKNKDKQIKLLNEI
+LHGIKILKLYAWEPSYKKKIIEIREQELEVQKSAGYLAVFSMLTLTCIPFLTRISLVHLE
+DFLNTEELLPHSIEANYIGDHAIGFINASFSWDKTGIPVLKDLNIKIPEGALVAVVGQVG
+SGKSSVLSAILGEMEKLKGIVQRKGSVAYVSQQAWIQNCILQENILFGSVMQKQLYERVL
+EACALLPDLEQLPNGDQTEIGEKGVNISGGQKHRVCLARAVYSGADIYLLDDPLSAVDVH
+VAKQLFEKVIGSSGMLRNKTRILVTHNLTLLPQMDLIVVMESGRVAQMGTYQEILAKTKN
+LTNLLQAFSEQETAHALKQVSVINSRTVLKDQILVQNDRPLLDQRKQFSVRKEKIPVGGV
+KFSVILKYLHAFGWLWVWLNVATCLGQNLVGTGQNLWLSTWAKEAKHMNDFTEWKQIRSK
+KLSIYGLLGLMQGLFVCSGAYVVTRGSLAASRVLHAQLLDNVLHLPLQFFETNPIGQVIN
+RFTKDMFIIDMRFHYYIRTWVNCTLDVIGTVLVIVGALPLFILGLIPLVFLYFTIQRYYM
+ASSRQIRRLAGASHSPVISHFCETLLGVSTIRAFGHEQRFIQQNKEVVNENLVCFYNNVI
+SNRWLSVRLEFLGNLMVFFTAVLTVLAGNSIDSAIVGLSISYALNITQTLNFWVRKACEI
+EANAVSIERVCEYETMDKEAPWITSKRPPSQWPSKGIVEFVDYRARYRDDLGLALQDITF
+QTHGEEKIGIVGRTGAGKSTLSNCLFRIVERSGGKIIIDGIDISTIGLHDLRGKLNIIPQ
+DPVLFSGTLQMNLDPLDKYPDHELWEVLELCHLKEFVQSLPKKLLHEISEGGENLSVGQR
+QLVCLARALLRKTKILILDEATASIDFETDNLVQTTVRKEFSDCTILTIAHRLHSIIDSD
+RVLVLDSGRITEFETPQNLIHKRGLFFDMLTEAGITQDLGAKNK
+>tr|F1MZV0|F1MZV0_BOVIN Leucine rich repeats and immunoglobulin like domains 1 OS=Bos taurus OX=9913 GN=LRIG1 PE=4 SV=2
+MARPVRGALGAPRRSPCLLLLWLLLLLQLEPASATAGPRAPCAAACTCAGDYLDCGGRGL
+AALPGDLPAWTRSLNLSYNKLSEIDPAGFEDLPNLQEVYLNNNELTAIPSLGSASSHIVS
+LFLQHNRIRSVEGRQLKAYLSLHVLDLSANNITEIRSTCFPHGLPLTELNLASNRISTLE
+SGAFDGLSRSLLTLRLSKNRITQLPVKAFKLPRLTQLDLNRNRIRLIEGLTFQGLDSLEV
+LRLQRNNISKLTDGAFWGLARMHALHLEYNSLAEVNSGSLYGLTALHQLHLGNNSISRIH
+RDGWSFCQKLHELILSFNNLTRLDEESLADLSSLSILRLSHNSISHIAEGAFRGLKSLRV
+LDLDHNEISGTIEDTSGAFTGLDSLSKLTLFGNKIKSVAKRAFSGLEGLEHLNLGENAIR
+SVQFDAFVKMKNLKELHISSDSFLCDCQLKWLPPWLLGRTLQSFVTATCAHPEALKGQSI
+FSVPPESFVCDDLPKPQIITQPETITAVVGKDVRFTCSAASSSSSPMTFAWKKDNEALAN
+ADMENFAHVRAQDGEVMEYTTVLHLRRLSFEHEGRYQCVITNHFGSSYSHKAKLTVHVFP
+SFTKMPHDIAIRTGTTARLECAATGHPNPQIAWQKDGGTDFPAARERRMHVMPDDDVFFI
+TDVKIGDMGVYSCTAQNSAGSVSANATLTVLETPSLAVPLEDRVVSVGETVALQCKAAGS
+PPPRITWLKGDRPLSLTERHHFTPGNQLLVVQNVVLEDAGRYTCEMSNALGTERAHSQLS
+VLPTPGCRKDGTTVGIFTIAVVCSIVLTSLVWVCIIYQTRKKSEEYSVTNTDETIVPPDV
+PSYLSSQGTLSDRQETMVRMEGGHQANGHLESNGVCPRDAGLFPEVEAHGVICRQPKFCV
+GFTKEPWKVVEKADGPPGPQKTMERSGPVGCSDCSADAHAYSQEPACRLQPGDSRQPGTS
+SSQEPSLSEHPHSALHPSRGPLYPSNHDRTRAALKRPAAPLDGKGASSWTLARLCEPDSL
+DLQPSSVLTAGKPELADAASGSPDVPGEGQHLLLSNGHIPQACDSGSESTPLTGQSPGRR
+STPLLFAPKS
+>tr|A0A3Q1MS74|A0A3Q1MS74_BOVIN Lethal(3)malignant brain tumor-like protein 2 OS=Bos taurus OX=9913 GN=L3MBTL2 PE=4 SV=1
+MEKPRGVEETPSSEPMEEEEEDDDLELFGGYDSFRSYNSSAGSESSSYLEESSEAEHEDR
+EAGELPTSPLHLLSPGTPRSLDGSGSEPAVCEMCGIVGTREAFFSKTKRFCSVSCSRSYS
+SNSKKASILARLQGKPPTKKAKVLHKAAWSAKIGAFLHSQGTGQLADGTPTGQDALVLGF
+DWGKFLKDHSYKAAPVSCFKHVPLYDQWEDVMKGMKVEVLNSDAVLPSRVYWIASVIQAA
+GYRVLLRYEGFENDASHDFWCNLGTVDVHPIGWCAINSKILVPPRSELMRVLPVLVPVGP
+LGEVRTAQSPPASSLPLLPHPEAPGQIQVGESRIAGLRRQKYVIQALCCSLGQTGTRQQS
+GGSLYSGFCSVSPAIHAKFTDWKGYLMKRLVGSRTLPVDFHIKMVESMKYPFRQGMRLEV
+VDKSQVSRTRMAVVDTVIGGRLRLLYEDGDSDDDFWCHMWSPLIHPVGWSRRVGHGIKLS
+ERRSDMAHHPTFRKIYCDAVPYLFKKVRAVYTEGGWFEEGMKLEAIDPLNLGNICVATIC
+KVLLDGYLMICVDGGPSTDGSDWFCYHASSHAIFPANFCQKNDIELTPPKGYEAHTFSWE
+AYLEKTKAKAAPSRLFNMDCPNHGFKVGMKLEAVDLMEPRLICVATVKRVVHRLLSIHFD
+GWDSEYDQWVDCESPDIYPVGWCELTGYQLQPPVATEPTTPLKAKEATKKKKKQFGKKRK
+RIPPAKTRPLRQGSKKALLEEDLQAAAKAPSEPAPDESKPPGAGRRLPAQRPVASFPTWH
+RRAESGLKGIFWALRNLSQTTGPGGLPRHHSPSSEWSQAPAWPSPPPAPALSRVGCLPGW
+WDRALGLGCCLPHRWEGALPTTPKGPCL
+>tr|E1BCW0|E1BCW0_BOVIN HGF activator OS=Bos taurus OX=9913 GN=HGFAC PE=3 SV=3
+MGCWARVASPCPPPGLALLLLLLLLPRGAQLQSARSQREPPGHNGTMTPVTPVTSVTPST
+AAVGAPQTEGPPGGGLTPLPRGAPSNSPGGTVLTEAGQPCSFPFRYGGRMLHSCTTEGGA
+HRKWCATTHNYDRDRAWGYCVQDPAPRGGPALRDPCASGPCLHGGSCSSTQDPESHHCTC
+PAAFTGQDCGTEKCFDESRYEYLEPGERWARVHQGRVEQCECAGGQIRCEGTRHTACLSS
+PCLNGGSCHLIVATGTTVCACPPDYAGRLCNIVPAQRCFVGTGTEYRGVASTAASGLSCL
+AWNSDLLYQELHVDSVGAAALLGLGPHAYCRNPDKDERPWCYVVKDSALSWEYCRLAACE
+SLARIQPPPTEALLTLPGPTAAGPAGRQTCGKRHKKRTFLRPRIIGGSSSLPGSHPWLAA
+IYIGNNFCAGSLVHTCWVVSAAHCFSNSPPRESVSVVLGQHFFNRTTDVTQTFGIEKYIP
+YPLYSVFNPSDHDLVLIRLEKKGERCAVRSQFVQPICLPEPGSSFSPGHKCQIAGWGHQD
+ENVSGYSPSLREALVPLVADHKCSSPEVYGADISPNMLCAGYFDCRSDACQGDSGGPLAC
+EKNGVAYLYGIISWGDGCGRLNKPGVYTRVANYVDWINDRIRPNKRPASPS
+>tr|A0A3Q1LRE2|A0A3Q1LRE2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MKLCYLLPKVKSTLKIPADKHLLQQLEMCVRKLLCQEKDKDVLAIVKRTVLELDRMEMSM
+DAFQKKFYEKDLLDQEKEREELLLLEMEQLEKEKQQNDGRPMSDKTFEKKRRDSKTPTSL
+PKNIPISVPGSSSATPSTSKEIKKSKLIRSQSFNNQAFHAKYGNLDKCTTSKSSTAAYTP
+SVSGLSKTSMISLTGK
+>tr|A0A3Q1NFY2|A0A3Q1NFY2_BOVIN Ankyrin repeat domain 52 OS=Bos taurus OX=9913 GN=ANKRD52 PE=4 SV=1
+MGILSITDQPPLVQAIFSRDVEEVRSLLSQKENINVLDQERRTPLHAAAYVGDVPILQLL
+LMSGANVNAKDTLWLTPLHRAAASRNEKVLGLLLAHSADVNARDKLWQTPLHVAAANRAT
+KCAEALAPLLSSLNVADRSGRSALHHAVHSGHLETVNLLLNKGASLNVCDKKERQPLHWA
+AFLGHLEVLKLLVARGADLGCKDRKGYGLLHTAAASGQIEVVKYLLRMGAEIDEPNAFGN
+TALHIACYLGQDAVAIELVNAGANVNQPNDKGFTPLHVAAVSTNGALCLELLVNNGADVN
+YQSKEGKSPLHMAAIHGRFTRSQILIQNGSEIDCADKFGNTPLHVAARYGHELLISTLMT
+NGADTARRGIHDMFPLHLAVLFGFSDCCRKLLSSGQLYSIVSSLSNEHVLSAGFDINTPD
+NLGRTCLHAAASGGNVECLNLLLSSGADLRRRDKFGRTPLHYAAANGSYQCAVTLVTAGA
+GVNEADCKGCSPLHYAAASDTYRRAEPHSPSSHDAEEDEPLKESRRKEAFFCLEFLLDNG
+ADPSLRDRQGYTAVHYAAAYGNRQNLELAYNGHCEALKTLAETLVNLDVRDHKGRTALFL
+ATERGSTECVEVLTTHGASALIKERKRKWTPLHAAAASGHTDSLHLLIDSGERADITDVM
+DAYGQTPLMLAIMNGHVDCVHLLLEKGSTADAADLRGRTALHRGAVTGCEDCLAALLDHD
+AFVLCRDFKGRTPIHLASACGHTAVLRTLLQAALSTDPLDTGVDYSGYSPMHWASYTGHE
+DCLELLLEHSPFSYLEGNPFTPLHCAVINNQDSTTEMLLGALGAKIVNSRDAKGRTPLHA
+AAFADNVSGLRMLLQHQAEVNATDHTGRTALMTAAENGQTAAVEFLLYRGKADLTVLDEN
+KNTALHLACSKGHEKCALMILAETQDLGLINATNSALQMPLHIAARNGLASVVQALLSRG
+ATVLAVDEEGHTPALACAPNKDVADCLALILSTMKPFPPKDAVSPFSFSLLKNCGIAAAK
+TVGGCGALPHGASCPYSQERHGAIGLDGCYSE
+>tr|F1MQ73|F1MQ73_BOVIN Unc-5 netrin receptor A OS=Bos taurus OX=9913 GN=UNC5A PE=4 SV=3
+MAVLSGLWPALLGIVLAAWLRGSGAQQSATVANPVPNPVPGSNPDLLPHFLVEPEDVYIV
+KNKPVLLVCKATPATQIFFKCNGEWVRQVDHVIERSTDGSSGLPSMEVRINVSRQQVEKV
+FGLEEFWCQCVAWSSSGTTKSQKAYIRIAYLRKNFEQEPLAKEVSLEQGIVLPCRPPEGI
+PPAEVEWLRNEDLVDPSMDPNVYITREHSLVVRQARLADTANYTCVAKNIVARRRSASAA
+VIVYVNGGWSTWTEWSVCSASCGRGWQKRSRSCTNPAPLNGGAFCEGQNVQKTACATLCP
+VDGSWSPWSKWSACGLDCTHWRSRECSDPAPRNGGEECQGTDLDTRNCTSDLCVHTASGP
+EDVALYVGLIAVAVCLLLLLLVLILVYCRKKEGLDSDVADSSILTSGFQPVSIKPSKADS
+PHLLTIQPDLSTTTTTYQGSLCPRQDGPSPKFQLTNGHLLSPLGSGRHTLHHSSPTSEAE
+DFVSRLSTQNYFRSLPRGTSNMAYGTFNFLGGRLMIPNTGISLLIPPDAIPRGKIYEIYL
+TLHKPEDVRLPLAGCQTLLSPIVSCGPPGVLLTRPVILTMDHCGEPSPESWSLRLKKQSC
+EGSWEDVLHLGEEAPCHLYYCQLEAGACYVFTEQLGRFALVGEALSVAAAKRLKLLLFAP
+VACTSLEYNIRVYCLHDTHDALKEVVQLEKQLGGQLIQEPRVLHFKDSYHNLRLSIHDVP
+SSLWKSKLLVSYQEIPFYHIWNGTQQYLHCTFTLERVSPSTSDLACKVWVWQVEGDGQSF
+NVNFNITKDTRFSELLVLESEGGVPALVGPSAFKIPFLIRQKIITSLDPPCSRGADWRTL
+AQKLHLDSHLSFFASKPSPTAMILNLWEARHFPNGNLSQLAAAVAGLGQPDAGLFTVSEA
+EC
+>tr|A0A3Q1N4K2|A0A3Q1N4K2_BOVIN COMM domain-containing protein 3 OS=Bos taurus OX=9913 GN=COMMD3 PE=4 SV=1
+ILLILLEFFTKWKYLFRNRTIKNLLSQTSLAITFIIDHPDLKHIDPVVLKHCHAAAATYI
+LEAGKQRADRSTLSTYLEDCKFDSERIELFWTEYQNNRNSLEILLGSIGRSLPHITDVSW
+RLEYQIKTNQLDKMYRPAYLVTLNVENTDSRSHPEISFSCNMEQLQDLVGKLKDASKSLE
+RATQL
+>tr|A0A3Q1N5L1|A0A3Q1N5L1_BOVIN Rhotekin OS=Bos taurus OX=9913 GN=RTKN PE=4 SV=1
+MFSRNHRSRVTVARGSALEMEFKRGRFRLSLLSDPPEDTELQRKLDHEIRMREGACKLLA
+ACSQREQALEATKSLLVCNSRILNYMGELQRRKEAQVLKKTGRTTTPAAGILCPVIRSPD
+TEYFKNKGDLHRWAVFLLLQIGEHIQDTEMILVDRTLTDISFQNNVLFAEAGPDFELRLE
+LYGACVEEEGALAGAPKRLATKLSSSLGRSSGRRVRASLETAGGSGSSPILLPTPAVGGP
+RYHLLAHTTLTLAAVQDGFRTHDLTLATPEESPAWLPLYGSMCCRLVAQPLCMTQPTASG
+TLRVQQAGEPRDWVQVHGVLKGTNLFCYRQPEDTDTGDEPLFTIAINKETQVRAGELDQA
+ASWPFTLSISNRYGEEEVTHTLQAESRGALQSWMEALWQLFFDMSQWKQCCDEIMKIETP
+APRKPPQVLAKQGSLYHEMAIEPLDDIAAVTDILAQREGARLETPPPWLAVFTDQPALPG
+PCSPASVAPAPARIHSLPWGRPRTFSLDAVPSDHSPGASRLVAPLPLQRSPRSRGLCSKG
+PPHTWLQSPV
+>tr|A0A3Q1MT06|A0A3Q1MT06_BOVIN NPC1 like intracellular cholesterol transporter 1 OS=Bos taurus OX=9913 GN=NPC1L1 PE=4 SV=1
+MAPGSHEVYTPIHQPGYCAFYDECGKNPELSGSLASLSNVSCLDNSPARHITGDHLALLQ
+SICPRLYTGASTTYACCSSKQLVALDMSLRITKALLTRCPACSDNFVSLHCHNTCSPNQS
+LFINVTRVVTQGDSQSQAVVAYEAFYQRSFAEQTYNSCSRVRIPAAATLAVGSMCGVYGS
+ALCNAQRWLNFQGDTSNGLAPLDITFHLWEPSQAEGSTIQPLNDEVVPCNQSQGDGAAAC
+SCQDCAASCPVIAQPRALDPTFRLGRMEGSLVLIIILCSLFVLLTAFLLRSRLAEWCRGK
+RKTPKPKASINLAHRLSLSTHTLLSRCFQCWGTWVASWPLTILAVSVIVVVALAGGLAFI
+ELTTDPVELWSAPNSLARREKAFHDKYFGPFFRTSQVFMTAPHRPSYRYDSLLLGPKNFS
+GILSSDLLLEVLELQERLRHLQVWSPEEQRNVSLRDTCYAPLNPHNASLSDCCVNSLLQY
+FQNNRTQLLLTANQTLSGQTAQVDWRDHFLYCANAPLTYKDGTALALSCMADYGAPIFPF
+LAVGGYKGKDYSEAEALIMTFSLNNYPPGDPKLAQAKLWEAAFLEEMRAFQRRTAGVFQV
+TFMAERSLEDEINSTTAEDLPVFAVSYLVIFLYISLALGSYSSWRRVPVDSKATLGLGGV
+AVVLGAVVASMGFFSYLGVPSSLVILQVVPFLVLAVGADNIFIFVLEYQRLPRRPGEERE
+AHIGRALGRVAPSMLLCSLSEAICFFLGALTPMPAVRTFALTSGFAVLLDFLLQMSAFVA
+LLSLDSRRQEASRMDICCCKTAQKLPPPSQDEGLLLRFFRKFYVPFLLHWLTRVVVVLLF
+LALFAASLYFMCYINVGLDQQLALPKDSYLIDYFLFMNRYFEVGAPVYFVTTGGYNFSSE
+EGMNAICSSAGCNNFSLTQKIQYATDFPDMSYLAIPASSWVDDFIDWLTSSSCCRLYISG
+PNKDEFCPSTVNSLACLKTCVSPTAGSARPSVEQFHKYLPWFLSDEPNIKCPKGGLAAYS
+TSVNMSSDGQILASRFMAYNKPLKNSRDFTEALRATRALAANITADLRKVPGTDPDFEVF
+PYSVTNVFYEQYLTIVPEGLFMLTICLVPTFVVCCFLLGMDVRSGLLNLFSIIMILVDTV
+GFMMLWDISYNAVSLINLVTAVGISVEFVSHITRSFAISTKPTRLERAKEATISMGSAVF
+AGVAMTNLPGILVLGLAKAQLIQIFFFRLNLLITVLGLLHGLVFLPVILSYLGPDVNPAL
+VQQQKQQEEAAAAKETSCSKHPAQMSTDYGVYVNCSFEHPAKSVGGLESSPSENRQKF
+>tr|A0A3Q1M5Z5|A0A3Q1M5Z5_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC788196 PE=4 SV=1
+MVSSCCGSICSNQSCGQNLCQETCCSPSCCQTTCCRTTCCRPSCGVSSCRRPICCQTTCR
+PSCAVSSCCRPICCQTTCRPSCGVSSCCRPVCCQTTCRPSCGVSSCCRPVCCQTTCCRTT
+CCRPSCGGSFC
+>tr|E1BNC2|E1BNC2_BOVIN BTB domain-containing protein OS=Bos taurus OX=9913 GN=KCTD3 PE=4 SV=3
+MAGGHCGSFAAAAAGSGEIVQLNVGGTRFSTSRQTLMWIPDSFFSSLLSGRISTLRDETG
+AIFIDRDPAAFAPILNFLRTKELDLRGVSINVLRHEAEFYGITPLVRRLLLCEELERSSC
+GSVLFHGYLPPPGIPSRKINNTTRSSADSRNGLNFTEGEARGNGTQPVLSGTGEETVRLG
+FPVDPRKVLIVAGHHNWIVAAYAHFAVCYRIKESSGWQQVFTSPYLDWTIERVALNAKVV
+GGPHGDKDKMVAVASESSIILWSVQDGGSGSEIGVFSLGVPVDALFFIGNQLVATSHTGK
+VGVWNAVTQHWQVQDVVPITSYDTAGSFLLLGCNNGSIYYIDMQKFPLRMKDNDLLVTEL
+YHDSSNDAITALSVYLTPKTSVSGNWIEIAYGTSSGAVRVIVQHPETVGSGPQLFQTFTV
+HRSPVTKIMLSEKHLVSVCADNNHVRTWTVTRFRGMISTQPGSTPLASFKILSLEETESH
+GSYSSGNDIGPFGERDDQQVFIQKVVPITNKLFVRLSSTGKRICEIQAVDCTTISSFTVR
+ECEGSSRMGSRPRRYLFTGHTNGSIQMWDLTTAMDMVNKSEDKDVGGPTEEELLKLLDQC
+DLSTSRCATPNISPATSVVQHSRLRESSSSLQLQHHETIHEAATYGSIRPYRESPLLARA
+RRTESFHSYRDFQTVNLNRNIERAVPENGNLGPIQAEVKRAAGECNVSERKSPGTEVKSL
+RESDSVVEVHRLAEGFLESKKRSSEDENENKVESRKKGGFEGGGPRKKESPSLGIFTKYV
+>tr|A0A3Q1MCT3|A0A3Q1MCT3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC513508 PE=3 SV=1
+KLANHQLVLRKKPPGTLLPSVHAVEREFSSALTNGLPHHRPLKYPACVPPSIVANPFYLM
+EYCPGLINKDPSPPGLEPSQRRAIYIAMNRVLCKIHSVDLKAVGLEDCGKHGEHGAPCLL
+WLFVGVVLTGFLCFCLGQASSVTVKQTGKLTEFMCNLAWDFPIKEGFQVFKEMLATKPLM
+GSHHSWSRPQSLLSTPGMRSYVTSTDSSPAHASKGALIFSPEGLPPRVRELYQQLKEFME
+RHVYPAELELQRHQVSAERWTPSPLVEDLKEKAKAEGLWNLFLPLETDPEKKYGAGLTNV
+EYAHLCEVMGLCAFSPFQIFNCSAPDTGNMELLVRYGTEEQKARWLIPLLEGKARSCFAM
+TEPQVASSDATNIESSIREEDGFYVINGHKWWITGILDPRCQLCVFMGKTDPHAPRHQQQ
+SMFLVPMDTPGIKIIRPLRVYGLEDAPGGHGEVLFEQVRVPKENIILGPGRGFEILLGRL
+GSGRIHHCMRLIGCSERVLALMKARAKSRVAFGKPLVEQGMVLADIALSRVEIEQARLLV
+LKAAHVMDVAGNKAAALDIAMIKMVAPSMASRVIDRAIQVFGAAGLSSDHPLAQFFVGVR
+VLRLADQMHQRSVAKMELKHRS
+>tr|E1B9Z7|E1B9Z7_BOVIN MACPF domain-containing protein OS=Bos taurus OX=9913 GN=BRINP2 PE=4 SV=3
+MRWQSSARFRGLRPVATAPWATLLALGLPGWVLAVSATAAAVVPEHHASTAGQPPLDWLL
+TDRGPFHRAQEYTDFVERYRQGFTTRYRIYREFARWKVNNLALERKDFFSLPLPLAPEFI
+RNIRLLGRRPNLQQVTESLIKKYGTHFLLSATLGGEESLTIFVDKRKLSRKSETAGSASV
+IGGSGNSSAVSLETLHQLAASYFIDRESTLRRLHHIQIATGAIKVTETRTGPLGCSNYDN
+LDSVSSVLVQSPENKVQLLGLQVLLPEYLRERFVAAALSYITCSSEGELICKGNDCWCKC
+SPTFPECNCPDADIQAMEDSLLQIQDSWATHNRQFEESEEFQALLKRLPDDRFLNSTAIS
+QFWAVDTSLQHRYQQLGASLRVLFKKTHRIVRRLFNLCKRCHRQPRFRLPKERSLPYWWN
+RIQSLLYCGESTFPGTFLEQSHSCTCPYDQSSCQGPIPCALGEGPACAHCAPDNSTRCGS
+CNPGYVLAQGLCRPEVAESLENFLGLETDLQDLELKYLLQKRDSRIEVHSIFISNDMRLG
+SWFDPSWRKRMLLTLKSNKYKPGLVHVMLALSLQICLTKNSTLEPVMAIYVNPFGGSHSE
+SWFMPVSEGNFPDWERTNVDAAAQCQNWTITLGNRWKTFFETVHVYLRSRIKSLDDNSNE
+TIYYEPLEMTDPSKNLGYMKINTLQVFGYSLPFDPDAIRDLILQLDYPYTQGSQDSALLQ
+LIELRDRVNQLSPPGKVRLDLFSCLLRHRLKLANNEVGRIQSSLRAFNSKLPNPVEYETG
+KLCS
+>tr|E1BKI9|E1BKI9_BOVIN ADP ribosylation factor guanine nucleotide exchange factor 2 OS=Bos taurus OX=9913 GN=ARFGEF2 PE=4 SV=3
+MQESQTKSMFVSRALEKILADKEVKRPQHSQLRRACQVALDEIKAEIEKQRLGAAAPPKA
+NFVEADKYFLPFELACQSRSPRVVSTSLDCLQALLTAVTSPHIEIHEGTILQTVRTCYNI
+YLASKNLINQTTAKATLTQMLNVIFTRMENQVLQEARELEKPIHSKPQSPVIQAAAGSPK
+FNRLKQSQAQSKPTTPEKTDLTNGEHARSGSAVITENGHAPRERGPSLSGTEDGAQEVVK
+EILEDVVTSAVKEAAQKHGLTEPERVLSELECQERAAPPAADENSQTNGIADDRQSLSSA
+DNLESDAQGHPVAARFSHILQKDAFLVFRSLCKLSMKPLGEGPPDPKSHELRSKVVSLQL
+LLSVLQNAGPVFRTHEMFITAIKQYLCVALSKNGVSSVPDVFELSLAIFLTLLSNFKMHL
+KMQIEVFFKEIFLNILETSTSSFEHRWMVIQTLTRICADAQCVVDIYVNYDCDLNAANIF
+ERLVNDLSKIAQGRSGHELGMTPLQELSLRKKGLECLVSILKCMVEWSKDLYVNPNHQTS
+LGQERPVDQEMGDLARRSSVTSMESTVSSGTQTTVQDDPEQFEVIKQQKEMIEHGIELFN
+KKPKRGIQYLQEQGMLGTSVEDIAQFLHQEERLDSTQVGDFLGESMKFNKEVMYAYVDQL
+DFCEKEFVSALRTFLEGFRLPGEAQKIDRLMEKFAARYIECNQGQTLFASADTAYVLAYS
+IIMLTTDLHSPQVKNKMTKEQYIKMNRGINDSKDLPEEYLSSIYEEIEGKKIAMKETKEH
+TIATKSTKQSVASEKQRRLLYNLEMEQMAKTAKALMEAVSHAKAPFTSATHLDHVRPMFK
+LVWTPLLAAYSIGLQNCDDTEVASLCLEGIRCAIRIACIFGMQLERDAYVQALARFSLLT
+ASSSITEMKQKNIDTIKTLITVAHTDGNYLGNSWHEILKCISQLELAQLIGTGVKTRYLS
+GSGREREGSLKGHTLAGEEFMGLGLGNLVSGGVDKRQMASFQESVGETSSQSVVVAVDRI
+FTGSTRLDGNAIVDFVRWLCAVSMDELASPHHPRMFSLQKIVEISYYNMNRIRLQWSRIW
+HVIGDHFNKVGCNPNEDVAIFAVDSLRQLSMKFLEKGELANFRFQKDFLRPFEHIMKKNR
+SPTIRDMVIRCIAQMVNSQAANIRSGWKNIFAVFHQAASDHDGNIVELAFQTTGHIVTTI
+FQHHFPAAIDSFQDAVKCLSEFACNAAFPDTSMEAIRLIRFCGKYVSERPRVLQEYTSDD
+MNVAPGDRVWVRGWFPILFELSCIINRCKLDVRTRGLTVMFEIMKSYGHTFEKHWWQDLF
+RIVFRIFDNMKLPEQQSEKSEWMTTTCNHALYAICDVFTQFYETLNEVLLSDVFAQLQWC
+VKQDNEQLARSGTNCLENLVISNGEKFSPDVWDETCNCMLDIFKTTIPHVLLTWRPVGME
+DDPAEKHLDVDLDRQSLSSIDKNASERGQSQLSNPTDDGWKARPYANQKLFASLLIKCVV
+QLELIQTIDNIVFYPATSKREDAEHMAAAQQDTLDTDIHIETEDQGMYKYMSSQHLFKLL
+DCLQESHSFSKAFNSNYEQRTVLWRAGFKGKSKPNLLKQETSSLACCLRILFRMYVDENR
+RDSWEEIQQRLLSVCSEALAYFITVNSESHREAWTSLLLLLLTKTLKINDEKFKAHASMY
+YPYLCEIMQFDLIPELRAVLRKFFLRIGVVYKIWIPEEPSQVPGSLSPIW
+>tr|E1B8P9|E1B8P9_BOVIN Mitogen-activated protein kinase OS=Bos taurus OX=9913 GN=MAPK3 PE=3 SV=2
+MPGLSSNQVTFSFHICERGHMCEEATSHGYYEISIVSLSIGPGSRRFHLKPRATPRAEWG
+QRGHVAWSGSRLQHSFARWLWVRSPGRPTGQHSVRGWGEGLDSLETALGSFYVDWSRRGR
+QLQYIGEGAYGMVSSAYDHVRKTRVAIKKISPFEHQTYCQRTLREIQILLRFRHENVIGI
+RDILRAPTLEAMRDVYIVQDLMETDLYKLLKSQQLSNDHVCYFLYQILRGLKYIHSANVL
+HRDLKPSNLLINTTCDLKICDFGLARIADPEHDHTGFLTEYVATRWYRAPEIMLNSKGYT
+KSIDIWSVGCILAEMLSNRPIFPGKHYLDQLNHILGILGSPSQEDLNCIINMKARNYLQS
+LPSKTKVAWAKLFPKSDPKALDLLDRMLTFNPNKRITVEEALAHPYLEQYYDPTDEPVAE
+EPFTFDMELDDLPKERLKELIFQETARFQPGVLEAS
+>tr|A0A3Q1MII0|A0A3Q1MII0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+SHPGPQSLLLGGEALEEGPLSQRSRGPGACKPGRPGCCQGSSQPMCPSTEGGARPAGSTY
+PNSSEPTESSVSCQVVNKKVCKLDRVRINRNDDKPVRLTRDMGDTFNATVILFCPIQEYY
+YWGWSIYSVPYVGAVPDWTKPLEKPPVKFSRGFFVVTIPPYSIPWGVYLFNFSVVVRTRD
+PQVPGKNDSDRIYVVIFRRPLNAVISGPSNITINFTDGVTLNGNMSSDPEETDPLEKERL
+KFLWYCTTNPRNYDGKKITVISKEVCLPEQVDLKWTWASGPILTLYPETLQGGRVYFFRL
+VIQKTGRSAFADATVHVLQGEPVASISCIENCDQVLVLSERFSLSLDCTGCTAGRDVYRW
+SILTSSGQEVPLDWTGQTSTGRNGAYVSIKAFAFWNFREDKFWISLNAATWSGVTLVLRY
+PFIIHHVRITTDCKIVPEKGISFITQFVVICTHFKHKNIVLTYKIIVPDVHGFGEISSLK
+ENNFGSILYLGKNSTSPPSFLPVGVLDSHYALKIIAQAYNTSLGAFSQVNLYATVRPPTD
+VKSSPTVLEELSNFTVGPNSSLSTLLQQQDFLNASYLIYVVASVLNSMKTDASLQADKIK
+LREHLFNQTLILPINTLVNISQVVMTITKLTEKTSEISAFSQKLATVRTWQASQALQDSH
+QRDKSISSEQIESVCTGILTTLSNILKLLVHYEVFEEPFHVVESLADTVLAVKVPENETT
+ALRTSNFKMYVKKTEKWNVTKFFSTQKHCQNCFYPSLNVNSVPSLPASAPISTMFCEFAD
+DPFPWLNYGENILTQVVGFRMTGVEATGDVIEIPPDAVEVHLIRKNLSFGSFNLTVGPSS
+EPYAVDESATKTTGAFSFVVDGTAGRDVLIHIMTDVSVLFTVSVYAGREITPNSFMTSYL
+VPHKIPPIANESDLFDPECAVKEARVVCLPAALVQVIAQRTDSSECTIAVVLQAPRFVLK
+PNNKLVRISVFSITCLNMFGIQSDWREDTCVVGEKTTWQRVHCICKNPRRAKRQLDIIKQ
+ANLRLHTHYLTAKVIVVPNSVDLQLEAVKNVTQNPVTLFTVLLIMLLYLILAFWALHRDE
+MDQYLREHVIVLLDNDPYDNVCYLVTVFTGSRCGSGTRANVFIQLHGTEGSSDVHCLSHP
+QFTTLYRGSICTFLLATKKDLGDIHSLRVWHNNEGRSPEWYLSRIKVENLFSRHIWLFMC
+REWFSIESSLDRTFQVMPPDKPLKKMDFFLIDINYKLGRNHLWFSVFSGVISTPFNRLQR
+LSCCLAMLLSTLLCNIMFFNLEKEMEAEPQEQRYIRSMVIGLESAFITLPVQLVITYLFI
+YSQRRPLISLHEITPRKHPLKPAANEHWEERLGKWHAYEIDKAHSQEPEKDVSSTQRKVS
+STPRKVTDTPRKASSTQRKTSKKPRIVLPRCCISVAWLLVFLTCALSSYFIIFYGLTYGL
+EKSIAWLFASFCAFTLSVFLVQPSKIILMSGYRTSTAKYSKNLSWISNYHFTEIKLQNLW
+KDPEEMDRRHQFVMELRNSRMYQPLTQDEITIFQRKKRIKRRAFLFLSYILTHFIFLALL
+LSLVAVLRPTDSFYYNQFIRDQFSVDLAGVTRLEDIYQWLNRVLLPLLHNDPNPTFFPDS
+SSKILGLPLMRQVRAQPGEIMCLPAKKFVEGSLKGEIRCHPEYGIDPEDTKNYSGSWNRV
+SKRDTDKTTRGFTYRPPEKRWAYSSYGLLHTYGSGGYAFYFFPAEQQFNSTLRLSELQKS
+HWLDEKTWSVIVELTTFNPDISLLCSISVIFEVSQLGVVNTSLNAHSFLLTHLNRKYPEE
+IYLYVAIYLFFLAYIADEVNVITDERTAYLQSVYNLLNFALKCIFTLWIILFFRKHFLAI
+GVVRAYWSNPEDFIPFHAVAQVDHTMRVILGFLVFLTILKTLRYSRVFYNVRLAQRAIQT
+ALPGICHMALVVSVYFFVFMAFGYLVFGQHEWNYSDMIHATQTMFSYCVSAFENTEFFNN
+RVLGVLFLSSFMLVMICILINLFRAVILSAYEEMKQPVYEEPSEEVEAMTYLCRCLQSAF
+CCLCFKPRAEDDPRFFINMVYGQPEKNSRRYLGLKTRNINGKKMVYLVV
+>tr|E1B7Y9|E1B7Y9_BOVIN Speckle type BTB/POZ protein like OS=Bos taurus OX=9913 GN=SPOPL PE=4 SV=3
+MVKYSPVWDIAYILEDCNKVAMSREPTPPLSGDMSIGPIAESWCYTQVKVVKFSYMWTIN
+NFSFCREEMGEVLKSSTFSSSPSDKMKWCLRVNPKGLDDESKDYLSLYLLLVSCPKSEVR
+AKFKFSLLNAKREETKAMESQRAYRFVQGKDWGFKKFIRRDFLLDEANGLLPDDKLTLFC
+EVSVVQDSVNISGHTNTNMLKVPECRLAEDLGNLWENTRFTDCSFFVRGQEFKAHKSVLA
+ARSPVFNAMFEHEMEESKKNRVEINDVDPEVFKEMMRFIYTGKAPNLDKMADNLLAAADK
+YALERLKVMCEEALCSNLSVENVADTLVLADLHSAEQLKAQAIDFINSQAADIMETSGWK
+SMIHSHPHLVAEAFRALASAQCPQFGIPRKRLKQS
+>tr|E1BGN3|E1BGN3_BOVIN Histone H3 OS=Bos taurus OX=9913 GN=HIST2H3D PE=3 SV=1
+MTRTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRYRPGTVALREIRRYQKSTE
+LLIRKLPFQRLVREIAQDFKTDLRFQSSAVMALQEASEAYLVGLFEDTNLCAIHAKRVTI
+MPKDIQLARRIRGERA
+>tr|A0A3Q1MY26|A0A3Q1MY26_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC782152 PE=3 SV=1
+SECFKHTLLLFSGLFVLDGFEGGPQTQALLFALFLALYVVAVLGNITMMVVITLDARLHS
+PMYFFLKNLSFVDLCYSSVIAPKALVNFLSSSKVITFEGCIIQFFFFALLGTTEALLLAV
+MAYDRFVAVCSPLHYTITMCPSVCARLVLVSYCGGCLNSIMQASFTFTLPFCSSNHINHF
+FCDVPPLLKLACADTTTNELVMFGLCGFFIVDTTLVVLVSYGYITVTILRMRSGGGRHKL
+FSTCGSHMTAVSLFYGTLFVMYAPPGAVESMEQGKVVSVIYTLVIPMLNPLIYSLRNKDV
+KDALWRLGQRHTATRRMIARETVS
+>tr|E1BFU2|E1BFU2_BOVIN USH1 protein network component sans OS=Bos taurus OX=9913 GN=USH1G PE=4 SV=2
+MNDQYHRAARDGYLELLKEATRKELNAPDEDGMTPTLWAAYHGNLESLRLIVSRGGDPDK
+CDIWGNTPLHLAAANGHLHCLSFLVSFGANIWCLDNDYHTPLDMAAMKGHMECVRYLDSI
+AAKQSSLSPKLVGKLKDKAFREAERRIRECAKLQRKHHERMERRFRRELAERADSLSFSS
+LTSSTLSGRLQHLALAGHLPYSQATLHGTAKAGKTKIQRKLERRKQGGEGTFKISEDGRK
+SVRSLSGLQLGSDVMFVRQGTYANPKEWGRAPLRDMFLSDEDSVSHATLAAEPAHSEVST
+DSGHDSLFTRPGLGTMVFRRNYLSSGLHGLGREDAALDGAGPPRGRLQSSTSLDDDSLGS
+ANSLQDRSCGEELPWDELDLGLDEDLEPETSPLETFLASLHMEDFTSLLRQEKIDLEALM
+LCSDLDLRSISVPLGPRKKILGAVRRRRQALERPPALEDTEL
+>tr|A0A3Q1MAP8|A0A3Q1MAP8_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MSLYPSLEDLKVDNVIQAQTAFSANPANPAILSEASAPISQDGNLYPKLYPELSQYMGLS
+LNEEEIHVNMALVPGAPNNGIFVQLVQANSPSSLVGLRFGDQVLQINGKNCAGWSSDRAH
+KVLKQAFGEKITMTIRDRPFERTITMHKDSTGHVGFVFKNGKIISIVKDSSAARNGLLTE
+HNISEVNGQNVIGLKDSQIADILSTAGNIVTITIIIMKSLMDHTIPEV
+>tr|A0A3Q1MII7|A0A3Q1MII7_BOVIN DNA annealing helicase and endonuclease ZRANB3 OS=Bos taurus OX=9913 GN=ZRANB3 PE=4 SV=1
+MSRGHNIKKSLTPQISCSTSESYKQLDFLPDKLRAKLLPFQKDGITFALRRDGRCMVADE
+MGLGKTVQAIGIAYFYKEEWPLLIVVPSSLRYPWTEEIEKWIPELSPEEINVIQNKTDVG
+RISTSKVTVLGYGLLTTDAETLIDALNNQNFKVVIVDESHYMKSRSATRSRILLPIVQKA
+KRAILLTGTPALGRPEELFMQIEALFPQKFGTWTEYAKRYCNAHVRYFGRRSQWDCRGAS
+NLNELHQLLSDIMIRRLKTEVLTQLPPKIRQRIPFDLPSAAAKELNSSFEEWEKLMRDPY
+SGATETVMGLITRMFKQTAIAKAGAVKDYIKMMLQNDSLKFLVFAHHLSMLQACTEAVIE
+NKTRYIRIDGSVPSSERIHLVNQFQKDPETRVAILSIQAAGQGLTFTAATHVVFAELYWD
+PGHIKQFCEYSLPYCKWDSRHPYVGNVESKHTEWEEGKTSGRGG
+>tr|A0A452DHW8|A0A452DHW8_BOVIN Methylthioribose-1-phosphate isomerase OS=Bos taurus OX=9913 GN=MRI1 PE=3 SV=1
+MRLLPGRPRPLRVFRLRPRCERAPGARRKAVSATVDSMTLEAIRYSRGSLQILDQLLLPQ
+QSRYEAVGSVRQAWEAIRAMKVRGAPAIALVGCLSLAVELQAGAGGPGLAALVAFVQDAL
+SFLVTARPTAVNMARAARDLADLAAQEAEREGATEEAVRERVICWAEDMLDKDLRDNRSI
+GDLGAHHLLKRAAPQGGKVTVLTHCNTGALATAGYGTALGVIRSLHNLGRLEHAFCTETR
+PYNQGARLTAFELVYEQIPATLIADSMAAAAMAHQGVSAVVVGADRVVANGDTANKVGTY
+QLAIAAKHHGIPFYVAAPSSSCDLRLETGREIVIEERPDQELTDVNGVRIAAPGIGVWNP
+AFDVTPHDLITGGIITELGVFAPEELQAALSATIS
+>tr|A0A3Q1NFD9|A0A3Q1NFD9_BOVIN Transmembrane and coiled-coil domain family 2 OS=Bos taurus OX=9913 GN=TMCC2 PE=4 SV=1
+VKRAEERKSVDKGDFLALNLAGGPGHGDADGPISLDVPDGAPDPQRTKAAIEHLHQKILK
+ITEQIKIEQEARDDNVAEYLKLANNADKQQASRIKQVFEKKNQKSAQTIAQLHKKLEHYR
+RRLREIEQNGPSRQPKDVLRDMQQGLKDVGANVRAGISGFGGGVVEGVKGSLSGLSQATH
+SAVVSKPREFASLIRNKFGSADNIAHLKDPLDDGPPEEAARALSGSATLVSSPKYASDDE
+CSSASASSAGAGSNSGAGPAAAAPGSPKSGQLYGAPGNVDAVLEELREIKEGQSHLEDSM
+EDLKAQLQRDYTYMTQCLQEERYRYERLEEQLNDLTELHQNEMTNLKQELASMEEKVAYQ
+SYERARDIQEAVESCLTRVTKLELQQQQQQVVQLEGVENANARALLGKFINVILALMAVL
+LVFVSTVASFITPLMKTRLRVSSTALLALVLLLLWKHWDSLTYLLEHVLLPS
+>tr|A0A452DIW2|A0A452DIW2_BOVIN Peptidase A1 domain-containing protein OS=Bos taurus OX=9913 GN=PAG5 PE=3 SV=1
+MKWLVLLGLLTSSECIVIIPLTKVKTMRKTLSEKNMLNNFLKEQAYRLSQISSRGSNITI
+HPLRNIMDMVYVGKITIGTPPQEFQVVFDTGSSELWVPSVFCPSSACSTHIRFRHLESST
+FRPTQKTFSITYGSGSTKGFLAYDTVRIGDLLSTDQEFGLSMEEHGFEDLPFDGVLGLNY
+PDMSFITTIPIFDNLKNQGAFSEPVFAFYLGKAKGSVVMFGGVDHHYYKGELNWVPLIHA
+GDWSVHMDRISMKRKVIACSGGCEALVDTGTSLILGPRRLVNNIQKLIGATPQGSEHYIS
+CFAVYTLPSIIFTINGINYPVPARAYIHKDSRGHCYPTFKENTVSTSTETWILGDVFLRL
+YFSVFDRGNDRIGLAQAV
+>tr|E1B843|E1B843_BOVIN N(alpha)-acetyltransferase 20, NatB catalytic subunit OS=Bos taurus OX=9913 GN=NAA20 PE=4 SV=3
+MTTLRAFTCDDLFRFNNINLDPLTETYGIPFYLQYLAHWPEYFIVAEAPGGELMGYIMGK
+AEGSVAREEWHGHVTALSVAPEFRRLGLAAKLMELLEEISERKGGFFVDLFVRVSNQVAV
+NMYKQLGYSVYRTVIEYYSASNGEPDEDAYDMRKALSRDTEKKSIIPLPHPVRPEDIE
+>tr|A0A3Q1MVX6|A0A3Q1MVX6_BOVIN Cytohesin 4 OS=Bos taurus OX=9913 GN=CYTH4 PE=4 SV=1
+MDLCHPDPGELSSGEAEELQQIKWHRKQLLEDIQKLKDEIADVFAQIDCFETAEESRMAQ
+REKELCIGRKKFNMDPMKGIQYLIEHKLLTPDAQDIAQFLYKGEGLNKTAIGTYLGERDP
+INLQVLQAFVDCHEFANLNLVQALRQFLWSFRLPGEAQKIDRMMETFASRYCLCNPGVFQ
+STDTCYVLSFSIIMLNTSLHNPNVRDRPPFERFVSMNRGINGGSDLPEEQLRVEKPRSSK
+GSSQFGASSAVGRARPPGFLSKHLHQK
+>tr|A0A3Q1NNP9|A0A3Q1NNP9_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=DNM3 PE=3 SV=1
+MGNREMEELIPLVNRLQDAFSALGQSCLLELPQIAVVGGQSAGKSSVLENFVGRDFLPRG
+SGIVTRRPLVLQLITSKAEYAEFLHCKGKKFTDFDEVRHEIEAETDRVTGMNKGISSIPI
+NLRVYSPHVLNLTLIDLPGITKVPVGDQPPDIEYQIREMIMQFITRENCLILAVTPANTD
+LANSDALKLAKEVDPQGLRTIGVITKLDLMDEGTDARDVLENKLLPLRRGYVGVVNRSQK
+DIDGKKDIKAAMLAERKFFLSHPAYRHIADRMGTPHLQKVLNQQLTNHIRDTLPNFRNKL
+QGQLLSIEHEVEAYKNFKPEDPTRKTKALLQMVQQFAVDFEKRIEGSGDQVDTLELSGGA
+KINRIFHERFPFEIVKMEFNEKELRREISYAIKNIHGIRTGLFTPDMAFEAIVKKQIVKL
+KGPSLKSVDLVIQELINTVKKCTKKLANFPRLCEETERIVANHIREREGKTKDQVLLLID
+IQVSYINTNHEDFIGFANAQQRSSQVHKKNTIGNQGTNLPPSRQIVIRKGWLTISNIGIM
+KGGSKGYWFVLTAESLSWYKDDEEKEKKYMLPLDNLKVRDVEKSFMSSKHIFALFNTEQR
+NVYKDYRFLELACDSQEDVDSWKASLLRAGVYPDKSLTENDENGQAENFSMDPQLERQVE
+TIRNLVDSYMSIINKCIRDLIPKTIMHLMINNVKDFINSELLAQLYSSEDQNTLMEESVE
+QAQRRDEMLRMYQALKEALVVIGDINTATTFTPAPPPVDDSWIQHSRRSPPPSPTTQRRP
+TLSAPLPRPTSGRGPAPAIPSPGPHSGAPPVPFRPGPLPPFPNSSDSFGAPPQVPSRPTR
+APPSVPRPPCSTH
+>tr|A0A3S5ZPM9|A0A3S5ZPM9_BOVIN Dual specificity tyrosine phosphorylation regulated kinase 1B OS=Bos taurus OX=9913 GN=DYRK1B PE=4 SV=1
+MLAARPPNWGPHRAPAPRGRASPDPGLSGGGSRGAGCEKAPPGRAPAPGLAPLRPSEPTM
+AVPPGHGPFSGFPGPQEHTQVLPEVRLLPRRLPLAFRDATSAPLRKLSVDLIKTYKHINE
+VYYAKKKRRAQQAPPQDSSTKKEKKVLNHGYDDDNHDYIVRSGERWLERYEIDSLIGKGS
+FGQVVKAYDHQTQELVAIKIIKNKKAFLNQAQIELRLLELMNQHDTEMKYYIVHLKRHFM
+FRNHLCLVFELLSYNLYDLLRNTHFRGVSLNLTRKLAQQLCTALLFLATPELSIIHCDLK
+PENILLCNPKRSAIKIVDFGSSCQLGQRIYQYIQSRFYRSPEVLLGTPYDLAIDMWSLGC
+ILVEMHTGEPLFSGSNEVDQMNRIVEVLGIPPAPMLDQAPKARKYFERLPGGGWTLRRTK
+ELRKDYQGPGTRRLQEVLGVQTGGPGGRRAGEPGHSPADYLRFQDLVLRMLEYEPAARIS
+PLGALQHGFFRRTADEATNTGPAGSSASTSPAPLDTCPSSSTASSISSSGGSSGSSSDNR
+TYRYSNRYCGGPGPPITDCEMNSPQVPPSQPLRPWAGGDVPHKTHQAPASASSLPGAGAQ
+LPAQPRCLGRPPSPTSPPPPELMDGKLQTCAKAERRV
+>tr|A0A3Q1LYE0|A0A3Q1LYE0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=ACOT7 PE=4 SV=1
+MVALLWIMRPDDANVAGNVHGGTVLKMIEEAGAIISTRHCNSQNGERCVAALARVERTDF
+LSPMCIGEVAHVSAEITYTSKHSVEVQVHVMSENILTGTKKLTNKATLWYVPLSLKNVDK
+VLEVPPVVYSRQEQEEEGRKRYEAQKLERMETKWRNGDIIQPVLNPEPNTVSYSQSSLIH
+LVGPSDCTLHGFVHGGVTMKLMDEVAGIVAARHCKTNIVTASVDAINFHDKIRKGCVITI
+SGRMTFTSNKSMEIEVLVDADPVVNNFVKRYRAASAFFTYVSLSPEGKSLPVPQLVPETE
+DEKKRFEEGKGRYLQMKAKRQGQAETQA
+>tr|F1ML44|F1ML44_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 GN=BOLA-DQA2 PE=3 SV=2
+MVLNRALILGAFALTTMMSPCGGEDIVADHVGSYGTEIYQSHGPSGQYTQEFDGDELFYV
+DLGKKETVWRLPMFSQFAGFDPQAALSEIATAKHNLDVLTKRSNFTPVINEVPEVTVFSK
+SPMVLGQPNTLICHMDNFPPVINITWLKNGHAVTEGVSETSFLPKDDHSFLKIGYLTFLP
+SDDDVYDCKVEHWSLDEPLLKHWEPEVPAPMSELTETVVCALRLTVGLVGIVVGTIFIIQ
+GLRSGGASRHQGPL
+>tr|A0A3Q1LSY9|A0A3Q1LSY9_BOVIN LDL receptor related protein 1B OS=Bos taurus OX=9913 GN=LRP1B PE=4 SV=1
+CQHKCAMVRNSTMCYCEDGFEIKEDGRSCKDQDECAIYGTCSQSCVNTYGSYACSCVEGY
+IMQPDNRSCKAKNEPTDKPPLLLIANSETIEIFYLNGSKMATLSSVNGNEIHTLDFIYNE
+DMICWIESRESSNRLKCIQITKTGRLTDEWTISILQSFHNVQQMAIDWLTRNLYFVDHVS
+DRIFVCDYNGSVCVTLIDLELHNPKAIAVDPIAGKLFFTDYGNVAKVERCDMDGMNRTRI
+IDSKTEQPAALALDLVNKWVYWVDLYLDYVEVVDYQGKNRHTIIQGRQVKHLYGITVFED
+YLYATDADNFNIIRINRFNGSDIHSLIKMENAKAIRIYQKRIQPTVRSHACEVDPYGMPG
+GCSHICLLSSSYKTRTCRCRTGFNLGSDGRSCKRPKNELFLFYGKGRPGIVRGMDLNTKI
+ADEYMIPIENLVNPRALDFHAETSYIYFADTTSFLIGRQKIDGTERETILKDDLDNVEGI
+AVDWIGNNLYWTNDGHRKTINVARLEKASQSRKTLLEGEMSHPRGIVVDPVSGWMYWTDW
+EEDEIDDSVGRIEKAWMDGFNRQIFVTSKMLWPNGLTLDFHTHTLYWCDAYYDHIEKVFL
+NGTHRKIVYSGKELNHPFGLSHHGNYVFWTDYMNGSIFQLDLITNEVTLLRRERPPLFGL
+QIYDPRKQQGDNMCRVNNGGCSTLCLAIPGGRVCACADNQLLDENGTNCIFNPGEVLPQI
+CKAGEFRCRNRHCIQARWKCDGDDDCLDGSDEDSLSCYNHSCPDDQFKCKNNRCIPKRWL
+CDGANDCGSNEDESNQTCSARTCEVDQFSCGNGRCIPRAWLCDREDDCGDHTDEIASCEF
+PTCEPLTQFICKSGRCISSKWHCDSDDDCGDGSDEVGCVHSCFDNQFSCSSGRCIPGHWA
+CDGDNDCGDFSDEINCTREEIHSPAGCNANEFQCHPDGNCIPDVWHCDGEKDCEDGSDEK
+GCNGTLRLCDHKTKFSCRSTGRCISKAWVCDGDIDCEDQSDEDDCDNFLCGPPKYPCAND
+TSVCLQPEKLCNGRWDCPDGSDEGDLCDECSLNNGGCSNHCSVVPGRGIVCSCPEGLQLG
+RDNKTCEIVDYCSNHLKCSQVCEQHKQTVKCSCYEGWKLDVDGQSCSSVDPFEAFIIFSI
+RHEIRKIDLHKRDYSLLVPGLRNTIALDFHFNQSLLYWTDVVEDRIYRGKLSESVSAIEV
+VVEHGLATPEGLTVDWIAGNIYWIDSNLDQIEVAKLDGSLRTTLIAGAMEHPRAIALDPR
+FGILFWTDWDANFPRIESASMSGAGRKTIYKDMKTGAWPNGLTVDHFEKRIVWTDARSDA
+IYSALYDGTSMIEIIRGHEYLSHPFAVSLYGSDVYWTDWRTNTLSKANKWTGQNVSVIQK
+TSAQPFDLQIYHPSRQPQAPNPCAANDGRGPCSHMCLINHNRSAACACPHLMKLSSNKKT
+CYEMKKFLLYARRSEIRGVDIDNPYFNFITAFTVPDIDDVTVIDFDASEERLYWTDIKTQ
+TIKRAFINGTGLETVISRDIQSIRGLTVDWVSRNLYWISSEFDETQINVARLDGSLKTSI
+IHGIDKPQCLAAHPVRGKLYWTDGNTINMANMDGSNSKILFQNQKEPVGLSIDYVENKLY
+WISSGNGTINRCNLDGSNLEVIETMKEELTKATALTIMDKKLWWADQNLAQLGTCSKRDG
+RNPTVLRNKTSGVVHMKVYDKEAQQGSNSCQLNNGGCSQLCLPTSETTRTCMCTVGYYLQ
+KNRMSCRGIESFLMYSVHEGIRGIPLEPSDKMDALMPISGTSFAVGIDFHAENDTIYWTD
+MGFNKISRAKRDQTWKEDIITNGLGRVEGIAIDWIAGNIYWTDHGFNLIEVARLNGSFRY
+VIISQGLDQPRSIALHPEKGYLFWTEWGQMPCIGKARLDGSEKVVLENKLYWCDARTDKI
+ERIDLETGGNREMVLSGNNVDMFSVAVFGAYIYWSDRAHANGSIRRGHKNDATETVTMRT
+GLGVNLKEVKIFNRVREKGTNVCAKNNGGCQQLCLYRGNSWRTCACAHGYLAEDGVTCLR
+HEGYLLYSGRTILKSIHLSDETNLNSPIRPYENPRYFKNVIALAFDYNQRKGSNRIFYSD
+AHFGNIQLIKDNWEDRQVIVENVGSVEGLAYHRAWDTLYWTSSTTSSITRHTVDQTRPGA
+FDREAVIAMSEDDHPHVLALDECQNLMFWTNWNEQHPSIMRSTLTGKNAQVVVSTDILTP
+NGLTIDHRAEKLYFSDGSLGKIERCEYDGSQRHVIVKSGPGTFLSLAVYDNYIFWSDWGR
+RAILRSNKYTGGDTKILRSDIPHQPMGIIAVANDTNSCELSPCALLNGGCHDLCLLTPNG
+RVNCSCRGDRILLEDNRCVTKNSSCNIYSEFECGNGECIDYQLTCDGIPHCKDKSDEKLL
+YCENRSCRRGFKPCYNRRCVPHSKLCDGENDCGDNSDELDCKVSTCAAVEFRCTDGMCIP
+RSARCNQNIDCADASDEKNCNNTDCTYFYKLGVKTTGFIRCNSTSLCVLPAWICDGSNDC
+GDYSDELKCPGNSRKNNKNYFGCPSGRCILNTWVCDGQKDCEDGLDEFHCDASCSWNQFA
+CSTEKCISKHWICDGEDDCEDGLDEIDLKHCTITCAADMFSCQGSRACVPRHWLCDGERD
+CPNGSDELSTAGCAPNNTCDENAFMCHNKVCIPKQFVCDHDDDCGDGSDESLQCGYRQCN
+AEEFSCADGRCLLNTQWQCDGDFDCTDHSDEAPINPKCKSAEQSCNSSFFMCKNGRCIPS
+GALCDNKDDCGDGSDERNCHINECLSKKVSGCSQDCQDLPVSYKCKCWPGFQLKDDGKTC
+VDIDECSLGFPCSQQCINTYGTYKCLCTDGYEIQPANPNGCKSLSDEEPFLILADHHEIR
+KISTDGSNYTLLKQGLNNVIGIDFDYREEFIYWIDSSRPNGSRINRMCLNGSDIKVVHNT
+AVPNALAVDWIGKNLYWSDTEKRIIEVSKLNGLYPTILVSKRLKFPRDLSLDPRAGYLYW
+IDCCEYPHIGRVGMDGTNQSVVIETKISRPMALTIDYVNHRLYWADENHIEFSNMDGSHR
+HKVPNQDIPGVIALTLFEDYIYWTDGKTKSLSRAHKTSGADRLSLINSWHAITDIQVYHS
+YRQPDVFKHLCTINNGGCSHLCLLAPGKSHTCACPTNFYLAADNRTCLSNCTASQFRCKT
+DKCIPFWWKCDTVDDCGDGSDEPDDCPEFKCQPGRFQCGTGLCALPAFICDGENDCGDNS
+DELNCDTHVCLSGQFKCTKNQKCIPVNLRCNGQDDCGDEEDEKDCPENSCSPDYFQCKTT
+KHCISKLWVCDEDPDCADASDEANCGEYSCPSRISLWKPTMQILVLSITDKKTCGPHEFQ
+CKNNNCIPDHWRCDSQNDCSDNSDEENCKPQTCTLKDFLCANGDCVSSRFWCDGDFDCAD
+GSDEKNCEPDQFRCRNKAHCIPIRWLCDGIHDCVDGSDEENCDRGGNICRADEFLCNNSL
+CKLHFWVCDGEDDCGDNSDEAPDMCDKFLCPPTRPHRCRNNRICLQPEQMCNGIDDCGDN
+SDEDPSRPCKKDEFACSNKKCIPVDLQCDQLDDCGDGSDEQGCRIKKNESTNWGYDDAIL
+SSSFLDLNECLVFGTCSHQCINTEGSYKCVCHQNFQERNNTCIAKGSEDQVLYIANDTDI
+LGFIYPFNYSGDHQQISHIEHNSRITGMDVYYQRDMIIWSTQFNPGGIFYKRIPGREKRQ
+ANSGLIVKSHQNVSSTLRPRDIAVDWVAGNIYWTDHSRMYSINVGQLSGPNCTRLLTNMA
+GEPYAIAVNPKRGMMYWTVVGDHSHIEEAAMDGTLRRILVQKNLQRPTGLAVDHFSERIY
+WADLELSVIGSVLYDGSDSVVSVTSKQGLLHPHRIDVFEDYIYGAGPKNGVFRVQKFGHG
+SVEYLALNVDKTKVPNPCSDLACEFLCLLNPSGATCACPEGKYLINGTCNDDSLPPDLPL
+EKSVYDSCKLTCENGGRCILNEKGDLRCHCWPSYSGERCEINHCSNYCQNGGTCMPSVLG
+RPTCSCALGFTGPNCGKTVCEDFCQNGGTCSVTAGNQPYCHCQPEHTGDRCQYYVCHHYC
+VNSESCTIGDDGSVECVCPIRYEGSKCEIDKCIRCHGGHCIINKDSEDISCNCTNGKIAS
+SCQLCDGYCYNGGTCQLDPETNTTHIMFTFYRTKTIRRQPIINGGINVEIGNPSYNMYEV
+DHDHNDGGLLDPGFMVDPAKPTNYSNPVYAKLYMDGQNCRNSLGSVDERKELLPKKIEIG
+IRETVA
+>tr|A0A3Q1MGV6|A0A3Q1MGV6_BOVIN Reverse transcriptase domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MNYELPDVQAGFRKGRGTRDQIANIRWIMEKAREFQKNIYFCFIDYAKAFDCVDHNKLWK
+ILKEMGIPEHLTCLLRNLYAGQEATVRTGHGTTDWFQIGKGIRQGCMLSPCLFNFYAEYI
+MRNAGLEKAQAGIKIAGRNINNLRYADDTTLMAESEEELKSLLRKVKEESEKVGLKLNIS
+KMKIMASGPITSWEIDGETVETVSDFIFLGSKITADGDCSHEIKRCLLLGRKVMTNLDSI
+LKSRDITLPTKVRLVKAMVFPVVIYGCESWTVKKAERQRIDAFALWCWRRLLRVPWTARR
+SSQSILKEISPGCSLEGLMLKLKLQYFGYLMGRVDSVEKTPMLGGIGGRRRGRQRMRWLD
+DITDSMDMSLSEVQELVMDREAWRAVIHGVARSRTQLSD
+>tr|A0A3Q1NHG3|A0A3Q1NHG3_BOVIN Histone H4 OS=Bos taurus OX=9913 PE=3 SV=1
+MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLK
+VFLENVIRDAVTYTEHAKRKTVTAIGENEDHGI
+>tr|A0A3Q1MBT9|A0A3Q1MBT9_BOVIN Ubiquitin-conjugating enzyme E2 W OS=Bos taurus OX=9913 GN=UBE2W PE=4 SV=1
+MASMQKRLQKELLALQNDPPPGMTLNEKSVQNSITQWIVDMEGAPGTLYEGEKFQLLFKF
+SSRYPFDSPQVMFTGENIPVHPHVYSNGHICLSILTEDWSPALSVQSVCLSIISMLSSCK
+EKILSDGERGKRPERKIM
+>tr|G5E645|G5E645_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=2
+MAPVLPALLCLGTLPKPTIWAEPRSVVPWGSPVTIWCQGPPGAQEFHLDKEGNPVFWDRE
+KPPGPGDKARFSIQHMIEDHAGSYRCYYRTRTGWSERSDPLELVVTGRRRRVLSGPGWTA
+KPRRADPGPVPRGPRDPRAQVDVQMLRLLQAEPPGVVSPQRPPGAPGLRLHGAESHPDGP
+RGFGPAAPRDPSLPGLARPRRSRDAARS
+>tr|E1BGE0|E1BGE0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=MEGF6 PE=4 SV=3
+MHSGAQDSALPSSAGSKPGSCPPAATSRSAGPGAAPVAAPAGSPGPWRTWRRRLRAPAWA
+ACERGTVGGTRRDAAGTMPFLPEAGAAGRAVALALVLLLPAVPTGAGALLRLQPGMPHVC
+AEQELTLVGRRQPCVRAFSRTVPVWRPGCGRQAWCVSHERRTVYYTGYRQVYSTEAQTVF
+RCCPGWSQQPGSQGCLSPVCSSGLCFNGGHCVSGSAQPCRCPSGFQGPRCQHDVNECATG
+NAGCEGECHNTVGGFYCRCPPGRQLQGDGKTCRDVDECQVHNGGCQHRCVNTPGSYFCEC
+KPGFRLHADGRTCLAINSCAVGNGGCQHNCVQLTVTQHRCQCRPEFQLQEDGRRCVRRNP
+CADRNGGCMHRCRALRGLAHCECRAGYQLAVDRKACEDVDECATGLAQCAHGCLNTHGSF
+KCVCNAGYELGADGRQCYRIEMEIVNSCEADNGGCSHGCSHSSAGPVCTCPHGYELDEDQ
+RTCIDVDDCAASPCCQQVCTNSPGGYECSCYAGYRLSADGCGCEDVDECASGRGGCEHHC
+ANLAGSFQCSCEAGYQLDEDRRGCTSLELPELVLDGHLPLGRPPLHVAVLQDELPHLFQD
+DYVGAEEEEAEARGEHTLQEKYVCLDDTFGPDCSLTCDDCRNGGTCLPTLDSCDCPDGWT
+GLVCNETCPPDTFGKNCSFSCSCQNGGTCDPVTGACRCPPGVGGAHCEDGCPKGFYGKHC
+RKKCHCANRGRCHRLYGACLCDPGLYGRFCHLACPPWAFGPGCSEECKCEQQNTRACDKR
+DGSCACKAGFRGEWCQDECQPGFFGPGCRQACTCPPGVACDPVSGECGKQCPAGYHGEDC
+GQECPAGTFGQNCSGSCSCGDAPCDRVTGQCLCPPGRTGDDCGADCPEGRWGLGCQEICP
+PCEHGAACVPETGACLCRPGFMGSRCQDACPAGWFGPGCQTQCSCANDGLCHPVTGRCSC
+APGWTGLSCQRACDSGHWGPDCSFTCNCSAGHGGCDAVSGLCVCEAGYVGPRCEQRCPQG
+YFGPGCGRQCQCEHGAACDHVSGACTCPAGWRGTFCERACPAGFFGVDCGHACDCSAGVP
+CDPVSGSCLCPSGRQGPRCAQTCPAHMFGHNCSQACSCFNGASCDPVHGQCRCGPGWTGP
+TCLQACPEGLYGEDCQHSCLCQNGGSCDPVSGHCTCPEGWAGLACEKECLPGVFEVGCPH
+SCGCLNGGLCDPHTVHCLCPAGWTGDKCQSSCPEGTFGVLCEERCACRWGAACHAVTGAC
+LCAPGWRGSRCESACPPSWFGEACAQRCQCPPGAACHHVTGECHCPPGFTGPSCEQACPP
+GTFGERCGQQCHCPGENQACHPASGTCACAPGYHGAGCQQRCPPGRFGPGCELLCGCLNG
+GSCDAVTGACRCPAGFLGTDCSLACPHGRFGPGCAYVCGCGQGAACDPVTGSCSCPPGRT
+GVHCEHGCPQNRFGVNCEHACSCRNGGLCHATNGSCSCGLGWTGPHCELACPAGRYGAAC
+SLECSCHNHGACEPTSGACRCGPGFYGQACEHSCPPGFHGAGCQQTCQCQHGAPCDPVSG
+QCLCPAGLHGQFCERGCEPGSFGEGCGQQCDCELGVPCDPVTGHCLCPPGRTGTTCDLGC
+RRGFFGPGCALHCSCGGGADCDPVSGQCLCVDGYTGPTCRQGGPSQLPESPSPALGPAAL
+QPGSHQTRAQQQPREALAQGQPPLRLGSQGPLRGPGGFGVH
+>tr|G5E673|G5E673_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC788675 PE=3 SV=2
+MNLNCSLWQDNSMSVKHFAFAKFSEVTEQCFLLFTLILLMFLASLTGNVLIALAIWTNPA
+LHTPMYFFLANLSLLEIGYTCSVIPKMLQSLVSEARGISREGCATQMFFFTLFAISECCL
+LAAMAFDRYTAICSPLHYATRMSRGVCVHLAMISWGVGCIVSLGQTNYIFSLDFCGPCEI
+DHSFCDLPPILALACGDTSHNEAAVFVVATLCISSPFLLIIASYGRILAAVLIMPSPEGR
+QKALSTCSSHLLVVTLFYGSGSVTYLRPKASHSPGVDKLLALFYTVVTSMLNPIIYSLRN
+KEVKTALRRTLGKKKF
+>tr|E1BH68|E1BH68_BOVIN ETS variant 3 like OS=Bos taurus OX=9913 GN=ETV3L PE=3 SV=1
+MHCSCLAKGLPAISAAGNAWIPGLAFPDWAYKAESSPGSRQIQLWHFILELLQKEEFHHV
+IAWQQGEYGEFVIKDPDEVARLWGRRKCKPQMNYDKLSRALRYYYNKRILHKTKGKRFTY
+KFNFSKLIVVNYPLWEIRTAPSPCLLLGAPALFQPALLPMGVKSELLHSMLFTHRAMAEQ
+LAGQWTPQGPPEASGNKKGSSSSVHRLGSAPVPCRPGPCCHLGSPLNDLPSFASFTPPLP
+FAVPSNWPRLSAPFLPPVAPELPFPGSSTPGTLLPGSICAPAAQHFPELPLLARLAQGAE
+ERLRLWSLRPEGLEVKPETTSAPREGFSSERQETSTGEEGPASPSLASFKAVWPLDPP
+>tr|F1MR40|F1MR40_BOVIN Glutamate ionotropic receptor NMDA type subunit 2D OS=Bos taurus OX=9913 GN=GRIN2D PE=3 SV=3
+MRGAGGPRGPRGPAKMLLLLALACASPFPEEVPGPGGAGGPGGGPGGARPLNVALVFSGP
+AYATEAARLGPAVAAAVRSPGLDVRPVALVLNGSDPRSLVLQLCDLLSGLRVHGVVFEDD
+SRAPAVAPILDFLSAQTSLPIVAVHGGAALVLTPKEKGSTFLQLGSSTEQQLQVIFEVLE
+EYDWTSFVAVTTRAPGHRAFLSYIEVLTDGSLVGWEHRGALTLDPGAGEAVLSAQLRSVS
+AQIRLLFCAREEAEPVFRAAEEAGLTGPGYVWFMVGPQLAGGGGSGAPGEPPLLPGGAPL
+PAGLFAVRSAGWRDDLARRVAAGVAVVARGAQALLRDYGFLPELGHDCRAQNRTHRGESL
+HRYFMNITWDNRDYSFNEDGFLVNPSLVVISLTRDRTWEVVGSWEQQTLRLKYPLWSRYG
+RFLQPVDDTQHLTVATLEERPFVIVEPADPISGTCIRDSVPCRSQLNRTHSPPPDAPRPE
+KRCCKGFCIDILKRLAQTIGFSYDLYLVTNGKHGKKIDGVWNGMIGEVFYQRADMAIGSL
+TINEERSEIVDFSVPFVETGISVMVARSNGTVSPSAFLEPYSPAVWVMMFVMCLTVVAVT
+VFIFEYLSPVGYNRSLATGKRPGGSTFTIGKSIWLLWALVFNNSVPVENPRGTTSKIMVL
+VWAFFAVIFLASYTANLAAFMIQEEYVDTVSGLSDRKFQRPQEQYPPLKFGTVPNGSTEK
+NIRSNYPDMHSYMVRYNQPRVEEALTQLKAGSLDAFIYDAAVLNYMARKDEGCKLVTIGS
+GKVFATTGYGIALHKGSRWKRPIDLALLQFLGDDEIEMLERLWLSGICHNDKIEVMSSKL
+DIDNMAGVFYMLLVAMGLSLLVFAWEHLVYWRLRHCLGPTHRMDFLLAFSRGMYSCCSAE
+AAPPPAKPPPPPQPLPSPVYPAARPPPGPAPFVPRERAAVDRWRRPKGAGPPGGAGLADG
+FHRYYGPIEPQGLGVGEARAAPRGATGRPLSPPTTQPPQKPPPSYFAIVRDKEPAEPPAG
+AFPGFPSPPAPPAAAATAVGPPLCRLAFEDESPPAPTRWPRSDPESQPLLGPGAGGAGGA
+GAAGAGAQAAPPPCRAAPPPCPYLDLEPSPSDSEDSESLGGASLGGLEPWWFADFPYPYA
+ERLGPPPGRYWSVDKLGGWRAGSWDYLPPRSGPAAWHCRHCASLELLPPPRHLSCSHDGL
+DGGWWAPPPPPWAAGPPHRRRARCGCPRPHPHRPRASHRAPAAAPHHHRHRRAAGGWDLP
+PPAPTSRSLEDLSSCPRAAPARRLTGPSRHARRCPHAAHWGPQLPAAPRRPLGQRRPQPE
+GARGVDRTREGWK
+>tr|A0A3Q1MQZ2|A0A3Q1MQZ2_BOVIN ATP-citrate synthase OS=Bos taurus OX=9913 GN=ACLY PE=3 SV=1
+MSAKAISEQTGKELLYKYICTTSAIQNRFKYARVTPDTDWARLLQDHPWLLSQSLVVKPD
+QLIKRRGKLGLIGVNLTLDGVKSWLKPRLGQEATVGKATGFLKNFLIEPFVPHTQEEEFY
+VCIYATREGDYVLFHHEGGVDVGDVDAKAQKLLVGVDEKLNPEDIKKHLLVHAPEDKKEI
+LASFISGLFNFYEDLYFTYLEINPLVVTKDGVYVLDLAAKVDATADYICKVKWGDIEFPP
+PFGREAYPEEAYIADLDAKSGASLKLTLLNPKGRIWTMVAGGGASVVYSDTICDLGGVNE
+LANYGEYSGAPSEQQTYDYAKTILSLMTREKHPDGETYGLFVGVAHVVPWSFQGIVRAIR
+DYQGPLKEHEVTIFVRRGGPNYQEGLRVMGEVGKTTGIPIHVFGTETHMTAIVGMALGHR
+PIPNQPPTAAHTANFLLNASGSTSTPAPSRTASFSESRTDEVAPAKKAKPAMLQGKSATL
+FSRHTKAIVWGMQTRAVQGMLDFDYVCSRDEPSVAAMVYPFTGDHKQKFYWGHKEILIPV
+FKNMADAMKKHPEVDVLINFASLRSAYDSTMETMNYAQIRTIAIIAEGIPEALTRKLIKK
+ADQKGVTIIGPATVGGIKPGCFKIGNTGGMLDNILASKLYRPGSVAYVSRSGGMSNELNN
+IISRTTDGVYEGVAIGGDRYPGSTFMDHVLRYQDTAGVKMIVVLGEIGGTEEYKICRGVT
+EGRITKPVVCWCIGTCAAMFSSEVQFGHAGACANQASETAVAKNQALKEAGVFVPRSFDE
+LGEIIQSVYEDLVARGVIVPAQEVPPPTVPMDYSWARELGLIRKPASFMTSICDERGQEL
+IYAGMPITEVFKEEMGIGGVLGLLWFQKRLPKYSCQFIEMCLMVTADHGPAVSGAHNTII
+CARAGKDLVSSLTSGLLTIGDRFGGALDAAAKMFSKAFDSGIIPMEFVNKMKKEGKLIMG
+IGHRVKSINNPDMRVQILKDYVRQHFPATPLLDYALEVEKITTSKKPNLILNVDGLIGVA
+FVDMLRHCGSFTREEADEYIDIGALNGIFVLGRSMGFIGHYLDQKRLKQGLYRHPWDDIS
+YVLPEHMSM
+>tr|A0A3Q1MFB9|A0A3Q1MFB9_BOVIN Phospholipase OS=Bos taurus OX=9913 GN=PLD2 PE=3 SV=1
+MWFAARPGNEHCQVPAPEARPRRRGPGVLVPEWRKVAERPQGTVRARADRRRETDGQPGG
+WHRTDARSGRLCPVELRAAPASRLRAGRRGGPRAAGAGQDRRESRGGEAGGPSRPRGQAL
+CARPALALWGVDSSSGSAPLPQLAKPRRRPLGLSGMAATPQSLFPSGDDLDSSQLQMEPD
+EVDTLKEGEDPADRMHPFLAIYHLQPLKLHPLVFAPGVPVIAQVVGTERYTSGSKVGTCT
+LYSVRLTHGDFTWTTKKKFRHFQELHRDLLRHKVFMSLLPLARFAVASSPAPEGDSREIP
+SLPRAGPEGSSRRTASKQKYLENYLNRLLTMSFYRNYHAMTEFLEVSQLSFIPDLGCKGL
+EGVIRKRSGGHRVPGLTCCGRDQVCYRWSKRWLVVKDSFLLYMCLETGAISFVQLFDPGF
+KVQVGKRSTEARYGVRVDTSHRSLILKCSSYRQARWWAQEITELAQGPGRDFIQLHRHDS
+YAPPRPGTLARWFVNGAGYFAAVADAILRAQEEIFITDWWLSPEIYLKRPAHSDDWRLDI
+MLKKKAEEGVHVSVLLFKEVELALAINSGYSKKALMLLHPNIKVMRHPDQVTLWAHHEKL
+LVVDQVVAFLGGLDLAYGRWDDLHYRLTDLGDSSESAAPQPPTSCSDLPATPDLTHNQLF
+WLGKDYSNLITKDWVQLDRPFDDFIDRETMPRMPWRDIGVVVHGSPARDLARHFIQRWNF
+TKTTKTKYKIPIYPYLLPKSTSTANQLPFTLSGGQCATVQVLRSVDRWSAGTLENSILNA
+YLHTIRESQHFLYIENQFFISCSDGRTVLNKVGDEIVDRILKAHKQGQCFRVYVLLPLLP
+GFEGDISTGGGNSIQAILHFTYRTLCRGEYSILHRLKAAMGTEWRNYISVCGLRTHGELG
+GHPVSELIYIHSKMLIADDRTVIIGSANINDRSLLGKRDSELAVLIEDTEMEPSLMNGVE
+YQAGRFALSLRKHCFSVILGAAARPHLDLRDPVCDAFFQLWQDTAESNANIYEQIFRCLP
+SNATRSLRALREYVVVEPLATVSPPLARSELNQVQGHLVHFPLKFLEDEYLLPSLGSKEG
+VMPLEVWT
+>tr|A0A3Q1NH60|A0A3Q1NH60_BOVIN CCR4-NOT transcription complex subunit 4 OS=Bos taurus OX=9913 GN=CNOT4 PE=4 SV=1
+MSRSPDAKEDPVECPLCMEPLEIDDINFFPCTCGYQICRFCWHRIRTDENGLCPACRKPY
+PEDPAVYKPLSQEELQRIKNEKKQKQNERKQKISENRKHLASVRVVQKNLVFVVGLSQRL
+ADPEVLKRPEYFGKFGKIHKVVINNSTSYAGSQGPSASAYVTYIRSEDALRAIQCVNNVV
+VDGRTLKASLGTTKYCSYFLKNMQCPKPDCMYLHELGDEAASFTKEEMQAGKHQEYEQKL
+LQELYKLNPNFLQLSTGSVDKNKNKVTPLQRYDTPIDKPSDSLSIGNGDNSQQISNSDTP
+SPPPGLSKSNPVIPISSSNHSARSPFEGAVTESQSLFSDNFRHPNPIPSGLPPFPSTPQT
+SSDWPTAPEPQSLFTSETIPVSSSTDWQAAFGFGSSKQPEDDLGFDPFDVTRKALADLIE
+KELSVQDQPSLSPTSLQNSSSHTTTAKGPGSGFLHPAAPTNANSLNSTFSVLPQRFPQFQ
+QHRAVYNSFSFPGQAARYPWMAFPRNSIMHLNHTANPTSNSNFLDLNLPPQHNTGLGGIP
+IAEILRMKSPTTDSESLFYFLGGGESLLFQFLDCAY
+>tr|F1MP22|F1MP22_BOVIN Voltage-dependent R-type calcium channel subunit alpha OS=Bos taurus OX=9913 GN=CACNA1E PE=3 SV=3
+MVPEMRGLSPGHRLPEPALCPAAGGSPEPSAASRRCRHVLALPEEEAWRPQQCALLEAYA
+SDEAGMLPASPRAAGGFDNFFPLQEGEGPGWEGGSSPFLPVSPEVMKRRRGGLIEQRDII
+KAHEAHKMQSTPQARRKEWEMARFGEAVVGRPGSGDGDSDQSRNRQGTPVPASGPAAAYK
+QSKAQRARTMALYNPIPVRQNCFTVNRSLFIFGEDNIVRKYAKKLIDWPPFEYMILATII
+ANCIVLALEQHLPEDDKTPMSRRLEKTEPYFIGIFCFEAGIKIVALGFIFHKGSYLRNGW
+NVMDFIVVLSGILATAGTHFNTHVDLRTLRAVRVLRPLKLVSGIPSLQIVLKSIMKAMVP
+LLQIGLLLFFAILMFAIIGLEFYSGKLHRACFMNNSGILEGFDPPHPCGVQGCPAGYECR
+DWIGPNDGITQFDNILFAVLTVFQCITMEGWTTVLYNTNDALGATWNWLYFIPLIIIGSF
+FVLNLVLGVLSGEFAKERERVENRRAFMKLRRQQQIERELNGYRAWIDKAEEVMLAEENK
+NAGTSALEVLRRATIKRSRTEAMARDSSDEHCVDISSVGTPLARASIKSAKVDGASYFRH
+KERLLRISIRHMVKSQVFYWIVLSLVALNTACVAIVHHNQPQWLTHLLYYAEFLFLGLFL
+LEMSLKMYGMGPRLYFHSSFNCFDFGVTVGSIFEVVWAIFRPGTSFGISVLRALRLLRIF
+KITKYWASLRNLVVSLMSSMKSIISLLFLLFLFIVVFALLGMQLFGGRFNFNDGTPSANF
+DTFPAAIMTVFQILTGEDWNEVMYNGIRSQGGVSSGMWSAIYFIVLTLFGNYTLLNVFLA
+IAVDNLANAQELTKDEQEEEEAFNQKHALQKAKEVSPMSAPNMPSIERERRRRHHMSVWE
+QRTSQLRRHMQMSSQEALNKEEAPPMNPLNPLNPLSPLNPLSAHPSLYRRPRAIEGLALG
+LGLEKCEEERVSRGGSLKGDGGDLASALDSQRSPLALGKREPPWLARPCHGNCDPTQPEA
+GSGETVVTFGDRARHRQSQRRSRHRRVRTEGREPSSTSRSRSASQERSLDEAVPSEGERD
+REPRAGHGGKEPMIQEEERERCQDLRRTNSLMVPRGSGLAGALDEADTPLVPPQPELEVG
+KDAALTEQEPEGSSEQALLGDVKLDIGRVSQSEPDLSCVTANTDKATTESTSVTVTIPDV
+GPLVDSTVVHISNKTDGEASPLKEAEIREDEEEVEKKQQKKEKRETGKAMVPHSSMFIFS
+TTNPIRRACHYIVNLRYFEMCILLVIAASSIALAAEDPVLTNSERNKVLRYFDYVFTGVF
+TFEMVIKMIDQGLILQDGSYFRDLWNILDFVVVVGALVAFALATNKGRDIKTIKSLRVLR
+VLRPLKTIKRLPKLKAVFDCVVTSLKNVFNILIVYKLFMFIFAVIAVQLFKGKFFYCTDS
+SKDTEKECIGNYVDHEKNKMEVKGREWKRHEFHYDNIIWALLTLFTVSTGEGWPQVLQHS
+VDVTEEDRGPSRSNRMEMSIFYVVYFVVFPFFFVNIFVALIIITFQEQGDKMMEECSLEK
+NERACIDFAISAKPLTRYMPQNRHTFQYRVWHFVVSPSFEYTIMAMIALNTVVLMMKYYS
+APCTYELALKYLNIAFTMVFSLECVLKIIAFGFLNYFRDTWNIFDFITVIGSITEIILTD
+SKLVNTSGFNMSFLKLFRAARLIKLLRQGYTIRILLWTFVQSFKALPYVCLLIAMLFFIY
+AIIGMQVFGNIKLDEESHINRHNNFRSFFGSLMLLFRSATGEAWQEIMLSCLGEKGCEPD
+TTAPSGQSESERCGTDLAYVYFVSFIFFCSFLMLNLFVAVIMDNFEYLTRDSSILGPHHL
+DEFVRVWAEYDRAACGRIHYTEMYEMLTLMSPPLGLGKRCPSKVAYKRLVLMNMPVAEDM
+TVHFTSTLMALIRTALDIKIAKGGADRQQLDSELQKETLAIWPHLSQKMLDLLVPMPKAS
+DLTVGKIYAAMMIMDYYKQSKVKKQRQQLEEQKNAPMFQRMEPSSLPQEIIANAKALPYL
+QQDPVPGLSGRSAYPSMSPLSPQEIFQLACMDPADDGQFQERQSLEPEVSELKSVQPSNH
+GIYLPSDTQEHAGSGKASSMPRLTVDPQVVTDPSSMRRSFSTIRDKRSNSSWLEEFSMER
+SSENTYKSRRRSYHSSLRLSAHRLNSDSGHKSDTHRSGGRERGRSKERKHLLSPDVSRCN
+SEERGTQADWESPERHQSRSPSEGRSQTPNRQGTGSLSESSIPSVSDSSTPRRSRRQLPP
+VPPKPRPLLSYSSLIRHAGSISPPADGSEGGSPLTSQALESNDAGLPESSNPRQGHPSPQ
+RYISEPYLALHEDSHASDCGEEETLTFEAAVATSLGRSNTIGSAPPLRHSWQMPNGHYRR
+RRRGGPGPGTTCGAVSDLLSDTEEDDKC
+>tr|E1B968|E1B968_BOVIN Solute carrier family 7 member 2 OS=Bos taurus OX=9913 GN=SLC7A2 PE=4 SV=3
+YGVSQSPTRLKMIPCRAALSFARCLVRRKIVTLDSLEDTKLCRCLSTMDLIALGVGSTLG
+AGVYVLAGEVAKADSGPSIVVSFLIAALASVMAGLCYAEFGARVPKTGSAYLYTYVTVGE
+LWAFITGWNLILSYVIGTSSVARAWSGTFDELLSKQIGQFFRTYFKMNYTGLAEYPDFFA
+VCLILLLAGLLSFGVKESAWVNKVFTAVNILVLLFVMVAGFVKGNVANWKISEDFLKNIS
+ASARDPPSENGTSVYGAGGFMPYGFAGTLAGAATCFYAFVGFDCIATTGEEVRNPQKAIP
+IGIVTSLLVCFMAYFGVSAALTLMMPYYLLDEKSPLPVAFEYVGWGPAKYVVAAGSLCAL
+STSLLGSMFPLPRILFAMARDGLLFRFLARVSKRQSPVAATLTAGVISAVMAFLFDLKAL
+VDMMSIGTLLAYSLVAACVLILRYQPGLSYEQPKYCSEKEALGSCANRTSKSKSQVTMLP
+GQGFSLRTLFNPSLLPTKQSASLVSFLVGFLAFLILGLSVLTTHSVHTIARLEAWSLALL
+VLFLALCVAIVLIIWRQPQNQQKVAFMVPFLPFLPAFSILVNIYLMVQLSADTWIRFSVW
+MALGFLIYFAYGIRHSLEGTPRDEEDDDEDMYSDNINAATEEKSAMQDGDHHQRNLSLPF
+IFHEKTSEC
+>tr|A0A3Q1M4S8|A0A3Q1M4S8_BOVIN Dual specificity protein phosphatase OS=Bos taurus OX=9913 GN=DUSP2 PE=3 SV=1
+MGLEAARELDCAALGALLREPREAERTLVLDCRPFLAFCRRHVRAARPVPWNALLRRRAR
+GPPAAALACLLPDRALRARLARGELARAVVLDEGSASVADLPPDGPAHALLAALLHETRA
+GPTAVCFLPGERRPAGPHPPLASPARGRRVSDPRLAFPANRVLVLPAGGFDRFQACCPDL
+CSESPVPAMTPDNNDNSRSDSRAPSYDQGGPVEILPYLYLGSCSHSSDLQGLRACGITAV
+LNVSASCPNHFEGLLRYKSIPVEDNQMVEISAWFPEAIGFIDSVKNSGGRVLVHCQAGIS
+RSATICLAYLIQSRRVRLDEAFDFVKQRRGVISPNFSFMGQLLQFETQVLCH
+>tr|A0A3Q1MG41|A0A3Q1MG41_BOVIN Alpha-1,6-mannosylglycoprotein 6-beta-N-acetylglucosaminyltransferase OS=Bos taurus OX=9913 GN=MGAT5 PE=4 SV=1
+MAFFTPWKLSSQKLGFFLVTFGFIWGMMLLHFTIQQRTQPESSSMLREQILDLSKRYIKA
+LAEENRNVVDGPYAGVMTAYDLKKTLAVLLDNILQRIGKLESKVDNLVSNGTGTNLTNST
+TPVPSLLEKINVADIINGAQEKCVLPPMDGYPHCEGKIKWMKNMWRSDPCYAEYGVDGST
+CSFFIYLSEVENWCPHLPWRVKNPYEEADRNSLVLVHLGLLTKESGFKIAETAFSGGPLG
+ELVQWSDLITSLYLLGHDIRISASLAELKEIMKKVVGNRSGCPTVGDRIVELIYIDIVGL
+AQFKKTLGPSWVHYQCMLRILDSFGTEPEFNHANYAQLKGHKTPWGKWNLNPQQFYTMFP
+HTPDNSFLGFVVEQHLNSSDVHHINEIKRQNQSLVYGKVDSFWKNKKVYLDIIHTYTEVH
+ATVYGSSTKNIPSYVKNHGILSGRDLQFLLRETKLFVGLGFPYEGPAPLEAIANGCAFLN
+PKFNPPKSSKNTDFFIGKPTLRELTSQHPYAEVFIGRPHVWTIDLGNQEEVEDAVKAILS
+QKIEPYMPYEFTCEGMLQRINAFIEKQDFCHGQVMWPPLSALQVKFAEPGQSCKQVCQEN
+QLICEPSFFQHLNKDKDLLKYDVNCQSSELAKDIVAPSFDPKNKHCVFQGDLLLFSCAGA
+HARHRRICPCRDFIKGQVALCKDCL
+>tr|A0A3Q1N835|A0A3Q1N835_BOVIN Centrosomal protein 164 OS=Bos taurus OX=9913 GN=CEP164 PE=4 SV=1
+MAGRPIRIGDQLVLEEDYDENYIPSEHEILEFAREIGIDPIKEPQLMWLAREGIVAPLPV
+EWKPCQDITGDIYYFNFANGQSTWDHPCDEHYRNLVIQERGKLSTPGATKKKEKKKKKEK
+EKKDKKDKETSKSPLALGSSLAPVHVPLGGLAPLRGLVDAPPSALHGPQSVSLGSSVESG
+QLGELLLPSQGLKPSAATKGLLGSIHEDKNALSLLALGEETNEEDEAESDNQSVRSSSEL
+LRNLHLDIGALGGDFEYEESPRASQPEEKDVSLDSDAAGPLTSGKPFSQGADSSLSSADD
+KERQRREASWLPGKGKTEKNDPGTSRSGVDPGGDQPANTTEKEAPEDPVDAGEQGSRKEE
+AAEDPKEEASVPKESRSEVSEVSHLVLWAVAGSSPLCSLEPGGFGFRSQISERLLDMDVH
+SSVLDGARWEVSLSGAGLGPHFGDDQELRPAHPRVLSPPLLREERLQSLLHSQATDEGPP
+QAPEGQPEWKEAAEGRPVVPPANLPLLCSSLKEQLQRATEEEETQMREQERQRLSRLRAQ
+VQSSSEADEDQIRAEQEASLQRLREELESLQKAERASLEERSRQTLEQLREEMEASEKRE
+QAALKVEKERALQQLREQLEGERREAAAALEREHREELERLSSSLEAKHREVVSSLQKKM
+QEAHQKEEAQLQESLGRAEQRAHHRVYQVLEYEQELSGLLREKRQEVEREHERKMDRMKE
+EHQQVVAEARQQYEAEERKQRAELLGHLTGELERLRRAHERELESVRQEQDRQLEDLRRR
+HREQERKLQDLEVELETRTKDVKARLAQLDVQKEVARKEKQQLLDVQRQVVLESEEATAT
+HQHLEEAKKEHTHLLESNQQLRRILEELQARKLELESQVELLQAQSQRLQKHVSDLEVQA
+QKKQDLLKELEVKDSNTSPHLEPDLLLEDLRRSPRTVSCSWKGQGGWSWAGARHYLSAEG
+VALRNAKEFLMQQSRSMRRRQTALKAAQQHWRQELASAQEADKDPPGTKALEDVCKDLEE
+ETRHLDEMKSALWKGHDLLKKKEEKLHQLESSLREEASDEDMLRGPPTKKVVTFDLSDLE
+DGSSDSSDSCPLPLNLTPSPTFPNKIHYLSSSLQSISSQLNGVLGVVGSLNAQPPPLFTS
+TPVPLPTQASRSTLAPPHPSLTRGSASSPARPTSAQWTWDPGLGPRLSSSAAQTVDDFLV
+EKWRKYFPTGIPFLSSGPAPLENRLGYVSASEQLRRLQHPSSRVPQVGTSNLQDMIAANR
+KWLEHYKNDPKLPLFSSVPKPAAGSSFLQLDLDENNRLKIYHY
+>tr|A0A3Q1MUE7|A0A3Q1MUE7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+VSRVYSSILGFNTQHVSTCLFKVQCTIGCDVTSIWIENEEEAGSCWGIEEGIGDFCINAL
+VLVSGHHVQHGGSPGHIFLEANPVSVLAEHWSIIIGISDLYPDQGSAT
+>tr|A0A3Q1LF92|A0A3Q1LF92_BOVIN Protein Hook homolog 3 OS=Bos taurus OX=9913 GN=HOOK3 PE=4 SV=1
+MFNVETLERAELCESLLTWIQTFNVDAPCQTVEDLTNGVVMAQVLQKIDPAYFDENWLNR
+IKTEVGDNWRLKISNLKKILKGILDYNHEILGQQINDFTLPDVNLIAEHSDAAELGRMLQ
+LILGCAVNCEQKQEYIQAIMVMEESVQHVVMTAIQELMSKESPVSAGNDAYVDLDRQLKK
+TSEELNEALSAKEEIAQRCHELDLQVAALQEEKSSLLVENQVLMERLNQSDSIEDPNSPA
+GRRHLQLQTQLEQLQEETFRLEAAKDDYRIRCEELEKEISELRQQNDELTTLADDAQSLK
+DEIDVLRHSSDKVSKLEGQVESYKKKLEDLGDLRRQVKLLEEKNTMYMQNTVSLEEELRK
+ANAARSQLETYKRQVVELQNRLSEESKKADKLDFEYKRLKEKVDSLQKEKDRLRSERDSL
+KETIEELRCVQAQEGQLTTQGLMPLGSQESSDSLAAEIVTPEIREKLIRLQHENKMLKIN
+QEGSDNEKIALLQSLLDDANLRKNELETENRLVNQRLLEVQSQVEELQKSLQDQGSKAED
+SVLLKKKLEEHLEKLHEANNELQKKRAIIEDLEPRFNNSSLKIEELQEALRKKEEEMKQM
+EERYKKYLEKAKSVIRTLDPKQNQGAAPEIQALKNQLQERDRQFHSLEKEYEKTKSQREM
+EEKYIVSAWYNMRGLQPASLLFGGPGPGGWAPLQSSGKTPACSGPSVGGHQELPLT
+>tr|A0A3Q1MLS1|A0A3Q1MLS1_BOVIN ALS2 C-terminal-like protein OS=Bos taurus OX=9913 GN=ALS2CL PE=4 SV=1
+MEESLHSLRERLRRPEAVGLESLLLLQSADRVLQVHLDEYWRGQRKALRQVLSGLSSEGS
+VGTALLQALRQPLAHHVQQYVLLLLSLGDTVGECHPTRELVIHAASLFGDLQSFMRQELD
+QATATQALWPTLSSRLRDVLCTPARRLLQDSQDVPVTVTPLRAERVLLFDDALVLLQGHN
+IHTFDLKLVWVEPGQDRCTFHLLTPEEGFSFCSKDPQGLVVWQWKVTQAVCQALRGKKDF
+PVLGAGLEPSEPPTCRCGAYTFHAEGRFCQATYEGEWYWGRPHGKGTLKWPDGRNHVGDF
+CQGLEHGFGIRLVPQASEDKFDCYKCHWWEGSMCGYGICEYSTSEVYKGYFQEGLRHGFG
+VLESAPQAPRPLRYTGHWERGQRSGYGVEEDSDRGERYIGMWQADQRHGPGVMVTQAGVC
+YQGTFQADKMVGPGILLSDDDSLYEGTFTRDLTLVGKGKVTFPNGFTLEGSFGSVSGRGL
+HTQGVLDTAALPPDPSSTCKRQLGQGVFPVESRWQGVYGAFRDFVRAGCPGDLREALLGF
+HVQSSRELRKSQEYLCCERTCPEDQAGRMEDLLEELLQHREPEALQQCLRKALSNSLHPL
+GKLLRTLMLTFQATYAGIGANKHLQGLAQEEVKQHAQELWAAYRGLLQVALQRKGQAPEE
+DEDAETRDLRVHSLVLPLVLPSFYSELFTLYLLLHEREDSLYSQGITHLSLFPDARLLEF
+LDVQKHLWPLKDLTLTTNQRYSLVRDKCFLSATECLQKMITTVDPREKLEVLERTYGEIE
+ATVSRVLGREHKLPMDDLLPLLIYVVSRAQIQHLGAEIHLIRDMMDPLHTGGLYDFLLTA
+LESCYEHIQKEDMRLHRLPSRWSSREPW
+>tr|A0A3Q1LMB7|A0A3Q1LMB7_BOVIN Myosin-1 OS=Bos taurus OX=9913 GN=MYH1 PE=3 SV=1
+MSSDQEMAVFGEAAPYLRKSEKERIEAQNKPFDAKTSVFVADPKESFVKATVQSREGGKV
+TAKTEAGATVTVKEDQVFPMNPPKFDKIEDMAMMTHLHEPAVLYNLKERYAAWMIYTYSG
+LFCVTVNPYKWLPVYNAEVVTAYRGKKRQEAPPHIFSISDNAYQFMLTDRENQSILITGE
+SGAGKTVNTKRVIQYFATIAVTGEKKKEEPTSGKMQDQIISANPLLEAFGNAKTVRNDNS
+SRFGKFIRIHFGTTGKLASADIETYLLEKSRVTFQLKAERSYHIFYQIMSNKKPELIEML
+LITTNPYDYAYVSQGEITVPSIDDQEELMATDSAIEILGFTSDERVSIYKLTGAVMHYGN
+LKFKQKQREEQAEPDGTEVADKAAYLQGLNSADLLKALCYPRVKVGNEFVTKGQTVEQVY
+NAVGALAKAVYDKMFLWMVARINQQLDTKQPRQYFIGVLDIAGFEIFDFNSLEQLCINFT
+NEKLQQFFNHHMFVLEQEEYKKEGIEWEFIDFGMDLAACIELIEKPMGIFSILEEECMFP
+KATDMSFKNKLYEQHLGKSNNFQKPKPAKGKAEAHFSLIHYAGTVDYNITGWLDKNKDPL
+NETVVGLYQKSSVKTLALLFSGPASGEKGGKKKGSSFQTVSALFRENLNKLMTNLRSTHP
+HFVRCIIPNETKTPGAMEHELVLHQLRCNGVLEGIRICRKGFPSRILYADFKQRYKVLNA
+SAIPEGQFIDSKKASEKLLASIDVDHTQYKFGHTKVFFKAGLLGLLEEMRDEKLAQLITR
+TQARCRGFLARVEYQKMVERRESIFCIQYNVRAFMNVKHWPWMKLYFKIKPLLKSAETEK
+EMANMKEEFEKTKEELAKSEAKRKELEEKMVTLTQEKNDLQLQVQSEADALADAEERCDQ
+LIKTKIQLEAKIKEVTERAEDEEEINAELTAKKRKLEDECSELKKDIDDLELTLAKVEKE
+KHATENKVKNLTEEMAGLDETIAKLTKEKKALQEAHQQTLDDLQAEEDKVNTLTKAKTKL
+EQQVDDLEGSLEQEKKLRMDLERAKRKLEGDLKLAQESTMDIENDKQQLDEKLKKKEFEM
+SNLQSKIEDEQALAMQLQKKIKELQVNPWFPPVSSSPHLQKQRSDLSRELEEISERLEEA
+GGATSAQIEMNKKREAEFQKMRRDLEEATLQHEATAAALRKKHADSVAELGEQIDNLQRV
+KQKLEKEKSEMKMEIDDLASNMETVSKAKGNLEKMCRALEDQLSELKTKEDEQQRLINDL
+TTQRARLQTESGEFSRQLDEKDALVSQLSRGKQAFTQQIEELKRQLEEEIKAKSALAHAL
+QSARHDCDLLREQYEEEQEGKAELQRAMSKANSEVAQWRTKYETDAIQRTEELEEAKKKL
+AQRLQDAEEHVEAVNAKCASLEKTKQRLQNEVEDLMIDVERTNAACAALDKKQRNFDKIL
+SEWKQKYEETHAELEASQKESRSLSTELFKIKNAYEESLDQLETLKRENKNLQQEISDLT
+EQIAEGGKRIHELEKVKKQVEQEKSEIQAALEEAEASLEHEEGKILRIQLELNQVKSEID
+RKIAEKDEEIDQLKRNHIRIVESMQSTLDAEIRSRNDAIRLKKKMEGDLNEMEIQLNHAN
+RMAAEALKNYRSTQAILKDTQIHLDDALRGQEDLKEQLAMVERRANLLQAEIEELRATLE
+QTERSRKIAEQELLDASERVQLLHTQNTSLINTKKKLETDITQIQGEMEDIIQEARNAEE
+KAKKAITDAAMMAEELKKEQDTSAHLERMKKNLEQTVKDLQHRLDEAEQLALKGGKKQIQ
+KLEARVRELEGEVESEQKRNVEAVKGLRKHERRVKELTYQTEEDRKNILRLQDLVDKLQA
+KVKSYKRQAEEAEEQSNVNLSKFRKLQHELEEAEERADIAESQVNKLRVKSREVHTKIIS
+EE
+>tr|A0A3Q1MJA5|A0A3Q1MJA5_BOVIN G-patch domain containing 2 OS=Bos taurus OX=9913 GN=GPATCH2 PE=4 SV=1
+MFGAAGRQPIGAPAAGNSWHFSRTMEELVHDLVSALEESSEQARGGFAETGDHSRSISCP
+LKRQARKRRGRKRRSYNVHHPWETGHCLSEGSDSSLEEPSKDYRENHNNNKKDHSDSDDQ
+MLVAKRRPSSNLNNNVRGKRPLWHESDFAMDSLGNRTLRRRRKVKRMAVDLPPENPNKRT
+MTQPLEGCRDQDMDNDNRAYQYQEFSKNKVKKRKLKIVRQGPKIQDEGVVLESEEISQTN
+KDKMEYEEQKVSDELMSESDSSSLSSTDAGLFTNDEGRQGDDEQSDWFYEKESGGACGIT
+GVIPWWEKEDPTDLDKNLPDPVFESILTGSFPLMSHPGRRGFQARLSRLHGMPSKNIKKS
+GGTPPSMLLLNVAHGHFS
+>tr|F1MM90|F1MM90_BOVIN Polycomb group RING finger protein 1 OS=Bos taurus OX=9913 GN=PCGF1 PE=4 SV=1
+MASPQGGQIAIAMRLRNQLQSVYKMDPLRNEEEVRVKIKDLNEHIVCCLCAGYFVDATTI
+TECLHTFCKSCIVKYLQTSKYCPMCNIKIHETQPLLNLKLDRVMQDIVYKLVPGLQDSEE
+KRIREFYQSRGLDRVTQPSGEEPALSNLGLPFSSFDHSKAHYYRYDEQLSLCLERLSSGK
+DKNKSILQNKYVRCSVRAEVRHLRRVLCHRLMLNPQHVQLLFDNEVLPDHMTMKQIWLSH
+WFGKPSPLLLQYSVKEKRR
+>tr|A0A3Q1NFV2|A0A3Q1NFV2_BOVIN ETS domain-containing protein OS=Bos taurus OX=9913 PE=3 SV=1
+PGQPGWDPGDASWSPEPPTRRTDLGPLPCLPSPGFAFPDWAYKPESSPGSRQIQLWHFIL
+ELLQKEEYQGVIAWQGDYGEFVIKDPDEVARLWGIRKCKPHMNYDKLSRALRYYYNKRIL
+HKTKGKRFTYKFNFSKVVLVNYPLLDVAAATTGSPLLLTPGPFGGAPGPDAPPLTPEVSL
+DLGVPKP
+>tr|F1MTK4|F1MTK4_BOVIN Lysophosphatidylcholine acyltransferase 1 OS=Bos taurus OX=9913 GN=LPCAT1 PE=4 SV=3
+MRLRGRDLRAAPSSSAGVGDARRQAPPGRNPFVHELRLGALQKAQVAVMTLTLFPVRLLV
+VVLMMLLAWPLALVSSLGPAGREPEQPPALWRRVVDVLLRAIMRTMWLAGGFHHVAVKGR
+RAPPTEAAILTLAPHSSYFDAIPVTMTMSSVVMKAESRDIPIWGTLIKYIRPVFVSRTDQ
+DSRRKTVEEIKRRAQSGGKWPQIMIFPEGTCTNRTCLITFKPGAFIPGVPVQPVVLRYPN
+KLDTITWTWQGPGALKILWLTLCQFHSQVEIEFLPVYTPSEEEKRDPALYASNVRRVMAE
+ALGVAVTDYTFEDCQLALAEGQLRLPADTCLLEFARLLRGLGLKPEKLEQDLDRHAESAR
+MTQGRRVTLPEFAAQLGVPESESLEDLFSLFDEGGGGEVDLREYVVALSVVCRPARTLDT
+IQLAFKMFGSQDGSVEEHALSSILKTALGVAELTVTDLFRAIDQERKGRIAFADFKRFAE
+ANPDFAEEYLYPEQTRPRSCARTPPAPTPNGFCADFSPENSATGTEPVRKKLD
+>tr|G3MZT7|G3MZT7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=2
+MRSVRTCLSPGRSLVLAVLLVDSLGKDLHFHPEWGFDSYEITIPKKLSFRGGEQRAARHV
+SYLLKVKGRNRVVHLWPKRFLLPRNLQVFSFTEQGRLLEEHPYIPSDCNYMGLVEGNPDS
+QATISTCMGGLRGILKIDANHYQIEPRKASSQFEHVVYLMKKEEEFPNQICGITDDKTIE
+QMAQHENMARTPDFTDLYPHQMYMEIALVFDNSRYLFSNSNLTQVINDAILLTSIMDSYF
+QDIRLRIHLFSVEVWTDKDRIRVNFTKIEQVLSQFLVYRTSVLNTRVPVDWVHLYLKRTF
+SDLLAQSGGHACSRFYAGSVSVFPDVNILAPATWSTHVLGHSVGMLHDEAYCQCKGRYSC
+IMGTGRYGFSNCSYADYFAHIYRASSARCLTNLPGLGYVVKRCGNKIVEENEECDCGSTE
+ECEEDGCCQPDCKFKEGANCSTGLCCHKCQFRPSGYMCRVEENECDLAEYCNGTSAFCPS
+DTYKQDGTPCKYEAHCFKQSCQSRYMQCQKIFGLDAKDAPHQCYDAVNVIGDQYGNCGIS
+GLREFRKCTKQNSICGRLQCINVETLPDMPDHTILISTHLHKENLMCWGIGYHLAMVPMG
+LPDLGVINDGTSCGKERVCFNRYCVNSSVLKFDCFPEKCNRRGVCNNNKNCHCMYGWAPP
+LCEEVGYGGSIDSGPPGPLRKEVSASLQVVILIFMRLFFLIISVIVVFYRKIIEKEFKTK
+TCQETKKVISTLLPGALAGREED
+>tr|F1MZK4|F1MZK4_BOVIN Basic leucine zipper and W2 domains 1 OS=Bos taurus OX=9913 GN=BZW1 PE=4 SV=3
+MNNQKQQKPTLSGQRFKTRKRDEKERFDPTQFQDCIIQGLTETGTDLEAVAKFLDASGAK
+LDYRRYAETLFDILVAGGMLAPGGTLADDMMRTDVCVFAAQEDLETMQAFAQVFNKLIRR
+YKYLEKGFEDEVKKLLLFLKGFSESERNKLAMLTGVLLANGTLNASILNSLYNENLVKEG
+VSAAFAVKLFKSWINEKDINAVAASLRKVSMDNRLMELFPANKQSVEHFTKYFTEAGLKE
+LSEYVRNQQTIGARKELQKELQEQMSRGDPFKDIILYVKEEMKKNNIPEPVVIGIVWSSV
+MSTVEWNKKEELVAEQAIKHLKQYSPLLAAFTTQGQSELTLLLKIQEYCYDNIHFMKAFQ
+KIVVLFYKAEVLSEEPILKWYKDAHVAKGKSVFLEQMKKFVEWLKNAEEESESEAEEGD
+>tr|F1MWY5|F1MWY5_BOVIN Rho GTPase activating protein 30 OS=Bos taurus OX=9913 GN=ARHGAP30 PE=4 SV=2
+MKSRQKGKKKGSSKERVFGCDLQEHLQHSGQEVPQVLRSCAEFVEEYGVVDGIYRLSGVS
+SNIQKLRQEFETERKPDLRRDVYLQDIHCVSSLCKAYFRELPDPLLTYRLYDKFAEAVAV
+QLEPERLVKILEVLQELPVPNYRTLEFLMRHLVHMASFSAQTNMHARNLAIVWAPNLLRS
+KDIEASGFNGTAAFMEVRVQSIVVEFILTHVDQLFGGAALSGSEVESGWRSLPGVRVSGS
+PEDLMPRSLPYNLPSILQAGDGPPQMRPYHTIIEIAEHKRKGSLKVRKWRSIFNLGRSGH
+ETKRKLPRGAEDREDKSDKGTLRPAKSMDSLSAVAGVSDEPEGLVGSNSPRPGPLLTESL
+ENDSVEAAECEQEPDPEALGGTSSEPGTPRPGRSAVRVGGSSHAERRAGVHISEPYDVNL
+PAHISNMLNISANNISISLAGFARGLERPALQPRPSPASGPGPGPGLGPGPPDEKLEASP
+APGPSADSGPVDVAPALEDCLSQEVQDSFSFLEDSSSSEPEWVGVEDGEVAKAGPAGAAF
+SPGEEDPGMGYLEELLGAGPQVEEFSVEPPLDDLSLDEAQFVLAPSCCSLDSPGPRPETE
+EESGEEVFLSAYDDLSPLLEPKLPSWEGPGREEEKVAGSGRQEASGQAEGEQALCEGGED
+EVEPGSRRDTGEEAEGRPETPQPPRPEEMDPEGQPNPLGSAGGVGMRLASTLVQVQQVRS
+VPVVPPKPQFAKMPSAMCGKIHVAPANPCPKPGRLDGDRAWGSRASRSSWRNGGSLSFDA
+AVALARDRQRTEAQAVRRTQTCTGAGDYSLIPKTSPYSLIPAYAPRPLSCLEIPAEGTEG
+SGPRSRFSLPPREPQPPDPLLSPQRRSYGFETQANSGRGEGL
+>tr|A0A3Q1NI70|A0A3Q1NI70_BOVIN SLIT-ROBO Rho GTPase activating protein 3 OS=Bos taurus OX=9913 GN=SRGAP3 PE=4 SV=1
+MSSQTKFKKDKEIIAEYEAQIKEIRTQLVEQFKCLEQQSESRLQLLQDLQEFFRRKAEIE
+LEYSRSLEKLAERFSSKIRSSREHQFKKDQYLLSPVNCWYLVLHQTRRESRDHATLNDIF
+MNNVIVRLSQISEDVIRLFKKSKEIGLQMHEELLKVTNELYTVMKTYHMYHAESISAESK
+LKEAEKQEEKQFNKSGDLSMNLLRHEDRPQRRSSVKKIEKMKEKRQAKYSENKLKCTKAR
+NDYLLNLAATNAAISKYYIHDVSDLIDCCDLGFHASLARTFRTYLSAEYNLETSRHEGLD
+VIENAVDNLDSRSDKHTVMDMCNQVFCPPLKFEFQPHMGDEVCQVSAQQPVQTELLMRYH
+QLQSRLATLKIENEEVRKTLDATMQTLQDMLTVEDFDVSDAFQHSRSTESVKSAASETYM
+SKINIAKRRANQQETEMFYFTKFKEYVNGSNLITKLQAKHDLLKQTLGEGERAECGTTRG
+RRNARTRNQDSGQAIPLVVESCIRYINLYGLQQQGIFRVPGSQVEVNDIKNSFERGEDPL
+VDDQNERDINSVAGVLKLYFRGLENPLFPKERFQDLISTIKLENPAERVHQIQQILITLP
+RVVIVVMRYLFAFLNHLSQYSDENMMDPYNLAICFGPTLMHIPDGQDPVSCQAHVNEVIK
+TIIIHHEAIFPSPRELEGPVYEKCMAGGEEYCDSPHSEPGTIDEVDHDNGTEPHTSDEEV
+EQIEAIAKFDYVGRSPRELSFKKGASLLLYHRASEDWWEGRHNGVDGLIPHQYIVVQDMD
+DAFSDSLSQKADSEASSGPLLDDKASSKNDLQSPTEHISDYGFGGVMGRVRLRSDGAAIP
+RRRSGGDTHSPPRGLGPSIDTPPRAAACPSSPHKIPLTRGRIESPEKRRMATFGSAGSIN
+YPDKKALSEGHSMRSTCGSTRHSSLGDHKSLEAEALAEDIEKTMSTALHELRELERQNTV
+KQAPDVVLDTLEPLKNPPGPISSEPASPLHTIVIRDPDAAMRRSSSSSTEMMTTFKPALS
+ARLAGAQLRPPPMRPVRPVVQHRSSSSSSSGVGSPAVTPTEKMFPNSSADKSGTM
+>tr|F1MRX8|F1MRX8_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=OR2G3 PE=3 SV=3
+MEKANESSLIGFVLLGFSDHPRLEAVLFVFVLLFYLLTLVGNITIIIISYLDPPLHTPMY
+FFLGNLSFLDICFTTSLAPQTLVNLRGPEKTITYGGCVVQLCISLALGSTECILLVVMAL
+DRYVAVCKPLHYVVIMNPRLCQQLAFISWLSGLASSLIHATFTLQLPFCGNHRLDHFICE
+VPALLKLACVDTTINELVLFVVSILFLLIPPALILISYGFITQAVLRLKSVEARRKAFST
+CSSHLTVVIIFYGTIIYMYLQPINSYAQDQGKFISLFYTMVTPTLNPIIYTLRNKDVKDA
+LKKLLTGKLCFLQT
+>tr|E1BMX3|E1BMX3_BOVIN Chromosome 5 C12orf56 homolog OS=Bos taurus OX=9913 GN=C5H12orf56 PE=4 SV=3
+MLGSEPRRTSVLPCPLLSGTETKIGGRGQTRVCVCVCVCVCVCVCVCWCVLVCWERGGGC
+WSRGPDAGAPKPGARPPAPGEAAARFGGFVARAGSTAGGAEAGSDRGLRRPRHSAGPRAP
+CMASPARCGFPARKNSRLDAFLRRHLPPEVYDAVRAYEQCIVVSDSEKHTLKYVVLTDRL
+IYLTENPPKSIRRVVALRDIVAIDLIDDYPEFLSPPDRETNQHIRIIYYSTILKKECEKS
+KGVRKFLFPFHHANANNKKVKEENNGPIFWRGKKSNSLNESIFRYHQESSTASKDSILGP
+PSDLKKLSLHGQRARGPLPNPSRSCQLTSASRKASGPFCITDTKEPQGLSDHKDSLSEIP
+FKCNGNGNEFYLGNSTLASPLQSNPNLERKESELHLYVISTTSSIFLHLKSSWNNYIIKA
+TLLQDPLYVSELSPATGSQKPYRSEEKIKHFGQLKSELFLKDNTLRKILCLITELKVTAQ
+KNFILKRLFWKTSDLFYFLVNKLHEYLPESRDKNALHNTSQRADELVVCIEIIQTLGRMF
+RETETESLRLNILAAKKGTLFNLLVILISEPQIPKSGPVFDIQLVADSTLVEMSLDDELQ
+KLILEYTDTATALLYEILLVFQQGNIGLESTKFAISWIMSFLQSCPPIITFVASIVKQVV
+KGLSASFQLLSPGRAVLLYQQFYILKSCLQYSKTLAEYIRNNYREEFRYFIHMPALEKRL
+PLCYPITQPTTQLFHEVLKLVEQKQSVKC
+>tr|E1BM64|E1BM64_BOVIN Dystrophin related protein 2 OS=Bos taurus OX=9913 GN=DRP2 PE=4 SV=3
+MQPMVMQGCPYTLPRCHKWREADNFHHSRSLRSTCPQPQVRAAAATPAPPHNGAGVPCLS
+PKLFNGSVVAARTLEPPAMNLCWNEIKKKSHNLRARLEAFSDHSGKLQLPLQEIIDWLSQ
+KDEELSAQLPLQGDVVLVQQEKETHAAFMEDVKSRGPYIYSVLESAQAFLSQHPFDELEE
+PRSESKDTSPRQRIQNLSRFVWKQATVASELWEKLTARCVDQHRHIERTLEQLLEIQGAM
+EELNATLTQAEGVRATWEPIGDLFIDSLPEHIQALKLFKEEFSPMKDGVKLVNDLAHQLA
+ISDVHLSMENSRALEQINIRWKQLQVSVGERLKQLQDAHRDFGPGSQHFLSSSVQVPWER
+AISPNKVPYYINHQAQTTCWDHPKMTELYQTLADLNNIKFSAYRTAMKLRRVQKALRLDL
+VTLTTALEIFNEHDLQASEHVMDVVEVIHCLTALYERLEEERGILVNVPLCVDMSLNWLL
+NVFDSGRSGKMRALSFKTGIACLCGTEVKEKLQYLFSQVANSGSQCDQRHLGVLLHEAIQ
+VPRQLGEVAAFGGSNVEPSVRSCFRFSTGKPVIEASQFLEWVNLEPQSMVWLAVLHRVTI
+AEQVKHQTKCSICRQCPIKGFRYRSLKQFNVDICQTCFLTGRASKGNKLHYPIMEYYTPT
+TSSENMRDFATTLKNKFRSKHYFSKHPQRGYLPVQSVLEADYNETPASSPMLPHADTHSR
+IEHFASRLAEMESQNCSFFNDSLSPDDSIDEDQYLLRHSSPVTDREPAFRQQAPHNMATG
+SKGELEKILAHLEDENRILQGELRRLKWQHEEATEVPALTEGSAEVAQGHCNEELLAEAR
+ILRQHKSRLETRMQILEDHNKQLESQLQRLRELLLQPPIESNGNGSAGSSLASSPQQSEG
+SHPQEKGQTTPDTEAADDVGLKSQDVSLCLEDIMEKLRHAFPSVRSSDVTANTLLAS
+>tr|F1MDZ4|F1MDZ4_BOVIN G_PROTEIN_RECEP_F1_2 domain-containing protein OS=Bos taurus OX=9913 GN=LOC517569 PE=3 SV=2
+YSYFKTCRNLPRDARIYVGLSPLSSQGPHRGGHSMALANLTSRPEFLLLGLMDGTDIHPL
+LFLLFLGVYLVNALGNLSMVVLVRSDGALRSPMYYFLGHLSLVDVCFTTVTVPRLLAGLL
+HPGQAVSFRGCFAQMYFFVALGITESYLLAAMSYDRAAAVCRPLHYAAVMTPGRCMALVG
+ASWAVAHLHSLLHTLLISKLSYPRATPVRHFFCDMTVMLSLATSDTSAAETAIFSEGLAV
+VLTPLILVALSYARILAAVLGVRSAGGRRRAFSTCGAHLVAVSLFFGSILSVYFRPSSAY
+SARYDRLASVVYAVVTPTLNPFIYSLRNKEVKGALKRGLRWRAAPPRGVRAGLDSLASQ
+>tr|A0A3Q1NKC6|A0A3Q1NKC6_BOVIN NFU1 iron-sulfur cluster scaffold OS=Bos taurus OX=9913 GN=NFU1 PE=4 SV=1
+MAAAARLGWGVAAAAAGLRNRFCHVTSPYTIKKQLLHQFFQRPLFPLPATLCNTVRYMFI
+QTQDTPNPNSLKFIPGKPVLETRTMDFPTPATAFRSPLARQLFRIEGVKSVFFGPDFITV
+TKENEELDWNLLKPDIYATIMDFFASGLPLVTEETPSGEAGSEDDDEVVAMIKELLDTRI
+RPTVQEDGGDVIYKGFEDGIVQLKLQGSCTSCPSSIITLKNGIQNMLQFYIPEVEGVEQV
+YAFEIKTKEVNSVY
+>tr|A0A3Q1M1X4|A0A3Q1M1X4_BOVIN Tenascin C OS=Bos taurus OX=9913 GN=TNC PE=4 SV=1
+MGVMTRLWAGLFLALLALPAEGGVLKKVIRHKQQSGMNVTLPEENQPVVFNHVYNIKLPV
+GSQCSVDLESASGEKDLAAPSEPRESFQEHTVDGENQIVFTHRINIPRRACGCAAAPDVK
+ELLSRLEELENLVSSLREQCTSGAGCCLQSAEGRVDTRPFCSGRGNFSTEGCGCVCEPGW
+KGPNCSEPECPGNCHLHGQCLDGQCVCHEGFTGEDCGQLACPSDCNDQGKCVDGACVCFE
+GYSGLDCSQETCPVPCSEEHGRCVDGRCVCQEGFAGEDCREPLCLHNCHGRGRCVENECV
+CDEGFTGDDCGELVCPNDCFDRGRCLNGTCSCDEGFTGEDCGQLACPHACHGHGRCDEGQ
+CVCDEGFAGPDCSERRCPSDCHERGRCVDGRCECNDGFTGADCGELQCPRDCSGHGRCVN
+GQCVCDEGYTGEDCGQRRCPSDCHGRGRCVDGRCECQPGFQGDDCGEMSCPHDCHQHGRC
+VNGMCVCDDAYTGEDCRELRCPGDCSQRGRCVDGRCVCEDGFAGPDCADLACPGNCHGRG
+RCVDGQCVCLEGFTGPDCAQRRCPGDCHGQGRCVDGQCVCHEGFTGPDCAQRSCPNDCSN
+WGQCVSGRCVCNEGYTGEDCSQVSPPKDLVVTEVTEETVNLAWDNEMRVTEYLIVYTPTH
+EDGLEMQFRVPGDQTSATIRELEPGVEYFIRVFAILENKKSIPVSARVATYLPTPEGLKF
+KSIKETSVEVEWDPLDIAFETWEVIFRNMNKDDEEEITKSLRRPETTYRQTGLAPGQEYE
+ISLHIVKNNTRGPGLKRVTTTRLDAPSQIEVKDVTDTTALITWSKPLAEIDSIELMYGIK
+DVPGDRTSIDLTHEENQYSIGNLKPDTEYEVALISRRADMSSNPAKETFTTGLDAPRNLR
+RISQTDNSITLEWRNVKAAADSYRIKYAPISGGDHAEVEVPRSQQTTTRTTLTGLRPGTE
+YGIGVSAVKGDKESDPATINAATELDTPKDLRISDPTETSLTLVWQTPVAKFDRYRLNYS
+LPSGQPKEVQLTRDTTSFVLRGLEPGQEYSILLTAEKGRHKSKPARVQASTDHTPELGNL
+TVTKAGWDGLRLNWTAADQAYEHFVIQVQEANGVEAAQNLTVPGNLRAVDVPGLKAATPY
+RVTIHGVIRGYRTPVLSAEASTAMGSPKEIIFSDITENAASVSWMAPTTQVESFRVTYVP
+IAGGAPSAVTVDGTKTQTRLLRLLPGADYLVSVIALKGFEESEPVSGTLTTALDGPSSLV
+TANITDSEALAMWQPAIAPVDNYVISYTGERVPEITRTVSGNTVEYALTNLEPATEYTLR
+IFAEKGPQKSSTITTKFTTDLDSPRDFTATEVQSETALLTWRPPRASVTGYLLVYESVDG
+TIKEVVLDPDTTSYSLTDLSPSTYYTARIQALNGTLRSKTVKTIFTTSGVLYRFPRDCSQ
+AMLNGDTTSGVYTIYLNNDKTQKQEVFCDMTSDGGGWIVFLRRKNGREDFYRNWKAYAAG
+FGDLKEEFWLGLDTLSKITAQGQYELRVDLRDHGESAHAVYDKFSVGDARTRYRLKVEGY
+SGTAGDSMAYHNGRSFSTFDKDTDSAITNCALSYKGAFWYKNCHRVNLMGRYGDNSHSQG
+VNWFHWKGHEHSIQFAEMKLRPSNFRNLEGRRKRA
+>tr|A0A3Q1MQV2|A0A3Q1MQV2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC112444871 PE=4 SV=1
+STLAESVRASNYWLLILLGCPVPRPELIYQQEHGQELWTVKRDLSRSTCAVDEGKPKTTE
+PTSCEPTLSKGASLQELMQGGPGDSQLGQTKDQDGPLEMQEDHFVPGINPQREKLHEKIS
+PEHGSLRTAGGVCSRVEEEPVPLGGAVHDRDSCGSGKDPLIQEEESLFKCNECGKVFNKK
+HLLAGHEKIHSGVKPYECTECGKTFIKSTHLLQHHMIHTGERPYECMECGKAFNRKSYLT
+QHQRIHSGEKPYKCSECGKAFTHRSNFVLHKRRHNGEKSFVCKECGQVFRHRPGFLRHHI
+IHSGENPYECFECGKVFKHKSYLMWHQQTHTGEKPYECSECGKAFCESAALIHHYVIHTG
+EKPFECLECGKAFNHRSYLKRHQRIHTGEKPFVCTECGRAFTHCSTFILHKRAHTGEKPF
+ECKECGKAFSTRKDLIRHFSIHTGEKPYECTECGKAFNRRSGLTRHQRIHSGEKPYECME
+CGKSFCWSTNLIRHAIIHTGEKPYKCSECGKAFSRSSSLTQHQRIHIGRNSVSVTNVGRP
+FTSGQTSVTLRELLLGKDFLNVNTEENLLQEKVSTMTSDRTYQRETPQVSSL
+>tr|F1MSM0|F1MSM0_BOVIN PRELI domain-containing protein 3B OS=Bos taurus OX=9913 GN=PRELID3B PE=4 SV=2
+MKIWTSEHVFDHPWETVTTAAMQKYPNPMNPSVVGVDVLDRHIDPSGKLHSHRLLSTEWG
+LPSIVKSIIGAARTKTYVQEHSVVDPVEKTMELKSTNISFTNMVSVDERLIYNPHLQDPE
+KTILTQEAIITVKGVSLGSYLEGLMASTISSNANKGREAMEWVIHKLNAEIEELTASARG
+SIRTPMAAAAFVEK
+>tr|F1MC84|F1MC84_BOVIN FAT atypical cadherin 3 OS=Bos taurus OX=9913 GN=FAT3 PE=4 SV=3
+MDLTMGYCVGTRPPSCLILLLLKLLATLSQGLPGTGPLGFHFTHSIYNATVYENSAARTY
+VNSQSRMGITLIDLSWDIKYRIVSGDEEGFFKAEEVIIADFCFLRIRTKGGNSAILNREI
+QDNYLLIVKGSVRGEDLEAWTKVSIQVLDMNDLRPLFSPTTYSVTIAENTALRTSVAQVT
+ATDADIGSNGEFYYYFKNKVELFSVHPTSGVISLSGRLNYDEKSRYDLEILAVDRGMKLY
+GNNGVSSTAKLYVHVERVNEHAPALHVVTHIPFSLDTEPVYAVVTADDLDEGANGEIESV
+SIVAGDPLDQFFLAKEGKWVNEYRVKEKKPVDWESFPYGYNLTLQAKDKGSPQKFSAVKI
+VHIANPKRDTVPIRFEKDAYEVSISEFSPPGVVVAIVKLSPEPLDVEYRLSPGEDAQYFK
+INPQSGLIVTAQPLNTIKKEVYRLGVTNKEGDLKTQVTISIEDANDHTPEFQQLLYEAFV
+NESVPVGTSVLTVSASDKDKGENGYITYSIASLNVLPFAINQFTGVISTTEDLDFESSPE
+TYRFIVRASDWGSPYRHESEVNVTIRVGNVNDNSPLFEKVACQGVISYDFPVGGHITAVS
+AIDIDELELVKYKIISGNELGFFYLNPDSGVLQLKKSLMNSGIKNGNFALRITATDGENL
+ADPMSVNISVLHGKVSSKSFSCRETRVAQKLAEKLLIKAKANGKLNLEDGFLDFYSVNRQ
+GPHFDKSFPSDVAVKENLPVGANILKIKAYDADSGFNGKVLFTISDGNMDSCFNIDMETG
+QLQVLMPMDREHRDLYLLNITIYDLGNPQKSSWRLLTINVEDANDNSPIFLQDSYSVNIL
+ESSSIGTEIIQVEARDKDLGSNGEVTYSVLTDTQQFAINSSTGIVYIADQLDRESKANYS
+LKIEARDKAESGQQLFSVVTLKVFLDDVNDCSPAFIPSSYSVKVLEDLPVGTVIAWLETH
+DPDLGLGGQVRYSLVNDYNGRFEIDKASGAIRLSRELDYEKQQFYNLTVRAKDKGRPVSL
+SSVSFVEVEVVDVNENLHTPYFPDFAVVGSVKENSRIGTSVLQVTAHDEDSGRDGEIQYS
+IRDGSGLGRFNIDDESGVISTADILDRETTGSYWLTVYATDRGVVPLYSTIEVYIEIEDV
+NDNAPLTSEPIYYPVVMENSPKDVSVIQIQAEDPDSSSSEKLTYRITSGNPQNFFAINVK
+TGLITTTSRKLDREQQAEHFLEVTVTDGGFSPKQSTIWVVVQVLDENDNKPQFPEKVYQI
+KLPERDRKKRGEPIYRAFAFDRDEGPNAEISYSIVDGNDDGRFFIDPKTGMVSSRKQFTA
+GSYDILTIKAVDNGRPQKSSTARLHIEWIKKPPPSPVPLTFDEPFYNFTVMENDRVTEIV
+GVVSVQPANTLLWFDIVGGNFDSSFDAEKGVGTIVIAKPLDAEQRSIYNMSVEVTDGTNV
+AVTQVFIKVLDNNDNGPEFSQPNYDVTISEDVLPDTEILQIEATDRDEKHKLSYTVHSSI
+DSISMRKFRIDPSTGVLYTAERLDHEAQDKHILHIMVRDQEFPYRRNLARVIVNVEDAND
+HSPYFTNPLYEASVFESAALGSAVLQVTALDKDKGENAELMYTIEAGNTGNTFKIEPVLG
+IITVSKEPDMTTMGQFVLSVKVTDQGSPPMSATAIVRISVTMSDNSNPRFTHKDYQAEVN
+ENVDVGTSVILISAISQSTLIYEVKDGNVDGIFTINPYSGVITTRKALDYERTSSYQLIV
+QATNMAGMASNVTVNIQIVDENDNAPVFLFSQYSGSLSEAAPINSIVRSLDNSPLVIRAT
+DADSNRNALLVYQIVESTAKKFFTVDSSTGAIRTIASLDHEAIAHFHFHVHVRDSGSPQL
+TAESPVEVNIEVTDVNDNPPVFTQAVFETILLLPTYVGVEVLKVSAVDPDSEIPPELTYS
+LMEGSLDHFLIDSNSGVLTVKNNNLSKDHYMLIVKVSDGKFYSTSMVTILVKEAMDSGLH
+FTQNFYSTSISENNTNITKVAIVNAVGNRLNEPLKYSILNPGNKFKIKSTSGVIQTTGVP
+FDREEQELYELVVEASRELDHLRVARVVVRVSIEDINDNSPVFVGLPYYAAVQVDAEPGT
+LIYRVTAIDKDKGANGEVTYVLQDDYGHFEINPNSGNVILKEAFNSDLSNIEYGVTILAR
+DGGKPSLSTSVELPITIVNKAMPVFDKPFYTASVNEDIAMDTPILSINATSPEGQGIIYI
+IIDGDLYKQFNIDFDTGVLKVISPLDYEMTSVYKLTVRASDALTGARAEVTIDLLVNDVN
+DNPPIFGQPTYNTTLSEASLIGTPVLQVVSTDADSGNNKMVHYQIVQDTYNSTDYFHIDS
+ASGLILTARMLDHESVQHCTLKVRATDNGFPSLSSEVLVHIYISDVNDNPPVFNQLIYES
+YVSELAPRGHFVTCVQASDADSSDFDRLEYSILSGNDRTSFLMDSKSGIITLSNHRKQRM
+EPLYSLNVSVSDGLFTSTAQVHIRVLGANLYSPAFSQSTYVAEVRENAAAGTKVIHVRAT
+DGDPGMYGQISYAIINDFAKDRFLIDGNGQVTTTERLDRENPLEGDISIFLRALDGGGRT
+TFCTVRVIVVDENDNAPQFLTVEYRASVRADVGRGHLVTQVQAMDPDDGANARITYSLYS
+EASVSVADLLEIDPDNGWMVTKGHFNQLKNTVLSFFVKAVDGGIPVKHSLIPVYIHVLPP
+ETSVPSFTQSQYSFTVSEDTAIGSTVDTLRILPTQKVTFSTVNGERLENNKGNVFIIEQE
+TGTIKLDKRLDHEVSPAFHFKVAATMPLDKVDAVFTVDVDVKVLDLNDNKPVFETSNYET
+IIMEGMPVGTKLTQVRAMDVDWGANGQVTYSLHSDSQPEKVMEAFSIDSNTGWISTLKDL
+DHETDPMFTFSVVASDLGEAFSLSSTALVSVRVTDINDNTPVFAHEVYRGNVKESDPPGE
+VVAVLSTWDRDTSDINRQVSYHITGGNPRGRFALGLVQSEWKVYVKRHLDREEQDIYFLN
+ITATDGLFVTQAMVEVTVSDVNDNSPVCDQVAYTALLPEDIPSNKIILKVSAKDADIGPN
+GDIRYSLYGPGNNEFFLDPESGELKTLAPLDRERVPAYSLVARATDGGGRFCQSDLRLTL
+EDVNDNPPVFSSDHYNACVYENTATKALLTRVQAVDPDVGVNRKVVYSLADSANGFFSVD
+SSSGIVVLERPLDREQQSSYTLRVQATDQSPGRALSSLATISITVLDVNDNPPVFERRDY
+LVSVPEDTSPGTQVLAVFATSKDIGTNAEITYLIRSGNEQGKFRINPKTGGISVSEALDY
+ELCRKFYLMVEAKDGGTPALSAVTTVGINLTDVNDNPPEFSQDVYSAVISEDALVGDSVI
+LLIAEDADSQPNGQIRFSIVNGDRDNEFAVDPVLGLVKVKKKLDRERVSGYSLLVQAVDS
+GMPAMSSTATVNIDISDVNDNSPVFTPANATAVIQENKPVGTSVLQLMVTDKDSFHNGPP
+FSFSILSGNEEEAFVLDSDGILRSAVVFQHTESPEYVLCVQAKDSGKPQQVSHSYVRVRV
+IEESIHKPTAIPLEIFIVTMEDDFPGGVIGKIHATDQDMYDVLTFALKSEQKSLFKVNSH
+DGKIIALGGLDSGKYVLNVSVSDGRFQVPIDVVVHVEQLVQEMLQNTVTIRFENVSPEDF
+VGLHMHGFRRTLRNAVLTQKQDSLHIISIQPVAGTSELDMLFAVETHSSEFYKPAYLIQK
+LSNARRHLENAMRISAILEKNCSGLDCQEQHCEQGLSLDSHALMTYSTARISFVCPRFYR
+NVRCTCDGGLCPGANDPCVEKPCPGDMQCVGYEASRRPFLCQCPPGKLGECSGHTSLSFA
+GNSYIKYRLSENSKEEDFKLALRLRTLQSNGIIMYTRANPCIILKIVDGRLWFQLDCGSG
+PGILGISGRAVNDGSWHSVFLELNRNFTSLALDDSYVERRRAPLYFQTLGTDSAIYFGAL
+VQADSIRSLTDARVTQVLSGFQGCLDSVVLNNNELPLQNKRSSFAEVVGLTELKLGCVLY
+PDACERGPCQHGGSCAGLASGGYQCTCLSQFTGRNCESEITACFPNPCRNGGSCDPIGNT
+FICSCKAGLTGVTCEEDVNECEREECENGGACVNVFGSFVCNCTPGYVGQYCGLRPVVVP
+NIQAGHAYVGREELIGIAAVLLVIVALIVLFVVFRKKVFRKSYSRNNITLVQDPATAALL
+RKSNGVPFRSLRAGDGRHAYQEAGPPQVPVRPMAYTPCFQGDSRSNLDKIGDGLGGEPQE
+MTTFHPESPRILTARRGVVVCSVAPNLPAVSPCRSDCDSIRKNGWDAGTENKGVDDPGEV
+TCFAGSNKGSNSEVQSLSSFQSDSGDDNAYHWDTSDWMPGARLSDIEEVPTYESQEGAAP
+PGSARGLESDYYLGGYDVDSEYPPPHDDDFLGQDPLPPPLPEDFADQYDGLPPARPGSLA
+GTLSPGCRRRPQFHPSQYLPPHPFPHETDVGGPPAAGEFSTFAVSVNPGAEAAAGPADGV
+ALSLHNSRGPSSSDVSASCGFDDSEVAVSDYESVGELSLASLHIPFLETQQQTQV
+>tr|A0A3Q1M8F3|A0A3Q1M8F3_BOVIN RRM domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MEGIGFGTNKMGGMEGPIGGGMKNMGRFGSGMNMGRINGGGGGSVPGIKRMGPNTDRMAE
+GGRGAGWGQGRHLECMGLGHMSTNSLQRMALERMGANSLERIGPAMGPALDAGIDFTGSF
+GGTGGHAPGVARKACQIFVTNLPFDFTWKMLKDKFNECSHVLYATIKMENGKPKGCSVVK
+FELPEVAERACWMMNGMKLSGRKTDVQINRNA
+>tr|E1BL89|E1BL89_BOVIN Transmembrane protein 192 OS=Bos taurus OX=9913 GN=TMEM192 PE=4 SV=3
+MEDGSLDLIQSIEDDPLLDTQHLPHHSLHAHFRPRFHPLPTVIIANLLLLIHLVFVILAF
+LTGVLCSYPNPMEDKCPGNYTNPLKVQTVIILGKVILWILYFLLERYIQYHHCKVRNRGY
+NKIYRSTRHLKRLPLMIHSTGSTALLLLLCLQHSFPEPSTWYLDLILATLALELICSLTC
+LLVYTVKIQKFNKAKPQPDVLEEEKIYAYSNNITSETGFRPLSSLEELVEKQGDIIVYLK
+RHNALLSQRLLAFSSSDLGSQPSGI
+>tr|A0A3Q1MJ21|A0A3Q1MJ21_BOVIN Phosphoenolpyruvate carboxykinase, cytosolic [GTP] OS=Bos taurus OX=9913 GN=PCK1 PE=4 SV=1
+MPPQLSDGLNYSAKIVRGSLDSLPQAVREFVESSAKLCRPDQVHICDGSEEENRQLLSHM
+EEEGVIKRLKKYDNCWLALTDPRDVARIESKTVIITREQRDTVPIPKNGLSQLGRWMSEE
+DFEKAFNIRFPGCMKGRTMYVIPFSMGPLGSPLSKIGIELTDSPYVVTSMRIMTRMGTSV
+LEALGDGEFVKCLHSVGCPLPLKKPLVNNWACNPELTLIAHLPDRREIISFGSGYGGNSL
+LGKKCFASSSFPGRARSGTPRMGSLVPTPTHGSALQPASAPSLTLTGSLQRACPLRASSS
+EGAGLLVSLWSTRLSAGSTVCLWGRP
+>tr|E1BGQ7|E1BGQ7_BOVIN Neuropilin and tolloid like 1 OS=Bos taurus OX=9913 GN=NETO1 PE=4 SV=3
+MIYGRSLFHIVASLIILHLSGAAKKGTEKQTTSETQKSVQCGTWTKHAEGGIFTSPNYPS
+KYPPDRECIYIIEAAPRQCIELYFDEKYSIEPSWECKFDHIEVRDGPFGFSPIIGRFCGQ
+QNPPVIKSSGRFLWIKFFADGELESMGFSARYNFTPDPDFKDLGVLKPLPVCEFEMGGPE
+GIVESIQIMKEGKASASEAVDCKWYIRAPPRSKIYLRFLDYEMQNSNECKRNFVAVYDGS
+SSVEDLKAKFCSTVANDVMLRTGLGVIRMWADEGSRNSRFQMLFTSFQEPPCEGNTFFCH
+SNMCINNTLVCNGLQNCVYPWDENHCKEKRKAGLLDQLTNTSGTVIGVTSCIVIILIIIS
+VIVQIKQPRKKYVQRKSDFDQTVFQEVFEPPHYELCTLRGTGATADFADVADDFENYHKL
+RRSSSKCIHDHHCGSQLSSAKGSRSNLSTRDASVLTEMPPQPIKPLIPPMNRRNILVMKH
+NYSQEAADACDIDEIEEVPTTSHRLSRHEKAVQRFCLIGSLSKHESEYNTTRV
+>tr|A0A3Q1MAX3|A0A3Q1MAX3_BOVIN Nedd4 family interacting protein 1 OS=Bos taurus OX=9913 GN=NDFIP1 PE=4 SV=1
+MALALAALAAVEPACGNRYQQLQNEEEPGEPEQAAGDAPPPYSSISAESAAYFDYKDESG
+FPKPPSYNVATTLPSYDEAERTKAEATIPLVPGRDEEFAGREEFDDPDQMRIGNDGIFML
+TFFMAFLFNWIGFFLSFCLTTSAAGRYGAISGFGLSLIKWILIVRFSTYFPGYFDGQYWL
+WWVFLVLGFLLFLRGFINYAKVRKMPENFSNLPRTRVLFIY
+>tr|A0A3Q1LUR4|A0A3Q1LUR4_BOVIN 5'-nucleotidase domain-containing protein 1 OS=Bos taurus OX=9913 GN=NT5DC1 PE=4 SV=1
+MAQHFSLAACDVVGFDLDHTLCCYNLPESARLIYNSFAQFLVKEKGYDKGLLTVTPEDWD
+FCCKGLALDLEDGNFIKLADNGTFKIVFFLGKYYFYDNYFDLPGALLCARVVDSLTKQNN
+GQKPFDFWKDIVAGIQHNYKMSAFKENCGIYFPEIKRDPGKYLHTCPESVKKWLRQLKNA
+GKILMLITSSHSDYCRLLCQYILGNDFEDLFDIVITNALKPGFFSHLPSQRPFRTLENDE
+EREALPFLDKPGWYSQGNAVHLYELLKKMTGKPEPKVVYFGDSMHSDIFPACHYSNWETV
+LILEELRGDKDGKPEESEPEEKKGKYEGSKAKPLNTSSKKWGSFFIDSVSGLENREDSLV
+YTWSCKRISAYSTIAIPSIEAIAELPLDYKFTRFSSNNSKTAGYYPNPPLVLSNAGKLTA
+K
+>tr|A0A3Q1MCT7|A0A3Q1MCT7_BOVIN Regulatory factor X3 OS=Bos taurus OX=9913 GN=RFX3 PE=4 SV=1
+MQTSETGSDTGSTVTLQTSVASQAAVPTQVVQQVPVQQQVQQVQTVQQVQHVYPAQVQYV
+EGSDTVYTNGAIRTTTYPYTETQMYSQNTGGNYFDTQGSSAQVTTVVSSHSMVGTGGIQM
+GVTGGQLISSSGGTYLIGNSMENSGHSVTHTTRASPATLQWLLDNYETAEGVSLPRSTLY
+NHYLRHCQEHKLDPVNAASFGKLIRSIFMGLRTRRLGTRGNSKYHYYGIRVKPDSPLNRL
+QEDMQYMAMRQQPMQQKQRYKPMQKVDGVADGFTGSGQQTGTSVEQTVIAQSQHHQQFLD
+ASRALPEFGEVEISSLPDGTTFEDIKSLQSLYREHCEAILDVVVNLQFSLIEKLWQTFWR
+YSPSTPADGTTITESSNLSEIESRLPKAKLITLCKHESILKWMCNCDHGMYQALVEILIP
+DVLRPIPSALTQAIRNFAKSLEGWLSNAMNNIPQRMIQTKVAAVSAFAQTLRRYTSLNHL
+AQAARAVLQNTSQINQMLNDLNRVDFANVQEQASWVCQCDDNMVQRLETDFKMTLQQQST
+LEQWAAWLDNVMMQALKPYEGRPSFPKAARQFLLKWSFYRYHLDFSYSAIMYVHASQKKK
+ERRVRSLVCRNSLVIIFSPARDYNIMELDQEGFGNKISAGKGKPLIQSPKSSQMGTSEIP
+VSDGSISRTSV
+>tr|E1BMM8|E1BMM8_BOVIN Hexosyltransferase OS=Bos taurus OX=9913 GN=CHPF PE=3 SV=1
+MRASLLLSVLRPAGPVAVGISLGFTLSLLSVTWVEEPCGPGPPQPGDSELPPRGNTNAAR
+RPNSVQPGAERERPGAGAGAGENWEPRVLPYHPAQPGQAAKKAVRTRYISTELGIRQKLL
+VAVLTSQATLPTLGVAVNRTLGHRLERVVFLTGSRGRRAPPGMAVVTLGEERPIGHLHLA
+LRHLLEQHGDDFDWFFLVPDATYTEAHGLARLVGRLSLAAAAHLYLGRPQDFIGGEPAPG
+RYCHGGFGVLLSRTLLQQLRPHLEACRNDIVSARPDEWLGRCILDATGVSCTGDHEGVHY
+SYLELSPGEPVQEGDPRFRSALTAHPVHDPVHMYQLHKAFARAELERTYQEIQELQWEIQ
+NTSRLAADGERAAAWPVGIPAPSRPASRFEVLRWDYFTEQHAFSCADGSPRCPLRGADRA
+DVADVLGAALEELNRRYHPALRLQKQQLVNGYRRFDPARGMEYTLDLQLEALTPQGGRRP
+LTRRVQLLRPLSRVEILPVPYVTEASRLTVLLPLAAAERDLAPAFLEAFATAALEPGDAA
+AALTLLLLYEPRQAQRAAHADVFAPVKAHVAELERRFPGARVPWLSVQTAAPSPLRLMDL
+LSKKHPLDTLFLLAGPDTVLTPDFLNRCRMHAISGWQAFFPMHFQAFHPAVAPPQGPGPP
+ELGRDTGRFDRQAATETCFYNSDYVAARARLAASSDQEEELMESLDVYELFLRFSSLHVL
+RAVEPALLQHYRAQTCSARLSEDLYHRCRQSALESLGSRTQLAMLLFEQEQGNST
+>tr|A0A3Q1MDL3|A0A3Q1MDL3_BOVIN Interferon related developmental regulator 2 OS=Bos taurus OX=9913 GN=IFRD2 PE=4 SV=1
+GREERKGETENREGQRKAGRNSTQADSGSSEDEAASEAHSTTSECASQLSAAAEEGLGGD
+AVEEQGQQEDLEEKVKEYVDCLTDKSAKTRQGALESLRLALAARLLPDFLLERRLTLADA
+LEKCLKKGKGEEQALAAAVLGLLCVQMGPGPKGEEFFHSLQPLLVSVLSDSTASLAARLH
+CASALGLGCYVAAADIEDLVSCLTCLEGVFSRSCSGRGSSPVVPTSLQGLLCAALQAWAL
+LLTICPSTHIGPILDRQLPRLPQLLSSESVNLRMAAGETIALLFELARDLEEDFVYEDTE
+ALCSELRTLATDSNKYRAKADRRRQRSTFRAVLHFVEGGECEEETIRFGLEVLYVDSWAR
+RRVYAAFKDALGSGMHHHLQNNELLRDIFGLGPVLVLDAAALKACKISRFEKHLYNAAAF
+RARTKARSRVRDKRADVL
+>tr|A0A3Q1LKS1|A0A3Q1LKS1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+QVRGHAAPRGWLEPVASVGLAEVRTLSPGTEFPQLTRSQVIQAEFFSATMWFWILWRFWH
+DSDAVLGHFPYPDPSQWTDEELGIPPDDED
+>tr|A0A3Q1M1Y1|A0A3Q1M1Y1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=TAF1 PE=4 SV=1
+TPKKSSAAAAAIMSDTDSDEDSAGGGPFSLAGFLFGNINGAGQLEGESVLDDECKKHLAG
+LGALGLGSLITELTANEELTGTDAALVNDEGWVRSTEDAVDYSDINEVAEDESRRYQQTM
+GSLQPLCHADYDEDDYDADCEDIDCKLMPPPPPPPGPVKKDKDQDAIAGVSEDGEGIILP
+SIIAPSSLASEKVDFSSSSDSESEMGPQEAAQTESEDGKLTLPLAGIMQHDATKLLPSVT
+ELFPEFRPGKVLRFLRLFGPGKNVPSVWRSARRKRKKKHRELIQEEQIQETECSVESEVN
+QKSLWNYDYAPPPPPEQCLSDDEITMMAPVESKFSQSTGDTDKVTDTKPRVAEWRYGPAR
+LWYDMLGVPEDGSGFDYGFKLRKMEQEPGFVVLPLLLGTDLLADENFLMVTQLHWEDDII
+WDGEDVKHKGTKPQRASLAGWLPSSMTRNAMAYNVQQGFAATLDDDKPWYSIFPIDNEEL
+VYGRWEDNIIWDAQAMPRFLEPPILTLDPNDENLILEIPDEKEEATSNSPSKESKKESSL
+KKSRILLGKTGVIKEEPQQNMSQPEVKDPWNLSNDEYYYPKQQGLRGTFGGNIIQHSIPA
+VELRQPFFPTHMGPIKLRQFHRPPLKKYSFGALSQPGPHSVQPLLKHIKKKAKMREQERQ
+ASGGGEMFFMRTPQDLTGKDGDLILAEYSEENGPLMMQVGMATKIKNYYKRKPGKDPGAP
+DCKYGETVYCHTSPFLGSLHPGQLLQAFENNLFRAPIYLHKMPETDFLIIRTRQGYYIRE
+LVDIFVVGQQCPLFEVPGPNSKRANTHIRDFLQVFIYRLFWKSKDRPRRIRMEDIKKAFP
+SHSESSIRKRLKLCADFKRTGMDSNWWVLKSDFRLPTEEEIRAMVSPEQCCAYYSMIAAE
+QRLKDAGYGEKSFFAPEEENEEDFQMKIDDEVRTAPWNTTRAFIAAMKGKCLLEVTGVAD
+PTGCGEGFSYVKIPNKPTQQKDDKEPQPVKKTVTGTDADLRRLSLKNAKQLLRKFGVPEE
+EIKKLSRWEVIDVVRTMSTEQARSGEGPMSKFARGSRFSVAEHQERYKEECQRIFDLQNK
+VLSSTEILSTDTDSSSAEDSDFEEMGKNIENMLQNKKTSSQLSREREEQERKELQRMILA
+AGSAASGNNHRDDDTASVTSLNSSATGRCLKIYRTFRDEEGKEYVRCETVRKPAVIDAYV
+RIRTTKDEEFIRKFALFDEQHREEMRKERRRIQEQLRRLKRNQEKEKLKGPPEKKPKKMK
+ERPDLKLKCGACGAIGHMRTNKFCPLYYQTNAPPSNPVAMTEEQEEELEKTVIHNDNEEL
+IKVEGTKIVLGKQLIESADEVRRKSLVLKFPKQQLPPKKKRRVGTTVHCDYLNRPHKSIH
+RRRTDPMVTLSSILESIINDMRDLPNTYPFHTPVNAKVVKDYYKIITRPMDLQTLRENVR
+KRLYPSREEFREHLELIVKNSATYNGPKHSLTQISQSMLDLCDEKLKEKEDKLARLEKAI
+NPLLDDDDQVAFSFILDNIVTQKMMAVPDSWPFHHPVNKKFVPDYYKVIVSPMDLETIRK
+NISKHKYQSRESFLDDVNLILANSVKYNGPESQYTKTAQEIVNVCYQTLTEYDEHLTQLE
+KDICTAKEAALEEAELESLDPMTPGPYTPQPPDLYDTNTSLSMSRDASVFQDESNMSVLD
+IPTATPEKQMHQGRGRLGEEDSDVDIEGYDDEEEDGKPKTPAPVNADGDLADEEEGTVQQ
+PQASVLYEDLLMSEGEDDEEDAGSDEEGDNPFSAIQLSESGSDSDDGHGK
+>tr|A0A3Q1M1C6|A0A3Q1M1C6_BOVIN Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase OS=Bos taurus OX=9913 GN=HACD2 PE=3 SV=1
+MAAAAAIAASKGNGGGGGRAGAGEASSSRRKKGPGPLATAYLVIYNVVMTAGWLVIAVGL
+VRAYLAKGSYHSLYYSIEKPLKFFQTGALLEVGIVPSSVVLTSFQVMSRVFLIWAVTHSV
+KEVQSEDSVLLFVIAWTITEIIRYSFYTFSLLNHLPYLIKWARYTLFIVLYPMGVSGELL
+TIYAALPFVRQAGLYSISLPNKYNFSFDYYAFLILIMISYIPLFPQLYFHMIHQRRKILS
+HTEEHKKFE
+>tr|A0A3Q1MDT0|A0A3Q1MDT0_BOVIN Mothers against decapentaplegic homolog OS=Bos taurus OX=9913 GN=SMAD7 PE=3 SV=1
+MFRTKRSALVRRLWRSRAPGGEDEEEGAGGGGGGGELRGEGATDGRAHGAGGGGAGRAVC
+CLGKAVRGAKGHNHPHPPAAGAGAAGGGEADLKALTHSVLKKLKERQLELLLQAVESRGG
+TRTACLLLPGRLDCRLGLGAPAGSQPAQPPSTYSLPLLLCKVFRWPDLRHSSEVKRLCCC
+ESYGKINPELVCCNPHHLSRLCELESPPPPYSRYPMDFLKPTDSQLLLEPGDRSHWCVVA
+YWEEKTRVGRLYCVQEPSLDIFYDLPQGNGFCLGQLNSDNKSQLVQKVRSKIGCGIQLTR
+EVDGVWVYNRSSYPIFIKSATLDNPDSRTLLVHKVFPGFSIKAFDYEKAYSLQRPNDHEF
+MQQPWTGFTVQISFVKGWGQCYTRQFISSCPCWLEVIFNSR
+>tr|E1BNU8|E1BNU8_BOVIN Epoxide hydrolase 3 OS=Bos taurus OX=9913 GN=EPHX3 PE=4 SV=1
+MPELVVTALLAPSRLTLKLLRAFMWSLVFSAALVAAAVYGCIALTHVMCRPRRGFLGRPR
+HTPPVCLSDPTLGEHCFLILRSSGLRLHYVSAGRGKGPLMLFLHGFPENWFSWRYQLREF
+QSRFHVVAVDLRGYGPSDAPKDVDCYTIDLLMADIQDVILGLGYSKCILVAHDWGALLAW
+NFSIYYPSLVERMVVVSAAPMSVYQDYSLHHIGQFFRSNYVFLFQLPWLPEKLLSMSDFQ
+ILKTTLTHRKRGIPQLTPSELEAFLYDFSQPGGLTGPLNYYRNIFRTFPLEPQELATPTL
+LLWGEKDPYFEQGLVEAISSRFVPGRLEAHILPGMGHWIPQTNPVEMHQYMWAFLQDLLD
+>tr|A0A3Q1MI98|A0A3Q1MI98_BOVIN Argininosuccinate lyase OS=Bos taurus OX=9913 GN=ASL PE=1 SV=1
+MASEPVWQTHQPALLGKAALTSPDLTALSAWRGKSGKLWGGRFVGTVDPIMEKFNSSITY
+DRHLWEADVQGSKAYSRGLEKAGLLTKAEMDQILHGLDKVAEEWAQGTFKLNPNDEDIHT
+ANERRLKELIGETAGKLHTGRSRNDQVVTDLRLWMRQNCSMLSALLCELIRTMVDRAEAE
+RDVLFPGYTHLQRAQPIRWSHWILSHAVALTRDSERLLEVRKRINVLPLGSGAIAGNPLG
+VDRELLRAELDFGAITLNSMDATSERDFVAEFLFWASLCMTHLSRMAEDLILYGTKEFSF
+VQLSDAYSTGSSLMPQKKNPDSLELIRSKAGRVFGRCAGLLMTLKGLPSTYNKDLQEDKE
+AVFEVSDTMSAVLQVATGVISTLQIHRENMGRALSPDMLATDLAYYLVRKGMPFRQAHEA
+SGKAVFMAETKGVALNQLSLQELQTISPLFSGDVSHVWDYGHSVEQYEALGGTARSSVDW
+QIGQLRALLRAQQTESPPHASPK
+>tr|F1MME4|F1MME4_BOVIN Calcium voltage-gated channel auxiliary subunit alpha2delta 3 OS=Bos taurus OX=9913 GN=CACNA2D3 PE=4 SV=3
+MEEMFHKKSEAVRRLVEAAEEAHLKHEFDADLQYEYFNAVLINERDKDGNFLELGKEFIL
+APNDHFNNLPVNISLSDVQVPTNMYNKDPAIVNGVYWSESLNKVFVDNFDRDPSLIWQYF
+GSAKGFFRQYPGIKWEPDENGVIAFDCRNRKWYIQAATSPKDVVILVDVSGSMKGLRMTI
+AKQTVSSILDTLGDDDFFNIIAYNEELHYVEPCLNGTLVQADRTNKEHFREHLDKLFAKG
+IGMLDIALNEAFNILSDFNHTGQGSICSQAIMLITDGAVDTYDTIFAKYNWPDRKVRIFT
+YLIGREAAFADNLKWMACANKGFFTQISTLADVQENVMEYLHVLSRPKVIDQEHDVVWTE
+AYIDSTLPQAQKLADDQGPVLMTTVAMPVFSKQNETRSKGILLGVVGTDVPVKELLKTIP
+KYKLGIHGYAFAITNNGYILTHPELRPLYEEGKKRRKPNYSSVDLSEVEWEDRDDVLRNA
+MVNRKTGKFSMEVKKTVDKGKRVLVMTNDYYYTDIKGTPFSLGVALSRGHGKYFFRGNVT
+IEEGLHDLEHPDVSLADEWSYCNTDLHPEHRHLSQLEAIKLYLKGKEPLLQCDKELIQEV
+LFDAVVSAPIEAYWTSLALNKSENSDKGVEVAFLGTRTGLSRINLFVGAEQLTNQDFLKA
+GDKENIFNADHFPLWYRRAAEQIPGSFVYSIPFSTGTVNKSNVVTASTSIQLLDERKSPV
+VAAVGIQMKLEFFQRKFWTASRQCASLDGKCSISCDDETVNCYLIDNNGFILVSEDYTQT
+GDFFGEVEGAVMNKLLTMGSFKRITLYDYQAMCRANKESSDGAHGLLDPYNAFLAAVKWI
+MTELVLFLVEFNLCSWWHSDMTAKAQKLKQTLEPCDTEYPAFVSERTIKETSGNIACEDC
+SKSFVIQQIPSSNLFMVVVDSSCLCESVAPITMAPIEIRYNESLKCERLKAQKIRRRPES
+CHGFHPEENARECGGAPNLKAKMVLILFPLLLMLFSR
+>tr|G3X6Z6|G3X6Z6_BOVIN Acyl-CoA wax alcohol acyltransferase 2 OS=Bos taurus OX=9913 GN=AWAT2 PE=4 SV=2
+MVLPSKKDLKISLEVFAIFQWALSAFVIVITVIAVNLYLVVFTPYWPVTVLMLTWLAFDW
+KTPERGGRRFTCVRKWCLWKQYCDYFPLKLLKTHDLSPSLNYIVACHPHGLLSHSWFGHF
+ATEMSGFSKTFPGITPYVLTLGAFFWVPFLREYIMSTGACSVSQSSMDFLLTRRGTGNML
+IVVVGGLAECKYSLPGSTTLFLKGRTGFVRTALQHGVALIPAYSFGETELYKQYTFTPGG
+FINRFQKWFQSMVHIYPCAFYGRGFTENSLGFLPYAQPVTTIEPGLENPSKKTVAKYHAI
+YVDALRQLFDQHKTKFGFSEAQELVVT
+>tr|A0A3Q1MIW4|A0A3Q1MIW4_BOVIN Coiled-coil domain containing 171 OS=Bos taurus OX=9913 GN=CCDC171 PE=4 SV=1
+MESHIRETALEELRLQAEQWEAERRELQFIVQEQDNAVQNMHKKVEKLEAEHMECSDLLR
+RQTSELEFSTQREERLRKEFEATTLRVRKLEENIEAERAAHLESKFNSEIIQLRIRDLEG
+ALQVEKASQAEAVADLEMIKNEFKEVESAYEREKHNAQESFAKLNVLEREYFSKNKKLNE
+EIEEQKKVILDLSKRLQYNEKSCSELQEELVMAKKHQAFLVETCENNVKELESILDSFSV
+SGQWTSGIHKDKDKPPSFSVVLETLRRTLTDYQNKLEEASNELSNMNNAKEKASNELDST
+KQKIESHTKNIKDLQDKLADVSKELSHLRTKCADREALINTLKVELQNVLHCWEKEKARA
+AQSESELEKLSQAFHKDAEEKLTFLHTLYQHLIAGSVLIKQPEGMLDKFSWPELCAVLQE
+NVDALIVDLNRANEKISHLEYICKNKSDTMKELQQTQEDTFNKVAEQIKAQESCWHKQKK
+ELELQYSELLLEVQKRAQKFQEIAEKNMEKLNRIEKSHEQLVFENSHFKNLLSQTKREKT
+SLLVACALMAGAFYPLYSRSSALSTQRDFLQEQVNTFELLKLEVRTLAQALSTVEEKKQE
+EAKMKKKTFKGLIRVFRKGVIAILAANRLKILGQSCVSLFTWMESFREGIGVLVCTGELK
+DKHKFPKHQKEQLRCLQALSWLTSTDLLVAIISSMAEVQEAISKTDPNSRICGHLLIGAA
+KNSFAKLMDKISLAMDTMPLHNNRSITYVEKDSLVQRLARGLHKVNTLALKYGLQGHVPI
+LKSTASLQKQIFGFTQRLHAAEVERRSLRLEVTELKRNLNEMKKELDKTQGLQMQLNEFK
+QSKLITHEKFESACEELNNALLREQQAQMLLNEQAQQLQELNYRLELHSSEEADKNQTLG
+EAVKSLSEAKMELRRKDQSLRQLNRHLTQLEQDKRRLEENIHDAESALRMAAKDKECVAN
+HMRTVENMLHKAMIKSFVDVYQLASARIATLEKEMTSHRSHIATLKSELHTACLRENASL
+QSTGSRDHSNLSIPSRAALPADTTDVGDFLPLKAELDTTYTFLKETLINSVPLASSSNSS
+PVTMSATAKRPAQIGL
+>tr|F1MMT3|F1MMT3_BOVIN Multiple PDZ domain crumbs cell polarity complex component OS=Bos taurus OX=9913 GN=MPDZ PE=4 SV=3
+MLETIDKNRALQAAERLQAKLRERGDAANEDKLNLLKSVLQSPLFSQILNFQTSIQQLKD
+QVNFATSTISNVEYGHIPHLSPGVIPTLQNESFLSSPNNGNLEVLTGFGTAHINGKPACD
+EFDQLIKNMAQGRQIEVFDLLKPPCGGLGFSVVGLRSENRGELGIFVQEIQEGSVAHRDG
+RLKETDQILAINGQALDQTITHQQAISILQKAKDTVQLVIARGSLPQLISPIVSRSPSAA
+STISAHSNPVHWQHVETIELVNDGSGLGFGIVGGKATGVIVKTILPGGVADQHGRLCSGD
+HILKIGDTDLAGMSSEQVAQVLRQCGNRVKLMIARGAIEEPTAPTSLGITLSSSPASTPE
+MRVDASTQKSEESETFDVELTKNVQGLGITIAGYIGDKKLEPSGIFVKSITKSSAVEHDG
+RIQIGDQIIAVDGTNLQGFTNQQAVEVLRHTGQTVHLTLMRRTKQDAELASREDVTKDAV
+LSPVNANVSKDNYQEDEDSLSLRRNTSILPIEEEALPLVSAEREEIEDAQQQEAALLTKW
+QRIMGINYEIVVAHVSKFSENSGLGISLEATVGHHFIRSVLPEGPVGHSGKLFSGDELLE
+VNGITLLGENHQDVVTILKELPIEVTMVCCRRTVPPTAPSELESLDLCDIELTEKPHIDL
+GEFIGSSETEDPVLTMTDVGRNAEEVQGPLAMWEADIQNIELEKGSQGLGFSILDYQDPV
+DPASTVIVIRSLVPGGIAEKDGRLLPGDRLMFVNDVNLENSSLEEAVQALKGAPSGTVRI
+GVAKPLPLSPEEGYVSAKEDSFLYPPHSCEEEGLADKALFRADLALVNTNDADLADESAF
+ESQYSPDNDSIYSTQASLLSLHGSACSDNLNYGPSLPSSPPKDIAENSSDSVLDLQMSLE
+ELYAQNLLQRQDESAPSVDLSMGPASGFTVNDYTPANAIEQQYECDGLRAWTESHLPNEV
+LSSAELSSPVLTDSTGKGSKFLIPQGSLASAAECVMLQNTPRESFERTIVIAKGNSSLGM
+TVSANKDGLGVIVRSIIHGGAISRDGRIAVGDCILCINEESTISLTNAQARALLRRHSLI
+GPDIKITYVPAEYLEEFKISLGQQSGGIMALDIFASYTGRDIPELPEREEGEGEESDLQN
+AAYSNWNQPRRVELWREPSKSLGISIVGGRGMGSRLSNGEVMRGIFIKHVLEDSPAGKNG
+TLKPGDRIVEVDGMDLRDASHEQAVEAIRKAGNPVVFLVQSIINRPRKSPLPSLPHNLYP
+KYKFSSTNPFAGSLQFNADKVPSQSESEPENPPLCHSPPPQPPVLAEMSGDHALSSANET
+SEDVDEEDEFGYSWKNIRERYGTLTGELHMIELEKGRSGLGLSLAGNKDRSRMSVFIVGI
+DPNGAAGKDGQLQIADELLEINGQILYGRSHQNASSIIKCAPSKVKIIFIRNKDAVSQMA
+VCPGHTVEPSPATSENLQNKEAEPSVTTSEAVVDLSSLTNVQHLELPKDQGGLGIAISEE
+DTVSGVIIKSLTEHGAAAKDGRLKVGDQILAVDDEVVVGYPVEKFINLLKTAKTKVKLTI
+RAENPDSQATTSGTGTANGEKKSSPQSPVAPPSSSPEPEPMRSTSRSSTPAIFASDPATC
+PIIPGCETTIEISKGRTGLGLSIVGGSDTLLGAIIIHEVYEEGAACKDGRLWAGDQILEV
+NGIDLRKATHDEAINVLRQTPQRVRLTLYRDEAPYKEEDVYDTLTVELQKKPGKGLGLSI
+VGKRNDTGVFVSDVVKGGIADADGRLLQGDQILTVNGEDVRHATQEAVAALLKCSLGTVT
+LEVGRVKTGPFHSERRPSQSSQMSEASLSSFTFPLSGSGTPELLESSSKKNALASEIQGL
+RTVEIKKGPTDSLGISIAGGVGSPLGDVPIFIAMMHPNGVAAQTQKLRVGDRIVTICGTS
+TEGMTHTQAVNLLKNASGSIEMQVVAGGDVSVVTGHQQEPASSSLSFTGLTSSSIFQDDL
+GPPQCKSITLDRGPDGLGFSIVGGYGSPHGDLPIYVKTVFAKGAASEDGRLKRGDQIIAV
+NGQSLEGVTHEEAVAILKRTKGTVTLMVLS
+>tr|A0A3Q1M1B6|A0A3Q1M1B6_BOVIN CD177 molecule OS=Bos taurus OX=9913 GN=CD177 PE=1 SV=1
+MSPALLLALLGITLALPGARALNCYSGVAETIRNVSEQPFRWTTSQVNCGEGLGCQEMVL
+ITQNDPLLYLVLIKGCTQAANQEARVTDHRAGPGLSIVSYTRVCRENLCNDLSTSLPLWT
+PLPRTVPGSVRCPVCLSGEGCLSAPEMTCPAKSSHCYNGVLQLTAGDPPGGSSTSRLKVQ
+GCISQAGCNLLNGTQEVGPISLWETCIPKGECHGDKTKHFGRGCQETEKVRGPRSILLGS
+KGCSQVNIQGDFMHSRPPGVLVASYARVCSSDYCNSAASSSVLVNALPRPAPPAPGHLQC
+PVCLALGSCSQSSNVMCPKGTSHCYKGQIFLRGGGLTAPVDIQGCVAHPSSTLLGRRWSI
+GVFTVTETREGGAEVDEPLISSGAAPGPSLAWAVGLALALWCGAPSLLTLFPQDP
+>tr|A0A3Q1MJ11|A0A3Q1MJ11_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC530599 PE=4 SV=1
+PDRPLGGGQQVTTWGSLQPQVFRSLLRPCPLQVPAPRTSAQEVPDMMPPPGCSGGNCHPP
+TGNLVIGRGQSLRTSSTCGLHGPELYCVVSKLQDSQNCCFCDSRDGKSHGIENVVSRSDP
+DGRKTWWQAESGVENVTIQLDLEGAFYFTHLIMTFKTFRPAALLLERSVDHGHSWHVFRY
+FAHNCSGLFPGIPPAPGRRVSDLVCDQRYSDIEPATEGEVIFQVLDPSFLAENTNNAEIQ
+ELLRVTNLRVNFSKLHTLGDRPLGGLRGHPFYYYALYELVIAGSCLCHGHASECRPAPGS
+PPSVEGMVHGHCVCRHHTTGTHCERCQGLYQDHPWQAAEPGYPHTCQGRCHCHGHARSCH
+FDMALYLASGNVSGGVCDACQHNTAGRHCELCQPFFHRDPLEDPRSLHSCKPCDCNPMGA
+LEGGLCDAYTDTTRGLLSGQCRCKVHVWGQRCDSCRPGHYGLSLTQSEGCQPCRCNVRGR
+VPSTQACDPSSGACHCKRFVSGRDCSRCLPEFWGLSSDSLGCRPCDCDFGGAYSNRCSAG
+QGLCLCRPHLHGRHCQELQSGYFCATLDQATAEAEHGRSLQPADPQLPVSVQQGQGEIKA
+HTLGLRAGRARPERASWKKRPDRVRKVRQLQTVGSGFALGRGSDFARVVDGAGLSLLAPT
+VPRALDYDIVLRYETQVSGMAPEVWQALVRVRAQSQPRSTRCARPLPSEQLFQATLTHAR
+RAVVLSRPFCFEPGTRYSVTLRLWRTKEGRRPEGGTILLDSVVLLPRVGELPGLRSVDPG
+ALRRLQELHEAGCLEAARVSPPQSMPEACARLACSISALLHGGGLGEPCECHLQGSLSTE
+CAPLGGQCPCRPNITGRTCDRCLPGTFGLGPTGCHECRCHPEGAASAVCNSTSGQCTCWA
+GCAGRRCDRCLSGRWGFPRCQPCACNGHAELCHPLTGVCQDCRGATTGRHCERCLDGYYG
+DPTLGSGQRCQPCPCPGHPGSGLYHGTSCHVDSTSGRVLCLCAPGYAGPRCDRCSPGYFG
+RPWPGDDPRRSPCRPCQCNNNIDPRDPAACDPHSGHCQRCLHHSHGAGCAHCRPGFHGSA
+LRPGGCRRCSCDPRGTSPVRCPPEAEACFCDPVSGQCPCRPHTLGRDCSRCAPLFWNLGG
+PRGCEPCSCHARHTLQPGCHPVTGQCPCRAGFGGRTCSRCQDGYWGDPEQESCACDPQGS
+ISPSCDPHTGTCRCREGISGPRCQACARGSTGGFPHCTSCPPCFASWDQRLAPLQLHLDT
+MVHEVAALRQGMPGWGAGLRGDQLQALEGKLQQAQTLLESPSPTRGPLQQFTEWITGLRQ
+GEALGKKVATLWALEQRAAELLGHMRLWARAYGTC
+>tr|E1BPF2|E1BPF2_BOVIN TOPBP1 interacting checkpoint and replication regulator OS=Bos taurus OX=9913 GN=TICRR PE=4 SV=3
+MACCHNVMLLLDTAGGVAGESLVRRAALRLLTYLSCRFGLARVRWAFRFFDSQGARSRPS
+RVSDFRELGVRSWEDFEEELEARLGDGAQGAHLPGPAPRASHTHSALMETLLDYQWDRPE
+ITSPTKPILRNSGRRLLDVEGEAREAESALGGFVNAVFLLAPCPHSQRELLQFVSGSEAQ
+AQRLPPTPKQVMEKLLPRKVQEVMRTRKITLYWVDTTEWPKLWESPDHLGYWTVCELLYH
+VGGTVLPFESFSQDFTKAGETLLSGERKLSSKPHLSSWISTLPTDSTLNCLLYNSPEYEA
+SFPRIEGTLFFPVEGKELQETWTVTLEPLAMHQRHFQKPVRIFLKGTVTQWSLPTSGTLG
+TDSWMLQSPDGDRSTQRMLFQHLVNRLTAEELHLVASVDPGEGWPPITGVISPFSVGATV
+LTLFCTRETESQRHLLQTVVAESPQDSAALFSEVVDGVLKQIDSSLEVPATSDLPVPEWA
+QQELGRSAPWTSAIVEKWFPCSNLSGASSSLMESFWLLQATSSAKEEPSKTESDLTRGLS
+ELYQRKSREEYSVSSQEDSRKKRGVPRTPVRKKMNTMCRSLKMLNVARLNVKAQKLHPDG
+SPDTAGEKGIQKTANGRTADRLENRGRTLRSSKPKDFKTEEELLSHIHENYQKTVAAGDT
+MLYSCARSTISNIKAFLKSKGTKELEEASLEMNCLYQVRNNFLKTSKSLRQNVGKLDKEG
+KVRECQLQVFLRLELCLQCPSVHERVEDLEQVVGEVTDLLRMLCLTEDSAYLAKFLEGIL
+ELYIDSIPKILGSLYDSLGFVIPQKLAGVLPADFFSDDSMTQESKSPLSVPLPSNAHRSL
+PGGTESDQLEELRTRSAKKRRKNAIRHKSIAEVSQNLRQIEIPKVAKRATKNENSHPTLQ
+QQPPLPVKDTVQEVTKVRRNLFNQEMISPSKRSVKKGLPRSHSVSAVEGLEYKRDSFKRT
+KGYRKLLTKSVAETPVHKQISGRLLHRQIKGRSSDPGPDIDVVEESPEKGEDVVSLRRSP
+RIKQLAFSRTNSASFYSVSQPKSRSIQRVHSFQQMKSDQRENSPVQSIRSPKRLLFGAMS
+EMISPSEKGSARIKRPSGNTVGSATPTAYQTPEKSYWKSPSSCKATPRKVPRTPQTPLRT
+PERLQKSPAEMTPAKQVIFKEFLKDLSPHGWDSPSQPKVTPRKGHSLAEGASSLEKVRRT
+PQRQSGQPPRFLQNSSWPHLVDSSPESTSCPAALVSSTAPTRTECLTPNRSSARAPPRTA
+ASGLQRQAASKGTSPSQKHQLPQGSGAPRAEKLPQLWSNKAVKTPERPGNAALTFPKRAV
+TSLSCGISKESSLGEFPIASLSSGQGGWQEVQRSPSVTTPPSCSAPLTPPSASQVAPSDL
+WPPPPPSKHGKRHNKTSETEQDFLEGQPDSLAALRVAITDNPAAITDTGKNQKAATLSSP
+ASPELPAYPGTGFGGDWHTSSPLLIATDSDCLTLLDEAEHHGHDNLKSSISPLDGGEGLR
+MGTCEKPSPCDPRILPTSPSSGPHSPLMPLYDPHCTADMKQCQAATQLEDQQAAPWPCGA
+PASPQTYEVELEMQASGLPKLRIKKIDSSSLPEVEPPPREESSLPGPSVPRASKSSGKPE
+ATCLSAPCLRPSHSTPGKSGGQTYICQSCTPTHCPCGTPSPFQTEVGVPWTPSPKHSGKT
+TPDTIKDWPRRKRAVDCSLGPSAGRSEVSSDLPGGVLPLEPEGKERGLELGIGRPPILGD
+FELEGVCQLPDQSPPRDSVPKAEEAFSWGQFGLGSRKRCLSAEEAAERQVKRACDQQGDS
+EERSPSTSIRQLPSAADDEVFVPGATPPPGCAVRSCLSASGLQALTHSPLLFQGKTPSSQ
+RKEARDEDVDVFSPTAEDSPFSRAFSRRRPIGRSYSRKKLLS
+>tr|F1N3C9|F1N3C9_BOVIN Bora, aurora kinase A activator OS=Bos taurus OX=9913 GN=BORA PE=4 SV=2
+MGDVKESKMQITPETPGRIPVLNPFESPGDYSNLHEQTVSSPSVFKSTKLPTPGEFRWSI
+DQLAVINPVEIDPEDIHRQALYLSRSRIDKDVEDKRQKAIEEFFTKDVIVPSPWTDHEGK
+QLSEYHSSKCINTNNESPVGRKLTIHSEKSNAACQTLLSLPVDFNLEKILGDYFRADEFA
+DQSPGNLSSSSLRRKLFLDGNGSISDSLPPASPRSPRSGAQTSLEVFYSIELSPVQCRSP
+VQTPSSGQFSSSPIQDSAKRYSLGSITNPSPISSPTFSPVAFQIGKTPLSEQRKFAFHSP
+DASSGTNCNGITNPYIRSPYIDGCSPIKNWSPMRLEMCRGGAQYRTSLIRVPFTLEARSE
+EEEDQAAVPSTDASAPVTGMAGVHLPQLGSDTSPCGAHLVVTAMSITQSQSGASEKELEL
+LQDVESEKKNNTVDMVDPIEIADETTWIKEPVHNGNLPAADSVSGMAFSIENSHMCLSPL
+AESSVLPCESSNIQMDSGYNTQNCGSNIMDTVGAESYCKESDAQTLEVENKSRVFNTKQD
+HSMQRCWMKTSNLPQCSSP
+>tr|F1MM85|F1MM85_BOVIN SMC5-SMC6 complex localization factor protein 1 OS=Bos taurus OX=9913 GN=SLF1 PE=4 SV=2
+MEDDAPKHIIQMTGFKVEEKEALGKLLLKLDCTFIKSEKYKNCTHLIAERLCKSEKFLAA
+CAAGNWFTEKEYKPHSNCGRWLDETTYEWGYKIEKDSHYSPQMQSAPKRWREELKRTGAP
+GAFHKWKVVLLVRADKRSDSLVRVLEAGKANVILPKNSPTGITHVIASNARIKAEQEKDD
+FKAPFYPIQYLEDFLLEKEIHNDEDSQTNSTWKNHSSQEKSNDFRENMGFLEMKGTLKET
+MCRTQKEMKNHDEDVTISSILTEHQSKERFRDSRKNLKFVKMRNALGRHTYRNQEMKKKD
+EDIQSIYTLRKKRKKEKERDSRKDIEHDRSTLRKHIYRDQKERKNSVFAGHAKESKTKDI
+RTNVDIVDLKNALRKHIYRAQAVRYRGIRIDKQPAYNVEVKNAEFPRGILNLIESLIEGQ
+FFKEAIEELSSLQAHYIPPVYLLHALLENILQDNIDTFSGRYFHKMSALLHLHPPWKSPA
+ISVYLIALLCLRSTFKVIHLHIVSCLFNESFCHQISENIIGSKVLHLTLLKFFFNLIESE
+VRHLSQKLYDWSDSQSLKITGKAVLLEIFWSGNETSGLLTKPVNMLLEWTIYSHKEKCKS
+NDVFRHELAYLLTGILGAAIDYWIVLGLNMGRNVMRHMSDDVGSYVSLSCDDFSSQDLEI
+FISSFSSSWLQMFVAEAVFKKLCLQNSISISSEPLSLQKMVYSYLPALGKTGVRGTRKMQ
+KPKKIGLRPCFESQRALIMLNGAKQKQGEGLPEIPELNLAKCSSSLKRLKKKSEGELSCS
+KENCPSLVTKINFHKTNLKGETALHRACINNQVDRLILLLSMPGIDINVKDNAGWTPLHE
+ACNYGNTVCVQEILQRCPEVDLLTQVDGVTPLHDALSNGHVEIGKLLLQHGGPVLLQQRN
+SKGELPLDYVVSSQIKEELFAITKIEDTVENFHAQAEKHFYHQQLEFGSFLLSRMLLNFC
+SIFGLSSESLAFKGLTHLSELLIACQNYKETTSVHTDWLLDLYARNIMTLQKLPNALKEL
+PENVKVCPGVHTEALLVTLEVMCRSVTEIS
+>tr|F1MSQ8|F1MSQ8_BOVIN Transforming acidic coiled-coil containing protein 1 OS=Bos taurus OX=9913 GN=TACC1 PE=4 SV=3
+MAFSPWQILSPVQWARWTWSAVRGGGAGEDEAGGPEGDPEDEDSQAETKSLSFSSDSEGN
+FETPETETPIRSPLKESCESHLGLAGSGAKTQDSQEEEEELVAEVVGSCSSAAASRPSGQ
+EAAPPAGGSRPVKDFREEPEHDASKISVVRPFSIESRNCGDVPVAPGTAALLGPAVGVQD
+NEAMTAGAALEADPGAGSPRPEPGAGRSRLRKPRPVPLRKKALGSELSEATPALEDMRPV
+GEDGKAGSQKCAPEMMETPGGDAHDSGAEQPEDARSPPLQCEFDFAEDTETVESRRALPR
+KPGRKPGSRVMPQVHGAKAPPEPGPGASPTLRPRGGPLARQHSPPLSAQGSYRFDPDLFD
+ESSDPFKPSMTFASGDFDSPAGNQVNEILESPKKAKSRLITTTEQVKFLCFLLSGCKVKK
+YEAQSLALDGCSQDEGAVISQISDISNRDGHATDEEKLASTSSVQKPTGAEGKGSEKDPC
+QKMEKDGSTVPALLESPVEKTPVSVACGVESPLDGICLSESDKTAVLTLIREEIITKEIE
+ANEWKKKYEETRQEVLEMRKIVAEYEKTIAQMIEDEQRTSMSSQKSFQQLTMEKEQALAD
+LNSVERSLSDLFRRYENLKGVLEGFKKNEEALKKCAQDYLARVKQEEQRYQALKIHAEEK
+LDKANEEIAQVRTKAKAESAALHAGLRKEQMKVESLERALQQKNQEIEELTKICDELIAK
+LGKTD
+>tr|A0A3Q1M2T7|A0A3Q1M2T7_BOVIN Cyclic nucleotide binding domain containing 1 OS=Bos taurus OX=9913 GN=CNBD1 PE=4 SV=1
+MPMSPLPAAILSHMIAVSNVPPPPLRSIPNLQKSRHIDYGQLNALCHMREKQHSRTNILS
+AHNVFMKQYPKIFLQKKVRLPKLFKEEETRIPAEDTAESKPLQPDDSHNIAIHVKKARGG
+RTLYGPKQYEEKVAHFMAILKKLPIHRTPSEHNIVWKMLKTIPDLTSQLLDEHLKVLSKN
+VISETWIKGSTVIGNDGFYIILKGLARPQTQIYKNLIEEHDSTTSFIPQSFHSFDFSEEF
+RNPLLAGVGTRYFHSHLRISLGQWSTFGTLEVAANIESETKEYSVVTEEDCEILKIPANN
+FAKLKAEKTKLENQQKVKLIHKCPYYVEWPTLSIYELVTFTKWKKFPPGHVIVESGNIIS
+FVAYINSGYCKIYRNIIGFSKPQSRKVKKIQKLVYMGRLNEKESFGEISVLLQVPFTCTI
+VTGKDVEMAIIEDKDLHALDPVTKQLMLQTAKPTFGHLSDVINPKLEVQFKVQVLFPLKN
+LQLLTNDFFFFFFNTQCKKMPALTYTLVGTRTDAACKASHMC
+>tr|A0A3Q1MP79|A0A3Q1MP79_BOVIN 40S ribosomal protein S27 OS=Bos taurus OX=9913 PE=3 SV=1
+LPLKKMGKTEKKKHKKKWLVQSPNSYFMDVKCPGCYKITTVFSHAQTVVLCVGCSTVLCQ
+PTGGKARLTEGCSFRRKQH
+>tr|E1BGJ5|E1BGJ5_BOVIN CD93 molecule OS=Bos taurus OX=9913 GN=CD93 PE=4 SV=3
+MASSAGLLLLLLLLSIQSRAENTTDWEAVVCAGSACYTAHRHKLSAEDAQLHCSKKGGNL
+ATVKSEEEAWHIQGALTQLLLLGPPLQERSKFWIGLQREKGTCSDSSLPLKGFSWLGDGE
+DSQYNNWYKEVRSTCTHRRCVSLILDLSVPGLASGLSKWVDGPCGHSGFPGMNIEGFVCK
+FSFKGMCRPLTLGGPGQVNYTTPFQATSSSLQAVPFASMATVACEDGNEGRQHYFLCKEK
+APSEFDWDSSGPLCVSPKFGCDFNNGGCQQDCFEGGDGSFRCGCRPGFRLLDDLVSCASR
+NPCSSNPCGGEATCFPGSLGTDFTCHCPPGYQLDSTQRDCVDVDECRDNPCAQDCVNTPG
+SFRCECWVGFEPGGPEEGACVDVDECAPGHSPCAQSCTNTEGSFYCSCEEGYELAEEDGT
+QCLDVDECEVQQGGLCDSLCFNTEGSFRCGCLPGWELDPNGVSCTGGHTSLGPPTGFPLR
+EDTGNGEGKLQSSATVSSRSGDNPEGTSTVVPSNRRLSLPARVPTSLPPSEMLAPSATPG
+VWMEPSTHYPTATTGHRESAGEDFTAKQSEQGTDGQQLLLFYILGTVVAILLLLALALGL
+LVCRKRRAKKEEKKQQPQSAADSYAWVPERAESRAMENPYSPTPGTDC
+>tr|F6RJL7|F6RJL7_BOVIN TNF receptor associated factor 4 OS=Bos taurus OX=9913 GN=TRAF4 PE=4 SV=2
+MGCSTLHASPPKQVGRRGSWLDQTSFPDDWEVAPIKKKKKKTAACLECGLFRGGGGHPRA
+GLGLIWAAEPRSREECGRSLRLGPRFLWRGAQPGLPAQLDSPDLVAMLQLPSTWRLEPRA
+PGRHVAPETELLTPEKRIFVAWPGQSPEALRPIQRPSSFRPPQPWREAGPRFFFPRGRGN
+PCSSAVASLAERRPRSRRPAGWVGSAAERALGPRGRSPAPSSGGRAQGPRAGPGRQSAPP
+GAGSAAGARHGLWGLRAPGPARPCPPAMPGFDYKFLEKPKRRLLCPLCGKPMREPVQVST
+CGHRFCDTCLQEFLSEGVFKCPEDQLPLDYAKIYPDPELEVQVLGLSIRCIHSEEGCRWN
+GPLRHLQGHLNTCSFNVVPCPNRCPAKLSRRDLPAHLQHDCPKRRLKCEFCGCDFSGEAF
+ESHEGVCPQESVYCENKCGARMMRRLLAQHATSECPKRTQPCTYCTKEFVFDTIQSHQYQ
+CPRLPVPCPNQCGVGTVAREDLPGHLKDSCSTALVLCPFKDSGCKHRCPKLAMARHVEES
+VKPHLAMMCALVSRQRQELQELRRELEELSVGSDGVLIWKIGSYGRRLQEAKAKPNLECF
+SPAFYTHKYGYKLQVSAFLNGNGSGEGTHLSLYIRVLPGAFDNLLEWPFARRVTFSLLDQ
+SDPGLAKPQHVTETFHPDPNWKNFQKPGTWRGSLDESSLGFGYPKFISHQDIRKRNYVRD
+DAVFIRASVELPRKILS
+>tr|F1N7D7|F1N7D7_BOVIN Dystroglycan OS=Bos taurus OX=9913 GN=DAG1 PE=4 SV=2
+MPEALGALGWSRCAEGEDPVLGPRCLLLFLERLICLNRLEPGMRMSVGSSLPLPLWGRTF
+LLLLSVAVTQSHWPSEPSEAVRDWENQLEASMHSALSDLHETVPTVVGIPDGTAVVGRSF
+RVTIPTDLIASNGEVIKVSAAGKEALPSWLHWDPQSHTLEGLPLDTDKGVHYISVSAARL
+GANGSHVPQTSSVFSIEVYPEDHSEPQSLRAASPDPGEVVSLVCAADEPVTVLTVILDAD
+LTKMTPKQRIDLLRRMRGFSEVEPHNMKLVPVVNNRLFDMSAFMAGPGNAKKVVENGALL
+SWKLGCALNQNSVPDIRGVEVPAREGAMSAQLGYPVVGWHIANKKPSLPKRIRRQIHATP
+TPVTAIGPPTTAIQEPPSRIVPTPTSPAIAPPTETMAPPVRDPVPGKPTVTIRTRGAIIQ
+TPTLGPIQPTRVSEAGTTVPSQIRPTMTIPGYMEPTAVITPPTTTTKKPRVSTPRPATPS
+TDSSTTTTRRPTKKPRTSRPVPRVTTKAPITRLETASPPTRMRTTTSGVPHGGEPNQRPE
+LKNHIDRVDAWVGTYFEVKIPSDTFYDNEDTTTDKLKLTLKLREQQLVGEKSWVQFNSNS
+QLMYGLPDSSHVGKHEYFMHATDKGGLSAVDAFEIHVHRRPQGDKAPARFKAKLTGDPAA
+VTNDIHKKIALVKKLAFAFGDRNCSTITLQNITRGSIVVEWTNNTLPLEPCPKEQITALS
+RRIAEDDGKPRGAFVNALEPDFQAMSITVTGSGSCRHLQFVPVAPPMRVPSEAPATEVPD
+RDPEKSSEDDVYLHTVIPAVVVAAILLIAGIIAMICYRKKRKGKLTLEDQATFIKKGVPI
+IFADELDDSKPPPSSSMPLILQEEKAPLPPPEYPNQSMPETTPLNQDTVGEYAPLRDEDP
+SAPPYQPPPPFTAPMEGKGSRPKNMTPYRSPPPYVPP
+>tr|A0A3Q1M221|A0A3Q1M221_BOVIN RNA binding protein fox-1 homolog OS=Bos taurus OX=9913 GN=RBFOX2 PE=4 SV=1
+MYGVYCMDYQYPVVQGNQEPTTTPDAMVQPFTTIPFPPPPQNGIPTEYGVPHTQDYAGQT
+SEHNLTLYGSTQAHGEQSSNSPSTQNGSLTQTEGGAQTDGQQSQTQSSENSESKSTPKRL
+HVSNIPFRFRDPDLRQMFGQFGKILDVEIIFNERGSKGFGFVTFENSADADRAREKLHGT
+VVEGRKIEVNNATARVMTNKKMVTPYANGWKLSPVVGAVYGPELYAASSFQADVSLGNDA
+AVPLSGRGGINTYIPLISLPLVPGFPYPTAATTAAAFRGAHLRGRGRTVYGAVRAVPPAA
+IPAYPGIVLQEPIISAKIPQGGYAAYRYAQPATATAATAAAAAAAAYSDGYGRVYTADPY
+HALAPAASYGVGAVASLYRGGYSRFAPY
+>tr|F1MP52|F1MP52_BOVIN SHC adaptor protein 3 OS=Bos taurus OX=9913 GN=SHC3 PE=4 SV=2
+MLPRTKYNRFRNDSVTSVDDLLHNLSVSGGGKVSAARAAPAAAPYLVSGEALRRAPDDGP
+GSLGHLLHKVSHLKLSSSGLRGLSAARERAGARLSGSCSAPSLAAPDGSAPPGPRAPAMR
+AARKGRPGDEPPPRAPHANDQVLGAGVTYVVKYLGCIEVLRSMRSLDFSTRTQITREAIS
+RVCEAVPGSKGAFRKRKVPSSQLYVCLCLLRFSPLLSPHSIFNHTPCSIIANHHMQSISF
+ASGGDPDTTDYVAYVAKDPVNRRACHILECCDGLAQDVIGSIGQAFELRFKQYLQCPSKI
+AALHDRMQSLDEPWTEEESDGSDHPYYNSIPSKTPPPGGFADARLKARPHGPDTAQFAGK
+EQTYYQGRHLGDPFGEDWQQTPVRQGERQGSLDIYSMPEGKSHVAPTGEAPTYVNTQRIP
+QQTGPATGSSAESSPRKDLFDMKPFEDALKIQSLGPVLSKAASMECISPVSPRAPDAKML
+EELKAEPWYQGEMSRKEAEGLLKRDGDFLVRKSTTNPGSFVLTGMHNGQAKHLLLVDPEG
+TIRTKDRIFDSISHLINHHLENSLPIVSAGSELCLQQPVERNP
+>tr|A0A3Q1LTF2|A0A3Q1LTF2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MSFTMHSVFFTLKVSLLLGSLLGLCLGLEFMGLPNQWARYLRWDASTRSDLSFQFKTNVS
+AGLLLYLDDGGVCDFLCLSLVDGRVQLRLSMDCAETAVLSGKRVNDSSWHFVMVSRDRLR
+TVLVLDGEGRSAELQPQRPYMDVVSDLFLGGVPADIRPSALTLDGVQAMPSFKGLISDLK
+YGNSEPRLLGSQGVQLDAEGPCGERPCENGGICFLLDGHPTCDCSTTGYGGKLCSEGKGP
+LLYPSECLMGRQGIFGGDFSPPRTKTPR
+>tr|A0A3Q1LXB5|A0A3Q1LXB5_BOVIN Tyrosine-protein kinase receptor OS=Bos taurus OX=9913 GN=INSR PE=3 SV=1
+PSTAKGIITLQVTWPRGCPATHSYKPSMGCLLTCLALCLFALPVCPGMDIRNNLTRLHEL
+ANCSVIEGHLQILLMFKTRPEDFRDLSFPKLIMITDYLLLFRVYGLESLKDLFPNLTVIR
+GSRLFFNYALVIFEMVHLKELGLYNLMNITRGSVRIEKNNELCYLATIDWSRILDSVEDN
+YIVLNKDDNEECGDICPGTAKGKTNCPATVINGQFVERCWTHSHCQKVCPTICKSHGCTS
+EGLCCHSECLGNCSEPDDPTKCVACRNFYLDGRCVETCPPPYYHFQDWRCVNFSFCQDLH
+NKCKNSRRQGCHQYVIHNNKCIPECPSGYTMNSSNLMCTPCLGPCPKVCHLLEGEKTIDS
+VTSAQELRGCTIINGSLIINIRGGNNLAAELEANLGLIEEISGYLKIRRSYALVSLSFFR
+KLRLIRGETLEIGNYSFYALDNQNLRQLWDWSKHNLTITQGKLFFHYNPKLCLSEIHKME
+EVSGTKGRQERNDIALKTNGDQASCENELLKFSYIRTSYDKILLKWEPYWPPDFRDLLGF
+MLFYKEAPYQNVTEFDGQDACGSNSWTVVDIDPPTRSNDPKSQNHPGWLMRGLKPWTQYA
+IFVKTLVTFSDERRTYGAKSDIIYVQTDATNPSVPLDPISVSNSSSQIILKWKPPSDPNG
+NITHYLVFWERQAEDSELYELDYCLKGEWLKLPSRTWSPPFESEGSQKHNQSEYEESAGE
+CCSCPKTDSQILKELEESSFRKTFEDYLHNVVFIPRPSRKRRALGDVGNVTAAVPTALGL
+PNTSSTSTPMSSEEHRPFEKVVNKESLVISGLRHFTGYRIELQACNQDSPEERCSVAAYV
+SARTMPEAKADDIVGPVTHEIFENNVVHLMWQEPKEPNGLIVLYEVSYRRYGEEELHLCV
+SRRHYALERGCRLRGLLPGNYSVRVRATSLAGNGSWTEATYFYVTDYCKSARPLRLVESV
+LGAGPSRVFQRMQPDGPLGPLYASSNPEYLMFPCSVYVPDEWEVPREKITLLRELGQGSF
+GMVYEGNARDIVKGEAETRVAVKTVNESASLRERIEFLNEASVMKGFTCHHVVRLLGVVS
+KGQPTLVVMELMAHGDLKSYLRSLRPEAEVSCLQVPEMIQMAAEIADGMAYLNAKKFVHR
+DLAARNCMVAHDFTVKIGDFGMTRDIYETDYYRKGGKGLLPVRWMAPESLKDGVFTTSSD
+MWSFGVVLWEITSLAEQPYQGLSNEQVLKFVMDGGYLDQPDNCPERVTDLMRMCWQFNPK
+MRPTFLEIVDLLKDDLHPSFPEVSFFHSEENKAPESEELEMEFEDMESVPLDRASHAQRE
+EAGGRDGGSALGLKRNYDEHIPYTHMNGGKKNGRILTLPRSNPS
+>tr|F1MYI9|F1MYI9_BOVIN C1q and TNF related 12 OS=Bos taurus OX=9913 GN=C1QTNF12 PE=4 SV=3
+MRWAWVAATVLLWPQISFLGGNGARREPKRPRQSSQRPMAPSTTTLGSEGLLGFPKLPEA
+SGPEFTDAHVTWLNFVRRPDHGPSKKRCRGQDKKSRGLSGPPGHPSVEAPQESALREFQD
+MLKEATEHRSLGLLGPVLPEGIGRQLVAEAFHCRLRGPVLVDKKTLVELQGFQAPAAQGA
+FLRGSGLSLASGRFTAPVTAIFQFSASLHVDPRELQGRVRPRARDTVRVLVCIESLCHRH
+MSLEAISSLESSGSVFSMHVQGLLQLQAGQYTSVFVDNGSGAALTVQSSSSFSGLLLGP
+>tr|A0A3Q1LQ29|A0A3Q1LQ29_BOVIN Solute carrier family 22 member 16 OS=Bos taurus OX=9913 GN=SLC22A16 PE=4 SV=1
+EGGSKLEKLVGAKGWSSEEYQIFLYFICAFQNISCGIHYLASVFLSVSPQHTCRPPGNVS
+QVLFQDLSTWQLEDIWTQFSVGREDRILVQLQDGAIWELTSCQRFRRDDQSSLDYEYSGQ
+KSSFPCLDGYIYDRSKWLSTVVTQWDLVCNREWFGRLIQPTFMFGVLLGAVIFGYLSDRA
+GRRLVLWASSTGVFLFGIAAAFTFDYYSFIVARFLLAISGSGYLVVVFVYVTEFVGMKSR
+TWASIHLHSFFAFGTMVVALTGYFVRTWWIYQIVLSSVTVPFVLCCWMLPETPFWLISGG
+KYEEAQKVIDTMAKWNRTRPCKLSEILSLDHDGSAGNKPSQVEKHTLSELFYDWSIGTRT
+LILWLIWFTGCLGFYTFSLNSVHLGGSEYLNLFLMGVVEIPAYVLVCLGMDRVGRRNILI
+FSLLSSAVTSGVIMVIPKDYHVWLVVASMAGKFFIGAAFGLIYLYTAELYPTIVRSLAVG
+SGSTVGRVGSIVAPLCIYLSSVWIFMPQLLVGTLALVSGVLTFLLPETLRRPLTTTWEET
+EKESSSGKLLSTTSNTVLENVTVENSEDSSLNK
+>tr|F1MEW7|F1MEW7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=3
+MVVKCRGCVNFEGADRIELLIDAARQLKHSHVLPEGRSPGPPALKHPATKDLAAAAAQGP
+QLRPPQAQPQPSGTGGAVSGQDRYDRATSSGRLPLPSPALEYTLGSRLANGLGREEAVAE
+GARRALLGSMPGLVLPGLLAAAVSALGSRGLTLAPGLSPARPAFGSDFEKEKQQRNADCL
+AELNEAMRGRAEERHGRPKAVREQLLALSACAPFNVRFKKDHGLVGRVFAFDATARPPGY
+EFELKLFTEYPCGSGNVYAGVLAVAHQMFHDALREPGKALASSGFKYLEYERRHGSGEWR
+QLGELLTDGVRSFRGPAPAEALPQQYPEPAPAALCGPPPRAPSRNLAPTPRRRKASPEPE
+GEAAGKMTTEEQQQRHWVAPGGPFPADTPGVPSPIAALKNVAEALGHSPKDPAGSGGPVR
+AGGASPTASSTAPPPAQHRLVARNGEAEVSPTAGAEAVSGGGSGARATPGAPLCCTLCRE
+RLEDTHFVQCPSVPGHKFCFPCSREFIKAQGPAGEVYCPSGDKCPLVGSSVPWAFMQGEI
+ATILAGDIKVKKERDP
+>tr|A0A3Q1LSR2|A0A3Q1LSR2_BOVIN Coagulation factor XIII B chain OS=Bos taurus OX=9913 GN=F13B PE=4 SV=1
+MRSKSLALIIILIISGELHAEEKPCGFPSVENGRIAQYYYIFESYYFPMSINQNLAFSCL
+VGYTTATGKREARTTCTAAGWSPEPRCFKKCPKPDLKNGYIFDSKLSYKIQENMRYRCTS
+GYKTMAGQDEEVVQCLADGWSPQPTCSKEHETCLAPELHHGNYFTTQKTFKVKERVRYEC
+ASGYHTASGKSTEEAECHPYGWALTPQCTKLKCSSLRVIENGYFHPVKQTYEEGDVVQFF
+CHKNYYLSGSDLIQCYNFGWYPESPVCEEDKQRMTCEAPPSVEHGTTTPSSEVYHSGDKV
+AYVCERGYHLRGPGEITCNRGRWTLPPECVENTENCNPPPDVINGAIIGELLASYPTGSS
+VEYRCNEYYLLRGAKISHCEQGRWSSPPVCLEPCTVNVGDMRRNHVELKWNYEGKILHGD
+LIDFVCKQGYELSPSTPPSELSVQCDRGEVKYPSCVRKESKRMCASPPAIKNGVIKSSTL
+STYENGSSVEYICFKHHFLQGARESYCLEGVWTTPPSCLEPCTLSFDTMENNNLLLKWNF
+DNRPYIFHGEYVEFLCKGDTYIAGFPDPVSESRVQCDRGQLNYPRCVQRERMLSYQEPLR
+T
+>tr|A0A3Q1MP69|A0A3Q1MP69_BOVIN Microtubule-associated protein OS=Bos taurus OX=9913 GN=MAP4 PE=4 SV=1
+MADLSLADALTEPSPEIEEEIKRDFIATLEAEAFDDVVGETVGKTDYIPLLDVDEKTGSS
+ESKKKPCSDTSQVEGTPSSQAAVLANGDHGIERNDVTGFPSEFLEEKMAYQGYQNSQNWP
+ENTNFCFEPEHMVNPIQTDPFKMHHDDGLEDSLFFPSGTTNTSAFVEQNDPLKDTYGMLP
+CDTFAPAAVVPQGWSAEAPNPAHLESFTSPEAVPQPLQPTAEPAEKVEMASGEERAEALE
+MMMKLQAADMAPSREAEMVLAKDVVPATETGVALAKDMESPTKPDEALVKDVESSIESDM
+ALVKDVVLPVQTEETAVKDAILPTETDVSLDEDLALSTETEVSAAQDILLFKETESIPPV
+TMDLASAEGTVPPTDQEMTPVKVAASLSEIEAPLDEDIVSSTEIRSAKEIGLSSETEVAL
+SREMGLPPETEAILDKDMAAPPETEVIVPVKDMAPSPGIETTLAKDVAPRQEIEVTLGKD
+TVSLPATEMALGRNVALPPETEVTLAKDVAQPPETEVNLANNAALAKFSEAEVVPVPVKD
+METAQTQEATSEDSQLKSLQDEGQSAVPLMTSPEAVVAMGQKHSLPTDEDSVLEELEQKK
+PSSQTSELPSETSGTPPTQAKPAYRPSDRRSARPTRPRPSRVPPELLGGSSPWKTLDPGL
+GPCPLSELGWVSGSSSCGEPGNQRKTIHGDFLEPQRDLGREAWDIESSPMMMKKKKKKPK
+QKRYSQPRAGGPWDDDNGDETKGYSFATDSQKSAVPPSQPTTVGTEHGLVSRDDLKGVCE
+TDSREAKLVAESFVSDSLSIPSRPLEEAPKTTVNSQPKQRVEAQVKGNKSAPQGQEKKLL
+QDECILQAAPHLQTPADESQTVRSLSLKGPLTEVSSHKVEIPLEVRPKESCTPIMNREAV
+GGVSKPSAAKELPASISTVTAGDPLGNSLKEGNDESKMTELQNDKQKEFSEGAEEVKELK
+KESVPKQRHENSILASEQLQDTVLAQAPGLGNEPFKRMAGDGKSRKGKTSSGKVRASSGK
+VRAKSEPPFLADSQDSRAVFVPSGRMATGAKSEELGLDSSKQPGTVAALTEAIVMGEPKE
+MMDPRVASTLQALIPLGNGSGMIQASSARAERGAVATDMGVSNQSKEEKCPWMGHEAAPW
+IFEKPKKRGSEGKTKKYKNNYSTQPARMERKEEILNPPFVRKDGVTDSTPHQNKESEPTV
+PLCSHTSDTPTVEADDQKGRNVEANSFEFGALGGNKTNTGRDSPITEMATKMTDVSCQDQ
+IPGAGFVPSVLAEENKTDVAKGHTAVADKPSKRSNDGKSTKTKNSFPEKHTLENKIDATK
+IHVPMETIGDHRIEGMGYVDENSNITFTCPRTPPGLMKKSVPLEAQEPSACEKPPTSTSQ
+VVKESDSFPGTLTESKQETAPAQIPKLLEVDSYSKEGVPKEQRPEGPSAVMPSMSTGGVA
+STLTTAIETVNNHSNCLQDKGELAGAMKNEAGRDGEHVIGDSESTPCGASKHSVEETTEP
+AGGHLLSGVLANEPSLAGEVRRLEACADRSNFPTCPVNKESEQGSAPVSIPHLLGDKAQK
+PSFCEDQSTEGRDSKGPDNLNKEVDMTLSLPESEKDKKDKLEEISLDSDIREMAYVSLAP
+PELQSDVLDGKIEVTSSTMIDELVVTASEAPQLPESKGKILEAPKKMTEKSESKALGEGK
+KEDKSRVAEPMKGYMRPTKSRGLTPLLPKSTIQERERSKQLKSSGVAKPEEGPPTGSVSG
+NDITAPPNKELPPSPEKKTKPLATTQPAKTSTSKAKTQPTSLPKQTAPTTLGGSNKKPMS
+LASGSVPAAPPKRPAAATSRPSTLPSKDTKPKPVAEAKIPEKRVSPSKPASAPAVKPGSK
+STQAVPKAPATATLASPGSTSRNLSTPLPKRPTAIKTEGKPAEIKKMATKSAPADLSRPK
+STTTSSVKKSTTVPGTAPPAGAPSRARPTATPPRPSGTPPVDKKPTAAKPTSSAPRLGRV
+AANASAPDLKNVRSKVGSTENIKHQPGGGRAKVEKKTEAAAPARKPEPNAVTKAAGPIGN
+AQKPPTGKVQIQNKKVDISKVSSKCGSKANIKHKPGGGDVKIESQKLNFKEKAQAKVGSL
+DNVGHLPAGGAVKTEGGGSEAPPCPGPPAGEELAIPEAAPEAGAPASASGLSGHTTLAGG
+GDQREAQTLDSQIQETN
+>tr|F1MD64|F1MD64_BOVIN Piezo-type mechanosensitive ion channel component OS=Bos taurus OX=9913 GN=PIEZO1 PE=3 SV=3
+MEPHVLGAVLYWLLLPFALLAACLFRVNALSLVYLLFLLLLPWFPGPSRHSIRGHTGRLL
+RALLGFSLLFLAAHITFQICLHTVPRLDQLLEPNCSTGDAITWDAISQLIGVTRLDLKDI
+PNAIRLVAPDLGILVVSSLCLGVCRRLTRTARRSQHVQEPDDDSEELDTGSLGELREAPE
+LSPTRRSRLAARFRITAHWLLVAAGRTLAIMLLALAGIAHPSAFSSVYFLLFLAIGTWWA
+CHFPISLLGFNTLCVMVSCIGTGHLICLYCYQTPLAQATLPPAGIWARVFGLKDFLAPTN
+CSSPNVLVINANHDWPVYVSPGILLLLCYTVTSLLKLHAHQPVDQRKEAAGDDDTREVEL
+TEVDQWPQGQARVVAIKEEGAAQHTMPTPTTPDPEDNCIIHDLTGHSAVQQRTSCPRLAE
+PKETSPLHGLGHLIMDQSYVCALIAMMVWSITYHSWLTFVLLLWACLIWTVRSRHQLAML
+CSPFILLYGLALCCLRYVWAMDLRPELPTALGPVSLRQLGLEHTRYPCLDLGAMLLCTLT
+FWLLLRQFVKEKLLRRARAPVALTEVTVAATEPTRTQMLLRSLGELVRGIYAKYWIYVCA
+GMFIVVSFAGRLVVYKIVYMLLFLLCLILFQVYYSLWRKLLKAFWWLVVAYTMLVLVAVY
+TFQFQDFPAYWRNLTGLTDEQLGDLGLEQFSVSELFSSILVPGFFLLACILQLHYFHRPF
+MQLTDPEHRPLPGACTPRWVPGRDAVSRTPLLQQEEEEEVPRDEGLGTASPHQVTQVPEA
+SKWGLVAERLLDLATGFSDVITRVQVLVRRLLELHVFKLVALYTVWVALKEVSVLNFLLV
+VLWAFALPYPRFRPMASCLATVWTCIIIVCKMLYQLKVVNPHEYASNCTEPFPNSTNLQK
+TEIRQSLLYRGPVDPANWFGVRKGFPNLGYIQNHLQILLLLVFEAMVYRSQDYHHRRHQL
+APLPAQAVCAEGTRQRLDRDLPSCLKYYVNFFFYKFGLEVCFLAAVNVIGQRMNFMVILH
+GCWLVAILTRRRREAIARLWPNYCLFLALFLLYQYLLCLGVPPALCIDYPWRWSRAVPMN
+SALIKWLYLPDFFSTPNATNLISDFLLLLCASQQWQVFSAERMEEWRHMAGVNTDRLEPL
+RGEPNPVPNFIHCRSYLDMLKVAVFRYFFWLVLVVVFITGATRISVFGLGYLLACFYLLL
+FGTSLQQKDTRARLVLWDCLILYNVTVIVSKNMLSLLSCVFVEQMQSSFCWVIQLFSLVC
+TVKGYYDPKEMLGRDQDCLLPVEEAGVLWDSVCFLFLLLQRRVFLSYYFLHVQAELRATA
+LQASRGFALYNAANLKTIELHRRAEEKSLAQLKRQMERIRAKQEKHRQGRANRGCLQGSP
+DPGQEPGPSSPGGSSPPQQQWWRPWLDHATVIHSGDYFLFESDSEEEEEAQPEDPRPSSQ
+SAFQMAYQAWVTNAQTVLRQQRQQRAEQLRTGGDPSQEAGPAEGLEDEVTGRSHVMQRVL
+SAVQFLWVLGQALVDGLTRWLHDFTRHHRAISDVLRAERYLLTQELLRGGEVRRDVLDQL
+YANEAEAARDALSTASSGLGVEEPLSSVTEDTSSPLSTGYNTRSSSEEVVTEPGASLRSS
+GELPAGGRARMRTASELLVDRRVHIPELEEAEQFAAGRGRALRLLEALYQCVAAHSELLC
+YFVIVLNHMVTASATSLVLPVLVFLWAMLSIPRPSKRFWMTAIIFTEVTVVAKYLFQFGF
+FPWNSHAVLRRYENKPYFPPRILGLEKSDSYVKYDLLQLMALFFHRAQLLCYGLWDHEDP
+PLSKEHDRGSAKEKGAEEEPALPAPQEEPGGAARPPAEDNVQAEAKGGPLEPGGKRRISL
+RFRRRRKESTETRGQAIEGEEEEEEAAVAVVAAGAATLRREKRRSRPQERVRVLGVRLQS
+FCLSLARSMYWPVRRFFQDILHTKYRAATDVYALMFLADVIDFIIIIFGFWAFGKHSAAT
+DITSSLSDDQVPEAFLVMLLIQFSTMVIDRALYLRKTVLGKLAFQVVLVLAVHLWMFFIL
+PAVTERMFSQNAVAQLWYFVKCIYFALSAYQIRCGYPTRILGNFLTKKYNHLNLFLFQGF
+RLVPFLVELRAVMDWVWTDTTLSLSNWMCVEDIYANIFIIKCSRETEKKYPQPKGQKKKK
+IVKYGMGGLIILFLVAIIWFPLLFMSLVRSVVGVVNQPIDVTVTLKLGGYEPLFTMSAQQ
+PSIVPFTHHAYEELSKQFDPHPLAMQFISQYSPEDIVTVHIEGSSGALWRISPPSRAQMK
+RELYNGTADITLRFTWNFQRDLAKGGSVEYTNEKHTLDLAPNSTARRQLASLLEGTSDQS
+VVIPHLFPKYIRAPSGPEANPVKQLQPNEEADYLGVRIQLRRERVGTGAAGFLEWWVIEL
+QDCQANCNLLPMVIFSDKVSPPSLGFLAGYGIMGLYVSIVLVIGKFVRGFFSEISHSIMF
+EELPCVDRILKLCQDIFLVRETRELELEEELYAKLIFLYRSPETMIKWTRDKE
+>tr|A0A3Q1MBS3|A0A3Q1MBS3_BOVIN Friend leukemia integration 1 transcription factor OS=Bos taurus OX=9913 GN=FLI1 PE=3 SV=1
+MHKSPVDSGCESKAEESREALSVVSDDQSLFDSAYGAAAHLPKADMTASGSPDYGQPHKI
+NPLPPQQEWMNQPVRVNVKREYDHMNGSRESPVDCSVSKCGKLVGGGESNTMSYTSYVDE
+KNGPPPPNMTTNERRVIVPADPTLWTQEHVRQWLEWAIKEYGLMEIDTSFFQNMDGKELC
+KLNKEDFLRATSLYNTEVLLSHLTYLRESSLLPYNTTSHTDPSSRLNVKEDPSYDSVRRG
+GWGSNMNSGLNKSPPLAGAQTMSKNTEQRPQPDPYQILGPTSSRLANPGSGQIQLWQFLL
+ELLSDSANASCITWEGTNGEFKMTDPDEVARRWGERKSKPNMNYDKLSRALRYYYDKNIM
+TKVHGKRYAYKFDFHGIAQALQPHPTESSMYKYPSDISYVPSYHTHQQKVNFVPPHPSSM
+PVTSSSFFGAASQYWTSPTGGIYPNPNVPRHPNTHVPSHLGSYY
+>tr|F1MY12|F1MY12_BOVIN NTR domain-containing protein OS=Bos taurus OX=9913 GN=LOC112442231 PE=4 SV=2
+MGELGQRKSSWFHSRPLFPRTTMGPAFLLAFPLLLALSTPCDACECKIQHPQTFYCMSDI
+VIIGNILEHGRDTALKRSYRINITQILKIPKKIPPITSVYTPKDWGSCGYEVRTSQQSQL
+LIAGYLRKGALYFTRCHMVYFWYRLTDEQRLGFQELYKNGCKCQIQPCLLCWRHCPQPDR
+RECVWRQKDCNYRIWNGDQSLYSMCAPRPDGHCGWTRIDILNYHRETTPPPPRQTTPEML
+YIM
+>tr|F1MN80|F1MN80_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=WTAP PE=4 SV=1
+MTNEEPLPKKVRLSETDFKVMARDELILRWKQYEAYVQALEGKYTDLNSNDVTGLRESEE
+KLKQQQQESARRENILVMRLATKEQEMQECTTQIQYLKQVQQPSVAQLRSAMVDPAINLL
+FLKMKSELEQTKDKLEQAQNELSAWKFTPDSQTGKKLMAKCRMLIQENQELGRQLSQGRI
+AQLEAELALQKKYSEELKSSQDELNDFIIQLDEEVEGMQSTILVLQQQLKETRQQLAQYQ
+QQQSQAAGPSTSRTTSSEPVGQAEATGKDCSRLANGPSNGSSSRQRTSGSGFHREGDTAE
+DDFPSSPGNGNKASSSSEERTGRGGSSYVNQLSAGYESVDSPTGSENSLTHHSNDTDSSH
+DPQEEKPVSGKGNRTAASRHVQNGLDSSVNVQGSVL
+>tr|E1BC63|E1BC63_BOVIN WD repeat domain 72 OS=Bos taurus OX=9913 GN=WDR72 PE=4 SV=3
+MRSSLQAVALWGKKAPPHSITAIMITDDQQMIVTGSQEGQLCLWNLSSELKISAKELLFG
+HSASVMCLARARDFSKQPFVVSAAENGEMCVWNVTNGQCVEKAILPYRHTAICYYHCSAR
+MTEGWLLCCGEYQDVLIIDAKSLVIIHTFVSSQSPDWINCICIVHSMTIQEDSLLAVSVA
+GELKVWDLSSSINSIQEKQDVYEKESKFLDSLNCRAIRFCTYTERLLLVVFSKCWKVYDY
+CDFSLLWTEVSGSGQFFAGGEVLAAHRIIIWTEDGHSYIYQLLNSGLSKSIYPADGTLLK
+ETIYPHLLCSTSVQENKLDQSLPFVMGYINERKEPFYKILFSGEVSGRITLWHIPDVPVS
+KFDGSPREIPITTTWTLQDNFDKHSTTSQTISDYFSGFKDENETVVVTSSEYVPSLDKLI
+CGCEDGTIFITQALNAAKAGLLEGGSLLKGSLPQKVLKGHHHSVTSLLYPHGLSSKLDQS
+WLVSGDQDSWVILWNIFTEEILHKFFLQAGLVTSLLMSPENFKLRSYQVICCVCSDHSVA
+LLHLEGRRCLLSARKHLFPVRMIKWHPVENFLVVGCADDSVYIWEIETGTLERHETGERA
+KIILNCCDDSQLPKPESILPVASETHKHKSVEQRSSSLSQFGPIPCPGLQVESSCKVADA
+KSFSGPFNVLLVKTKWSNIGFHILLFDLESLLELLLPTPIRDVDPSNSFYCSEILRRAKS
+TVEKTTLTLKRNKTVCGPLSTEVHAKPVGESPAQGDNTIKLSEENDGVKKQKKIKSFKKM
+HPKQSRKVDANLTIDTAKLLLSCLLPWGVDKELDNLCIKHLNILKLQGPVSLGLAANEDH
+FSLMLPGWDLYNTEMKKDYSKVNLFSRKVLDLSDKYTSTFPNHSGMPKGLENNSDSLQES
+NTIVYLLSKLFLVNKLVNMPLELAYGMDSAFKIESVHNKMKSPGNDILNISSFYSYLRNG
+KSESHLPEADVSLLKLISCWRDQSVQVTEAIQAVLLAEVQKHMKTLRKTPVNSEPESVAK
+NGDYEMMQMLPKIEWAKEPELQCTTDTFPPQTPVSSVKHDSNSNSANFQDTEDMPDRCVL
+EESESPGKRKHHLWIEKVCSCKLC
+>tr|F1MVJ3|F1MVJ3_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC510100 PE=3 SV=3
+MAEGNWTRVSEFILISFSSLPTEIQSLLFLTFLFIYLVTLLGNSLIILVTLADPMLHSPM
+YFFLRNLSFLEIGFNLVIVPKMLGTLIAQDTTISFLGCATQMYFFFFFGVSECFLLATMA
+YDRYVAICSPLHYPVIMKPRTRAKLAAVSWFPGIPMATVQTTWLFSFPFCGINKVNHFFC
+DSPPVLRLVCADTALFEIYAIIGTILVVMIPCLLILCSYIHIAAAILKIPSAKGKHKAFS
+TCSSHLLVVSLFYVSSSLTYFRPKSNNSPEIKKALSLSYTVITPMLNPIIYSLRNNEVKN
+ALGRTFHKAMGVRNCFL
+>tr|A0A3Q1LZ47|A0A3Q1LZ47_BOVIN Core histone macro-H2A OS=Bos taurus OX=9913 GN=H2AFY PE=1 SV=1
+MSSRGGKKKSTKTSRSAKAGVIFPVGRMLRYIKKGHPKYRIGVGAPVYMAAVLEYLTAEI
+LELAGNAARDNKKGRVTPRHILLAVANDEELNQLLKGVTIASGGVLPNIHPELLAKKRGS
+KGKLEAIITPPPAKKAKSPSQKKPVSKKTGGKKGARKSKKQGEVSKAASADSTTEGTPAD
+GFTVLSTKSLFLGQKLQVVQADIASIDSDAVVHPTNTDFYTGGEVGNTLEKKGGKEFVEA
+VLELRKKNGPLEVAGAAVSAGHGLPAKFVIHCNSPIWGADKCEELLEKTVKNCLALADDK
+KLKSIAFPSIGSGRNGFPKQTAAQLILKAISSYFVSTMSSSIKTVYFVLFDSESIGIYVQ
+EMAKLDAN
+>tr|A0A3Q1MN77|A0A3Q1MN77_BOVIN Tyrosine-protein kinase OS=Bos taurus OX=9913 GN=ABL1 PE=3 SV=1
+MLRSKSKPGMYYVGNTDFAPDNETFFPVSSLSLPEALQRPVASDFEPQGLSEAARWNSKE
+NLLAGPSENDPNLFVALYDFVASGDNTLSITKGEKLRVLGYNHNGEWCEAQTKNGQGWVP
+SNYITPVNSLEKHSWYHGPVSRNAAEYLLSSGINGSFLVRESESSPGQRSISLRYEGRVY
+HYRINTASDGKLYVSSESRFNTLAELVHHHSTVADGLITTLHYPAPKRNKPTVYGVSPNY
+DKWEMERTDITMKHKLGGGQYGEVYEGVWKKYSLTVAVKTLKEDTMEVEEFLKEAAVMKE
+IKHPNLVQLLGVCTREPPFYIITEFMTYGNLLDYLRDCNRQEVNAVVLLYMATQISSAME
+YLEKKNFIHRDLAARNCLVGENHLVKVADFGLSRLMTGDTYTAHAGAKFPIKWTAPESLA
+YNKFSIKSDIWAFGVLLWEIATYGMSPYPGIDLSQVYELLEKDYRMERPEGCPEKVYELM
+RACWQWNPSDRPSFAEIHQAFETMFQESSISDEVEKELGKKGMRGVAGTLLQAPELPTKT
+RTSRRAAEHKDSPDMPETPHSKGPGETDPVDHEPAVSPLLPRKERGPPDGSLNEDERLLP
+KDKKTNLFSALIKKKKKTAPTPPKRSSSFREMDGPPERKAASEEEGREISNGALAPAPPD
+AVESAKSPKPSGGAGVPNGAFRESGGAGFRSPHLWKKSSTLTSSRLAAGEEESGSGASKR
+FLRSCSASCVPHGAKDTEWRSVTLPRDLQSTGRQFDSSTFGGHKSEKPALPRKRASENRS
+DQMTRGTVTPPPRLVKKTEEAADEVFKDAAEASPGSSPPSLTPKPIRRQGAAAPPSSPPH
+KEEAGRPSAAGMPAEPAPPTSRAGLGASGGPSKAAATAAEEPRGRRHKPTSESPGRDRGK
+LSKLKPAPPPPPPTSAGKAGKSSQSPSQEAAGEAGAGAKVKPAALAADAVNSDTAKSGQL
+GEGAKKPVLPSMPKPPASTKPAGTPTSPAPTPATLPSAPSALAGDQPSSTAFIPLISTRV
+SLRKTRQPPERIASGAITKGMVLDGTEALCLAISKNSEQMASHSAVLEAGKNLYTFCVSY
+VDSIQQMRNKFAFREAINKLENNLRELQICPATAGGGPAATQDFSKLLSSVKEISDIVQR
+>tr|E1BF20|E1BF20_BOVIN Heterogeneous nuclear ribonucleoprotein H1 OS=Bos taurus OX=9913 GN=HNRNPH1 PE=1 SV=3
+MMLGTEGGEGFVVKVRGLPWSCSADEVQRFFSDCKIQNGAQGIRFIYTREGRPSGEAFVE
+LESEDEVKLALKKDRETMGHRYVEVFKSNNVEMDWVLKHTGPNSPDTANDGFVRLRGLPF
+GCSKEEIVQFFSGLEIVPNGITLPVDFQGRSTGEAFVQFASQEIAEKALKKHKERIGHRY
+IEIFKSSRAEVRTHYDPPRKLMAMQRPGPYDRPGAGRGYNSIGRGAGFERMRRGAYGGGY
+GGYDDYNGYNDGYGFGSDRFGRDLNYCFSGMSDHRYGDGGSTFQSTTGHCVHMRGLPYRA
+TENDIYNFFSPLNPVRVHIEIGPDGRVTGEADVEFATHEDAVAAMSKDKANMQHRYVELF
+LNSTAGASGGAYEHRYVELFLNSTAGASGGAYGSQMMGGMGLSNQSSYGGPASQQLSGGY
+GGGYGGQSSMSGYDQVLQENSSDFQSNIA
+>tr|A0A3Q1NKZ8|A0A3Q1NKZ8_BOVIN ADAM metallopeptidase domain 8 OS=Bos taurus OX=9913 GN=ADAM8 PE=4 SV=1
+MRGLGLLLFAALGLQEVAPRAALPHVEQYEVVRPRRLPAPRARRALPSHLGLYPESVSYV
+LGARGHTFTLHLRKNRDLVGSGYVETYTAANGSQVTEQLQRQDHCFYQGHVEGHPHSAAS
+LSTCAGLRGFFQAGPAVRLIEPLAEAGEEGPHALYLAQHLQQEAGTCGVNDSSLESILGP
+RVSAAFRPRWSEPRETRYVELFVVTDSKEFQRFGSREAVRQRVLEVVNHVDKLYQELNFR
+VVLVGLEMWNGGDKIQISSQPDATLDNFLAWRTRELVGRQAHDNVQLITGVDFTGTTVGL
+AKVSTMCSSSSGAVNQDHSQNPIGVASTMAHEMGHNLGMDHDENVAGCYCPVPREGGGCV
+MAASIGSQYPRKFSQCSQADLETFVEKPRTACLLDAPDLDRLVGGPVCGNGFLERGEQCD
+CGRPEDCQNRCCNASTCLLAEGAECAHGTCCHECRVKPAGELCRPVKDQCDLGEHCDGQQ
+PTCPEDAFRENGTPCPGGYCYNGACPTLARRCQDLWGPGSRVAVETCFLYSISKGCGAGV
+PLPFGRVNKCGTLFCEGGQKTPERGYCTLTISSGVCRVIVQDGSTEYEPVPKGTKCGEQQ
+VCWDGFCQGLHVYRSRNCSAQCNSHGECNHKGQCHCRPGWAPPHCAEPLADARAAPGSLR
+THVLVGVGALVVLALILAGVLIYRKARSPVRRRNVAPKTAMGLSNPLFHEGLSKPAKGGA
+QAPPGGSPELALYSQPHKPTASSVTPKRPPPAPPGSLQPPAAKASPPSPLPVYPPQAPGQ
+VIKPTCAPPMPPIKPGARGAQPGPTQGAADPKVALKPPVQRR
+>tr|A0A3Q1MM39|A0A3Q1MM39_BOVIN Trinucleotide repeat containing 6B OS=Bos taurus OX=9913 GN=TNRC6B PE=4 SV=1
+MREKYRKKAVPREKEHEREEQLMEDKKRKKEDKKKKEATQKVTEQKTKVPEVTKPSLSQP
+AAASPIGSSPSPPVNGGNNAKRVAVPNGQPPSAARYMPREVPPRFRCQQDHKVLLKRGQP
+PPPSCMLLGGGAGPPPSTAPGANPNNAQVTGALLQSEGGTAPESTLGGAAASNYANSTWG
+PGASSNSGASPNPIHIWDKVIVDGSDMEEWPCIASKDTESSSENTTDNNSASNPGSEKST
+LPGSTTSNKGKGSQCQSAGSGNECSLGVWKSDPKARSVQSSNSTTESNSGLGNWRNVSGQ
+ERVGPGSGFSNFNPNSNPSAWPALVQEGNSRKGALETETSNSSAQVSTVGQASREQQSKM
+ENAGVNFVVSGREQAQIHNTDGPKNGNTNSLNSSSPNPMENKGMPFGMGLGNASRSTDAP
+SQSTGDRKTGSVGSWGAARGPSGTDTASGQSNSGNNGNNGKEREDSWKGAPVQKSTGSKS
+DSWDNNNRSTGGSWNFGPQDSNDNKWGEGNKMTSGVSQGEWKQPTGSDEFKIGEWSGPNQ
+PNSSTGAWDNQKGHPLPENQGNAQAPCWGRSSSSTGSEVGGQSTGSNHKAGSSDSHNSGR
+RSYRPTHPDCQAVLQTLLSRTDLDPRVLSNTGWGQTQIKQDTVWDVEEVPRPEGKSDKGT
+EGWESAATQTKSSGGWGDAPSQSNQMKSGWGELSASTEWKDPKNTGGWNDYKNNNSSNWG
+GGRPDEKTSSSWNENSSKDQGWGGGRQPNQGWTSGKNGWGEEVDQAKTSNWENAASKPVS
+GWGEGGQSEIGTWGSGGNASLASKGGWEDCKRSPAWTETGRQPSSWNKQHQQQPPPQQLP
+PPQPEASGSWGGPAPPPPGNGRPSNSNWSSGPQPVTPKDEEPSGWEEPSPQSISRKMDID
+DGTSAWGDPNSYNYKNVNLWDKNSQGGPAPREANLPTPMTGKSASVWSKSTPSAPDNGTS
+AWGEPNESSPGWGEMDDTGASTTGWGTAPSNAPNAMKPNSKSMQDGWGENDGPVAGTRHP
+SWEEEDDGGVWNTAGSQGSASSHNSASWGQGGKKQMKCSLKGGNSDSWMNPLAKQFSNMG
+LLSQTEDNPSSKMDLSVGSLPDKKFDVDKRAMNLGDFNDIMRKDRSGFRPPNSKDMGTTD
+SGPYFEKGGNHGLFGNSTAQSRGVHTPVQPLNSSPNLRAQVPPQFISPQVSASMLKQFPN
+SGLNPGLFNVGPQLSPQQIAMLSQLPQIPQFQLACQLLLQQQQQQQLLQNQRKLSQAVRQ
+QQEQQLARMVSALQQQQQQQQQQQQRQPSMKHSPSHPVGPKPHLDNMVPNALNVGLPDLQ
+TKGPIPGYGSGFSSGGMDYGMVGGKEAGTESRFKQWTSMMEVPSVATQEANMHKNGAIVA
+PGKTRGGSPYNQFDIIPGDTLGGHTGPAGDSWLPAKSPPTNKIGSKSSNASWPPEFQPGV
+PWKGIQNIDPESDPYVTPGSVLGGTATSPIVDTDHQLLRDNTTGSNSSLNTSLPSPGAWP
+YSASDNSFTNVHSTSAKFPDYKSTWSPDPIGHNPTHLSNKMWKNHISSRNTTALPRPPPG
+LTNPKPSSPWSSTAPRSVRGWGTQDSRLASASTWSDGGSVRPSYWLVLHNLTPQIDGSTL
+RTICMQHGPLLTFHLNLTQGTALIRYSTKQEAAKAQTALHMCVLGNTTILAEFATDDEVS
+RFLAQAQPPTPAATPSAPAAGWQSLETGQTQSEPVGPALNLFGGSTGLGQWSSSAGGSSG
+ADLAGASLWGPPNYSSSLWGVPTVEDPHRMGSPAPLLPGDLLGGGSDSI
+>tr|F1N290|F1N290_BOVIN Cobl domain-containing protein OS=Bos taurus OX=9913 GN=COBLL1 PE=4 SV=2
+MGQAVTRRLEARARAAPRKAMDGRAPRVQDAPAALLPAAPAGRKPKAKAPLPPAETKCLD
+ASSVDDSVESSAFIMDQKENMTDKDIELLVVLPGDIIKSTTVNGSKPMMDLLIFLCAQYH
+LNPSSHTIDLLSAEKNPIKFKPNTPIGMLEVEKVILKPKILDKKKPTPLIPEKTVRVVIN
+FKKTQKTIVRVSPHAPLQELIAIICSKCEFDPLHTQLLKDYQSQEPLDLTKSLNELGLRE
+LYAIDVSKATSVTAFNKSSLQESCQISQNLDLMKDKESKGFFSLFQRSKKKREQTVSAPA
+TPLVSKHRPTFTKSNTISKQYISNTLPSDAPKKRRAPLPPMPGSQSSPQDPAHIQERPAS
+CMVKSLSVDETEESSYGEGRVRTGSLQLSSTSAGNSSLKRTKRKAPSPPSKTVLLQSDEN
+SHVTATQSVPAVPTDSGVEVSSSEGLSSPEASLGPGNEQCAVSQLPAEAPVSGCPGTPEA
+AATSLPSGISSDYSLEEIDEKEELSEMPKDEAENTSLKSQDIPTVSTDIINTLKNDPHSG
+PGSATGESSQNSKEEKQGTRNTDEQRLHIMVCNSSDKETVVDSVRNLKSLDPNQERDQHE
+IIVIPTNTENNMKNGVRRTEINVAGVAKNNNVDIEVDRLSNYQAYKTDTAGRYKENHLAV
+SSAPDPNLIQPSAEKTKMQDAAIQTIPSCGSFDGDQQDHNLSDVKVDESVQTSSNNKSTQ
+HLSLSPQDSIDISGKFRSKGPLVVYTEEQLALKDPTCAHGNDNLLPTVDGTDKNPTASYV
+KNYPFYRQDYNPKPKPSNEITREYIPKIGMTTYKIVPPRSLEISKDWESETIGYKNDQEI
+HTLGKKDTYENVKETTIQAEDLVISESPKESQADLKSKPTLRTEHQMQSDERFTRSRMVN
+PLKPPRMTSDTGTAPFAPKLEDINNILESKFKSRVSNPHSKPSAFFLQMQKRVSDHYVSS
+AAAKSVQAASNPTPKELKKEVERDTIPPPEPALSPLSKTIQSLPQPHVQNTDDDSNQKPT
+ETSSSPVASPPPPPPPPPPVASPLSPPAVAFPLPPPPVASPLTPPPWPRPFPLLPWPSPF
+PSPRGRLPSPSSRGLAPSPSSRGLPPSPSPRGLAPSPSSRGRLPSPSSRGLAPSLSSRGC
+LPSPSSRGRLPSPSSRGLQTCSSAQESVSNTESENSENFWCPTTILQLCSFTVCPRCGET
+VTVFQQKPYRVMQ
+>tr|E1BP24|E1BP24_BOVIN WD repeat and SOCS box containing 2 OS=Bos taurus OX=9913 GN=WSB2 PE=4 SV=3
+MAAGVRASESWVLVCFGALLARWVAAGLEAVTIGEIHENITLHCGNISGPRGLVTWCRND
+SESVFLLSSSSSLPPAQSRFSLVNASALHIEALNLQDEGNYTCSEVLNKTETRRFQVWLQ
+VANGPSQVEVNISSTGRLPNGTLYAAKGSQVDFSCSSRSQPPPVIEWWFQAPDSTTELFG
+NNLTASCFTLLLMSQNLQGNYTCLAKNVLSGRHRKVTTELLVYSPPPSAPQCWAEVSPGL
+FSLQLNCRWAGGYPDPDFLWTEEPGGVVVGTSKLGVEMLSQSQLSDGKKFKCVGSHIVGP
+ELGASCVVQIRSPSLLSEPMKTCFVGGSVTLTCQVSGAYPPAKIMWLRNLTQPKVVIQPS
+GHHLITQSGQSSTLTIRNCSQDLDEGYYVCRAENAVGVREVDIWLSVKEPLNIGGIVATV
+VSLLLLGLAIITGLLLYYSPVFCWKVGSTFRRQDMGDVMVLVDSEEEEMEEEEDAAEEEE
+EEASEREESPIEITKYGHIHRVTALVNGNVDQMGNGLQALQDDSSEQQSDIIQEEDRPV
+>tr|A0A3Q1M945|A0A3Q1M945_BOVIN Sorting nexin-15 OS=Bos taurus OX=9913 GN=SNX15 PE=4 SV=1
+MSRQAKDDFLRHYTVSDPRTHPKGYTEYKVTAQFISKRDPEDVKEVVVWKRYSDFRKLHG
+DLAYTHRNLFRRLEEFPAFPRGQVFGRFEASVIEERRKGAEDLLRFTVHIPALNNSPQLK
+EFFRGGEVTRPSEVSGDLHILPPPLIPTPPPDEPRVQPHETWLPQPLPAERRGLEELEVP
+ADPPPSSPAQEALDLLFNCGSTEEASSSPARGPLTEAELALFDPFSKEEGAGPSPTHIGE
+LAALEAGSGRPDQEPWEPGGQAEEDDEEGEPAPAYLSQATELITQALRDEKAGAYPAALQ
+GYRDGVHILLQGVPGEQDWRLEGQAWDPGWYSEGKGK
+>tr|A0A3Q1NKC2|A0A3Q1NKC2_BOVIN Calcium/calmodulin dependent protein kinase II gamma OS=Bos taurus OX=9913 GN=CAMK2G PE=4 SV=1
+MATTATCTRFTDDYQLFEELGKGAFSVVRRCVKKTSTQEYAAKIINTKKLSARDHQKLER
+EARICRLLKHPNIVRLHDSISEEGFHYLVFDLVTGGELFEDIVAREYYSEADASHCIHQI
+LESVNHIHQHDIVHRDLKPENLLLASKCKGAAVKLADFGLAIEVQGEQQAWFGFAGTPGY
+LSPEVLRKDPYGKPVDIWACGVILYILLVGYPPFWDEDQHKLYQQIKAGAYDFPSPEWDT
+VTPEAKNLINQMLTINPAKRITADQALKHPWVCQRSTVASMMHRQETVECLRKFNARRKL
+KGAILTTMLVSRNFSVGRQSSAPASPAASAAGLAGQAAKSLLNKKSDGGVKPQSNNKNSL
+VSPAQEPAPLQTAMEPQTTVVHNATDGIKGSTESCNTTTEDEDLKAAPLRTGNGSSMPEG
+RSSRDRTAPSAGMQPQPSLCSSAMRKQEIIKITEQLIEAINNGDFEAYTKICDPGLTSFE
+PEALGNLVEGMDFHKFYFENLLSKNSKPIHTTILNPHVHVIGEDAACIAYIRLTQYIDGQ
+GRPRTSQSEETRVWHRRDGKWLNVHYHCSGAPAAPLQ
+>tr|F1N6S8|F1N6S8_BOVIN SRC kinase signaling inhibitor 1 OS=Bos taurus OX=9913 GN=SRCIN1 PE=4 SV=3
+MQPWQCLRRFALAWWERTAEGRARSPREEAGPRDPGGRGEPGLQRAAGGKAPGLCSLCLK
+SPDPERSSPPMLSADDAEYPREYRTLGGGGGGGSGGRRFSNVGLVHTSERRHTVIAAQSL
+EALSGLQKADADRKRDAFMDHLKSKYPQHALALRGQQDRMREQVGGWTVDPVCLLSSLCS
+HLHGDSAPSGAGQPAQQPNYWSFKTRSSRHTQGAQPGLADQAAKLSYASAESLETMSEAE
+LPLGFSRMNRFRQSLPLSRSASQTKLRSPGVLFLQFGEETRRVHITHEVSSLDTLHALIA
+HMFPQKLTMGMLKSPNTAILIKDEARNVFYELEDVRDIQDRSIIKIYRKEPLYAAFPGSH
+LTNGDLRREMVYASRESSPTRRLNNLSPAPHLASGSPPPGLPSGLPSGSPSRSRLSYAGG
+RPPSYAGSPVHHAAERLGGAPAAPGVSPSPSAILERRDVKPDEDLAGKAGGMVLVKGEGL
+YADPYGLLHEGRLSLAAAAGDPFAYPGAGGLYKRGSVRSLSTYSAAALQSDLEDSLYKAA
+GGGPLYGDGYGFRLPPSSPQKLADVTAPPGGPPPPHSPYSGPPSRGSPVRQSFRKDSGSS
+SVFAESPGGKTRSTGGSSTAGAPPPELFPGPGERPLVGFGPPAPAKDTETRERMEAMEKQ
+IASLTGLVQSALLRGSEPETPSEKIEGSNGAATPSAPCGSGSRSSGATPVSGPPPPPASS
+TPAGQPTAINRLQMQLHLRGLQNSTNDLRSQLQQLRKLQLQNLESLRALLKGTEAELSMR
+VSEAARRQEDPLQRQRTLVEEERLRYLNDEELITQQLNDLEKSVEKIQRDVSHNHRLVPG
+PELEEKALVLKQLGETLTELKAHFPGLQSKMRVVLRVEVEAVKFLKEEPQRLDGLLKRCR
+GVTDTLAQIRRQVDEGVWPPPSNLLSQSPKKMTAETDFNKSLDFEMPPPSPPLNLHELSG
+PTEGAPPAPKAGNPTKGLDTPGKRSMDKAVSVEAAERDWEEKRAALTQYSAKDINRLLEE
+TQAELLKAIPDLDCASKAHPGPAPTPDHKPPKTPHGQKAAPRTEPSGRRGSDELTVPRYR
+TEKPSKSPPPPPPRRSFPSSHGLTTTRTGEVVVTSKKDSAFIKKAESEELEVQKPQVKLR
+RTVSEVARPASTPPIMASAIKDEDDEDRIIAELEVFERSSVSPLPPTPRRQPIPTLLSPP
+DLGPPRASAPGPTWKVTGCPHLSQRSLCLCLHASSPHFSR
+>tr|A0A3Q1MFC3|A0A3Q1MFC3_BOVIN Protein S100 OS=Bos taurus OX=9913 GN=S100A11 PE=1 SV=1
+DRRSALRATPGAEPLARRETGRGASAGAGSPSPAGPAEPGAKVSSPTETERCIESLIAVF
+QKHAGRDGNNSKLSKAEFLIFMNTELGAFTKNQKDPGVLDRMMKKLDLNSDGQLDFQEFL
+NLIGGLAIACHESFIKSASSQK
+>tr|G3X6S5|G3X6S5_BOVIN SH3 domain-binding glutamic acid-rich-like protein 3 OS=Bos taurus OX=9913 GN=SH3BGRL3 PE=1 SV=1
+GQPCVGRGSPARPVPQGEGRGPGGARGGVAQAPPRPRACPRRPLVPRAVTRGAGRKPLPS
+AAASSLGRAAAATAVCLPSRRSTRSMSGLRVYSTSVTGSREIKSQQSEVTRILDGKRIQY
+QLVDISQDNALRDEMRALAGNPKATPPQIVNGDQYCGDYELFVEAVEQNTLQEFLKLA
+>tr|A0A3Q1MSS5|A0A3Q1MSS5_BOVIN Protein kinase AMP-activated non-catalytic subunit gamma 2 OS=Bos taurus OX=9913 GN=PRKAG2 PE=4 SV=1
+MGSAAMDTKKKKDVCSPGGSGGKKNSSQKRRSLRVHIPDLSSFAMPLLDGDLESSEKPPS
+RKVDSPFGSGSPSKGFFTRGPQPRPSSPVSAPVRPKTSPGSPKTVFPFSYQESPPRSPRR
+MSFSGIFRSSSKDSSPSSNPSTSPGGIRFFSRSRKSLSSSPSTPTQVAKQHTFPLESYKQ
+EPERLENRIYASSSPPDTGQRFSPSSFQSAARPPSASPTHPSPSKSAALAAAPGPAEAGM
+LEKFELEEEEDSESGVYMRFMRSHKCYDIVPTSSKLVVFDTTLQVKKAFFALVANGVRAA
+PLWESKKQSFVGMLTITDFINILHRYYKSPMVQIYELEEHKIETWRELYLQETFKPLVNI
+SPDASLFDAVHSLIKNKIHRLPVIDPISGNALYILTHKRILKFLQLFMSDMPKPAFMKQN
+LDALGIGTYHNIAFIHPDTPIIKALNVFVERRVSALPVVDESGKVVDIYSKFDVINLAAE
+KTYNNLDITVTQALQHRSQYFEGVVKCSKLEILETIVDRIVRAEVHRLVVVNEADSIVGI
+ISLSDILQALILTPAGAKQKQTEAE
+>tr|A0A3Q1LQL1|A0A3Q1LQL1_BOVIN ATPase family, AAA domain containing 2 OS=Bos taurus OX=9913 GN=ATAD2 PE=4 SV=1
+MISVYLFRRRHAIHSSDSTSSSSSEDEQQFERRRKRSRNRAINRCLPLNFRKDELKGIYK
+DRMKIGASLADVDPMQLDSSVRFDSVGGLSNHIAALKEMVVFPLLYPEVFEKFKIQPPRG
+CLFYGPPGTGKTLVARALANECSQGDKRVAFFMRKGADCLSKWVGESERQLRLLFDQAYQ
+MRPSIIFFDEIDGLAPVRSSRQDQIHSSIVSTLLALMDGLDSRGEIVVIGATNRLDSIDP
+ALRRPGRFDREFLFSLPDKDARKEILKIHTRDWNPKPLDMFLEELAENSVGYCGADIKSI
+CSEAALCALRRRYPQIYTTSEKLQLDLSSINISAKDFEVAMQKMIPASQRAVTSPGQALS
+TIVKPLLQSTVHKILEALQRVFPHAETRTNKALDSDISCPLLESDLAYSDDDVPSVYENG
+LSQKSFNKAKENFNFLHLNRNACYQPMSFRPRMLIVGEPGFGQGSHLAPAVIHALEKFTV
+YTLDIPVLFGVSATSPEETCAQVIREAKRTAPSIVYVPHIHLWWEIVGPTLKATFTTLLQ
+NIPSFAPVLLLATSDKPHSTLPEEVQELFIHDYGEIFNVQLPSKEERTQFFEDLILKQAA
+KPPISKKKAVLQALEVLPVAPPPEPRPLTAEELKRLEEQEEDTFRELRIFLRNVTHRLAI
+DKRFRIFTKPVDPDEVPDYVTVIKQPMDLSSVISKIDLHKYLTVKDYLSDIDLICSNALE
+YNPDRDPGDRLIRHRACALRDTAYAIIKEELDEDFEQLCEEIQESRKKRGCSSSKYAPSY
+YHVMPKQNSTPAGDKKSDPEQSEKPNRPSTPMACSTPAQLKRKVRKKSKWYLGTITKRRK
+ISQAKDDSQNVTGDKIESDTEENQDTSVDHNETGNTGESSMEENASESKIELENNNSSPC
+IENEPEESGKTTACTELRKDKIACNGDASSSRIIDIFDENETKEMCVLRMTRARRSQVEQ
+QQLISVEKALAILSQPTPSLVVDHERLKNLLKIVVKKSREYNIFQLENLYAVISQCIYQH
+RRDYDKTALIQKMEQEVENFSCSRS
+>tr|G3MZN9|G3MZN9_BOVIN KAT8 regulatory NSL complex subunit 1 OS=Bos taurus OX=9913 GN=KANSL1 PE=4 SV=1
+MAAMAPALTDAAAETHHIRFKLAPPSSTLSPGSAENNGNANILIAANGTKRKAIAAEDPS
+LDFRNNPTKEDLGKLQPLVASYLCSDVTSVPSKESLKLHGVFSKQTVLKSHPLLSQSYEL
+RAELLGRQPVLEFSLENLRTMNTSGQTALPQAPVNGLAKKLTKSSTHSDHDNSSPLNGGK
+RALTSSSLQGGEVVASESGDLKGGMTNCTLPHRSLDVEHTTVFSNNSTANKSSVNSMEQP
+ALQGSNRLSPDTDSSSNVGGVKLEGKKPPLSSILFSALDSDTRITALLRRQADIESRARR
+LQKRLQVVQAKQVERHIQHQLGGFLEKTLSKLPNLESLRPRSQLMLTRKAEAALRKAASE
+TAASEGLSNFLKSDSISEELERFTASGIANLRCSERAFDSDITDSSSGGESDIEEEELAR
+ADPEQRHVPLRRRSEWRWAADRAAIVSRWNWLQAHVSDLEYRIRQQTDIYKQIRANKGLI
+VLGEAPPPEHTTTDLLLPLSSEVKTDHGNDKLIESVSQPLENHGASGHPSESLSAKSCGA
+LRPVNGVINTLQPVLADHIPGDNSDAEEQLHKKQRLNLVASSTDGTCVAARTRPVLSCKK
+RRLVRPSSIVPLSKKVHRNSTVRSGCDVNPSCALCGSGSASTMPPEIHYEAPLLERLSQL
+DSCVHPVLAFPDDVPTGLHFQSMLKSQWQNKPFDKIKPPKKFSLKHRAPMPGSLPDPTRK
+DRHKLVNSFLTTAMLKHHTDMSGSSYLAATHHPPHSPLVRQLSASSDTSAPTSSSPQVTA
+STTQPVRRRRGESSFDINNIVIPMSVAATTRVEKLQYKEILTPSWREVDLRSLKGSPDEE
+NEEIEDLSDAAFAALHAKCEEMERARWLWTTSVPPQRRGSRSYRSSDGRTTPQLGSANPS
+TPQPASPDVSSSHSLSEFSHGQSPRSPISPELHSAPLTPVARDTLRHLASEDTRCSTPEL
+GLDEQSVQPWERRTFPLAYSPQAESEDQLDAQERASRCTRRTSGSKTGRETEVAPTSPPV
+VPLKSRHLTATVTAQRPTHR
+>tr|E1BJD2|E1BJD2_BOVIN DEAD-box helicase 42 OS=Bos taurus OX=9913 GN=DDX42 PE=4 SV=2
+MNWNKGGPGTKRGFGFGGFAISAGKKEEPKLPQQSHSAFGAASSASGFGKSAPPQLPSFY
+KIGSKRANFDEENAYFEDEEEDSSNVDLPYIPAENSPTRQQFHSKPVDSDSDDDPLEAFM
+AEVEDQAARDMKRLEEKDKERKNVKGIRDDIEEEDDQEAYFRYMAENPTAGVVQEEEEDN
+LEYDSDGNPIAPSKKIIDPLPPIDHSEIDYPPFEKNFYNEHEEITNLTPQQLIDLRHKLN
+LRVSGAAPPRPGSSFAHFGFDEQLMHQIRKSEYTQPTPIQCQGVPVALSGRDMIGIAKTG
+SGKTAAFIWPMLIHIMDQKELEPGDGPIAVIVCPTRELCQQIHAECKRFGKAYNLRSVAV
+YGGGSMWEQAKALQEGAEIVVCTPGRLIDHVKKKATNLQRVSYLVFDEADRMFDMGFEYQ
+VRSIATLLFSATFRKKIEKLARDILIDPIRVVQGDIGEANEDVTQIVEILHSGPSKWNWL
+TRRLVEFTSSGSVLLFVTKKANAEELANNLKQEGHNLGLLHGDMDQSERNKVISDFKKKD
+IPVLVATDVAARGLDIPSIKTVINYDVARDIDTHTHRIGRTGRAGEKGVAYTLLTPKDSN
+FAGDLVRNLEGANQHVSKELLDLAMQNAWFRKSRFKGGKGKKLNIGGGGLGYRERPGLGS
+ENSDRGSNNNVMSNYEAYKPSTGAMGDRLTAMKAAFQSQYKSHFVAASLSNQKAGSSAAG
+ASGWTSAGSLNSVPTNSAQQGHSSPDSPIASATKGIPGFGSPGNLSSAPVTYPSAGAPGV
+NNTASGSNSREGTGGGNGKRERYTENRGGSRHSHGESGNRHGDSPRHGDGGRHGDGGRHG
+DGYRYPESSSRHTDSRRHADGHPHGENRHGGGGGRHGESRGANDGRNGESRKESCNRESK
+MDPKVDSPKVDKMDSKTDKTPDGFAVPEPPKRKKSRWDS
+>tr|F1MN25|F1MN25_BOVIN CD34 molecule OS=Bos taurus OX=9913 GN=CD34 PE=4 SV=2
+VHGLRSSRVGRGFRMICALIFLLCFPIASMEFTQTPMAATTATVSASPEISSPVPTTTFD
+WRSMNSSTFRSTSLYDVSQDSNGTTAVTLVPASTDSFTSTSETLPASGAVNSSVQSQTSL
+ATTVSSTLISFATSEATLQPSTFPGNISDSLYNSTSPVTFSIITSPSFSPTQSILKSEIK
+CSRVKEVKLAHSICLKLNETSSCEEFKKNNEEKLTGFLCQKKQAEVCSLLLAQSEVRPQC
+LLLVLTNRTESSSKIKLLEEHRSDLREMGIEDISEEVVSSHQSYSRKTLIALVTSGILLA
+ILITTCYFLMNRRSWSPTGERLGEDPYYVENGGGQGYSSGPEASPEAQGKAIVNRGAQEN
+GTGQATSRNGHSARQRVVADTEL
+>tr|A0A452DJC1|A0A452DJC1_BOVIN IQ domain-containing protein F2 OS=Bos taurus OX=9913 GN=IQCF2 PE=4 SV=1
+MGVRFCKDGHVIQIIIENKEEVTMKKMKEQQKKKEKTKNGRRVIAAKKIQAWWRGTLVRR
+TLLHAALSTWIIQSWWRLTKDRLLQKKRRAALSDYALRERAVVKLQSLVRMWRIHWRYCQ
+VLNAIYVIQCHWQCHNCQTCALLRGHCVVTATHLQFHIEIINP
+>tr|A0A3Q1N9X4|A0A3Q1N9X4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=SALL2 PE=4 SV=1
+MAHEAGRSSRLGGPCGEPAELGGDVSEDDHPQVCAKCCAQFTDPTEFLAHQNACSTDPPV
+MVIIGGQENPNNSSTSCEPRPEGHSSPQAMEAEQSNPSDCASSVPTDPTWGPERRGEESS
+GHFLIAATGTAAGGGGGLILASPKLGATPLPPESTPAPPPPPPPPPPPGVGSGHLNIPLI
+LEELRVLQQRQIHQMQMTEQICRQVLLLGSLGQTVGTPSSPSELPGTGTASSTKPLLPLF
+SPIKPVQTGKTLAPSSTSSSGAEAPKQAFFHLYHPLGSQHPFSAGVVGRSHKPTPAPSPA
+LSGSTDQLIASPHLAFPGTTGLLAAQCLGAARGLEAAASPGLLKPKNGGGELGYGEVMGP
+LEKPGGRHKCRFCAKVFGSDSALQIHLRSHTGERPYKCNVCGNRFTTRGNLKVHFHRHRE
+KYPHVQMNPHPVPEHLDYVITSSGLPYGMSVPPEKAEEEAAVPGGGVERKPLVASTAALS
+ATESLTLLSTGAGTTTAPALPAFNKFVLMKAVEPKNKADENTPPGSEGSAITGVAESGAA
+TRMQLSKLVTSLPSWALLTNHLKSTGSFPFPYVLEPLGASPSETSKLQQLVEKIDRQGAV
+AVASTASGAPTTSAPAASSSASSGPNQCVICLRVLSCPRALRLHYGQHGGERPFKCKVCG
+RAFSTRGNLRAHFVGHKASPAARAQNSCPICQKKFTNAVTLQQHVRMHLGGQIPNGGTAL
+PEAGGTAQENGSEQSTVSGAGGFPQQPSQQPSPEEELSEEEEEDEEEEEDVTDEDSLAGR
+GSESGGEKAISVRGDSEEASGTEEEVGTVAAVTTAGKEMDTNEKAIQQPCLLPPPPPDTL
+DQTQPMEQGGSDAAGGTEEGGKPERSSSPGSAPALEGEGSSTPLVEELSLQEVMRKEPGE
+SSGRKACEVCGQTFPTQAALEEHQKTHPKEGLLFTCVFCRQGFLERATLKKHMLLAHHQV
+HLSTHIWNYSPPRRGQHLSLEGLGPLLSGDQVSLHDFLSQGIPSQLVRVGPLSLWNQDTT
+FLSSDLPTKPQTSSSISISTATSGPAPAVLFGPGTEAGKLPPAVVGSREAKEKRAPLFFF
+RPLASKTVPGKPILEEK
+>tr|A0A3Q1LXX7|A0A3Q1LXX7_BOVIN Calcium/calmodulin dependent protein kinase kinase 2 OS=Bos taurus OX=9913 GN=CAMKK2 PE=4 SV=1
+MSSCISSQPSSDRAAPQDELGGGGGSSSSEGQKPCEALRGLSSLSIRLGMESFIVVTECE
+PVCAVDHGLTRDRPLEAHGGEITLDASGSQARPHLSGRKLSLQERSLLDANGRCVYPALP
+HSPVGSPQSSPRLPRRPTVESHHVSITGMQDCVQLNQYTLKDEIGKGSYGVVKLAYNEND
+NTYYAMKVLSKKKLIRQAGFPRRPPPRGTRPAPGGCIQPRGPIEQVYQEIAILKKLDHPN
+VVKLVEVLDDPNEDHLYMVFELVNQGPVMEVPTLKPLSEDQARFYFQDLIKGIEYLHYQK
+IIHRDIKPSNLLVGEDGHIKIADFGVSNEFKGSDALLSNTVGTPAFMAPESLSETRKIFS
+GKALDVWAMGVTLYCFVFGQCPFMDERIMCLHSKIKSQALEFPDQPDIAEDLKDLITRML
+DKNPESRIVVPEIKILVKTMIRKRSFGNPFEGSRREERSLSAPGNLLGKKPTRECEPLSE
+PKEARQRRQPPGPRTGPRRGRGSALMKGGPGVESWGVPGPCSLEHTHPARPDEVME
+>tr|A0A3Q1LKR6|A0A3Q1LKR6_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC788778 PE=3 SV=1
+MYGANHSVVLEFVFLGITNSWEIQLLLFVFSSIFFMASIMGNSLIILTVTSDPHLHSPMY
+FLLANLSFIDMGVSSVSSPKMIYDLFRKHKVISFSGCIAQIFFIHVIGGVEMVLLIAMAF
+DRYIAICKPLHYLTIMSPRMCVFFLVSAWMIGLMHSMVQLAFVVNLPFCGPNVLDSFYCD
+LPRFIKLACIDTYQLEFMVTANSGFISVGSFFILIISYIVIILTVQKHSSGGSSKALSTL
+SAHITVVVLFFGPLIFFYVWPFPSTHLDKFLAFFDAVLTPFLNPVIYTFRNQEMKMAMRR
+VCQQLVNNKRSLE
+>tr|F1MWC7|F1MWC7_BOVIN RNA binding protein fox-1 homolog OS=Bos taurus OX=9913 GN=RBFOX1 PE=4 SV=3
+MTCERGNQEAAAAPDTMAQPYASAQFAPPQNGIPAEYTAPHPHPAPEYTGQTTVPEHTLN
+LYPPAQSHSEQSAADTSAHTVSGTATVSVIPGMTTDDSAPTDGQPQTQPSENTENKSQPK
+RLHVSNIPFRFRDPDLRQMFGGFGFVTFENSADADRAREKLHGTVVEGRKIEVNNATARV
+MTNKKTVNPYTNGWKLNPVVGAVYSPEFYAGTVLLCQANQEGSSMYSAPSSLVYTSAMPG
+FPYPAATAAAAYRGAHLRGRGRTVYNTFRAAAPPPPIPGGYAAYRYAQPTPATAAAYSDR
+NQFVFVAADEISCNTSAVTDEFMLPTPTTTHLLQPPPTALVPW
+>tr|E1BNV7|E1BNV7_BOVIN Myosin IXB OS=Bos taurus OX=9913 GN=MYO9B PE=3 SV=3
+MSVNEAGSAGRRGQAAYHLHIYPQLATSESQAPPLASCRVPATKDSTTSDVIQDAVARLQ
+LDGSKRYVLVEVKETGGEEWVLDASDSPVHRVLLWPRRAHDEHPREDGYYFLLQERDPDG
+TIKYLHMQLASQATATRRLVERGLLPRPQADFDDLCNLPELTEENLLKNLRHRFLQQKIY
+TYAGSILVAVNPFKFLPIYNPKYVKMYENQQLGKLEPHVFALADVAYYAMLRKHINQCIV
+ISGESGSGKTQSTNFLIHCLTALSQKGYASGVERTILGAGPVLEAFGNAKTAHNNNSSRF
+GKFIQVNYLESGIVRGAVVEKYLLEKSRLVSQEKDERNYHVFYYLLLGVSEEERREFQLK
+QPEDYFYLNQHNLKIEDGEDLKHDFERLKQAMEMVGFLPATKKQIFSILSAILYLGNITY
+RKRATGRDEGLEVGPPEALDTLSQLLKVKREILVEVLTKRKTVTSNDKLILPYSLSEAIT
+ARDSMAKSLYSALFDWIVLRINHALLNKKDMEESVSCLSIGVLDIFGFEDFERNSFEQFC
+INYANEQLQYYFNQHIFKLEQEEYQSEGISWHNIDYTDNVGCIHLISKKPTGLFYLLDEE
+SNFPHATSQTLLAKFKQQHEDNRYFLGTPVMEPAFIIQHFAGKVKYQIKDFREKNMDYMR
+PDIVALLRGSDSSYVRELIGMDPVAVFRWAVLRAAIQAMAVLREAGRLRAERAEKAAGLD
+SSGAQGHLGELQRGASTPSEKLYRDLHDQIIRSIKGLPWQGDDPRKLLQSLNRLQKPRTF
+ILKSKGIKQKQIIPKNLLDSKSLKLIISMTLHDRTTKSLLHLHKKKKPPSISAQFQTSLN
+KLLETLGKAEPFFIRCIRSNAEKKELCFDDELVLQQLRYTGMLETVRIRRSGYSAKYSFQ
+DFTEQFQVLLPKNAQPCREVISALLEKMDIDKRSYQIGKTKVFLKETERQALQETLHQEV
+VRKILMLQSWFRMVLERRHFLQMRQAAITIQACWRSYCVRRALERTQAAVFLQAAWRGYR
+QRAAYQRQRQSIIRLQSLCRGHLQRKSFSRMVEEKQKAEEKEREAEQAAKKETVEAGLAQ
+AAGPEPEQGLEPSTEGEPLDLEPEVWLSDGALPAESCQPEKEVPSVEKAPPTQKHAAEGR
+EKVPRSREKRESRRQRGLEHVKLQNKHIQSCKDEHTLRELSGKAVPGSEESLPEDRKEVR
+EDESPSDVRTEMEMAAPKKQPQVPPQAAPSSLDSGEAQAGSPRPSHEERPTSLALDSRVT
+VLGPSATPETPKDKSKPGIGPRAQERPDSPGGSTQIQRYRDPDTERLANAVELWRGKKLM
+TTGSNTMLSQSLDLSERHRAVGATLTPTEERRVSFSTSDISKLLLSPSQTKTQPSAETDG
+EPSTKKLAIQKKKSADAASGTDASLSPGSAESKSTFKRLFLHKNKDKKFSPEGGEETESA
+IPGQAILEAATTKKNLEVPSSHQHRHATGEKHPKEPGGKGKKNRNLKIGKITVSEKWRES
+VFRKITNANELKYLDEFLLNKVNDLRSQKTPIESLFIEATEKFRSNLKTMYSVPNGKIHV
+GYKDLMENYQIVVSNLAAERGEKDTNLVLNLFQSLLDEFTRGHTKNDFEPPKQSKAQKKK
+RKQERAVLQHNGHVFVSYQVSIPQSCEQCLSYIWLMDKALLCSVCKTTCHKKCMHKIQAC
+SIVCGKKTDPGAESGHFGVCVDSLTSDKVSVPIVLEKLLEHVEMHGLYTEGLYRKSGAAN
+RTRELRQALQTDPTAVKLENFPIHAITGVLKQWLRELPEPLMTFAQYGDFLHAVELPEKQ
+EQLAAIYAVLEHLPEANHNSLERLIFHLVKVALLEDVNRMSPSALAIIFAPCLLRCPDNS
+DPLISMKDVLKITTCVEMLIKEQMRKYKVKMEEISQLEAAESMACRRLSLLRQNAPWPLK
+LGFSSPYEGVLMKNPKARGSSSSGPEELGVLQEEEAAGGDEDREKEILIERIQSIKEEKE
+DITYRLPELDPRGSDEENLDSETSASTESLLEERAGRGASEGPPAPALPCPGAPTPSPLP
+EAAAPPRRRPSSFMTVRVKTPRRTPIMPTANIKLPPGLPSYLPGRAPGTQEAATMVRRRE
+PPARRPDQVHSVYITPGTHLPIQGPQEPLDKDDRPPGAKRRYSDPPTYCLPSSSGQANG
+>tr|F1N2Z3|F1N2Z3_BOVIN Neurogenic differentiation factor OS=Bos taurus OX=9913 GN=NEUROD1 PE=4 SV=1
+MTKSYSESGLMGEPQPQGPPSWTDECLSSQDEEHETDKKEDDLEAMNAEEDSLRNGGEEE
+EEDEDLEEEEEEEEEDDDQKPKRRGPKKKKMTKARLERFKLRRMKANARERNRMHGLNAA
+LDNLRKVVPCYSKTQKLSKIETLRLAKNYIWALSEILRSGKSPDLVSFVQTLCKGLSQPT
+TNLVAGCLQLNPRTFLPEQNQDMPAHLPTASASFPVHPYSYQSPGLPSPPYGTMDSSHVF
+HVKPPPHAYSAALEPFFESPLTDCTSPSFDGPLSPPLSINGNFSFKHEPSAEFEKNYAFT
+MHYPAATLAGAQSHGSVFSGASAPRCEIPIDNIMSFDSHSHHERVMSAQLNAIFHD
+>tr|F1MNW3|F1MNW3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=SNX13 PE=4 SV=3
+MREGSFSPGPLPPYTRDARGPPRQPSEPRPPPLHPRADRPRRAAEDKQSPRGLAPAPGPA
+PRWGLSVLPAAPSQPLRPAAGPWPLALSWSSAARLRFPARRRYGDSHETPAAPAPANGSA
+RRRETRTSLFQDGGASVASSRAGGKPAKPSKAAARERTEGAVAAVGGGSGSFRCCYGCCH
+AARLGRSSLPRGVIMLTETSLSIWGWGSLGIVLSLITFGPFVIFYLAFYILCFVGGGFVV
+TLLFGKTNSEKYLEQCEHSFLPPTSTGIPKCLEEMKREARTIKIDRRLTGANIIDEPLQQ
+VIQFSLRDYVQYWYYTLSDDESFLLEIRQTLQNALIQFATRSKEIDWQPYFTTRLVDDFG
+THLRVFRKAQQKITEKDDQVKGTAEDLVDTFFEVEVEMEKDVCRDLVCTSPKDEEGFLRD
+LCEVLLYLLLPPGDFQNKIMRYFVREILARGVLLPLINQLSDPDYINQYIIWMIRDSNCN
+YEAFMNIIKLSDNIGELEAVRDKAAEELQYLRSLDTAGDDINTIKNQINSLLFVKKVCDS
+RIQRLQSGKEINTVKLAANFGKLCTVPLDSILVDNVALQFFMDYMQQTGGQAHLFFWMTV
+EGYRVTAQQQLEVLSSRQKDGKHQTNQTKGLLRAAAVGIYEQYLSEKASPRVTVDDYLVA
+KLADTLNHEDPTPEIFDDIQRKVYELMLRDERFYPSFRQNALYVRMLAELDMLKDPSFRG
+SDDGDGESFNGSPTGSINLSLDDLSNVSSDDSVQLHAYISDTGVCNDHGKTYALYAITVH
+RRNVNTEEMWKTYRRYSDFHDFHMRITEQFENLSSILKLPGKKTFNNMDRDFLEKRKKDL
+NAYLQLLLTPEMMKASPALAHYVYDFLENKAYSKGKGDFARKVPPLIPKTDSDPEHCRVS
+AQLDDTVDDNIPLRVMLLLMDEVFDLKERNQWLRRNIKNLLQQLIRATYGDTINRKIVDH
+VDWMTSPEQVADLVKRFRDAFWPNGILAETIPCRDKGIRMRTRVAGKTKLLSIMPDELKH
+IIGAETTRKGILRVFEMFQHNQLNRRMVYVFLEGFLETLFPQYKFRELFNKLHSRSKQMQ
+KYKQKLQTTQAPSLQKR
+>tr|A0A3S5ZP39|A0A3S5ZP39_BOVIN Exportin 4 OS=Bos taurus OX=9913 GN=XPO4 PE=4 SV=1
+MMAAALGPPEVIAQLENAAKVLMAPPSMVNTEQRQHAEHIFLSFRKSKSPFAVCKHILET
+SKVDYVLFQAATAIMEAVVREWILLEKASIESLRTFLLTYVLQRPHLQKYVREQILLAVA
+VIVKRGSLDKSIDCKSIFHEVSQLISSGNPTVQTLACSILTALLSEFSSSSKTSNIGLSM
+EFHGNCKRVFQEEDLRQIFLLTVEVLRNFSLQEHLSAQMSSVFQRYLALANHVLSWNFLP
+PNHGRHYIAMFESSQNVLLKPTESWREALLDSRVMELFFTVHRKIREDSDMAQDSLQCLA
+QLASLHGPVFPDEGAQVDYLAHFIEGLLGTINGIEIEDSEAVGISSIISNLITVFPRNVL
+TAIPRELFSSFVNCLTHLTCSFGRSAALEEVLDKDDMVYMEAYDRLLESWLTLVQDDKHF
+HKGFFTQHAVQVFNSYIQCHLAAPDGTRNLTANGVASREEEEISELQEDDRDQFSDQLAS
+VGMLGRIAAEHCIPLLTSLLEERVTRLHGQLQRQQQQQLLASPTSGSADSKTLDDLYEDI
+HWLILVTGYLLADDTQGETPLIPPEIMEYSIKHSSEVDINTTLQILGSPGEKASSIPGYN
+RTDSVIRLLSAILRVSEVESRAIRADLTHLLSPQMGKDIVWFLKRWAKTYLLVDEKLYDQ
+ISVPFSTAFGADTEGSQWIVGYLLQKVLSNLSVCSSEQGLADDTVQLLVTLVERRERANL
+VIQCENWWNLAKQFASRSPPLNFLSSPVQRTLMKALVLGGFAHMDTETKQQYWTEVLQPL
+QQRFLRVINQENFPQTCQQEEVKQEITATLEALCGIAEATQVDNVAILFNFLMDFLTNCI
+GLMEVYKNTPETVNLIIEVFVEVAHKQICYLGESKAMNLYEACLTLLQVYSKNNLGRQRI
+DVTAEEEQYQDLLLIMELLTNLLSKEFIDFSDTDEVFRGQEPGPAASRSVSAADVVLYGV
+NLILPLMSQDLLKFPTLCNQYYKLITFICEIFPEKIPQLPEDLFKSLMYSLELGMTSMSS
+EVCQLCLEALTPLAEQCAKAQETDAPLFLATRHFLKLVFDMLVLQKHSTEMTTAAGEAFY
+TLVCLHQAEYSELVETLLSSQQDPVIYQRLADAFNKLTASSTPPALDRKQKMAFLKSLEE
+FMANVGGLLCVK
+>tr|E1BBV9|E1BBV9_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC521749 PE=3 SV=3
+MDGGNRSVVSEFLLQGLTSSWEVQILLFLLFILFYIASMLGNLLIVLTILSDHHLHSPMY
+FLLANLSFIDTGVSSIATPKMISDLFRRHKVISLRGCITQMFFIHTVGGAEMVLLTVMAY
+DRYVAICKPLHYPTIMSLRMCTSLLAVAWTIGLIHSVTQLAFVVNLPFCGPNEMDSFYCD
+FPRFIKLACTDTYRLEFLVTANSGFISMGTFFILIVSYIFVLVTVRKHSSGGSSKALSTL
+SAHITVVVFFFGPCIIVYVWPFPTLPIDKFLAIFDALITPFMNPIIYTLRNKEMKMAMRR
+LFGKVLSFKKSFFMHNSRNSD
+>tr|F1N495|F1N495_BOVIN Apoptosis resistant E3 ubiquitin protein ligase 1 OS=Bos taurus OX=9913 GN=AREL1 PE=4 SV=1
+MFYVIGGITVSVVAFFFTIKFLFELAARVVSFLQNEDRERRGDRTIYDYVRGNYLDPRSC
+KVSWDWKDPYEVGHSMAFRVHLFYKNGQPFPAHRPVGLRVHISHVELAVDIPVTQEVLQE
+PSSNVVKVAFTVRRAGRYEISVKLGGLNVAYSPYYKIFQPGMVVPSKTKIVCHFSTLVLT
+CGQPHTLQIVPRDEYDNPTNNSTSLRDEHNYSLSIHELGPPEEESTGVSFEKSVTSNRQT
+CQVFLRLTLHSRGCFHACISYQNQPINNGEFDIIVLSENERNIVERNVSTSGVSIYFEAY
+LYNAANCTSTPWHLPPMHTSSAQRRPSTALEEEDEDSPSECHTPEKVKKPKKVYCYVSPK
+QFSVKEFYLKIIPWRLYTFRVCPGTKFSYLGPDPVHKLLTLVVDDGIQPPVELSCKERNI
+LAATFIRSLHKNIGGSETFQDKVNFFHRELRQVHMKRPHSKVTLKVSRHALLESSLKATR
+NFSISDWSKNFEVVFQDEEALDWGGPRREWFELICKALFDTTNQLFTRFSDTNQALVHPN
+PNRPTHLRLKMYEFAGRLVGKCLYESALGGAYKQLVRARFTRSFLAQIIGLRMHYKYFET
+DDPEFYKSKVCFILNNDMSEMELVFAEEKYNKSGQLDKVVELMTGGAQTPVTNANKIFYL
+NLLAQYRLASQVKEEVEHFLKGLNELVPENLLAIFDENELELLMCGTGDISVSDFKAHAV
+VIGGSWHFREKVMRWFWTVVSSLTQEELARLLQFTTGSSQLPPGGFAALCPSFQIIAAPT
+HSTLPTAHTCFNQLCLPTYDSYEEVHRMLQLAISEGCEGFGMF
+>tr|A0A3Q1NKZ2|A0A3Q1NKZ2_BOVIN TBC1 domain family member 5 OS=Bos taurus OX=9913 GN=TBC1D5 PE=4 SV=1
+MYHSLSETRHPLQPEEQEVGTDPLSSYPDQPGGDSNQNGRTSSLDSDGTFNSYRKEWEEL
+FVNNNYLATIRQKGINGQLRSSRFRSICWKLFLCVLPQDKSQWISKIKELRAWYSSVKEI
+HITNPRKAVGQQDLMINNPLSQDEGSLWNKFFQDKELRSMIEQDVKRTFPEMQFFQQESV
+RKILTDVLFCYARENEQLLYKQGMHELLAPIVFTLHCDHQAFLHASESAQPSEEMKTLLN
+PEYLEHDAYAMFSQLMETAEPWFSTFEHDGQKGKETLMPPIPFARPQDLGPTIAIVTKVN
+QIQDQLLKKHDIELYMHLNRLEIAPQIYGLRWVRLLFGREFPLQDLLVVWDALFADGLTL
+TLVDYVFVAMLLYIRDALISSNYQTCLGLLMHYPLIGDVHSLILKALFLRDPKRNPRPVT
+YQFHPNLDYYKARGADLMNKSRTNAKGVPLNINKVSNSLINFGRKLISPAAAPGGAGGPV
+AAGGAGAPSPAAAPTRTLAEAPRHALQQQQQRLMKSESMPVQLNKGDVVTGSDAQVSVPV
+QTLTDLPGQSSKNISSSPSIESLPGGREFTGSPPSSAAKKDSFFSNISRSRSHSKTMGRR
+ESEEELEAQISFLQGQLNDLDAMCKYCAKVMDTHLVNIQEVILQENLEKEDQILVSLAGL
+KQIKDILKGSLRFNQSQLEAEDNEQISISDDHYCSSGAAQQAPPAAPGRRERPGADDFVL
+VSKDCEERGAGLSPAGQAQPLRPLRGAARPVLVFSDPLTGPASASSSNPSSSPDDDSSGH
+SKDSGFTIVSPLDL
+>tr|A0A3Q1MU34|A0A3Q1MU34_BOVIN Protein tyrosine phosphatase, receptor type M OS=Bos taurus OX=9913 GN=PTPRM PE=4 SV=1
+MSRLGTCLATLTGLLLTAAGDSFSGGCLFDEPYSTCGYSQAVDDEFNWEQVNTLTKPTSD
+PWMPSGSFMLVNTSGRPEGQRAHLLLPQLKENDTHCIDFHYFVSSKSNSAPGSLNVYVKV
+NNGPLGSPIWNISGDPNRTWNRAELAISTFWPNFYQVIFEVITSGHQGYLAIDEVKVLGH
+PCTRTPHFLRIQNVEVNAGQFATFQCSAIGRTVAGDRLWLQGIDVQDAPLKEIKVTSSRR
+FIASFNVVNTTKRDAGKYRCMIRTDGGVGISNYAELVVKEPPVPIAPPQLASVGATYLWI
+QLNANSINGDGPIVAREVEYCTASGSWSDRQPVDSTSYKIGHLDPDTEYEISVLLTRPGE
+GGTGSPGPALRTRTKCADPMRGPRKLEVVEVKSRQITIRWEPFGYNVTRCHSYNLTVHYC
+YKVGGQEQVREEVSWDTENSHPQHTITNLSPYTNVSVKLILMNPEGRKESQELVVQTDED
+LPGAVLTESIQGSTFEEKIFLQWREPIETYGVITLYEITYKAVSSFDPEIDLSNQSGRVS
+KLGNETHFLFFGLYPGTTYSFTIRASTAKGFGPPATNQFTTKISAPSMPAYELETPLNQT
+DSTVTVLLKPAHSRGAPVSVYQIVVEEERPRRTKKTTEILKCYPVPIHFQNASILNSQYY
+FAAEFPANSLQAAQPFTIGDNKTYNGYWNTPLLPHKSYRIYFQAASRANGETKIDCVRVA
+TKAAIIVTQLTTPHIRIAPAAGDDQLTGAATPKPVPEPEKQTDHTVKIAGVIAGILLFVI
+IFLGVVLVMKKRKLAQKRKETMSSTRQEMTVMVSSMDKSYAEQGTSCDEAFSFMDTHNLN
+GRSVSSPSSFTMKTNTLSTSVPNSYYPDPFVPTAILDETHTMASDTSSLVQPHTYKKREP
+ADVPYQTGQLHPAIRVADLLQHITQMKCAEGYGFKEEYESFFEGQSAPWDSAKKDENRMK
+NRYGNIIAYDHSRVRLQTVEGDNSDYINGNYIDGYHRPNHYIATQGPMQETISDFWRMVW
+HENTASIVMVTNLVEVGRVKCCKYWPDDTEIYKDIKVTLIETELLAEYVIRTFAVEKGGP
+GGEAHCSPSREVSQRGVHEIREIRQFHFTGWPDHGVPYHATGLLGFVRQVKSKSPPNAGP
+LVVHCSAGAGRTGCFIVIDIMLDMAEREGVVDIYNCVRELRSRRVNMVQTEEQYVFIHDA
+ILEACLCGDTSVPASQVRSLYYDMNKLDPQTNSSQIKEEFRTLNMVTPTLRVEDCSIALL
+PRNHEKNRCMDILPPDRCLPFLITIDGESSNYINAALMDSYKQPSAFIVTQHPLPNTVKD
+FWRLVLDYHCTSVVMLNDVDPAQLCPQYWPENGVHRHGPIQVEFVSADLEEDIISRIFRI
+YNAARPQDGYRMVQQFQFLGWPMYRDTPVSKRSFLKLIRQVDKWQEEYNGGEGRTVVHCL
+NGGGRSGTFCAISIVCEMLRHQRTVDVFHAVKTLRNNKPNMVDLLDQYKFCYEVALEYLN
+TG
+>tr|A0A3Q1M4W9|A0A3Q1M4W9_BOVIN V-type proton ATPase subunit D OS=Bos taurus OX=9913 GN=ATP6V1D PE=4 SV=1
+MSGKDRIEIFPSRMAQTIMKARLKGAQTGRNLLKKKSDALTLRFRQILKKIIETKMLMGE
+VMREAAFSLAEAKFTAGDFSTTVIQNVNKAQVKIRAKKDNVAGVTLPVFEHYHEGTDSYE
+LTGLARGGEQLAKLKRNYAKAVELLVELASLQTSFVTLDEAIKITNRRVNAIEHVIIPRI
+ERTLAYIITELDEREREEFYRLKKIQEKKKILKEKSDKDLEQRRAAGEVIEPANLLAEEK
+DEDLLFE
+>tr|A0A3Q1LRT7|A0A3Q1LRT7_BOVIN Mastermind like domain containing 1 OS=Bos taurus OX=9913 GN=MAMLD1 PE=4 SV=1
+MDDWKSRLVVKSMLPHFAMVGNRQEPRKLQESAQGTTKRRQEGEDFQLSGMGDEGYPNQI
+KRPCLEDVTLSMGPGAHPSTACAQLQVPALPMNPSSTAMAAPGHPLLLDNSPRNGSVMGP
+PFAGPPTAEMGVKGPSIPYYDKTNSAPAVDQELQDLLEELTEIQESSQSELDLEKILGTK
+PEEPLVLQHHPPATLGATAKPTVQMPRLESLSSSKDFASSCSLDTGVSLQIPPSSAGFSY
+AITPASKQMASPSSSTGQAKNQAQVTLSAALPPLPVSQWHHAHQLKALAASKQGSSKKQL
+DPTTSWSGLPSPGLSPPYQPVPVVSPQPLPPFSPQGLMVSCMSSSSLPGTTLQGSPNTLL
+SSLAPSSSTVLGPARPYAPEKFPSPTLQQPPPFSTQTSILANLMSSTVKHPQGHLMSALP
+TSNTGPLTPYRPETLSSPSLPQQSFTAQCPLIQGLTTSAHPLCQPQPPASAIYKPKTLSM
+IMQQDLSSPSPGASEPFPFGHTKPLSHFMSEPGPQKMPSVPTTSRQPALLHYLQQPTPPP
+ASSATASSTATLQLQQQPDISSFLLQHVTQQPQRFQRSLALGDSMPSLPRQACCQLFSWT
+SVAGSMEHQLNHHWDPYPIRQHPQPGAVSPSKIREKQRSGLMAMTPEQRSSRIAQRMSQF
+QAIQDQVISKCSRTKASSPPSQRMIPPRDGLLHSSLSSGMASPIRQQSQETMGMLSPIPC
+RQQGIFSFRPPFPTPSHLGHNPLGSLGSIDQHMGMPKAAPAGVPLPRFYPSPLGSQALGP
+HQLRQPHMSGMSTVLHSTSWVVAAASLHTPVLREPPPSQAAHGMQQHYDSSTIFAKALVT
+TSLEAPVFPSQQAIVSPSQVAPEGRPSQKVGPAPAKPSFSLLGNKSVRQSPVQGPVPTPH
+ATKSLQQSMVSFRPESPIQAIEPPSSVVAAAATSVVAASQSLASPCDRTGSPSELSSQSP
+LDDLISLPDCSEVDFDTFMMDSTESPDEDWMGNLRLISGTAEDQAADKGAEAQSAGHVPQ
+NADKL
+>tr|E1BKA1|E1BKA1_BOVIN Protein S100 OS=Bos taurus OX=9913 GN=LOC786350 PE=3 SV=3
+MSGFQLEQAITDLINLFHKYSGSDDTIEKEDLLRLMKENFPNFLSACEKRGRQYLSDIFE
+KKDKNKDKKIDFSEFLSLLADIATDYHNHSHGAQLCSGGNQ
+>tr|G3MXR3|G3MXR3_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MKTILSNQTVNIPENVDINLKGRTVIVKGPRGTLRRDFNHINVELSLLGKKKKRLRVDKW
+WGNRKELATVRTICSHVQNMIKGVTLGFPYKMRSVYAHFPINVVIQENGSLVEIRNFGGE
+KYIHRVRMRPGVACLVSQAQKDELILEGNDIELVSNSAALIQQATTVKNKDIRKFLDGIY
+VSEKGTVQQADE
+>tr|F2Z4C0|F2Z4C0_BOVIN Arf-GAP with coiled-coil, ANK repeat and PH domain-containing protein 1 OS=Bos taurus OX=9913 GN=KCTD11 PE=4 SV=2
+MTVKLDFEECLKDSPRFRASVELVEAEVSELETRLEKLLKLGNGLLESGRHYLAASRAFI
+VGICDLAHLGPPEPMMAECLDKFTQSLSHKLDSHAELLDATQHTLQRQIQTLVKEGLRSF
+REAGRDFWRGAESLEAALTHNAEVPRRRAQEAEEAGAALKVARAGYRGRALDYALQVLRL
+VEAQATHFQQGHEELSQLAQYRKELGGQLHQLVLNSAREKRDMEQRHVLLKQKELGGEEP
+EPSLKEGPGGLVMEGHLFKRASNAFKTWSRRWFTIQSNQLVYQKRYKDPVTVVVDDLRLC
+TVKLCPDSERRFCFEVVSPSKSCLLQADSERLMQLWVSAVQSSIATAFSQARLDDSPRGL
+GQGSGHLAISSAATLGPGGLTRGREPGGVGHVAAQVQSVDGNAQCCDCREPAPEWASINL
+GVTLCIQCSGIHRSLGVHFSKVRSLTLDSWEPELVKLMCELGNVVINQIYEARVEAMAVK
+KPGPSCSRQEKEAWIHAKYVEKKFLTKLPEIRGRRGGRGPPRGHPPVPPKPGLIRPKPGS
+FRSKPEPPSEDLQSLHPGALLFRAAGHPPSLPTMADALAHGADVNWVNGGQENATPLIQA
+TAANSLLACEFLLQNGANVNQVDNQGRGPLHHATILGHTGLACLFLKRGADLGVRDSEGR
+DPLTIAVETANADIVTLLRLAKMREADAAQGQAGDETYLDIFRDFSLMASDDPEKLSRRS
+HDLHTL
+>tr|F1MF00|F1MF00_BOVIN Cation-transporting ATPase OS=Bos taurus OX=9913 GN=ATP13A2 PE=3 SV=2
+MSADSSPLVGSTPAGYGTLTIETSTDPLSSSVSSVRLSGYCGSPWRAIGYHAVVWMLAGL
+PLLLFRWKPLWGVRLRLRPCTLAHAETLVIETRDREDSSWQLCTVQVQTEAIGADGLQPP
+PQMRAEDGRSRAAVGAEPEDAWKDTAQLHRPEERRRLRYYVFRGQRYVWMETQQAFRQVS
+LLDRSRTCDDLRRASAGLGLQDHTVRKAVYGPNVISVPVKSYPQLLVDEALNPYYGFQAF
+SIVLWLADHYYWYALCILLVSAVSICLSVYRTRKQSQTLRDMVQLSVRVCVCRPEGEEWV
+DSSELVPGDCLVLPQEGGLMPCDAALVAGECVVNESSLTGESIPVLKTALPEGPAPYLPE
+THRRHTLFCGTLVLQARAFVGPHVLAVVTQTGFCTAKGGLVSSILHPRPLSFKFYKHSMK
+FVAALSVVALLGTVYSIIILLHNQVPLGEIVIRALDVVTVAVPPALPAAMTMCALYAQSR
+LESQGIFCVHPARINLAGKLRLVCFDKTGTLTEDGLDVMGVVPLKGQEFLPLVSEPRRLP
+MGPLLRALATCHTLSRLQDTPVGDPMDLKMVESTGWVLEEGPVTDSTFGTQVLAVMKPPL
+QEPHLQGMEPPAPVSILGRFPFLSALQRMSVVVAWPGAAQPEACVKGSPELVASLCDPAT
+VPVDFALRLQSYTAAGYRVVALAGKPLPVTASLEAAQQLPRDAVEQELSLLGLLVMRNLL
+KPQTPGVIQALRKTHIRTVMVTGDNLQTAVTVAQGCGMVGPRERLVIVHAVPPEQGQRAS
+LQLQPVESFAATNGAQGPDLAASCTMEPDPRSSHLALSGSSFSVLVKHFPKLLPKVLVQG
+TIFARMAPEQKTELVCELQKLQYCVGMCGDGANDCGALKAADVGISLSQAEASVVSPFTS
+SVASIECVPMVIREGRCSLDTSFSVFKYMALYSLTQFISVLILYTVNTNLGDLQFLAVDL
+VITATVAVLMSRTGPARVLGRARPPGSLLSGPVLGSLLLQVALVAGVQLGGYFMMVAQPW
+FVPLNRTVPAPDNLPNYENTVVFSLSSFQYLILAAAVSKGAPFRRPLYSNVPFLVALVLL
+GSVLVGLVLAPGPLQGPLTLKSITSTPFKLLLLGLVAFNVVAAFMLESLLDQYLPGCLRQ
+LRPKRASKKRFKQLERELAEQPWPPPPGPVR
+>tr|A0A3Q1LZG5|A0A3Q1LZG5_BOVIN Sortilin related VPS10 domain containing receptor 1 OS=Bos taurus OX=9913 GN=SORCS1 PE=4 SV=1
+MGIPDHLTRLLRNLYTGQEATVRTGHGTTDWFQIGKGVCQGCILSPCLFNFRVHHEKHWV
+ILILTKLFDYNLGSITESSLWRSTDYGTTYEKLNDKVGLKTILSYLYVCPTNKRKIMLLT
+DPEIESSLLISSDEGATYQKYRLNFYIQSLLFHPKQEDWILAYSQDQKLYSSAEFGRRWQ
+LIQEAVVPNRFYWSVMGSNKEPDLVHFSMMLINCTEANRNKPFPGYIDPDSLIVQDDYVF
+VQLTSGGRPHYYVSYRRNAFAQMKLPKYALPKDMHVISTDENQVFAAVQEWNQNDTYNLY
+ISDTRGVYFTLALENVQSSRGPEGNVMIDLYEVAGIKGMFLANKKIDNQVKTFITYNKGR
+DWRLLQAPDTDLRGDPVHCVLPYCSLHLHLKVSENPYTSGIIASRDTAPSIIVASGNIGS
+ELSDSDISMFVSSDAGNTWRQIFEEEHSVLYLDQGGVLVAMKHTSLPIRHLWLSFDEGRS
+WSKYSFTSIPLFVDGVLGEPGEETLIMTVFGHFSHRSEWQLVKVDYKSIFDRRCAEEDYR
+PWQLHSQGEACIMGAKRIYKKRKSERKCMQGKYAGAMESEPCVCTEADFDCDYGYERHSN
+GQCLPAFWFNPSSLSKDCSLGQSYLNSTGYRKVVSNNCTDGVREQYTAKPQKCPGKAPRG
+LRIVTADGKLTAEQGHNVTLMVQLEEGDVQRTLIQVDFGDGIAVSYVNLSSMEDGIKHVY
+HNVGIFRVTVQVDNSLGSDSAVLYLHVTCPLEHVHLSLPFVTTKNKEVNATAVLWPSQVG
+TLTYVWWYGNNTEPLITLEGSIAFKFTSEGMNTITVQVSAGNAILQDTKTIAVYEEFRSL
+RLSFSPNLDDYNPDIPEWRRDVSRVIKKALVEATGVPGQHILVAVLPGLPTAAELFVLPH
+QDPTGENKRPAEDLEQISELMIHKLNQNSVHFELKPGVQVLVHAAHLTAAPLVDLTPTHS
+GSAMLMLLSVVFVGLAVFVIYKFKRRVALPSPPSPSTQPGDSSLRLQRARHATPPSTPKR
+GSAGAQFAI
+>tr|F1N7Z4|F1N7Z4_BOVIN HAUS augmin like complex subunit 5 OS=Bos taurus OX=9913 GN=HAUS5 PE=4 SV=3
+MELAQQARELGCWAAEEMEVPVAARVPESTLRRLCLGQGADIWAYVLRHVHSQRNVRKIR
+GNLLWYGHQDNPEARRKLELEADVARLRAEILELDQSLELMEQETEAQDMAMEQNLQRMQ
+DTQRRALLLRAQAGAMRRQQRELQDPMQRLQNQLKRLQDIERKAKVDINFGPLISAALGL
+EPAVLHDVRTACSLRTQFLQSLLSPQAQGGGVLTLQDDHFGTSYQQWLSSVESLLTNHPP
+GHVLAALQHLAAEREAEIQSLCSVDGLQDMERTRSQAPNQSDSSQTLPSVVHLIQEGWRS
+VGELVTQREPLLKEHQVLTLRLQGLMEKADRCILGSSERQTLVLGLRVCALWAELKALRA
+LSQELEEATGRRQILLQELQAKQQRILRWRQLVEETQEQIRLLIKGNSASKTRLCRSPEE
+VLALVQRKVVPTSEAVAPQSQELVRCLEQEAQHLPHLPLGPLLQHSPGGLQPLPTILPSI
+HQLHPASPRGSSLIALSHTLGLPAGKAPELLLPRAASLRQDLLFLQDQQSLRRWYLLHMK
+TNLPPGPSTQELLRIQESQEKEQKESLGQALKRLENLLKQALKRVPELQGVVGDWWEQPG
+QAALSRELCQGLSLSQWQLRWVQAQGALQQLCR
+>tr|A0A3Q1LVK9|A0A3Q1LVK9_BOVIN Neuroguidin OS=Bos taurus OX=9913 GN=NGDN PE=4 SV=1
+MAVTAQVQTLTKKVQAKAYPTEKGLSLLEVKDQLLLMYLMDLSHLILDKASGGSLQGHPA
+VLRLVEIRTVLEKLRPLDQKLKYQIDKLVKTAVTGSLSENDPLRFKPHPSNMMSKLSSED
+EEEDEAEEGQSGASGKKSGKGTAKKYVPPRLVPVHYDETEAEREKKRLERAKRRALSSSV
+IRELKEQYSDAPEEIRDARHPHVTRQSQEDQHRINYEESMMVRLSVSKREKGRRKRANVM
+SSQLHSLTHFSDISALTGGTPHLDEDQNPTKKRKKIPKKGRKKKGFRRRR
+>tr|A0A3Q1M0E7|A0A3Q1M0E7_BOVIN Oxysterol-binding protein OS=Bos taurus OX=9913 PE=3 SV=1
+MPVIFNEALSFLQRLTEFMEHEYLIHKASSFADPVERMQWGATFAVSAVASQWECTGKPF
+NPLLGETYELVRDDLGFRLISEQVSHHPPISAFHAEGLNNDFIFHGSIYPKLKFWGKSIE
+AKPKGTIALELLEHNEAYTWTNPTCCVHNIIVGKLWIEQYGNVEITNHKTADKCMLNFKP
+CGLFGKELHIVEGYIQDKSKKKLCALYGKWTECLYSVDLATFDAYQKNDKKNTEEKNSKQ
+VSASEESYEMPVPEFQSMFVIPGSVLLWQIAPQPPNSAQIDSRRNKEWHARTGPSQRTGR
+RGGSIKALIPTAEPRTGFILAATGTETTSICLTVTKM
+>tr|F1N0T4|F1N0T4_BOVIN Adenosine deaminase, RNA specific B1 OS=Bos taurus OX=9913 GN=ADARB1 PE=4 SV=3
+MHLEYRNSLRQSRNPQKYFAMDIEDEENMSSSSTDVKENCNLDGAPPKDSSAPGPGEGAP
+LSNGGGGGTSRKRALDEGSNGHAKFRLKKRRRAPGPALPKNALMQLNEIKPGLQYTLLSQ
+TGPVHAPLFLMSVEVNGQVFEGSGPTKKKAKLHAAEKALRSFVQFPNASEAHLAMGRSLS
+TNADFTSDQADFPDALFNGFETPDRSEVPFYLGSNGDDSFSSSGDLSLAASPVPAGLAQP
+PLPGPPPFPPPSGKNPVMILNELRPGLKYDFLSESGESHAKNFVMSVVVDGQFFEGSGRN
+KKLAKARAAQSALATIFNLHLDQTPSRQPIPSEGLQLHLPQVLADAVARLVLDKFGDLTD
+SFASPHARRKVLAGIVMTTGTDVKDAKVISISTGTKCINGEYMSDRGLALNDCHAEIIAR
+RSLLRFLYTQLEIYLNSKDDQKRSIFEKSERGGFKLKENVQFHLYISTSPCGDARIFSPH
+EPILEEPADRHPNRKARGQLRTKIESGEGTIPVRSNASVQTWDGVLQGERLLTMSCSDKM
+ARWNVVGIQGSLLSIFVEPIYFSSIILGSLYHGDHLSRAMYQRISNIEDLPPLYTLNKPL
+LSGISNAEARQPGKAPNFSVNWTVGDSALEVINATTGKDELGRASRLCKHALYCRWMRVH
+GKVPSSLLRSKITKPNVYHESKLVAKEYQAAKACLFRAFIKAGLGAWVEKPTEQDQFSLT
+P
+>tr|E1BDD8|E1BDD8_BOVIN Solute carrier family 49 member 3 OS=Bos taurus OX=9913 GN=SLC49A3 PE=4 SV=3
+MAGPAGDWPEAGAATSVRSALGGYRAYARRWVFLLVISLLSCSNATLWLSFAPVADTIAR
+HFLLSTEQINWLSLVYLVVSIPFGMVAIWVLDSVGLRRATTLGAFLNFWGSMIRSMPCMA
+VDIQDPFVFLLGGQTFCALAQTLVIFSPAKLAALWFPEHQRATANMIGTMSNALGILVAN
+LLSPALVKTEEDIPLMLGTYILPAGLTFLLAIACLWESVPPTPPSVGAAQSTSLSFLAGL
+KLLTRNKAYMVLAVCFGGGIGIFSNFSALLEQVLCVNGYSSEFAGLCGALFIVFGVLGAV
+ALGLYVDRTKHFTEAIKIGLCLTSLACVAFAVVSQLQGQTIVLAAICSLLGFFGFSVAPV
+AMELAVECSFPVGEGAAAGLVFVLGQAEGVLIMVLLTSLTVRHAEPSVSTCQDGQGPLDW
+KVPMLLMAGLCVLFSCLFVLLFHTPYRRLQAEADASPSIQEDERPATAAALGHAPYLAAT
+P
+>tr|F1MG95|F1MG95_BOVIN Poly(ADP-ribose) glycohydrolase OS=Bos taurus OX=9913 GN=PARG PE=4 SV=2
+MSAGPGCEPCTKRPRWDAAATSPPAASDARSFPGRQRRVLDSKDAPVQFRVPPSSSGCAL
+GRAGQHRGSATSLVFKQKTITSWMDTKGIKTVESESLHSKENNNTREESMMSSVQKDNFY
+QHNMEKLENVSQLGFDKSPVEKGTQYLKQHQTAAMCKWQNEGPHSERLLESEPPAVTLVP
+EQFSNANVDQSSPKDDHSDTNSEESRDNQQFLTHVKLANAKQTMEDEQGREARSHQKCGK
+ACHPAEACAGCQQEETDVVSESPLSDTGSEDVGTGLKNANRLNRQESSLGNSPPVEKESE
+PESPMDVDNSKNSCQDSEADEETSPGFDEQEDSSSAQTANKPSRFQPREADTELRKRSSA
+KGGEIRLHFQFEGGESRAGMNDVNAKRPGSTSSLNVECRNSKQHGRKDSKITDHFMRVPK
+AEDKRKEQCEMKHQRTERKIPKYIPPHLSPDKKWLGTPIEEMRRMPRCGIRLPPLRPSAN
+HTVTIRVDLLRIGEVPKPFPTHFKDLWDNKHVKMPCSEQNLYPVEDENGERAAGSRWELI
+QTALLNRLTRPQNLKDAILKYNVAYSKKWDFTALIDFWDKVLEEAEAQHLYQSILPDMVK
+IALCLPNICTQPIPLLKQKMNHSITMSQEQIASLLANAFFCTFPRRNAKMKSEYSSYPDI
+NFNRLFEGRSSRKPEKLKTLFCYFRRVTEKKPTGLVTFTRQSLEDFPEWERCEKLLTRLH
+VTYEGTIEGNGQGMLQVDFANRFVGGGVTSAGLVQEEIRFLINPELIVSRLFTEVLDHNE
+CLIITGTEQYSEYTGYAETYRWARSHEDRSERDDWQRRSTEIVAIDALHFRRYLDQFVPE
+KIRRELNKAYCGFLRPGVSSENLSAVATGNWGCGAFGGDARLKALIQILAAAVAERDVVY
+FTFGDSELMRDIYSMHTFLTERKLTVGEVYKLLLRYYNEECRNCSTPGPDIKLYPFIYHA
+VESCTQTTNQPGQRTGA
+>tr|F1MZP2|F1MZP2_BOVIN Leupaxin OS=Bos taurus OX=9913 GN=LPXN PE=4 SV=2
+MEELDALLEELEYSTFQESDEYSKAASPPLDQHSRKESNLDETSKVPSVPDDTNPFPVQL
+VYTTEIQGPNVYSEIQEPKESPPASKTSAAAQLDELMAHLCELQHQVTAKADASKKPVSD
+SQDHKASLDSMLGGLEQDLQNLGIATVPKGHCASCQKPIAGKVIHALGQAWHPEHFVCAH
+CKAEIGSSPFFERSGLAYCAEDYHHLFSPRCAYCAAPILDKVLTAMNQTWHPEHFFCAHC
+GEVFGEEGFHEKDKKPYCRKDFLGMFAPRCGGCNRPVLENYLSAMGTVWHPECFVCGECF
+SGFSTGSFFELDGRPFCELHYHQRRGTLCHGCGQPITGRCISAMGYKFHPEHFVCAFCLT
+QLSKGVFKEQNDKTYCHPCFNKLFPV
+>tr|F1ML34|F1ML34_BOVIN Sorting nexin OS=Bos taurus OX=9913 PE=3 SV=3
+MAACPQTPFQESKTKRPKSKNTPSEIDVPDALSERDKVKFTVHTKTTLPTFQSPEFSVTR
+QHEDCVWLHDTLIETTDYAGLIIPPAPTKPDFDGPREKMQKLGEGEGSMTKEEFAKMKQE
+LEAEYLAVFRKTVSSHEVFLQRFSPHPVLSKDRNFHVFLEYDQDLSVRRKNTKEVFGGFF
+KSVVKSADEVLFSGVKEVDDFFEQEKTFLINYYNRIKDSCAKADRMTRSHKNVADDYIHT
+AACLHSLALEEPTIIKKYLLKVAELFEKLRKVESRVSSDEDLKLTELLRYYMLNIEAAEN
+LLYRRTKALIDYESSNKALDKARLKSKDVKLAEAHQQECCQKFEQLSESAKDELINFKRK
+RVAAFRKNLIEMSELEIKHARNNVSLLQSCIDLFKNN
+>tr|F1MPE2|F1MPE2_BOVIN DOP1 leucine zipper like protein A OS=Bos taurus OX=9913 GN=DOP1A PE=4 SV=2
+MNTEELELLSDSKYRNYVAAVDKALKNFEYSSEWADLISALGKLNKVLQNNAKYQVVPKK
+LTIGKRLAQCLHPALPGGVHRKALETYEIIFKIIGPKRLAKDLFLYSSGLFPLLANAAMS
+VKPTLLSLYEIYYLPLGKTLKPGLQGLLTGILPGLEEGSEYYERTNTLLEKVAAAVDQSA
+FYSALWGSLLTSPAVRLPGITYVLAHLNRKLSMEDQLYIIGSDIELMVEAVSTSVQDSSV
+LVQRSTLDLILFCFPFHMSQATRPDMIRILSAALHVVLRRDMSLNRRLYAWLLGFDNNGA
+IIGPRSTRHSNPEEHATYYFTTFSKDLLVQAMVGILQVNVFGEESTLMQDLKPFRILISL
+LDKPELGPVILEDVLIEVFRTLYSQCKAELDLQMEPPFSKDHAQLSSKLRENKKTAELIK
+TANLLFNSFEPYYMWDYVARWFEECCRRTLHARLQTGPGDSSESSELQLTNFCLLVDFLL
+DIVSLETYIEIQTEHLPQLLLRMISALTSHLQTLHLTELTDSLRLCSKILSKVQPPLLSA
+STGGVLQFPSGQNNSVKEWEEKKVSSVSLENPTEVFEDGENPPSSRSSESGFTEFIQYQA
+DRTDDIDRELSEGQGAAAIPIGSTSSETETASTVGSEETIIQPPSIIAQGTAPRSGKTAQ
+KTAMQCCLEYVQQFLTRLINLYIIQSNAFSQALAPEHQGDLSGEQGEVLNWDRDSQGDVK
+DRNISKQKTSKEYLSAFLAACQLFLECSSFPVYIAEGNHTSDLRSEKSDTDWEHVQPPLW
+LQTLMNACSQASDFSVQSVAISLVMDLVGLTQSVAMVTGENINSVEPAQPLSPNQGRVAV
+VIRPPLTPGNLRYIAEKTEFFKHVALTLWNQLGDATPQHHQKSVELFYQLHNLVPSSSIC
+EDVISQQLTHKDKKIRMEAHAKFAVLWHLTRDLHINKSSSFARSFDRSLFIMLDSLNSLD
+GSTSSVGQAWLNQVLQRHDIARVLEPLLLLLLHPKTQRVSVQRVQAERYWNKAPCFPGEE
+SDKHFMQNFSCNNVSQVQLMASKGNGEKTLTMDEMENFSLTVNPLSDRLSLLSTSSETIP
+MVVSDFDLPDQQIEILQRSDSGCSQSSAGDNLSYEADPESMTAQDDSQAPSAGSPDDDVQ
+QVVFDLICKVVSGLEAESASVTSQSEIEGLPPKDSDVDPGEEVTSNEDQPAQQSQSALLN
+NDSSQFLSVSAEGSCECMPNGISRNSSSPCISGTTQTSHDSSVASTETRCRQRSHSSIQF
+SFKEKLSEKVSEKETIVKESGKQPGAKPKVKLARKKDDDKKKASNEKPKQTSVFFSDGLD
+LENWYSCGEGEISEIESDMGSPGSRKSPNFNIHPLYQHVLLYLQLYDSSRTLYAFSAIKA
+ILKTNPIAFVNAISTTSVNNAYTPQLSLLQNLLARHRISVMGKDFYSHIPVDSNHNFRSS
+MYIEILISLCLYYMRSHYPTHVKVTAQDLIGNRNMQMMSIEILTLLFTELAKVIESSAKG
+FPSFISDMLSKCKVQKVILHCLLSSIFSAQKWHSEKMAGKNVIAVEEGFSEDSLINFSED
+EFDSGSTLQSQLLKVLQRLIVLEHRVMTIPEENETGFDFVVSDLEHINPHQPMTSLQYLH
+AQPITSQGMFLCAVIRALHQHCACKMHPQWIGLITSTLPYMGKVLQRVVVSVTLQLCRNL
+DNLIQQYKYETGLSDSRPLWMASIIPPDMILTLLEGVTAIIHYCLLDPTTQYHQLLVSVD
+HKHLCEARSGILSILHMIMSSVTLLWSVLHQADSSEKTTIAASASVTTINLGATKNLRQQ
+ILELLGPISMNHGVHFMAAIAFVWNERRQNKAPTRTKVIPAASEEQLLLVELVRSISVMR
+AETVIQTVKDVLKQPPAIAKDKKHLSLEVCMLQFFYAYIQRIPVPNLVDSWASLLILLKD
+SIQLSLPAPGQFLILGVLNEFIMKNPSLENKKDQRDLQDVTHKIVDAIGAIAGSSLEQTT
+WLRRNLEVKPSPKIMVDGTNLESDVEDMLSPAMETSNITPSVYSVHALTLLSEVLAHLLD
+MVFYSDEKERVIPLLVNIMHYVVPYLRNHSAHNAPSYRACVQLLSSLSGYQYTRRAWKKE
+AFDLFMDPSFFQMDASCVNHWRAIMDNLMTHDKTTFRDLMTRVAVAQSSSLNLFANRDVE
+LEQRAMLLKRLAFAIFSSEIDQYQKYLPDIQERLVESLRLPQVPTLHSQVFLFFRVLLLR
+MSPQHLTSLWPTMITELVQVFLLMEQELTADEDISRTSGPSVAGLETTYTGGNGFSTSYN
+SQRWLNLYLSACKFLDLALALPSENLPQFQMYRWAFIPEVSDDSGLEVRRQGIHQREFKP
+YVVRLAKLLRKKAKDKEEDFKTVILEGLEMASHQKTPEEDNSGRALGWEPGHLLLTACTV
+RSLEQLLPFFNVLSQVFNSKVTSRCAGHSGSPVLYSTAFPNKDLKLENHKPFSSKARQKI
+EEMVEKDFLEGVVKT
+>tr|E1BIY2|E1BIY2_BOVIN ADAMTS like 2 OS=Bos taurus OX=9913 GN=ADAMTSL2 PE=4 SV=3
+MEGRWQSSRWAWPLLAVALVTGSVSSTGTTDNSPTSNSLEVGPDATAYWWGEWTKWTACS
+RSCGAGVTSQERHCLQQRKTSVTGAGNRTCTGTSKRYQLCRVQECPPDGRSFREEQCISF
+NSRVYNGRRHQWKPLYPDDYVHISSKPCDLHCTTVDGQRQLMVPARDGTSCKLADLRGVC
+VSGKCEPIGCDGVLFSTHTLDKCGVCQGDGSSCTHVTGNYRKGNTHLGYSLVTHIPAGAR
+DIQIVERKKSADVLALADEAGYFFFNGNFKVDSPKNFNIAGTVVKYRRPMDVYETGIEYI
+VAQGPTNQGLNVMVWNQNGKSPSITFEYTLLQPPHTHRLQPIYYSFSDPTSDSAESQELD
+GAGLAGFLQHNASLFGQASSERLGLDNRLFGHRGPGIELGLSRGQESNEVCAQASGRACE
+GPPRGKGFRDGNATLTALVGDPDDREADARFASQELLSANAISDQLLGAGSDSRELSLNE
+TVNSIFSQGAPRGSPAESLYLDYEESEGAGAYLLNGSYLELSSDRLANTSSEAPFPNASA
+SLPALAGNRTQKARTRPKSRKQGVSPADMYRWKLSSHEPCSSTCTTGVLSTYAMCVRYDG
+IEVDDSYCDALTRPEPVQEFCAGRECQPRWETSSWSECSRTCGEGYQFRIVRCWKMLSPG
+FDSSVYSDLCEAAEAVRPEERKTCRGPACGPQWEMSQWSECTAKCGERSVVTRDIRCSED
+EKLCDPNTRPVGEKDCTGPPCDRQWTVSDWGPCSGSCGQGRMIRHVYCKTSDGRVVPESQ
+CQMETKPLAIHPCGDKNCPAHWLAQDWERCNTTCGRGVKKRLVLCMELANGKPQTRSGPE
+CGLAKKPPEESTCFERPCFKWYTSPWSECTKTCGVGVRMRDVKCYQGTDIVRGCDPLVKP
+VGRQACDLQPCPTEPPDDSCQDQPGTNCALAIKVNLCGHWYYSKACCHSCRPPPS
+>tr|A0A3Q1M947|A0A3Q1M947_BOVIN KRAB domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MIFYIFLFQEQLTFKDVAVEFSQEEWECLDPAQRAFYMDVMVETLRNLISVGEDHFASEI
+GICPWVSLHFPSVPLGSPCFA
+>tr|F1MZS1|F1MZS1_BOVIN WW and C2 domain containing 2 OS=Bos taurus OX=9913 GN=WWC2 PE=4 SV=2
+MPRRAGSGQLPLPRGWEEARDYDGKVFYIDHNTRRTSWIDPRDRLTKPLSFADCVGDELP
+WGWEAGFDPQIGVYYIDHINKTTQIEDPRKQWRREQEKMLKDYLSVAQDALQTQQELYHV
+KEQRLALALDEYVRLNDAYKEKSSSRTSLFSGSSSSTKYDPEILKAEISTTRLRVKNLKR
+ELSQMKQELLYKEQGFATLKQIDKKMSGGQSGYELSEAKAILMELKSIRKAISSGEKEKQ
+DLMQSLAKLQGRFHVDQSIGTSEPDLRSSPVSSRLSLSRQTLDVGSQTSISGDIGVRSRS
+NLAEKVRLSLQYEEAKRSMANLKIELSKLDSEAWPGTLDVEKEKLMLIHEKEELLKELQF
+VTPQRRTQDELESLEAERQRLEAELLAVRGVPSQALAERLKLEEQRKALLQKLEETTKLT
+TCLHSQLKNLSASTLSVSSGSSLGSLASSRGSLNTSSRGSLNSLSSSELYYTSQGDQLDA
+DYQYKLDFLLQEKGGYMPSGPITTIHEHEVAKSPSQPGQSGSGGAAAAAAAPGHPAPLAE
+PPKSVTSLSSRSSLSSLSPPGSPLVLEGAFPMSTHGSPLHQFSADFEDCELSSHFADISL
+REHQLLLDPDPGGAPSSLLDENKELGECAQEPLYEGPADVEKSLPKRRGIHLPGEKTACV
+SAAVSDESVAGDSGVYEAFVKQPSEAEDVPYSEEDAVVMETAQVQIGLRYDAKRSSFVVT
+VAQLRNLHAFLIPHSSKVYFRVALLPSSSDVSCLFRTKVHPAAESLLFSDVFRVAISQTA
+LQQKTLRVDLCSVGKHRREECLAGTQISLADLPFSSGVFTLWYNLLPSKQMPCKKKEEET
+EDSVFQPSQPLVDSVDLDAVSALLARTSAELLAVEQELAQEKEPGQEEEHRGPDGDWLAM
+LREASDEIVAKEGAEVKLAEGSRCVEDASSCPSVPKMSEDTSRKDSSCASDLGTQPPAGT
+LALVDKETNTDEAGGASMVVRPKDHSSLSARQRPFMRSSVIVRSQTFSPGERSQYVCRLN
+RSDSDSSTLAKKSLFVRNSTERRSLRVKRAVCQPVLRRAAPECPVRTSLDLELDLQASLT
+RQSRLNDELQALRGLRQKLEDLKAQGETDLPPGMLDDERFQKLLRQAGKQAEQSEEEQKQ
+DLNAEKLMRQVSKDVCRLREQSHKVPRQVQSFREKIAYFTRAKITIPSLSADDV
+>tr|A0A3Q1M8L4|A0A3Q1M8L4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC112447333 PE=3 SV=1
+MDRFLAEAPLVLDAEELTTLHETHRGLLQETSHILLSDVISAFITNKDTENLRSPVTFVF
+QHVMTPGPREKVHCVYWEHGQNGSGHWDTRGCWVTSTRDNSTTCQCSHLSIFAVLMAHYS
+VQEEDPALTVITYVGLSLSLLCLLLAALTFLLCKAIQNTSTSLHLQLSLCLFLAHLLFLT
+AIDRTESKVLCAIIAGALHYLYLASFTWMLLVGLHLFLSARNLTVVNYSGINRFMKKAMF
+PVGYGVPAVIVAISAASRPHLYGTSTSCWLHSEKGFKWGFLGPVCAIFSVNLAFFLMTLW
+ILKRKLCSLNSEVSTLQNTRMLTFKATAQLFILGCTWFLGILQVGLAARVMAYLFTIINS
+LQGVFIFLVYCLLSQQVREQYRKWLKRIRKSKAESEKYTLSSRTMSDGCKHSEVRSCTAP
+RALH
+>tr|E1BBL2|E1BBL2_BOVIN Sodium/hydrogen exchanger OS=Bos taurus OX=9913 GN=SLC9A4 PE=3 SV=3
+MGIRGFVPFCPWNWCLLLATLQCCGASSELNRSTDSSARRELNVRYAAASSQPAETISVF
+TLDYDYVQIPYEVTLWILLASLAKIGFHLNRRLPGLMPESCLLILVGALVGAVIFGTDHK
+SPPVMDSSIYFLYLLPPIVLESGYFMPTRPFFENLGSILWWAGLGALLNAFGIGLSLYLI
+CQVPAFGLGDINLLQNLLFGSLISAVDPVAVLAVFEEACVNEQLYMMIFGEALLNDGISV
+VLYNILIAFTKMHKFEDIEPVDILAGCARFVIVGCGGAFFGVIFGFISAFITRFTQNISA
+IEPLIVFMFSYLSYLVAETLYLSGILAITACAVTMKKYVEENVSQTSYTTIKYFMKMLSS
+VSETLIFIFMGVSTIGKNHEWNWAFICFTLVFCQIWRAISVFVLFYVSNQFRTFPFSIKD
+QCVIFYSGVRGAGSFSLAFLLPLSLFPRKKLFVTATLVVIYFTVFIQGITVGPLVRYLDV
+RKTNKKESINEELHTRLMDHLKAGIEDVCGQWSHYQVRDKFKKFDHKYLRKILIRKNLPK
+SSLVSLYKKLEMKQAIEMVESGVLSSTAFSTPRRVQKTQETKRLSPEDVESMRDILTRNM
+YQVRQRTLSYNKYNLQPQTSEKQAKEILIRRRNTFRESVKKGHSLPWGKPAGTKNIRYLS
+FPYSKPLPAGRDMKVAEFTDHDGSDSELPPITFNTHSPTGTIQERRWTPEVIPMKRLHGA
+GTPLHFGYRRNAGREEHLGRGGRAILRPKPLFHAVDEEFESEEESKEETPAFGSLLSSEL
+RPGGDCNVSHSPLLQRK
+>tr|A0A3Q1MCQ5|A0A3Q1MCQ5_BOVIN Potassium sodium-activated channel subfamily T member 1 OS=Bos taurus OX=9913 GN=KCNT1 PE=4 SV=1
+MSSWWPLCVGCLSLPSASWVSRGAHLLLPGPWGHTRPGAVSVQSRAVGAPEPAVQPLAWL
+QAGARPACHLLESSPCPTGVGTGCMYPHCRGRCAASGASAGQAPPGGVRMGVPCSPRPLP
+ESSLCVREPRLSHLSIRPLSVLMCPLHSALRLHVEEFSLDSSVSQVQVEFYVNENTFKER
+LKLFFIKNQRSSLRIRLFNFSLKLLTCLLYIVRVLLDDPALGIGCWGCPKQNYTFNESSS
+EINWAPILWVERKVALWAIQVIVAIISFLETMLLIYLSYKGNIWEQIFRVSFILEMINTL
+PFIITIFWPPLRNLFIPVFLNCWLAKHALENMINDFHRAILRSQSAMFNQVLILFCTLLC
+LVFTGTCGIQHLERAGDNLSLLTSFYFCIVTFSTVGYGDVTPKIWPSQLLVVIMICVALV
+VLPLQFEELVYLWMERQKSGGNYSRHRAQTEKHVILCVSSLKIDLLMDFLNEFYAHPRLQ
+DYYVVILCPTEMDIQVRRVLQIPLWSQRVIYLQGSALKDQDLMRAKMDNGEACFILSSRN
+EVDRTAADHQTILRAWAVKDFAPNCPLYVQILKPENKFHVKFAEHVVCEEECKYAMLALN
+CICPATSTLITLLVHTSRGQEGQDSPEQWQRMYGRCSGNEVYHVRMGDSKFFREYEGKSF
+TYAAFHAHKKYGVCLIGLKREDNKSILLNPGPRHILAASDTCFYINITKEENSAFIFKQE
+EKQKRKGFAGQGLYEGSSRLPVHSIIASMGTVAMDLQNTECRPTQSGGGSGGSKLALPTE
+NGSGSRRPSIAPVLEVADSSALLPCDLLSDQSEDEMTPSEDEGLSVVEYVKGYPPNSPYI
+GSSPTLCHLLPVKAPFCCLRLDKGCKHNSYEDAKAYGFKNKLIIVSAETAGNGLYNFIVP
+LRAYYRPRRELNPIVLLLDNKPDHHFLEAICCFPMVYYMEGSVDNLDSLLRCGVIYADNL
+VVADKESTMSAEEDYMADAKTIVNVQTMFRLFPSLSITTELTHPSNMRFMQFRAKDSYSL
+ALSKLEKRERENGSNLAFMFRLPFAAGRVFSISMLDTLLYQSFVKDYMIPITRLLLGLDT
+TPGSGYLCAMKISEDDLWIRTYGRLFQKLCSSSAEIPIGIYRTQCHVFSTEPPDLRAQSQ
+VSVSVEDCEDTREAKGPWGARVGTSGGSTHGRHTVGSDPAEHPLLRRKSLPWARRLSRKG
+SRHTGNAAAEWISQQRLSLYRRSERQELSELVKNRMKHLGLPTTGYDEMNDHQNTLSYVL
+INPPPDTRLEPNDIVYLIRSDPLAHVASSSQSRKSSCSNKLASCNPETRDETQL
+>tr|F1MH68|F1MH68_BOVIN Centrosomal protein 85 like OS=Bos taurus OX=9913 GN=CEP85L PE=4 SV=3
+MWGRFLASEAGGPDSPGEARSFPAGPDYSSSAWLPGNESLWQATTVPSNQRNSHIRRHSI
+ASDSGDTGIGTSCSDSVEDHSTSSGTLSFKPSRSLVTLPTAHVMPSNSSASVSKHRESLT
+SDGSKWSISLMQALGNHSRGEQDSSLDMKDCRPLRKWSSLSKLTVPENCSQGGMVHTEES
+RRGLEKTGRDKALTAQLRTIGPSCLHDSMEMLKLEDKEINKKRPSTLDSKYKFESCSKED
+FRASSSTLRRQALDMTYSALPESKPIMTSSEAFESPKYLMLGQQAVGGVPIQPSVRTQMW
+LTEQLRTNPLEGRTTEDSYSLAPWQQQQIEEFRQERDTPMQVLSGSSRQSYSPPGYQDFS
+KWESVLKIKEGLLRQKEIVIDRQKQQITHLHERIRDNELRAQHAMLGHYVNCEGSSGAGL
+QPQYENTSLQTPFSDQSASHSQHEELEQKLASTEKEVLQLNQFLKQRISQFSEEKKKLEE
+KLKTRDRYISSLKKKCQKESEQNKEKQRRIETLEKYLADLPTLDDVQSQSLQLQVLEEKN
+KSLQETLIDVEKKLEEFKKQYQEKEAQLICQKKKEKELVTTVQSLQQKVERCLEDGIRLP
+MLDAKQLQNENDNLRQQNENANKIIDSQQDEIDRMILEIQSMQGKLSKEKLTTQKMMEEL
+EKKERNLQRLRTLLDNQRQTEETCSLLDQGQEAEQSRQQTVLCKRPLFDLSVIDQLFKEM
+SYCLLDLKALCSILNQRAQGKEPNLSLLLGIRSMNCSAEETENDHSPEALTKKLSDVCQL
+RRDIDELRTAISDRYAQDMGDNCVTQ
+>tr|A0A3Q1LSI1|A0A3Q1LSI1_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=SRGAP2 PE=4 SV=1
+MTSPAKFKKDKEIIAEYDTQVKEIRAQLTEQMKCLDQQCELRVQLLQDLQDFFRKKAEIE
+MDYSRNLEKLAERFLAKTRSTKDQQFKKDQNVLSPVNCWNLLLNQVKRESRDHTTLSDIY
+LNNIIPRFVQVSEDSGRLFKKSKEVGQQLQDDLMKVLNELYSVMKTYHMYNADSISAQSK
+LKEAEKQEEKQIGKSVKQEDRQAPRSPDSTSNVRIEEKHVRRSSVKKIEKMKEKRQAKYT
+ENKLKAIKARNEYLLALEATNASVFKYYIHDLSDLIDQCCDLGYHASLNRALRTFLSAEL
+NLEQSKHEGLDAIENAVENLDATSDKQRLMEMYNNVFCPPMKFEFQPHMGDMASQLCAQQ
+PVQSELVQRCQQLQSRLSTLKIENEEVKKTMEATLQTIQDIVTVEDFDVSDCFQYSNSME
+SVKSTVSETFMSKPSIAKRRANQQETEQFYFTKMKEYLEGRNLITKLQAKHDLLQKTLGE
+SQRTDCSLARRSSTVRKQDSSQAIPLVVESCIRFISRHGLQHEGIFRVSGSQVEVNDIKN
+AFERGEDPLAGDQNDHDMDSIAGVLKLYFRGLEHPLFPKDIFHDLMACVTMDNLQERALH
+IRKVLLVLPKTTLIIMRYLFAFLNHLSQFSEENMMDPYNLAICFGPSLMSVPEGHDQVSC
+QAHVNELIKTIIIQHENVFPNPRELEGPVYSRGGSTEDYCDSPHGETTSAEDSTQDVTTE
+HHTSDDECEPIEAIAKFDYVGRTARELSFKKGASLLLYQRASDDWWEGRHNGIDGLIPHQ
+YIVVQDTEDGVVERSSPKSEIEVISEPPEEKVTARAGASCPSGGHVADIYLANINKQRKR
+PESGSIRKTFRSDGHGLSSSLTDSASPGVGASCRPSSQPILSQSLPKEGPDKCSISGHGS
+LNSISRHSSLKNRLDSPQIRKTVTAGRSKSFNNHRPMDPEVIAQRSSPTSKNPSLGATPS
+SSLRRLSQLYNFH
+>tr|G3N1Y5|G3N1Y5_BOVIN G protein-coupled receptor 85 OS=Bos taurus OX=9913 GN=GPR85 PE=4 SV=1
+MANYSHAADNILQNLSPLTAFLKLTSLGFIIGVSVVGNLLISILLVKDKTLHRAPYYFLL
+DLCCSDILRSAICFPFVFNSVKNGSTWTYGTLTCKVIAFLGVLSCFHTAFMLFCISVTRY
+LAIAHHRFYTKRLTFWTCLAVICMVWTLSVAMAFPPVLDVGTYSFIREEDQCTFQHRSFR
+ANDSLGFMLLLALILLATQLVYLKLIFFVHDRRKMKPVQFVAAVSQNWTFHGPGASGQAA
+ANWLAGFGRGPTPPTLLGIRQNANTTSRRRLLVLDEFKMEKRISRMFYIMTFLFLTLWGP
+YLVACYWRVFARGPVVPGGFLTAAVWMSFAQAGINPFVCIFSNRELRRCFSTTLLYCRKS
+RLPREPYCVI
+>tr|A0A3Q1NIP0|A0A3Q1NIP0_BOVIN Transmembrane protein 184B OS=Bos taurus OX=9913 GN=TMEM184B PE=4 SV=1
+MTVRGAALAPDPASPTTAAASPSISVIPEGSPTAMEQPVFLMTTAAQAISGFFVWTALLI
+TCHQIYMHLRCYSCPNEQRYIVRILFIVPIYAFDSWLSLLFFTNDQYYVYFGTVRDCYEA
+LVIYNFLSLCYEYLGGESSIMSEIRGKPIESSCMYGTCCLWGKTYSIGFLRFCKQATLQF
+CVVKPLMAVSTVVLQAFGKYRDGDFDVTSGYLYVTIIYNISVSLALYALFLFYFATRELL
+SPYSPVLKFFMVKSVIFLSFWQGMLLAILEKCGAIPKIHSARVSVGEGTVAAGYQDFIIC
+VEMFFAALALRHAFTYKVYADKRVDAQVPTYGPYGRCAPMKSISSSLKETMNPHDIVQDA
+IHNFSPAYQQYTQQSTLEPGPTWRGGAHGLSRSHSLSGARDNEKTLLLSSDDEF
+>tr|A0A3Q1LPS3|A0A3Q1LPS3_BOVIN Fasciculation and elongation protein zeta 1 OS=Bos taurus OX=9913 GN=FEZ1 PE=4 SV=1
+MEAPLVSLDEEFEDLRPCCSEDPEEKPRCFYGSSPHHLEDPSLSELENFSSEIISFKSME
+DLVNEFDEKLNVCFRNYNAKTENLAPVKNQFQIQEEEETLQDEEVWDALTDNYIPSLSED
+WRDPNMEALNGNNSDTEIHEKEEEEFNEKSEHDSGITEEPLLTADQVIEEIEEMMQNSPD
+PEEEEEVLEEEDGGETSSQADSVLLQEMQALTQTFNNNWSYEGLRHMSGSELMELLDQVE
+GAIRDFSEELVQQLARRDELEFEKEVKNSFITVLIEVQNKQKEQRELMKKRRKEKGLSLQ
+SSRIDKGSQMPLKRFSMEGISNILQSGIRQTFGPSGSDKQYLNTVIPYEKKASPPSVEDL
+QMLTNILFAMKEDNEKVPTLLTDYILKGKSSPGLWEQKWPPGLPSPRTLVGWTHRAHTVG
+LPEPPHWARPGRAGELRGQL
+>tr|A0A3Q1ML67|A0A3Q1ML67_BOVIN WAPL cohesin release factor OS=Bos taurus OX=9913 GN=WAPL PE=4 SV=1
+MTSRFGKTYSRKGGNGSSKFDEVFSNKRTTLSTKWGETTFMAKLGQKRPNFKPDIQEVPK
+KPKIEEENTGDPFGFDSDDESLPVSSKNLAKGSSYTESSEAAQLEEVTSVLEANSKISHV
+MGEDSVVSDKCLRLEDTLLGKEKSTNRVLEDDASRSSCAKLMTSDKVENFSEEHEKNSHH
+IYKNAEDSTKKHNAETTVASGYKADEIKENDTWNSQLGKRSESPSETSSIKGSVRTGLYE
+WDNDFEDSRSEDCILNLDSDPLLEMKDEDFKNRLENLNEAIEEDMMQSVLRPSNCRTYCR
+ANKAKSSQGASSFDKLMDGTSQALAKANSESSKDGLNQAKKGSVSCGTSFRGTVGRTRDY
+TVLHPSCLSVCNVTIQDTMERSMDEFTASTPADLGEAGRLRKKADIATSKTTTRFRPSNT
+KSKKDVKLEFFGFEDHDETGGDEGGSGSSNYKIKYFGFDDLSESEDDEDDDCQVERKTSK
+KRTKTAPSPSLQPPPESNDNSQDSQSSSNNAEDLPGVPESVKKPPNKQGDKSKENTRKIF
+SGPKRSPTKAVYNARHWNHPDSEELPAPPVVKPQSVTLYTVVQHVKHFNDVVEFGENQEF
+TDDIEYLLSGLKSTQPLNTRCLSVISLATKCAMPSFRMHLRAHGMVAMVFKTLDDSQHHQ
+NLSLCTAALMYILSRDRLNMDLDRASLDLMIRLLELEQDASSAKLLNEKDMNKIKEKIRR
+LCETVHNKHLDLENITTGHLAMETLLSLTSKRAGDWFKEELRLLGGLDHIVDKVKECVDH
+LSKDEDEEKLVASLWGAERCLRVLESVTVHNPENQSYLIAYKDSQLIVSSAKALQHCEEL
+IKQYNRAENSICLPDSKPLPQQNVTNHVGKAVEDCMRAIIGVLLNLTNDNEWGSTKTGEQ
+DGLIGTAMNCVLQVPKYLPQEQRFDIRVLGLGLLINLVEYSARNRHCLVNMETSCSFDSS
+FCSGEGDDSLRIAGQVHAVQALVQLFLERERAAQLAESKTDELIKDAPTTQHDKSGEWQE
+TSGEIQWVSTEKADGAEEKNKKEEDDEELDLNKALQHAGKHMEDCIVASYTALLLGCLCQ
+ESPINVTTVREYLPEGDFSIMTEMLKKFLSFMNLTCAVGTTGQKSISRVIEYLEHC
+>tr|F1MUK0|F1MUK0_BOVIN Fer-1 like family member 5 OS=Bos taurus OX=9913 GN=FER1L5 PE=4 SV=3
+MLRLIMQSAKISPPLSPPPRPCVSVYFRGKSQDSQEATCVQCKLDPLTLIWHLWNHPLQK
+DSFLQITLRDMGSVKKERFIGMATVLLKPLVKKPRKILFVNDLTLLNHSMMPTECTVTLQ
+AALMTYKDIEKIGDEDLLAITAQEVAKQKLMFPSATVHKALSPKPQHFQVRVQVFEARQL
+MGNDIKPVVKVVIGGHQHHSRIKIGNNPFFNEIFFQNFYEAPMKFFDEIILIQVRSHTLL
+RKWLGLCQPNERNSGVRGYLKVTICALGVGDQAPVDQKLIYRVDDTDTRIFKSSVVPISI
+AYLQFFIYCAEDLHLKKHYSVSPMLEVELIGEKLKTHVQTQTESPIWNQILTFRIQLPCL
+SSYIKFRVLDWRKSEPGWGLYLCNDEIGTVSLSLNQISSTGAEIEGMYSGFLPCFGPSFL
+TLRGGKKAPFRMKEEDPNIPNIVKDGLAYRGRVFLELITHIKSRQEAKMKDLSLDVISVE
+KHQNRQKYGLCVIFLSCTMMPDFKDLIQFEVSIGHYGNKMDLSYKPLVSTTQYSPVIYDG
+NIYHYVPWYNTKPVVAVTSFWEDVGFRMNCLNLLQFTRDRLKANLDTLKSMRNPRDPALL
+PQWEKLLRELVEDCKRPLPCMTSQPKATDLDKNRWKLHRLLLQELAQKAKQAPPRDMVAT
+AEGWLYRLNAVLPEPQMNLPDVMIWLMAREQRAAYAQVPAHSILFSPHGARHSGRFCGKT
+QTLFLQYPEGEGEKDTLPAQLRVCMWLGNVTDSRDLELLRQGEVVVYAETVSGGENQAKF
+KDQWGQQFLQHRPNFSDVTGHKALPKEDFREPPGWHWAGPWTVEPQRRLLLDTDINKSQV
+LEEVYENQRRDAVGAWVPAAIPNTDVNGEPVEAREIVKCPQGWHVKQTWAVELNHAVDNE
+GWEYGVGIPPSGLPQVWNSVEKTYYSRRRRRWARVRYRDHGQLSHEQETLSFLQLHHPGL
+AEDEAGWEYGVFGSKFHLNPEPQSRFRRRCWHRRLAPNKVKGIAPIFLLEGSLSTDMKEQ
+ARRADMVSSTRRLDRIMSSRRAPPGITQTPSLPFIYCVFNKPHYYQLFCYIYQARNLMSS
+HVQTFQGPFIRLVFLNHSQCTQTLKSSTAPTWAQTLIFQHLLLYENPQDTRESPPCVVLE
+LWQRDPQGNETLWGRSIWHPVVWLDAQDRILPPMRWHPLVKGLEDEEGEILASCELILET
+EVLGEGQLPMLSVPWKNGIYILPKSIQPTLRKMAIEVTKCGAGQAGGRGTSCPQSKAPPV
+PVLTRCPSRQMLVWGLRNMKQVRSPQLLVECWEESLQTEPIRDFQTNPNFAQSALLLTLY
+MPTEETFALPLVLKVVDNQDFGQETVVGQANVHSLQPYFCDPWAEDYVPPRPPTLSVKKY
+QELLGYLYEKFWFKSSKAEDEYDQEVDWWSKLFWATGDAPQTLKYKYKDYHTLKVYDCEL
+EAVPAFQGLQDFCQTFKLYQEWPRLDSPVVGEFKGQFRVYPFPENPEAPKPPRQFPLWPK
+KEDFPQQCLVRVYIVRAFHLQPQDFNGLCDPYVILKLGQTMLGNRDKYQPNTLDPIFGVM
+FELSCTIPLEKDLEIQLYDFDLFSPDDMIGTTVIDLEDRLLSGFGARCGLSKSYCQSGPF
+RWRDQMPPSLLLEHHAKRKGLPPPLFSPEGDSVYYNGKKFELQSFELKPPRARFLGSKKE
+RLALYLLHTQGLVPEHVETRTLYSDSQPGIDQGKVQMWVDIFPKKLGPPGPPVNIGPRKP
+KRYELRCVIWKTTLVDPKEKSLSYDRINDIYVKGWLFGLEKDMQKTDVHYRSLTGEGIFN
+WRFIFTLDYLAAEHVCVLSEKDYIWSLDPTVTKFPARLIIQVWDNNIISTDDFIGVLELD
+LSDMPLPAPHARLCSVRMLEADPKWPHFLQHKHSSLFKMKNVAGWWPCQVLDGGKWRLSG
+KVKMTLEILTEKEALIKAAGRGQSEPNQYPTLHPPLRTHTLFMWFRSPIGKFIRVFWRRY
+RFRIIAAAIILLIGLLLFNFIYSAPNYLAMSWIKPELRLNAPIQIYANIINAPNASSTNS
+SNLTTPQQSLKPTTALRLKHLQGHVTHLRDILPALPAP
+>tr|G3N0F4|G3N0F4_BOVIN Peptidase S1 domain-containing protein OS=Bos taurus OX=9913 PE=3 SV=2
+MSLPAAAQPGVRLSIPGPCGQRVIPTRIVGGKDATIGHWPWQGSLRLWGYHFCGASLLNR
+RWALSAAHCFENNRNPFHWSVQFGELSAAPSIWNLQAYYNRYNVDQIFLSPHYLGAPVYD
+IALLKLSSSVTYTKYIQPICVMASISEFENRSDCWVTGWGDIEEELSLPSPYTLQEVQVG
+IINTTMCNHLFSMPDFRIDIWGDMICAGEPQGGKDSCFVSVPPVPSSRITPAARLPSLAR
+KHPASLPSIRASCPPWGAGGGTTVPLCRCRS
+>tr|A0A3Q1LS38|A0A3Q1LS38_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=DLG2 PE=4 SV=1
+MNAHLTKQHSCPRGSGGTDAGRSVPTLIRDAHCACGWQRSPQGLGYTSQTMPPSGAGGPA
+SNRTKLVTLWDSVRKSPRKTSTKGRGACGERCACPHGWISPAQASPAPIIVNTDTLDTIP
+YVNGTEIEYEFEEITLERGNSGLGFSIAGGTDNPHIGDDPGIFITKIIPGGAAAEDGRLR
+VNDCILRVNEVDVSEVSHSKAVEALKEAGSIVRLYVRRRRPILETVVEIKLFKGPKGLGF
+SIAGGVGNQHIPGDNSIYVTKIIDGGAAQKDGRLQVGDRLLMVNNYSLEEVTHEEAVAIL
+KNTSDVVYLKVGKPTTIYMTDPYGPPDITHSYSPPMENHLLSGNNGTLEYKTSLPPISPG
+RYSPIPKHMLVEDDYTRPPEPVYSTVNKLCDKPASPRHYSPVECDKSFLLSAPYPHYHLG
+LLPDSEMTSHSQHSTATRQPSVTLQRAISLEGEPRKVVLHKGSTGLGFNIVGGEDGEGIF
+VSFILAGGPADLSGELQRGDQILSVNGIDLRGASHEQAAAALKGAGQTVTIIAQYQPEDY
+ARFEAKIHDLREQMMNHSMSSGSGSLRTNQKRSLYVRAMFDYDKSKDSGLPSQGLSFKYG
+DILHVINASDDEWWQARRVTLEGDSEEMGVIPSKRRVERKERARLKTVKFNAKPGVIDSK
+GSFNDKRKKSFIFSRKFPFYKNKEQSEQETSDPERGQEDCILSYEPVTRQEINYTRPVII
+LGPMKDRINDDLISEFPDKFGSCVPHTTRPKRDYEVDGRDYHFVISREQMEKDIQEHKFI
+EAGQYNDNLYGTSVQSVRFVAERGKHCILDVSGNAIKRLQVAQLYPIAIFIKPKSLEPLM
+EMNKRLTEEQAKKTYDRAIKLEQEFGEYFTAIVQGDTLEDIYNQCKLVIEEQSGPFIWIP
+SKEKL
+>tr|A0A3Q1LWH7|A0A3Q1LWH7_BOVIN Netrin G1 OS=Bos taurus OX=9913 GN=NTNG1 PE=4 SV=1
+MYLSRFLSIHALWVTVSSVMQPYPLVWGHYDVCKTQIYTEEGKVWDYMACQPESTDMTKY
+LKVKLDPPDITCGDPPETFCAMGNPYMCNNECDASTPELAHPPELMFDFEGRHPSTFWQS
+ATWKEYPKPLQVNITLSWSKTIELTDNIVITFESGRPDQMILEKSLDYGRTWQPYQYYAT
+DCLDAFHMDPKSVKDLSQHTVLEIICTEEYSTGYTTNSKIIHFEIKDRFAFFAGPWLRNM
+ASLYGQLDTTKKLRDFFTVTDLRIRLLRPAVGEIFVDELRLARYFYAISDIKVHGRCKCN
+LHATVCVYDNSKLTCECEHNTTGPDCGKCKKNYQGRPWSPGSYLPIPKGTANTYPPKFNR
+KWPNISSLEVSNPKQANVCDNELLHCQNGGTCHNNVRCLCPAAYTGILCEKLRCEEAGSC
+GPDSGQGAPPRGSPALLSLLAALLGTAGPLGI
+>tr|A0A3Q1M411|A0A3Q1M411_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+GPPLLNLPSWGLVGTPGEPASDFLPGTLPKPTIWAEPGSVVPSGTPVTIWCQGTLETQVF
+HLDKEGTSVPWDRQKPPGPGDKAQLAIPQMTEQHAGSYRCYYSTPTGWSEGSDRLELVVT
+GSYSKPSLSALPSPVVTLGGSVTLQCDSHQGFNRFLLTKEGEDESSRTLDGQRAPNWQTQ
+ALFSVGPVTPGHRWMFRCYGFYRDTPQVWSAPSDPLELLVSGLSGKPSLLTSQGPVITSG
+QNLTLQCRSDVSYARFALSKEGGQDLPQRPARRPQEGLSQADFPLGPVSTVQGGRYRCYG
+GHGLSSEWSAPSEPLELLVAGEEPADRPSLSVRPGPTVAPGENVTLLCQSGNRTDTFLLF
+KEGRAVPGRVLLEPCDLSPRGTYRCYGSLSTDPYLLSQPSEPLALVVSGEWTPPNQKQQS
+LGS
+>tr|A0A3Q1NHQ0|A0A3Q1NHQ0_BOVIN Bridging integrator 1 OS=Bos taurus OX=9913 GN=BIN1 PE=4 SV=1
+MAEMGSKGVTAGKIASNVQKKLTRAQEKVLQKLGKADETKDEQFEQCVQNFNKQLTEGTR
+LQKDLRTYLASVKAMHEASKKLNECLQEVYEPDWPGRDEASKIAENNDLLWMDYHQKLVD
+QALLTMDTYLGQFPDIKSRIAKRGRKLVDYDSARHHYESLQTAKKKDEAKIAKPVSLLEK
+AAPQWCQGKLQAHLVAQTNLLRNQAEEELIKAQKVFEEMNVDLQEELPSLWNSRVGFYVN
+TFQSIAGLEENFHKEMSKLNQNLNDVLISLEKQHGSNTFTVKAQPSDNVPAKGNKSPSPP
+PDGSPAATPEIRVNHEPEPAGAATPGATLPKSPSQPTESPAGSLPSGEPSAAEGTFAVAW
+PSQTAEPGPAQPAEASEAAGAQEPGETTTSEAASSSLPAVVVETFSATVNGTVESGSGAG
+RLDLPPGFMFKVQAQHDYTATDTDELQLKAGDVVLVIPFQNPEEQVRPALREARRPAGGS
+RAPPANPWPLPTTEHFLPFHPCGPQGSPWLFTLLPTSVSHFLLPASSSPQARSAFPWALC
+ISVPSSSSPFPAPPRSLSSRTGPLVATPWASPTPQPALQPPTGPGCHPPGWPCPVYKDRT
+RPCPQGETPESPALSPAGPHSEASQTLLLRPCFVSECPQRGSHRSWEAQRGTELPPLGSG
+QAA
+>tr|F1N193|F1N193_BOVIN Zinc finger and BTB domain containing 40 OS=Bos taurus OX=9913 GN=ZBTB40 PE=4 SV=2
+MELPNYSRQLLQQLYTLCKEQQFCDCTISIGTIYFRAHKLVLAAASLLFKTLLDNTDTIS
+IDASVVSPEEFALLLEMMYTGKLPVGKHNFSKIISLADSLQMFDVAVSCKNLLASLVNCS
+VQGQVVRDVSTPSEPSRKESEKPETEILSSEGAGEHHTSPEVSTPPGGPVKVEVKHGVHT
+AVQEMRVDPPAAQEIQGHTDTPGSHSAEVCPSAPVVQTFREAKEASTEQEYEGKHYQLNF
+LLENEKIFSDALLITQDVLKRLEECSEIKDVQKQTIMGCLEGEGQSAFQRILDKVRSESL
+GVQTVVSLLRLYQDSNPAVKTALSDRKLEAVEAVQPKGSTEEGKTLSVLLLEHKEDLIRC
+VTQLRPILEFLETVEEEFLPGSEKRVILNCCEGSTPKETIEKLLHRMSEEKTLSAESLVK
+LLQAVKMTFPDLGLLLENLQKLATLPSTTAQANPDDYGIELLRRYHENLSEIFTDNQVLL
+RMIPHVKSIVPGEKEVMEKLVRRAAGSRAVHALMSAVLEKHTVSAAAIWQLLLVAQETKP
+CPLSLLMEEIRREPGANAFFRAVMTPESAAFETILRYHRSILEAIQQTAQLKCLASEEER
+LAETAKEILSSSSETASPEASLGALLSRAAEKSISATEICQLLCSIHRSSPGLQPVMREL
+ACAGFLTQEKGEKERWTVSGKFYSEGKDKAGEKPAGEDCQPGNQDSQGETAALPEQQEKD
+ASTSPDSAKKSFVCKACDKSFHFYCRLKVHTKRCRVARSRQVQCTECNETKDSKKELEKH
+QLEAHGAGGEPSIPKKKKKRLPVTCDLCGREFAHASGMQYHKLTEHFDEKPFSCEECGAK
+FAANSTLKNHLRLHTGDRPFMCKHCLMTFTQASALAYHTKKKHSEGKMYACQYCDAVFAQ
+SIELSRHVRTHTGDKPYVCRDCGKGFRQANGLSIHLHTFHNIEDPYDCKKCRMSFPTLQD
+HRKHIHEVHSKEYHPCPTCGKIFSAPSMLERHMVTHVGGKPFSCGICNKAYQQLSGLWYH
+NRTHHPDVFAAQNHRSSKFSSLQCSSCDKTFSNTLEHKKHIKTEHADVKFHECDQCKELF
+PTPALLQVHVKCQHSGSQPFRCLYCAATFRFPGALQHHVTTEHFKQSESTFPCELCGELF
+TSQAQLDCHLESEHPKVLGAETQSQMVQVIQTPEPVAPMEQVVTLEETQLAGSQVFVTLP
+DSQTAQTSSELVAVTVEDLLDGTVTLICGEAK
+>tr|F1MVL0|F1MVL0_BOVIN IQ motif containing with AAA domain 1 like OS=Bos taurus OX=9913 GN=IQCA1L PE=4 SV=3
+VTYQRLWDSSHLILEELLDQEPLLGEAVSESERQPFQYRLSSLYLHYLGLLRRFDTLYDQ
+MVQPQKRRLLRRLLDSVACRVLELKDDLVRADLCETHCLDQVLQDLKLTPADLEVPIPRY
+FELERSSILKEREHMLVELLSRLKPEISEWSFPILSRTEAIILLQKAERARQGRLRATFM
+REIRKEEERDRKIREEGRFKFSQDEAAVTIQKVWKGYLQRKRTQQDRRTEMEFIGMVSPM
+GARSQASLGADVRRLRQTEKEEEFQLAVVKAHDHLREVEGPDMKEKMKEQIRQWFIECHA
+LTGRFPDYPDESLGGSSLIFADKTPEQVRMELEVQVQENKKKEQEKSKGKEKEEEEKQKK
+KGEKTKKGEADTMLRALPSKSVPAINAGHKEYTNLWKNRFDSLFPSQSFDSETLKIEERK
+DVEQEIRIQVDDLMRQELKNLRLAVDREETRPVKPPKKKAGKKPGKKKKEKDLTPDRPMD
+SLFEELVVLGLLKKSETVPLKDYIGDCLYLGSALNLANKLPMPSLADIRQNMALYGILRL
+GSPDIHSMAPLIRSILLVGPSGMGKKMLVKAVCTETGANLFDLSPENLQGKYPGKTGVQM
+LVHVVFKVARYLQPSVIWIGNAEKTFYKRVPKEEEEMDPKRIKKDLTKALRLLSPGDRVM
+LIGTTDRPQVAEMKGLCRTYERILLLPRPDYASRYVLWRHMIEAQGAQGTQHLDISALAK
+VSDGYTAGCILQAIQAVLTERRLLQLSKRPLLTSEFLGPLAKLDPVYREEEESLKDWYFK
+TPLGKKRMKLLKDQDAAEEAKLAKEKKKKK
+>tr|A0A3Q1N3X4|A0A3Q1N3X4_BOVIN Muscular LMNA interacting protein OS=Bos taurus OX=9913 GN=MLIP PE=4 SV=1
+MLPETQGLQRDCGNNCFQMNSCVLAGSVQTTPQFDSSEYFTLNVGRQQERERGALTCPSE
+AEDKASQGRESKEKKPQGMQQSDLFKAEYVFIVDSEGEEEVPGRKGDQGPPVGTGPPAAR
+PASLAISSSLASDAVRPKTRGADLQAPSHPERPQAMASQQRHGQLTSPTASEQLARKPPA
+FSFVSPTNQKTPPAPGDLASASVLEEFHSRRLDARGALVEETTTYFQTSAHSAPFFAPKG
+TSSTSQVPQPAQLSGSPSSSPSTAQQNPGQTSEVLKKTVTSNVLSPRESPRASSPSPASG
+ASLKSSAASYIPVRIVTHSLSPSPRAFPSPFHGSSSTVCSQASSSGSLSRSGVKPPVPSR
+LSVLTAILKSSPSHQRPLSPASCPTFSLNSLASSTLTLDQKVKQTPSTPKKSLSSCSLRS
+GSPEQRENPVSDLSQPSFPFPSPTKAAPPPQASALFPPKQGGSSFASVIVEKMPSPTLKS
+SPVTSQLQTGTSSSVGLPPVPPSFSPLSSKGRQDADPRGPGKPRDICSHASTSPSSTLSS
+VSPPTNPRAMLSSPERRYHPSPALSNLINRSKSAQAPVSSQRQTPSTPPPAPVSSSSSAS
+LPCLGSSALPLANPPTKTHQLSPSALHPNLSLPSRLGKSESSISDHRSSVSAPSPPFCLT
+RTKELSSPCALSMSAGPENKKPKQYKTKSSYKAFAAIPTNTLLLEQKALDEPAKTDSISK
+DSTLDPPLEHSSDSPSSSLQTFLGSDTIKMPTTLPRAAGRETKYANLSSPSSTVPESQLT
+KPGVIRPAPVKSKILLKKEEEVYEPNPFSKYLEDNSDFFSEQDVPAPPKPVSLHPLYQTR
+LHPPAKSLLRPQTRPHADGLTPGPFSHLSSFSLSDEQENSHTLFSHNAYNKLSHPMMAIP
+EHETLDSKEVNVEYN
+>tr|A0A3Q1LMZ9|A0A3Q1LMZ9_BOVIN FGFR1 oncogene partner OS=Bos taurus OX=9913 GN=FGFR1OP PE=4 SV=1
+MAATAAAVVAEEDTELRDLLVQTLENSGVLNRIKAELRAAVFLALEEQEKVENKTPLVNE
+SLKKFLNTKDGRLVASLVAEFLQFFNLDFTLAVFQPETSTFQGLEGRENLARDLGIIEAE
+GTVGGPLLLEVIRRCQQKEKALTSGEGALDLSDVHSPPKSPEGKTGAHTPPSKKASNDAS
+HSDTSISSSEPKSRSGLHLLAHETKIGSLLSNNSLDVNAKAGPGPEEDDLEGDSFFDDPI
+PKPEAAYGWRSEPSKQAGSLASLSDAPPLKSGLSSLAGAPSLKESESKRGNTVLKDLKLV
+NDKIGSLGLGTGEEDDYVDDFNSTSHRSEKSELSIGEEIEEDLSVEMDDVNTSDKLDDLT
+QDLTVSQLSDVADYLEDVA
+>tr|A0A3Q1MFY9|A0A3Q1MFY9_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC785903 PE=3 SV=1
+MTEDNLSLTTEFILIGFTVHPELKTLLFLVFFTIYLITMVGNLGLVALIVTEHRLHTPMY
+IFLGNLALMDSCCSCAITPKMLQNFFSKDRMISLYECMAQFYFLCLAETADCFLLAAMAY
+DRYVAICKPLQYHTMMSKKLCIQMTTGAYIAGNLHSMIHIGLLFRLTFCRSHQINHFFCD
+VLPLYRLSCADPYINELMIFIFSGSVQVFSIIIVIISYLFILFTIFKMKSKKGKGKALST
+CASHFVSVSIFYGSLLFMYIRPNSVNDKDKDIPLAIFYTLVIPLLNPFIYSLRNKEVINV
+MKKIMKKT
+>tr|A0A3Q1MC60|A0A3Q1MC60_BOVIN Nebulin OS=Bos taurus OX=9913 GN=NEB PE=4 SV=1
+MADDEEYEEVVETRTRFYETTTTRTSDYEQSETSRPALAQPVPEKPVERKRVIRKKVDPS
+KFMTPYIAHSQKMQNLFSTNKYKENYEKAKGKPYAITTDTPELRRIKKVQDQLSEVKYRV
+DGDVAKTICHVDEKAKDIEHAKKVSQQVSKVLYKQNWEDTKDKYLLPPDAPELVQAIKNT
+AMFSKKLYTEDWEADKTMFYPYNDSPELRRVAQAQKALSDIAYKKGLAEQQTQFTSLPDP
+PDIEFAKKVTNQVSKQKYKEDYENKVKGKWSETPCFEIATARMNSNNISTKKYQEDFEHM
+KDQIYFMQTETPEYKVNKQAGVAASKVKYKQDYEKNKGKADYNVLPASENPLLRQLKAAG
+DALSDKLYKENYEKTKAKSINYCETPKFKLDTVLHNFSSDTKYKDSYLKDILGHYVGSYE
+DPYHTHCMRVSAQNSDKNYKAEYEEDRGKGFFPQTITQEYEAIKKLDQCKDHTYKVHPDK
+TKFTQVTDSPVLMQAQVNSKQLSDLNYKAKHENEKFKCHIPPDTPAFIQHKLNAYNLSDN
+IYKHDWEKTKAKKFDIKVDAIPLLAAKANTKIASDVMYKKDYEKSKGKMIGALSINDDPK
+MLHSLKTAKNQSDRLYKENYEKTKAKSMNYCETPKYQLDTLLKNFSEAKYKDSYVQNVLG
+HYIGSFEDPYQVHCLKISAQNSDKNYKAEYEEDKGKCYFPQTITQEYEAIKKLDQCKDHT
+YKVHPDKTKFTAVTDTPVLLQAQLNTKQLSDLNYKAKHEGEKFKCHIPADAPQFIQHRVN
+AYNLSDNIYKHDWEKTKAKKFDIKVDAIPLLAAKANTKIASDVMYKKDYEKSKGKMIGAL
+SINDDPKMLHSLKTAKNQSDHEYRKDYEKSKTIYTAPLDMLPLTHAKKSQAIASDVDYKH
+LLHNYSYPPDSVNVDLAKKAYALQSDVEYKADYNSWMKGCGWMPFGSLEMEKAKRASDIL
+NEKKYRQHPDTLKFTSIEDAPIIVQSKINQAQRSDVAYKAKGEEVIHKYSLPADLPQFIQ
+AKVNAYNISENLYKADLKDLSKKGYDLRIDAIPIKAAKAARQAASDVQYKKDYEKAKGKM
+VGFQSLQDDPKLVHYMNVAKIQSDREYKKAYEKTKTRHNTPHDMVNIVAAKKAQDVASNV
+NYKHSLHHYTYLPDAMDLELSKNMMHIQSDNVYKEDYNNWMKGIGWIPIGSLEVEKVKKA
+GDALNEKKYRQHPDTLKFTSIVDSPVMVQAKQNTQQVSDILYKAKGEDVKHKYTMSPDLP
+QFLQAKCNAYNLSDVCYKRDWHDLIAKGTNVLGDAIPITAAKASRNIASDYKYKEAYEKA
+KGKQVGFRSLQDDPKLVHYMNVAKLQSDREYKKNYENTKTSYHTPGDMVSITAAKMAQDV
+ATNVNYKQPIHHYTYLPDALSLEHIRNVNQIQSDNVYKDEYNHFFKGMGWIPIGSLEVEK
+VKKAGDALNEKKYRQHPDTIKFTSVPDSMGMVLAQHNTKQLSDLNYKVEGEKVKHKYTMD
+PDVPQFIQAKVNAYNMSDSHYKADWKKTLAKGYDLRPDAIPIVAAKSSRNIASDFKYKEA
+YEKTKGKQIGFRSLQDDPKLVHFMNVAKMQSDREYKKGYEASKTKYHTPLDMLSVTAAKK
+SQEVATNTNYKQPFHHYTLLPDALNVEHSRNAMQIQSDNLYKSDFTNWMKGIGWLPLESL
+EVEKAKKAGEILSEKKYRQHPEKLKFTYAMDTMEQALNKSNKLIMDKRLYTEKWNKDKTT
+IHVMPDTPDILLSRVNQITMSDKLYKAGWEEEKKKGYDLRPDAISIKAARASRDIASDYK
+YKQAYEQAKGKQIGFRSLEDDPKLVHFMQVAKMQSDREYKKAYEKSKTSFQTPVDMLSVV
+AAKKSQEVATNANYRNVIHTYNMLPDAMSLELAKNMMQIQSDNQYKADYADFMKGIGWLP
+LGSLEAEKNKKAMEIISEKKYRQHPDTLKYSTLMDSMNMVLAKNNAKIMNEHLYKQAWEA
+DKTKVHIMPDIPQIILAKANAINISDKLYKLSLEEAKKKGYDLRTDAIPIKAAKASRDIA
+SDYKYKHSYEKERGKMVGFRSLEDDPKLVHSMQVAKMQSDREYKKNYEKTKTSYHTPADM
+LSVTAAKDAQANITNTNYKHLIHKYILLPDAMNIQLSKNMNRIQSDNEYKQDYNEWYKGL
+GWSPAGSLEVEKAKKATEYASDQKYRQHPSNFQFTKLNDSMDMVLAKQNAHTMNKYLYTV
+DWNKDKTKIHVMPDTPDILQAKQNQTMYSQKLYKLGWEEALKKGYDLPVDAISVQAAKAS
+RDIVSDFKYKQGYRKQIGHHIGFRSLQDDPKLVLSMNVAKMQSEREYKKDFEKWKTKFSS
+PVDMLGVVLAKKCQALVSDVDYKNYLHGWTCLPDQNDVIHAKKAYDLQSENLYKSDLEWL
+KGIGWSPLGSLEAEKNKRASEIISEKKYRQPPDRNKFTSIPDAMDIVLAKTNAKNRSDIL
+YREAWDKDKTQIHIMPDTPDIILAKANLINTSDKFYRMGYEELRKKGYDLPVDAIPIKAA
+KASREIASEYKYKEGFRMQLGHHIGARNIKDDPKMMWSMHVAKIQSDREYKKDFEKWKTK
+FSSPVDMLGVVLAKKCQTLVSDIDYKNYLHQWTCLPDQSDVIHARRAYDLQSDNLYKSDL
+QWLRGIGWLPSGSLEDEKNKRASQILSDHVYRQHPDQFKFSSLMDSIPMVLAKNNAITMN
+HRLYTEAWDKDKTTVHIMPDTPEVLLAKQNQINYSEKLYKLGLDEAKRKGYDMRIDAIPI
+RAAKASRDIASEFKYKEGYRRQLGHHIGARAIHDDPKMMWSMHVAKIQSDREYKKDFEKW
+KTKFSSPVDMLGVVLAKKCQTLVSDIDYKNYLHQWTCLPDQSDVIHARQAYDLQSDNVYK
+SDLQWLRGIGWVPIGSLDVEKSKRASEILSDKLYRQPPDKFKFTSVTDSLEQVLAKNNAI
+NMNKRLYTEAWDKDKTQVHIMPDTPEITLARTNKVNYSENLYKLAHEEAKKKGYDLRSDA
+IPIVAAKASRDIISDYKYKDGYRKQLGHHIGARDIKDDPKMMWSMHVAKIQSDREYKKDF
+EKWKTKFSSPVDMLGVVLAKKCQTLVSDIDYKNYLHQWTCLPDQSDVIHARQAYDLQSDN
+VYKSDLQWLRGIGWVPIGSVDVVKCKRAAEILSDNLYRQPPDTLKFTSVPDSLEQVLAKN
+NAINMNKRLYTEAWDKDKTQIHIMPDTPEIMLARQNKLNYSETLYKLANEEAKKKGYDLR
+SDAIPIVAAKASRDIISDYKYKDGYRKQLGHHIGARDIKDDPKMMWSMHVAKIQSDREYK
+KDFEKWKTKFSSPVDMLGVVLAKKCQTLVSDIDYKNYLHQWTCLPDQSDVIHARRAYDLQ
+SDNIYKSDLQWLRGIGWVPIGSVDVVKCKRAAEILSDNLYRQRPDTLKFTSVPDSLEQVL
+AKNNAINMNKRLYTEAWDKDKTQIHMMPDTPEITLARQNKINYSENLYRQAMEEAKKEGY
+DLRSDAIPIVAAKASREIASDYKYKEAYRKQLGHHIGARAIHDDPKMMWSVHVAKMQSDR
+EYKKDFEKYKTRFSSPVDMLGIVLAKKCQTLVSDVDYKHPLHEWTCLPDQNDIIHARKAY
+DLQSDNLYKSDLEWLKGIGWVPIGSVEVLKAKRAGEILSDNIYRQRPDTLKFTSVTDSPE
+QVLAKNNAINMNKRLYTEAWDNDKKTIHVMPDTPEIMLAKLNRINYSDKLYKLALEESRK
+EGYDLRLDAIPIQAAKASREIASDYKYKEGYRKQLGHHIGARNIKDDPKMMWSIHAGKLQ
+SDLEYKKDFEKWKTKFSSPVDMMGLVQAKKCQILVSDIDYKNLLHEWTCLPDQNDIIQAR
+KAYDLQSDAIYKADLEWLRGIGWVPIDSVGVEHAKRAGEILSERKYRQPAVQLKFTSITD
+TPEIVLAKNNALNVSKHLYTEAWDADKTSIHVMPDTPEILLAKSNSANISHKLYTKGWDE
+SKMKDYDLRADAISIKSAKASRDIASDYKYKEAYEKHKGHHIGARSVEDDPRIMCAMNAG
+RIQSEREYKKEFQKWKTKFSSPVDMLGILLAKKCQTLVSDIDYRNYLHHWTCLPDQNDII
+QARKAYDLQSDNVYKSDLEWLRGIGWMPEGSVEMNRVKNAQDLINERRYRTRPEALTFTS
+IVDTPEVVLAKANSLQMSEKLYQEAWNKDKTNISIPSDTPLILQAQINAMQISNKLYQKD
+WDEAKQKGYDLRADAIEIKHAKASREIASEYKYKEGYRKQLGHHVGFRTLQDDPKLVWSI
+HAAKIQSDREYKKDYEKSKGIHNTPLDMMSIVQAKKCQVLVSDIDYRNYLHQWMCLPDQN
+DVIQAKKAYELQSDNVYKSDLEWLKGIGWLPEGSVEVMRVKNAQNLLNERLYRTRPEALK
+FTSVVDTPEVVMAKINSVQISEPLYRDAWEKEKANVNIPADTPLMLQSKINAVQISNKQY
+QQAWEDVKMTGYDLKADAIGIQHAKASRDIASDYLYKTAYEKQKGHYIGCRNAKEDPKLV
+WAANVLKMQNDRLYKKAYNDHKARITIPVDMVSINAAKEGQALASDVDYRQYLHQWSCFP
+DQNDVIQARKAYDLQSDAIYKADLEWLRGIGWMPEGSPEVLRVKNAQHIFRDSVYRTPVV
+KLKYTSIVDTPEVVLAKSNAENISIPKYREVWDKDKTSIHIMPDTPEINLARTNALNVSN
+KLYQKDWDEAKQKGYDLRADAIEIKHAKASREIASEYKYKEGYRKQLGHHVGFRTLQDDP
+KLVWSIHAAKIQSDREYRKAYEKSKGIHNTPLDMMSIVQAKKCQVLVSDIDYRNYLHQWI
+CLPDQNDVIQAKKAYELQSDVRILSPDSWEIGKESACQHRRAERCRFSPWVRKIPWRRKW
+QSTLVFFPGKFHGQKNLAGYCPWSSKELDTTKQLSTYLLLRTFLSQIPTDVIPSGTCNTQ
+MLTSDSSCVVCWHHTLNVYKSDLEWLKGIGWLPEGSVEVMRVKNAQNLLNERLYRTRPEA
+LKFTSIVDTPEVIMAKINSVQISEVSQLMICSDKLNNLEAAPVLDIMIVIWAKKRNFHHT
+YSFTHVVIGLFTNQRSSVFHFYQPLYRDAWEKEKANVNIPADTPLMLQSKINAVQISNKQ
+YQQAWEDVKMTGYDLKADAIGIQHAKASRDIASDYLYKTAYEKQKGHYIGCRNAKEDPKL
+VWAANVLKMQNDRLYKKAYNDHKARITIPVDMVSINAAKEGQALASDVDYRQYLHQWSCF
+PDQNDVIQARKAYDLQSDAIYKADLEWLRGIGWMPEGSPEVLRVKNAQHIFRDSVYRTPV
+VKLKYTSIVDTPEVVLAKSNAENISIPKYREVWDKDKTSIHIMPDTPEINLARTNALNVS
+NKLYREGWDEMKMSCDVRLDAIPIQAAKASREIASDYKYKLDHEKQKGHYVGTRTARDDN
+KIRWALIAGKIQNEREYRLHWAKWKSKFQSPPDMLSIEHSKNSQTLVSDIDYRHYLHQWT
+CMPDQNDVIQARKAYDLQSDAIYKADLEWLRGIGWMPADSVSVNHAKHMADIFNEKKYRT
+KIETLSFTPVDDRVDYVTAKHSGEILNDIKYRKDWNDTKSKYTLTETPQLHTAQEAARIL
+DQYLYKESWEKQKATGYILPPDAVPFVHAHHSGDVQSELKYKAEHVKQKGHYVGVPTMRD
+DPKLVWFEHAGQIQNDRLYKENYHKTKAKIHIPPDMVSVLAAKEGQALASDIDYRNYLHQ
+WICHPDQNDVIQARKAYDLQSDNIYKADLEWLRGIGWIPLDSVDHVRVTRNQEMMNQIKY
+KKDALANYPNFTSVVDPPEIVLAKINSVNQSDVKYKETFNKLIKGKYIFSPDTPYITHSK
+DMEKLYSTILYKRAWDGTKAYGYTLDERYIPIVGAKHADFVNSELKYKETYEKLKGHYLA
+GKEISEFPNVVHCLDFQKMRSLLNYRRHYEDTKANVHIPQDMMNHVLAKRCQYILSDLEY
+RHYFHQWTSLPEEPNVVRVRHAQEILSDNVYKDDLNWLKGIGCYVWDTPQILHAKKSYDL
+QSQILYTAAGKENLKNYNLVTDTPLYVTALQSGINASEVKYKENYHQTKDKYTTVLETVD
+YDRIKNLKDLFSSNLYKEAWDKVKATSYILPPNTVSLTHAKNQKYMASHIKYREEYEKFK
+ALYTLPRSVEDDPNTARCLRVGKFNIDRLYRSVYEKNKMKIHIVPDMVEMVTAKDSQKKV
+SEIDYRLHLHEWICHPDLQVNSHVRKVTDQISDIVYKDDLTWLKGIGCYVWDTPEILHAK
+HAYDLRNDIKYKAHVLKTRNNYKLVTDTPVYVQAVKSGKQLSDAVYHYDYVHSIRGRVAP
+TTKTVDLDRALHAYKLQSENLYRKAGLHALPTGYRLPVDTPHFKHTKDTRYMSSYFKYKE
+VYEHMKAYGYTLGPNDVPFVNVRRVNNITSERLYRQLYHKLKDKIHTTPDTPEIRQVKKT
+QEAVSELIYKSDFFKMQGHMISLPYTPQVLHCRYVGDITSDIKYKEDLQVLRGMGCFLYD
+TPDMVRSRHLRKLWSHYLYTDKARKMRDKYKVVLDTPEYRKVQELKTHLSELVYRAAGRK
+QKSIFTSVPDTPDLTRAKRGQKLQSQYLYVELATKERPHHHAGNQTTALKHARHVKDMVS
+ENKYKIQYEKMKDKYTPVPDTPILIRAKRAYWNASDLRYKETFQKTKGKYHTVKDALDIV
+YHRTVTDHISKIKYKENYMSQLGIWRSIPDRPEHFHHRAVTDAVSDVKYKQDLTWLKGIG
+CYAYDTPDFTLAEKNKTLYSKYKYKEVFERTKSNFKYVADCPINRHFKFATQLMNEKKYR
+ADYEQRKDKYHLVVDEPRHLLAKIAGDQISQRKYKSSAKMLLKQGCNEILRPDMLTALYN
+TYMWSQIKYRKNYEETKDKFTSIVDTPEHLRTTKVNKQISDILYKLEYNKAKPRGYTTIH
+DTPMLLHVRKVKDEVSDLKYKEVYQRTKSNCTIEPDAVHIKAAKDAYKVNTNLDYKKKYE
+ATKAYWKWTPDRPDFIQAAKSTLQQSDFEYKLDREYLKGCKLSVTDDKDMVLALKNSIIE
+SDLKYKEKHVKERGSCHAVPDTPQILLAKTVSSLVSENKYKSYVKKHLAQGSYTTLPETR
+DTIHVKEVTKNVSDTNYKKKFVKEKGKSNYSIMLEPPDVKHAMDVAKKQSNVAYKKDAKE
+NLHYTTVADRPDIKKATQAAKQASEVEYRAKHRKEGSHGLSMLGRPDIEMAKKAAKLSSQ
+VQYRENFNKEKGKTPKYNPKDSQLYKVMKDANTLASEVKYKADLKKLHKPVTDMKESLIM
+NHVLNTSHLASSYQYKKNYEKSKGHYHTIPDNLEQLHLKEATELQSIVKYKEKYEKERGK
+PMLDFETPTYITAKESQQMQSGKEYRKDYEESIKGRNLTGLEVTPALLHVKYATKIASEK
+EYRKDLEESIRGKGLSEMEDTPDMLRAKNATQILNEKEYKRDLELEVKGRGLNAMANETP
+DFLRARNATDIASQIKYKQSAEMEKANFTSVVDTPEIIHAQQVKNLSSQKKYKEDAEKCM
+SYYETVLDTPEMQRVRENQKNFSLLQYQYDLKNSKGKITVVQDTPEILRVKENQKNFSSV
+LYKEDVSPGTAIGKTPEMMRVKQTQDHISSVKYKEVIGQGTPIPDLPEVKRVKQTQKHIS
+SVMYKENLGTGIPTPVTPEIERVKRNQENFSSVLYKENLGKGTPTPITPEMERVKRNQEN
+FSSILYKENLSKGTPLPVTPEMERVKRNQENFSSVLYKENIGKGIPIPITPEMERVKHNQ
+ENFSSVLYKENLGTGIPVSTTPEMQRVKLNQENLSSVLYKENMGKGTPLPVTPEMERIKH
+NQENISSVLYKENLGKATPTPFTPEMERVKRNQENFSSVLYKENMRKATPTPVTPEMERA
+KRNQENISSVLYSDSFRKQIQGKAAYVLDTPEMRRVRETQRHISTVKYHEDFEKHKGCFT
+PVVTDPITERVKKNTQDFSDICYRGIQRKVVEMEQKRNDQDQETITGLRVWRTNPGSVFD
+YDPAEDNIQSRSLHMINAQAQRRSREQSRSASGLSISGGEEKSEHSEAAHLSTYSDGGVF
+FSAASTGYKHARTTELPQQRSSSVATQQTTVSSIPSHPSTAGKIFRAMYDYMAADADEVS
+FKDGDAIVNVQAIDEGWMYGTVQRTGRTGMLPANYVEAI
+>tr|F6RKK0|F6RKK0_BOVIN Carboxypeptidase X, M14 family member 1 OS=Bos taurus OX=9913 GN=CPXM1 PE=4 SV=1
+MKKRKKLTRPTPPVTARPSVTTSPSGTLDLPEKQEPDCPPLGLESLRVSDNQLDASSSQS
+FGLGPHRGRLNIQSGLEDGDLFDGAWCAEQQDAEPWLQVDARHPTRFSGIITQGRNSIWR
+YDWVTSYKVQFSNDSRTWWGSKNRSSGMDAVFPANSDPETPVLNLLPEPQVARFIRLLPQ
+TWLQGGASCLRAEILACPVSDPNGLFPEVPMLGSSDSLDFRHHDYKAMRKLMKQVNEQCP
+NITRIYSIGKSHQGLKLYVMEMSDQPGEHELGEPEVRYVAGMHGNEALGRELLLLLMQFL
+CHEFLRGDPRVTRLLTETRIHLLPSMNPDGYETAFRRGSELVGWAEGRWNHQGIDLNHNF
+ADLNTPLWEAEDEGLVPDTVPNHHLPLPTYYTLPNATVAPETWAVIKWMQRIPFVLSANL
+HGGELVVSYPFDMTRTPWAARELTPTPDEAVFRWLSTVYAGTNRAMQDPDRRPCHSQDFS
+LYGSIINGADWHTVPGSMNDFSYLHTNCFEVTVELSCDKFPHENELPQEWENNKDALLTY
+LEQVRMGIAGVVRDKDTELGIADAVISVDGINHDVTTAWGGDYWRLLTPGDYMVTASAEG
+YHTVTRSCRVTFEEGPVPCNFRLTKTPKQRLRELLAAGAKVPPDLRRRLERLRGQKN
+>tr|G3N1G0|G3N1G0_BOVIN Histone domain-containing protein OS=Bos taurus OX=9913 PE=3 SV=1
+MPEPAKSAPAPKKGSKKAVTKAQKKDGEKRKHSRKESCSMYVYKVLKQVHLDTSISSKAM
+GIMNSFVNDIFECIAGEASCLAHYNKRSTITSRDIQTAVCLLLHRELAKHAVSEGTKAVT
+KYTSSK
+>tr|A0A3Q1LTF7|A0A3Q1LTF7_BOVIN Armadillo-like helical domain-containing protein 4 OS=Bos taurus OX=9913 GN=ARMH4 PE=4 SV=1
+MSRPIVLHICLAFCSLLLLNFAAQCLAFPNLERREIVLLHAEKGQSERLNTDDLENDSVT
+SNTPVSGDPMIVLAGPSTMSLNKVFPSNKETSPVGAGLMQADGSNIYTATEPEVPAGEEV
+FGSSQPERMSPESRPSKATLTNPVMPTASLNIDEREEPSRGTIIQPTVEGTTEATQGFLS
+YVDDQLFATESPEGLSLGHSPLSLVNTKEMLTTSPRTEKFEANPEHKTTSFPGSKLTAGT
+EPSQMTADNTQATAATKHWLPTSESILSVEPETDSLLGAPEVTTSVSTAVAAAPVISDEW
+DDTKLESVSQIKTPKLGDNTETQVRMEISQTTQAPDIGMEGMEEGKALTEAADVSLKLPE
+METHMETTLPMAHGGERASDQSSVTPTSPMGDTKVSVMNLVQDTADFVESTKENGAMFLE
+TTVSISEYESEVHQPLGNRFKDIITQEMTTAVQAAEATVSLVTQEQQVATLEVTRGEDET
+KGGRELPSATVDAPRATQLSRRWEPLATVVSTTPIPVSFKVTPAVEDVMDTVTGPNEELF
+TPILGSPVTPPGITEEAPSTSPALADPEASSERRTAAPSFSYVNTAASYGLDQLESEEGE
+DDEDEEDEEEEDEEEEDEEEDEEDKDADSLDEALGDTELPGFTLPGITSQEPGLEQENVV
+SLEGVTFQVPDAIQWEQQNQGLGKSHAGYMSGMLVPVGVGIAGALFILGALYSIKVMNRR
+RRNGFKRHKRKQREFNSMQDRVMLLADSSEDEF
+>tr|F6QFC2|F6QFC2_BOVIN Zinc finger protein 12 OS=Bos taurus OX=9913 GN=ZNF12 PE=4 SV=2
+MKKCFPCSTIIMWELQACGCVLSLCFTKPYWKVKGRVSHKCLVKCWAGLVHTSCSWLASL
+PAFPPSGPPLLSQSCKLFREQRKMNQSLGSVSFRDVAVDFTQEEWQHLDPEQKTTYRDVM
+LENYSHLISVEEVWKVHDLMEGSQENEDKHSRQTESINNKTLPEGRGNGLGKTCNVETSP
+VSSRKISYQCDSCEKSLKSISEYISSDGSYARMKPDECSACGRSLLHVKLEKIHLGDKPY
+EFNQNREAYALNEESIYQNIHILEKPFEYIECQKAFQKDTVFVNHIEEKPYKWNESEIAF
+LQMSNLSVHPTTHLEMKPYECNACGKSFCKKSKFIIHQRTHTGEKPYECNQCGKSFCQKG
+TLTVHQRTHTGEKPYECTECGKTFYQKLHLIQHQRTHSGEKPYECSYCGKAFCQKTHLTQ
+HQRTHSGERPYVCHDCGKTFSQKSALNDHQKIHTGVKLYKCNECGKCFCRKSTLTTHQRT
+HTGEKPYECNECGKFFSRLSYLTVHYRTHSGEKPYECNECGKTFYLNSALMRHQRVHTGE
+KPYECNECGKLFSQLSYLTIHHRTHSGVKPYECNECGKTFYQNSALCRHRRIHKGEKPYE
+CYICGKFFSQMSYLTIHHRIHSGEKPYECNECGKTFCQNSALNRHQRTHTGEKAYECYEC
+GKFFSQMSYLTIHHRIHSGEKPFECNECGKAFSRMSYLTVHYRTHSGEKPYECTECGKKF
+YHKSAFNSHQRIHRRGNMNVLDVGRLL
+>tr|A0A3Q1LII2|A0A3Q1LII2_BOVIN Cyclin D binding myb like transcription factor 1 OS=Bos taurus OX=9913 GN=DMTF1 PE=4 SV=1
+MSTVEEDSDTVTVETVDSVTLTRDTDGNLILHCPHNEADEIDSEDSTEPPHKRLCLSSED
+DQSIDDSTPCISVVALPLSENDQSFEVTMTATTEVADDEITEGTVTQIQILQNEQLDEIS
+PLGNEEVSAVSQAWFTTKEDKDSLTNKGHKWKQGMWSKEEIDILMNNIERYLKARGIKDA
+TEIIFEMSKDERKDFYRTIAWGLNRPLFAVYRRVLRMYDDRNHVGKYTPEEIEKLKELRI
+KHGNDWATIGAALGRSASSVKDRCRLMKDTCNTGKWTEEEEKRLAEVVHELTSTEPGDIV
+TQGVSWAAVAERVGTRSEKQCRSKWLNYLNWKQSGGTEWTKEDEINLILRIAELDVADEN
+DINWDLLAEGWSSVRSPQWLRSKWWTIKRQIANHKDVSFPVLIKGLKQLHENQKNNPTLL
+ENKSGPGVPNSNSNSSVQHVQIRVARLEDNGAISPSPMTALQIPVQITHVLNGSDTFQSS
+RILTEIYPSTYLLQTSSSQGLPLTLTASPTVTLAAAAPASPEQIIVHALSPEHLLNTSDV
+TVQCHAPRVIIQTVATEDIASSISQAELTVDSDIHSSDFPEPPDALEADTFPDEIHQPKI
+TVEPSFNDAHISKFSDQNSTELMNSIMVRTEEEISVTHLKQEDEPSPLARAYVTEDLGSP
+TIEEQVHQTTIDDETILIVPSPHGFIQTSDVIDTESVLPLTTLTDPILQHHREESNIIGS
+SLGSPVSEDSKDVEDLVHCH
+>tr|G3MYZ2|G3MYZ2_BOVIN PDZ domain-containing protein OS=Bos taurus OX=9913 GN=HTRA3 PE=4 SV=2
+MHTREHMHAHTHACTNAHTHTCARAHAHTHTHTHPAPTRPPSLPSLFYLCLTCLGENLQK
+IHKPKQSPHRSASALDATMRSCDVFSCQPVTCLHQLTSPRYKFNFIADVVEKIAPAVVHI
+ELFLRHPLFGRNVPLSSGSGFIMSEAGLIVTNAHVVSSTNTVSGRQQLKVQLQNGDTYEA
+TIKDIDKKSDIATIKIHPKKKLPALLLGHSADLRPGEFVVAIGSPFALQNTVTTGIVSTA
+QRDGRELGLRDSDMDYIQTDAIINYGNSGGPLVNLDGEVIGINTLKVAAGISFAIPSDRI
+TRFLSEFQDKTGKDWKKRFIGIRMRTITPSLVEELKASNPDFPAVSSGIYVQEVVPNSPS
+QRGGIQDGDIIVKVNGRPLADSSELQEAVLTESPLLLEVRRGNDDLLFSIAPEVVL
+>tr|A0A3Q1LP01|A0A3Q1LP01_BOVIN Multiple coagulation factor deficiency 2 OS=Bos taurus OX=9913 GN=MCFD2 PE=4 SV=1
+MRSQWPSARQRSSSLSIFRHIMEHLEGVINKPEAEMSPQELQLHYFKMHDYDGNNLLDGL
+ELSTAITHVHKEVGRAVARGAAPRRGLPLVTQPLLLMASPVLVQHCYCFSSFCSPSALPF
+FLFRGPSHKG
+>tr|A0A3Q1LSH5|A0A3Q1LSH5_BOVIN Serine/threonine-protein kinase receptor OS=Bos taurus OX=9913 GN=ACVR2A PE=3 SV=1
+LAAANKYSYIKLLFYYLIGAILGRSETQECIFYNANWERDRTNRTGVESCYGDKDKRRHC
+FATWKNISGSIEIVKQGCWLDDINCYDRTDCIEKKDSPEVYFCCCEGNMCNERFSYFPEM
+EVTQPTSNPVTPKPPYYNILLYSLVPLMLIAGIVICAFWVYRHHKMAYPPVLVPTQDPGP
+PPPSPLLGLKPLQLLEVKARGRFGCVWKAQLLNEYVAVKIFPIQDKQSWQNEYEVYSLPG
+MKHENILQFIGAEKRGTSVDVDLWLITAFHEKGSLSDFLKANVVSWNELCHIAETMARGL
+AYLHEDIPGLKDGHKPAISHRDIKSKNVLLKNNLTACIADFGLALKFEAGKSAGDTHGQV
+GTRRYMAPEVLEGAINFQRDAFLRIDMYAMGLVLWELASRCTAADGPVDEYMLPFEEEIG
+QHPSLEDMQEVVVHKKKRPVLRDYWQKHAGMAMLCETIEECWDHDAEARLSAGCVGERIT
+QMQRLTNIITTEDIVTVVTMVTNVDFPPKESSL
+>tr|A0A3Q1LRA6|A0A3Q1LRA6_BOVIN Transmembrane and coiled-coil domain family 1 OS=Bos taurus OX=9913 GN=TMCC1 PE=4 SV=1
+MEKGSDTIERLEVSSLAQTSSAVASSTDGSLHTDSVDGTPDPQRTKAAIAHLQQKILKLT
+EQIKIAQTARDDNVAEYLKLANSADKQQAARIKQVFEKKNQKSAQTIQQLQKKLEHYHRK
+LREVEQNGIPRQPKDVFRDMHQGLKDVGAKVTGFSEGVVDSVKGGLSSFSQATHSAAGAV
+VSKPREIASLIRNKFGSADNIPNLKDSLEEGQVDEAGKALGAISTFQSSPKYGSEEDCSS
+ATSGSVGANSTAGGLAVGASSSRTNTLDMQSSGFDALLHEVQEIRETQARLEESFETLKE
+HYQRDYSLIMQALQEERYRCERLEEQLNDLTELHQNEILNLKQELASMEEKIAYQSYERA
+RDIQEALEACQTRISKMELQQQQQQVVQLEGLENATARNLLGKLINILLAVMAVLLVFVS
+TVANCVVPLMKTRSRTFSTLLLVVFIAFLWKHWDALLGYVQRFFSSPR
+>tr|A0A3Q1MFJ5|A0A3Q1MFJ5_BOVIN DNA-directed primase/polymerase protein OS=Bos taurus OX=9913 GN=PRIMPOL PE=4 SV=1
+MKRKWEATLKQIEERASHYERKPLSSVYRPRLSKPEEPPSIWKLFHRQTQAFNFVKSCKQ
+EVHVFALECKVGDGQRIYLVTTYTQLWFYYKSRRNLLHCYEVIPENAVCKLYFDLEFNKL
+ANPGADGKKMVALLIEHVCKALQEFYTVNCSAEDVLNLDSSTEEKFSRHLIFQLHDVAFK
+DNIHVGNFVRKILQPAFHLIASEDEDMTPETTGHEFTHFSETPSEQGTCFSKMSTDIDVG
+ESQTSNSEKLGRLGSAQQSSPDLSFLIVKNDMGEKRLFVDLGVYTRNRNFRLYKSSKIGK
+YVALEVAEDNKFFPIQSKNISKENQYFLSSLVSNVRFSDALRILTCDVPQSKQRVQCFSR
+TGTSVEAIEGFQCSPYPEIDQFVLSLVNKNGIKGGIRRWNYFFPEELLVYDICKYRWCEN
+IGRAHRSSNDEPVMTALETVPSRVCTDQLYGETITHSGEEFTTDTTADTETKSPHGPSSS
+VLSKGAFSDADWDNGIDDTYILEATEDAELAEAAENSLLAYNRMDEIPDELLIEVLQE
+>tr|A0A3Q1M272|A0A3Q1M272_BOVIN PX domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+LPWRIAILGLTFLLCKRRGLPVYIINVTWSDSTSQTIYRRYSKFFDLQMQLLDKFPIEGG
+QKDPKQRIIPFLPGKILFRRSHIRDVAVKRLKPIDEYCRALVRLPPHISQCDEVFRFFEA
+RPEDVNPPKESVGPKCLILRIGPEKSGADSNAEPMILEQYVVVSNYKKQENSELSLQAGE
+VVDVIEKNESGECPAGWPARRPGARLVFVPLLLPHLCSYTLFPSGWERAEEA
+>tr|A0A452DIZ7|A0A452DIZ7_BOVIN Protein FAM92B OS=Bos taurus OX=9913 GN=FAM92B PE=4 SV=1
+MNIILSRDSQVRVMEDTVTNAEKSFGQFCSLLAAYTRKTARLRDKADQLVKQLIDFANTE
+NPEMRATLRNFAEDLAKVQDYRQAEVERLETKVINPLKLYGVQIKQTRAEIKKFKSVRNN
+EIKQLEKLEKLRQKSPSDRQTIGQAETSVQRASVDASRTSHQLEETVDTFQKQKLKDIQK
+IFSDFVTIEMVFHAKAVEVYSSAFQTLESYDLEKDLEDFRAKMHGVYGRYDARPPLMDTT
+LSPALPWSLAQSAQSTIRSQRKEAVSEDDSAEEDPVEDLRGQAQRLNQ
+>tr|F6PZS8|F6PZS8_BOVIN Zinc finger MYND-type containing 10 OS=Bos taurus OX=9913 GN=ZMYND10 PE=4 SV=1
+MGDLELLLPGEADVLVRGLRSFQLRDMGSRGWNQQHENLEKLNMQAILDATASQGEPIQE
+LLVTHGKIPTLVEELIAVEMWKQKVFPVLCKLEDFKPQNTFPIYMVLHHEASIINLLETV
+FFHKEVCESAEDTVLDLVDYCHRKLTLLVAQSGRGAPPEEESQYSSPMQELQKQAELMEF
+EIALKALSV
+>tr|F6RI62|F6RI62_BOVIN Ssemaphorin 4F OS=Bos taurus OX=9913 GN=SEMA4F PE=3 SV=1
+MPGSVARLLPRLRPSGTSPLFLLLLLALLRGPVCGRVPHSVPRTSLPISEADSYLTRFTI
+PQTYNYSVLLVDPASHMLYVGARDTIFATSLPFSGEKPRRIDWMVPEAHRQNCRKKGKKE
+DVSSFQQVERLESGRGKCPFEPAQRSAAVMAGGALYAATVKNYLGTEPIISRAVGRAEDW
+VRTETLPSWLNAPAFVAAMALSPAEWGDEDGDDEIYFFFTEIARAFDSYERIKVPRVARV
+CAGDLGGRKTLQQRWTTFLKADLLCPGPEHGRASSVLQDVAILRPEGGAGTPVFYGIFSS
+QWEGAAISAVCSFRPQDIRTVLNGPFRELKHDCNRGLPVMDNDVPQPRPGECITNNMKLQ
+QFGSSLFLPDRVLTFIRDHPLMDRPVFPADGRPLLVTTDTAYLRVVAHRVPSLSGKEYDV
+LYLGTEDGHLHRAVQIGAQLSVLEDLALFPEPQPVENMKLYQNWLLVGSRTEVTQVNTAN
+CGRLQSCSECILARDPACAWSVRLAACVAHPGEHGGLVQDIESADVSSLCPEEPGEHPVV
+FEVPVAAAAHVVLPCSPSSAWASCVWRQPSGVTALTRRQEGLEVVISPGAMGAYACECQE
+GGAARVVAAYSLVWGTPRGPLSQAHTIGAGLAGFFLGVLAASLTLLLIGRHQQRQRQREL
+LARDKVGLDLGVPPSGTTSYSQDPPSPSPEDERLPLALAKRGSGFGGFPPPFLLDPCPSP
+AHIRLTGAPLATCDETSI
+>tr|A0A3Q1MCN7|A0A3Q1MCN7_BOVIN Voltage-dependent L-type calcium channel subunit beta-3 OS=Bos taurus OX=9913 GN=CACNB3 PE=4 SV=1
+MYDDSYVPGFEDSEALKGQLATAQGAKGSADSYTSRPSLDSDVSLEEDRESARREVESQA
+QQQLERAKHKPVAFAVRTNVSYCGVLDEECPVQGSGVNFEAKDFLHIKEKYSNDWWIGRL
+VKEGGDIAFIPSPQRLESIRLKQEQKARRSGNPSSLSDIGSRRSPPPSLAKQKQKQAEHI
+PPYDVVPSMRPVVLVGPSLKGYEVTDMMQKALFDFLKHRFDGRISITRVTADLSLAKRSV
+LNNPGKRTIIERSSARSSIAEVQSEIERIFELAKSLQLVVLDADTINHPAQLAKTSLAPI
+IVFVKVSSPKVLQRLIRSRGKSQMKHLTVQMMAYDKLVQCPPESFDVILDENQLEDACEH
+LAEYLEVYWRATHHPAPGPGLLGPPSAIPGLQNQQLLGERGEEHSPLERDSLMPSDEASE
+SSRQAWTGSSQRSSRHLEEDYADAYHDLYQPHRQHTSGLPSANGHDPQDRLLAQDSEHNH
+NERNWQRNRPWPKDSY
+>tr|A0A3Q1LF94|A0A3Q1LF94_BOVIN Ski_Sno domain-containing protein OS=Bos taurus OX=9913 GN=DACH1 PE=4 SV=1
+MAVPAALIPPTQLVPPQPPISTSASSSGTTTSTSSATSSPAPSIGPPASSGPTLFRPEPI
+ASAAAAAAAGTVTSTGGGGGVGSGGGGGGGSAGNGGGGGGGCNPSLATAGGGGGGGGGSI
+SAGGGVGASSTPINASTGGGGSSSSSSSSSSSSSSSSSSSCGPLPGKPVYSTPSPVENTP
+QNNECKMVDLRGAKVASFTVEGCELICLPQAFDLFLKHLVGGLHTVYTKLKRLEITPVVC
+NVEQVRILRGLGAIQPGVNRCKLISRKDFETLYNDCTNASSRPGRPPKRTQSVTSPENSH
+IMPHSVPGLMSPGIIPPTGLTAAAAAAAAATNAAIAEAMKVKKIKLEAMSNYHASNNQHG
+ADSENGDMNSSVGSSDGSWDKETLYSSPPQGSKASVTHPRIPGVHSLPVSHPLHHLQQSH
+LLPNGLELPFMMMPHPLIPVSLPPASVTMAMSQMNHLSTIANMAAAAQVQSPPSRVETSV
+IKERVPDSPSPAPSLEEGRRPGSHPSSHRSSSVSSSPARTESSSDRIPVHQNGLSMNQML
+MGLSPNVLPGPKEGDLAGHDMGHESKRMHIEKDETPLSTPTARDSLDKLSLTGHGQPLPP
+GFPSPFLFPDGLSSIETLLTNIQGLLKVAIDNARAQEKQVQLEKTELKMDFLRERELRET
+LEKQLAMEQKNRAIVQKRLKKEKKAKRKLQEALEFETKRREQAEQTLKQAASTDSLRVLN
+DSLTPEIEADRSGGRTDAERTIQGGNLQKAINLDLAL
+>tr|G3N0T2|G3N0T2_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=ZNF470 PE=4 SV=2
+MRSQEEEVMGIELLKAMSLDAVTFTDVAVNFSQDEWEWLTLAQRTLYKKVMLENYSSLAS
+LGLCISKPDVISLLEQDKEPWMMKGELTRGLCPDLEYVWMKKELSLNQDIYEEKLSQAMK
+MERLTSYGLECSTLGENWKSEDLFERELVSPKTHFRQETVSHIDTLIEKGDHFNKSGTIF
+HLNTLWYIKQVFPIKERIYNFDTDKKSLKTHSFVKKDKQAYGEKNLLKCNDCEKTFSKIS
+TLTLHQRIHTGEKPYECLECRKAFSQSAHLAQHQRIHTGEKPFKCTECGKAFSQNAHLIQ
+HQRVHTGEKPYQCKQCNKAFSQLAYLVQHQRVHTGEKPYECIECGKAFSYCSSLAHHRRI
+HTGKRPYKCIDCRKAFQQNASLIRHRRYCHTGEKPFDCIDCGKAFTDHIGLIQHKRIHTG
+ERPYKCNVCGKAFSHGSSLTVHQRIHTGEKPYECSICEKAFSHRGSLTLHQRVHTGEKPY
+ECKECGKAFRQSTHLAHHQRIHTGEKPY
+>tr|A0A3Q1LN15|A0A3Q1LN15_BOVIN Galectin OS=Bos taurus OX=9913 GN=LGALS8 PE=4 SV=1
+MFPGPLDCCSQSHFCLVSLKSASNSVIPYVGTISEQLEPGTLIVLRGHVPSDSDRFQVDL
+QCGSSVKPRADVAFHFNPRFKRANCVVCNTLRNEKWGWEEITYDMPFKKEKSFEIVIMVL
+KEKFQVAVNGRHTLLYAHRISPERIDTLGIYGKVIIHSVGFSFSSDLGSTQGSTLEPTGI
+SKENVQKSGESQLPSNRGDISKIVPRTVYTKSKASPANHTLTCAKILPTNCLSKTLPFVA
+RLNSSMGPGRTIVIKGEVNTNAKGFTVDLLSGKSKDIALHLNPRLNVKAFVRNSFLQEAW
+GEEERNITCFPFSPGMYFEMIIYCDAREFKVAVNGVHSLEYKHRFKELSKVDTLEIDGDI
+HLLEVRSW
+>tr|A0A3Q1MV54|A0A3Q1MV54_BOVIN S-adenosylmethionine mitochondrial carrier protein OS=Bos taurus OX=9913 GN=SLC25A26 PE=3 SV=1
+MDRPGFTASLVAGGVAGVSVDLILFPLDTIKTRLQSPQGFYKAGGFYGVYAGVPSTAIGS
+FPNAAAFFVTYEYVKWILHTDSSSYLMPVTHMLAASVGEVVACLIRVPSEVVKQRAQVSA
+SSGTFHIFSNILCQEGIQGLYRGYKSTVLREIPFSLVQFPLWESLKALWSWRQDRVVDSW
+QAAVCGAFADYLQVSSLAWQPSVWEVSSFLVFTTKLAAFCWNLAERAPESEMAPAFKKGS
+CCEKSFPSSEQLSSHLNSKTQCGVQSRSGYNMEDMSSVPQAGWGDIIGSFARVERKMMST
+VVL
+>tr|A0A3Q1LUL9|A0A3Q1LUL9_BOVIN C1q domain-containing protein OS=Bos taurus OX=9913 GN=C1QTNF2 PE=4 SV=1
+MMSLSWVYLLGRSQVKPSDCNNCEAVRHTFILQVATMIPWVLLACALPCAADPLLAAFAR
+RDFQKGSPQLICSMPGPQGPPGPPGAPGPSGMVGRMGFPGRDGQDGQDGDRGETGEEGSP
+GRTGNRGKPGPKGKAGAIGRAGPRGPKGVSGAPGKHGTPGKKGPKGKKGEPGLPGPCSCG
+SSHAKSAFSVAVTKSYPRERLPIKFDKILMNEGGHYNASSGKFVCGVPGIYYFTYDITLA
+NKHLAIGLVHNGQYRIRTFDANTGNHDVASGSTILALRQGDEVWLQIFYSEQNGLFYDPY
+WTDSLFTGFLIYADQDNPSEV
+>tr|G3X8C3|G3X8C3_BOVIN SERPIN domain-containing protein OS=Bos taurus OX=9913 GN=LOC786410 PE=3 SV=1
+MSSLSEAIIHLAIDLFHQIRKSEKENIFLSPFSISSALAMTYLGARENTASQMQKVLHFN
+NIAENTRGGAAKEHVEKPGNVHHHFQKLLTELKKSTDAYELSVANRLYGEKEFQFLQEYL
+DNVKKFYLASVESADFKNAAEESRKMINSWVESQTNGKIKDLFPKDSLKSSTALVLVNAV
+YFKGQWNQKFKEEHTAEEKFWLNKDTSKPVRMMKQTNSFKFVSLEDVQAKILEIPYKGEE
+LSMMVLLPNEVDGLQELEDQLTAEKLIAWTSPQNMRKREVDLYLPRFKVEESYDLVATLR
+ALGMVDAFIFRVANFSGMTGSGDLAISKVFHKSFVEVTEEGTEAAAATGVGVAVLTSLPI
+RESFRCDHPFLFLIKHIKTNSILFCGRVSSP
+>tr|E1BJP1|E1BJP1_BOVIN Lipocln_cytosolic_FA-bd_dom domain-containing protein OS=Bos taurus OX=9913 GN=LOC513329 PE=3 SV=2
+MKLLLLCLGLTLVCAQEGNSDVVRSNFDIPEIAGEWYSILLASDNREKIEENGSMRVFVE
+YIDVLENSSLLFKFHTKVNGVCTELSLVSDSTGEDGVFTISYDGENKFRILQVNYSQHII
+FYLENFSNSFKLLELYAREPDTSPELKSEFVEICQKYGVVKENVIDLTKVDRCLQERGNG
+VA
+>tr|F6QT01|F6QT01_BOVIN Glycerol-3-phosphate dehydrogenase [NAD(+)] OS=Bos taurus OX=9913 GN=GPD1L PE=3 SV=1
+MAAAPLKVCIVGSGNWGSAVAKIIGNNVRKLQKFASTVKMWVFEETVNGRKLTDIINNDH
+ENVKYLPGHKLPENVVAVANLSEAVQDADLLVFVIPHQFIHRICDEITGRVPKDALGITL
+IKGIDEGPEGLKLISDIIREKMGIDISVLMGANIANEVAAGKFCETTIGSKIMENGLLFK
+ELLQTPNFRITVVDDADTVELCGALKNIVAVGAGFCDGLLCGDNTKAAVIRLGLMEMIAF
+ARIFCKGQVSTATFLESCGVADLITTCYGGRNRKVAEAFARTGKTIEELEKEMLNGQKLQ
+GPQTSAEVYRILKQKGLLDKFYQKSQWKSL
+>tr|A0A3Q1MNU6|A0A3Q1MNU6_BOVIN HMG box domain-containing protein OS=Bos taurus OX=9913 GN=LOC533921 PE=4 SV=1
+MSHGPKQPGAASAPASGKAPGQHGGFVVAVKQERGEGPRAGEKGSHEEEPMKKRGWPKGK
+KRKKILPNGPKAPVTGYVRFLNERREQIRTRHPDLPFPEITKMLGAEWSKLQPAEKQRYL
+DEAEREKQQYMKELRAYQQSEAYKMCAEKIQEKIKKEDSSSGLMNTLLNGHKGGDCDGFS
+TFDVPIFTEEFLDQNKAREAELRRLRKMSVAFQEQNAVLQKHTQSMSSARERLEQELALE
+ERRTLALQQQLQAVRQALTANFASLPVPGTGETPTLSTLDFYMARLHGSMESDPALAREA
+HRPHQGDPGPGRQ
+>tr|F1N2A6|F1N2A6_BOVIN Piezo type mechanosensitive ion channel component 2 OS=Bos taurus OX=9913 GN=PIEZO2 PE=4 SV=3
+MASEVVCGLVFRLLLPICLAVACAFRYNGLSFVYLIYLLLIPLFSEPTKATMQGHTGRLL
+KSLCFTSLSFLLLHIIFHITLASLEAQHRIAPGYNCSTWEKTFRQIGFESLKGADAGNGI
+RVFVPDVGMFIASLTIWLVCRNIVQKPVAEEAAQCNPEFENEESAGGEKIDSEEALIYEE
+DLDEGDGAEGDLEESAKLKLFRRLASVASKLKEFIGNMITTAGKVVVTILLGSSGMMLPS
+LTSSVYFFVFLGLCTWWSWCRTFDPLLFSCLCVLLAIFTAGHLIGLYLYQFQFFQEAVPP
+NDYYARLFGIKSVIQTDCSSTWKIIANPDLSWYHHANPIVLLVMYYTLATLIRIWLQEPV
+VQEERTKEEDRALACSPAPITAERRRSLWYATHYPTDERKLLSMTQDDYKPSDGLLVTVN
+GNPVDYHTIHPSLPLENGPAKADLYSTPQYRWEPAAASPEKKEEDDDEKEEFEEERSREE
+KRSVKVHAMVSVFQFIMKQSYICALIAMMAWSITYHSWLTFVLLIWSCTLWMIRNRRKYA
+MISSPFMVVYGNLLLILQYIWSFELPEIKKVPGFLEKKEPGELASKILFTITFWLLLRQH
+LTEQKALQEKEALLSEVKIGSQEREEKDDEQDLQVDSEAQEKEEEEEPKEEQQERREEEE
+EEEADEQDVMKVLGNLVVALFIKYWIYVCGGMFFFVSFEGKIVMYKIIYMVLFLFCVALY
+QVHYEWWRRILKYFWMSVVIYTMLVLIFIYTYQFENFPGLWQNMTGLKKEKLEDLGLKQF
+TVAELFTRIFIPTSFLLVCILHLHYFHDRFLELTDLKSIPTHASSARPSRPLTENLTYTC
+HDSQLAHPEGSLPDLAMMKLTASLEVAEVKQLAGPGEEMPGGCPAKAKKGEPGRDSEDED
+EDEDEEEEETSDLRNKWHLVIDRLTVLLLRFLEGFHQLQTFLWWILELHIVKIVSSYIIW
+VSVKEVSLFNYVFLISWAFALPYARLRRLASSVCTVWTCVIIVCKMLYQLQTIKPENFSV
+NCSLPNENQTNIPLHQLNKSLLYSAPIDPTEWVGLRKSSPLLVYLRNNLLMLAILAFEVT
+VYRHQEYYRGRNNLSTPVSKTIFHNITRLQLDDGLVNCAKYFINYFFYKFGLETCFLMSV
+NVIGQRMDFYAMIHACWLIAVLYRRRRKAIAEIWPKYCCFLACIITFQYFICIGIPPAPC
+RDYPWRFKGASFNDNIVKWLYFPDFIVRPNPVFLVYDFMLLLCASLQRQIFEDENKAAVR
+IVAGDNVEICMNLDAASFSQHNPVPDFIHCRSYLDMSKVVIFSYLFWFVLTIIFITGTTR
+ISIFCMGYLVACFYFLLFGGDLLLKPIKSILRYWDWLIAYNVFVITMKNILSIGACGYIE
+KLVQNSCWLIQAFSLACTVKGYKMPDDDSSCKLPSGEAGIIWDSICFAFLLLQRRVFMSY
+YFLHVVADIKASQILASRWGLSFSRPPL
+>tr|A0A3Q1M1X6|A0A3Q1M1X6_BOVIN ArfGAP with coiled-coil, ankyrin repeat and PH domains 2 OS=Bos taurus OX=9913 GN=ACAP2 PE=4 SV=1
+MEGYLFKRASNAFKTWNRRWFSIQNNQLVYQKKFKDNPTVVVEDLRLCTVKHCEDIERRF
+CFEVVSPTKSCMLQADSEKLRQAWIKAVQTSIATAYREKGDESEKLDKKSSPSTGSLDSG
+NESKDKLLKGESALQRVQCIPGNASCCDCGLADPRWASINLGITLCIECSGIHRSLGVHF
+SKVRSLTLDTWEPELLKLMCELGNDVINRVYEANLEKMGIKKPHPGQRWELQIYHARSPK
+YLINHLISFLFLPPKIFPITFFPALFPSYGLLCALLTCMSPVVAVNSDEARRESLFCPDE
+LDSLFSYFDTSSKLRSSKYYSCGAFQVCAGGHCVVLYEPEAERQDSSVFLDSKHLNPGLQ
+LYRASYEKNLPKMAEALAHGADVNWSNSEENKATPLIQAVLGGSLVTCEFLLQNGANVNQ
+RDVQGRGPLHHATVLGHTGQVCLFLKRGANQHATDEEGKDPLSIAVEAANADIVTLLRLA
+RMNEEMRESEGLYGQPGQYSTNNHTEMQYKKCIQEFISLQLEES
+>tr|A0A3Q1MK99|A0A3Q1MK99_BOVIN Beta-arrestin-1 OS=Bos taurus OX=9913 GN=ARRB1 PE=1 SV=1
+GSKGWEVFKKASPNGKLTVYLGKRDFVDHIDLVEPVDGVVLVDPEYLKERRVYVTLTCAF
+RYGREDLDVLGLTFRKDLFVANVQSFPPAPEDKKPLTRLQERLIKKLGEHAYPFTFEIPP
+NLPCSVTLQPGPEDTGKACGVDYEVKAFCAENLEEKIHKRNSVRLVIRKVQYAPERPGPQ
+PTAETTRQFLMSDKPLHLEASLDKEIYYHGEPISVNVHVTNNTNKTVKKIKISVRQYADI
+CLFNTAQYKCPVAMEEADDTVAPSSTFCKVYTLTPFLANNREKRGLALDGKLKHEDTNLA
+SSTLLREGANREILGIIVSYKVKVKLVVSRGGDVAVELPFTLMHPKPKEEPPHREVPEHE
+TPVDTNLIELDTNDDDIVFEDFARQRLKGMKDDKEEEEDGTGSPRLNDR
+>tr|F1MF39|F1MF39_BOVIN Thrombospondin type 1 domain containing 7B OS=Bos taurus OX=9913 GN=THSD7B PE=4 SV=3
+MFPKYNLAVTGWVWRSMRKLFLLLSLLLSHAAHLEGKKDNQFIWKPGPWGRCTGNCGPGG
+SQSRALWCFHSEGWTSHLSNCDEHNRPPKERSCFRVCDWHRDLFQWEVSDWHHCVLAPPA
+LGPARPRAAACVTAQHGLQHRTVHCVQKLNRTMVVNEICEHFAPQPPTEQACLIPCPRDC
+VVSEFSPWSTCSNGCGKQLQHRTRSAIALPLYGGSQCPNLTESRACDAPISCPLGAEEYT
+FSLKVGPWSKCRLPPLKELNLSGRTVLDFSSESKELVTFRHHSYKAHHHSKSWDIEIGFQ
+TRQVWCTRSDGRNAVLSLCMQDSFPLTVQSCIMPKDCETTEWSSWSPCSKTCRSGSLSPG
+FRSRSRDVKHVALGDGKDCPELIEKEACVVEGELLHLCPRYSWKTSEWKECQVSLLLEQQ
+YLHWHETGPICGGGIQTREVYCAQSTPASTAQRAKEVSRPVAKTLCLGPAPSASQLCNIP
+CSTDCIMSSWSAWGLCVHENCRDPQGRKGFRMRQRHVLMEPTGPAGRCPHLVESVPCEDP
+VCYQWLTSEGICIPDHGKCGPGHRILKAVCQNEQGEDVSGSLCPASPPPEQMACEIPCRM
+DCVVSEWTEWSSCSQSCSNKNSDGKQTRSRTILALAGEGGKPCPPSQALQEYRSCNDHSC
+MQLYWETSPWGPCSEDTLVTALNATIGWNGEATCGVGIQTRRVFCVKSHVGQVMTKRCPE
+STRPETVRACLLPCKKDCLVTAFSEWTPCPRLCQPGNATIKQSRYRIIIQEAANGGQECP
+DTLFEERECEDVSLCSTYRWRPQKWSPCVLVPESVRQGLIGTSETCGKGVQIRAVSCISD
+NNQSAEMTECLKQMNSMPPLTQECTVPCRNDCTFTAWSKFTPCSANCETTRSRRRQLTGK
+SRKKEKCQDADLYPLVETEPCPCDVFTSHPYGNWSDCILPESRKEAHRGLRLQGDTKECG
+QGLRFRAVACSDKNGRPVDPVHCNSSGYIQEECVIPCPFDCKLSDWSSWGSCSSSCGIGV
+RIRSKWLKEKPYNGGRPCPKLDLKNQVHEAVPCYSECNQYSWVVEHWSPCKIHNELRSPR
+CGGGTQSRKIRCMNAADGEGGAVDNSLCSQDAIPPETQSCSLLCPNECVMSEWGHWSKCP
+QSCDPHTMQRRTRHLLRPSLSSRTCAEDSQVRPCLLNENCFQFQYNLTEWSTCQLSENAT
+CGQGIRTRLLSCVRSDGKPVAMDECEQRNLEKPQRTNLHCLVECVVNCQLSGWTAWTECS
+QTCGLGGMSRTRFILMSTQGEGRPCPTELTQHKTCPMTPCYSWVLSNWSACKLEGGDCGE
+GVQTRSLSCVVHNGSISPWTVRVDDALCREMPFQDSVLKQPCSVPCPGDCHLTEWSEWST
+CELTCIDGRSFETLGRQSRSRTFIIQSFENQDSCPQQVLETRPCTGGKCYHYTWKASLWN
+NNERTVWCQRSDGINVTGGCSPQARPAAIRQCNPACRKPFSYCTQGGVCGCEKGYTEIMR
+SNGLLDYCMKVPGSEDKKADVKNLAGKNRPVNSKIHDIFKGWSLQPLDPDGRVKIWVYGV
+SGGGFLIMIFLVFTSYLVCKKPKPHQSTPPQQKPLTLAYDGDLDM
+>tr|E1BDC4|E1BDC4_BOVIN AT-hook transcription factor OS=Bos taurus OX=9913 GN=AKNA PE=4 SV=3
+MASSGTEVHWVEPGLGKGPQRRRWAWAEKGKDADESGTRSSEEEGPFPTASSPELLEDFR
+QAQQCLQPLKWGQDSQPAGCQDPESGESSEAESEEEDEDSPESSNLPLSWLPQQDPQSDM
+TKEDPSEALQGPEVQEAGESSPRLGYETYLSSGGHGSTSPTTLDWGQPAGWVASSKQASG
+DKLPEHSEVNPTADFSSPRSWSSGTVSLNHPSDNLDSTWEGETDVPQPTALAETSPQSPS
+HHLLNPNDRTEGSVALATPTEFQGSSAPLAQSLQCPADRWRAENSSLSCPQPGGQSWKQT
+RTSPKPLPSRFTGSISPPNPSPRPARQDRPPPRPGATLAGHSSSDAPKYGRGRLNYPLPD
+FSKVGPRVKFPKDESYHPPKARSHSRLPEGPAKPLIFKSPAEIVREVLLSSGDVCPGKNP
+PPTHPITRVPQEFQTPEQATKLVHQLQEDYHKLLTKYAEAENTIDQLRLGAKVNLYSDPP
+QPSHSIHTGTMPQGTKVLSFTIPQPHSVKWYVGPAEGPQASEASGSPSAGGDPRPSSPSS
+MPTPGWLQEDAGGIAQDQPSVEQAQELASQASRFLTKVESFIELIHAGWLTPQDQLKVFQ
+QLKAAHAALEEDYLKACREWHLSQQLAGSKGTPRRFDPDREVEAEIFQLGIRLDELKDLM
+DHNEQEPGQVGSDLALDSPPATPSPHQPTDLPSPLEQTRTSAIQTLGPEPDATSTGPHLL
+PMNSDLSAPSNEAEDGPLGLPAPLRHKELRVEQDFHGLLERYLSVKSLPEALRMEEEEAE
+GQGRTLGFDRPDPAPGKAVAPRLPTRQPPAQAERSHGVPLEETMEQMAPVKPADVCASVT
+RDGHLQGLGKAEEVPPGHSRPPHPRGPKSATSHQSSLASLEGSGISERLPPKSLHQAGGP
+PLEEPWMASPETDSGFVGSETSRVSPLTQTPEHRLSQISTPGTLVRSFTLPVPQDAASHR
+QTKGLPVPRRTSEPSGPRSRAQRPPSGQDSPLQQRAPSFCLERALASEMAVPGSEFKGRK
+RIPEPILPSATISPPPTPAPVAATPPRGPAEITSTFFLTRTGRDQAIRELQDEVSRLRLR
+LEDSLHQHPQGRPTRSVSSCDRPTRARERSGDSSAAWSSHYVSKSMERSSGEPGGAEQAV
+PAGRRRARSSSVPREEPRLSLSSESEPASPRLFSERGRTTENSPQAARDGTKGVSSTGRP
+NRVTFRGQYTGQEYYVLTPKTVPRSSVPVSCPHCRPPRMEDPGDAVTRDPLGPSGSETLR
+CPLCSQVGSPSEGDGPDSATSAPEKAATSRNAPSTSSPKQRSKRAGSPPRPPPGLWYLAV
+APSAPAPPAFAYISSVPVLPYPSATVYYAPPAPTSAPSVRAAAEWPPAACSRPARGSRHS
+VQLDLKDLEELNKALSRAVEAAESVRSTTQHMSRSLSAELRQARSLRGSCLF
+>tr|F1MRK0|F1MRK0_BOVIN Pleckstrin homology domain containing B1 OS=Bos taurus OX=9913 GN=PLEKHB1 PE=4 SV=2
+MALVRGGWLWRQSSILRRWKRNWFALWLDGTLGYYHDETAQDEEDRVLIHFNVRDIKIGQ
+ECHDVQPPEGRSRDGLLTVNLREGSRLHLCAETRDDAIAWKTALLEANSTPAPAGATVPP
+RSRRVCPKVRCVTRSWSPCKVERRIWVRVYSPYQDYYEVVPPNAHETTYVRSYYGPPYVG
+PGVTHVVVREDPCYSAGAPLAMGMLAGAATGAALGSLMWSPCWF
+>tr|A0A3Q1M6A4|A0A3Q1M6A4_BOVIN Phospholipid-transporting ATPase OS=Bos taurus OX=9913 GN=ATP10D PE=3 SV=1
+MQPGSHRILDMTELLQWARYHWQRPIGRTNTDDDERPYSYSSLLACGGKSSQTPRLAGKH
+RVVVPHLQLFKEEYEKFSGTYVNNRIRTTKYTLLNFVPRNLFEQFHRKEKKYVDRCWKDV
+TVGDFIHLSCNEVIPADMVLLFSTDPDGICHIETSGLDGESNLKQKQVVRRYAEQDSEVD
+PEKFSSRIECESPNNDLNRFRGFLEHSNKERVGLSKENLLLRGCTIRNTEAVMGIVVYAG
+HETKAMLNNSGPRYKRSKLERRANTDVLWCVLLLVVMCLTGALGHGIWLSRYENIPFFNI
+PEPDGHSLSPVLAGFYMFWTMIILLQVLIPISLYVSIEIVKLGQVYFIQSDVDFYNEKMG
+STVQCRALNITEDLGQIQYLFSDKTGTLTENKMVFRRCSVAGFDYCHEENAKRLESYQEA
+ASEDEDSADTPSGSLSNMAKPRALSCRTVHSRPLGSISSNHLTGSCFALESREGASEVPH
+SRQAAFSSPIETDVVPDTRLLDKFSQITPLLFTPTDETIPDPPLETLYIIDFFIALAICN
+TVVVSAPNQPRQKVGLSSLRGIPIKSLEEIKNLFQRLSVRRSSSPSLASGKEPSGVPNAF
+VSRLSLFNRMKPASPVEEEISQTSESLQGSDDSACPTEIEKQNSDAGIANGKVEALPGQP
+LTSSLCYEAESPDEAALVYAARAYQCTLQSRTPEQVVVDFAALGPLTFQLLHILPFDSVR
+KRMSVVVRHPLSNQVVVYTKGADSAIMELLSVASPDGPGLEKQQMMIREKTQKHLDDYAK
+RGLRTLCIAKRVMSDTEYAEWLRNHFLAETSIDNREELLLESAMRLENKLTLLGATGIED
+RLQEGVPEAIEALHKAGIKIWMLTGDKQETAVNIAYACKLLEPNDKLFVLNTESKNACEM
+LMDTILKELQKNPASPEQASLSASLHQPPPTLQGSGLRAALIITGKTLEFALQESLQKQF
+LELTARCQAVVCCRATPLQKSEVVKLVRSHLRVMTLAIGDGANDVSMIQVADIGIGISGQ
+EGMQAVMASDFAVSQFRHLSKLLLVHGHWCYTRLSNMILYFFYKNVAYVNLLFWYQFFCG
+FSGTSMTDYWVLIFFNLLFTSAPPVIYGVLEKDVSAETLMQLPELYRSGQRSEAYLPLTF
+WITLLDAFYQSLVCFFVPYYTYQGSDIDIFTFGNPLNTAALFVILLHLVIESKSLTWIHM
+LVIAGSILCYFCFALAFGAMCVTCNPPSNPYWIMQEHMADPVFYLVCVLTTCVALLPRFI
+YRVLQGSLFPSPILRAKHLDRLPAEERIEALKKWRGTGQMDQLTSKYADHSAVESERIPI
+YDPSAVFAVMSATACAVEKGNLPVCETALDSDFSETKASGMAGPSKD
+>tr|A0A3Q1LGY7|A0A3Q1LGY7_BOVIN BicC family RNA binding protein 1 OS=Bos taurus OX=9913 GN=BICC1 PE=4 SV=1
+MAAQGEPGYLAAQSDPGSNSERSTDSPMPGSEDDLVAGAPLHSPEWSEERFRVDRKKLEA
+MLQAAAEGKGKSGEDFFQKIMEETNTQIAWPSKLKIGAKSKKDPHIKVSGKKEDVKEAKE
+MIMSVLDTKSNRVTLKMDVSHTEHSHVIGKGGNNIKKVMEETGCHIHFPDSNRNNQAEKS
+NQVSIAGQPAGVESARVRIRELLPLVLMFELPIAGILQPVPDPNSPSIQHISQMYNISVS
+FKQRSRMYGATVIVRGSQNNTSAVKEGTATLLEHLAGSLASAIPVSTQLDIAAQHHLFMM
+GRNGSNIKHIMQRTGAQIHFPDPSNPQKKSTVYLQGTIESVCLARQYLMGCLPLVLMFDM
+KEEIEVDPQFIAQLMEQLDVFISIKPKPKQPSKSVIVKSVERNALNMYEARKCLLGLESS
+GVSIATSPSPASCPAGLACPSLDILASAGLGLTGLGLLGPTTLSLNTSATPNSLLNALNS
+SVSPLQSPSSGTPSPTLWAPPLGNTSSATGFSAIPHLMIPSTAQATLTNILLSGVPTYGH
+TAPSPPPGLTPVDVHINTMQAEGKKISAALNGHTQSPSLKYGAISTSSLGEKVLSANHGD
+PSRQTAGSEQTSPKSNPTEGCNDAFVEVGMPRSPSHSGNAGDLKQMMGPSKVACAKRQTV
+ELLQGTKNSHLHSTDRLLSDPELSAAESPLADKKAPGSERAAERAAQQNNERARLAPRPS
+YVNMQAFDYEQKKLLATKAMLKKPVVTEVRTPTNTWSGLGFSKSMPAETIKELRRANHVS
+YKPTMTTTFEGSSMSLSRSNSREHLGSGSESDNWRDRNGIGPASHGEFAASVGSPKRKQN
+KSTEHYLSSSNYMDCISSLTGSNGCNLSSSFKGSDLPELFSKLGLGKYTDVFQQQEIDLQ
+TFLTLTDQDLKELGITTFGARRKMLLAISVCDSVQIRNKILRAARIV
+>tr|A0A3Q1MGG5|A0A3Q1MGG5_BOVIN Protein MRVI1 OS=Bos taurus OX=9913 GN=MRVI1 PE=4 SV=1
+MGLDLTCPFGVSSACGAQASWSIFGADAAEVPGTRSHSRQEAAMPHIPEDEEPPGEPQAA
+QSPAGQDPATTGISCSPPTIILTGDASSPEGETDKNPVNRAHSPHRRLSHRHLKVSTASL
+TSVDPAGHVIDLVNDQLPDISISEEDKKKNLALLEEAKLVSERFLTRRGRKSRSSPGESS
+PAVSPNLSPGASPASSQSNSLTVPTPPGLDVCSGPPSPLPGAPPQKGDEAEVPSPHLGES
+NVLKGLADRKQNDQRTLSQGRLTARSPTVEKSKEITIEHKENFDPLQRPEAIPKGPASGP
+GSGGKMALNSPQPGPVESELGKPLAKTAKEGNPLPRGPTQGSGGVAPQASQGKSTVGEPA
+GSKVGSKAELWPPTSRPPLLRGVSWDSGPEEPGPRLQKVLAKLPLAEEEKRFTGKAGSKL
+AKAPGLKDFQIQVQPVRMQKLTKLREEHILLRNQNLVGLKLPELSEAAEQEKGHPSELSS
+AIEEEESKGGLDVMPNISDVLLRKLRVHKSLPGSAPPLTEKEVESSASLWHHCEHRETYQ
+KLLEDIAVLHRLAARLSSRAEMVGAVRQEKRMSKATEVMMQYVENLKRTYEKDHAELMEF
+KKLANQNSSRSCGPSEDGVPRTARSMSLSLGKNMPRRRVSVAVVPKFNILNLPGQSPSSS
+PIPSLPALSESSNGKGNPPVSSALPALLENGKTNGDPDCEASASVPTPSCLEGISQEAKA
+RMEEEAYNKGYQEGLKKTKELQGLREEEEEQKSESPEEPEEAAETEEEEKEQRSRLQPSQ
+LLTWASVPFTAQHPWRGQSKAVQGPTNLKNWFISYKSCIPNCVSIGKSSG
+>tr|E1BQ36|E1BQ36_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC100299117 PE=3 SV=2
+MDNANNVTEFILLGLSQNPKMQKIIFVVFLVVYIVSMVGNVFTMVTIITSPLLGYPMYYF
+LAHLSFIDACYSCVSTPKVIIDSFYEKKTSPFNECMTQVFGEHIFGGADVILLTVMAYDR
+YVAICKPLHYTTIMNRRLCGLLVGVAWVGGIIHSTIQLLFIISLPFCGPNVIDHFMCDLN
+PLLYLACTDTHILGFFVPANTGLICLSNFLLLIGSYVIILRSLRAHSLQARRKALSTCVS
+HITAVILFLVPCLFVYLRPTVTLPVDKAVSVFYTMITPMLNPLIYTLRNDQMKSAIRKLC
+SRKVISGDA
+>tr|G3MWZ7|G3MWZ7_BOVIN BTB domain-containing protein OS=Bos taurus OX=9913 GN=KCTD8 PE=4 SV=2
+MALKDTGSGGGTILPISEMVSSSSSPGASSAATPGPCVPSPFPEVVELNVGGQVYVTKHS
+TLLSVPDSTLASMFSPSSPRGGARRRGELPRDSRARFFIDRDGFLFRYVLDYLRDKQLAL
+PEHFPEKERLLREAEYFQLTDLVKLLSPKVTKQNSLNDEGCQSDLEDNVSQGSSDALLLR
+GAAAAAPSGPGAHSSGSAQDKRSGFLTLGYRGSYTTVRDNQADAKFRRVARIMVCGRIAL
+AKEVFGDTLNESRDPDRPPEKYTSRFYLKFTYLEQAFDRLSEAGFHMVACNSSGTAAFVN
+QYRDDKIWSSYTEYIFFRPPQKIVSPKQEHEDRKHDKVTDKGSESGTSCNELSTSSCDSH
+SEASTPQDNPPSAQQATAHQPNTLTLDRPSKKAPVQWMPPPDKRRNSELFQTLISKSRET
+NLSKKKVCEKLSVEEEMKKCIQDFKKIHIPDYFPERKRQWQSELLQKYGL
+>tr|F1MRF5|F1MRF5_BOVIN Acyl-coenzyme A oxidase OS=Bos taurus OX=9913 GN=ACOX2 PE=1 SV=1
+MEGNPVHRVSAGDAWSSSMHPDIESERHSQSFNVEELTNILDGGAQNTALRRKVESIIHS
+EPELSLKDNYFMTQNERYEAAIKKKFHILMLAQRLGWSEGSSELQYASRSVSGDLGFAIH
+HIFQKTIRSLGSEEQIAKWDPLCSKFQILGTYAQTELGHGTYLQGLETEATYDAATQEFV
+VHSPTMTAIKWWPGDLGRSATHALVQAQLICSGARQGMHAFIVPIRSLDDHSPLPGITIG
+DIGPKMDFEHTDNGFLKLDHVRIPRENMLNRFAQVLPDGTYVKLGVPKSNYLSMVVVRVD
+ILLGEVLPLLQKACTIAVRYAVIRRQSRLRPSDPEAKVLDYQTQQQKLFPPLAMAYAFHF
+VANNLLEFFHHSYSFILDGDFALLPELHALSAGLKAMLSDFCTQGVEQCRRACGGHGYSK
+LSGLPSLLTRVTASCTYEGENTVLYLQTARFLIKSYLNTQKSPGSASKGSLPQSVAYLTA
+PDLARCPAQTAADFLQPELYTTAWAHVAVRLIKDSVHHLQTLRQAGADEHDAWNQTTVIH
+VQATKAHLYYVTVKIFTEALEKLESQPAIHQVLKRLSDLYALHGILTNAGDFLHDGFLSG
+AQIDMARKAYLDLLPFIRKDAILLTDAFDFTDQCLNSALGCYDGHAYERLFQWAQKSPTN
+TEGNPAYEKYIRPLLQGRRARL
+>tr|A0A3Q1NJK6|A0A3Q1NJK6_BOVIN Alpha-(1,6)-fucosyltransferase OS=Bos taurus OX=9913 GN=FUT8 PE=3 SV=1
+MNLHLENVIVSCRIPEGPIDQGPASGRIRALEEQLVKAKEQIENYKKQTRNGLGKDHEIL
+RRRIENGAKELWFFLQSELKKLKNLEGNELQRHADEFLSDLGHHERSIMTDLYYLSQTDG
+AGDWREKEAKDLTELVQRRITYLQNPKDCSKAKKLVCNINKGCGYGCQLHHVVYCFMIAY
+GTQRTLILESHNWRYATGGWETVFRPVSETCTDRSGVSTGHWSGEIKDKNVQVVELPIVD
+SLHPRPPYLPLAVPEDLADRLVRVHGDPAVWWVSQFVKYLIRPQPWLEKEIEEATKKLGF
+KHPVIGVHVRRTDKVGTEAAFHPIEEYMVHVEEHFQLLARRMQVDKKRVYLATDDPSLLK
+EAKTKYPHYEFISDNSISWSAGLHNRYTENSLRGVILDIHFLSQADFLVCTFSSQVCRVA
+YEIMQTLHPDASANFHSLDDIYYFGGQNAHNQIAIYPHEPRTADEIPMEPGDIIGVAGNH
+WDGYSKGVNRKLGRTGLYPSYKVREKIETVKYPTYPEAEK
+>tr|A0A3Q1NN59|A0A3Q1NN59_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LOC618071 PE=4 SV=1
+MGKFCTTPGQLSLLAALVPRLVQAQPLAQRKSWLVGLGAALAFLFLIFVLTLVYAVWCRE
+SQDSSEEKEEETVRKEKGEDDWGLELEETEGPLNHEAMASSST
+>tr|F6RTS6|F6RTS6_BOVIN Solute carrier family 22 member 31 OS=Bos taurus OX=9913 GN=SLC22A31 PE=4 SV=1
+MEHEARVLRLAGGFGRARCLLAAASWLPCVALGLALGSELLLTALPAHHCGSDPARAPST
+CLPLSYPESVPRAGSDGARPCSRGWYYAQPAADLLRSPVTQRNLVCEDGWKVPLEQVDHL
+LGRLLGCVLLGLGCDWFGRRAVFVGSLVLATGLGVGEALVASFPALLTLRLLRGGALAGA
+SLALYVARLELCDPPNRLAFSMGAGLFSVVGTLLLPGLALLAQDWQLLQGLSALATGLLL
+LFWGFPAMFPESPCWLLATGQPARARRILWHFAKASGVDPENSTEEESSLAMELDVLCAG
+HPQPRHHSVLELRHTHVTWRNALILGFSSLISGGIRASFLHSLVPSEPSFYWPYFLAAGL
+EAAATVFLLLTADLWGRRSVLLLSTLVLVLASQLLLAGAQYLPSWMVLFLSVLGLLASQT
+VSALSSLFSAEIFPTVIRGAGLGLVLGAGFLGQAAVPLTTMHGRHGFFLQHVLFASFALL
+ALLCVLLLPESRGRTLPQSLQEADRLCRSPLLRGCPRQDLLPLLPASLPGAGTQLAQEG
+>tr|G3MYC7|G3MYC7_BOVIN RAD18, E3 ubiquitin protein ligase OS=Bos taurus OX=9913 GN=RAD18 PE=4 SV=1
+MDSLAEPRWPPALAVMKKVDDLLRCGICFEYFNIAMMIPQCSHNYCSLCIRKFLSYKTQC
+PTCCVTVTEPDLKNNRVLDELVKNLNFARNHLLQFALESPPISAASSSSKNLATKADTAV
+AFRHSLKQGSKLMENFLIRESGGSASELLIKENESKLSPQKKLSSPAKTRGTPSAVKSAP
+GSSEDSVLETPSTSALKQVTKVDCPVCGVKIPENHINKHLDSCLSREEKKESLRSSVHKR
+KPLPKTVYNLLSDRDLKKKLKQHGLSVQGNKQQLIKRHQEFVHMYNAQCDALHPKSAAEI
+VQEIENMEKTRMRFEASKLNESVMVFTKNQTEKEIDEIHSKYRKKHQDEFHLLVDQAKKG
+YKKTVGMSKRKATKGDESTEKLSSVCVGQEDNKIKSSDTPSVETNHFRQSKLDFPGKLER
+SRQDDSFSCTDIQEEALSSSESDSFKRNDFQDREISPGQNRRTRSAESAELEPRNKRNRN
+>tr|F1MPE4|F1MPE4_BOVIN Lysine demethylase 4C OS=Bos taurus OX=9913 GN=KDM4C PE=4 SV=3
+MEVAEVESPLNPSCKIMTFRPTMEEFREFNRYLAYMESKGAHRAGLAKVIPPKEWKPRQC
+YDDIDNLLIPAPIQQMVTGQSGLFTQYNIQKKAMTVKEFRQLANSGKYCTPRYLDYEDLE
+RKYWKNLTFVAPIYGADINGSIYDEGVDEWNIARLNTVLDVVEEECGISIEGVNTPYLYF
+GMWKTTFAWHTEDMDLYSINYLHFGEPKSWQVACLIFIYGFFPVFLADSSQGCDAFLRHK
+MTLISPSVLKKYGIPFDKITQEAGEFMITFPYGYHAGFNHGFNCAESTNFATVRWIDYGK
+VAKLCTCRKDMVKISMDIFVRKFQPDRYQLWKQGKDIYTIDHTKPTPESTPEVKAWLQRR
+RKVRKASRSFQCTSSHSKRPKNEEDEKLSAIVGGAEGPAPDPDPGDLKDDGKPEEAVKLA
+NTEAPSEGEASVSRMQLDHNLSDNIRFSGNVCLNTSVAEKIKAEAEQTCAAIPPSNLSEA
+DESIFSGQVTSEESEPCKLPWPKSPESYSSVAESSSALTEEEESDVESRGNGLEPGEVPA
+VPSGQRNGFKVPSRTEGETKTAKSWRHPLSKPPARSPMTLVKQQATSDEDLPEVPSIEEE
+VEETESWAKPLVHLWQTKSPNFVAEQEYNTAMGRMEPHCAICALLMPYYKPDSSNEENDS
+RWETKLDEVVTSGGKTKPLIPEMCFIYSEENIEYSPPNAFLEEDGTSLLISCAECRVRVH
+ASCYGIPSHEICDGWLCARCKRNAWTAECCLCNLRGGALKETKNNKWAHVMCAVAVPEVR
+FTNVPERTQIDVGRIPLQRLKLKCMFCRHRVKKVSGACIQCSYGRCPASFHVTCAHAAGV
+LMEPDDWPYVVNITCFRHKINPNVKSKAGEKGISVGQTVITKHRNTRYYSCRVIAVTAQT
+FYEVVFDDGSFSRDTFPEDIVSRDCVKLGPPAEGEVVQVKWPDGKLYGAKYLGSNVAHMY
+QVEFEDGSQIAMKREDIYTLDEELPKRVKARFVTETHSGLWTDFLGSQCIFSLIEIYLIQ
+SKYI
+>tr|E1BME4|E1BME4_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=3
+MSPTATLTIHNVEYTGHPLELALLLNYCIICNTSKTIYLVIHNEDTVQWVFQDFALNVSI
+DTFLIPYFLYSAMPELLLWDKHRIYYYYHNFTDTGVLQTTTEFGNLSRLSQNSIIHNVLM
+DYYGNIVVKMENNVMFYFKIKIKDAIKLHLWTNSTVKSLISFKPSGNMYLIYVFENGEIY
+PEEYPLQLEAQSITFKTKEKCPYMAFHNDIFHFSYLLDKGQNLSLWAQIVYPENIGLYIV
+VESYGPKILEIKDQIQYEIALGHCSKTTSFVCVVSPRYENTGLLLVHVRPSELAKTCPIS
+QKASNRVEDQKIFFNKIQILSNKKKFFYRSYLRDRPSKPLRVKYNWDKYGCPLKVDFREK
+FHPLVQLYNDNGYVEDVEVNFIVWEIHGRDDYSFNNTMKKSGCLNEAQTWNSMIELNKHL
+PLEEVWGPENYKHCFSYAIGKPGDLTQPYEIINKSNYNHLVWPLDHSGMYVFRVKILDPN
+YSFCNLTTIFAIETFGVIPSPNAYMVAAFLFLLMLLFFSTLILSYFHYMRIYKKHIYEPL
+HKSKRKKKKN
+>tr|A0A3Q1MJI1|A0A3Q1MJI1_BOVIN WAP domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MGFLRVLPILILFMLLGGVQEPGLVEAFFLRHCPRNRVRCEIQERNLCTNSRDCPKKMKC
+CQFSCGKKCLDVDKEDLVHQALFIH
+>tr|A0A3Q1LYM8|A0A3Q1LYM8_BOVIN Eukaryotic translation initiation factor 4 gamma 3 OS=Bos taurus OX=9913 GN=EIF4G3 PE=4 SV=1
+MNSQPQTRSPPSRTVPIHCTDNWKRRKVLEQTPVYRSLAGRGWIKYCIFFQRPQIQPPRA
+TIPNSSPSIRPGAQTPTAVYQANQHIMMVNHLPMPYPVPQGPQYCIPQYRHSGPPYVGPP
+QQYPVQPPGPGPFYPGPGPGDFPSAYGTPFYPSQPVYQSAPIIVPTQQQPPPAKREKKTI
+RIRDPNQGGKDITEEIMSGGGSRNPTPPIGRPTSTPTPPQQLPSQVPEHSPVVYGTVESA
+HLAASTPVTAASDQKQEEKPKPDPVLKTPSPVLRLALSGEKKEQAGPTSEITAVESIPEL
+PLPPSPTAISPLARSTITSPTSVALSSQPIFTTAIDDRCELSSSKEDTIPIPSPTSCTEA
+SDPLPTDEIDDDICKKSCSVAPNDIPLISSTNLINEMNGVNEKLPATESIVEIVKQEVLP
+LTLELEILENPPEEIKVECVPTPITPSIVPSSSPSPPAPPASPSPTPVIVPAAATSVNTG
+RGSTGVQRAFEEGENIRTCLSEDAKEIQNKVEVEADGQTEEIVDSQNLSSRKSPVPAQTA
+IPAPKMWKKPKDRTRATEESLEAESEPKAEEELSGDKILESEQDKMSQGFHPERDPSDLK
+NVKTVEENGEEAEPVRNGAESISEGEGIDANSGSTDSSGEGVTFPFKSESWKPPDSEGKK
+QYDREFLLDFQFMPACIQKPEGLPPISDVVLDKINQPKLPMRTLDPRILPRGPDFTPAFA
+DFGRQAPGGRGVPVCKVQSRHGLPILEQSKAPASTPLAMSHPPLKSLPLGLLNVGARRSQ
+PGQRREPRKIITVSVKEDVHLKKAENAWKPSQRRDSPAEDPENIKTQELFRKVRSILNKL
+TPQMFNQLMKQVSGLTVDTEERLKGVIDLVFEKAIDEPSFSVAYANMCRCLVTLKVPMAD
+KPGNTVNFRKLLLNRCQKEFEKDKADDDVFEKKQKELEAASAPEERTRLHDELEEAKDKA
+RRRSIGNIKFIGELFKLKMLTEAIMHDCVVKLLKNHDEESLECLCRLLTTIGKDLDFEKA
+KPRMDQYFNQMEKIVKERKTSSRIRFMLQDVIDLRLCNWVSRRADQGPKTIEQIHKEAKI
+EEQEEQRKVQQLMTKEKRRPGVQRVDEGGWNTVQGAKNSRVLDPSKFLKITKPTIDEKIQ
+LVPKAQLGSWGKGSSGGAKASEADALRSSASSLNRFSALQPPAPSGSASSTPLEFDSRRT
+LTSRGSTGREKNDKPLQPAAARPNTFMRGGSSKDLLDNQSQEEQRREMLETVKQLTGGMD
+VERSSAEAERSRTREPVTKPEIPVTSASDKPALSEEEMERKSKSIIDEFLHINDFKEAMQ
+CVEELNAQGLQHVFVRTGVESTLERSQITRDHMGQLLYQLVQSEKLSKQDFFRGFSETLE
+LADDMAIDIPHIWLYLAELVTPMLKEGGISMRQLITEFCKPLLPVGRAGILISEILHLLC
+KQMSHKKVGALWREADLSWKDFLPEGEDVHNFLLEQKLDFLESDSSCSSEALLKKELSAE
+ELYKRLEKLIIEDKANDEQIFDWVEANLDESQMSSPTFLRALMTAVCKAAIIADCSTFRV
+DTAVIKQRVPILLKYLDSDTEKELQALYALQASIVKLDQPANLLRMFFDCLYDEEVISED
+AFYKWESSKDPAEQNGKGVALKSVTAFFTWLREAEEESEDN
+>tr|A0A3Q1N7P1|A0A3Q1N7P1_BOVIN Phosphatidylinositol 4-kinase beta OS=Bos taurus OX=9913 GN=PI4KB PE=3 SV=1
+MGDTIVEPAPLKPTSEPAPGPPGNNGGSLLSVITEGVGELSVIDPEVAQKACQEVLEKVK
+LLHGGVAISSRGTPLELVNGDGVDSEIRCLDDPPAQIREEEDEMGATVASGTAKGARRRR
+QNNSAKQSWLLRLFESKLFDISMAISYLYNSKEPGVQAYIGNRLFCFRNEDVDFYLPQLL
+NMYIHMDEDVGDAIKPYIVHRCRQSINFSLQCALLLGAYSSDMHISTQRHSRGTKLRKLI
+LSDELKPAHRKRELPSLSPAPDTGLSPSKRTHQRSKSDATASISLSSNLKRTASNPKVEN
+EDEELSSSTESIDNSFSSPVRLAPEREFIKSLMAIGKRLATLPTKEQKTQRLISELSLLN
+HKLPARVWLPTAGFDHHVVRVPHTQAVVLNSKDKAPYLIYVEVLECENFDTTSVPARIPE
+NRIRSTRSVENLPECGITHEQRAGSFSTVPNYDNDDEAWSVDDIGELQVELPEVHTNSCD
+NISQFSVDSITSQESKEPVFIAAGDIRRRLSEQLAHTPTAFKRDPEDPSAVALKEPWQEK
+VRRIREGSPYGHLPNWRLLSVIVKCGDDLRQELLAFQVLKQLQSIWEQERVPLWIKPYKI
+LVISADSGMIEPVVNAVSIHQVKKQSQLSLLDYFLQEHGSYTTEAFLSAQRNFVQSCAGY
+CLVCYLLQVKDRHNGNILLDAEGHIIHIDFGFILSSSPRNLGFETSAFKLTTEFVDVMGG
+LDGDMFNYYKMLMLQGLIAARKHMDKVVQIVEIMQQGSQLPCFHGSSTIRNLKERFHMSM
+TEEQLQLLVEQMVDGSMRSITTKLYDGFQYLTNGIM
+>tr|E1BCC9|E1BCC9_BOVIN Small nuclear ribonucleoprotein Sm D1 OS=Bos taurus OX=9913 GN=SNRPD1 PE=3 SV=3
+MKLVRFLTKLSHETVTIELKNGTQVHGTITGVDVSMNTHLKAVKMTLKNREPVQLETLSI
+QGNNIRYFILPDSLPLDTLLVDVEPKVKSKKREAVAGRGRGQGRGRGRGQGRGRGRGQGR
+GRGRGRGRGRGGPR
+>tr|F1ME74|F1ME74_BOVIN Adhesion G protein-coupled receptor F4 OS=Bos taurus OX=9913 GN=ADGRF4 PE=3 SV=2
+MKSQATTICCLILILATEYSQSRFHIRAKDGDKLQGPERKPKTGRTQEKCHGPCSTSSNC
+SQPCAQHFHGEIGFICNGNKWQKSTETCTSLSVETLFMDSNSASRLSVAAPSITLHILDY
+QTPEPIRSVAQGIQKNCPLDYACIINAVKSSEATSGNIAFIVELLKNISTDLSDNVTREK
+MKSYSKVANHILDPAVIPNWAFIPDKNVSSDLLQSVNWFARQLHIQNEPEHIVDEFFIQT
+KGFPINNNTSERSLRFSMSLNNTVEGILGIIEIPRQELWKLPPDASQAVGIAFPTLGAVL
+KEAHLENTRLPRLVNGLVLSVILPEKLEQILFTFEKINKSQNARAKCVGWHSRKRRWDEN
+VCETKLDTKNRVQCRCNYTNVMVSFSILMSPISVDNKVLDYITCIGLSVSILSLILCLMI
+EATVWSRVVMTDISYMRHVCIVNIAVSLLTANVWFILGSNFNKKAQDYNWCVAVTFFSHF
+FYLCLFFWMLFKALLIIYGLLVVFRRMMKSRMMAIGFAIGYGCPLVIAATTVAITVPGKG
+YVRRGACWLNWDDTKALLAFAVPALVIVAVNLIVVLVVAINTQRPSIGSSKSQDVAIILR
+ISKNVAILTPLLGLTWGFGIATLIEGTSLIFHIIFALLNAFQGFFILLFGTIMDHKIRDA
+LRMRMSSLKGQSRVAENASLSPTYGSKLMNR
+>tr|E1B6Y4|E1B6Y4_BOVIN Olfactory receptor OS=Bos taurus OX=9913 GN=LOC617119 PE=3 SV=3
+MLARNDSLVIEFILAGLTDRPELQQPLFHLFLMIYVVTMVGNLGLIILIGLNSHLHTPMY
+YFLFNLSFIDLCYSSVFTPKMLMNFVFRENTVSYVGCMTQLFFFLFFVISECYMLTSMAY
+DRYVAICNPLLYKVSMSHHVCLVLSLAAYVMGFAGASVHTGCMLRLTFCNVNVINHYLCD
+ILPLLQLSCTSTYVNEVVVLIVVGINITVPSFTILISYIFILTSILHIKSSQGRSKAFST
+CSSHVIALFLFFGSAAFMYLKYSSPESMDLGKISSVFYTNVVPMLNPLIYSLRNKDIKVT
+LRKSLFKIQQTFGLCGRGRVGDDLGE
+>tr|A0A3Q1M211|A0A3Q1M211_BOVIN Methyl-CpG-binding protein 2 OS=Bos taurus OX=9913 GN=MECP2 PE=4 SV=1
+MVAGMLGLREEKSEEQDLQGLKDKPLKFKKVKKDKKEDKEGKHEPLQPAAHHSAEPAEAG
+KAETSEGSGSAPAVPEASASPKQRRSIIRDRGPMYDDPTLPEGWTRKLKQRKSGRSAGKY
+DVYLINPQGKAFRSKVELIAYFEKVGDTSLDPNDFDFTVTGRGSPSRREQKPPKKPKSPK
+APGTGRGRGRPKGSGTTRPKAAASEGVQVKRVLEKSPGKLLVKMPFQAAPGSKAEGGGAT
+TSAQVMVIKRPGRKRKAEADPQAIPKKRGRKPGSVVAAATAEAKKKAVKESSIRSVQETV
+LPIKKRKTRETVSIEVKEVVKPLLVSTLGEKSGKGLKTCKSPGRKSKESSPKGRSGSASS
+PPKKEHHHHHHHVEPPKAPAPLLLPPPPPPPEPQSSEDPASPPEPQDLSSSVCKEEKMPR
+AGSLESDGCPKEPAKTQPALATAAPATEKYKHRGEGERKDIVSSSMPRPNREEPVDSRTP
+VTERVS
+>tr|E1BDK6|E1BDK6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=LAMB2 PE=4 SV=3
+MEWVAAGGRGRDLPGQPGPWQLHLGLLLSVLVTALAQAPAPDVPSCSRGSCYPATGDLLV
+GRADRLTASSTCGLRGPQPYCIVSHLQDEKKCFLCDSRRPFSARDNPNSHRIQNVVTSFA
+PQRRAAWWQSENGVPMVTIQLDLEAEFHFTHLIMTFKTFRPAAMLVERSADFGRTWRVYR
+YFSYDCAADFPGVPLAPPRHWDDVVCESRYSEIEPSTEGEVIYRVLDPAIPIPDPYSPRI
+QNLLKITNLRVNLTRLHTLGDNLLDPRREIREKYYYALYELVVRGNCFCYGHASQCAPAP
+GAPAHAEGMVHGACVCKHNTRGLNCEQCQDFYHDLPWHPAEDRHSHACKKCECHGHAHSC
+HFDMAIYLASGNVSGGVCDGCQHNTAGRQCELCRPFFYRDPTKDLRDPAVCRSCDCDPMG
+SQDGGRCDPHDDPALGLVSGQCRCKEHVVGSRCQQCRDGFFGLSANNPLGCQRCQCDPRG
+TVPGGTPCDRNSGACFCKRLVTGQGCNRCLPGHWGLSHDLLGCRPCDCDIGGALDPQCNE
+ATGQCRCRQHMVGRRCEQVQPGYFRPFLDHLTWEAEEPRGQVLDVVERLVTPGGTPSWTG
+PGFVRLQEGQVLEFVVASVPRAMDYDVLLRLEPQVPEQWAEMELTVQRPGPVSARSPCGH
+VLPQDDHIPGTLRPGSRHMVFPRPVCLEPGISYKLHLKLVRTGGSAQPEAPYSGASLLID
+SLVLLPRVLVLEMFSGGDAASLERRATFERYRCHEEGLMPSKPLPSEACAPLLISLSTLL
+YNGALPCQCDPQGSLSSECNPHGGQCRCKPAVVGRRCDLCAPGYYGFGPTGCQACQCSPE
+GALSGLCDATSGQCPCRAGAFGLRCDRCQRGQWGFPSCRPCVCHGHADECDPNTGTCLGC
+RDHTGGEHCERCIAGFHGDPRLPYGGQCRPCPCPEGPGSRRHFATSCHRDGYSQQVVCHC
+RAGYTGLRCEACAPGHFGDPSRPGGGCQPCECSGNIDPTDPDACDPHSGQCLHCLYHTEG
+PRCAHCKPGFHGQAARQSCHRCTCNLLGTDARQCPSTDHCNCDPSSGQCPCLPNVQGLSC
+DRCAPNFWNLTSGRGCQPCACHPSRARGPACNEFTGQCHCRAGFGGRTCSECQELHWGDP
+GLQCRACDCDPRGIDTPQCHRSTGHCSCRPGVSGVRCDQCARGFSGVFPTCHPCHACFGD
+WDRVVQDLAARTRRLEQWTQELQQTGVLGAFESSFRHLQEKLGTVQGIVAARNASAASTA
+QLVEATKELRREIGEATEHLTRLEAELTDVQDDNFNANHALSSLERDGLALNLTLRQLDQ
+HLDLLKHSNFLGAYDTIRQAHSLSAEAERRANMSALIVPSPVSNSADTRHRTESLMSARR
+EDFNRRHIANQRALGELSARTHALSLTGINELVCGSPGDAPCATSPCGGAGCRDEEGQPR
+CGGLSCSGAAAMADLALGRARHTQAELQRALAEGGGILSQVAETRRQAGEAQQRAQAALD
+KAHASRGQVEQANQELRQLIQNVKDFLSQEGADPDSIEMVATRVLELSIPASPEQIQQLA
+GEIAERVRSLADVDTILARTVGDVRRAEQLLNDARRARSRAEGEKQKAETVQAALEEAQR
+AQGAAQGAIQGAVVDTQDTEQTLHQVQERMAGAEQALSSAGERAQQLDGLLEALKLKRAG
+NNLAASSAEETAGSAQDRAREAEQLLQGPLGDQYQTVRALAERKAQDVLAAQARAEQLRD
+EARGLLQAAQDKLQRLQELEGTYEENERALEGKAAQLDGLEARMRSVLQAINLQVQIYNT
+CQ
+>tr|E1BEY2|E1BEY2_BOVIN von Willebrand factor C and EGF domains OS=Bos taurus OX=9913 GN=VWCE PE=4 SV=3
+MWAGLLLRAACVALLLPGTPARGYTGRKTPGHFGAERRRLGPHVCLSGFGSGCCPGWAPS
+MGSGHCTLPLCSFGCGSGICIAPNVCSCQDGEQGPTCPEAHGPCGEYGCDLTCNHGGCQE
+VARVCPVGFSMTETAVGIRCTDIDECLSSSCEGHCVNTEGGFVCECGPGMQLSADRHSCQ
+DTDECLGTPCQQRCKNSIGSYRCSCRTGFHLHGNRHSCVDVNECRRPLERRVCHHSCHNT
+VGSFLCTCRPGFRLRADRVSCEAFPKAVLAPSAILQPLQHPPKMLLLLPEAGRPALSPGH
+SPPSGAPGPPTGVRSTRPPPPMPALSTSSPSAPTPLLSTPVATPVPHPSLLGTLRPPAQL
+QKTAVTPPLPRGPETTRLAPGPSACWHLEATYESGSRWTEPGCSQCWCEDGEVTCEKVTC
+EAACSHPIPSRDGGCCPSCTGCFHSGVIRAEGDVFSPPNQNCTVCVCLAGNVSCISPECP
+PDPCQASPKSDCCTCVPVRCYFHGRWYADGAVFSGGGDECTTCVCQNGEVECSFTPCPEL
+DCPREEWWLGPGQCCYACREPVPMTGCSLDDNGVEFPVGQIWSPGDPCELCICQADGSVS
+CKRTDCVDSCPHPIRIPGQCCPDCSAGCTYTGRIFYNNQTFPSVLDPCLSCICLLGSVAC
+SPVDCPITCSYPFHPDGECCPVCQDCNYEGRKVGNGQVFTLDDEPCTQCVCQLGEVTCEK
+VSCQQACSDRTMPRRDCCSSCPVSLESLEESRALSPRGDVELSKAARSPRGDPEAPINCS
+SCPGPPEVAPQRPALQLLQLLLRMNLSNPQTLPVSPSGAQASPSPSSRPGGPRASQPPQP
+SLGPPIPPGASSLPPASPMAPGPPPLTPALPSSASGAHSASRRPSLPAAIPRGASALSTT
+GPGASETPVTVLRPRRSSPATSRLSAALVATASPGPRQPTLGTSQEEESTV
+>tr|A0A3Q1MPI7|A0A3Q1MPI7_BOVIN Dishevelled associated activator of morphogenesis 1 OS=Bos taurus OX=9913 GN=DAAM1 PE=4 SV=1
+MAPRKRGGRGISFIFCCFRNNDHPEITYRLRNDSNFALQTMEPALPMPPVEELDVMFSEL
+VDELDLTDKHREAMFALPAEKKWQIYCSKKKDQEENKGATSWPEFYIDQLNSMAARKSLL
+ALEKEEEEERSKTIESLKTALRTKPMRFVTRFIDLDGLSCILNFLKTMDYETSESRIHTS
+LIGCIKALMNNSQGRAHVLAHSESINVIAQSLSTENIKTKVAVLEILGAVCLVPGGHKKV
+LQAMLHYQKYASERTRFQTLINDLDKSTGRYRDEVSLKTAIMSFINAVLSQGAGVESLDF
+RLHLRYEFLMLGIQPVIDKLREHENSTLDRHLDFFEMLRNEDELEFAKRFELVHIDTKSA
+TQMFELTRKRLTHSEAYPHFMSILHHCLQMPYKRSGNTVQYWLLLDRIIQQIVIQNDKGQ
+DPDSTPLENFNIKNVVRMLVNENEVKQWKEQAEKMRKEHNELQQKLEKKERECDAKTQEK
+EEMMQTLNKMKEKLEKETTEHKQVKQQVADLTAQLHELSRRAVCASLPGVSSPGAPGGPF
+PSSVPGSLLPPPPPPPLPGGMGPPPPPPLPPGGPPPPPGPPPLGGIMPPPGAPLGLALKK
+KNIPQPTNALKSFNWSKLPENKLEGTVWTEIDDTKVFKVLDLEDLERTFSAYQRQQKEAD
+AIDDTLGSKLKVRELSVIDGRRAQNCNILLSRLKLSNDEIKRAILTMDEQEDLPKDMLEQ
+LLKFVPEKSDIDLLEEHKHELDRMAKADRFLFEMSRINHYQQRLQSLYFKKKFAERVAEV
+KPKVEAIRSGSEEVFRSGALKQLLEVVLAFGNYMNKGQRGNAYGFKISSLNKIADTKSSI
+DKNITLLHYLITIVENKYPKVLNLNEELRDIPQAAKVNMTELDKEISTLRSGLKAVEMEL
+EYQKSQPSQPGDKFVSVVSQFITVASFSFSDVEDLLAEAKDLFTKAVKHFGEEAGKIQPD
+EFFGIFDQFLQAVSEAKQENENMRKKKEEEERRARMEAQLKEQRERERKMRKAKENSEEG
+GEFDDLVSALRSGEVFDKDLSKLKRNRKRITNQMTDSSRERPVTKLNF
+>tr|A0A3Q1MT21|A0A3Q1MT21_BOVIN Ig-like domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MGLPGLLRAVIASVCLGSIMAQKVTQNQSEISVLEKEDVTLNCAYEANSYTYYLFWYKQP
+PSGEMIFLIHQESYNELNTTKGQYFLDFQKATSSISLTISDSQLEDSAVYFCALRDHSDA
+AA
+>tr|A0A3Q1MPW0|A0A3Q1MPW0_BOVIN Ran-binding protein 10 OS=Bos taurus OX=9913 GN=RANBP10 PE=4 SV=1
+MAAATADPGAGSPQVGDSSGGATGCGLPSPGEQELSRRLQRLYPAVNQHETPLPRSWSPK
+DKYNYIGLSQGNLRVHYKGHGKNHKDAASVRATHPIPAACGIYYFEVKIVSKGRDGYMGI
+GLSAQGVNMNRLPGWDKHSYGYHGDDGHSFCSSGTGQPYGPTFTTGDVIGCCVNLINGTC
+FYTKNGHSLGGYIGDLGGSANLYPTVGLQTPGEIVDANFGQQPFLFDIEDYMREWRAKVQ
+GTVHCFPISARLGEWQAVLQNMVSSYLVHHGYCATATAFARMTETPIQEEQASIKNRQKI
+QKLVLEGRVGEAIETTQRFYPGLLEHNPNLLFMLKCRQFVEMVNGTDSEVRSLSSRSPKS
+QDSYPGSPSLSPRHGPTSSHTHNTGADSPSCSNGVASTKSKQNHSKYPAPSSSSSSSSSS
+SSSSPSSVNYSESNSTDSTKSQPHSSTSNQETSDSEMEMEAEHYPNGVLESMSTRIVNGA
+YKHEDLQTDESSMDDGHPRRQLCGGNQAATERIILFGRELQALSEQLGREYGKNLAHTEM
+LQDAFSLLAYSDPWSCPVGQQLDPIQREPVCAALNSAILESQNLPKQPPLMLALGQASEC
+LRLMARAGLGSCSFARVDDYLH
+>tr|A0A3Q1M519|A0A3Q1M519_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=PARD3B PE=4 SV=1
+YHVFSSLTLECSLLPCKSVVHILHIQLSCLTILLKERDADVLLGTLERQVRGGVGHFIPS
+RRLLIAVFDEQEPLHKIESPSGNPAGRQSPDAFETEVAAQLAAFKPVGGEIEVTPSALKL
+GTPLLVRRSSDPAPSPPADAQSSASHPGGQSLKPVIPVGILLFAYLVKDQSRPFDRICPL
+GIHVVPFFSSLSGRILGLFIRGIEENSRSKREGLFHENECIVKINNVDLVDKTFAQAQDL
+FRQAMKSPSVLLRVLPPQNREQYEKVVIGPLSIFGNNDHVLRTKEPPPVHGKSGIKTINL
+TGTSSPEEDASTSLQQSRSPRVPRLGRKPSSPSLSPLMGFGSKKNAKKIKIDLKKGPEGL
+GFTVVTRDSSIHGPGPIFVKNILPKGAAIKDGRLQSGDRILEVNGRDVTGRTQEELVAML
+RSTKQGETASLVIARQEGTFLPRELKGEPDCCAFSLETTEQLTFEIPLNDSGSAGLGVSL
+KGNKSRETGTDLGIFIKSIIHGGAAFKDGRLRMNDQLIAVNGESLLGKSNHEAMETLRRS
+MSMEGNIRGMIQLVILRRPERPMEEPAECGAFSKPCFENCQNAVTTSRRNDNSTLHPFGT
+YSPQDKQKDLLLPSDGWAESEVPPSPQPHPVLELGLEDYSHSSGVDSAVYFPDQHINFRS
+VTPARQPESMNLKASKSMDLVPDESKVHSLAGHKSDSPSKDFGPTLGLKKSSSLESLQTA
+VAEVRKNELPFHRPRPHMVRGRGCNESFRAAIDKSYDGPEELEADGLSDRSSHSGQGALN
+CESAPQGNSELENAENKARKVKKTKEKEKKKEKGKLKVKEKKQKEGNEDPERKIKKKGFG
+KVGRTEQWILSGELPDGNTACQPINFSPFLLFKPLSIWRFLQHQ
+>tr|F1MZI7|F1MZI7_BOVIN UDP-galactose translocator OS=Bos taurus OX=9913 GN=SLC35A2 PE=4 SV=1
+MAAVGSGGSTATAGPGAVSAGALEPGTSSAAHRRLKYISLAVLVVQNASLILSIRYARTL
+PGDRFFATTAVVMAEVLKGLTCLLLLFAQKRGNVKHLVLFLHEAVLVQYMDTLKLAVPSL
+IYTLQNNLQYVAISNLPAATFQVTYQLKILTTALFSVLMLNRSLSRLQWASLLLLFTGVA
+IVQAQQAGGGGPRPLDQNPGVGLAAVVASCLSSGFAGVYFEKILKGSSGSVWLRNLQLGL
+FGTALGLVGLWWAEGTAVAHRGFFFGYTPAVWGVVLNQAFGGLLVAVVVKYADNILKGFA
+TSLSIVLSTVASIRLFGFHVDPLFALGAGLVIGAVYLYSLPRGAAKAIASASAPTSGPCT
+HQQPPGQPPPPQLSSHHGDLSTEPFLPKSVLVK
+>tr|A0A3Q1LVH1|A0A3Q1LVH1_BOVIN BCL2 associated transcription factor 1 OS=Bos taurus OX=9913 GN=BCLAF1 PE=4 SV=1
+MGRSNSRSHSSRSKSRSQSSSRSRSRSHSRKKRYSSRSRSRTYSRSRSRDRIYSRDYRRD
+YRNNRGMRRPYGYRGRGRGYYQGGGGRYHRGGYRPVWNRRHSRSPRRGRSRSRSPKRRSV
+SSQRSRSRSRRSYRSSRSPRSSSSRSSSPYSKSPVSKRRGSQEKQTKKAEGEPQEESPLK
+NKSQEEPKDTFEHDPSESIDEFNKSSATSGDIWPGLSAYDNSPRSPHSPSPIATPPSQSS
+SCSDAPMLSTVHSAKNTPSQHSHSIQHSPERSGSGSVGNGSSRYSPSQNSPIHHIPSRRS
+PAKTITPQNAPRDEARGRSSFYPDGGDQETAKTGKFLKRFTDEESRVFLLDRGNTRDKEA
+PKEKGSEKGRAEGEWEDQEVLDYFSDKESGKQKFNDSEGDDTEETEDYRQFRKSVLADQG
+KNFATTSHRNTEEEGPKYKSKVSLKGNRESDGFREEKNYKLKETGYVVERPSTAKDKHKE
+DDKNSERITVKKETQSPEQVKSEKLKDLFDYSPPLHKNLDAREKSTFREESPLRIKMIAS
+DSHRPEVKLKMAPVPLDDSNRPASLTKDRLLASTLVHSVKKEQEFRSIFDHIKLPQASKS
+TSESFIQHIVSLVHHVKEQYFKSSSMTLNERFTSYQKATEEHSARQKSPEIHRRIDISPS
+ALRKHTRLAGEERVFKEENQKGDKKLRCDSADLRHDIDRRRKERSKERGDSKGSRESSGS
+RKQEKTPKDYKEYKSYKDDSKHKSREQDHSRSSSSSASPSSPSSREEKESKKEREEEFKT
+HHELKEYSGFAGVSRPRGTFHDDRDDGVDYWAKRGRGRGTFQRGRGRFNFKKSGSSPKWT
+HDKYQGDGIVEDEEETMENNEEKKDRRKEEKE
+>tr|A0A3Q1LRT5|A0A3Q1LRT5_BOVIN Salt inducible kinase 2 OS=Bos taurus OX=9913 GN=SIK2 PE=3 SV=1
+MVMADGPRHLQRGPVRVGFYEIEGTLGKGNFAVVKLGRHRITRTEVAIKIIDKSQLDEVN
+LEKIYREVKIMKLLDHPHIIKLYQVMETKSMLYLVTEYAKNGEIFDYLANHGRLNESEAR
+RKFWQILSAVDYCHGRQIVHRDLKAENLLLDNNMNIKIADR
+>tr|A0A3Q1LKJ1|A0A3Q1LKJ1_BOVIN Calponin-homology (CH) domain-containing protein OS=Bos taurus OX=9913 PE=4 SV=1
+MANRGPAYGLSQEVQQKIDKQYDADLEQILIQWITTQCRKHVSRPQPGCTNFQNWLKDGT
+VLCELINGLYPEGQAPVKKIQASTTAFKQMGQISQFLQAAERYGINTNDISQTVDLWEGK
+NMACVQRTLMNLGRLVVAWDDGLFSGDPNWFTKKSKENPRYFPDNQLQEGKNLFGLQMGT
+NHGASQAGLTDYAMPCQIL
+>tr|F1MYW7|F1MYW7_BOVIN Histocompatibility minor 13 OS=Bos taurus OX=9913 GN=HM13 PE=4 SV=2
+MGHPGIKEIDWEWRMGSSGLPRSGCPLQWSSAPFPTTSMLLSNRPPGPPPPLEGARAWRH
+ERARVRGAGRRGRHVTSCFFRGTWLSPQRRIFLSASPLQRLELELDPERSFAMDSAVSDP
+HNGSAEAGGPTNGTTRPPSTPEGIALAYGSLLLMALLPIFFGALRSVRCARGKNASDMPE
+TITSRDAARFPIIASCTLLGLYLFFKIFSQEYINLLLSMYFFVLGILALSHTISPLMNKF
+FPANFPNRQYQLLFTQGSGENKEEIINYEFDTKDLVCLGLSSIVGVWYLLRKHWIANNLF
+GLAFSLNGVELLHLNNVSTGCILLGGLFIYDIFWVFGTNVMVTVAKSFEAPIKLVFPQDL
+LEKGLEADNFAMLGLGDIVIPGIFIALLLRFDISLKKNTHTYFYTSFAAYIFGLGLTIFI
+MHIFKHAQPALLYLVPACIGFPVLVALAKGEVTEMFSYEESNPKDPAAVTETREGTEATT
+SKGLEKKEK
+>tr|E1BC57|E1BC57_BOVIN Receptor protein-tyrosine kinase OS=Bos taurus OX=9913 GN=ROR2 PE=3 SV=1
+MARGSALRWLCVPAVWAVAALLLCVSRASGQAEVPDSSDPLGQLDGHDSPVPTLKGYFLN
+FLEPVNNITIVQGQTAILHCKVAGNPPPSVRWLKNDAPVVQEPRRVIIRKTEYGSRLRIQ
+DLDTTDTGYYQCVATNGVKTITATGVLFVRLGPTHSPNHNFQDDYHEDGFCQPYRGIACA
+RFIGNRTIYVDSLQMQGEIENRITAAFTMIGTSTHLSDQCSQFAIPSFCHFVFPLCDARS
+RAPKPRELCRDECEVLESDLCRQEYTIARSNPLILMRLQLPKCEALPMPESPDAANCMRI
+GIPAERLGRYHQCYNGSGTDYRGTASTTKSGHQCQPWALQHPHSHHLTSTDFPELGGGHA
+YCRNPGGQMEGPWCFTQNKNVRMELCDVPSCSPQDSSRMGILYILVPSIAIPLVIACLFF
+LVCMCRNKQKASASTPQRRQLMASPSQDVEMPLINQHKQAKLKEISLSTVRFMEELGEGR
+FGKVYKGHLFGPAPGEQTQAVAIKTLKDKAEGPLREEFRHEALLRARLQHPNIVCLLGVV
+TKDQPLSMIFSYCPHGDLHEFLVMRSPHSDVGSTDDDRTVKSALEPPDFVHVVAQIAAGM
+EYLSSHHVVHKDLATRNVLVYDKLTVKISDLGLFREVYSADYYKLTGNALLPIRWMSPEA
+IMYGKFSVDSDIWSYGVVLWEVFSYGLQPYCGHSNQDVVEMVRSRQVLPCPDDCPAWVYA
+LMIECWHEFPSRRPRFKDIHSRLRAWGNLSTYNSSAQTSGASNATQTSSLSTSPVSNVSN
+ARYGGPKQKAQPFPQPQFIPMKGQIRPMVPPPQLYIPVNGYQPVPAYGAYLPNFYPVQIP
+MQMAPQQVPAQMVPKPGSHHSGSGSTSTGYVTTAPSNTSVADRAALLSEGTEDAQNVPED
+MAQSPVQEAEEEEDGSVPETELLGDNDTLQMSEAEVQLEA
+>tr|A0A3Q1N8J7|A0A3Q1N8J7_BOVIN Nudix hydrolase 19 OS=Bos taurus OX=9913 GN=NUDT19 PE=4 SV=1
+MSVPVRAGPSSWRRAATLVLAAGWTRPVPAAASRPQPPAEGFRVLLLQRSASQGFLPGAQ
+VFPGGVLEAADRSTDWLRLFAPHHGPPRFGLGRAPPQRAAFPVLPNVRPGAVDEDAAALP
+DDIAFRICAIRETFEEAGVLLLRPRDPRPPNQAPGCALAPPPALADWRARVRRDPRHFLS
+LCAQLDCTPDIWALHDWSVWITPFSRPGGRRFETTFFLCCLNEPPPVFPDLVEVVDCQWS
+SPSEAIERLISKEILLAPPQFYEIRRLGNFASLSDLHKFSLDRESEGTERWMPIILLTAD
+GMIHLLPGDEMYLEDANFLENCMSTEKKTEEIMNEGKKFHRIVLYNSHNYGDYTDYSIHV
+TVLPKYKHVYPKSCVVD
+>tr|G3X7N4|G3X7N4_BOVIN Phosphoglycerate kinase OS=Bos taurus OX=9913 GN=PGK1 PE=1 SV=2
+MSLSNKLTLDKLDVKGKRVVMRLLFLLIKAAVPSIKYCLDSGAKSVVLMSHLGRPDGVPM
+PDKYSLQPVAVELKSLLGKDVLFLKDCVGPEVEKACADPAAGSVILLENLRFHVEEEGKG
+KDASGNKVKAEPTKIEAFRASLSKLGDVYVNDAFGTAHRAHSSMVGVNLPKKAGGFLMKK
+ELNYFAKALESPERPFLAILGGAKVADKIQLISNMLDKVNEMIIGGGMAFTFLKVLNNME
+IGTSLFDEEGSKIVKDLMSKADKNGVKITLPVDFVTADKFDENAKTGQATVASGIPAGWM
+GLDCGPESSKKYAEAVARAKQIVWNGPVGVFEWEAFARGTKALMDEVVKATSRGCITIIG
+GGDTATCCAKWNTEDKVSHVSTGGGASLELLEGKVLPGVDALSSV
+>tr|E1BE20|E1BE20_BOVIN CRAL-TRIO domain-containing protein OS=Bos taurus OX=9913 GN=CLVS1 PE=4 SV=3
+MGPVSFLPKHQKLSTLKGDSAKMTHLQAGLSPETIEKARLELNENPDVLHQDIQQVRDMI
+ITRPDIGFLRTDDAFILRFLRARKFHQADAFRLLAQYFQYRQLNLDMFKNFKADDPGIKR
+ALIDGFPGVLENRDHYGRKILLLFAANWDQSRNSFTDILRAILLSLEVLIEDPELQINGF
+ILIIDWSNFSFKQASKLTPSILKLAIEGLQDSFPARFGGVHFVNQPWYIHALYTLIKPFL
+KDKTRKRIFLHGNNLNSLHQLIHPEFLPSEFGGTLPPYDMGTWARTLLGPDYSDENDYTH
+TSYNAMHVKHTSSNLERECSPKPMKRSQSVVEAGTLKHEDKGENENTQPLLALD
+>tr|A0A3Q1LID6|A0A3Q1LID6_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MSFPKYKPSRLASLPTTLDPAEYDISSETRKAQAERLAIRSRLKREYQLQYYDPSRRGVI
+DRKEKLIQEGKLDRTFNISY
+>tr|E1BI29|E1BI29_BOVIN Layilin OS=Bos taurus OX=9913 GN=LAYN PE=4 SV=2
+MPRGAAPQAVLLAALLAGLRGATGRLLSGQPVCRLGTQKPCYEVIYFRDASRRLNFEEAR
+AACRRNGGQLVSIESEDEQRLIEKFIENLLASDGDFWIGLRRREDQKSNGTACQDLYAWI
+DGSTAAFRNWYVDEPSCGSEVCVVMYHQPSAPAGIGGPYMFQWNDDRCTMKNNFICKYSD
+EKPTVPSTRTGGEEIEPATPILPEDTEKADATEILKESKEAALNLAYILIPSILVLLIVV
+TTGVCWVWICRRRKRGQPDPSTKEQRPTWPAPQPGNSPDLEVYNVIRKQSEADLAETRPD
+LKNISFRARSGEASPDAVSCDYENVAVNPSESGFVTLVSMESGFVTNDDYEFSPGRAGRS
+KESGWVENEIYGY
+>tr|F1MK59|F1MK59_BOVIN SEBOX homeobox OS=Bos taurus OX=9913 GN=SEBOX PE=4 SV=3
+MTSPVDASPAGHASGLGPHRRKRTTFSRGQLLELERVFAARPYPDIGTREHLARVTSLPE
+AKIQVWFQNRRAKRIKNRKPGSLSPRPEPPQRSCSLPDTIQRSPEPWTLGQPPPSNSTAQ
+CASVCRHASCPAPGLGPGQGWVGAKAAAPWAPAGSSGPHLSSERAAPQTSLGILSDLIYA
+SAIVTNLDHS
+>tr|A0A3Q1LSD0|A0A3Q1LSD0_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 PE=4 SV=1
+MCGLLRPPPCPPGTGRPPAWRPGPGCPPPRWAATPPRRGGHLGLGALQVQLGPLDVHLRG
+LDVHLGQLDAGHLHLGQVGLDSDSRRPFLELGFGQVALRQLDAHLGGLDLQLGRGQLHAD
+LTGLDVGLHRGQGRLGLGLGQGHVHGGLDGLAGRRHAEGRHLELGHFELAVSGGHLLVPQ
+GQVALQLGARGLGVHLHAGQRHLHVGGGHLGLGALQVQLGPLDVHLRGLDVHLGQLDAGH
+LHLGQVGLDSDSRRPFLELGFGQVALRQLDAHLGGLDLQLGRGQLHADLTGLDVGLHRGQ
+GRLGLGLGQGHVHGGLDGLAGRRHAEGRHLELGHFELAVSGGHLLVPQGQVALQLGARGL
+GVHLHAGQRHLHVGGGHLGLGALQVQLGPLDVHLRGLDVHLGQLDAGHLHLGQVGLDSDS
+RRPFLELGFGQVALRQLDAHLGGLDLQLGRGQLHADLTGLDVGLHRGQGRLGLGLGQGHV
+HGGLDGLAGRRHAEGRHLELGHFELAVSGGHLLVPQGQVALQLGARGLGVHLHAGQRHLH
+VGGGHLGLGALQVQLGPLDVHLRGLDVHLGQLDAGHLHLGQVGLDSDSRRPFLELGFGQV
+ALRQLDAHLGGLDLQLGRGQLHADLTGLDVGLHRGQGRLGLGLGQGHVHGGLDGLAGRRH
+AEGRHLELGHFELAVSGGHLLVPQGQVALQLGAGGQGVHLHAGQRHLHVGGGHLGLGALQ
+VQLGPLDVHLRGLDVHLGQLDAGHLHLGQVGLDSDSRRPFLELGFGQVALRQLDAHLGGL
+DLQLGRGQLHADLTGLDVGLHRGQGRLGLGLGQGHVHGGLDGLAGRRHAEGRHLELGHFE
+LAVSGGHLLVPQGQVALQLGARGLGVHLHAGQRHLHVGGGHLGLGALQVQLGPLDVHLRG
+LDVHLGQLDAGHLHLGQVGLDSDSRRPFLELGFGQVALRQLDAHLGGLDLQLGRGQLHAD
+LTGLDVGLHRGQGRLGLGLGQGHVHGGLDGLAGRRHAEGRHLELGHFELAVSGGHLLVPQ
+GQVALQLGARGLGVHLHAGQRHLHVGGGHLGLGALQVQLGPLDVHLRGLDVHLGQLDAGH
+LHLGQVGLDSDSRRPFLELGFGQVALRQLDAHLGGLDLQLGRGQLHADLTGLDVGLHRGQ
+GRLGLGLGQGHVHGGLDGLAGRRHAEGRHLELGHFELAVSGGHLLVPQGQVALQLGARGL
+GVHLHAGQRHLHVGGGHLGLGALQVQLGPLDVHLRGLDVHLGQLDAGHLHLGQVGLDSDS
+RRPFLELGFGQVALRQLDAHLGGLDLQLGRGQLHADLTGLDVGLHRGQGRLGLGLGQGHV
+HGGLDGLAGRRHAEGRHLELGHFELAVSGGHLLVPQGQVALQLGARGLGVHLHAGQRHLH
+VGGGHLGLGALQVQLGPLDVHLRGLDVHLGQLDAGHLHLGQVGLDSDSRRPFLELGFGQV
+ALRQLDAHLGGLDLQLGRGQLHADLTGLDVGLHRGQGRLGLGLGQGHVHGGLDGLAGRRH
+AEGRHLELGHFELAVSGGHLLVPQGQVALQLGARGLGVHLHAGQRHLHVGGGHLGLGALQ
+VQLGPLDVHLRGLDVHLGQLDAGHLHLGQVGLDSDSRRPFLELGFGQVALRQLDAHLGGL
+DLQLGRGQLHADLTGLDVGLHRGQGRLGLGLGQGHVHGGLDGLAGRRHAEGRHLELGHFE
+LAVSGGHLLVPQGQVALQLGARGLGVHLHAGQRHLHVGGGHLGLGALQVQLGPLDVHLRG
+LDVHLGQLDAGHLHLGQVGLDSDSRRPFLELGFGQVALRQLDAHLGGLDLQLGRGQLHAD
+LTGLDVGLHRGQGRLGLGLGQGHVHGGLDGLAGRRHAEGRHLELGHFELAVSGGHLLVPQ
+GQVALQLGARGLGVHLHAGQRHLHVGGGHLGLGALQVQLGPLDVHLRGLDVHLGQLDAGH
+LHLGQVGLDSDSRRPFLELGFGQVALRQLDAHLGGLDLQLGRGQLHADLTGLDVGLHRGQ
+GRLGLGLGQGHVHGGLDGLAGRRHAEGRHLELGHFELAVSGGHLLVPQGQVALQLGARGL
+GVHLHAGQRHLHVGGGHLGLGALQVQLGPLDVHLRGLDVHLGQLDAGHLHLGQVGLDSDS
+RRPFLELGFGQVALRQLDAHLGGLDLQLGRGQLHADLTGLDVGLHRGQGRLGLGLGQGHV
+HGGLDGLAGRRHAEGRHLELGHFELAVSGGHLLVPQGQVALQLGARGLGVHLHAGQRHLH
+VGGGHLGLGALQVQLGPLDVHLRGLDVHLGQLDAGHLHLGQVGLDSDSRRPFLELGFGQV
+ALRQLDAHLGGLDLQLGRGQLHADLTGLDVGLHRGQGRLGLGLGQGHVHGGLDGLAGRRH
+AEGRHLELGHFELAVSGGHLLVPQGQVALQLGARGLGVHLHAGQRHLHVGGGHLGLGALQ
+VQLGPLDVHLRGLDVHLGQLDAGHLHLGQVGLDSDSRRPFLELGFGQVALRQLDAHLGGL
+DLQLGRGQLHADLTGLDVGLHRGQGRLGLGLGQGHVHGGLDGLAGRRHTEGRHLELGHFE
+LAVSGGHLLVPQGQVALQLGARGLGVHLHAGQRHLHVGGGHLGLGALQVQLGPLDVHLRG
+LDVHLGQLDAGHLHLGQVGLDSDSRRPFLELGFGQVALRQLDAHLGGLDLQLGRGQLHAD
+LTGLDVGLHRGQGRLGLGLGQGHVHGGLDGLAGRRHAEGRHLELGHFELAVSGGHLLVPQ
+GQVALQLGAGGLGVHLHAGQRHLHVGGGHLGLGALQVQLGPLDVHLRGLDVHLGQLDAGH
+LHLGQVGLDSDSRRPFLELGFGQVALRQLDAHLGGLDLQLGRGQLHADLTGLDVGLHRGQ
+GRLGLGLGQGHVHGGLDGLAGRRHAEGRHLELGHFELAVSGGHLLVPQGQVALQLGAGGQ
+GVHLHAGQRHLHVGGGHLGLGALQVQLGPLDVHLRGLDVHLGQLDAGHLHLGQVGLDSDS
+RRPFLELGFGQVALRQLDAHLGGLDLQLGRGQLHADLTGLDVGLHRGQGRLGLGLGQGHV
+HGGLDGLAGRRHAEGRHLELGHFELAVSGGHLLVPQGQVALQLGARGLGVHLHAGQRHLH
+VGGGHLGLGALQVQLGPLDVHLRGLDVHLGQLDAGHLHLGQVGLDSDSRRPFLELGFGQV
+ALRQLDAHLGGLDLQLGRGQLHADLTGLDVGLHRGQGRLGLGLGQGHVHGGLDGLAGRRH
+AEGRHLELGHFELAVSGGHLLVPQGQVALQLGARGLGVHLHAGQRHLHVGGGHLGLGALQ
+VQLGPLDVHLRGLDVHLGQLDAGHLHLGQVGLDSDSRRPFLELGFGQVALRQLDAHLGGL
+DLQLGRGQLHADLTGLDVGLHRGQGRLGLGLGQGHVHGGLDGLAGRRHTEGRHLELGHFE
+LAVSGGHLLVPQGQVALQLGARGLGVHLHAGQRHLHVGGGHLGLGALQVQLGPLDVHLRG
+LDVHLGQLDAGHLHLGQVGLDSDSRRPFLELGFGQVALRQLDAHLGGLDLQLGRGQLHAD
+LTGLDVGLHRGQGRLGLGLGQGHVHGGLDGLAGRRHAEGRHLELGHFELAVSGGHLLVPQ
+GQVALQLGAGGLGVHLHAGQRHLHVGGGHLGLGALQVQLGPLDVHLRGLDVHLGQLDAGH
+LHLGQVGLDSDSRRPFLELGFGQVALRQLDAHLGGLDLQLGRGQLHADLTGLDVGLHRGQ
+GRLGLGLGQGHVHGGLDGLAGRRHAEGRHLELGHFELAVSGGHLLVPQGQVALQLGAGGQ
+GVHLHAGQRHLHVGGGHLGLGALQVQLGPLDVHLRGLDVHLGQLDAGHLHLGQVGLDSDS
+RRPFLELGFGQVALRQLDAHLGGLDLQLGRGQLHADLTGLDVGLHRGQGRLGLGLGQGHV
+HGGLDGLAGRRHAEGRHLELGHFELAVSGGHLLVPQGQVALQLGAGGQGVHLHAGQRHLH
+VGGGHLGLGALQVQLGPLDVHLRGLDVHLGQLDAGHLHLGQVGLDSDSRRPFLELGFGQV
+ALRQLDAHLGGLDLQLGRGQLHADLTGLDVGLHRGQGRLGLGLGQGHVHGGLDGLAGRRH
+AKGRHLELEPAVSAVTVFTLFLDVYSPSCDAGLFLFICFLNLCRFFSFSLLGW
+>tr|F1MWG1|F1MWG1_BOVIN Oxoglutarate dehydrogenase like OS=Bos taurus OX=9913 GN=OGDHL PE=4 SV=3
+MSQLRLLPSRLGTQASRLLAPHGVQRFSWCSRSSGPPAPFASSRAGGGSSYMEEMYFAWL
+ENPQSVHKSWDSFFRKASEEASYSLAQPQPLSVVSEKRLAASSRTKTSKLVEDHLAVQSL
+IRAYQIRGHHVAQLDPLGILDADLDSFVPSDLITTVDKLAFYDLQEADLDKEFQLPTTTF
+IGGSENTLSLREIIRRLESTYCQHIGLEFMFINDVEQCQWIRQKFESPGVMQFSSEEKRT
+LLARLVRSMRFEDFLARKWSSEKRFGLEGCEVMIPALKTIIDKSSEMGIENVILGMPHRG
+RLNVLANVIRKDLEQIFCQFDPKLEAADEGSGDVKYHLGMYHERINRVTNRNITLSLVAN
+PSHLEAVDPVVQGKTKAEQFYRGDAQGKKVMSILVHGDAAFAGQGVVYETFHLSDLPSYT
+TNGTVHVVVNNQIGFTTDPRMARSSPYPTDVARVVNAPIFHVNADDPEAVIYVCSVAAEW
+RNTFNKDVVVDLVCYRRRGHNEMDEPMFTQPLMYKQIHRQVPVLKKYADKLIAEGTVTLQ
+EFEEEIAKYDRICEEAYGKSKDKKILHIKHWLDSPWPGFFNMDGEPKSMTCPATGVPEDT
+LTHIGEVASSVPLEDFKIHVGLSRILRGRADMTRKRTVDWALAEYMAFGSLLKEGIHVRL
+SGQDVERGTFSHRHHVLHDQDIDRRTCVPMNHLWPDQAPYTVCNSSLSEYGVLGFELGYA
+MASPNALVLWEAQFGDFHNTAQCIIDQFISTGQAKWVRHNGIVLLLPHGMEGMGPEHSSA
+RPERFLQMSNDDSDAYPAFTQDFEVRQLYDCNWIVVNCSTPASYFHVLRRQILLPFRKPL
+IIFTPKSLLRHPEAKSSFDQMVSGTSFQRVIPEDGAAARAPGQVRRLIFCTGKVFYDLVK
+ERSSQGLDELVAITRLEQISPFPFDLIQREAEKYPGVQLVWCQEEHKNMGYYDYISPRFR
+TVLGRARPVWYVGRDPAAAPATGNRNTHLVSLKKFLDTAFNLQAFEGKTF
+>tr|A0A452DJ24|A0A452DJ24_BOVIN Hepatocyte cell adhesion molecule OS=Bos taurus OX=9913 GN=HEPACAM PE=4 SV=1
+MKREREAPSRAFSALRLAPFVYLLLIQTEPLEGVNITSPVRLIHGTVGKAALLSVQYSST
+SSDKPVVKWQLKRDKPVTVVQSIGTEVIGTLRPDYRDRIRLFENGSLLLSDLQLADEGTY
+EVEISITDDTFTGEKTINLTVDVPISRPQVLVASTTVLELSEAFTLNCSHENGTKPSYTW
+LKDGKPLLNDSRMLLSPDQKVLTITRVLMEDDDLYSCVVENPISQGRSPPVKITVYRRSS
+LYIILSTGGIFLLVTLVTVCACWKPSKKSGKKRKLEKQNSMEYMDQSDDRLKPEGELPAA
+HSPIPSSLRAVGCWEKADLGDKEASSAGPLPPPTARRLQSRERSSQADTLPRSGEQERKN
+PMALYILKDKDSPEPEENPASEPRSAAEPGPPGYSVSPAVPGRSPGLPIRSARRYPRSPA
+RSPATGRTHSSPPRAPGSPGRSRSASRTLRTAGVHLIREQDEAGPVEISA
+>tr|E1BB28|E1BB28_BOVIN Sarcosine dehydrogenase OS=Bos taurus OX=9913 GN=SARDH PE=4 SV=1
+MASLSRALRGIAATRPRQSPARGWGLRPLVSGPGPTSEKSVPYQRTLKEAEDASAAAQGP
+SQPLPGTASVVVIGGGSLGCQTLYHLAKLGVSGAVLLERERLTSGTTWHTAGLLWQLRPS
+DVEVELLAHTRQVVSRELEEETGLHTGWIQNGGLFIASSQQRLDEYKRLMSLGRVYGIES
+HVLSPGETKALYPLMNVEDLYGTLYVPHDGTMDPAGTCTSLARAATTRGAQIIENCPVTG
+IRVRTDDFGVRRVAAVETEHGSIRTPCVINCAGVWARAVGRMAGVNVPLVAMHHAYVVTE
+RIEGIQNMPNVRDHDASVYLRLQGDALSVGGYETNPIFCEEVSDEFAFGLFDLDWDVFTQ
+HIEGAINRVPVLEKTGIKSTVCGPESFTPDHKPLMGEAPELRGFFLGCGFNSAGMMLGGG
+CGQQLAYWIVHGRPEKDMHSYDIRRFHRSLTGHGRWIRERSHEAYAKNYSVVFPHDEPLA
+GRNMRTGPLHEELRARGCVFQERHGWERPGWFSAQGPAPVLPYDYCGAYGHRAREDYAYG
+RLLGQEYTFSFPPHHDVIKKECLACRGAAAVFDMSYFGKFFLVGPDARKAADWLFSADVS
+RPPGSTVYTCMLNHRGGTESDLTVSRLAPGPQASPLAPAFEGDGYYLAVGGAAAQHNWSH
+IRTVLQDRKFRCQLIDSSEDLGLISVQGPASRAVLQELLEADLSDDAFPFSTHKLVRAAG
+HLVRAVRLSFVGELGWELHAPRPSCLPVYQALMTAGAKHGLVNAGYRAIDSLSIEKGYRH
+WHADLRADDSPLEAGLAFTCKLKSSVPFLGREALEKQRAEGLRRRLVGFTVDEKVSMFGL
+EAIWRNGQVVGHVRRADFGFTINKTLAYGYIRDPSGGPVSLDFVKSGDYALERMGVTHAA
+QAHLKSPFDPDNKRVKGVY
+>tr|E1BDR5|E1BDR5_BOVIN SAM domain-containing protein OS=Bos taurus OX=9913 GN=SAMD11 PE=4 SV=3
+MSKGILQVHPPICDCPGCRISSPVNRGRLADKRTVALPPARILKKELTPSFSASDGDSDG
+SGPACGQRLGLKQEDEPHVHIMKRRVHTHWDVNISFRETSCSQDSDLPTLISSVHRSRHL
+VMPEHQSRCEFQRGSVEIGLGAAGDLLGKRLGRSPHISSDCPLEKKARSKSPQETLLLPE
+LGPSMAPEDHYRRLVSALSEASTFEDPQRLYHLGLPSHDLLRVRQEVAAAAARSPSGLEV
+HLPSSTAGQRRKQSLAQHRDATGPAGAPSFSERELSQPPPLLSPQNAPHIALGPHLRPPF
+LGVPSALCQTPGYGFLPPAQAEMFARQQELLRKQNLARLEMSAELLRQKELESSHRPQLL
+APEAALRPPDGAEELQRRGAMLVLRHSSAPLLALPPQGPPGPGPPTPPREPARRAPRKGG
+PSPASGRPNESKETTGAGLWAPDGSEDEPPKDSDGEDSEMVAAGGQAPHPGQAPPGGASS
+EGKGLLSGSMLPPPLPLGLPYTVSPFFHTGTMGGLFMDGEEATAPEDVSKWTVDDVCSFV
+GGLSGCGEYAPVFREQGIDGETLPLLTEEHLLTTMGLKLGPALKIRAQVAKRLGRVFYMA
+SFPVALPLQPPTARPPERELSSGEQPLSPALAPSPYGGVHPPASRTSPKHENGTMTLLPG
+PADPSQSLC
+>tr|A0A3S5ZPP4|A0A3S5ZPP4_BOVIN IRG-type G domain-containing protein OS=Bos taurus OX=9913 GN=IFI47 PE=4 SV=1
+MDPLLLNVIKKNDSKQLASEFLSGYKTLVSEVGGILSQVSLKRILKGFEKGQPKDVADEI
+QRALQSAENARQNVAVIGQSGSGKSSFINVLRGIGHEGAGSASVGVVPTTRKKTPYPHPK
+YPNVTFWDLPGTGTPESLPNPYQEVVGDDNYDYFIIISSSRFSSNDAFLAQKIQEKGKKF
+YFVRTKVDSDLYNESKSKPRSFNKETVLQQIRDNCLINLSKIVSEPTVFLVSNFKSKEFD
+FPKLQETLLQDLPAEKRYTALLLLPNLSESFIQLKRATIKEKLWLTAFRAAILAFIPLTP
+FCCGFELSEHERDLKQYQSHFGLDEESVSQIAQNLGTSEQEIYSLMKSTDFNSLVKDDSI
+IANVKKCAEFVFSVTGLLPSSVFQFYKVYFLHLKFIDTVAEDAKRVLAKIEEMRSGRMK
+>tr|A0A3Q1LWP6|A0A3Q1LWP6_BOVIN Rho GTPase activating protein 9 OS=Bos taurus OX=9913 GN=ARHGAP9 PE=4 SV=1
+TSQLDPGKPSSLQCPRPLPTVLDDPHVEKSGLLNMTKIAQGGRKLRKNWGPSWVVLAGNS
+LVFYREPPPTAPATAWGPAGSRPESSVDLRGAALAHGRHLSSRRHVLHIRTVPGHEFLLQ
+SDQEAELRAWHSALRAVIERLDRENPLEGRLSGSGPAELEELSPGEDEEEESEPVSKSLL
+RIGGRRSSSRCPETAEQNRVRNKLKRLIAKRPPLQTLQERGLLRDQVFGCQLESLCQREG
+DTVPSFVRLCVAAVDKRGLDVDGIYRVSGNLAVVQKLRFLVDRGEKAVGRWCEGAEGRLD
+LDSAEWDDIHVITGALKLFLRELPQPLVPSLLLPDFRAAVALTESEQCLSQIQELISSMP
+KPNHDTLRYLLEHLCRVIAHSDKNRMTPHNLGIVFGPTLFRPEQETSDPVAHVLYPGQVV
+QLMLTNFTRVFP
+>tr|A0A3Q1M7J7|A0A3Q1M7J7_BOVIN Uncharacterized protein OS=Bos taurus OX=9913 GN=GNRH2 PE=4 SV=1
+MGAALLWATFGLGLLLLLLLLTTYPGPSKAQHRSHGWYPGGKRASSLPRDPQHPPRPPAQ
+SPGQIAHTLPSDALAWPEDSVPWKSRTMTRWLLRGKQHLVQTLLISKVEGPHPWPLQGQL
+RTEGLGG
+>tr|A0A3Q1MII8|A0A3Q1MII8_BOVIN Acid sensing ion channel subunit 3 OS=Bos taurus OX=9913 GN=ASIC3 PE=3 SV=1
+MKPPAGPEEARLPASDIRAFASSCTMHGLGHVFGPGAPPARRGLWAAAVLLALATFLYQV
+AGRVHYYSEFHHETALEERESRRLTFPAVTLCNVNPLRRSRLTPNDLHWAGPALLGLEPA
+EHAAYLRALGRPPAPPGFMPSPTFDVARLYARAGHALEDMLLDCRYRGWPCGPENFTTIF
+TRMGQCYTFNSGADGAELLSTPKGGMGNGLEVMLDVQQEEYLPVWRDVEETPFEVGVRVQ
+IHTQEEPPLIDQLGFGAAPGYQTFVSCQKQQLSFLPPPWGDCSSVSLDPDFEPEAPGPLG
+TPSPGLSPPYSLMGCRLACQSRYVARKCGCRMMHMPGSAPVCSPQQYKDCAHPALDALLR
+KDACRCPNPCASTRYAKELSMVRMPSRPAARYLARKHNRSEAYIAENVLVLDIFFEALNY
+ETVEQKKAYEMSELLGDIGGQMGLFIGASLLTILEILDYLCEVGGPGPQLGEGEESRHSI
+AGWGPPPALLVPRCSGTESWVVSSGTESAPRGPPAPICFRKGWAAIGPRFPTSAQAPGLP
+PPAPLSPRPSLPPTARATSLPA
+>tr|F1N0Z0|F1N0Z0_BOVIN Protein tyrosine kinase 2 beta OS=Bos taurus OX=9913 GN=PTK2B PE=3 SV=3
+MSGVSEALSRVKVGTLRRPEGPPEPMVVVPVDVEKEDVRILKVCFYGNSFNSGKNFKLVK
+CTVQTEIRDVIASILLSGRIGPNIQLADCYGLRLKHMKSDEIHWLHPLMTVGEVQDKYEC
+LHVEAEWRYDLQVRYLPEDFMESLKEDKTTLLYFYQQLRNDYMQRYASKVSEGMALQLGC
+LELRRFFKDMPHNALDKKSNLELLEREVGLDLFFPKQMQENLKPKQFRKMIQQTFQQYAS
+LREEECVMKFFNTLAGFANIDQETYRCELIQGWNITVDLVIGPKGIRQLTSQDAKPTCLA
+EFKQIKSIRCLPLEEGQAVLQLGIEGAPQSLSIKTSSLAEAENMADLVDGYCRLQGEHKG
+SLIIRTKKGALSSLGSCPVLSSCRNLEARRPHLSESCSIESDIYAEIPDETLRRPGGPQY
+GIAREDVVLNRILGEGFFGEVYEGVYTNHKGEVINVAVKTCKKNCTLDNKEKFMSEAVIM
+KNLDHPHIVKLIGIIEEEPTWIIMELYPYGELGHYLERNKNSLKVLTLVLYSLQICKAMA
+YLESINCVHRDIAVRNILVASPECVKLGDFGLSRYIEDEEYYKASVTRLPIKWMSPESIN
+FRRFTTASDVWMFAVCMWEILSFGKQPFFWLENKDVIGVLEKGDRLPKPDLCPPILYTLM
+TRCWDYDPSERPRFTELVCSLSDIYQMEKDIALEQERNARYRPPKILEPTAFQEPPPKPS
+RPKYRPPPQTNLLAPKLQFQVPEGLCASSPTLTSPMEYPSPVNSLHTPPLHRHNVFKRHS
+MREEDFVRPSSREEAQQLWEAERLKMRQLLDKQQKQMVEDYQWLRQEEKALDIPYSLSLP
+FAAEFTAPPQKPPRLGAQSIQPTANLDRTDDLVYLNVMELVRAV